smiles zinc_id prot_id files.db2 substance.inchikey net_charge ph_mod_fk substance.mwt substance.logp purchasable reactive features tranche_name CCC[C@](C)([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C(=O)OC ZINC000045097157 375917181 /nfs/dbraw/zinc/91/71/81/375917181.db2.gz DDEVJNXVXCOYJI-ZDUSSCGKSA-N -1 1 347.393 -0.906 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@@H]3C[C@@H]3C2)o1 ZINC000619357289 376268447 /nfs/dbraw/zinc/26/84/47/376268447.db2.gz SAFVUWMXMMQKCM-RKDXNWHRSA-N -1 1 327.362 -0.475 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C2CCC(F)(F)CC2)c(=O)n(C)c1=O ZINC000408023108 376283341 /nfs/dbraw/zinc/28/33/41/376283341.db2.gz POFBGBKAQAYEFS-UHFFFAOYSA-N -1 1 337.348 -0.060 20 0 EBADMM O=C(NCc1nn[n-]n1)[C@H]1CC(=O)N(c2ccc3c(c2)OCCO3)C1 ZINC000600500927 376423268 /nfs/dbraw/zinc/42/32/68/376423268.db2.gz GHIPLFKEGXQSOS-VIFPVBQESA-N -1 1 344.331 -0.360 20 0 EBADMM O=C(CCC(=O)N1CC(=O)Nc2ccccc21)NCc1nn[n-]n1 ZINC000600498842 376424127 /nfs/dbraw/zinc/42/41/27/376424127.db2.gz YQDFBGKHQYPXPF-UHFFFAOYSA-N -1 1 329.320 -0.419 20 0 EBADMM COC(=O)c1cn(CC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)nn1 ZINC000588820515 376395433 /nfs/dbraw/zinc/39/54/33/376395433.db2.gz HWCUMLHNAVQEKW-MRVPVSSYSA-N -1 1 336.308 -0.441 20 0 EBADMM O=C(Cc1ccccc1)NCC(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370869791 376597133 /nfs/dbraw/zinc/59/71/33/376597133.db2.gz KHCJGLBXJUVBPK-GFCCVEGCSA-N -1 1 330.348 -0.542 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCOC[C@H]2CCOC2)o1 ZINC000068538079 376578984 /nfs/dbraw/zinc/57/89/84/376578984.db2.gz OBZYLCSEUUTOSC-JTQLQIEISA-N -1 1 332.378 -0.029 20 0 EBADMM CCCC(=O)N1CSC[C@@H]1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370852496 376586849 /nfs/dbraw/zinc/58/68/49/376586849.db2.gz NZNRCJIXGUKDNJ-NXEZZACHSA-N -1 1 340.409 -0.199 20 0 EBADMM O=C([C@H]1Cc2ccccc2C(=O)O1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370861696 376591143 /nfs/dbraw/zinc/59/11/43/376591143.db2.gz OFOCIZJRGKQVOS-NEPJUHHUSA-N -1 1 329.316 -0.119 20 0 EBADMM CCC(=O)N1CSC[C@H]1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370863795 376591190 /nfs/dbraw/zinc/59/11/90/376591190.db2.gz YHALUPAZNILXGZ-DTWKUNHWSA-N -1 1 326.382 -0.589 20 0 EBADMM COc1cc2[n-]cc(C(=O)NCCn3ncnn3)c(=O)c2c(OC)c1 ZINC000626103767 376716599 /nfs/dbraw/zinc/71/65/99/376716599.db2.gz OQIQZXHKBWMLDQ-UHFFFAOYSA-N -1 1 344.331 -0.038 20 0 EBADMM O=C([O-])[C@@H]1Cn2c(=O)[nH]nc2CN1Cc1cnn(CC(F)F)c1 ZINC000580314565 377457463 /nfs/dbraw/zinc/45/74/63/377457463.db2.gz ZNPXCLMHJIYSPF-QMMMGPOBSA-N -1 1 328.279 -0.086 20 0 EBADMM O=C([N-]OC[C@@H]1CCOC1)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000364542281 377622913 /nfs/dbraw/zinc/62/29/13/377622913.db2.gz JKLCMVWSFRMZEV-KGLIPLIRSA-N -1 1 341.408 -0.749 20 0 EBADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1cnn(C)c1C)c1ccnn1C ZINC000592095917 377791811 /nfs/dbraw/zinc/79/18/11/377791811.db2.gz HCKSPXLFMZGABH-NSHDSACASA-N -1 1 327.366 -0.345 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)CC(C)(C)C(=O)OC)c1ccnn1C ZINC000592094203 377797108 /nfs/dbraw/zinc/79/71/08/377797108.db2.gz BWMGRQXYFCQHOB-SNVBAGLBSA-N -1 1 347.393 -0.247 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@@H](C)C(=O)OC)c1ccnn1C ZINC000592091413 377797348 /nfs/dbraw/zinc/79/73/48/377797348.db2.gz JTFIFSTUWRXERA-PSASIEDQSA-N -1 1 333.366 -0.637 20 0 EBADMM COC(=O)Cn1ccc([N-]S(=O)(=O)N2CCC(OC)CC2)n1 ZINC000594694590 377948398 /nfs/dbraw/zinc/94/83/98/377948398.db2.gz LCILVWOPXBYJRA-UHFFFAOYSA-N -1 1 332.382 -0.176 20 0 EBADMM COCCN(C)S(=O)(=O)[N-][C@]1(C(=O)OC(C)(C)C)CCOC1 ZINC000594842450 377958123 /nfs/dbraw/zinc/95/81/23/377958123.db2.gz NFMUATAFSSSBGZ-CYBMUJFWSA-N -1 1 338.426 -0.100 20 0 EBADMM CCn1cc(C[N-]S(=O)(=O)c2cccnc2C(=O)OC)nn1 ZINC000594838541 377958487 /nfs/dbraw/zinc/95/84/87/377958487.db2.gz BAVBLZZHZHTBTO-UHFFFAOYSA-N -1 1 325.350 -0.042 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@@H]2CC[C@@H](C(N)=O)O2)cc1C ZINC000595320274 377996831 /nfs/dbraw/zinc/99/68/31/377996831.db2.gz SCEPPWWLEYOUMA-IUCAKERBSA-N -1 1 346.361 -0.314 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-]CCc2nnnn2C)cc1C ZINC000595322343 377997036 /nfs/dbraw/zinc/99/70/36/377997036.db2.gz WDVQEIFYYMSKSU-UHFFFAOYSA-N -1 1 329.338 -0.581 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)[N-][C@H](C)CN2CCN(C)CC2)on1 ZINC000599232118 378211130 /nfs/dbraw/zinc/21/11/30/378211130.db2.gz HMTVYSDKBZHIOE-SNVBAGLBSA-N -1 1 346.409 -0.625 20 0 EBADMM COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NCc2nn[n-]n2)cc1 ZINC000599334573 378231589 /nfs/dbraw/zinc/23/15/89/378231589.db2.gz QDUMROKMDPGBGI-QMMMGPOBSA-N -1 1 340.365 -0.809 20 0 EBADMM CNS(=O)(=O)c1cc(C(=O)NCc2nn[n-]n2)ccc1OC ZINC000599335051 378232008 /nfs/dbraw/zinc/23/20/08/378232008.db2.gz YDSDQVJOJKUHEK-UHFFFAOYSA-N -1 1 326.338 -0.954 20 0 EBADMM COCCNS(=O)(=O)c1ccc(C(=O)NCc2nn[n-]n2)cc1 ZINC000599334431 378232143 /nfs/dbraw/zinc/23/21/43/378232143.db2.gz MMDQSWSIZQXOOY-UHFFFAOYSA-N -1 1 340.365 -0.946 20 0 EBADMM CN(CC(=O)NCc1nn[n-]n1)S(=O)(=O)c1ccc(Cl)cc1 ZINC000599377510 378233749 /nfs/dbraw/zinc/23/37/49/378233749.db2.gz VCBLJZNZRJFLRD-UHFFFAOYSA-N -1 1 344.784 -0.210 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC2Cc3ccccc3C2)c(=O)n(C)c1=O ZINC000605004944 378515471 /nfs/dbraw/zinc/51/54/71/378515471.db2.gz UMPGFYWYUVANPE-UHFFFAOYSA-N -1 1 349.412 -0.223 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@H](Cn3ccnn3)C2)co1 ZINC000617258107 378656422 /nfs/dbraw/zinc/65/64/22/378656422.db2.gz AUYZLYWDULXROT-JTQLQIEISA-N -1 1 339.377 -0.059 20 0 EBADMM COC(=O)[C@@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])C[C@H](C)O1 ZINC000338170037 378742954 /nfs/dbraw/zinc/74/29/54/378742954.db2.gz KXHZYAFUWCEYHE-ONGXEEELSA-N -1 1 337.332 -0.694 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H]1CC(=O)N2CCCC[C@H]12 ZINC000338193926 378744214 /nfs/dbraw/zinc/74/42/14/378744214.db2.gz KYNSHDPQLVWTOS-GHMZBOCLSA-N -1 1 332.360 -0.213 20 0 EBADMM CCCN(C(=O)CNC(=O)c1ncccc1[O-])[C@@H]1CC(=O)N(C)C1=O ZINC000338246681 378747971 /nfs/dbraw/zinc/74/79/71/378747971.db2.gz MYRXVZXRILFMBV-SNVBAGLBSA-N -1 1 348.359 -0.487 20 0 EBADMM C[C@@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000337905541 378706134 /nfs/dbraw/zinc/70/61/34/378706134.db2.gz MPZFGJGZFPKDGZ-UWVGGRQHSA-N -1 1 343.405 -0.203 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCN([C@@H]3CCOC3)CC2)o1 ZINC000366073999 378788548 /nfs/dbraw/zinc/78/85/48/378788548.db2.gz GTEKNJQCNSWGHQ-LLVKDONJSA-N -1 1 343.405 -0.266 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H](CC(=O)OC)C(=O)OC)co1 ZINC000339366550 378910265 /nfs/dbraw/zinc/91/02/65/378910265.db2.gz OJIKIVQFYWCNRP-MRVPVSSYSA-N -1 1 348.333 -0.978 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cc(C(N)=O)n(C)c2)co1 ZINC000339435329 378918130 /nfs/dbraw/zinc/91/81/30/378918130.db2.gz BNZCCBXPPKMICA-UHFFFAOYSA-N -1 1 326.334 -0.123 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2ccc(C(N)=O)nc2)co1 ZINC000339438436 378919473 /nfs/dbraw/zinc/91/94/73/378919473.db2.gz WPDDPFDHFUJGLV-UHFFFAOYSA-N -1 1 338.345 -0.388 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H]1C[C@@H]2CCCCN2C1=O ZINC000457274842 379184861 /nfs/dbraw/zinc/18/48/61/379184861.db2.gz MLYPIRZIORBEDT-WDEREUQCSA-N -1 1 332.360 -0.213 20 0 EBADMM CS(=O)(=O)[N-]c1ccc(F)cc1C(=O)NCCN1CC[C@H](O)C1 ZINC000629911901 379215121 /nfs/dbraw/zinc/21/51/21/379215121.db2.gz DIRANVMNPNJOEW-NSHDSACASA-N -1 1 345.396 -0.006 20 0 EBADMM CS(=O)(=O)[N-]c1ccccc1C(=O)NCCN1CC[C@H](O)C1 ZINC000629910672 379210168 /nfs/dbraw/zinc/21/01/68/379210168.db2.gz SYURNSRRKJPZFF-NSHDSACASA-N -1 1 327.406 -0.146 20 0 EBADMM C[C@@H](CS(=O)(=O)c1ccc(F)cc1)C(=O)NN1CC(=O)[N-]C1=O ZINC000269311148 379361025 /nfs/dbraw/zinc/36/10/25/379361025.db2.gz NUWAZPYZMGMTKT-QMMMGPOBSA-N -1 1 343.336 -0.182 20 0 EBADMM COC(=O)C[C@@H]([N-]S(=O)(=O)c1cc(C(=O)OC)co1)C(=O)OC ZINC000270073577 379430578 /nfs/dbraw/zinc/43/05/78/379430578.db2.gz ZYSPANVFMHNEPI-MRVPVSSYSA-N -1 1 349.317 -0.551 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](CO)c2cnn(C)c2)o1 ZINC000270137116 379434177 /nfs/dbraw/zinc/43/41/77/379434177.db2.gz AIRHPVWHCVDOGK-VIFPVBQESA-N -1 1 329.334 -0.188 20 0 EBADMM CO[C@H]1CS(=O)(=O)C[C@@H]1[N-]S(=O)(=O)[C@H]1C[C@@H]2CC[C@H]1O2 ZINC000641605348 379450623 /nfs/dbraw/zinc/45/06/23/379450623.db2.gz ADCSVSWCKFJDFX-HHKYUTTNSA-N -1 1 325.408 -0.962 20 0 EBADMM CC(C)CSCC[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000270261210 379442521 /nfs/dbraw/zinc/44/25/21/379442521.db2.gz TZOABEKCNBQRCK-UHFFFAOYSA-N -1 1 335.451 -0.249 20 0 EBADMM C[C@@H](Cc1ccncc1)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000487088073 379486127 /nfs/dbraw/zinc/48/61/27/379486127.db2.gz QDYXGFURZHGXDX-JTQLQIEISA-N -1 1 338.389 -0.612 20 0 EBADMM CC(C)[C@H]1C[C@H]([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCO1 ZINC000271540495 379532447 /nfs/dbraw/zinc/53/24/47/379532447.db2.gz DBQPGOUOHTUHHV-GHMZBOCLSA-N -1 1 345.421 -0.434 20 0 EBADMM COc1cc(OC)cc(N2C[C@H](C(=O)[N-]OCC(N)=O)CC2=O)c1 ZINC000271365167 379521486 /nfs/dbraw/zinc/52/14/86/379521486.db2.gz GWZAXEXRCJCNJC-SECBINFHSA-N -1 1 337.332 -0.410 20 0 EBADMM C[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)[C@@H]1CCCCO1 ZINC000272102917 379558403 /nfs/dbraw/zinc/55/84/03/379558403.db2.gz XETSMPOUWJQBDH-ZJUUUORDSA-N -1 1 331.394 -0.680 20 0 EBADMM C[C@H](CN(C)C(=O)CN1C(=O)[C@@H]2CCCC[C@H]2C1=O)c1nn[n-]n1 ZINC000273535334 379651802 /nfs/dbraw/zinc/65/18/02/379651802.db2.gz RWIVGRATJFYMMJ-GMTAPVOTSA-N -1 1 334.380 -0.063 20 0 EBADMM CC(=O)NCC(=O)NCC(=O)Nc1nc(Br)ccc1[O-] ZINC000274542185 379701651 /nfs/dbraw/zinc/70/16/51/379701651.db2.gz FJXOJAIZRUAHQW-UHFFFAOYSA-N -1 1 345.153 -0.260 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC2CC(N3CCOCC3)C2)o1 ZINC000627930005 379729936 /nfs/dbraw/zinc/72/99/36/379729936.db2.gz MFVRWFGIWJJUPX-UHFFFAOYSA-N -1 1 343.405 -0.219 20 0 EBADMM O=C(CCNC(=O)c1c([O-])cccc1F)N[C@@H]1CCS(=O)(=O)C1 ZINC000274692480 379709715 /nfs/dbraw/zinc/70/97/15/379709715.db2.gz KILRJRLPLIGQMX-SECBINFHSA-N -1 1 344.364 -0.046 20 0 EBADMM CCC[C@H](C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)S(N)(=O)=O ZINC000614387589 379923428 /nfs/dbraw/zinc/92/34/28/379923428.db2.gz BNPCWQHUJWKOAP-RKDXNWHRSA-N -1 1 332.382 -0.062 20 0 EBADMM COC(=O)[C@@H](O)C(C)(C)[N-]S(=O)(=O)c1c(C)nn(C)c1Cl ZINC000277051823 379872238 /nfs/dbraw/zinc/87/22/38/379872238.db2.gz NPVNJDBEEMSZBP-MRVPVSSYSA-N -1 1 339.801 -0.027 20 0 EBADMM Cc1cc(S(=O)(=O)[N-][C@H]2CCN(S(C)(=O)=O)C2)sn1 ZINC000632509852 379873144 /nfs/dbraw/zinc/87/31/44/379873144.db2.gz PWFPMQMISSWCLG-QMMMGPOBSA-N -1 1 325.437 -0.236 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC2(N3CCOCC3)CC2)o1 ZINC000277963262 379907850 /nfs/dbraw/zinc/90/78/50/379907850.db2.gz PQPPAHFTMBWAOS-UHFFFAOYSA-N -1 1 343.405 -0.218 20 0 EBADMM Cc1cc(N2CCCS2(=O)=O)ccc1C(=O)NCc1nn[n-]n1 ZINC000607649006 379958784 /nfs/dbraw/zinc/95/87/84/379958784.db2.gz JXFDNBANRMVJIV-UHFFFAOYSA-N -1 1 336.377 -0.022 20 0 EBADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)CN1CCOCC1=O ZINC000279726052 379960178 /nfs/dbraw/zinc/96/01/78/379960178.db2.gz IVBDRLJVKZISJF-UHFFFAOYSA-N -1 1 345.352 -0.006 20 0 EBADMM CCOC(=O)CCS(=O)(=O)[N-][C@]1(C(=O)OC)CCSC1 ZINC000340070338 380004307 /nfs/dbraw/zinc/00/43/07/380004307.db2.gz YTCALTVNBMGUCT-LLVKDONJSA-N -1 1 325.408 -0.092 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCn1cnnc1CC ZINC000545094091 380084666 /nfs/dbraw/zinc/08/46/66/380084666.db2.gz QWFQATPOGWWXEO-UHFFFAOYSA-N -1 1 342.381 -0.281 20 0 EBADMM Cc1[nH]c(=O)sc1S(=O)(=O)[N-]CCNC(=O)COC(F)F ZINC000354495434 380047649 /nfs/dbraw/zinc/04/76/49/380047649.db2.gz LCIHZCYQHDFQCD-UHFFFAOYSA-N -1 1 345.349 -0.209 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2cnn(CCOC)c2)co1 ZINC000283220474 380111808 /nfs/dbraw/zinc/11/18/08/380111808.db2.gz HVOLQBKXSSZMBI-UHFFFAOYSA-N -1 1 342.377 -0.039 20 0 EBADMM CC[N@H+]1CC[C@H]2OCCN(C(=O)CNC(=O)c3ncccc3[O-])[C@H]2C1 ZINC000355376235 380191248 /nfs/dbraw/zinc/19/12/48/380191248.db2.gz XECYDJGZPOQCLQ-GXTWGEPZSA-N -1 1 348.403 -0.161 20 0 EBADMM CS(=O)(=O)CCS(=O)(=O)[N-][C@@H]1CN(c2ccccc2)C1=O ZINC000369903269 380198978 /nfs/dbraw/zinc/19/89/78/380198978.db2.gz WCARUFDZIQGFGX-LLVKDONJSA-N -1 1 332.403 -0.634 20 0 EBADMM O=C(CCc1nn[n-]n1)NC[C@@]1(N2CCOCC2)CCSC1 ZINC000630808059 380257877 /nfs/dbraw/zinc/25/78/77/380257877.db2.gz FTCIHGYFZNUFIY-ZDUSSCGKSA-N -1 1 326.426 -0.544 20 0 EBADMM CCS(=O)(=O)NCCNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358326806 380234834 /nfs/dbraw/zinc/23/48/34/380234834.db2.gz RFHZAKGTPJYJNK-UHFFFAOYSA-N -1 1 327.366 -0.657 20 0 EBADMM CN(C)C(=O)COC1CN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000362019516 380364457 /nfs/dbraw/zinc/36/44/57/380364457.db2.gz VIHDMTHPVVKPRY-UHFFFAOYSA-N -1 1 330.344 -0.148 20 0 EBADMM Cn1[n-]cc2/c(=N\C(=O)C(=O)N3CC(=O)N(C4CC4)C3)ccnc1-2 ZINC000287641122 380366573 /nfs/dbraw/zinc/36/65/73/380366573.db2.gz AEDDETYYTPEHLW-WQRHYEAKSA-N -1 1 328.332 -0.929 20 0 EBADMM CC(C)[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1ncc[nH]1 ZINC000289003445 380427112 /nfs/dbraw/zinc/42/71/12/380427112.db2.gz UCZRQMOCVREBMO-JTQLQIEISA-N -1 1 341.393 -0.517 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]CC1(OCCO)CCOCC1 ZINC000289759395 380447692 /nfs/dbraw/zinc/44/76/92/380447692.db2.gz GXNURYZLFYRREQ-UHFFFAOYSA-N -1 1 335.382 -0.598 20 0 EBADMM NS(=O)(=O)CCN1CCN(C(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000289863802 380452647 /nfs/dbraw/zinc/45/26/47/380452647.db2.gz VMZDUBWVNVVUPU-UHFFFAOYSA-N -1 1 349.359 -0.283 20 0 EBADMM COC(=O)Cn1cc([N-]S(=O)(=O)CC(C)(C)C(=O)OC)cn1 ZINC000288576586 380414133 /nfs/dbraw/zinc/41/41/33/380414133.db2.gz RDUYZEMOEVKLOK-UHFFFAOYSA-N -1 1 333.366 -0.003 20 0 EBADMM COC(=O)C1(CS(=O)(=O)N[C@H](Cc2cnc[nH]2)C(=O)[O-])CCC1 ZINC000291031609 380477342 /nfs/dbraw/zinc/47/73/42/380477342.db2.gz XGHDVSDAPYTGGK-SNVBAGLBSA-N -1 1 345.377 -0.332 20 0 EBADMM COCC1([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCCC1 ZINC000567628037 380531876 /nfs/dbraw/zinc/53/18/76/380531876.db2.gz HPMLYNNCYMQQAX-UHFFFAOYSA-N -1 1 331.394 -0.679 20 0 EBADMM C[C@@H]1CN(S(=O)(=O)N[C@H](Cc2cnc[nH]2)C(=O)[O-])C[C@H](C)O1 ZINC000314261681 380564058 /nfs/dbraw/zinc/56/40/58/380564058.db2.gz HPXXUXSYMUDJRN-WCABBAIRSA-N -1 1 332.382 -0.651 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CC(=O)NCC(=O)OC)o1 ZINC000569292010 380637462 /nfs/dbraw/zinc/63/74/62/380637462.db2.gz CYBHJKQIYJHSDO-UHFFFAOYSA-N -1 1 348.333 -0.976 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCN(C(=O)C3CC3)CC2)co1 ZINC000535164068 380608331 /nfs/dbraw/zinc/60/83/31/380608331.db2.gz YNIBOZCQQDVUNS-UHFFFAOYSA-N -1 1 341.389 -0.118 20 0 EBADMM COc1cccc(C(=O)NCC(=O)N2CCO[C@H](c3nn[n-]n3)C2)c1 ZINC000371698833 380670241 /nfs/dbraw/zinc/67/02/41/380670241.db2.gz AKYXBHRHOBMERH-LBPRGKRZSA-N -1 1 346.347 -0.462 20 0 EBADMM CO[C@@H](Cc1ccccc1)CS(=O)(=O)[N-][C@@]1(C(N)=O)CCOC1 ZINC000573506258 380676047 /nfs/dbraw/zinc/67/60/47/380676047.db2.gz QNEQLSXAJCDMHK-ZFWWWQNUSA-N -1 1 342.417 -0.192 20 0 EBADMM CC(C)(NC(=O)CNS(=O)(=O)c1ccccc1F)c1nn[n-]n1 ZINC000573565931 380677649 /nfs/dbraw/zinc/67/76/49/380677649.db2.gz FYWACFUSYJORLM-UHFFFAOYSA-N -1 1 342.356 -0.331 20 0 EBADMM O=S(=O)(NC[C@H]1CCCC[N@@H+]1CCO)c1cnn2c1OCCC2 ZINC000574260386 380706068 /nfs/dbraw/zinc/70/60/68/380706068.db2.gz WXLJAPNWJJULCO-GFCCVEGCSA-N -1 1 344.437 -0.209 20 0 EBADMM CCN1CCCN(C(=O)c2ccc(S(=O)(=O)[N-]C)o2)CC1=O ZINC000373737266 380762049 /nfs/dbraw/zinc/76/20/49/380762049.db2.gz HWAATAVTJKHUAC-UHFFFAOYSA-N -1 1 329.378 -0.118 20 0 EBADMM O=S(=O)([N-]C[C@]1(O)CCS(=O)(=O)C1)c1cccc(F)c1F ZINC000574415091 380711751 /nfs/dbraw/zinc/71/17/51/380711751.db2.gz ZBHTXIFDLJLPAY-LLVKDONJSA-N -1 1 341.357 -0.207 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCN3CCOC[C@H]3C2)o1 ZINC000374925472 380818086 /nfs/dbraw/zinc/81/80/86/380818086.db2.gz IQWAPQZOJMKMDF-SNVBAGLBSA-N -1 1 329.378 -0.656 20 0 EBADMM COc1ccccc1C(=O)NCC(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000375233003 380834049 /nfs/dbraw/zinc/83/40/49/380834049.db2.gz GXGXUIURDSUZSC-LBPRGKRZSA-N -1 1 346.347 -0.462 20 0 EBADMM COC(=O)C[C@H]([N-]S(=O)(=O)N1C[C@@H](C)O[C@H](C)C1)C(=O)OC ZINC000330700863 380838250 /nfs/dbraw/zinc/83/82/50/380838250.db2.gz GQJKQXCULCOQAS-BBBLOLIVSA-N -1 1 338.382 -0.965 20 0 EBADMM O=S(=O)(CCCS(=O)(=O)N1CCOC1)[N-]c1ccccn1 ZINC000349530539 380886343 /nfs/dbraw/zinc/88/63/43/380886343.db2.gz YOSVNXCONLEZCD-UHFFFAOYSA-N -1 1 335.407 -0.167 20 0 EBADMM COCC[C@H]([N-]S(=O)(=O)[C@@H]1CCC[C@@H]1C(=O)OC)C(=O)OC ZINC000581853613 381104946 /nfs/dbraw/zinc/10/49/46/381104946.db2.gz MOYYHQXOJHHGOY-GARJFASQSA-N -1 1 337.394 -0.174 20 0 EBADMM COC(=O)[C@@H](CN1CCOCC1)[N-]S(=O)(=O)Cc1ccccc1 ZINC000583805724 381142175 /nfs/dbraw/zinc/14/21/75/381142175.db2.gz BPVXJFDLTPLHGJ-CQSZACIVSA-N -1 1 342.417 -0.020 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)C3CC3)CC2)o1 ZINC000032992864 381183393 /nfs/dbraw/zinc/18/33/93/381183393.db2.gz QYMOKYQWXDFIEJ-UHFFFAOYSA-N -1 1 341.389 -0.118 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2cc(F)cc(F)c2)c(=O)n(C)c1=O ZINC000030518178 381256400 /nfs/dbraw/zinc/25/64/00/381256400.db2.gz XLRDPLNVEYKUFD-UHFFFAOYSA-N -1 1 345.327 -0.159 20 0 EBADMM COC(=O)CC[C@@H]([N-]S(=O)(=O)CC(C)(C)OC)C(=O)OC ZINC000349468051 381462751 /nfs/dbraw/zinc/46/27/51/381462751.db2.gz XXIFZKFAKRHUFQ-SECBINFHSA-N -1 1 325.383 -0.174 20 0 EBADMM COCCN1C[C@@H](C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)CC1=O ZINC000350827657 381550649 /nfs/dbraw/zinc/55/06/49/381550649.db2.gz DSHQDHQFFKYPCN-QWRGUYRKSA-N -1 1 338.364 -0.024 20 0 EBADMM COC[C@H]([N-]S(=O)(=O)c1csnc1C(=O)OC)C(=O)OC ZINC000350625920 381530140 /nfs/dbraw/zinc/53/01/40/381530140.db2.gz LBBYPLOLEKQFTI-LURJTMIESA-N -1 1 338.363 -0.604 20 0 EBADMM Cn1cnc(C[N-]S(=O)(=O)c2ccc(S(C)(=O)=O)c(F)c2)n1 ZINC000350969386 381604940 /nfs/dbraw/zinc/60/49/40/381604940.db2.gz DPDNAMUVGFFLDR-UHFFFAOYSA-N -1 1 348.381 -0.164 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)[N-]c2cc(C(N)=O)[nH]n2)ccc1O ZINC000611497805 381670292 /nfs/dbraw/zinc/67/02/92/381670292.db2.gz RQJKENKEZTZTSH-UHFFFAOYSA-N -1 1 340.317 -0.198 20 0 EBADMM COC(=O)c1cc(F)c(F)cc1NC(=O)C(=O)NCc1nn[n-]n1 ZINC000611603588 381678592 /nfs/dbraw/zinc/67/85/92/381678592.db2.gz ANOHQLZMPYINPM-UHFFFAOYSA-N -1 1 340.246 -0.481 20 0 EBADMM Cn1c(=O)ccn(CC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c1=O ZINC000332379890 381787014 /nfs/dbraw/zinc/78/70/14/381787014.db2.gz AQCBOABRKATDBT-VIFPVBQESA-N -1 1 335.320 -0.958 20 0 EBADMM CCC[C@H](NC(=O)CNS(=O)(=O)c1cccnc1)c1nn[n-]n1 ZINC000612059834 381776742 /nfs/dbraw/zinc/77/67/42/381776742.db2.gz FQQVRYBKQZUWAL-JTQLQIEISA-N -1 1 339.381 -0.469 20 0 EBADMM COC(=O)[C@H]1C[C@@H](OC)CN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000352544798 382000283 /nfs/dbraw/zinc/00/02/83/382000283.db2.gz SYMNRMLWLMMXJW-NXEZZACHSA-N -1 1 337.332 -0.694 20 0 EBADMM NC(=O)NC[C@@H]1CCCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000352364239 381975312 /nfs/dbraw/zinc/97/53/12/381975312.db2.gz ZNCVHWHOTSGAOE-JTQLQIEISA-N -1 1 335.364 -0.434 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NC2(CO)CCCC2)o1 ZINC000352404761 381979983 /nfs/dbraw/zinc/97/99/83/381979983.db2.gz JIYROWJJMBXQEF-UHFFFAOYSA-N -1 1 345.377 -0.922 20 0 EBADMM COC(=O)[C@@H](O)C[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000352939079 382077800 /nfs/dbraw/zinc/07/78/00/382077800.db2.gz HPCIOERLWVQPBR-YFKPBYRVSA-N -1 1 328.140 -0.146 20 0 EBADMM CCS(=O)(=O)NCCCNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000353334716 382139069 /nfs/dbraw/zinc/13/90/69/382139069.db2.gz FZRZUQIMYWNYLR-UHFFFAOYSA-N -1 1 338.389 -0.018 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC(=O)N1CCCCC1 ZINC000298396344 285972048 /nfs/dbraw/zinc/97/20/48/285972048.db2.gz HNKPLMGAXJHDKR-UHFFFAOYSA-N -1 1 344.393 -0.123 20 0 EBADMM CCN(C(=O)c1ccc(S(=O)(=O)[N-]C)o1)[C@H]1CC(=O)NC1=O ZINC000299535955 155161127 /nfs/dbraw/zinc/16/11/27/155161127.db2.gz PFPLBTHUPKEMSS-ZETCQYMHSA-N -1 1 329.334 -0.935 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1nncn1CC ZINC000318822979 155179139 /nfs/dbraw/zinc/17/91/39/155179139.db2.gz QTAIYOAFAMKVTF-UHFFFAOYSA-N -1 1 328.354 -0.324 20 0 EBADMM CO[C@H]1C[C@@H](C(N)=O)N(C(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000284661979 286087536 /nfs/dbraw/zinc/08/75/36/286087536.db2.gz TZJLPYSVIUFDEV-JQWIXIFHSA-N -1 1 347.375 -0.717 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](S(=O)(=O)NC3CCCC3)C2)nc1=O ZINC000329656236 155235505 /nfs/dbraw/zinc/23/55/05/155235505.db2.gz GEIWESHEBODHEV-LBPRGKRZSA-N -1 1 343.453 -0.065 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@H](C(=O)NC)C2)co1 ZINC000330438094 155270304 /nfs/dbraw/zinc/27/03/04/155270304.db2.gz BRNIWTGXQALKAN-VIFPVBQESA-N -1 1 329.378 -0.214 20 0 EBADMM COC(=O)C[C@H]([N-]S(=O)(=O)N1C[C@H](C)C[C@@H](C)C1)C(=O)OC ZINC000330736061 155306130 /nfs/dbraw/zinc/30/61/30/155306130.db2.gz WQCPGAWUAFJEHH-MXWKQRLJSA-N -1 1 336.410 -0.097 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCN(CC(=O)OC)CC2)o1 ZINC000331022905 155330087 /nfs/dbraw/zinc/33/00/87/155330087.db2.gz TVKGAKVOHBPZTH-UHFFFAOYSA-N -1 1 345.377 -0.882 20 0 EBADMM CC[C@H](C)[C@@](C)(O)C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000331270570 155355185 /nfs/dbraw/zinc/35/51/85/155355185.db2.gz AOYYQNDBJLJWHA-ZANVPECISA-N -1 1 333.410 -0.841 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CC(=O)N(C(C)C)C2)o1 ZINC000263781643 154264056 /nfs/dbraw/zinc/26/40/56/154264056.db2.gz YMWHWEBHLYJJHQ-SECBINFHSA-N -1 1 329.378 -0.073 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-]CCCN2CCC[C@@H]2C(N)=O)c1Cl ZINC000264659522 154288289 /nfs/dbraw/zinc/28/82/89/154288289.db2.gz YLXHUWSGWLBHOL-SECBINFHSA-N -1 1 349.844 -0.308 20 0 EBADMM O=C(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cncc([O-])c1 ZINC000264843032 154295565 /nfs/dbraw/zinc/29/55/65/154295565.db2.gz LLLNJNSPLRNEKC-STQMWFEESA-N -1 1 341.389 -0.985 20 0 EBADMM CO[C@]1(C)C[C@@H]([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)C1(C)C ZINC000266731777 154351802 /nfs/dbraw/zinc/35/18/02/154351802.db2.gz LYXDYVAWRXFCBJ-QMTHXVAHSA-N -1 1 345.421 -0.434 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CC(=O)N(C(C)C)C2=O)o1 ZINC000267136167 154365806 /nfs/dbraw/zinc/36/58/06/154365806.db2.gz IZIUGUJRXAPESX-MRVPVSSYSA-N -1 1 344.345 -0.120 20 0 EBADMM CN(CCNS(=O)(=O)c1c[nH]c2nc(=O)[n-]c(=O)c-2c1)C1CC1 ZINC000267689512 154388621 /nfs/dbraw/zinc/38/86/21/154388621.db2.gz RJEQETQCVWUFIH-UHFFFAOYSA-N -1 1 339.377 -0.192 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCN(C(C)C)C1=O ZINC000269694404 154459790 /nfs/dbraw/zinc/45/97/90/154459790.db2.gz XZFVDVVYZBVUCC-SNVBAGLBSA-N -1 1 344.393 -0.126 20 0 EBADMM COC(=O)[C@@H](F)C(NC1CCCC1)=C1C(=O)[N-]C(=S)NC1=O ZINC000292412259 155032371 /nfs/dbraw/zinc/03/23/71/155032371.db2.gz DSDGJFKKATXXBK-QMMMGPOBSA-N -1 1 329.353 -0.185 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F)[C@H](C)O ZINC000293148642 155042795 /nfs/dbraw/zinc/04/27/95/155042795.db2.gz QUNMRVCMOQXUTA-CAHLUQPWSA-N -1 1 345.299 -0.360 20 0 EBADMM COC(=O)[C@H](F)C(NC[C@@H]1CCCO1)=C1C(=O)[N-]C(=S)NC1=O ZINC000293311407 155045378 /nfs/dbraw/zinc/04/53/78/155045378.db2.gz IYEWILSHFUJTAO-POYBYMJQSA-N -1 1 345.352 -0.949 20 0 EBADMM O=C(Cn1c(=O)ccn(Cc2ccccc2)c1=O)Nc1nnn[n-]1 ZINC000342854182 156064031 /nfs/dbraw/zinc/06/40/31/156064031.db2.gz YYOSDHIRZHOLIH-UHFFFAOYSA-N -1 1 327.304 -0.790 20 0 EBADMM O=C(Cn1c(=O)ccn(Cc2ccccc2)c1=O)Nc1nn[n-]n1 ZINC000342854182 156064039 /nfs/dbraw/zinc/06/40/39/156064039.db2.gz YYOSDHIRZHOLIH-UHFFFAOYSA-N -1 1 327.304 -0.790 20 0 EBADMM CN(C)c1ncc(CNC(=O)CNC(=O)c2ncccc2[O-])n1C ZINC000343506570 156143122 /nfs/dbraw/zinc/14/31/22/156143122.db2.gz YILHXQXWKGHBFN-UHFFFAOYSA-N -1 1 332.364 -0.367 20 0 EBADMM C[C@@H]1[C@H](C)S(=O)(=O)CCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000343704329 156159327 /nfs/dbraw/zinc/15/93/27/156159327.db2.gz GWVJRAMGIDPOGI-ZJUUUORDSA-N -1 1 341.389 -0.449 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2C=CCCC2)o1 ZINC000343808424 156170698 /nfs/dbraw/zinc/17/06/98/156170698.db2.gz AHMXSOVCFYJPHQ-SECBINFHSA-N -1 1 327.362 -0.118 20 0 EBADMM CN(CCO)S(=O)(=O)c1ccc(C(=O)Nc2nnn[n-]2)cc1 ZINC000344765430 156262155 /nfs/dbraw/zinc/26/21/55/156262155.db2.gz AESBSKOPNYLIDO-UHFFFAOYSA-N -1 1 326.338 -0.935 20 0 EBADMM CN(CCO)S(=O)(=O)c1ccc(C(=O)Nc2nn[n-]n2)cc1 ZINC000344765430 156262157 /nfs/dbraw/zinc/26/21/57/156262157.db2.gz AESBSKOPNYLIDO-UHFFFAOYSA-N -1 1 326.338 -0.935 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NC[C@@H]1CCCCS1(=O)=O ZINC000345151950 156309152 /nfs/dbraw/zinc/30/91/52/156309152.db2.gz PITGNJPQMHMQNB-JTQLQIEISA-N -1 1 341.389 -0.400 20 0 EBADMM Cc1[nH]c(=O)sc1S(=O)(=O)[N-]CCNC(=O)c1cn[nH]c1 ZINC000345449877 156335076 /nfs/dbraw/zinc/33/50/76/156335076.db2.gz OOLCYDZXLMHTJB-UHFFFAOYSA-N -1 1 331.379 -0.411 20 0 EBADMM Cc1[nH]c2ccnn2c(=O)c1CC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000345483132 156336626 /nfs/dbraw/zinc/33/66/26/156336626.db2.gz SMMSTODUJJHGMQ-UHFFFAOYSA-N -1 1 342.363 -0.028 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCC[C@@H]2C(=O)NC)o1 ZINC000345816817 156364019 /nfs/dbraw/zinc/36/40/19/156364019.db2.gz UQVPWUVCMOJNLI-DTWKUNHWSA-N -1 1 329.378 -0.168 20 0 EBADMM CCC[C@@H](NC(N)=O)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000347742258 156529879 /nfs/dbraw/zinc/52/98/79/156529879.db2.gz SLZAUJGRTFYNOJ-MRVPVSSYSA-N -1 1 330.366 -0.185 20 0 EBADMM C[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000356606651 157081949 /nfs/dbraw/zinc/08/19/49/157081949.db2.gz NGZVRSDERHDXOS-RKDXNWHRSA-N -1 1 327.362 -0.934 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCc2nc(N(C)C)no2)o1 ZINC000356985518 157109874 /nfs/dbraw/zinc/10/98/74/157109874.db2.gz OLTNKLHLDHZJFF-UHFFFAOYSA-N -1 1 329.338 -0.433 20 0 EBADMM CNC(=O)COc1cccc(CC(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000357066948 157116223 /nfs/dbraw/zinc/11/62/23/157116223.db2.gz IHVHHFYZQQBSBC-UHFFFAOYSA-N -1 1 330.348 -0.328 20 0 EBADMM CN1C(=O)C[C@@H](C(=O)NC2(c3nn[n-]n3)CC2)[C@H]1c1cccnc1 ZINC000357098624 157118765 /nfs/dbraw/zinc/11/87/65/157118765.db2.gz UCGXRRUKAHEHNT-ZYHUDNBSSA-N -1 1 327.348 -0.080 20 0 EBADMM CCCS(=O)(=O)CC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000357101903 157119997 /nfs/dbraw/zinc/11/99/97/157119997.db2.gz OQIQVLLZJVXUPX-UHFFFAOYSA-N -1 1 336.391 -0.197 20 0 EBADMM CCS(=O)(=O)N(C)CCCNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000357306769 157134052 /nfs/dbraw/zinc/13/40/52/157134052.db2.gz PPNRRCNIBYCJNV-UHFFFAOYSA-N -1 1 348.381 -0.702 20 0 EBADMM CS(=O)(=O)CCCCCNC(=O)CNC(=O)c1ncccc1[O-] ZINC000357297445 157134911 /nfs/dbraw/zinc/13/49/11/157134911.db2.gz FLXFVDKFWJKBEO-UHFFFAOYSA-N -1 1 343.405 -0.152 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C(N)=O)c1ccc(F)cc1 ZINC000357638953 157164457 /nfs/dbraw/zinc/16/44/57/157164457.db2.gz WRHWDIYZGPDYMF-QMMMGPOBSA-N -1 1 336.279 -0.008 20 0 EBADMM C[C@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])C[C@]2(CCOC2)O1 ZINC000358251858 157229175 /nfs/dbraw/zinc/22/91/75/157229175.db2.gz RWZKLHKWEHGKNH-ZBEGNZNMSA-N -1 1 335.360 -0.077 20 0 EBADMM CN1CC[C@@](O)(CNC(=O)C(=O)N=c2nc(C(C)(C)C)[n-]s2)C1 ZINC000358392139 157239967 /nfs/dbraw/zinc/23/99/67/157239967.db2.gz KHORRXRMMWSYSG-CQSZACIVSA-N -1 1 341.437 -0.621 20 0 EBADMM CNS(=O)(=O)CC(=O)Nc1ccc(F)cc1[N-]S(C)(=O)=O ZINC000358917953 157288721 /nfs/dbraw/zinc/28/87/21/157288721.db2.gz ILIVIHKCSVVYRH-UHFFFAOYSA-N -1 1 339.370 -0.315 20 0 EBADMM NC(=O)NC(=O)CC[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000360133496 157358088 /nfs/dbraw/zinc/35/80/88/157358088.db2.gz SHTVSFJHDPTXOF-UHFFFAOYSA-N -1 1 325.268 -0.033 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CC[C@H](N2CCCC2=O)C1 ZINC000360403326 157377681 /nfs/dbraw/zinc/37/76/81/157377681.db2.gz SEPDMZNCFXYFRE-NSHDSACASA-N -1 1 332.360 -0.260 20 0 EBADMM Cn1cnn(CCC(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)c1=O ZINC000655391222 419049878 /nfs/dbraw/zinc/04/98/78/419049878.db2.gz DTCRICFFYGORSY-GFCCVEGCSA-N -1 1 328.336 -0.609 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@]1(C(N)=O)CCOC1 ZINC000655322320 418999011 /nfs/dbraw/zinc/99/90/11/418999011.db2.gz NGUONUGPNVBPSX-HNNXBMFYSA-N -1 1 333.348 -0.645 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CC(=O)N(CC)C1 ZINC000391499160 419013095 /nfs/dbraw/zinc/01/30/95/419013095.db2.gz VCZLHFMNNAZOMW-QMMMGPOBSA-N -1 1 330.366 -0.515 20 0 EBADMM COc1ccc(CCN2C(=O)C[C@H](NCc3nn[n-]n3)C2=O)cc1 ZINC000653285093 419120712 /nfs/dbraw/zinc/12/07/12/419120712.db2.gz CPEMCGPQMVJTAK-LBPRGKRZSA-N -1 1 330.348 -0.332 20 0 EBADMM Cc1cc(N2CC[C@@H]([N-]S(=O)(=O)Cc3ccon3)C2=O)n(C)n1 ZINC000525701000 419076720 /nfs/dbraw/zinc/07/67/20/419076720.db2.gz FCQMDDKTGPJQEN-LLVKDONJSA-N -1 1 339.377 -0.059 20 0 EBADMM CS(=O)(=O)N1CCC[C@@H]1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000644887165 419255945 /nfs/dbraw/zinc/25/59/45/419255945.db2.gz VIAQQCUBLKQKCE-SNVBAGLBSA-N -1 1 344.393 -0.095 20 0 EBADMM C[C@H]1COCC[C@@H]1C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000285733817 419336115 /nfs/dbraw/zinc/33/61/15/419336115.db2.gz VKLWDJUIZRBHMR-VHSXEESVSA-N -1 1 331.394 -0.965 20 0 EBADMM C[C@@H]1CO[C@@H](C)CN1S(=O)(=O)[N-]c1ccn(CC(=O)N(C)C)n1 ZINC000340835756 419384646 /nfs/dbraw/zinc/38/46/46/419384646.db2.gz YFPFWXYNENMMAR-MNOVXSKESA-N -1 1 345.425 -0.263 20 0 EBADMM C[C@@H](Cc1ccccn1)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000655650842 419472188 /nfs/dbraw/zinc/47/21/88/419472188.db2.gz IGPDADSXVASVQD-JTQLQIEISA-N -1 1 338.389 -0.612 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCOC[C@H]2CC(=O)OC)co1 ZINC000377162988 419747060 /nfs/dbraw/zinc/74/70/60/419747060.db2.gz XAUCYOMUOLFSGE-SNVBAGLBSA-N -1 1 346.361 -0.408 20 0 EBADMM CNC(=O)[C@H](CO)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645652193 419787222 /nfs/dbraw/zinc/78/72/22/419787222.db2.gz URLJGFKWUAAXSK-ZETCQYMHSA-N -1 1 327.284 -0.514 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCN3C(=O)OC[C@@H]3C2)co1 ZINC000494059350 420581770 /nfs/dbraw/zinc/58/17/70/420581770.db2.gz RJFJKVNLOUCRKP-VIFPVBQESA-N -1 1 329.334 -0.536 20 0 EBADMM CC(C)(C)OC(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000495429725 420599727 /nfs/dbraw/zinc/59/97/27/420599727.db2.gz MNVMNTAKOVTGNJ-UHFFFAOYSA-N -1 1 334.336 -0.233 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCc3nc(N)ncc3C2)o1 ZINC000514982067 420646428 /nfs/dbraw/zinc/64/64/28/420646428.db2.gz BHAVQHVKSKHUMO-UHFFFAOYSA-N -1 1 337.361 -0.242 20 0 EBADMM C[C@H]1O[C@@H](C)[C@H](C)[C@H]1[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000528545625 420751667 /nfs/dbraw/zinc/75/16/67/420751667.db2.gz ICEPOHGJECJAPZ-WYOJIJJFSA-N -1 1 331.394 -0.826 20 0 EBADMM C[C@@H]1CN(S(=O)(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)C[C@@H](C)O1 ZINC000530143460 420779085 /nfs/dbraw/zinc/77/90/85/420779085.db2.gz WHBWAUOXWARLJH-VXGBXAGGSA-N -1 1 349.453 -0.179 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](CCOC)COC ZINC000336863756 420823502 /nfs/dbraw/zinc/82/35/02/420823502.db2.gz CSSBMGBBOYHTPP-SECBINFHSA-N -1 1 335.382 -0.084 20 0 EBADMM CO[C@H](CS(=O)(=O)[N-][C@H]1CC(=O)N(C(C)C)C1=O)[C@@H]1CCOC1 ZINC000656926418 420904357 /nfs/dbraw/zinc/90/43/57/420904357.db2.gz DTOPOAGUGFQZLM-GRYCIOLGSA-N -1 1 348.421 -0.507 20 0 EBADMM C[C@@H](C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1ccccn1 ZINC000657039495 420912623 /nfs/dbraw/zinc/91/26/23/420912623.db2.gz LHAOLZKMTAUDAW-JTQLQIEISA-N -1 1 338.389 -0.439 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@@H]1[N-]S(=O)(=O)C[C@H]1CCCCO1 ZINC000657099135 420915695 /nfs/dbraw/zinc/91/56/95/420915695.db2.gz FQRACJVVRAHVMI-OUAUKWLOSA-N -1 1 327.424 -0.713 20 0 EBADMM CO[C@H](CC(C)C)CS(=O)(=O)[N-][C@@H]1CS(=O)(=O)C[C@@H]1OC ZINC000657098552 420915946 /nfs/dbraw/zinc/91/59/46/420915946.db2.gz ALUXROKPEYQTMZ-UTUOFQBUSA-N -1 1 343.467 -0.221 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)CN(C)Cc2n[n-]c(=O)o2)C1 ZINC000660852273 421053076 /nfs/dbraw/zinc/05/30/76/421053076.db2.gz QBBUKYQISFDKPD-GFCCVEGCSA-N -1 1 341.412 -0.320 20 0 EBADMM CN(CCCn1c(=O)c2c(ncn2C)n(C)c1=O)Cc1n[n-]c(=O)o1 ZINC000660847679 421054262 /nfs/dbraw/zinc/05/42/62/421054262.db2.gz XKUNCVCUQMKJGQ-UHFFFAOYSA-N -1 1 349.351 -0.956 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]CC(=O)Nc2ccn(C)n2)c1 ZINC000429328938 421251774 /nfs/dbraw/zinc/25/17/74/421251774.db2.gz JOLAQCHZRHZVFM-UHFFFAOYSA-N -1 1 342.333 -0.283 20 0 EBADMM CN(C)c1ccncc1C(=O)N1CCS(=O)(=O)[C@@H](C(=O)[O-])C1 ZINC000424242951 265054849 /nfs/dbraw/zinc/05/48/49/265054849.db2.gz MOMNPCXGACXPDX-LLVKDONJSA-N -1 1 327.362 -0.529 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@H](CO)Cc1ccc(O)cc1 ZINC000427897551 265113178 /nfs/dbraw/zinc/11/31/78/265113178.db2.gz QGNWZFLBCWOFAB-LBPRGKRZSA-N -1 1 345.355 -0.058 20 0 EBADMM CC[C@H]1C(=O)N(CC)CCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000428187714 265121402 /nfs/dbraw/zinc/12/14/02/265121402.db2.gz XRNFWHYMYQEEMD-NSHDSACASA-N -1 1 334.376 -0.014 20 0 EBADMM CCN1CCN(C(=O)CNC(=O)c2ncccc2[O-])C(C)(C)C1=O ZINC000428691985 265127797 /nfs/dbraw/zinc/12/77/97/265127797.db2.gz WFHMKZCRWSNVJH-UHFFFAOYSA-N -1 1 334.376 -0.014 20 0 EBADMM O=C(NC1(c2nn[n-]n2)CC1)c1cccc(CN2C(=O)CNC2=O)c1 ZINC000430324126 265147876 /nfs/dbraw/zinc/14/78/76/265147876.db2.gz FPCVCHFAMRDSMS-UHFFFAOYSA-N -1 1 341.331 -0.329 20 0 EBADMM Cc1c([C@H]2[C@H](C(=O)NC3(c4nn[n-]n4)CC3)CC(=O)N2C)cnn1C ZINC000432145425 265171910 /nfs/dbraw/zinc/17/19/10/265171910.db2.gz SEKVCGKJVUMVLI-BXKDBHETSA-N -1 1 344.379 -0.433 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2c(C)[nH]c(=O)[nH]c2=O)o1 ZINC000440362352 265286304 /nfs/dbraw/zinc/28/63/04/265286304.db2.gz FCQPDYQMXQSURY-UHFFFAOYSA-N -1 1 328.306 -0.050 20 0 EBADMM CNC(=O)[C@H](CO)[N-]S(=O)(=O)CC1(C(=O)OC)CCCCC1 ZINC000489631812 265502711 /nfs/dbraw/zinc/50/27/11/265502711.db2.gz UTSSSFZMVUMDBE-JTQLQIEISA-N -1 1 336.410 -0.864 20 0 EBADMM NC(=O)c1cc(C[N-]S(=O)(=O)c2cc(Cl)cnc2N)on1 ZINC000443757829 272842491 /nfs/dbraw/zinc/84/24/91/272842491.db2.gz PIXKIEAZXALBGD-UHFFFAOYSA-N -1 1 331.741 -0.117 20 0 EBADMM C[C@@H]1C[C@H](C)CN(C(=O)CN2Cc3n[nH]c(=O)n3C[C@@H]2C(=O)[O-])C1 ZINC000333605734 297353439 /nfs/dbraw/zinc/35/34/39/297353439.db2.gz RDUHJXBKZDOTQH-OUAUKWLOSA-N -1 1 337.380 -0.243 20 0 EBADMM C[NH+]1CCN(CC(=O)NCCNS(=O)(=O)c2cccs2)CC1 ZINC000126257505 545729823 /nfs/dbraw/zinc/72/98/23/545729823.db2.gz BSYSAIMTFPSNLD-UHFFFAOYSA-N -1 1 346.478 -0.610 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCOC[C@H]2CCOC2)co1 ZINC000677306976 546228773 /nfs/dbraw/zinc/22/87/73/546228773.db2.gz RRAHUBYVUUHLJQ-JTQLQIEISA-N -1 1 332.378 -0.029 20 0 EBADMM C[C@@H]1C[C@H](C)C[C@@]2(C1)NC(=O)N(CC(=O)NCc1nn[n-]n1)C2=O ZINC000677424993 546229996 /nfs/dbraw/zinc/22/99/96/546229996.db2.gz RXSODHUPAIWQHN-ICCCGROCSA-N -1 1 335.368 -0.437 20 0 EBADMM O=C(CCN1CCCS1(=O)=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000677793160 546235772 /nfs/dbraw/zinc/23/57/72/546235772.db2.gz OPDDJTJKRUZFDL-JTQLQIEISA-N -1 1 328.398 -0.669 20 0 EBADMM CC(C)[C@H](NS(C)(=O)=O)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000677794087 546235968 /nfs/dbraw/zinc/23/59/68/546235968.db2.gz YRCUSNPMRUQKRT-UWVGGRQHSA-N -1 1 330.414 -0.521 20 0 EBADMM O=C(Cn1nnc2ccccc2c1=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000677929112 546242222 /nfs/dbraw/zinc/24/22/22/546242222.db2.gz QAIZYYKGJRLXKX-JTQLQIEISA-N -1 1 340.347 -0.289 20 0 EBADMM O=C(Cn1nnc2ccccc2c1=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000677929113 546242290 /nfs/dbraw/zinc/24/22/90/546242290.db2.gz QAIZYYKGJRLXKX-SNVBAGLBSA-N -1 1 340.347 -0.289 20 0 EBADMM Cc1nc(CN2CCN(Cc3cc(=O)n4[n-]ccc4n3)CC2)n[nH]1 ZINC000678888743 546263282 /nfs/dbraw/zinc/26/32/82/546263282.db2.gz OUKNKBSKDGLGLU-UHFFFAOYSA-N -1 1 328.380 -0.233 20 0 EBADMM O=C(CCNC(=O)c1ccc([O-])c(F)c1)N[C@H]1CCS(=O)(=O)C1 ZINC000681017650 546297026 /nfs/dbraw/zinc/29/70/26/546297026.db2.gz KMSUWDLHVNVSFG-JTQLQIEISA-N -1 1 344.364 -0.046 20 0 EBADMM CN(C)S(=O)(=O)c1ccc([O-])c(C(=O)NCc2c[nH]nn2)c1 ZINC000681052011 546297875 /nfs/dbraw/zinc/29/78/75/546297875.db2.gz LWZBMCWIOPJJEM-UHFFFAOYSA-N -1 1 325.350 -0.309 20 0 EBADMM CNC(=O)[C@@H](O)C[N-]S(=O)(=O)c1ncccc1Br ZINC000849389628 582576030 /nfs/dbraw/zinc/57/60/30/582576030.db2.gz AUHADWPZFXTZTH-ZETCQYMHSA-N -1 1 338.183 -0.771 20 0 EBADMM O=C1CN(NC(=S)NCCc2ccc3c(c2)OCCO3)C(=O)[N-]1 ZINC000726745079 582620237 /nfs/dbraw/zinc/62/02/37/582620237.db2.gz VCLWTCGFZDMBHM-UHFFFAOYSA-N -1 1 336.373 -0.069 20 0 EBADMM CN(CCCNC(=O)CCn1cc[n-]c(=O)c1=O)CC(F)(F)F ZINC000726871273 582623662 /nfs/dbraw/zinc/62/36/62/582623662.db2.gz LKQDJMXIIKTNSL-UHFFFAOYSA-N -1 1 336.314 -0.073 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1cccnc1-n1cncn1 ZINC000727756759 582656460 /nfs/dbraw/zinc/65/64/60/582656460.db2.gz ARWUUSBEEDLNHS-UHFFFAOYSA-N -1 1 327.304 -0.459 20 0 EBADMM Cc1cccc(OC[C@@H](O)CNC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000727851684 582661399 /nfs/dbraw/zinc/66/13/99/582661399.db2.gz JFPPHOCEEVCPHJ-ZDUSSCGKSA-N -1 1 347.371 -0.209 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)O[C@H](C)C(=O)NC(N)=O ZINC000729289134 582690244 /nfs/dbraw/zinc/69/02/44/582690244.db2.gz XNUQLVWZDXYQNF-MRVPVSSYSA-N -1 1 335.320 -0.279 20 0 EBADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OCCN1C(=O)CNC1=O ZINC000729702999 582700394 /nfs/dbraw/zinc/70/03/94/582700394.db2.gz BQZBYARCKXMJDP-UHFFFAOYSA-N -1 1 347.374 -0.172 20 0 EBADMM COC[C@@]1(C(=O)[N-]S(=O)(=O)CCc2ccccc2)CNCCO1 ZINC000901022577 582789526 /nfs/dbraw/zinc/78/95/26/582789526.db2.gz FCJFIDGUVGJCOU-OAHLLOKOSA-N -1 1 342.417 -0.320 20 0 EBADMM CC[C@@H](C)[C@@H](C(=O)[O-])N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000903594828 582814026 /nfs/dbraw/zinc/81/40/26/582814026.db2.gz HBDXIMYJOVZRPH-OLZOCXBDSA-N -1 1 349.453 -0.320 20 0 EBADMM O=C(Cn1c(=O)cnc2ccccc21)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000731854733 582840993 /nfs/dbraw/zinc/84/09/93/582840993.db2.gz BTEXNVPLLNDTDG-GFCCVEGCSA-N -1 1 341.331 -0.490 20 0 EBADMM COCCOc1ncccc1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000731855297 582841483 /nfs/dbraw/zinc/84/14/83/582841483.db2.gz YHLHCJDEKJHMFK-LLVKDONJSA-N -1 1 334.336 -0.166 20 0 EBADMM COc1cc(C=O)ccc1OCC(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000732090867 582844281 /nfs/dbraw/zinc/84/42/81/582844281.db2.gz OTJLOCIOIYDABD-CYBMUJFWSA-N -1 1 347.331 0.000 20 0 EBADMM COC(=O)COc1cccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c1 ZINC000732089238 582844303 /nfs/dbraw/zinc/84/43/03/582844303.db2.gz MAQGCHIGBJGUKI-LBPRGKRZSA-N -1 1 347.331 -0.035 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)ccc1-n1cnnn1 ZINC000732089909 582844331 /nfs/dbraw/zinc/84/43/31/582844331.db2.gz OQDNDQVJUBLVME-GFCCVEGCSA-N -1 1 341.335 -0.302 20 0 EBADMM COc1cccc(C=C(C(=O)NCc2nn[n-]n2)n2nnnc2C)c1 ZINC000732157986 582845367 /nfs/dbraw/zinc/84/53/67/582845367.db2.gz OBMNYWXZNITVOO-GHXNOFRVSA-N -1 1 341.335 -0.182 20 0 EBADMM CCN(CC)C(=O)[C@H]1CCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000733154026 582856198 /nfs/dbraw/zinc/85/61/98/582856198.db2.gz FCGOJZLMTOMMBS-GFCCVEGCSA-N -1 1 336.392 -0.214 20 0 EBADMM C[C@@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000733275125 582859160 /nfs/dbraw/zinc/85/91/60/582859160.db2.gz GFEIABDEHBRORP-UWVGGRQHSA-N -1 1 331.394 -0.793 20 0 EBADMM CN(C)C(=O)[C@H]1CCC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000734145818 582869728 /nfs/dbraw/zinc/86/97/28/582869728.db2.gz NSUUQZRIHCQOFU-NWDGAFQWSA-N -1 1 336.392 -0.310 20 0 EBADMM Cn1cc(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)c(=O)n(C)c1=O ZINC000735150015 582890158 /nfs/dbraw/zinc/89/01/58/582890158.db2.gz MKOAVFJMNXPBSI-UHFFFAOYSA-N -1 1 345.311 -0.803 20 0 EBADMM C[C@@H]1C(=O)NCCN1S(=O)(=O)c1ccc(F)c(-c2nn[n-]n2)c1 ZINC000736227434 582925880 /nfs/dbraw/zinc/92/58/80/582925880.db2.gz DIXNXEMAUWWPCD-SSDOTTSWSA-N -1 1 340.340 -0.485 20 0 EBADMM CC(C)N1CCO[C@H](CN2CCN(C(=O)[C@@H]3C[C@@H]3C(=O)[O-])CC2)C1 ZINC000736473405 582935395 /nfs/dbraw/zinc/93/53/95/582935395.db2.gz DTMDBUZWGGGARM-KFWWJZLASA-N -1 1 339.436 -0.040 20 0 EBADMM CCn1cc(-c2nn[n-]n2)c(=O)n(CCn2nc(C)cc2C)c1=O ZINC000736712827 582951078 /nfs/dbraw/zinc/95/10/78/582951078.db2.gz FERIMTCWZSDFLN-UHFFFAOYSA-N -1 1 330.352 -0.276 20 0 EBADMM CNC(=O)CN(C)S(=O)(=O)c1ccc(F)c(-c2nn[n-]n2)c1 ZINC000736873870 582967143 /nfs/dbraw/zinc/96/71/43/582967143.db2.gz NPFHXWSOLRRQGV-UHFFFAOYSA-N -1 1 328.329 -0.628 20 0 EBADMM CC[C@H](C)[C@H](NC(=O)CN1CCN(C[C@H](C)O)[C@H](C)C1)C(=O)[O-] ZINC000736886943 582969495 /nfs/dbraw/zinc/96/94/95/582969495.db2.gz QGPROAMYDIMUMR-XFMPKHEZSA-N -1 1 329.441 -0.011 20 0 EBADMM COC1CCC(N(C)c2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)CC1 ZINC000736961986 582977894 /nfs/dbraw/zinc/97/78/94/582977894.db2.gz NCEIXAOFWMPYMV-UHFFFAOYSA-N -1 1 349.395 -0.342 20 0 EBADMM COc1ccnc(N2CCN(c3nccnc3-c3nnn[n-]3)CC2)n1 ZINC000737158208 582993210 /nfs/dbraw/zinc/99/32/10/582993210.db2.gz AGQZGDBYFZSTGW-UHFFFAOYSA-N -1 1 340.351 -0.218 20 0 EBADMM COc1ccnc(N2CCN(c3nccnc3-c3nn[n-]n3)CC2)n1 ZINC000737158208 582993212 /nfs/dbraw/zinc/99/32/12/582993212.db2.gz AGQZGDBYFZSTGW-UHFFFAOYSA-N -1 1 340.351 -0.218 20 0 EBADMM Cc1nc(CN2CCN(c3nccnc3-c3nnn[n-]3)CC2)no1 ZINC000737477719 583009245 /nfs/dbraw/zinc/00/92/45/583009245.db2.gz MVHUXDXXABKMQK-UHFFFAOYSA-N -1 1 328.340 -0.330 20 0 EBADMM Cc1nc(CN2CCN(c3nccnc3-c3nn[n-]n3)CC2)no1 ZINC000737477719 583009247 /nfs/dbraw/zinc/00/92/47/583009247.db2.gz MVHUXDXXABKMQK-UHFFFAOYSA-N -1 1 328.340 -0.330 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NCC2CCSCC2)n(C)c1=O ZINC000737598052 583018995 /nfs/dbraw/zinc/01/89/95/583018995.db2.gz WLQHTALYQVLUFY-UHFFFAOYSA-N -1 1 337.409 -0.181 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NCC(=O)OC(C)(C)C)n(C)c1=O ZINC000737596470 583019055 /nfs/dbraw/zinc/01/90/55/583019055.db2.gz NWXXLXMHAKDOHJ-UHFFFAOYSA-N -1 1 337.340 -0.982 20 0 EBADMM Cn1cc(CCCNc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)cn1 ZINC000737601479 583019358 /nfs/dbraw/zinc/01/93/58/583019358.db2.gz JTWFMPMMJBFCCE-UHFFFAOYSA-N -1 1 345.367 -0.958 20 0 EBADMM Cn1nnnc1N1CCN(c2oc(C3CC3)nc2-c2nn[n-]n2)CC1 ZINC000737628434 583023714 /nfs/dbraw/zinc/02/37/14/583023714.db2.gz VUCRVUDLOTZHPU-UHFFFAOYSA-N -1 1 343.355 -0.418 20 0 EBADMM O=C([C@H]1CCCN(c2cnc(-c3nnn[n-]3)cn2)C1)N1CCOCC1 ZINC000737791861 583027559 /nfs/dbraw/zinc/02/75/59/583027559.db2.gz MADRDKWJYOYKPR-NSHDSACASA-N -1 1 344.379 -0.268 20 0 EBADMM O=C([C@H]1CCCN(c2cnc(-c3nn[n-]n3)cn2)C1)N1CCOCC1 ZINC000737791861 583027561 /nfs/dbraw/zinc/02/75/61/583027561.db2.gz MADRDKWJYOYKPR-NSHDSACASA-N -1 1 344.379 -0.268 20 0 EBADMM O=C(c1cccc(-c2nn[nH]n2)c1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000738269705 583046614 /nfs/dbraw/zinc/04/66/14/583046614.db2.gz QDAUBQCSFSZVAZ-JTQLQIEISA-N -1 1 327.308 -0.407 20 0 EBADMM Cc1c(NC(=O)C(=O)NC[C@H]2CN(C)CCN2C)cccc1C(=O)[O-] ZINC000738301425 583048114 /nfs/dbraw/zinc/04/81/14/583048114.db2.gz ILKFOQQARJFFBM-LBPRGKRZSA-N -1 1 348.403 -0.006 20 0 EBADMM O=C(CNC(=O)c1cccc(O)c1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000788150255 583068481 /nfs/dbraw/zinc/06/84/81/583068481.db2.gz TYONQFYJKYMDHL-NSHDSACASA-N -1 1 348.388 -0.048 20 0 EBADMM CCOC(=O)[C@@H]1CN(C(=O)c2ccc(S(=O)(=O)[N-]C)o2)CCO1 ZINC000741221664 583070380 /nfs/dbraw/zinc/07/03/80/583070380.db2.gz QWCJLJJSSKXKCW-JTQLQIEISA-N -1 1 346.361 -0.408 20 0 EBADMM COC(=O)[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)c1ccccc1 ZINC000742119428 583076016 /nfs/dbraw/zinc/07/60/16/583076016.db2.gz RKHXFCBLEPXJTQ-CYBMUJFWSA-N -1 1 345.355 0.000 20 0 EBADMM O=C([N-][C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)C(F)(F)C(F)F ZINC000749366302 583128473 /nfs/dbraw/zinc/12/84/73/583128473.db2.gz XHLUSANEGDFFKD-HTQZYQBOSA-N -1 1 348.318 -0.499 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H](COC)Cn2cncn2)co1 ZINC000756618647 583185050 /nfs/dbraw/zinc/18/50/50/583185050.db2.gz UAOULBGQIIKOKW-SNVBAGLBSA-N -1 1 344.349 -0.349 20 0 EBADMM CNC(=O)[C@H](O)C[N-]S(=O)(=O)c1sccc1Br ZINC000757728958 583195721 /nfs/dbraw/zinc/19/57/21/583195721.db2.gz SOBMQHSASMHLGB-ZCFIWIBFSA-N -1 1 343.224 -0.104 20 0 EBADMM C[C@@H](NS(C)(=O)=O)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000758489514 583203719 /nfs/dbraw/zinc/20/37/19/583203719.db2.gz RPLYYFDQQSQHKE-SECBINFHSA-N -1 1 328.346 -0.576 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H](O)c1ccccn1 ZINC000758513684 583204776 /nfs/dbraw/zinc/20/47/76/583204776.db2.gz GGZFUYYOSLJONO-LLVKDONJSA-N -1 1 340.361 -0.007 20 0 EBADMM CCNS(=O)(=O)c1ccc(CC(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000759504341 583213830 /nfs/dbraw/zinc/21/38/30/583213830.db2.gz NDGHGNJQMUKNKI-UHFFFAOYSA-N -1 1 340.361 -0.890 20 0 EBADMM CN(C)CCN(CC(=O)[O-])S(=O)(=O)c1cccc2nonc21 ZINC000760100726 583224730 /nfs/dbraw/zinc/22/47/30/583224730.db2.gz OCQAHAKWFRISGH-UHFFFAOYSA-N -1 1 328.350 -0.140 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(c2ncccc2F)CC1 ZINC000762228999 583245642 /nfs/dbraw/zinc/24/56/42/583245642.db2.gz DTMHSDOBQNLDFG-UHFFFAOYSA-N -1 1 347.350 -0.190 20 0 EBADMM CSc1nnnn1-c1cccc(NC(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000770166363 583333288 /nfs/dbraw/zinc/33/32/88/583333288.db2.gz IDVJPMCUXXFYOC-UHFFFAOYSA-N -1 1 348.348 -0.028 20 0 EBADMM Cc1ccc(S(=O)(=O)N[C@@H](C)C(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000770954922 583343933 /nfs/dbraw/zinc/34/39/33/583343933.db2.gz MRSPHQKUZSWSBD-VIFPVBQESA-N -1 1 340.361 -0.755 20 0 EBADMM Cn1ccnc1-c1cc(NC(=O)CCn2cc[n-]c(=O)c2=O)n[nH]1 ZINC000772495309 583360753 /nfs/dbraw/zinc/36/07/53/583360753.db2.gz DSZAPFISLLCNLY-UHFFFAOYSA-N -1 1 329.320 -0.311 20 0 EBADMM CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-]CC(=O)N(C)CC ZINC000774900414 583392040 /nfs/dbraw/zinc/39/20/40/583392040.db2.gz ULDJEBSCYYOFBB-UHFFFAOYSA-N -1 1 332.382 -0.349 20 0 EBADMM CCN1CCOC[C@H]1C(=O)OCCc1c(C)nc2nc[n-]n2c1=O ZINC000777355833 583412714 /nfs/dbraw/zinc/41/27/14/583412714.db2.gz QPGXDFHMURJAFG-LBPRGKRZSA-N -1 1 335.364 -0.468 20 0 EBADMM Cc1cnc(COC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)o1 ZINC000786198503 583507023 /nfs/dbraw/zinc/50/70/23/583507023.db2.gz SOFMEUUKAJDXKL-UHFFFAOYSA-N -1 1 343.317 -0.303 20 0 EBADMM CC(C)(C)C(=O)COC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000786198371 583507037 /nfs/dbraw/zinc/50/70/37/583507037.db2.gz QSNJCGUWPPYJLC-UHFFFAOYSA-N -1 1 346.361 -0.185 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)OCC2CCOCC2)o1 ZINC000786196632 583507158 /nfs/dbraw/zinc/50/71/58/583507158.db2.gz HPDAOVKYIJAZDF-UHFFFAOYSA-N -1 1 346.361 -0.373 20 0 EBADMM CN(C)c1nc(C(=O)O[C@H]2CCN(c3cnn(C)c3)C2=O)cc(=O)[n-]1 ZINC000786496975 583510464 /nfs/dbraw/zinc/51/04/64/583510464.db2.gz MEJKESRNVZLTEU-NSHDSACASA-N -1 1 346.347 -0.056 20 0 EBADMM Cn1cc(C[C@@H](O)C(=O)OCC(=O)[N-]C(=O)c2ccccc2)cn1 ZINC000786862992 583513920 /nfs/dbraw/zinc/51/39/20/583513920.db2.gz ZTHINNBLBROOGW-CYBMUJFWSA-N -1 1 331.328 -0.177 20 0 EBADMM CN1C[C@H](C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c2ccccc2C1=O ZINC000787338210 583518178 /nfs/dbraw/zinc/51/81/78/583518178.db2.gz XGNZXMVVMSOKBX-STQMWFEESA-N -1 1 342.359 -0.031 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC(Oc2cnccn2)CC1 ZINC000787599830 583519628 /nfs/dbraw/zinc/51/96/28/583519628.db2.gz XPSYOUCNQBTLJW-UHFFFAOYSA-N -1 1 345.359 -0.213 20 0 EBADMM O=C([C@@H]1CCN(CC(F)(F)F)C1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000792151013 583557099 /nfs/dbraw/zinc/55/70/99/583557099.db2.gz TWFZKFNWXLAJPC-BDAKNGLRSA-N -1 1 334.302 -0.016 20 0 EBADMM CNC(=O)[C@H](CO)[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000795157014 583588101 /nfs/dbraw/zinc/58/81/01/583588101.db2.gz JJMZSGUKAUAJEJ-LURJTMIESA-N -1 1 328.177 -0.226 20 0 EBADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-]CC(=O)NCC(F)F ZINC000795408990 583589204 /nfs/dbraw/zinc/58/92/04/583589204.db2.gz UZGVGSMXXCMGIR-UHFFFAOYSA-N -1 1 340.308 -0.836 20 0 EBADMM COC(=O)c1ccc(C(=O)OCC(=O)N[C@@H](C)C(=O)N(C)C)[n-]1 ZINC000796363669 583595640 /nfs/dbraw/zinc/59/56/40/583595640.db2.gz VOJROGSIZKUCCW-QMMMGPOBSA-N -1 1 325.321 -0.449 20 0 EBADMM COC(=O)[C@@H]1CCC[C@H](C(=O)N2CCC(O)(c3nn[n-]n3)CC2)C1 ZINC000798269995 583603636 /nfs/dbraw/zinc/60/36/36/583603636.db2.gz LFUDYWVMAJTFQL-WDEREUQCSA-N -1 1 337.380 -0.011 20 0 EBADMM CC(=O)Nc1nnc(S(=O)(=O)[N-]C(=O)[C@@H](C(C)C)N(C)C)s1 ZINC000798846050 583608241 /nfs/dbraw/zinc/60/82/41/583608241.db2.gz SABIISZOXFOIRH-MRVPVSSYSA-N -1 1 349.438 -0.112 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC(=O)c2cnnn2C)o1 ZINC000803277817 583628643 /nfs/dbraw/zinc/62/86/43/583628643.db2.gz FWIGICIWWVGAAI-UHFFFAOYSA-N -1 1 328.306 -0.644 20 0 EBADMM CN(C[C@@H](O)CN1CCOCC1)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806488582 583650285 /nfs/dbraw/zinc/65/02/85/583650285.db2.gz OMMHIFTUSUASDM-GFCCVEGCSA-N -1 1 348.407 -0.501 20 0 EBADMM CN(C)C(=O)COC1CN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000806482572 583650423 /nfs/dbraw/zinc/65/04/23/583650423.db2.gz NYKDJKHXKBCBEH-UHFFFAOYSA-N -1 1 332.364 -0.337 20 0 EBADMM COC(=O)[C@@H]1CCN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000806854645 583655001 /nfs/dbraw/zinc/65/50/01/583655001.db2.gz MDPCVKYYMKZQBX-SECBINFHSA-N -1 1 331.332 -0.698 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCCNC(=O)C(C)(C)C)ccnc1-2 ZINC000806879495 583655156 /nfs/dbraw/zinc/65/51/56/583655156.db2.gz CBMMZLPFVMJBGA-UHFFFAOYSA-N -1 1 346.391 -0.441 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCCC4(C3)OCCO4)ccnc1-2 ZINC000806958656 583656102 /nfs/dbraw/zinc/65/61/02/583656102.db2.gz KWFUPIIZODAIOS-UHFFFAOYSA-N -1 1 345.359 -0.354 20 0 EBADMM COCCO[C@@H]1CCN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000806990578 583656442 /nfs/dbraw/zinc/65/64/42/583656442.db2.gz DLFIZKMTSJRHNU-LLVKDONJSA-N -1 1 347.375 -0.456 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N[C@H](C(N)=O)C(C)(C)C)ccnc1-2 ZINC000807017977 583656703 /nfs/dbraw/zinc/65/67/03/583656703.db2.gz HDPQVXZJTNTYPO-SNVBAGLBSA-N -1 1 332.364 -0.704 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCC[C@@]34CCOC4=O)ccnc1-2 ZINC000807026601 583656988 /nfs/dbraw/zinc/65/69/88/583656988.db2.gz BYSNCXFWRDCHNE-INIZCTEOSA-N -1 1 343.343 -0.412 20 0 EBADMM C[C@H]1[C@H](C)N(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)CCN1C ZINC000807025719 583656993 /nfs/dbraw/zinc/65/69/93/583656993.db2.gz XXOZAGCJXQBVRI-QWRGUYRKSA-N -1 1 330.392 -0.169 20 0 EBADMM COC(=O)[C@@H]1CCN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)[C@@H]1C ZINC000807029312 583657014 /nfs/dbraw/zinc/65/70/14/583657014.db2.gz CVVWKCLLARUICE-NXEZZACHSA-N -1 1 345.359 -0.310 20 0 EBADMM CCCCOc1ccc(NC(=O)C(=O)NN2CC(=O)[N-]C2=O)cn1 ZINC000807061828 583657570 /nfs/dbraw/zinc/65/75/70/583657570.db2.gz FCTDCFFJMMILNH-UHFFFAOYSA-N -1 1 335.320 -0.218 20 0 EBADMM CNC(=O)[C@]1(C)CCN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000807071220 583657603 /nfs/dbraw/zinc/65/76/03/583657603.db2.gz BATFNULDRYNVJO-MRXNPFEDSA-N -1 1 344.375 -0.735 20 0 EBADMM Nc1nc(N)nc(CN2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000810068321 583682186 /nfs/dbraw/zinc/68/21/86/583682186.db2.gz MQWNRIDKVDQEDY-ZETCQYMHSA-N -1 1 333.318 -0.074 20 0 EBADMM CCS(=O)(=O)CCS(=O)(=O)CCC[N-]C(=O)C(F)(F)F ZINC000812453519 583695808 /nfs/dbraw/zinc/69/58/08/583695808.db2.gz ZZFYGYVUAMUPMM-UHFFFAOYSA-N -1 1 339.357 -0.096 20 0 EBADMM CN(C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@@H]1CC(C)(C)OC1=O ZINC000815760331 583712151 /nfs/dbraw/zinc/71/21/51/583712151.db2.gz MFGHRXKPXYWXSF-LLVKDONJSA-N -1 1 345.359 -0.167 20 0 EBADMM Cc1ccc(NC(=O)CN2CC[C@H](C(=O)[O-])C2)cc1S(N)(=O)=O ZINC000818905139 583753016 /nfs/dbraw/zinc/75/30/16/583753016.db2.gz YBIZUBBZEFMMAB-JTQLQIEISA-N -1 1 341.389 -0.013 20 0 EBADMM NS(=O)(=O)c1cccc(NC(=O)CN2CC[C@@H](C(=O)[O-])C2)c1 ZINC000819130948 583755568 /nfs/dbraw/zinc/75/55/68/583755568.db2.gz RUBJKAYTJBRMDX-SECBINFHSA-N -1 1 327.362 -0.321 20 0 EBADMM O=Cc1ccccc1OCC(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000819619546 583759348 /nfs/dbraw/zinc/75/93/48/583759348.db2.gz CWRNQRAWYSQWHN-UHFFFAOYSA-N -1 1 331.332 -0.099 20 0 EBADMM C[C@@H](CO[C@@H]1CCOC1)NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820287562 583770644 /nfs/dbraw/zinc/77/06/44/583770644.db2.gz NQVVMTSZBCYAPI-DTWKUNHWSA-N -1 1 336.356 -0.084 20 0 EBADMM C[C@@H](CO[C@@H]1CCOC1)NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820287562 583770646 /nfs/dbraw/zinc/77/06/46/583770646.db2.gz NQVVMTSZBCYAPI-DTWKUNHWSA-N -1 1 336.356 -0.084 20 0 EBADMM C[C@H](CO[C@H]1CCOC1)NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820287561 583770695 /nfs/dbraw/zinc/77/06/95/583770695.db2.gz NQVVMTSZBCYAPI-BDAKNGLRSA-N -1 1 336.356 -0.084 20 0 EBADMM C[C@H](CO[C@H]1CCOC1)NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820287561 583770696 /nfs/dbraw/zinc/77/06/96/583770696.db2.gz NQVVMTSZBCYAPI-BDAKNGLRSA-N -1 1 336.356 -0.084 20 0 EBADMM C[C@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)[C@H](O)c1ccc(F)cc1 ZINC000820334734 583771863 /nfs/dbraw/zinc/77/18/63/583771863.db2.gz PGFNGVNKTKZXAP-UFBFGSQYSA-N -1 1 346.326 -0.164 20 0 EBADMM C[C@H](O)CC(C)(C)CNc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000820474256 583773551 /nfs/dbraw/zinc/77/35/51/583773551.db2.gz QFZBHMDZCFTXMT-QMMMGPOBSA-N -1 1 337.384 -0.527 20 0 EBADMM CC[C@H](C[C@@H](C)CO)Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000820882558 583782546 /nfs/dbraw/zinc/78/25/46/583782546.db2.gz TULAQEPKNARQFA-RKDXNWHRSA-N -1 1 337.384 -0.527 20 0 EBADMM CC[C@@H](CCO)CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820902562 583782776 /nfs/dbraw/zinc/78/27/76/583782776.db2.gz MTLAHQMPVMBILN-VIFPVBQESA-N -1 1 326.382 -0.051 20 0 EBADMM CC[C@@H](CCO)CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820902562 583782778 /nfs/dbraw/zinc/78/27/78/583782778.db2.gz MTLAHQMPVMBILN-VIFPVBQESA-N -1 1 326.382 -0.051 20 0 EBADMM CCOC(=O)CN1CCN(c2nccnc2-c2nnn[n-]2)C[C@@H]1C ZINC000821330753 583788781 /nfs/dbraw/zinc/78/87/81/583788781.db2.gz QKNMIOBIXURTJT-JTQLQIEISA-N -1 1 332.368 -0.270 20 0 EBADMM CCOC(=O)CN1CCN(c2nccnc2-c2nn[n-]n2)C[C@@H]1C ZINC000821330753 583788784 /nfs/dbraw/zinc/78/87/84/583788784.db2.gz QKNMIOBIXURTJT-JTQLQIEISA-N -1 1 332.368 -0.270 20 0 EBADMM CCOC(=O)CCN(C(=O)Cn1cnc(-c2nn[n-]n2)n1)C1CC1 ZINC000821326422 583788915 /nfs/dbraw/zinc/78/89/15/583788915.db2.gz XEHXVRHSXURJEA-UHFFFAOYSA-N -1 1 334.340 -0.598 20 0 EBADMM CCOC(=O)c1ccc(CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)o1 ZINC000821343483 583789125 /nfs/dbraw/zinc/78/91/25/583789125.db2.gz OHYISJFRHNNPIL-UHFFFAOYSA-N -1 1 346.307 -0.456 20 0 EBADMM COc1cccc([S@](=O)CC(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000821352644 583789481 /nfs/dbraw/zinc/78/94/81/583789481.db2.gz LRGOOSMBVBJQQT-JOCHJYFZSA-N -1 1 325.346 -0.032 20 0 EBADMM CCc1[nH]n(C)c2nnc(NC(=O)Cn3cnc(-c4nn[n-]n4)n3)c1-2 ZINC000821517143 583792169 /nfs/dbraw/zinc/79/21/69/583792169.db2.gz GPHCWUZZOXCUBZ-UHFFFAOYSA-N -1 1 342.327 -0.731 20 0 EBADMM CN(C)C(=O)COC1CN(C(=O)c2ccc(-c3nnn[n-]3)s2)C1 ZINC000821578320 583793771 /nfs/dbraw/zinc/79/37/71/583793771.db2.gz YIDHKGBVRNMPNK-UHFFFAOYSA-N -1 1 336.377 -0.143 20 0 EBADMM CN(C)C(=O)COC1CN(C(=O)c2ccc(-c3nn[n-]n3)s2)C1 ZINC000821578320 583793772 /nfs/dbraw/zinc/79/37/72/583793772.db2.gz YIDHKGBVRNMPNK-UHFFFAOYSA-N -1 1 336.377 -0.143 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nn[n-]n1)c1nccn2cnnc12 ZINC000821601631 583794834 /nfs/dbraw/zinc/79/48/34/583794834.db2.gz RDAUOUKQCLZTNR-UHFFFAOYSA-N -1 1 340.355 -0.321 20 0 EBADMM CN1CCN(S(=O)(=O)c2ccc(F)cc2-c2nn[n-]n2)CC1 ZINC000821628845 583797193 /nfs/dbraw/zinc/79/71/93/583797193.db2.gz SBVJMRLWOAOBRS-UHFFFAOYSA-N -1 1 326.357 -0.058 20 0 EBADMM CNC(=O)[C@@H]1CCCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000821631420 583797713 /nfs/dbraw/zinc/79/77/13/583797713.db2.gz IUFKTCHUUPKADA-MRVPVSSYSA-N -1 1 333.356 -0.410 20 0 EBADMM CNC(=O)[C@@H]1CCCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000821631420 583797715 /nfs/dbraw/zinc/79/77/15/583797715.db2.gz IUFKTCHUUPKADA-MRVPVSSYSA-N -1 1 333.356 -0.410 20 0 EBADMM COC(=O)[C@@H](C)CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821638525 583798508 /nfs/dbraw/zinc/79/85/08/583798508.db2.gz AKDNALFQWXRSFN-ZETCQYMHSA-N -1 1 326.338 -0.651 20 0 EBADMM COC(=O)[C@@H](C)CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821638525 583798510 /nfs/dbraw/zinc/79/85/10/583798510.db2.gz AKDNALFQWXRSFN-ZETCQYMHSA-N -1 1 326.338 -0.651 20 0 EBADMM COC(=O)Cc1ccc(NC(=O)Cn2cnc(-c3nn[n-]n3)n2)cc1 ZINC000821663552 583800008 /nfs/dbraw/zinc/80/00/08/583800008.db2.gz CGCSGIGVKHKHIY-UHFFFAOYSA-N -1 1 342.319 -0.188 20 0 EBADMM COC[C@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)c1ccccc1 ZINC000821683007 583801152 /nfs/dbraw/zinc/80/11/52/583801152.db2.gz SNEAFMGYRRZSAK-NSHDSACASA-N -1 1 328.336 -0.038 20 0 EBADMM COCCN(CC(C)C)c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000821688982 583801628 /nfs/dbraw/zinc/80/16/28/583801628.db2.gz GOUCBSCLMBREFH-UHFFFAOYSA-N -1 1 337.384 -0.627 20 0 EBADMM Cc1nc2n(n1)C[C@H](NC(=O)Nc1nn(C)cc1-c1nnn[n-]1)CC2 ZINC000822464016 583811357 /nfs/dbraw/zinc/81/13/57/583811357.db2.gz YGOPSDHKVVPMLD-MRVPVSSYSA-N -1 1 343.355 -0.363 20 0 EBADMM Cc1nc2n(n1)C[C@H](NC(=O)Nc1nn(C)cc1-c1nn[n-]n1)CC2 ZINC000822464016 583811358 /nfs/dbraw/zinc/81/13/58/583811358.db2.gz YGOPSDHKVVPMLD-MRVPVSSYSA-N -1 1 343.355 -0.363 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N[C@@H]2CCCc3c[nH]nc32)n(C)c1=O ZINC000822599270 583813248 /nfs/dbraw/zinc/81/32/48/583813248.db2.gz CCVNREJUBMHSDK-MRVPVSSYSA-N -1 1 343.351 -0.523 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N[C@@H]2CCC[C@H]3OCC[C@@H]32)n(C)c1=O ZINC000822600052 583813259 /nfs/dbraw/zinc/81/32/59/583813259.db2.gz JBAZESMHYDAGPS-OPRDCNLKSA-N -1 1 347.379 -0.366 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NCCCc2ccccn2)n(C)c1=O ZINC000822599249 583813292 /nfs/dbraw/zinc/81/32/92/583813292.db2.gz CBMUCHDFRMYGGX-UHFFFAOYSA-N -1 1 342.363 -0.296 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NCc2ccc(F)cn2)n(C)c1=O ZINC000822600401 583813947 /nfs/dbraw/zinc/81/39/47/583813947.db2.gz NFPLEMIVSYJUSN-UHFFFAOYSA-N -1 1 332.299 -0.590 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCC(=O)Nc2cccnc2)n1 ZINC000822614053 583814155 /nfs/dbraw/zinc/81/41/55/583814155.db2.gz JIVDWLKMEBPRDP-UHFFFAOYSA-N -1 1 342.323 -0.245 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCC(=O)Nc2cccnc2)n1 ZINC000822614053 583814157 /nfs/dbraw/zinc/81/41/57/583814157.db2.gz JIVDWLKMEBPRDP-UHFFFAOYSA-N -1 1 342.323 -0.245 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CCC3(CC2)OCCO3)n1 ZINC000822610048 583814162 /nfs/dbraw/zinc/81/41/62/583814162.db2.gz ZXBUGLKLHQFATG-UHFFFAOYSA-N -1 1 334.340 -0.029 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CCC3(CC2)OCCO3)n1 ZINC000822610048 583814165 /nfs/dbraw/zinc/81/41/65/583814165.db2.gz ZXBUGLKLHQFATG-UHFFFAOYSA-N -1 1 334.340 -0.029 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCc2ccc(C(N)=O)o2)n1 ZINC000822620531 583814675 /nfs/dbraw/zinc/81/46/75/583814675.db2.gz VMBSHDYSNHZVOX-UHFFFAOYSA-N -1 1 331.296 -0.386 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCc2ccc(C(N)=O)o2)n1 ZINC000822620531 583814679 /nfs/dbraw/zinc/81/46/79/583814679.db2.gz VMBSHDYSNHZVOX-UHFFFAOYSA-N -1 1 331.296 -0.386 20 0 EBADMM NC(=O)C[C@H](NC(=O)c1ccc(-c2nnn[n-]2)nc1)C1CCOCC1 ZINC000822864913 583819861 /nfs/dbraw/zinc/81/98/61/583819861.db2.gz OTLDFAQWKBBHQZ-LBPRGKRZSA-N -1 1 345.363 -0.338 20 0 EBADMM NC(=O)C[C@H](NC(=O)c1ccc(-c2nn[n-]n2)nc1)C1CCOCC1 ZINC000822864913 583819862 /nfs/dbraw/zinc/81/98/62/583819862.db2.gz OTLDFAQWKBBHQZ-LBPRGKRZSA-N -1 1 345.363 -0.338 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCC1(CCO)CCCCC1 ZINC000823126046 583824741 /nfs/dbraw/zinc/82/47/41/583824741.db2.gz GEHPBYXUTVILBJ-UHFFFAOYSA-N -1 1 334.384 -0.093 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@@H]1c2ccccc2C[C@@H]1O ZINC000823126347 583824859 /nfs/dbraw/zinc/82/48/59/583824859.db2.gz ISTHBZOFMKLGKL-CMPLNLGQSA-N -1 1 326.320 -0.767 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NC[C@@H](O)c1ccc(F)cc1 ZINC000823127092 583825021 /nfs/dbraw/zinc/82/50/21/583825021.db2.gz SLNQKAPRWYQECJ-SNVBAGLBSA-N -1 1 332.299 -0.553 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)Nc1ccc(-c2nn[nH]n2)cc1 ZINC000823131336 583825364 /nfs/dbraw/zinc/82/53/64/583825364.db2.gz GDUWVWBEGAGXSN-UHFFFAOYSA-N -1 1 338.295 -0.723 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)Nc1ccc2c(c1)C(=O)NCC2 ZINC000823136277 583826019 /nfs/dbraw/zinc/82/60/19/583826019.db2.gz SHNMJQMMVRNRFV-UHFFFAOYSA-N -1 1 339.319 -0.617 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)Nc1cccc(-c2nc[nH]n2)c1 ZINC000823136270 583826059 /nfs/dbraw/zinc/82/60/59/583826059.db2.gz RNLFZXCPZNMTFP-UHFFFAOYSA-N -1 1 337.307 -0.118 20 0 EBADMM O=C(COC(=O)c1ccc(-c2nnn[n-]2)s1)N1CCNC(=O)C1 ZINC000823536140 583831171 /nfs/dbraw/zinc/83/11/71/583831171.db2.gz TUDVZWKWIYGBCI-UHFFFAOYSA-N -1 1 336.333 -0.957 20 0 EBADMM O=C(COC(=O)c1ccc(-c2nn[n-]n2)s1)N1CCNC(=O)C1 ZINC000823536140 583831173 /nfs/dbraw/zinc/83/11/73/583831173.db2.gz TUDVZWKWIYGBCI-UHFFFAOYSA-N -1 1 336.333 -0.957 20 0 EBADMM CC(=O)N1CCN(C(=O)CCNc2ccc(-c3nnn[n-]3)nn2)CC1 ZINC000823981046 583839503 /nfs/dbraw/zinc/83/95/03/583839503.db2.gz KDRIFIZREPELRK-UHFFFAOYSA-N -1 1 345.367 -0.851 20 0 EBADMM CC(=O)N1CCN(C(=O)CCNc2ccc(-c3nn[n-]n3)nn2)CC1 ZINC000823981046 583839506 /nfs/dbraw/zinc/83/95/06/583839506.db2.gz KDRIFIZREPELRK-UHFFFAOYSA-N -1 1 345.367 -0.851 20 0 EBADMM CC(=O)Oc1ccc(Cn2c(=O)c(-c3nn[n-]n3)cn(C)c2=O)cc1 ZINC000824009602 583840040 /nfs/dbraw/zinc/84/00/40/583840040.db2.gz BBDQROYESDVLDK-UHFFFAOYSA-N -1 1 342.315 -0.299 20 0 EBADMM CC(C)[C@H](CNC(=O)COC1CN(C(=O)[O-])C1)N1CCN(C)CC1 ZINC000824110225 583841440 /nfs/dbraw/zinc/84/14/40/583841440.db2.gz GCODLPPCVOWOPQ-AWEZNQCLSA-N -1 1 342.440 -0.247 20 0 EBADMM CC(C)[C@H](O)C1(CNc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)CC1 ZINC000824198355 583842154 /nfs/dbraw/zinc/84/21/54/583842154.db2.gz BRIRAWNWFUTASC-JTQLQIEISA-N -1 1 349.395 -0.527 20 0 EBADMM CC(C)[C@@H](NS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1)C(N)=O ZINC000824199404 583842270 /nfs/dbraw/zinc/84/22/70/583842270.db2.gz JORBDFVCHPMSOZ-SNVBAGLBSA-N -1 1 342.356 -0.206 20 0 EBADMM CC(C)[C@@H](NS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1)C(N)=O ZINC000824199404 583842272 /nfs/dbraw/zinc/84/22/72/583842272.db2.gz JORBDFVCHPMSOZ-SNVBAGLBSA-N -1 1 342.356 -0.206 20 0 EBADMM CC(C)C[C@@H](O)CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000824236531 583842616 /nfs/dbraw/zinc/84/26/16/583842616.db2.gz OXNLZMIKGDMUCB-SECBINFHSA-N -1 1 326.382 -0.053 20 0 EBADMM CC(C)C[C@@H](O)CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000824236531 583842618 /nfs/dbraw/zinc/84/26/18/583842618.db2.gz OXNLZMIKGDMUCB-SECBINFHSA-N -1 1 326.382 -0.053 20 0 EBADMM CC(C)C[C@@H](C)N(C)C(=O)Cn1c(=O)c(-c2nn[n-]n2)cn(C)c1=O ZINC000824230038 583842706 /nfs/dbraw/zinc/84/27/06/583842706.db2.gz YLHLSMYVOBFUKO-SNVBAGLBSA-N -1 1 349.395 -0.380 20 0 EBADMM C[C@@H](NC(=O)Cn1ccnc1-c1nnn[n-]1)C(=O)N1CCCCC1 ZINC000824478452 583846821 /nfs/dbraw/zinc/84/68/21/583846821.db2.gz GWPCEXVZLOEEIL-SNVBAGLBSA-N -1 1 332.368 -0.420 20 0 EBADMM C[C@@H](NC(=O)Cn1ccnc1-c1nn[n-]n1)C(=O)N1CCCCC1 ZINC000824478452 583846823 /nfs/dbraw/zinc/84/68/23/583846823.db2.gz GWPCEXVZLOEEIL-SNVBAGLBSA-N -1 1 332.368 -0.420 20 0 EBADMM C[C@@H](CC(=O)N1CCO[C@H](CN2CCN(C)CC2)C1)NC(=O)[O-] ZINC000824599382 583847772 /nfs/dbraw/zinc/84/77/72/583847772.db2.gz NRRUVMMYFWCLCX-QWHCGFSZSA-N -1 1 328.413 -0.493 20 0 EBADMM C[C@@H](O)C(=O)N1CCN(C(=O)c2ccc(-c3nnn[n-]3)s2)CC1 ZINC000824679810 583848504 /nfs/dbraw/zinc/84/85/04/583848504.db2.gz WCNUZCLLCNCTQA-MRVPVSSYSA-N -1 1 336.377 -0.407 20 0 EBADMM C[C@@H](O)C(=O)N1CCN(C(=O)c2ccc(-c3nn[n-]n3)s2)CC1 ZINC000824679810 583848507 /nfs/dbraw/zinc/84/85/07/583848507.db2.gz WCNUZCLLCNCTQA-MRVPVSSYSA-N -1 1 336.377 -0.407 20 0 EBADMM C[C@@H](O)C(=O)N1CCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)CC1 ZINC000824680037 583848987 /nfs/dbraw/zinc/84/89/87/583848987.db2.gz YEJZFUURDINTJK-MRVPVSSYSA-N -1 1 337.771 -0.056 20 0 EBADMM C[C@@H](O)C(=O)N1CCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)CC1 ZINC000824680037 583848988 /nfs/dbraw/zinc/84/89/88/583848988.db2.gz YEJZFUURDINTJK-MRVPVSSYSA-N -1 1 337.771 -0.056 20 0 EBADMM C[C@H]1OCC[C@H]1NC(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000825022670 583854423 /nfs/dbraw/zinc/85/44/23/583854423.db2.gz YVWAJRUIUPMQPM-RKDXNWHRSA-N -1 1 349.399 -0.077 20 0 EBADMM C[C@H]1OCC[C@H]1NC(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000825022670 583854425 /nfs/dbraw/zinc/85/44/25/583854425.db2.gz YVWAJRUIUPMQPM-RKDXNWHRSA-N -1 1 349.399 -0.077 20 0 EBADMM CN(CC(=O)N1CCN(C)CC1)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000825292800 583857128 /nfs/dbraw/zinc/85/71/28/583857128.db2.gz JYCPFRSHYQFNMO-UHFFFAOYSA-N -1 1 348.432 -0.136 20 0 EBADMM C[C@H](NC(=O)[O-])c1ccc(NC(=O)C(=O)NCc2nn[nH]n2)cc1 ZINC000825414905 583860506 /nfs/dbraw/zinc/86/05/06/583860506.db2.gz BTJKILBMJGHAER-ZETCQYMHSA-N -1 1 333.308 -0.217 20 0 EBADMM C[C@H](O)CN1CCN(C(=O)CN2CCC(NC(=O)[O-])CC2)C[C@H]1C ZINC000825528700 583862935 /nfs/dbraw/zinc/86/29/35/583862935.db2.gz RQGCYSZPAYFJHM-OLZOCXBDSA-N -1 1 342.440 -0.368 20 0 EBADMM CCOC(=O)c1cnn(CC(=O)N2CCOCC2)c1-c1nn[n-]n1 ZINC000825564619 583863207 /nfs/dbraw/zinc/86/32/07/583863207.db2.gz BEOGFCBZJTWFBJ-UHFFFAOYSA-N -1 1 335.324 -0.901 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nnn[n-]1)C(=O)NC[C@@H]1CCCO1 ZINC000825929879 583871029 /nfs/dbraw/zinc/87/10/29/583871029.db2.gz NKBXJGWCETVVFC-VIFPVBQESA-N -1 1 349.399 -0.075 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nn[n-]n1)C(=O)NC[C@@H]1CCCO1 ZINC000825929879 583871032 /nfs/dbraw/zinc/87/10/32/583871032.db2.gz NKBXJGWCETVVFC-VIFPVBQESA-N -1 1 349.399 -0.075 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nnn[n-]1)C(=O)c1cnsn1 ZINC000825933293 583871211 /nfs/dbraw/zinc/87/12/11/583871211.db2.gz AUXCPYFATKSCKE-UHFFFAOYSA-N -1 1 334.369 -0.272 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nn[n-]n1)C(=O)c1cnsn1 ZINC000825933293 583871213 /nfs/dbraw/zinc/87/12/13/583871213.db2.gz AUXCPYFATKSCKE-UHFFFAOYSA-N -1 1 334.369 -0.272 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nn[n-]n1)c1cncc2ncnn21 ZINC000825938937 583871920 /nfs/dbraw/zinc/87/19/20/583871920.db2.gz ZNCKHRKCLNGENP-UHFFFAOYSA-N -1 1 340.355 -0.321 20 0 EBADMM C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CC[C@](C)(C(=O)[O-])C1 ZINC000826070845 583876846 /nfs/dbraw/zinc/87/68/46/583876846.db2.gz FTORXCMUWIHFLF-AYVTZFPOSA-N -1 1 338.364 -0.966 20 0 EBADMM CSC[C@@H](CCO)NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000826228138 583889101 /nfs/dbraw/zinc/88/91/01/583889101.db2.gz GDDOPLNLOATRPR-MRVPVSSYSA-N -1 1 326.382 -0.064 20 0 EBADMM CSC[C@@H](CCO)NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000826228138 583889102 /nfs/dbraw/zinc/88/91/02/583889102.db2.gz GDDOPLNLOATRPR-MRVPVSSYSA-N -1 1 326.382 -0.064 20 0 EBADMM Cc1ccccc1[C@@H](CO)NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000826306321 583891432 /nfs/dbraw/zinc/89/14/32/583891432.db2.gz VYYOMYCJJVSXMV-LLVKDONJSA-N -1 1 328.336 -0.384 20 0 EBADMM Cc1nn(CCN2C[C@H]3CC[C@@H](C2)O3)c(=O)c(-c2nn[n-]n2)c1C ZINC000826335081 583891752 /nfs/dbraw/zinc/89/17/52/583891752.db2.gz XMNIQUKWVXTEGB-TXEJJXNPSA-N -1 1 331.380 -0.097 20 0 EBADMM Cc1onc(CC(=O)N2CCN3C(=O)OCC[C@H]3C2)c1-c1nnn[n-]1 ZINC000826344844 583892723 /nfs/dbraw/zinc/89/27/23/583892723.db2.gz UDUMBKIMYPTHIL-VIFPVBQESA-N -1 1 347.335 -0.241 20 0 EBADMM Cc1onc(CC(=O)N2CCN3C(=O)OCC[C@H]3C2)c1-c1nn[n-]n1 ZINC000826344844 583892724 /nfs/dbraw/zinc/89/27/24/583892724.db2.gz UDUMBKIMYPTHIL-VIFPVBQESA-N -1 1 347.335 -0.241 20 0 EBADMM Cn1cnc(C[N-]S(=O)(=O)c2ccc(-c3nn[nH]n3)cc2F)n1 ZINC000826364854 583894902 /nfs/dbraw/zinc/89/49/02/583894902.db2.gz OCFXSWRFWWDTPU-UHFFFAOYSA-N -1 1 338.328 -0.387 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@@H]1[C@H]2CCO[C@@H]2C12CCC2 ZINC000826425627 583901143 /nfs/dbraw/zinc/90/11/43/583901143.db2.gz LXAMMHGCZVGSPB-IEBDPFPHSA-N -1 1 330.352 -0.468 20 0 EBADMM O=C1NC(=O)[C@@]2(CCC[C@H]2CNc2ccc(-c3nnn[n-]3)nn2)N1 ZINC000826482034 583904180 /nfs/dbraw/zinc/90/41/80/583904180.db2.gz PVXQYRQCWAZFMW-CPFSXVBKSA-N -1 1 329.324 -0.553 20 0 EBADMM O=C1NC(=O)[C@@]2(CCC[C@H]2CNc2ccc(-c3nn[n-]n3)nn2)N1 ZINC000826482034 583904181 /nfs/dbraw/zinc/90/41/81/583904181.db2.gz PVXQYRQCWAZFMW-CPFSXVBKSA-N -1 1 329.324 -0.553 20 0 EBADMM O=S(=O)(NCC1(CO)COC1)c1cccc(F)c1-c1nnn[n-]1 ZINC000826489811 583905072 /nfs/dbraw/zinc/90/50/72/583905072.db2.gz ALUAJZBXYCUKFI-UHFFFAOYSA-N -1 1 343.340 -0.707 20 0 EBADMM O=S(=O)(NCC1(CO)COC1)c1cccc(F)c1-c1nn[n-]n1 ZINC000826489811 583905074 /nfs/dbraw/zinc/90/50/74/583905074.db2.gz ALUAJZBXYCUKFI-UHFFFAOYSA-N -1 1 343.340 -0.707 20 0 EBADMM O=S(=O)(NCCn1cncn1)c1ccc(F)cc1-c1nn[n-]n1 ZINC000826491549 583905637 /nfs/dbraw/zinc/90/56/37/583905637.db2.gz OTXCFLAOEVVTSH-UHFFFAOYSA-N -1 1 338.328 -0.424 20 0 EBADMM O=S(=O)(c1ccc(Cl)cc1-c1nn[n-]n1)N1C[C@@H](O)[C@@H](O)C1 ZINC000826495328 583906079 /nfs/dbraw/zinc/90/60/79/583906079.db2.gz LRXUJUVZROWTLO-DTORHVGOSA-N -1 1 345.768 -0.754 20 0 EBADMM CN(C)CC(=O)N1CCN(C(=O)[C@H]2CC[C@H](NC(=O)[O-])C2)CC1 ZINC000828009642 583931539 /nfs/dbraw/zinc/93/15/39/583931539.db2.gz NOXBJKKTSYPMLC-RYUDHWBXSA-N -1 1 326.397 -0.345 20 0 EBADMM CN(C)Cc1ccnc(NC(=O)CN2CCN(C(=O)[O-])CC2=O)c1 ZINC000828045955 583932569 /nfs/dbraw/zinc/93/25/69/583932569.db2.gz CRYNENSNMIGRPI-UHFFFAOYSA-N -1 1 335.364 -0.096 20 0 EBADMM C[C@H]1CN(CC(=O)N2CCC(C(=O)[O-])CC2)C[C@@H]1N1CCOCC1 ZINC000828431422 583938797 /nfs/dbraw/zinc/93/87/97/583938797.db2.gz IGMWIIHJLFXRDJ-ZFWWWQNUSA-N -1 1 339.436 -0.038 20 0 EBADMM C[C@@H]1CN(CC(=O)c2c(N)n(C3CC3)c(=O)[nH]c2=O)C[C@H]1C(=O)[O-] ZINC000828439761 583939141 /nfs/dbraw/zinc/93/91/41/583939141.db2.gz JQDDLZDOOVXTAM-VXNVDRBHSA-N -1 1 336.348 -0.299 20 0 EBADMM CC[C@@H](CO)N1CCN(CC(=O)N[C@H](CC(C)C)C(=O)[O-])CC1 ZINC000828890866 583948228 /nfs/dbraw/zinc/94/82/28/583948228.db2.gz OPPSZLYDTHDSRD-UONOGXRCSA-N -1 1 329.441 -0.010 20 0 EBADMM COCCN1CC[C@@H](NC(=O)CO[C@@H]2CCCN(C(=O)[O-])C2)C1 ZINC000828984556 583949510 /nfs/dbraw/zinc/94/95/10/583949510.db2.gz FZGDAGMKENDBPV-CHWSQXEVSA-N -1 1 329.397 -0.018 20 0 EBADMM CCn1c(N)c(C(=O)CN(CCC(=O)[O-])C(C)C)c(=O)[nH]c1=O ZINC000830412464 583966933 /nfs/dbraw/zinc/96/69/33/583966933.db2.gz RIWQQOJVOOHFKP-UHFFFAOYSA-N -1 1 326.353 -0.081 20 0 EBADMM CN1CCN(c2cc(C(=O)N3CCOC[C@@H]3C(=O)[O-])ccn2)CC1 ZINC000830960043 583972706 /nfs/dbraw/zinc/97/27/06/583972706.db2.gz FFNZTFXDPGMSRA-CYBMUJFWSA-N -1 1 334.376 -0.241 20 0 EBADMM CN1CCN(C[C@H]2CN(C(=O)NC3CN(C(=O)[O-])C3)CCO2)CC1 ZINC000830951087 583972875 /nfs/dbraw/zinc/97/28/75/583972875.db2.gz UCNGLPHEJTZXCX-ZDUSSCGKSA-N -1 1 341.412 -0.994 20 0 EBADMM O=C(CNC(=O)c1ccccn1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831145589 583977195 /nfs/dbraw/zinc/97/71/95/583977195.db2.gz ZGFNYNJGVKNMIO-UHFFFAOYSA-N -1 1 344.293 -0.052 20 0 EBADMM NS(=O)(=O)CCN1CCN(CC2CCN(C(=O)[O-])CC2)CC1 ZINC000831245857 583980064 /nfs/dbraw/zinc/98/00/64/583980064.db2.gz MRNRCWHGELXWEB-UHFFFAOYSA-N -1 1 334.442 -0.718 20 0 EBADMM O=C([O-])N1C[C@@H](O)C[C@H]1C(=O)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000831271138 583981192 /nfs/dbraw/zinc/98/11/92/583981192.db2.gz ANGIDNIUULAVJM-CYDGBPFRSA-N -1 1 327.381 -0.843 20 0 EBADMM CN(C)[C@H](CCNC(=O)CCn1cc[n-]c(=O)c1=O)C(F)(F)F ZINC000831674008 583989490 /nfs/dbraw/zinc/98/94/90/583989490.db2.gz UCFWWUURKVEKDN-SECBINFHSA-N -1 1 336.314 -0.075 20 0 EBADMM O=C([O-])N1CCO[C@H](C(=O)N2CCN(C[C@H]3CCOC3)CC2)C1 ZINC000832051349 583995230 /nfs/dbraw/zinc/99/52/30/583995230.db2.gz VDUDYPWAFNECMV-OLZOCXBDSA-N -1 1 327.381 -0.454 20 0 EBADMM CC(=O)N1CSC[C@@H]1C(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C ZINC000833391502 584009616 /nfs/dbraw/zinc/00/96/16/584009616.db2.gz LHESJTJWPRHPCX-CMPLNLGQSA-N -1 1 329.422 -0.085 20 0 EBADMM CC(=O)N1CCN(C(=O)CN2CCN(CCC(=O)[O-])C[C@@H]2C)CC1 ZINC000833392526 584009652 /nfs/dbraw/zinc/00/96/52/584009652.db2.gz AOQLHSXISXQLIV-ZDUSSCGKSA-N -1 1 340.424 -0.842 20 0 EBADMM C[C@H](CNC(=O)C(=O)Nc1ccc2[nH]nc(C(N)=O)c2c1)C(=O)[O-] ZINC000833517561 584011550 /nfs/dbraw/zinc/01/15/50/584011550.db2.gz RZWLQAVNSWBLJJ-ZCFIWIBFSA-N -1 1 333.304 -0.563 20 0 EBADMM C[C@@H]1CN(C(=O)CCC(=O)N2CCOCC2)CCN1CCC(=O)[O-] ZINC000833617852 584012360 /nfs/dbraw/zinc/01/23/60/584012360.db2.gz IBWDKULVGIEFID-CYBMUJFWSA-N -1 1 341.408 -0.367 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NCCN1C(=O)CCC1=O ZINC000833627348 584012942 /nfs/dbraw/zinc/01/29/42/584012942.db2.gz WMMUULFWDLTKSR-NSHDSACASA-N -1 1 340.380 -0.674 20 0 EBADMM CCn1c(N)c(C(=O)CN[C@](C)(COC)CC(=O)[O-])c(=O)[nH]c1=O ZINC000833683633 584013600 /nfs/dbraw/zinc/01/36/00/584013600.db2.gz JWFUBZWOZKINFZ-AWEZNQCLSA-N -1 1 342.352 -0.797 20 0 EBADMM CN1CCC(O)(CNS(=O)(=O)c2ccc(C(=O)[O-])nc2)CC1 ZINC000833698588 584013977 /nfs/dbraw/zinc/01/39/77/584013977.db2.gz QPNKNVHJYODYFW-UHFFFAOYSA-N -1 1 329.378 -0.485 20 0 EBADMM O=C([O-])c1cc(S(=O)(=O)NC[C@@H](O)CN2CCCCC2)c[nH]1 ZINC000833779406 584016272 /nfs/dbraw/zinc/01/62/72/584016272.db2.gz DXJQNSRURUPOLW-SNVBAGLBSA-N -1 1 331.394 -0.162 20 0 EBADMM NC(=O)C[C@@H](NC(=O)[O-])C(=O)N1CCC(CN2CCOCC2)CC1 ZINC000833982029 584022223 /nfs/dbraw/zinc/02/22/23/584022223.db2.gz ZDVINNGFPSANQL-GFCCVEGCSA-N -1 1 342.396 -0.931 20 0 EBADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C[C@H]1CCCCN1CCO ZINC000867326760 584078019 /nfs/dbraw/zinc/07/80/19/584078019.db2.gz IAINUVRUOOWRGX-WYRIXSBYSA-N -1 1 327.472 -0.215 20 0 EBADMM COC(=O)CC1(NC(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1 ZINC000846077877 584120656 /nfs/dbraw/zinc/12/06/56/584120656.db2.gz ZDIQCMXFBYXWPL-UHFFFAOYSA-N -1 1 331.332 -0.508 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H]2COC[C@H]2n2ccnn2)co1 ZINC000847988573 584148362 /nfs/dbraw/zinc/14/83/62/584148362.db2.gz IBWIGLVRILDHEX-NXEZZACHSA-N -1 1 342.333 -0.424 20 0 EBADMM COC(=O)N(C)CCNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000850671924 584185314 /nfs/dbraw/zinc/18/53/14/584185314.db2.gz GGZUYQHKBMGGFK-UHFFFAOYSA-N -1 1 334.336 -0.905 20 0 EBADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)c2nnn(C(C)(C)C)n2)CCC1 ZINC000851106164 584192311 /nfs/dbraw/zinc/19/23/11/584192311.db2.gz WDNRXDLMAMVJFH-UHFFFAOYSA-N -1 1 344.441 -0.028 20 0 EBADMM O=C([O-])[C@H]1[C@H](NC(=O)C(F)(F)F)CCCN1C(=O)Cn1cncn1 ZINC000852956049 584222194 /nfs/dbraw/zinc/22/21/94/584222194.db2.gz FOPVYQQCHCQACM-VXNVDRBHSA-N -1 1 349.269 -0.599 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCc1cc(Br)no1 ZINC000854707272 584247291 /nfs/dbraw/zinc/24/72/91/584247291.db2.gz LFHCMUNZSJQPKF-UHFFFAOYSA-N -1 1 343.137 -0.006 20 0 EBADMM Cn1c(=O)ccc2c1CCC[C@@H]2NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000855030052 584255160 /nfs/dbraw/zinc/25/51/60/584255160.db2.gz DENURMOTOBAGEJ-LBPRGKRZSA-N -1 1 344.371 -0.181 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000855474776 584259691 /nfs/dbraw/zinc/25/96/91/584259691.db2.gz YQSAOALWFMOYLB-DTWKUNHWSA-N -1 1 342.402 -0.440 20 0 EBADMM CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000855474775 584259801 /nfs/dbraw/zinc/25/98/01/584259801.db2.gz YQSAOALWFMOYLB-BDAKNGLRSA-N -1 1 342.402 -0.440 20 0 EBADMM O=C([N-]OCC1CCC1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000856346362 584268156 /nfs/dbraw/zinc/26/81/56/584268156.db2.gz XGHBHBNWWGBSCO-VXGBXAGGSA-N -1 1 330.406 -0.130 20 0 EBADMM C[C@@H]1CCN(C(=O)[C@@H]2CN(C)C(=O)N2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856416435 584268716 /nfs/dbraw/zinc/26/87/16/584268716.db2.gz ZCTSCZUOBWPVRG-VGMNWLOBSA-N -1 1 336.314 -0.075 20 0 EBADMM CS(=O)(=O)N1CCN(CCNc2cc(Cl)[n-]c(=O)n2)CC1 ZINC000858361219 584287166 /nfs/dbraw/zinc/28/71/66/584287166.db2.gz KTMBJMDXMIONEE-UHFFFAOYSA-N -1 1 335.817 -0.175 20 0 EBADMM CCOC(=O)c1cc(CS(=O)(=O)[N-][C@@]2(C)CCOC2=O)on1 ZINC000859164907 584296624 /nfs/dbraw/zinc/29/66/24/584296624.db2.gz NDXWNPKEJHANOH-LBPRGKRZSA-N -1 1 332.334 -0.024 20 0 EBADMM CN1C[C@@H]([N-]S(=O)(=O)c2cnn(C)c2C(F)(F)F)CC1=O ZINC000866695850 584403372 /nfs/dbraw/zinc/40/33/72/584403372.db2.gz ZFDPHQRJCLMONY-LURJTMIESA-N -1 1 326.300 -0.052 20 0 EBADMM CS(C)(=O)=NS(=O)(=O)[N-]CC[S@](=O)CC(F)(F)F ZINC000867497415 584409536 /nfs/dbraw/zinc/40/95/36/584409536.db2.gz BLDJOVBLVKDSPP-INIZCTEOSA-N -1 1 330.375 -0.141 20 0 EBADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(Cn2nc3cnccn3c2=O)C1 ZINC000872752262 584466599 /nfs/dbraw/zinc/46/65/99/584466599.db2.gz DPCVSFHCVLCUFH-LBPRGKRZSA-N -1 1 344.297 -0.009 20 0 EBADMM Cc1cnn(C)c1NC(=O)C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000874229517 584480833 /nfs/dbraw/zinc/48/08/33/584480833.db2.gz YZBVDVLQAZKPPZ-UHFFFAOYSA-N -1 1 347.297 -0.196 20 0 EBADMM C[C@@H](Cn1cncn1)NC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875190892 584493081 /nfs/dbraw/zinc/49/30/81/584493081.db2.gz JALHNALPYOBJBG-QMMMGPOBSA-N -1 1 334.302 -0.014 20 0 EBADMM O=C([N-]CC1CN(C(=O)NC[C@H]2CCCNC2=O)C1)C(F)(F)F ZINC000875665069 584504357 /nfs/dbraw/zinc/50/43/57/584504357.db2.gz ZGWYFXJOECXSBV-SECBINFHSA-N -1 1 336.314 -0.168 20 0 EBADMM Cn1c(=O)cc(CN2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)n(C)c1=O ZINC000879764408 584557506 /nfs/dbraw/zinc/55/75/06/584557506.db2.gz XEQDTTFEEYFRFZ-CYBMUJFWSA-N -1 1 348.325 -0.273 20 0 EBADMM CS(=O)(=O)N1CCC[C@@H]([N-]S(=O)(=O)c2ccns2)C1 ZINC000884976567 584642102 /nfs/dbraw/zinc/64/21/02/584642102.db2.gz UGAKAWZKPXVWNK-MRVPVSSYSA-N -1 1 325.437 -0.155 20 0 EBADMM COc1cnc([C@H]2CCCN2C(=O)CN2CCN(C)C(=O)C2)[n-]c1=O ZINC000889790295 584689973 /nfs/dbraw/zinc/68/99/73/584689973.db2.gz PPRLWTPZMNVDSO-LLVKDONJSA-N -1 1 349.391 -0.372 20 0 EBADMM Cc1nc(CN2CCC[C@@H](N(C)[C@@H](C)C(=O)NCC(=O)[O-])C2)no1 ZINC000738856675 599963127 /nfs/dbraw/zinc/96/31/27/599963127.db2.gz AYEYRHFZSXMPJL-CMPLNLGQSA-N -1 1 339.396 -0.136 20 0 EBADMM O=C([O-])[C@@H]1CCN(C(=O)N[C@@H]2CCN(CCN3CCOCC3)C2)C1 ZINC000739592723 600190594 /nfs/dbraw/zinc/19/05/94/600190594.db2.gz PFCHKVYCXBMTCS-ZIAGYGMSSA-N -1 1 340.424 -0.491 20 0 EBADMM Cc1nc(CN2CCN(CCC(=O)N(C)CC(=O)[O-])CC2)no1 ZINC000738857206 600442985 /nfs/dbraw/zinc/44/29/85/600442985.db2.gz KVFMWPGUPUTFLN-UHFFFAOYSA-N -1 1 325.369 -0.571 20 0 EBADMM O=C([O-])c1ccc(C(=O)N[C@H]2CCN(CCN3CCOCC3)C2)nc1 ZINC000740109688 600622828 /nfs/dbraw/zinc/62/28/28/600622828.db2.gz DIINLEYNDCUISJ-AWEZNQCLSA-N -1 1 348.403 -0.084 20 0 EBADMM O=C([O-])Cn1cc(S(=O)(=O)Nc2cccnc2-n2cncn2)cn1 ZINC000391644727 600673918 /nfs/dbraw/zinc/67/39/18/600673918.db2.gz MDRJTCQLYOPICW-UHFFFAOYSA-N -1 1 349.332 -0.256 20 0 EBADMM CN(CC(=O)N1CC[C@@H](CNC(=O)[O-])C1)c1ncnc2[nH]cnc21 ZINC000739617941 601877398 /nfs/dbraw/zinc/87/73/98/601877398.db2.gz ZICDQPOIWQDRRR-VIFPVBQESA-N -1 1 333.352 -0.095 20 0 EBADMM C[C@@H](C(=O)N(C)CC(=O)NC1CC1)N1CC[C@H](CNC(=O)[O-])C1 ZINC000738602925 601941364 /nfs/dbraw/zinc/94/13/64/601941364.db2.gz OBAYXNZQSBYUBK-WDEREUQCSA-N -1 1 326.397 -0.299 20 0 EBADMM O=C([O-])CNC(=O)c1ccc(NC(=O)NCCc2nc[nH]n2)cc1 ZINC000391604685 602386680 /nfs/dbraw/zinc/38/66/80/602386680.db2.gz IAYVUYXPAZDVQT-UHFFFAOYSA-N -1 1 332.320 -0.017 20 0 EBADMM O=C([O-])N1CC[C@H](NC(=O)N2CCN([C@H]3CCC[C@H]3O)CC2)C1 ZINC000740505773 602636382 /nfs/dbraw/zinc/63/63/82/602636382.db2.gz RGKCOSUOCQSAQV-RWMBFGLXSA-N -1 1 326.397 -0.021 20 0 EBADMM CC(C)CN1CCO[C@@H](CNC(=O)[C@H]2COCCN2C(=O)[O-])C1 ZINC000738823679 602830322 /nfs/dbraw/zinc/83/03/22/602830322.db2.gz HGRXWMGMKKGBFT-QWHCGFSZSA-N -1 1 329.397 -0.162 20 0 EBADMM O=C([O-])NCCCNC(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC000740653631 602836963 /nfs/dbraw/zinc/83/69/63/602836963.db2.gz RSXIXFAIPQJJFA-ZDUSSCGKSA-N -1 1 343.428 -0.650 20 0 EBADMM C[C@@H]1CN2CCCC[C@H]2CN1C(=O)CN1CCN(C(=O)[O-])CC1=O ZINC000739200238 603007241 /nfs/dbraw/zinc/00/72/41/603007241.db2.gz TUOBSNYVRWGMFE-OLZOCXBDSA-N -1 1 338.408 -0.106 20 0 EBADMM COC(=O)[C@@H]1CN([C@@H](C)CCN2CCO[C@H](C(=O)[O-])C2)CCO1 ZINC000831115372 604435906 /nfs/dbraw/zinc/43/59/06/604435906.db2.gz ZEWLBECNXZQZFF-AVGNSLFASA-N -1 1 330.381 -0.576 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H](C)C(=O)N2CCOCC2)o1 ZINC000046315032 649946230 /nfs/dbraw/zinc/94/62/30/649946230.db2.gz NZSMPVIVMXZUJJ-VIFPVBQESA-N -1 1 346.361 -0.408 20 0 EBADMM CCNC(=O)NC(=O)[C@H](C)OC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000046314994 649946636 /nfs/dbraw/zinc/94/66/36/649946636.db2.gz TYCWRQVRXXJWFX-ZETCQYMHSA-N -1 1 347.349 -0.421 20 0 EBADMM C[C@@]12CCC(=O)N1[C@H](C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-])CS2 ZINC000378721995 604896403 /nfs/dbraw/zinc/89/64/03/604896403.db2.gz RCKRHLRUDSIMSW-PKFCDNJMSA-N -1 1 338.389 -0.024 20 0 EBADMM Cn1cc(S(=O)(=O)NCC2(O)CCN(C)CC2)cc1C(=O)[O-] ZINC000397569772 605038140 /nfs/dbraw/zinc/03/81/40/605038140.db2.gz XRCBEVDLRYSZBR-UHFFFAOYSA-N -1 1 331.394 -0.542 20 0 EBADMM O=C([O-])N[C@H]1CCN(C[C@@H](O)CN2C(=O)c3ccccc3C2=O)C1 ZINC000740592706 605930568 /nfs/dbraw/zinc/93/05/68/605930568.db2.gz ASXPBKUWLSUGGZ-WDEREUQCSA-N -1 1 333.344 -0.015 20 0 EBADMM CO[C@H]1CS(=O)(=O)C[C@H]1[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000700239035 650035715 /nfs/dbraw/zinc/03/57/15/650035715.db2.gz UHGXPHTWHXGYQZ-RQJHMYQMSA-N -1 1 343.814 -0.836 20 0 EBADMM C[C@@H]1CC2(CC([N-]S(=O)(=O)c3cn(C)c(=O)n(C)c3=O)C2)CO1 ZINC000907724016 650089380 /nfs/dbraw/zinc/08/93/80/650089380.db2.gz PHYGKYBZMKFKMV-NAUIOFCNSA-N -1 1 343.405 -0.680 20 0 EBADMM CC(=O)N1CCO[C@H](C(=O)OCCC[N-]C(=O)C(F)(F)F)C1 ZINC000909686361 650108048 /nfs/dbraw/zinc/10/80/48/650108048.db2.gz UCNDWXVRKNITNF-VIFPVBQESA-N -1 1 326.271 -0.155 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@H]2COCCN2CC(F)F)CC1 ZINC000910176185 650109536 /nfs/dbraw/zinc/10/95/36/650109536.db2.gz ZTOWPASKADCHOB-VXGBXAGGSA-N -1 1 349.378 -0.040 20 0 EBADMM CC[C@]1(C(=O)[O-])CCCN(C(=O)C(=O)NCCN(C)CCOC)C1 ZINC000911156152 650154967 /nfs/dbraw/zinc/15/49/67/650154967.db2.gz NDFSTMDEGTXVEQ-INIZCTEOSA-N -1 1 343.424 -0.216 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC(=O)NCC(F)(F)F ZINC000912529779 650206162 /nfs/dbraw/zinc/20/61/62/650206162.db2.gz GFCOBLVCJQAUOD-UHFFFAOYSA-N -1 1 344.271 -0.847 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)CN1CCOCC1 ZINC000912529063 650206287 /nfs/dbraw/zinc/20/62/87/650206287.db2.gz AQCVVOGJJMHLDI-SECBINFHSA-N -1 1 332.382 -0.805 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCCc1ncnn1C ZINC000912552668 650208413 /nfs/dbraw/zinc/20/84/13/650208413.db2.gz CLZPOIDEJLIRTR-UHFFFAOYSA-N -1 1 342.381 -0.374 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1ncc2c(n1)CCC2 ZINC000912553028 650208581 /nfs/dbraw/zinc/20/85/81/650208581.db2.gz FRUZVSCRZBLFJC-UHFFFAOYSA-N -1 1 337.361 -0.047 20 0 EBADMM O=C(NCC12COCCN1CCOC2)c1cnc(C2CC2)[n-]c1=O ZINC000913295589 650229829 /nfs/dbraw/zinc/22/98/29/650229829.db2.gz QTRLMRULDYUOOL-UHFFFAOYSA-N -1 1 334.376 -0.109 20 0 EBADMM O=C([C@@H]1CCN(CC(F)(F)F)C1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913499709 650235828 /nfs/dbraw/zinc/23/58/28/650235828.db2.gz YQRSWCQFHWULSQ-BDAKNGLRSA-N -1 1 334.302 -0.016 20 0 EBADMM CN1CCO[C@H](C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)C1=O ZINC000913780010 650245997 /nfs/dbraw/zinc/24/59/97/650245997.db2.gz OPVPGFSSIJTPOQ-QPUJVOFHSA-N -1 1 337.298 -0.487 20 0 EBADMM O=C1[C@@H]([N-]S(=O)(=O)[C@@H]2COC[C@H]2O)CCN1c1ccccc1F ZINC000914102576 650252092 /nfs/dbraw/zinc/25/20/92/650252092.db2.gz WHMBYHYRJYHDDB-CYZMBNFOSA-N -1 1 344.364 -0.390 20 0 EBADMM Cc1ccc(N2CC[C@@H]([N-]S(=O)(=O)[C@@H]3COC[C@H]3O)C2=O)cc1 ZINC000914184009 650255338 /nfs/dbraw/zinc/25/53/38/650255338.db2.gz MMMPPDJMLBDINT-MGPQQGTHSA-N -1 1 340.401 -0.221 20 0 EBADMM COCCN1C[C@@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CC1=O ZINC000914661309 650261859 /nfs/dbraw/zinc/26/18/59/650261859.db2.gz LOUZJPMMSHANHE-ZDUSSCGKSA-N -1 1 348.355 -0.019 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H](C)C(=O)NCCOC)o1 ZINC000915417156 650269077 /nfs/dbraw/zinc/26/90/77/650269077.db2.gz XMJQGKIHJSMHOO-MRVPVSSYSA-N -1 1 334.350 -0.504 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H]2CN3CCN2CCC3)c1 ZINC000917056438 650278929 /nfs/dbraw/zinc/27/89/29/650278929.db2.gz SSURMWMPIZQQFB-LBPRGKRZSA-N -1 1 343.405 -0.266 20 0 EBADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@H](C)[C@H]1CN(C)CCN1C ZINC000919980362 650306990 /nfs/dbraw/zinc/30/69/90/650306990.db2.gz CLPPYGMZTUVFTB-VXGBXAGGSA-N -1 1 340.515 -0.037 20 0 EBADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H](C)[C@@H]1CN(C)CCN1C ZINC000919983229 650307578 /nfs/dbraw/zinc/30/75/78/650307578.db2.gz ZLOSCBWOVPZNEW-ADWYPQAOSA-N -1 1 326.488 -0.427 20 0 EBADMM CN1CCOC[C@@]1(CO)C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000921339297 650318122 /nfs/dbraw/zinc/31/81/22/650318122.db2.gz AXDTUUUTDUYYDG-ZDUSSCGKSA-N -1 1 336.360 -0.064 20 0 EBADMM CCOC(=O)[C@H](COC)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000921379843 650318991 /nfs/dbraw/zinc/31/89/91/650318991.db2.gz RREXPVWYOYGEKB-ZETCQYMHSA-N -1 1 325.774 -0.070 20 0 EBADMM CC(C)O[C@]1(C[N-]S(=O)(=O)N=[S@](C)(=O)N(C)C)CCOC1 ZINC000921460373 650321152 /nfs/dbraw/zinc/32/11/52/650321152.db2.gz RSCMRMPWPGXNAN-YBTHPKLGSA-N -1 1 343.471 -0.021 20 0 EBADMM CS(C)(=O)=NS(=O)(=O)[N-]C[C@@H]1CCN1C1CCOCC1 ZINC000921909730 650326524 /nfs/dbraw/zinc/32/65/24/650326524.db2.gz ANMQTQNLRSIQGU-NSHDSACASA-N -1 1 325.456 -0.198 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@]23C[C@H]2COC32CCC2)c(=O)n(C)c1=O ZINC000922106910 650328792 /nfs/dbraw/zinc/32/87/92/650328792.db2.gz OWECDRTXLDIXIQ-LKFCYVNXSA-N -1 1 341.389 -0.926 20 0 EBADMM CO[N-]C(=O)CNC(=O)N1CC[C@](C)(NC(=O)C(F)(F)F)C1 ZINC000927219975 651500209 /nfs/dbraw/zinc/50/02/09/651500209.db2.gz MKJZYXHFNCYZGX-JTQLQIEISA-N -1 1 326.275 -0.484 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@@H]2CN(C)CCO2)C1 ZINC000937001231 651529731 /nfs/dbraw/zinc/52/97/31/651529731.db2.gz KBKXIEKHJACLAI-OCCSQVGLSA-N -1 1 348.403 -0.209 20 0 EBADMM CCN(C)C(=O)CNC(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000930401450 651593993 /nfs/dbraw/zinc/59/39/93/651593993.db2.gz JPKLGBPFZHKTMA-UHFFFAOYSA-N -1 1 343.330 -0.076 20 0 EBADMM COCC(=O)N1CCCC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000937168778 651659514 /nfs/dbraw/zinc/65/95/14/651659514.db2.gz GTTFHUAOJWDCFR-JTQLQIEISA-N -1 1 348.363 -0.825 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@@H]2COCCN2C)C1 ZINC000937193190 651668754 /nfs/dbraw/zinc/66/87/54/651668754.db2.gz FYOISOPHGJURIK-OLZOCXBDSA-N -1 1 348.403 -0.209 20 0 EBADMM CN(C(=O)[C@@H]1COCCN1C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937212432 651680019 /nfs/dbraw/zinc/68/00/19/651680019.db2.gz WABUFZYWPRXCNR-OLZOCXBDSA-N -1 1 348.403 -0.209 20 0 EBADMM CN(C(=O)[C@H]1CCN(C)C1=O)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216751 651684893 /nfs/dbraw/zinc/68/48/93/651684893.db2.gz LOQUXOVHLFONNQ-RYUDHWBXSA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C(=O)Cn1ncnn1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937219175 651685996 /nfs/dbraw/zinc/68/59/96/651685996.db2.gz DPVQYIUOWAKYSL-JTQLQIEISA-N -1 1 331.336 -0.853 20 0 EBADMM CN(C(=O)c1c[nH]c(=O)cn1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937219113 651686163 /nfs/dbraw/zinc/68/61/63/651686163.db2.gz CSEPEVNPPJOVIP-SNVBAGLBSA-N -1 1 343.343 -0.143 20 0 EBADMM O=C(NC[C@@H]1CCCCN1C(=O)c1ccn[nH]1)c1n[nH]c(=O)[n-]c1=O ZINC000937246746 651695931 /nfs/dbraw/zinc/69/59/31/651695931.db2.gz VDIXIZQYBMDRKN-QMMMGPOBSA-N -1 1 347.335 -0.569 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)c2cn(C)nn2)C1 ZINC000937305745 651726301 /nfs/dbraw/zinc/72/63/01/651726301.db2.gz MJAUDRRMKAZLIJ-JTQLQIEISA-N -1 1 330.348 -0.098 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)[C@@H]1CCN(C(=O)CC2CC2)C1 ZINC000936366446 651776979 /nfs/dbraw/zinc/77/69/79/651776979.db2.gz FEUFXDOPCKRXNM-LLVKDONJSA-N -1 1 344.375 -0.109 20 0 EBADMM Cn1ncc(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)c1N ZINC000937862114 651957903 /nfs/dbraw/zinc/95/79/03/651957903.db2.gz XQSICHJWJPDZPF-VIFPVBQESA-N -1 1 330.348 -0.253 20 0 EBADMM CC(=O)N1CC(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000937861179 651958353 /nfs/dbraw/zinc/95/83/53/651958353.db2.gz PESXEAFTEXAMQI-LBPRGKRZSA-N -1 1 332.360 -0.404 20 0 EBADMM Cn1ncc(CCC(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC000937865030 651959880 /nfs/dbraw/zinc/95/98/80/651959880.db2.gz DDJHWVJASHKEDT-LBPRGKRZSA-N -1 1 344.375 -0.121 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)CS(C)(=O)=O)C1 ZINC000936816894 652158544 /nfs/dbraw/zinc/15/85/44/652158544.db2.gz LQWQJNXFFYTIEA-SNVBAGLBSA-N -1 1 341.389 -0.495 20 0 EBADMM C[C@]1(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)CNC(=O)C1 ZINC000938296914 652182380 /nfs/dbraw/zinc/18/23/80/652182380.db2.gz DTTMGYPZGQFIBT-MGPLVRAMSA-N -1 1 332.360 -0.356 20 0 EBADMM Cc1ncc(C(=O)N2CC[C@H](NC(=O)c3cnc([O-])n(C)c3=O)C2)[nH]1 ZINC000938400205 652233437 /nfs/dbraw/zinc/23/34/37/652233437.db2.gz RRDRANXUNBQMET-VIFPVBQESA-N -1 1 346.347 -0.838 20 0 EBADMM Cc1ncc(C(=O)N2CC[C@H](N(C)C(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC000936905213 652247362 /nfs/dbraw/zinc/24/73/62/652247362.db2.gz IMVHGCQZGVTWKN-QMMMGPOBSA-N -1 1 347.335 -0.699 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@H]2CCCNC2=O)C1 ZINC000938691791 652670864 /nfs/dbraw/zinc/67/08/64/652670864.db2.gz GKZDYXSKOVLIGM-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(NC[C@H]1CCCN1C(=O)[C@H]1CCNC1=O)c1ncccc1[O-] ZINC000944183878 652708342 /nfs/dbraw/zinc/70/83/42/652708342.db2.gz SXAVBSDZTKCEJC-MNOVXSKESA-N -1 1 332.360 -0.356 20 0 EBADMM CN(C(=O)C1(C)CC1)[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000938872398 652750969 /nfs/dbraw/zinc/75/09/69/652750969.db2.gz XBBWDLVLWQATGP-LBPRGKRZSA-N -1 1 348.403 -0.214 20 0 EBADMM CN(C(=O)C1CC1)[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000938901835 652766330 /nfs/dbraw/zinc/76/63/30/652766330.db2.gz QZAVFBGQTQKOBL-SNVBAGLBSA-N -1 1 330.348 -0.500 20 0 EBADMM Cn1cc(C(=O)NC[C@H]2CN(C(=O)c3ncccc3[O-])CCO2)cn1 ZINC000944468622 652780035 /nfs/dbraw/zinc/78/00/35/652780035.db2.gz BXXPWRRBISZIKP-LBPRGKRZSA-N -1 1 345.359 -0.208 20 0 EBADMM CN(C(=O)c1ccn[nH]1)[C@H]1CCN(C(=O)c2cnc([O-])n(C)c2=O)C1 ZINC000938996542 652797816 /nfs/dbraw/zinc/79/78/16/652797816.db2.gz PQZYAWUANMPRPC-VIFPVBQESA-N -1 1 346.347 -0.804 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)N1CCN(C2CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC000941575118 652811714 /nfs/dbraw/zinc/81/17/14/652811714.db2.gz GMRHLEOYOSGRHM-TZMCWYRMSA-N -1 1 348.451 -0.517 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H](NC(=O)C(C)(C)F)C1 ZINC000939070936 652823647 /nfs/dbraw/zinc/82/36/47/652823647.db2.gz ZMERCZBYQKAROL-SSDOTTSWSA-N -1 1 342.327 -0.025 20 0 EBADMM CO[C@@H]1CN(C(=O)C2=COCCO2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941600924 652825611 /nfs/dbraw/zinc/82/56/11/652825611.db2.gz JZOYRQOXSSOIBE-ZYHUDNBSSA-N -1 1 349.343 -0.369 20 0 EBADMM CO[C@@H]1CN(C(=O)c2cnns2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941601354 652825731 /nfs/dbraw/zinc/82/57/31/652825731.db2.gz UHBBVQCTMCODII-PSASIEDQSA-N -1 1 349.372 -0.092 20 0 EBADMM CCc1c(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)nnn1CC ZINC000939132354 652838793 /nfs/dbraw/zinc/83/87/93/652838793.db2.gz HNSOCSAPMAEVLY-NXEZZACHSA-N -1 1 348.411 -0.066 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CCO[C@@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000944547837 652848409 /nfs/dbraw/zinc/84/84/09/652848409.db2.gz WLGWNDLPOXILPK-VIFPVBQESA-N -1 1 349.351 -0.879 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2ncc[nH]2)CCO1)c1ncccc1[O-] ZINC000944559236 652858171 /nfs/dbraw/zinc/85/81/71/652858171.db2.gz AAFALPGWZQVNCD-SNVBAGLBSA-N -1 1 331.332 -0.219 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2cnsn2)CCO1)c1ncccc1[O-] ZINC000944560551 652860079 /nfs/dbraw/zinc/86/00/79/652860079.db2.gz LEZPMYPYMGFRMJ-SECBINFHSA-N -1 1 349.372 -0.090 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1cnnn1C ZINC000941662869 652864529 /nfs/dbraw/zinc/86/45/29/652864529.db2.gz NJWSXQWUHTWZEV-BXKDBHETSA-N -1 1 346.347 -0.815 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1cnn(C)c1 ZINC000941795082 652914192 /nfs/dbraw/zinc/91/41/92/652914192.db2.gz QOJHYLWVQKMCMO-JQWIXIFHSA-N -1 1 333.396 -0.518 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1)[C@]12C[C@H]1COC2 ZINC000944625228 652915237 /nfs/dbraw/zinc/91/52/37/652915237.db2.gz SLKOBDBFEVQAFT-PRXAMGSTSA-N -1 1 347.371 -0.219 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1cnsn1 ZINC000941837550 652927703 /nfs/dbraw/zinc/92/77/03/652927703.db2.gz UUJUXOFDXSVUSV-DTWKUNHWSA-N -1 1 337.409 -0.400 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)nn1C ZINC000941869129 652936413 /nfs/dbraw/zinc/93/64/13/652936413.db2.gz GFSSYARECJISAP-ZYHUDNBSSA-N -1 1 347.423 -0.209 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1ccnn1C ZINC000941876368 652939187 /nfs/dbraw/zinc/93/91/87/652939187.db2.gz GJCUGCUVPPSXER-MNOVXSKESA-N -1 1 333.396 -0.518 20 0 EBADMM COc1ncc(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC000939464151 652940964 /nfs/dbraw/zinc/94/09/64/652940964.db2.gz OXCSAEWKFQJYJZ-PSASIEDQSA-N -1 1 333.352 -0.441 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)Cc1cc[nH]n1 ZINC000941911527 652948818 /nfs/dbraw/zinc/94/88/18/652948818.db2.gz FGIHXNRCUKQPRI-CMPLNLGQSA-N -1 1 333.396 -0.599 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)cnn1 ZINC000942001019 653017174 /nfs/dbraw/zinc/01/71/74/653017174.db2.gz POBLLWGNQGKWLC-ZWNOBZJWSA-N -1 1 345.407 -0.153 20 0 EBADMM Cn1cc(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)[nH]c1=O ZINC000942340403 653084360 /nfs/dbraw/zinc/08/43/60/653084360.db2.gz HBYMYHBTYAAVRW-SECBINFHSA-N -1 1 331.332 -0.129 20 0 EBADMM C[C@@]1(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)CCNC1=O ZINC000942423544 653096597 /nfs/dbraw/zinc/09/65/97/653096597.db2.gz TUHFKKLQWFCNNQ-QLJPJBMISA-N -1 1 332.360 -0.356 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2CCC[C@H]2NC(=O)Cc2nnc[nH]2)c1[O-] ZINC000946322551 653100711 /nfs/dbraw/zinc/10/07/11/653100711.db2.gz LWNHHDDQWCUZGM-NXEZZACHSA-N -1 1 347.379 -0.201 20 0 EBADMM Cc1n[nH]cc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1C ZINC000942545598 653137742 /nfs/dbraw/zinc/13/77/42/653137742.db2.gz MKDVLFLNQWOPNG-JOYOIKCWSA-N -1 1 333.396 -0.220 20 0 EBADMM Cn1nncc1C(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000946610698 653166763 /nfs/dbraw/zinc/16/67/63/653166763.db2.gz FEALBEHIVJHPKY-UHFFFAOYSA-N -1 1 330.348 -0.050 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cnccn3)C(C)(C)C2)nc1=O ZINC000940542188 653206834 /nfs/dbraw/zinc/20/68/34/653206834.db2.gz ASNIADVXBRACOE-GFCCVEGCSA-N -1 1 345.407 -0.071 20 0 EBADMM NC(=O)CC(=O)N1CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000940559273 653217465 /nfs/dbraw/zinc/21/74/65/653217465.db2.gz ZUAXZTFNZFCXLX-UHFFFAOYSA-N -1 1 347.375 -0.391 20 0 EBADMM Cn1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)cn1 ZINC000940567054 653220342 /nfs/dbraw/zinc/22/03/42/653220342.db2.gz WCHSMQBODSBTAO-GFCCVEGCSA-N -1 1 347.423 -0.128 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)Cn3cccn3)C(C)(C)C2)nc1=O ZINC000940574454 653221872 /nfs/dbraw/zinc/22/18/72/653221872.db2.gz TXYMZPSDJHHZTF-LBPRGKRZSA-N -1 1 347.423 -0.278 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2cc[n+]([O-])cc2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947020928 653292570 /nfs/dbraw/zinc/29/25/70/653292570.db2.gz HZZLAXIWAZASII-AAEUAGOBSA-N -1 1 346.391 -0.475 20 0 EBADMM CN1C[C@@H](C(=O)N2CCCN(C(=O)c3ncccc3[O-])CC2)CC1=O ZINC000940832979 653298049 /nfs/dbraw/zinc/29/80/49/653298049.db2.gz SWLGBEHRBIALPR-LBPRGKRZSA-N -1 1 346.387 -0.060 20 0 EBADMM O=C(Cn1nccn1)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940833195 653298303 /nfs/dbraw/zinc/29/83/03/653298303.db2.gz WRMCXXGPSCJLNA-UHFFFAOYSA-N -1 1 330.348 -0.247 20 0 EBADMM Cn1cncc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000940870635 653308474 /nfs/dbraw/zinc/30/84/74/653308474.db2.gz RSNFBRHRBVTVAZ-GFCCVEGCSA-N -1 1 347.423 -0.128 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2cnns2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947098815 653312836 /nfs/dbraw/zinc/31/28/36/653312836.db2.gz KAMIODLYUNAIDR-BDAKNGLRSA-N -1 1 337.409 -0.257 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2cn(C)cn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947199547 653333857 /nfs/dbraw/zinc/33/38/57/653333857.db2.gz DOGNALGNKLRERB-QWRGUYRKSA-N -1 1 333.396 -0.375 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2cnn(C)c2N)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947227148 653340428 /nfs/dbraw/zinc/34/04/28/653340428.db2.gz XGBBAIKTDXVNRA-VHSXEESVSA-N -1 1 348.411 -0.793 20 0 EBADMM COCC(=O)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H](C)C1 ZINC000945115827 653345199 /nfs/dbraw/zinc/34/51/99/653345199.db2.gz LHCGBPOJROZNIO-UWVGGRQHSA-N -1 1 348.363 -0.969 20 0 EBADMM C[C@@H]1CN(C(=O)Cn2cnnn2)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC000945156797 653352785 /nfs/dbraw/zinc/35/27/85/653352785.db2.gz PQBHSRHSMBXZIL-MNOVXSKESA-N -1 1 345.363 -0.559 20 0 EBADMM CCn1nncc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H](C)C1 ZINC000947287048 653357231 /nfs/dbraw/zinc/35/72/31/653357231.db2.gz ONPAHNQRIBONNW-QWRGUYRKSA-N -1 1 348.411 -0.497 20 0 EBADMM C[C@H]1C[C@H](NC(=O)Cc2ccn(C)n2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947303830 653362667 /nfs/dbraw/zinc/36/26/67/653362667.db2.gz APRVJCOAEDBTHQ-NWDGAFQWSA-N -1 1 347.423 -0.446 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1NC(=O)Cn1ncnn1 ZINC000945276902 653386045 /nfs/dbraw/zinc/38/60/45/653386045.db2.gz FRKLVXLTPBZNKC-GHMZBOCLSA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1NC(=O)Cn1ncnn1 ZINC000945276903 653386465 /nfs/dbraw/zinc/38/64/65/653386465.db2.gz FRKLVXLTPBZNKC-MNOVXSKESA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1NC(=O)c1nnn(C)n1 ZINC000945276122 653386560 /nfs/dbraw/zinc/38/65/60/653386560.db2.gz BSFOJZMWJZSMCU-UWVGGRQHSA-N -1 1 345.363 -0.409 20 0 EBADMM O=C(CC1CC1)NC1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000947443210 653404513 /nfs/dbraw/zinc/40/45/13/653404513.db2.gz RBPLFZSMTYAMIO-UHFFFAOYSA-N -1 1 348.403 -0.166 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NC1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000947498115 653415419 /nfs/dbraw/zinc/41/54/19/653415419.db2.gz SFZPDTGKAZDCMF-ONGXEEELSA-N -1 1 344.375 -0.206 20 0 EBADMM Cc1nnccc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H](C)C1 ZINC000947502359 653416781 /nfs/dbraw/zinc/41/67/81/653416781.db2.gz NLEDWMHBYXMHPD-JQWIXIFHSA-N -1 1 345.407 -0.010 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2cncn2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947512173 653420454 /nfs/dbraw/zinc/42/04/54/653420454.db2.gz UMTZRXVXOOXAJP-WDEREUQCSA-N -1 1 333.396 -0.375 20 0 EBADMM CC1(C)CN(Cc2n[nH]c(=O)[n-]2)CC[C@H]1NC(=O)Cc1nnc[nH]1 ZINC000941155576 653432357 /nfs/dbraw/zinc/43/23/57/653432357.db2.gz SZQYRYBOLJFNNC-SECBINFHSA-N -1 1 334.384 -0.412 20 0 EBADMM CCC(=O)N[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H]1C ZINC000945401336 653438985 /nfs/dbraw/zinc/43/89/85/653438985.db2.gz DSDPQIWAZQLAHB-RYUDHWBXSA-N -1 1 336.392 -0.310 20 0 EBADMM CCC(=O)N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC000945413605 653442951 /nfs/dbraw/zinc/44/29/51/653442951.db2.gz QPKHMPYHRBTEOI-ZJUUUORDSA-N -1 1 332.364 -0.206 20 0 EBADMM Cc1cnn(C)c1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@H](C)C1 ZINC000947630446 653443688 /nfs/dbraw/zinc/44/36/88/653443688.db2.gz ZJEMFZSMGQGIFY-VXGBXAGGSA-N -1 1 347.423 -0.067 20 0 EBADMM Cn1nnc(C(=O)N[C@H]2CC[C@H](NC(=O)c3ncccc3[O-])CC2)n1 ZINC000943684382 653449310 /nfs/dbraw/zinc/44/93/10/653449310.db2.gz IFBIUXYOMURTQI-MGCOHNPYSA-N -1 1 345.363 -0.218 20 0 EBADMM NC(=O)C(=O)N[C@H]1CC[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000943704561 653455033 /nfs/dbraw/zinc/45/50/33/653455033.db2.gz PYQAVRNNAABKBJ-MGCOHNPYSA-N -1 1 347.375 -0.298 20 0 EBADMM Cn1[n-]c(CN2CC(N3CCN(C(=O)c4ccco4)CC3)C2)nc1=O ZINC000941285875 653484253 /nfs/dbraw/zinc/48/42/53/653484253.db2.gz ZOLLWKRJFFIEPW-UHFFFAOYSA-N -1 1 346.391 -0.656 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2ccncn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947824866 653489709 /nfs/dbraw/zinc/48/97/09/653489709.db2.gz LOVOFVSETJHIFE-MNOVXSKESA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2ncccn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947845405 653492139 /nfs/dbraw/zinc/49/21/39/653492139.db2.gz JZKRMBHMTOBIBB-GHMZBOCLSA-N -1 1 331.380 -0.319 20 0 EBADMM Cc1nonc1CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H](C)C1 ZINC000947872420 653495725 /nfs/dbraw/zinc/49/57/25/653495725.db2.gz NEEKTKLVPAMYPK-ONGXEEELSA-N -1 1 349.395 -0.488 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)Cn2c(=O)[n-][nH]c2=O)CCN1Cc1ccnn1C ZINC000947980976 653508235 /nfs/dbraw/zinc/50/82/35/653508235.db2.gz SLTJZTDBNCLQDS-MNOVXSKESA-N -1 1 349.395 -0.408 20 0 EBADMM CC(=O)N1CC[C@H]2[C@@H](CCN2C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000945820543 653509724 /nfs/dbraw/zinc/50/97/24/653509724.db2.gz KTMCQTKEMMTWPT-JQWIXIFHSA-N -1 1 330.348 -0.500 20 0 EBADMM CCC(=O)N1CC[C@H]2[C@H](CCN2C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000945816255 653509847 /nfs/dbraw/zinc/50/98/47/653509847.db2.gz SREQTBUVRWWNSM-PWSUYJOCSA-N -1 1 344.375 -0.109 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC1CCN(C(=O)C(N)=O)CC1 ZINC000948084424 653520858 /nfs/dbraw/zinc/52/08/58/653520858.db2.gz ZVMFZEACECSHBB-UHFFFAOYSA-N -1 1 349.391 -0.180 20 0 EBADMM Cc1nonc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H](C)C1 ZINC000948095354 653522500 /nfs/dbraw/zinc/52/25/00/653522500.db2.gz VUQDKTRNYRHNHU-WPRPVWTQSA-N -1 1 335.368 -0.417 20 0 EBADMM CC(=O)N1CC[C@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)CC[C@@H]21 ZINC000945986497 653528170 /nfs/dbraw/zinc/52/81/70/653528170.db2.gz BOLLGKUANUMRMM-STQMWFEESA-N -1 1 334.376 -0.604 20 0 EBADMM CCC(=O)N[C@@H]1CCC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000946055859 653540001 /nfs/dbraw/zinc/54/00/01/653540001.db2.gz FZHKMLNFTVSCTN-NXEZZACHSA-N -1 1 332.364 -0.158 20 0 EBADMM O=C(NC[C@@H]1CCC[C@H]1NC(=O)C1CC1)c1cc(=O)n2[n-]cnc2n1 ZINC000946079559 653541240 /nfs/dbraw/zinc/54/12/40/653541240.db2.gz LMPYHWOLTGQGLJ-WDEREUQCSA-N -1 1 344.375 -0.158 20 0 EBADMM CC[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)CC[C@@H]1NC(C)=O ZINC000948480948 653548452 /nfs/dbraw/zinc/54/84/52/653548452.db2.gz NIIMFODTHLWLAQ-STQMWFEESA-N -1 1 336.392 -0.310 20 0 EBADMM CC[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC[C@H]1NC(C)=O ZINC000948488226 653549388 /nfs/dbraw/zinc/54/93/88/653549388.db2.gz FXUQCQOUXULGLI-GHMZBOCLSA-N -1 1 332.364 -0.206 20 0 EBADMM O=C(NC[C@H]1c2ccccc2CCN1CCO)c1n[nH]c(=O)[n-]c1=O ZINC000948935321 653583316 /nfs/dbraw/zinc/58/33/16/653583316.db2.gz FLGJGDGGOMGZJO-LBPRGKRZSA-N -1 1 345.359 -0.396 20 0 EBADMM CCc1c(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cnn1C ZINC000949076148 653593273 /nfs/dbraw/zinc/59/32/73/653593273.db2.gz GQKDLYAJSWDKSV-UHFFFAOYSA-N -1 1 333.396 -0.638 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCN(CC[C@H]2CCCCO2)CC1 ZINC000949111515 653595539 /nfs/dbraw/zinc/59/55/39/653595539.db2.gz ZIFAQXFFEFQWOH-LLVKDONJSA-N -1 1 337.380 0.000 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)CCc3cccnc3)CC2)nc1=O ZINC000949137013 653807876 /nfs/dbraw/zinc/80/78/76/653807876.db2.gz DGQWHUVMENATAT-UHFFFAOYSA-N -1 1 330.392 -0.220 20 0 EBADMM O=C(NCC1CCN(C(=O)[C@H]2CCNC2=O)CC1)c1ncccc1[O-] ZINC000949231676 653837820 /nfs/dbraw/zinc/83/78/20/653837820.db2.gz WEWDUTJVSNBYIN-LBPRGKRZSA-N -1 1 346.387 -0.108 20 0 EBADMM CN1CCO[C@H](C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])C1 ZINC000949239793 653845351 /nfs/dbraw/zinc/84/53/51/653845351.db2.gz VFHDGXUFWRCKNE-AAEUAGOBSA-N -1 1 334.376 -0.552 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)[C@@H]3CCO[C@H]3C3CC3)CC2)nc1=O ZINC000949393305 653884551 /nfs/dbraw/zinc/88/45/51/653884551.db2.gz SSWWLJDBUJRHMK-OCCSQVGLSA-N -1 1 335.408 -0.432 20 0 EBADMM CC(C)n1ccc(CC(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC000949396067 653885336 /nfs/dbraw/zinc/88/53/36/653885336.db2.gz OOKLPWUJICFKNS-UHFFFAOYSA-N -1 1 347.423 -0.227 20 0 EBADMM C[C@H](C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-])n1cncn1 ZINC000949535157 653922489 /nfs/dbraw/zinc/92/24/89/653922489.db2.gz ORJOWXJKJQZAPT-GHMZBOCLSA-N -1 1 330.348 -0.029 20 0 EBADMM C[C@@H]1CCN(C(=O)C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])C1 ZINC000949538912 653923437 /nfs/dbraw/zinc/92/34/37/653923437.db2.gz UBLZYMGNGTXFFK-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(C[C@H]1CCNC1=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949539093 653923657 /nfs/dbraw/zinc/92/36/57/653923657.db2.gz XVLYIZFROFUNMV-MNOVXSKESA-N -1 1 332.360 -0.356 20 0 EBADMM C[C@H](C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-])S(C)(=O)=O ZINC000949541598 653923762 /nfs/dbraw/zinc/92/37/62/653923762.db2.gz LQHPXDNYSBHZBD-NXEZZACHSA-N -1 1 341.389 -0.449 20 0 EBADMM O=C(C[C@H]1CCNC1=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949539092 653923779 /nfs/dbraw/zinc/92/37/79/653923779.db2.gz XVLYIZFROFUNMV-GHMZBOCLSA-N -1 1 332.360 -0.356 20 0 EBADMM CN1CC[C@H](CC(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])C1=O ZINC000949539051 653923924 /nfs/dbraw/zinc/92/39/24/653923924.db2.gz WXKBYZHNCDEYSS-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM CCN1C[C@H](C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])CC1=O ZINC000949542035 653924399 /nfs/dbraw/zinc/92/43/99/653924399.db2.gz UWEXEOCONZPMKB-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2CC(=O)N(C)C2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000949822019 653980569 /nfs/dbraw/zinc/98/05/69/653980569.db2.gz VNFIOGVPCTXYSE-GRYCIOLGSA-N -1 1 346.387 -0.158 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1ccn[nH]1)c1c[n-]n2c1nccc2=O ZINC000950042541 654024424 /nfs/dbraw/zinc/02/44/24/654024424.db2.gz LBZMTYFSZGSLOQ-SECBINFHSA-N -1 1 341.331 -0.610 20 0 EBADMM O=C(N[C@@H]1CCCN(C(=O)[C@@H]2COCCO2)C1)c1ncccc1[O-] ZINC000950108247 654039199 /nfs/dbraw/zinc/03/91/99/654039199.db2.gz WFAQNPDLTPBHNY-YPMHNXCESA-N -1 1 335.360 -0.077 20 0 EBADMM CN1CCO[C@H](C(=O)N[C@H]2CCCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000950539672 654171707 /nfs/dbraw/zinc/17/17/07/654171707.db2.gz VBYFZLZTCTVOHX-JSGCOSHPSA-N -1 1 348.403 -0.161 20 0 EBADMM O=C(Cn1nccn1)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950542450 654173654 /nfs/dbraw/zinc/17/36/54/654173654.db2.gz BVIXIXLRYAQAGK-NSHDSACASA-N -1 1 330.348 -0.200 20 0 EBADMM CN1C[C@@H](C(=O)N[C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC000950547153 654174909 /nfs/dbraw/zinc/17/49/09/654174909.db2.gz YLHJJMIAMGATAN-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM CCOc1cc(C(=O)N(CC)C2CN(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC000950601342 654193100 /nfs/dbraw/zinc/19/31/00/654193100.db2.gz JSYHPYVEABCYQD-UHFFFAOYSA-N -1 1 349.395 -0.423 20 0 EBADMM CCN(C(=O)c1n[nH]c(=O)[n-]c1=O)C1CN(CC[C@@H]2CCCO2)C1 ZINC000950766462 654255537 /nfs/dbraw/zinc/25/55/37/654255537.db2.gz HMFAAWCJNOUZDA-NSHDSACASA-N -1 1 337.380 -0.002 20 0 EBADMM CCN(C(=O)c1n[nH]c(=O)[n-]c1=O)C1CN(C[C@@H]2C[C@@]23CCOC3)C1 ZINC000950766516 654255553 /nfs/dbraw/zinc/25/55/53/654255553.db2.gz KJAICYJIJODFRE-MGPLVRAMSA-N -1 1 349.391 -0.144 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(Cc2ncccn2)CC1 ZINC000951777358 654279252 /nfs/dbraw/zinc/27/92/52/654279252.db2.gz KRFMQVXXMQFASX-UHFFFAOYSA-N -1 1 344.375 -0.939 20 0 EBADMM CCN(C(=O)c1ccnc(OC)n1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950848344 654285932 /nfs/dbraw/zinc/28/59/32/654285932.db2.gz GIWLUSZJNBKKRC-UHFFFAOYSA-N -1 1 347.379 -0.747 20 0 EBADMM CCN(C(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950924550 654313220 /nfs/dbraw/zinc/31/32/20/654313220.db2.gz WNFCSGGKKAJHBG-JYKNGBAOSA-N -1 1 347.419 -0.436 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CCCN(C(=O)CCc3c[nH]nn3)C2)c1[O-] ZINC000952059419 654382870 /nfs/dbraw/zinc/38/28/70/654382870.db2.gz YPUXFFZHWUBVLB-LLVKDONJSA-N -1 1 347.379 -0.105 20 0 EBADMM CC[C@@H](C)C(=O)N1CC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951221833 654422236 /nfs/dbraw/zinc/42/22/36/654422236.db2.gz ALAVUMFBOOYHSC-NXEZZACHSA-N -1 1 332.364 -0.206 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCN1C(=O)[C@@H](C)OC ZINC000951221851 654423164 /nfs/dbraw/zinc/42/31/64/654423164.db2.gz ATFJPRJTUJCKCB-HTQZYQBOSA-N -1 1 340.336 -0.738 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1ccoc1)c1cc(=O)n2[n-]cnc2n1 ZINC000951223151 654424554 /nfs/dbraw/zinc/42/45/54/654424554.db2.gz ZEWYOQRQYYKIQP-JTQLQIEISA-N -1 1 342.315 -0.345 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)C1=CCCC1)c1cc(=O)n2[n-]cnc2n1 ZINC000951224459 654425453 /nfs/dbraw/zinc/42/54/53/654425453.db2.gz FXYPISNSQKMWEZ-LLVKDONJSA-N -1 1 342.359 -0.141 20 0 EBADMM NC(=O)C(=O)N1CC[C@]2(C1)CCCN(C(=O)c1ncccc1[O-])C2 ZINC000952944101 654513516 /nfs/dbraw/zinc/51/35/16/654513516.db2.gz YFJOOCXEGDCGSH-MRXNPFEDSA-N -1 1 332.360 -0.273 20 0 EBADMM CCC(=O)N1CC[C@@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)[C@H]2C1 ZINC000953227507 654545123 /nfs/dbraw/zinc/54/51/23/654545123.db2.gz JCPXRXZMNRBIFI-OLZOCXBDSA-N -1 1 348.403 -0.214 20 0 EBADMM CC(=O)N1CCCC[C@@H]1[C@@H](C)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000953494530 654591653 /nfs/dbraw/zinc/59/16/53/654591653.db2.gz FFWWUMMYFDEMPM-BXKDBHETSA-N -1 1 332.364 -0.063 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N(C)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000953624068 654599830 /nfs/dbraw/zinc/59/98/30/654599830.db2.gz GXVJVROGOYHQBA-WPRPVWTQSA-N -1 1 330.348 -0.644 20 0 EBADMM CN(C(=O)c1ccon1)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000953817313 654633863 /nfs/dbraw/zinc/63/38/63/654633863.db2.gz JCGADIUXYVXVTP-UHFFFAOYSA-N -1 1 343.303 -0.998 20 0 EBADMM CN(C(=O)[C@@H]1COC(=O)N1)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000953905624 654651826 /nfs/dbraw/zinc/65/18/26/654651826.db2.gz DURCUWCGPFDYRO-NSHDSACASA-N -1 1 348.359 -0.041 20 0 EBADMM CC(=O)N1CCC[C@@H](CN(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000965771723 724488259 /nfs/dbraw/zinc/48/82/59/724488259.db2.gz RQOBTQUZHZOOLP-NSHDSACASA-N -1 1 332.364 -0.252 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)cnn1 ZINC000965957491 724548214 /nfs/dbraw/zinc/54/82/14/724548214.db2.gz DBFYPILIIOUKMC-ZWNOBZJWSA-N -1 1 345.407 -0.153 20 0 EBADMM CCn1nncc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1C ZINC000965981072 724554444 /nfs/dbraw/zinc/55/44/44/724554444.db2.gz SXNIIQCNLQAPRU-WDEREUQCSA-N -1 1 348.411 -0.640 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)C[C@@H]2CCNC2=O)C1 ZINC000954125644 719570087 /nfs/dbraw/zinc/57/00/87/719570087.db2.gz WQZVKAGAYPEKGO-JTQLQIEISA-N -1 1 332.360 -0.404 20 0 EBADMM C[C@@H](C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1)N1CCOCC1 ZINC000954127737 719571089 /nfs/dbraw/zinc/57/10/89/719571089.db2.gz FBZILNJNQULPAH-LBPRGKRZSA-N -1 1 348.403 -0.209 20 0 EBADMM CN(C(=O)C(N)=O)C1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000954259368 719644136 /nfs/dbraw/zinc/64/41/36/719644136.db2.gz HEQABCLLMINPCZ-UHFFFAOYSA-N -1 1 347.375 -0.392 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(N(C)C(=O)C2(C)CC2)C1 ZINC000954470707 719735785 /nfs/dbraw/zinc/73/57/85/719735785.db2.gz RUNXEGYFIUBSOH-UHFFFAOYSA-N -1 1 336.348 -0.021 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)Cn1cnnn1 ZINC000966332772 724615159 /nfs/dbraw/zinc/61/51/59/724615159.db2.gz USRPGYPLCSMLON-ZJUUUORDSA-N -1 1 331.336 -0.949 20 0 EBADMM C[C@H]1CN(C(=O)CN2CN=NC2=O)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966333689 724615621 /nfs/dbraw/zinc/61/56/21/724615621.db2.gz GMKIMLLSQJKDCC-UWVGGRQHSA-N -1 1 346.347 -0.639 20 0 EBADMM CN(C(=O)[C@H]1CCCC(=O)N1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954532942 719767584 /nfs/dbraw/zinc/76/75/84/719767584.db2.gz JZUARTAZYIKUCW-LLVKDONJSA-N -1 1 332.360 -0.261 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1c[nH]c(=O)cn1 ZINC000966341623 724618201 /nfs/dbraw/zinc/61/82/01/724618201.db2.gz AJAMEMOVDGCHFW-GXSJLCMTSA-N -1 1 343.343 -0.239 20 0 EBADMM C[C@@H]1C[C@H](C(=O)N(C)C2CN(C(=O)c3ncccc3[O-])C2)CC(=O)N1 ZINC000954690526 719841840 /nfs/dbraw/zinc/84/18/40/719841840.db2.gz JMUGPUCZWTVENJ-MNOVXSKESA-N -1 1 346.387 -0.015 20 0 EBADMM CC(=O)N1CC(C(=O)N2C[C@@H](C)[C@@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000966369724 724626702 /nfs/dbraw/zinc/62/67/02/724626702.db2.gz ZZCUUXDLVZZBNW-MFKMUULPSA-N -1 1 346.387 -0.158 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C1CN(C(=O)c2cc[nH]c2)C1 ZINC000954828628 719924280 /nfs/dbraw/zinc/92/42/80/719924280.db2.gz RJTUINLUNPKOGQ-UHFFFAOYSA-N -1 1 347.331 -0.179 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C1CN(C(=O)c2cnco2)C1 ZINC000954829088 719924328 /nfs/dbraw/zinc/92/43/28/719924328.db2.gz VBMSLVUUJAXZLV-UHFFFAOYSA-N -1 1 349.303 -0.519 20 0 EBADMM CC(C)CC(=O)N1CC(N(C)C(=O)c2cc(=O)n3nc[n-]c3n2)C1 ZINC000954831711 719925913 /nfs/dbraw/zinc/92/59/13/719925913.db2.gz KRHHYEXICVHXRW-UHFFFAOYSA-N -1 1 332.364 -0.254 20 0 EBADMM CN(C(=O)[C@H]1CCNC(=O)CC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954850459 719937820 /nfs/dbraw/zinc/93/78/20/719937820.db2.gz FDUCZRHFWJMSLP-LLVKDONJSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)[C@H]1CC[C@@H](C(N)=O)O1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955330152 720122843 /nfs/dbraw/zinc/12/28/43/720122843.db2.gz KIGHLCKTJSQDMZ-NWDGAFQWSA-N -1 1 348.359 -0.897 20 0 EBADMM C[C@H](C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1)[C@H]1CCC(=O)N1 ZINC000955410861 720169676 /nfs/dbraw/zinc/16/96/76/720169676.db2.gz PEWRRRDCVRENQV-CMPLNLGQSA-N -1 1 346.387 -0.015 20 0 EBADMM C[C@H]1C[C@H](NC(=O)C2CC2)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000955744496 720243268 /nfs/dbraw/zinc/24/32/68/720243268.db2.gz CRMCFKBYXLQFCI-GXSJLCMTSA-N -1 1 344.375 -0.063 20 0 EBADMM O=C(Cn1cnnn1)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000955883432 720285726 /nfs/dbraw/zinc/28/57/26/720285726.db2.gz WEQORUMGYGAGCG-JTQLQIEISA-N -1 1 331.336 -0.805 20 0 EBADMM O=C(NC[C@@H]1CCCN1C(=O)[C@@H]1COC(=O)N1)c1ncccc1[O-] ZINC000955992642 720320824 /nfs/dbraw/zinc/32/08/24/720320824.db2.gz RQGQSEQGZWPIIR-UWVGGRQHSA-N -1 1 334.332 -0.384 20 0 EBADMM O=C(CCn1cnnn1)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000956178604 720388080 /nfs/dbraw/zinc/38/80/80/720388080.db2.gz VOGITAUWGOHXDF-NSHDSACASA-N -1 1 345.363 -0.415 20 0 EBADMM C[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1NC(=O)CC1CC1 ZINC000966605676 724696034 /nfs/dbraw/zinc/69/60/34/724696034.db2.gz BDKXFLRZMKSCBY-SKDRFNHKSA-N -1 1 344.375 -0.206 20 0 EBADMM Cc1ncc(CC(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)o1 ZINC000966697213 724722579 /nfs/dbraw/zinc/72/25/79/724722579.db2.gz XHEGWKWJXIDSES-GWCFXTLKSA-N -1 1 348.407 -0.026 20 0 EBADMM C[C@H]1CN(C(=O)C2(C(N)=O)CC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966760703 724743882 /nfs/dbraw/zinc/74/38/82/724743882.db2.gz RLILSHZEODALNI-UWVGGRQHSA-N -1 1 332.360 -0.371 20 0 EBADMM Cc1ncn(C)c1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1C ZINC000966879938 724774404 /nfs/dbraw/zinc/77/44/04/724774404.db2.gz RPOCLQSJIFCHAG-JQWIXIFHSA-N -1 1 347.423 -0.209 20 0 EBADMM CN1C[C@@H](C(=O)N2CC[C@@](C)(NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000956852495 722120181 /nfs/dbraw/zinc/12/01/81/722120181.db2.gz XWRKZTTVNOOWJZ-APPDUMDISA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1NC(=O)C1CC1 ZINC000957053513 722147701 /nfs/dbraw/zinc/14/77/01/722147701.db2.gz MDHMKEFOYRZGGV-LDYMZIIASA-N -1 1 330.348 -0.596 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3ncoc3C3CC3)CC2)nc1=O ZINC000957072352 722151611 /nfs/dbraw/zinc/15/16/11/722151611.db2.gz OKIQPGUTUJGXJQ-UHFFFAOYSA-N -1 1 332.364 -0.068 20 0 EBADMM CCN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(Cc2cnon2)C1 ZINC000957134508 722161989 /nfs/dbraw/zinc/16/19/89/722161989.db2.gz QNQRSVBPDLVDHA-UHFFFAOYSA-N -1 1 344.335 -0.853 20 0 EBADMM CCN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C[C@H](C)OC)C1 ZINC000957134432 722162060 /nfs/dbraw/zinc/16/20/60/722162060.db2.gz NFPNJBYLAXBJNP-JTQLQIEISA-N -1 1 334.380 -0.401 20 0 EBADMM CCCC(=O)N1CC[C@](C)(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000957220084 722176445 /nfs/dbraw/zinc/17/64/45/722176445.db2.gz SXBLBSZBYABHCU-HNNXBMFYSA-N -1 1 332.364 -0.062 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(Cc2ccon2)CC1 ZINC000957314793 722193102 /nfs/dbraw/zinc/19/31/02/722193102.db2.gz OXUFPTGCKSYHLM-UHFFFAOYSA-N -1 1 335.320 -0.158 20 0 EBADMM C[C@H](Oc1ccccn1)C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000957354307 722200938 /nfs/dbraw/zinc/20/09/38/722200938.db2.gz PUGZKSRAVQEWLX-LBPRGKRZSA-N -1 1 346.391 -0.385 20 0 EBADMM CO[C@@H](CN1CCN(C(=O)Cn2c(=O)[n-][nH]c2=O)CC1)C1CCC1 ZINC000957474443 722215794 /nfs/dbraw/zinc/21/57/94/722215794.db2.gz SONLHXGSAIIVOB-LBPRGKRZSA-N -1 1 339.396 -0.351 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3ccc(C(N)=O)cc3)CC2)nc1=O ZINC000957621858 722228941 /nfs/dbraw/zinc/22/89/41/722228941.db2.gz OSVJEZDGSUHDAO-UHFFFAOYSA-N -1 1 344.375 -0.835 20 0 EBADMM Cn1[n-]c(CN2C[C@H](O)[C@H](CNC(=O)c3cccs3)C2)nc1=O ZINC000957839337 722248193 /nfs/dbraw/zinc/24/81/93/722248193.db2.gz XTPZNVDNYVXKCL-ZJUUUORDSA-N -1 1 337.405 -0.607 20 0 EBADMM C[C@H](C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1)C1CCOCC1 ZINC000957961900 722278051 /nfs/dbraw/zinc/27/80/51/722278051.db2.gz YZWGRCCOHULIGA-LBPRGKRZSA-N -1 1 337.424 -0.185 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)oc1C ZINC000957978774 722280762 /nfs/dbraw/zinc/28/07/62/722280762.db2.gz IPHANNOQJSWYFY-NWDGAFQWSA-N -1 1 349.391 -0.459 20 0 EBADMM Cn1nncc1CN1C[C@@H](CNC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000957990420 722283790 /nfs/dbraw/zinc/28/37/90/722283790.db2.gz MPPHMGBFRXRMSE-ZWNOBZJWSA-N -1 1 332.364 -0.862 20 0 EBADMM Cn1[n-]c(CN2C[C@H](O)[C@@H](CNC(=O)C3=CCCCCC3)C2)nc1=O ZINC000958003940 722287481 /nfs/dbraw/zinc/28/74/81/722287481.db2.gz CODSLPDLQRVACU-KBPBESRZSA-N -1 1 349.435 -0.092 20 0 EBADMM O=C(NC[C@@H]1CN(C/C=C\Cl)C[C@@H]1O)c1n[nH]c(=O)[n-]c1=O ZINC000958222655 722329762 /nfs/dbraw/zinc/32/97/62/722329762.db2.gz FNUNWNOAXCQACJ-DREYKADXSA-N -1 1 329.744 -0.942 20 0 EBADMM Cc1ncncc1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000958285684 722337834 /nfs/dbraw/zinc/33/78/34/722337834.db2.gz SWQALADNMRXYJJ-PJXYFTJBSA-N -1 1 329.364 -0.933 20 0 EBADMM Cc1ccc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)cc1 ZINC000958619350 722403190 /nfs/dbraw/zinc/40/31/90/722403190.db2.gz ROBWFLKDPNXLCX-UONOGXRCSA-N -1 1 345.403 -0.360 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)cnn1 ZINC000958676062 722416097 /nfs/dbraw/zinc/41/60/97/722416097.db2.gz SKUYLEQNXHGPBH-PJXYFTJBSA-N -1 1 329.364 -0.933 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)c2ccncn2)C[C@@H]1O ZINC000958830421 722604171 /nfs/dbraw/zinc/60/41/71/722604171.db2.gz UAAMEXJMWLLFRZ-AAEUAGOBSA-N -1 1 343.343 -0.465 20 0 EBADMM Cc1nc[nH]c1C(=O)N1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000958830232 722604201 /nfs/dbraw/zinc/60/42/01/722604201.db2.gz LOZAQPGVXQGHQD-JQWIXIFHSA-N -1 1 345.359 -0.224 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)C[C@H]2CCOC2)C[C@@H]1O ZINC000958830354 722604235 /nfs/dbraw/zinc/60/42/35/722604235.db2.gz QWZROHVRWVQHEY-DYEKYZERSA-N -1 1 349.387 -0.143 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)c2ncc[nH]2)C[C@@H]1O ZINC000958830434 722604446 /nfs/dbraw/zinc/60/44/46/722604446.db2.gz UNYKVUAGQIESHN-ONGXEEELSA-N -1 1 331.332 -0.532 20 0 EBADMM Cc1n[nH]nc1C(=O)N(C)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958908951 722650089 /nfs/dbraw/zinc/65/00/89/722650089.db2.gz FCYYWTLLVBUUMO-ONGXEEELSA-N -1 1 346.347 -0.829 20 0 EBADMM Cc1nn[nH]c1C(=O)N(C)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958908951 722650091 /nfs/dbraw/zinc/65/00/91/722650091.db2.gz FCYYWTLLVBUUMO-ONGXEEELSA-N -1 1 346.347 -0.829 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)Cn2cnnn2)C1 ZINC000959062721 722684655 /nfs/dbraw/zinc/68/46/55/722684655.db2.gz ZOEJMLZLRKAMRN-WDEREUQCSA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@@H](NC(=O)c1n[nH]c(=O)[n-]c1=O)[C@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC000959072311 722684833 /nfs/dbraw/zinc/68/48/33/722684833.db2.gz JCCRPUGGSWOQJK-SFYZADRCSA-N -1 1 347.335 -0.714 20 0 EBADMM CC(C)C(=O)N1C[C@@H](C)[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000959379306 722714357 /nfs/dbraw/zinc/71/43/57/722714357.db2.gz ZYNFLMQMWWRCTB-MNOVXSKESA-N -1 1 346.391 -0.102 20 0 EBADMM C[C@H]1CN(C(=O)Cc2nnc[nH]2)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000959604657 722750053 /nfs/dbraw/zinc/75/00/53/722750053.db2.gz JGRKTFDJVLUPDV-WDEREUQCSA-N -1 1 344.375 -0.028 20 0 EBADMM CC(=O)N1CC(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)C1 ZINC000959976991 722779280 /nfs/dbraw/zinc/77/92/80/722779280.db2.gz LUPFCVRZZFXSQW-LBPRGKRZSA-N -1 1 336.396 -0.541 20 0 EBADMM O=C(c1cc2n(n1)CCO2)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000960033810 722784902 /nfs/dbraw/zinc/78/49/02/722784902.db2.gz IWRAZUHQYANDQS-JTQLQIEISA-N -1 1 347.379 -0.116 20 0 EBADMM O=C([C@@H]1CCC(=O)NC1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000960050977 722785922 /nfs/dbraw/zinc/78/59/22/722785922.db2.gz KXBNWBDITACKKL-MNOVXSKESA-N -1 1 336.396 -0.493 20 0 EBADMM Cn1cc(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)ncc1=O ZINC000960070276 722788285 /nfs/dbraw/zinc/78/82/85/722788285.db2.gz HHLVUYSKOJQHQS-JTQLQIEISA-N -1 1 347.379 -0.612 20 0 EBADMM Cn1cncc1CC(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000960187773 722804850 /nfs/dbraw/zinc/80/48/50/722804850.db2.gz JJTHMEKVMWMJFJ-NSHDSACASA-N -1 1 333.396 -0.043 20 0 EBADMM O=C(c1cnc2n1CCOC2)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001018994099 728767969 /nfs/dbraw/zinc/76/79/69/728767969.db2.gz GBSDYFDTPVDYFX-VIFPVBQESA-N -1 1 333.352 -0.759 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)Cc2cncs2)nc1=O ZINC000960407362 722826556 /nfs/dbraw/zinc/82/65/56/722826556.db2.gz WWHJSGIBBLDXGH-IWIIMEHWSA-N -1 1 334.405 -0.646 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)C[C@H]1CCOC1 ZINC000960508875 722834888 /nfs/dbraw/zinc/83/48/88/722834888.db2.gz DQEVMSQLEIGPQC-CHWSQXEVSA-N -1 1 337.424 -0.042 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)C(C)(C)C(N)=O ZINC000960680824 722852634 /nfs/dbraw/zinc/85/26/34/722852634.db2.gz QMCTUNZBSZNCIA-JTQLQIEISA-N -1 1 338.412 -0.957 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(Cc3cccnc3)C[C@H]21)c1n[nH]c(=O)[n-]c1=O ZINC000960836211 722871273 /nfs/dbraw/zinc/87/12/73/722871273.db2.gz JFVANHKMAUSUHX-URLYPYJESA-N -1 1 328.332 -0.462 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)c1ccnnc1 ZINC000960891109 722877166 /nfs/dbraw/zinc/87/71/66/722877166.db2.gz VURSOEJINJOKIR-LBPRGKRZSA-N -1 1 331.380 -0.365 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)Cc1ncc[nH]1 ZINC000960942930 722880580 /nfs/dbraw/zinc/88/05/80/722880580.db2.gz AZOJTUCQSBPVEP-LLVKDONJSA-N -1 1 333.396 -0.503 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)Cc1ncc[nH]1 ZINC000960942931 722880655 /nfs/dbraw/zinc/88/06/55/722880655.db2.gz AZOJTUCQSBPVEP-NSHDSACASA-N -1 1 333.396 -0.503 20 0 EBADMM Cc1[nH]nc(C(=O)N2C[C@@H]3CN(C(=O)c4ccn[nH]4)C[C@@H]3C2)c1[O-] ZINC000961061917 722892454 /nfs/dbraw/zinc/89/24/54/722892454.db2.gz YVNMLKMTQVTGMS-AOOOYVTPSA-N -1 1 330.348 -0.009 20 0 EBADMM O=C(c1ccc2nc[nH]c2n1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019026025 728776227 /nfs/dbraw/zinc/77/62/27/728776227.db2.gz PIYUYCPMOANMOV-QMMMGPOBSA-N -1 1 328.336 -0.214 20 0 EBADMM COc1ccncc1CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019031711 728776680 /nfs/dbraw/zinc/77/66/80/728776680.db2.gz UUUIQWPPGIPNOU-NSHDSACASA-N -1 1 332.364 -0.153 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1cccnc1 ZINC000961206773 722916466 /nfs/dbraw/zinc/91/64/66/722916466.db2.gz KSAIUKMXBYZGAR-YPMHNXCESA-N -1 1 328.328 -0.203 20 0 EBADMM O=C(Cn1nccn1)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC000961426556 723017352 /nfs/dbraw/zinc/01/73/52/723017352.db2.gz DOFNSJQYHYSFRS-TXEJJXNPSA-N -1 1 342.359 -0.391 20 0 EBADMM O=C(Cn1ncnn1)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001019047820 728781437 /nfs/dbraw/zinc/78/14/37/728781437.db2.gz BWTZFDVYEAWCLU-UHFFFAOYSA-N -1 1 343.347 -0.852 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)n1cccn1 ZINC000961681541 723057584 /nfs/dbraw/zinc/05/75/84/723057584.db2.gz XCAQSCPBSOAJGR-WZRBSPASSA-N -1 1 345.359 -0.454 20 0 EBADMM Cc1cc(CC(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)no1 ZINC000961936002 723125162 /nfs/dbraw/zinc/12/51/62/723125162.db2.gz WZGOWOUBIDLQNQ-YPMHNXCESA-N -1 1 346.343 -0.372 20 0 EBADMM Cc1nc[nH]c(=O)c1CCC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019083211 728791395 /nfs/dbraw/zinc/79/13/95/728791395.db2.gz KVKWPEYDAFJVGP-JTQLQIEISA-N -1 1 347.379 -0.362 20 0 EBADMM O=C(CC1CCOCC1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000961973099 723136814 /nfs/dbraw/zinc/13/68/14/723136814.db2.gz BAQVKIVUIAQWPD-OCCSQVGLSA-N -1 1 349.387 -0.095 20 0 EBADMM O=C(c1cccc2ncnn21)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019099834 728796299 /nfs/dbraw/zinc/79/62/99/728796299.db2.gz NAGQONDUEBVCQB-VIFPVBQESA-N -1 1 328.336 -0.443 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ncccc2F)nc1=O ZINC000962135994 723177931 /nfs/dbraw/zinc/17/79/31/723177931.db2.gz PARMFZZCASBLCT-GDGBQDQQSA-N -1 1 332.339 -0.497 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)[C@H]2CC2(F)F)C[C@@H]1O)c1ncccc1[O-] ZINC000962433918 723251486 /nfs/dbraw/zinc/25/14/86/723251486.db2.gz ANUCMZWYTAYJKN-MRTMQBJTSA-N -1 1 327.287 -0.256 20 0 EBADMM CC[C@@H]1OCC[C@H]1C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962433998 723251506 /nfs/dbraw/zinc/25/15/06/723251506.db2.gz CMTMLQWTYYLAFE-RFHZTLPTSA-N -1 1 349.387 -0.096 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ccc(F)cn2)C[C@@H]1O)c1ncccc1[O-] ZINC000962434349 723251542 /nfs/dbraw/zinc/25/15/42/723251542.db2.gz JCTAKBYYZGQAOC-YPMHNXCESA-N -1 1 346.318 -0.063 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)[C@H]2CCCOC2)C[C@@H]1O)c1ncccc1[O-] ZINC000962435022 723251872 /nfs/dbraw/zinc/25/18/72/723251872.db2.gz NIOSVLZUYAXOQG-LOWVWBTDSA-N -1 1 335.360 -0.485 20 0 EBADMM O=C(CC[C@H]1CCOC1)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962434887 723251945 /nfs/dbraw/zinc/25/19/45/723251945.db2.gz MFKLHZIAGWZCNC-SCRDCRAPSA-N -1 1 349.387 -0.095 20 0 EBADMM Cn1cnc(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)c1 ZINC000962435770 723252568 /nfs/dbraw/zinc/25/25/68/723252568.db2.gz UBCLMGWDZWUUOO-SKDRFNHKSA-N -1 1 331.332 -0.864 20 0 EBADMM Cc1cnn(C)c1C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962436074 723252987 /nfs/dbraw/zinc/25/29/87/723252987.db2.gz ASJGODVSVDGPAI-PWSUYJOCSA-N -1 1 345.359 -0.556 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)cnn1 ZINC000962501770 723269198 /nfs/dbraw/zinc/26/91/98/723269198.db2.gz ULUNYOWPFWEVQP-YPMHNXCESA-N -1 1 343.343 -0.499 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)C1CCC(O)CC1 ZINC000962583550 723289713 /nfs/dbraw/zinc/28/97/13/723289713.db2.gz KWKXPYRQDHWULC-YZVRNYIASA-N -1 1 349.387 -0.360 20 0 EBADMM Cn1c(Cl)ncc1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019145530 728808517 /nfs/dbraw/zinc/80/85/17/728808517.db2.gz DJDFFBWYRGNNTM-ZETCQYMHSA-N -1 1 325.760 -0.099 20 0 EBADMM COCC(=O)N1C[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)[C@@H](O)C1 ZINC000963250672 723396822 /nfs/dbraw/zinc/39/68/22/723396822.db2.gz RAQLAASUOZQDAQ-MNOVXSKESA-N -1 1 336.348 -0.993 20 0 EBADMM CC(=O)N1CC[C@H]2[C@H]1CCCN2C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000963395536 723417370 /nfs/dbraw/zinc/41/73/70/723417370.db2.gz IJYVZSOIDLYQNJ-NEPJUHHUSA-N -1 1 330.348 -0.357 20 0 EBADMM CCC(=O)N1CCC2(CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)C1 ZINC001019207876 728826247 /nfs/dbraw/zinc/82/62/47/728826247.db2.gz XYWHRKHILHKHOV-UHFFFAOYSA-N -1 1 330.348 -0.498 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1ncccc1[O-])C1=COCCO1 ZINC000964078948 723787539 /nfs/dbraw/zinc/78/75/39/723787539.db2.gz HJDFXGAWFPVINV-LLVKDONJSA-N -1 1 349.343 -0.367 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1csnn1)c1ncccc1[O-] ZINC000964152940 723839576 /nfs/dbraw/zinc/83/95/76/723839576.db2.gz HFJVGPXIWCRKLD-SECBINFHSA-N -1 1 349.372 -0.090 20 0 EBADMM O=C(Cc1ccon1)N1CCOC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000964157837 723843927 /nfs/dbraw/zinc/84/39/27/723843927.db2.gz LERUXXDBCOHQMX-GFCCVEGCSA-N -1 1 346.343 -0.025 20 0 EBADMM Cn1cc(C(=O)N2CCOC[C@H]2CNC(=O)c2ncccc2[O-])cn1 ZINC000964158292 723844270 /nfs/dbraw/zinc/84/42/70/723844270.db2.gz NCHRZKMJWOFFMH-GFCCVEGCSA-N -1 1 345.359 -0.208 20 0 EBADMM Cc1nonc1C(=O)NC[C@H]1COCCN1C(=O)c1ncccc1[O-] ZINC000964238417 723903420 /nfs/dbraw/zinc/90/34/20/723903420.db2.gz QWTLWKYDSKKRGT-JTQLQIEISA-N -1 1 347.331 -0.250 20 0 EBADMM O=C(Cn1ncnn1)N1CCCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000964503020 724019829 /nfs/dbraw/zinc/01/98/29/724019829.db2.gz LWTXJMJSMFEMPL-LLVKDONJSA-N -1 1 345.363 -0.415 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C1CCOCC1 ZINC000964627558 724044436 /nfs/dbraw/zinc/04/44/36/724044436.db2.gz DJADFUGNWOREEN-WCQYABFASA-N -1 1 337.424 -0.138 20 0 EBADMM O=C(N[C@@H]1CCCN(C(=O)[C@@H]2CNC(=O)N2)CC1)c1ncccc1[O-] ZINC000964855424 724066756 /nfs/dbraw/zinc/06/67/56/724066756.db2.gz OJTZLFTZVBZXOG-MNOVXSKESA-N -1 1 347.375 -0.421 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N[C@H]1CCCN(C(=O)c2ccn[nH]2)CC1 ZINC000965061693 724110879 /nfs/dbraw/zinc/11/08/79/724110879.db2.gz LMXBQZDXXSMYBU-VIFPVBQESA-N -1 1 349.351 -0.777 20 0 EBADMM CO[C@@H](C)C(=O)N1CCOC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000965318003 724173219 /nfs/dbraw/zinc/17/32/19/724173219.db2.gz DPRGKKCTQAJZRF-NSHDSACASA-N -1 1 335.360 -0.125 20 0 EBADMM O=C(c1cnon1)N1CC2(C1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC000965361962 724198092 /nfs/dbraw/zinc/19/80/92/724198092.db2.gz RXEQDCPFFMGWDQ-UHFFFAOYSA-N -1 1 345.315 -0.463 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)[nH]n1 ZINC000965374053 724206691 /nfs/dbraw/zinc/20/66/91/724206691.db2.gz RLNNAGRHKKALDT-SKDRFNHKSA-N -1 1 333.396 -0.220 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cnns1 ZINC000965398649 724218463 /nfs/dbraw/zinc/21/84/63/724218463.db2.gz YDJBFFWVCLDADW-DTWKUNHWSA-N -1 1 337.409 -0.400 20 0 EBADMM Cc1ncc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)[nH]1 ZINC000965627921 724326574 /nfs/dbraw/zinc/32/65/74/724326574.db2.gz TUHYGROBWFOAIB-JOYOIKCWSA-N -1 1 333.396 -0.220 20 0 EBADMM O=C(N[C@H]1COC2(CN(C(=O)c3ncccc3[O-])C2)C1)c1ccn[nH]1 ZINC000965633890 724328199 /nfs/dbraw/zinc/32/81/99/724328199.db2.gz ALLQAUFRUCAJTC-SNVBAGLBSA-N -1 1 343.343 -0.076 20 0 EBADMM O=C(c1cnc(C2CC2)[nH]c1=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019478865 728897134 /nfs/dbraw/zinc/89/71/34/728897134.db2.gz PIQCQVAVBKSLFZ-VIFPVBQESA-N -1 1 345.363 -0.112 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cncn1C ZINC000967269202 724859603 /nfs/dbraw/zinc/85/96/03/724859603.db2.gz TVKTTZNEKAANLK-WDEREUQCSA-N -1 1 333.396 -0.518 20 0 EBADMM CCN(C(=O)C1CCC1)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000967716960 724898058 /nfs/dbraw/zinc/89/80/58/724898058.db2.gz USPMIPWYVBHNKU-UHFFFAOYSA-N -1 1 348.403 -0.214 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CN(C)CCO2)C1 ZINC000967902567 724927970 /nfs/dbraw/zinc/92/79/70/724927970.db2.gz ZQKNUFHFMGADFY-CQSZACIVSA-N -1 1 348.403 -0.209 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)c2cnnn2C)C1 ZINC000967902368 724927990 /nfs/dbraw/zinc/92/79/90/724927990.db2.gz ZAQDKGZWCNXWKQ-UHFFFAOYSA-N -1 1 330.348 -0.098 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CN(C)C(=O)N2)C1 ZINC000967906805 724928566 /nfs/dbraw/zinc/92/85/66/724928566.db2.gz JHMJGAGOSPVKTF-LLVKDONJSA-N -1 1 347.375 -0.516 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CC[C@@H]2C(N)=O)C1 ZINC000967909679 724929587 /nfs/dbraw/zinc/92/95/87/724929587.db2.gz ZDKPQDADTFUIOF-NWDGAFQWSA-N -1 1 346.387 -0.028 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cc1cnoc1 ZINC000967970996 724940747 /nfs/dbraw/zinc/94/07/47/724940747.db2.gz SHFSZHWFCCKFNV-CMPLNLGQSA-N -1 1 334.380 -0.334 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H](NCc2cscn2)C1 ZINC001019523407 728907865 /nfs/dbraw/zinc/90/78/65/728907865.db2.gz XOUHFINYDRHNTB-NSHDSACASA-N -1 1 349.416 -0.226 20 0 EBADMM Cc1cc(CN[C@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)no1 ZINC001019523415 728907945 /nfs/dbraw/zinc/90/79/45/728907945.db2.gz YFXFIBLFIKRJHP-LBPRGKRZSA-N -1 1 347.375 -0.386 20 0 EBADMM CCN(C(=O)[C@H]1CC(=O)N(C)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000968048497 724951883 /nfs/dbraw/zinc/95/18/83/724951883.db2.gz WCQOJNYWLAGCPI-NSHDSACASA-N -1 1 346.387 -0.062 20 0 EBADMM CCN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)[C@@H](C)OC)C1 ZINC000968152947 724970544 /nfs/dbraw/zinc/97/05/44/724970544.db2.gz GLLOJQGNXIOEAK-SECBINFHSA-N -1 1 348.363 -0.875 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1n[nH]cc1F ZINC000968193706 724976105 /nfs/dbraw/zinc/97/61/05/724976105.db2.gz WKUGRASJLUQERE-PSASIEDQSA-N -1 1 337.359 -0.389 20 0 EBADMM Cc1cccn(CC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c1=O ZINC000968650744 725096104 /nfs/dbraw/zinc/09/61/04/725096104.db2.gz LXELDEOKYJKNKM-LLVKDONJSA-N -1 1 332.364 -0.629 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cn1ccnc1 ZINC000968703704 725105500 /nfs/dbraw/zinc/10/55/00/725105500.db2.gz YVUVVTQYKVNOKB-VXGBXAGGSA-N -1 1 333.396 -0.668 20 0 EBADMM CC(C)(C)n1nnc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000968748641 725112404 /nfs/dbraw/zinc/11/24/04/725112404.db2.gz IKJYRBPPAGMJQA-MRVPVSSYSA-N -1 1 335.372 -0.744 20 0 EBADMM Cn1cc(Cl)c(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000968783414 725114408 /nfs/dbraw/zinc/11/44/08/725114408.db2.gz XOLBXXKOXHWZMH-SSDOTTSWSA-N -1 1 325.760 -0.099 20 0 EBADMM O=C(c1cnc2n[nH]nc2c1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000968932564 725130506 /nfs/dbraw/zinc/13/05/06/725130506.db2.gz LVGAVLDWIPZATQ-MRVPVSSYSA-N -1 1 329.324 -0.819 20 0 EBADMM O=C(Cn1ncnn1)N1[C@@H]2CC[C@H]1CN(C(=O)c1ncccc1[O-])C2 ZINC000969076391 725148408 /nfs/dbraw/zinc/14/84/08/725148408.db2.gz NJFSEJFPAHDVCS-PHIMTYICSA-N -1 1 343.347 -0.711 20 0 EBADMM NC(=O)c1cccc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC000969116928 725153097 /nfs/dbraw/zinc/15/30/97/725153097.db2.gz HISWRZJHPQDMQH-LLVKDONJSA-N -1 1 330.348 -0.387 20 0 EBADMM Cn1nc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cc1Cl ZINC000969276942 725167921 /nfs/dbraw/zinc/16/79/21/725167921.db2.gz UTIDKFYXMZXUPA-SSDOTTSWSA-N -1 1 325.760 -0.099 20 0 EBADMM Cc1cc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC000969365542 725174578 /nfs/dbraw/zinc/17/45/78/725174578.db2.gz LCGXTOWLZFUPRW-SNVBAGLBSA-N -1 1 333.396 -0.599 20 0 EBADMM C[C@@H](NC(=O)c1ccnc(F)c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969380394 725175361 /nfs/dbraw/zinc/17/53/61/725175361.db2.gz FFCXUDXSHISAAX-SECBINFHSA-N -1 1 334.355 -0.107 20 0 EBADMM Cc1nc(C)c(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)o1 ZINC000969443640 725181290 /nfs/dbraw/zinc/18/12/90/725181290.db2.gz KMBBVEJVQWHMBF-MRVPVSSYSA-N -1 1 334.380 -0.036 20 0 EBADMM C[C@@H](NC(=O)c1cccc(=O)[nH]1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969448213 725181905 /nfs/dbraw/zinc/18/19/05/725181905.db2.gz GZHRYAKMSAOQLV-SECBINFHSA-N -1 1 332.364 -0.541 20 0 EBADMM CCc1c(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)nnn1CC ZINC000969935487 725229772 /nfs/dbraw/zinc/22/97/72/725229772.db2.gz XGKYGYNWCUCGJF-SECBINFHSA-N -1 1 334.384 -0.312 20 0 EBADMM CC(C)(C)n1cnc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000969949854 725231340 /nfs/dbraw/zinc/23/13/40/725231340.db2.gz AIZBZEOMDGNEME-SECBINFHSA-N -1 1 334.384 -0.139 20 0 EBADMM Cc1cc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)ncc1C(N)=O ZINC000970024869 725240573 /nfs/dbraw/zinc/24/05/73/725240573.db2.gz VZJCXAXOTFCQTH-SECBINFHSA-N -1 1 345.363 -0.683 20 0 EBADMM O=C(c1ccc2nncn2c1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970250607 725267803 /nfs/dbraw/zinc/26/78/03/725267803.db2.gz BENKHRDWBYZZQW-SNVBAGLBSA-N -1 1 328.336 -0.443 20 0 EBADMM C[C@@H](NC(=O)[C@@H]1CCCc2n[nH]nc21)C1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC000970343136 725280391 /nfs/dbraw/zinc/28/03/91/725280391.db2.gz IRPXVFHHGBSYOU-PSASIEDQSA-N -1 1 346.395 -0.315 20 0 EBADMM Cc1c(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)nnn1C(C)C ZINC000970366140 725284360 /nfs/dbraw/zinc/28/43/60/725284360.db2.gz YDDOPCOOXFQRMX-SNVBAGLBSA-N -1 1 334.384 -0.005 20 0 EBADMM Cc1nc2nccc(C(=O)N3CC[C@@H](NCc4n[nH]c(=O)[n-]4)C3)n2n1 ZINC000970469476 725293846 /nfs/dbraw/zinc/29/38/46/725293846.db2.gz FNSJKMQKVINGQZ-SECBINFHSA-N -1 1 343.351 -0.739 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N1CC[C@@H](NCc2cc3n(n2)CCC3)C1 ZINC000970581128 725301641 /nfs/dbraw/zinc/30/16/41/725301641.db2.gz FBBNIAUDLLITOT-SNVBAGLBSA-N -1 1 347.379 -0.777 20 0 EBADMM Cn1nnc2ccc(C(=O)N3CC[C@@H](NCc4n[nH]c(=O)[n-]4)C3)cc21 ZINC000970589281 725303163 /nfs/dbraw/zinc/30/31/63/725303163.db2.gz IBMBZQCQTBOTED-SNVBAGLBSA-N -1 1 342.363 -0.204 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970596677 725303593 /nfs/dbraw/zinc/30/35/93/725303593.db2.gz MVNWRJPLUYRZOT-MRVPVSSYSA-N -1 1 333.396 -0.301 20 0 EBADMM Cc1nc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c(C)o1 ZINC000970639307 725309453 /nfs/dbraw/zinc/30/94/53/725309453.db2.gz FOAQLMFRMFURDP-QMMMGPOBSA-N -1 1 334.380 -0.036 20 0 EBADMM COc1cccc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000970672209 725314944 /nfs/dbraw/zinc/31/49/44/725314944.db2.gz GLZNGMLWZFPYHI-JTQLQIEISA-N -1 1 346.391 -0.238 20 0 EBADMM COc1ccc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC000970693402 725318083 /nfs/dbraw/zinc/31/80/83/725318083.db2.gz MUOFLNHYLQPGLC-VIFPVBQESA-N -1 1 347.379 -0.843 20 0 EBADMM O=C(c1noc2c1COCC2)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970760132 725325418 /nfs/dbraw/zinc/32/54/18/725325418.db2.gz DNPQHODCJVFOLD-MRVPVSSYSA-N -1 1 334.336 -0.425 20 0 EBADMM Cc1nnc2n1C[C@@H](C(=O)N1CC[C@@H](NCc3n[nH]c(=O)[n-]3)C1)CC2 ZINC000970781063 725327213 /nfs/dbraw/zinc/32/72/13/725327213.db2.gz XREFUNXWFSSSET-WDEREUQCSA-N -1 1 346.395 -0.637 20 0 EBADMM C[C@@H](C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1)n1cccn1 ZINC000971120728 725351354 /nfs/dbraw/zinc/35/13/54/725351354.db2.gz NEWYKVCCRLSYKQ-RYUDHWBXSA-N -1 1 333.396 -0.401 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)c2ccncc2F)C1 ZINC000971258552 725356757 /nfs/dbraw/zinc/35/67/57/725356757.db2.gz WRFSYCJIQZFTGP-JTQLQIEISA-N -1 1 334.355 -0.011 20 0 EBADMM CCn1nnc(C)c1C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000971667116 725429225 /nfs/dbraw/zinc/42/92/25/725429225.db2.gz JAVKRXGEHDYOQQ-LLVKDONJSA-N -1 1 348.411 -0.625 20 0 EBADMM Cc1nn(C)cc1CC(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000971696041 725431159 /nfs/dbraw/zinc/43/11/59/725431159.db2.gz KKOOQBSEKZFYAC-ZDUSSCGKSA-N -1 1 347.423 -0.574 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)c2ccn(C)c(=O)c2)C1 ZINC000971812001 725439110 /nfs/dbraw/zinc/43/91/10/725439110.db2.gz VXSMZSGHCOOCTQ-LBPRGKRZSA-N -1 1 346.391 -0.846 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@H]2CCN(C(=O)[C@H]3COC(=O)N3)C[C@H]21 ZINC000971900407 725446960 /nfs/dbraw/zinc/44/69/60/725446960.db2.gz SEKJIACCVZYIHB-GMTAPVOTSA-N -1 1 346.343 -0.432 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)c2ccc(C(N)=O)o2)C1 ZINC000971901967 725447003 /nfs/dbraw/zinc/44/70/03/725447003.db2.gz RNIJMRNOBMWOSA-VIFPVBQESA-N -1 1 348.363 -0.853 20 0 EBADMM CCCN1C[C@@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC000991584550 725457366 /nfs/dbraw/zinc/45/73/66/725457366.db2.gz KTDSGFPGQFPOBL-NSHDSACASA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@]3(CCN(C(=O)c4ccco4)C3)C2)nc1=O ZINC000972227046 725481148 /nfs/dbraw/zinc/48/11/48/725481148.db2.gz GUBUVCLJGCNEJP-INIZCTEOSA-N -1 1 347.375 -0.182 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@]3(CCN(C(=O)c4ccc[nH]4)C3)C2)nc1=O ZINC000972256221 725486681 /nfs/dbraw/zinc/48/66/81/725486681.db2.gz ICKGSEBPTZHSNS-INIZCTEOSA-N -1 1 346.391 -0.446 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1cnco1 ZINC000991641586 725505645 /nfs/dbraw/zinc/50/56/45/725505645.db2.gz KYUOLRDKIGUHHA-KOLCDFICSA-N -1 1 332.316 -0.219 20 0 EBADMM C[C@H](C(N)=O)N1CCO[C@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000972356223 725505698 /nfs/dbraw/zinc/50/56/98/725505698.db2.gz WHGMLTHNWGKGKH-BDJLRTHQSA-N -1 1 334.376 -0.422 20 0 EBADMM Cc1n[nH]cc1C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000991646616 725509587 /nfs/dbraw/zinc/50/95/87/725509587.db2.gz OVXVRFASWBUBAZ-YPMHNXCESA-N -1 1 345.359 -0.176 20 0 EBADMM CC[C@H](C(N)=O)N(C)[C@H]1CCN(C(=O)c2c[n-]n3c2nccc3=O)C1 ZINC000972494328 725535734 /nfs/dbraw/zinc/53/57/34/725535734.db2.gz CTSJFBVWIWDBGT-CMPLNLGQSA-N -1 1 346.391 -0.567 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@@]2(C1)CN(Cc1nc(=O)n(C)[n-]1)CCO2 ZINC000972501912 725536713 /nfs/dbraw/zinc/53/67/13/725536713.db2.gz JENDQMQFMVVBEE-HSMVNMDESA-N -1 1 335.408 -0.432 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)N1CC[C@]2(C1)CN(Cc1nc(=O)n(C)[n-]1)CCO2 ZINC000972565363 725551125 /nfs/dbraw/zinc/55/11/25/725551125.db2.gz QUDXZAQWCPALDA-PBFPGSCMSA-N -1 1 349.435 -0.042 20 0 EBADMM Cc1c[nH]cc1C(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000991707755 725561341 /nfs/dbraw/zinc/56/13/41/725561341.db2.gz YUXLTMDOYJPHBZ-UHFFFAOYSA-N -1 1 341.331 -0.691 20 0 EBADMM Cc1cc(=O)c(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)c[nH]1 ZINC000972661701 725573173 /nfs/dbraw/zinc/57/31/73/725573173.db2.gz JPGVQYSIIINKII-NSHDSACASA-N -1 1 346.391 -0.548 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)c1n[nH]cc1F ZINC000991753388 725604784 /nfs/dbraw/zinc/60/47/84/725604784.db2.gz LEZBHRXHQRPKEJ-MWLCHTKSSA-N -1 1 349.322 -0.345 20 0 EBADMM Cc1nnc(CC(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)[nH]1 ZINC000973200933 725668354 /nfs/dbraw/zinc/66/83/54/725668354.db2.gz GBGBSODQJWZJQR-MGCOHNPYSA-N -1 1 330.348 -0.167 20 0 EBADMM Cc1nc(CC(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)n[nH]1 ZINC000973200933 725668360 /nfs/dbraw/zinc/66/83/60/725668360.db2.gz GBGBSODQJWZJQR-MGCOHNPYSA-N -1 1 330.348 -0.167 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)[C@H]4[C@@H]5COC[C@@H]54)CCC[C@H]23)nc1=O ZINC000992142753 725734519 /nfs/dbraw/zinc/73/45/19/725734519.db2.gz NJYOPJMVKNGLRF-XYIQXXRISA-N -1 1 347.419 -0.386 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)Cc4ncc[nH]4)CCC[C@@H]23)nc1=O ZINC000992357378 725785760 /nfs/dbraw/zinc/78/57/60/725785760.db2.gz ZTUYYLRXINPJBA-BDJLRTHQSA-N -1 1 345.407 -0.313 20 0 EBADMM NC(=O)C(=O)N1CCC[C@H]2CN(C(=O)c3ncccc3[O-])CC[C@H]21 ZINC000973752126 725798275 /nfs/dbraw/zinc/79/82/75/725798275.db2.gz FYXKAKXOMDROJG-WDEREUQCSA-N -1 1 332.360 -0.274 20 0 EBADMM CC(=O)N1CC[C@H]2[C@H](CCCN2C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000973752717 725798581 /nfs/dbraw/zinc/79/85/81/725798581.db2.gz YEEZZTRFTVOUPH-YPMHNXCESA-N -1 1 344.375 -0.109 20 0 EBADMM C[C@H]1C[C@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000973823572 725805800 /nfs/dbraw/zinc/80/58/00/725805800.db2.gz AWKTUWFVFQYYQI-AXTSPUMRSA-N -1 1 330.348 -0.549 20 0 EBADMM Nc1nccnc1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000973961239 725835101 /nfs/dbraw/zinc/83/51/01/725835101.db2.gz DVBCUYGUYWFKPD-DTORHVGOSA-N -1 1 328.332 -0.150 20 0 EBADMM C[C@H]1[C@@H](NC(=O)C2=COCCO2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993002512 725849800 /nfs/dbraw/zinc/84/98/00/725849800.db2.gz AEKBTNHCWKRTCV-QWRGUYRKSA-N -1 1 337.380 -0.534 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2cnn(C)c2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993021922 725851495 /nfs/dbraw/zinc/85/14/95/725851495.db2.gz LBVQSUFJXLMGPB-JQWIXIFHSA-N -1 1 333.396 -0.375 20 0 EBADMM Cc1cnn(CC(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)c1 ZINC000993084280 725853991 /nfs/dbraw/zinc/85/39/91/725853991.db2.gz WCQFZCQXIFLZAZ-QWHCGFSZSA-N -1 1 347.423 -0.217 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2ccnn2C)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993116879 725856036 /nfs/dbraw/zinc/85/60/36/725856036.db2.gz YBNLUJYLPMIOGX-WDEREUQCSA-N -1 1 333.396 -0.375 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ccnn2C)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993116859 725856224 /nfs/dbraw/zinc/85/62/24/725856224.db2.gz YBNLUJYLPMIOGX-MNOVXSKESA-N -1 1 333.396 -0.375 20 0 EBADMM C[C@@H]1CN(C)C[C@H](C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)O1 ZINC000974054758 725858079 /nfs/dbraw/zinc/85/80/79/725858079.db2.gz MGPMIQMOQIVEMC-NRWUCQMLSA-N -1 1 348.403 -0.117 20 0 EBADMM Cc1c(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)nnn1C ZINC000993188253 725860696 /nfs/dbraw/zinc/86/06/96/725860696.db2.gz SCKQRJAEPMSQDK-MWLCHTKSSA-N -1 1 348.411 -0.672 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cnn(C)c2N)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993236624 725864761 /nfs/dbraw/zinc/86/47/61/725864761.db2.gz ULTJLVXYXCXOSX-KOLCDFICSA-N -1 1 348.411 -0.793 20 0 EBADMM CCn1nncc1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC000993300656 725870314 /nfs/dbraw/zinc/87/03/14/725870314.db2.gz XOIJUTIHITYTEX-MNOVXSKESA-N -1 1 348.411 -0.497 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncn(C)n2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993300041 725870517 /nfs/dbraw/zinc/87/05/17/725870517.db2.gz ODLUKQUAWBUNPQ-ZJUUUORDSA-N -1 1 334.384 -0.980 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1C ZINC000993456708 725890612 /nfs/dbraw/zinc/89/06/12/725890612.db2.gz HFUSPTGUYAIBBU-UWVGGRQHSA-N -1 1 349.395 -0.372 20 0 EBADMM Cc1nnccc1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1C ZINC000993549400 725902164 /nfs/dbraw/zinc/90/21/64/725902164.db2.gz OHKSBFUMPASBQA-WCQYABFASA-N -1 1 345.407 -0.010 20 0 EBADMM C[C@@H]1C[C@@H]1CC(=O)N[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000974324861 725945313 /nfs/dbraw/zinc/94/53/13/725945313.db2.gz PPHXBRYIFKYQTN-DBIOUOCHSA-N -1 1 344.375 -0.159 20 0 EBADMM Cc1ccnn1CC(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1C ZINC000993887595 725957898 /nfs/dbraw/zinc/95/78/98/725957898.db2.gz CNXRPSITJZORRK-QWHCGFSZSA-N -1 1 347.423 -0.217 20 0 EBADMM O=C(NC[C@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC000974416736 725964962 /nfs/dbraw/zinc/96/49/62/725964962.db2.gz DHWKNLPIBKEUIF-SNVBAGLBSA-N -1 1 344.375 -0.204 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(NC(=O)C2(C3CC3)CC2)C1 ZINC000994071759 725977024 /nfs/dbraw/zinc/97/70/24/725977024.db2.gz DRUJBWWWQPJBTC-UHFFFAOYSA-N -1 1 346.387 -0.556 20 0 EBADMM Cc1nccc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)n1 ZINC000994447584 726003195 /nfs/dbraw/zinc/00/31/95/726003195.db2.gz BZIWLKOTEMVSDP-PWSUYJOCSA-N -1 1 345.407 -0.010 20 0 EBADMM C[C@H](C(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1)C1CCC1 ZINC000994502427 726026804 /nfs/dbraw/zinc/02/68/04/726026804.db2.gz BFYGSAYAXGZZJZ-NSHDSACASA-N -1 1 348.403 -0.310 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1C[C@H]2CCN(C(=O)C3CC3)C[C@H]21 ZINC000974535949 726036616 /nfs/dbraw/zinc/03/66/16/726036616.db2.gz DQNMIPQMZDRANM-CHWSQXEVSA-N -1 1 346.387 -0.604 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@]34C[C@H]3COC4)C(C)(C)C2)nc1=O ZINC000974621369 726045324 /nfs/dbraw/zinc/04/53/24/726045324.db2.gz VNWOUFHXOXMQRA-USBNGQNGSA-N -1 1 335.408 -0.529 20 0 EBADMM Cn1nnc(C(=O)N2C[C@H]3CCN(C(=O)c4ncccc4[O-])C[C@H]32)n1 ZINC000974811403 726069413 /nfs/dbraw/zinc/06/94/13/726069413.db2.gz QKAYHIRDMRNNBA-NXEZZACHSA-N -1 1 343.347 -0.703 20 0 EBADMM Cc1cc(C)n(CC(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001051705010 735339091 /nfs/dbraw/zinc/33/90/91/735339091.db2.gz WEHSTGFFNIKQMI-GFCCVEGCSA-N -1 1 349.395 -0.659 20 0 EBADMM Cc1n[nH]cc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000974882335 726077092 /nfs/dbraw/zinc/07/70/92/726077092.db2.gz ZPVSRTFMBDZLBR-LLVKDONJSA-N -1 1 333.396 -0.220 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)[C@H]3[C@@H]4COC[C@@H]43)C(C)(C)C2)nc1=O ZINC000974979111 726090624 /nfs/dbraw/zinc/09/06/24/726090624.db2.gz VJTGKCNMWOYVJI-SRRSOLGSSA-N -1 1 335.408 -0.673 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)c3n[nH]cc3F)C(C)(C)C2)nc1=O ZINC000975020304 726095234 /nfs/dbraw/zinc/09/52/34/726095234.db2.gz UQNZTMKQGJDSRE-VIFPVBQESA-N -1 1 337.359 -0.389 20 0 EBADMM O=C(N[C@@H]1CC[C@H](CNCc2ccon2)C1)c1n[nH]c(=O)[n-]c1=O ZINC000995003178 726140727 /nfs/dbraw/zinc/14/07/27/726140727.db2.gz AXOXEPVARWLOSJ-DTWKUNHWSA-N -1 1 334.336 -0.041 20 0 EBADMM O=C(N[C@H]1CC[C@@H](CNCc2cnon2)C1)c1n[nH]c(=O)[n-]c1=O ZINC000995011024 726142995 /nfs/dbraw/zinc/14/29/95/726142995.db2.gz YFVUADPMCJYELL-SFYZADRCSA-N -1 1 335.324 -0.646 20 0 EBADMM Cc1cc(CC(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)n(C)n1 ZINC001051711938 735345456 /nfs/dbraw/zinc/34/54/56/735345456.db2.gz UNXSAVKUMZXEKG-GFCCVEGCSA-N -1 1 349.395 -0.888 20 0 EBADMM O=C(NC[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCNC1=O ZINC000975433211 726150906 /nfs/dbraw/zinc/15/09/06/726150906.db2.gz BESBRCNNZKJVRP-NWDGAFQWSA-N -1 1 346.387 -0.108 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]21)C1CCC1 ZINC000976037550 726195685 /nfs/dbraw/zinc/19/56/85/726195685.db2.gz QGXHKWWTUDCULP-IWIIMEHWSA-N -1 1 342.359 -0.596 20 0 EBADMM CC1(C)CN(C(=O)c2nccnc2N)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995554967 726274518 /nfs/dbraw/zinc/27/45/18/726274518.db2.gz YXNBCOSKDHLKFU-MRVPVSSYSA-N -1 1 332.368 -0.477 20 0 EBADMM Cn1ccnc1CCC(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051732626 735358702 /nfs/dbraw/zinc/35/87/02/735358702.db2.gz SVAUCRNZTIWZJY-NSHDSACASA-N -1 1 349.395 -0.806 20 0 EBADMM Cn1nnnc1CN[C@@H]1CN(C(=O)c2ncccc2[O-])CC1(C)C ZINC000995700716 726290754 /nfs/dbraw/zinc/29/07/54/726290754.db2.gz FJFXGPNBHLWFTC-LLVKDONJSA-N -1 1 331.380 -0.049 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)[C@H]3CCCC(=O)N3)C[C@H]21)c1ncccc1[O-] ZINC000976395182 726291264 /nfs/dbraw/zinc/29/12/64/726291264.db2.gz TXXKJVAHZCKHIG-DEKYYXRVSA-N -1 1 344.371 -0.358 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)[C@H]3COC(=O)N3)C[C@H]21)c1ncccc1[O-] ZINC000976396852 726292077 /nfs/dbraw/zinc/29/20/77/726292077.db2.gz IRRXEPVHXOAKOR-LOKLDPHHSA-N -1 1 332.316 -0.918 20 0 EBADMM NC(=O)C1(C(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ncccc2[O-])CC1 ZINC000976403769 726294283 /nfs/dbraw/zinc/29/42/83/726294283.db2.gz ORIOOLLVLMZFTR-JZYVYDRUSA-N -1 1 330.344 -0.761 20 0 EBADMM C[C@@]1(C(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ncccc2[O-])CCC(=O)N1 ZINC000976404903 726294557 /nfs/dbraw/zinc/29/45/57/726294557.db2.gz REPFAABQSZLHOT-LOVKBYSESA-N -1 1 344.371 -0.358 20 0 EBADMM CC(=O)N1CC(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)C1 ZINC000995731714 726295234 /nfs/dbraw/zinc/29/52/34/726295234.db2.gz WUFYCIWEPGHKLK-LLVKDONJSA-N -1 1 336.396 -0.685 20 0 EBADMM CC1(C)CN(C(=O)[C@@H]2CCCNC2=O)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995922536 726313738 /nfs/dbraw/zinc/31/37/38/726313738.db2.gz FFILHGJSHNKDBT-NXEZZACHSA-N -1 1 336.396 -0.637 20 0 EBADMM CC1(C)CN(C(=O)[C@H]2CCCC(=O)N2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995935032 726315249 /nfs/dbraw/zinc/31/52/49/726315249.db2.gz AQAFUCSVMLOIJE-ZJUUUORDSA-N -1 1 336.396 -0.494 20 0 EBADMM CCn1cc(CC(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)nn1 ZINC000996074205 726330193 /nfs/dbraw/zinc/33/01/93/726330193.db2.gz LVYQUZVZWZWGRV-LLVKDONJSA-N -1 1 348.411 -0.309 20 0 EBADMM CC1(C)CN(C(=O)[C@]2(F)CCOC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996193209 726343535 /nfs/dbraw/zinc/34/35/35/726343535.db2.gz ZWGDBMPOJBVWPM-XPTSAGLGSA-N -1 1 327.360 -0.035 20 0 EBADMM CC1(C)CN(C(=O)c2cc(C(N)=O)co2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996282984 726358841 /nfs/dbraw/zinc/35/88/41/726358841.db2.gz IBVGSMBUJTUTEZ-JTQLQIEISA-N -1 1 348.363 -0.157 20 0 EBADMM Cn1ncc(CCC(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)n1 ZINC000996311072 726364778 /nfs/dbraw/zinc/36/47/78/726364778.db2.gz POXVFEMESBTSNX-NSHDSACASA-N -1 1 348.411 -0.402 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)c2cc(C)no2)C1 ZINC000996398482 726387369 /nfs/dbraw/zinc/38/73/69/726387369.db2.gz VPHJXTRGUBPYEX-UHFFFAOYSA-N -1 1 349.303 -0.553 20 0 EBADMM CC1(C(=O)N2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)CC=CC1 ZINC000996405492 726388450 /nfs/dbraw/zinc/38/84/50/726388450.db2.gz IPOVVVJIFLDKHT-UHFFFAOYSA-N -1 1 342.359 -0.286 20 0 EBADMM CC(C)[C@@H](C)C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996409703 726389329 /nfs/dbraw/zinc/38/93/29/726389329.db2.gz XRSQHMJJLIKWCN-SECBINFHSA-N -1 1 332.364 -0.350 20 0 EBADMM Cn1ccnc1CN[C@H]1CN(C(=O)Cn2c(=O)[n-][nH]c2=O)CC1(C)C ZINC000996561768 726418574 /nfs/dbraw/zinc/41/85/74/726418574.db2.gz JGJXMFLJNAHGLB-JTQLQIEISA-N -1 1 349.395 -0.550 20 0 EBADMM O=C(CCc1n[nH]c(=O)[n-]c1=O)N1CCC(NCc2ccon2)CC1 ZINC000996750275 726425319 /nfs/dbraw/zinc/42/53/19/726425319.db2.gz CLXHVOCBXWZVSW-UHFFFAOYSA-N -1 1 348.363 -0.016 20 0 EBADMM Cn1cnnc1CNC1CCN(C(=O)c2cnc([O-])n(C)c2=O)CC1 ZINC000996874532 726431236 /nfs/dbraw/zinc/43/12/36/726431236.db2.gz OWHHXASLYJMGJA-UHFFFAOYSA-N -1 1 347.379 -0.991 20 0 EBADMM CC(C)c1cc(C(=O)N2CC(NC(=O)Cn3c(=O)[n-][nH]c3=O)C2)n[nH]1 ZINC000997260828 726443675 /nfs/dbraw/zinc/44/36/75/726443675.db2.gz MDAMTROXRMMICK-UHFFFAOYSA-N -1 1 349.351 -0.823 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(NC(=O)[C@]23C[C@H]2CCC3)C1 ZINC000997538391 726456095 /nfs/dbraw/zinc/45/60/95/726456095.db2.gz NQKWXHCDHCKAGL-PIGZYNQJSA-N -1 1 346.387 -0.556 20 0 EBADMM C[C@@]1(C(=O)NC2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)C=CCC1 ZINC000997846249 726467245 /nfs/dbraw/zinc/46/72/45/726467245.db2.gz JKGYZYDDKNVGDG-QGZVFWFLSA-N -1 1 346.387 -0.390 20 0 EBADMM CCN(C(=O)c1n[nH]c(=O)[n-]c1=O)[C@@H]1CCCN(CC(N)=O)CC1 ZINC000998049682 726474215 /nfs/dbraw/zinc/47/42/15/726474215.db2.gz IJCFDNNPQISOSP-SECBINFHSA-N -1 1 338.368 -0.915 20 0 EBADMM COc1ccnc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001051749436 735369655 /nfs/dbraw/zinc/36/96/55/735369655.db2.gz FSWQZYUFXNUZKO-NSHDSACASA-N -1 1 348.363 -0.455 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)[C@H]1CC[C@@H](F)C1 ZINC000998512856 726489739 /nfs/dbraw/zinc/48/97/39/726489739.db2.gz OWELLVMFZWZPEM-DTWKUNHWSA-N -1 1 348.338 -0.504 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)c2conc2C)C1 ZINC000998738798 726496371 /nfs/dbraw/zinc/49/63/71/726496371.db2.gz MIYKGUIZVGKUDZ-UHFFFAOYSA-N -1 1 349.303 -0.553 20 0 EBADMM COc1ncc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)cn1 ZINC000998982275 726503362 /nfs/dbraw/zinc/50/33/62/726503362.db2.gz GSWAVUHZWHBGAL-UHFFFAOYSA-N -1 1 329.316 -0.160 20 0 EBADMM C[C@H]1CC(=O)N[C@@H](C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC000999185781 726510221 /nfs/dbraw/zinc/51/02/21/726510221.db2.gz LGLRIEGRFXCNBF-OUAUKWLOSA-N -1 1 336.396 -0.494 20 0 EBADMM O=C(c1cnc2n[nH]nc2c1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999375053 726517428 /nfs/dbraw/zinc/51/74/28/726517428.db2.gz KPVIDBLCKIGVOK-SECBINFHSA-N -1 1 343.351 -0.429 20 0 EBADMM CCN1CCOC[C@H]1C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999553457 726522754 /nfs/dbraw/zinc/52/27/54/726522754.db2.gz AJFIGTKOTVTDMM-RYUDHWBXSA-N -1 1 338.412 -0.688 20 0 EBADMM Cc1ncoc1CN[C@@H]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000999618972 726524996 /nfs/dbraw/zinc/52/49/96/726524996.db2.gz JRLROCZNNBWWDZ-SECBINFHSA-N -1 1 334.336 -0.026 20 0 EBADMM COCCn1ccc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000999676276 726527165 /nfs/dbraw/zinc/52/71/65/726527165.db2.gz WESYUDLHKQTOSR-LLVKDONJSA-N -1 1 349.395 -0.252 20 0 EBADMM NC(=O)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000999889557 726539195 /nfs/dbraw/zinc/53/91/95/726539195.db2.gz HBIVXEUQAWMELT-MIMYLULJSA-N -1 1 345.359 -0.593 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)NC1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000999936212 726542659 /nfs/dbraw/zinc/54/26/59/726542659.db2.gz CSVVFDUBRBKMPI-ZJUUUORDSA-N -1 1 345.359 -0.878 20 0 EBADMM O=C(c1cc2ncccn2n1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000497323 726568782 /nfs/dbraw/zinc/56/87/82/726568782.db2.gz IUVRUMMVDXOKHL-SNVBAGLBSA-N -1 1 342.363 -0.053 20 0 EBADMM Cc1nc(C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)co1 ZINC001000603864 726572305 /nfs/dbraw/zinc/57/23/05/726572305.db2.gz KXTSXGZHSDGAQZ-UHFFFAOYSA-N -1 1 332.364 -0.033 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(CNC(=O)C2CCC2)C1 ZINC001000604873 726572563 /nfs/dbraw/zinc/57/25/63/726572563.db2.gz YHTLGCYZILUKNG-UHFFFAOYSA-N -1 1 336.348 -0.115 20 0 EBADMM Cn1ccc(CN[C@@H]2CCCN(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)n1 ZINC001000788483 726577603 /nfs/dbraw/zinc/57/76/03/726577603.db2.gz HCWHZADICPEFNP-LLVKDONJSA-N -1 1 335.368 -0.796 20 0 EBADMM O=C(c1cnn2cc[nH]c12)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000788843 726577645 /nfs/dbraw/zinc/57/76/45/726577645.db2.gz KDAHHQGHFJLZLL-SECBINFHSA-N -1 1 330.352 -0.120 20 0 EBADMM COC1CC(C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)C1 ZINC001000816947 726579352 /nfs/dbraw/zinc/57/93/52/726579352.db2.gz QLKQPLDAMNHKDD-UHFFFAOYSA-N -1 1 335.408 -0.218 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)c3cnc[nH]c3=O)CC2)nc1=O ZINC001000823566 726579715 /nfs/dbraw/zinc/57/97/15/726579715.db2.gz SCSLHEFWGOBATJ-UHFFFAOYSA-N -1 1 345.363 -0.834 20 0 EBADMM Cc1cnoc1C(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001001331809 726602887 /nfs/dbraw/zinc/60/28/87/726602887.db2.gz CSTPTJOYUCTVHY-UHFFFAOYSA-N -1 1 332.364 -0.033 20 0 EBADMM CC(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)CCn1cc[n-]c(=O)c1=O ZINC001001402444 726604591 /nfs/dbraw/zinc/60/45/91/726604591.db2.gz QEDUUAOSLNCMPX-UPJWGTAASA-N -1 1 348.403 -0.169 20 0 EBADMM Cn1nccc1CN1CCC(CNC(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001001589867 726612424 /nfs/dbraw/zinc/61/24/24/726612424.db2.gz YVRMCPVQKQUMPH-UHFFFAOYSA-N -1 1 347.379 -0.342 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)c3cccnn3)CC2)nc1=O ZINC001001962237 726628648 /nfs/dbraw/zinc/62/86/48/726628648.db2.gz GEXOPDUDXXRCES-UHFFFAOYSA-N -1 1 331.380 -0.460 20 0 EBADMM NC(=O)CN1CCC(CNC(=O)c2ccc3oc(=O)nc-3[n-]2)CC1 ZINC001002045615 726630697 /nfs/dbraw/zinc/63/06/97/726630697.db2.gz MIJNMWGLSZOGBZ-UHFFFAOYSA-N -1 1 333.348 -0.145 20 0 EBADMM O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-])[C@H]1COC(=O)N1 ZINC001002350431 726639291 /nfs/dbraw/zinc/63/92/91/726639291.db2.gz BZLBIUQVIXHWMK-DBIOUOCHSA-N -1 1 346.343 -0.243 20 0 EBADMM CN(C[C@@H]1CCCN1C(=O)c1ncccc1[O-])C(=O)[C@@H]1COC(=O)N1 ZINC001002413352 726640461 /nfs/dbraw/zinc/64/04/61/726640461.db2.gz UMGLYGBUQBBRII-QWRGUYRKSA-N -1 1 348.359 -0.041 20 0 EBADMM Cc1cc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)nn1C ZINC001002421036 726640654 /nfs/dbraw/zinc/64/06/54/726640654.db2.gz YCPYQCBPUCQNGR-UHFFFAOYSA-N -1 1 333.396 -0.455 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)c3cc[nH]c(=O)c3)CC2)nc1=O ZINC001002555134 726643070 /nfs/dbraw/zinc/64/30/70/726643070.db2.gz CFLIGRBYEHEIHH-UHFFFAOYSA-N -1 1 332.364 -0.397 20 0 EBADMM Cc1nnc(CC(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)o1 ZINC001002746622 726645984 /nfs/dbraw/zinc/64/59/84/726645984.db2.gz UJCQMBRZWXUYKO-UHFFFAOYSA-N -1 1 335.368 -0.877 20 0 EBADMM CN1CC[C@H](C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC001003063412 726660743 /nfs/dbraw/zinc/66/07/43/726660743.db2.gz OAGXENGPFLCRGC-LBPRGKRZSA-N -1 1 346.387 -0.156 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)c3ccc(=O)n(C)c3)CC2)nc1=O ZINC001003230892 726665581 /nfs/dbraw/zinc/66/55/81/726665581.db2.gz ITRAVTBVERSAGL-UHFFFAOYSA-N -1 1 346.391 -0.799 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CN(C(=O)C(C)(C)F)C1 ZINC001003314547 726669001 /nfs/dbraw/zinc/66/90/01/726669001.db2.gz LAPSHDOEVQMWID-UHFFFAOYSA-N -1 1 342.327 -0.167 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CN(C(=O)C2(C)CC2)C1 ZINC001003315631 726669341 /nfs/dbraw/zinc/66/93/41/726669341.db2.gz WRFCLUOYQULBAZ-UHFFFAOYSA-N -1 1 336.348 -0.115 20 0 EBADMM CC[C@H](C)C(=O)N1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001003319348 726669582 /nfs/dbraw/zinc/66/95/82/726669582.db2.gz NHYHRJVHQWLCMC-VIFPVBQESA-N -1 1 332.364 -0.348 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)N1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001003319101 726669639 /nfs/dbraw/zinc/66/96/39/726669639.db2.gz JRYHFQFZWNUAEY-GHMZBOCLSA-N -1 1 344.375 -0.348 20 0 EBADMM CC(C)[C@H](C)C(=O)N1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001003318695 726669652 /nfs/dbraw/zinc/66/96/52/726669652.db2.gz GVZOCAGKYMNFSS-JTQLQIEISA-N -1 1 346.391 -0.102 20 0 EBADMM CC1(C)C[C@H]1C(=O)N1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001003319899 726669688 /nfs/dbraw/zinc/66/96/88/726669688.db2.gz QQUUCUHZDFVNMS-JTQLQIEISA-N -1 1 344.375 -0.348 20 0 EBADMM CC[C@H](OC)C(=O)N1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001003320608 726669690 /nfs/dbraw/zinc/66/96/90/726669690.db2.gz XGIUJXZSSUTTIZ-NSHDSACASA-N -1 1 348.363 -0.969 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(CNC(=O)c2cnco2)C1 ZINC001003373870 726671627 /nfs/dbraw/zinc/67/16/27/726671627.db2.gz HPKGSBHEMIUVSQ-UHFFFAOYSA-N -1 1 349.303 -0.614 20 0 EBADMM O=C(NC1CCN(Cc2n[nH]c(=O)[n-]2)CC1)[C@@H]1CCCc2n[nH]nc21 ZINC001003516543 726677662 /nfs/dbraw/zinc/67/76/62/726677662.db2.gz SCETUBTYXXPIHC-SNVBAGLBSA-N -1 1 346.395 -0.171 20 0 EBADMM CC[C@H](C(N)=O)N1CCC(NC(=O)c2c[n-]n3c2nccc3=O)CC1 ZINC001003750758 726688925 /nfs/dbraw/zinc/68/89/25/726688925.db2.gz CRFJTBILWAMDAJ-GFCCVEGCSA-N -1 1 346.391 -0.519 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005545005 726735736 /nfs/dbraw/zinc/73/57/36/726735736.db2.gz IGMSAEILZQKQAA-UHFFFAOYSA-N -1 1 349.395 -0.418 20 0 EBADMM C[C@@H](NC(=O)[C@H]1CCNC(=O)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005897893 726746978 /nfs/dbraw/zinc/74/69/78/726746978.db2.gz FGCMSBXXYRGFHV-MNOVXSKESA-N -1 1 346.387 -0.110 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H](C)C2CN(C(=O)Cc3ccn[nH]3)C2)c1[O-] ZINC001005919776 726748284 /nfs/dbraw/zinc/74/82/84/726748284.db2.gz UEDKOAJQIFDDEO-QMMMGPOBSA-N -1 1 332.364 -0.034 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)Cn2ncnn2)C1 ZINC001005948899 726749351 /nfs/dbraw/zinc/74/93/51/726749351.db2.gz FEMAVFUXAZNTNX-SECBINFHSA-N -1 1 331.336 -0.949 20 0 EBADMM C[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)C2CC2)C1 ZINC001006461590 726770150 /nfs/dbraw/zinc/77/01/50/726770150.db2.gz CSVWYCFTZOAMBA-QMMMGPOBSA-N -1 1 330.348 -0.596 20 0 EBADMM CC(C)=CC(=O)N1CC([C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001006469598 726770510 /nfs/dbraw/zinc/77/05/10/726770510.db2.gz VDUNNEWWQACTAQ-JTQLQIEISA-N -1 1 344.375 -0.040 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)c3cccc(=O)[nH]3)C2)nc1=O ZINC001007024997 726788917 /nfs/dbraw/zinc/78/89/17/726788917.db2.gz GUMKPCKMVMVKGM-JTQLQIEISA-N -1 1 332.364 -0.397 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)C[C@H]3COC(=O)C3)C2)nc1=O ZINC001007099889 726789199 /nfs/dbraw/zinc/78/91/99/726789199.db2.gz MEIIPENHXLFRAM-MNOVXSKESA-N -1 1 337.380 -0.858 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)ncn1 ZINC001007142365 726789519 /nfs/dbraw/zinc/78/95/19/726789519.db2.gz YYUVKXFCZJLGPC-LLVKDONJSA-N -1 1 331.380 -0.399 20 0 EBADMM Cc1cn(C)nc1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001007311940 726791879 /nfs/dbraw/zinc/79/18/79/726791879.db2.gz LWXXIVDWZHPOKO-LLVKDONJSA-N -1 1 333.396 -0.455 20 0 EBADMM CC(=O)N1C[C@@H]2CCC[C@]2(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001008912779 726829051 /nfs/dbraw/zinc/82/90/51/726829051.db2.gz GYVOXWVYOOCNLV-ZBEGNZNMSA-N -1 1 344.375 -0.204 20 0 EBADMM Cc1nn[nH]c1C(=O)N1C[C@@H]2[C@@H](CNC(=O)c3[nH]nc(C)c3[O-])[C@@H]2C1 ZINC001008944692 726832965 /nfs/dbraw/zinc/83/29/65/726832965.db2.gz LZWMUEGPSBBWNZ-OWUUHHOZSA-N -1 1 345.363 -0.402 20 0 EBADMM O=C(NC[C@@H]1[C@H]2CN(C(=O)c3cnon3)C[C@H]21)c1ncccc1[O-] ZINC001008982274 726838326 /nfs/dbraw/zinc/83/83/26/726838326.db2.gz YDPCJTFXWSABDX-OWUUHHOZSA-N -1 1 329.316 -0.082 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H](C)[C@H]2CN(C(=O)c3ccn[nH]3)CCO2)c1[O-] ZINC001009654329 726949700 /nfs/dbraw/zinc/94/97/00/726949700.db2.gz SHQCIDDAKNULRX-GZMMTYOYSA-N -1 1 348.363 -0.194 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H](C)[C@H]2CN(C(=O)c3ccn[nH]3)CCO2)c1[O-] ZINC001009654340 726949966 /nfs/dbraw/zinc/94/99/66/726949966.db2.gz SHQCIDDAKNULRX-LDYMZIIASA-N -1 1 348.363 -0.194 20 0 EBADMM C[C@H](NC(=O)c1cnon1)[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001009687326 726965544 /nfs/dbraw/zinc/96/55/44/726965544.db2.gz XMBKBQIRTGVVDT-CABZTGNLSA-N -1 1 347.331 -0.170 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@@H]1CN(C(=O)c2cn[nH]n2)CCO1 ZINC001009704247 726971807 /nfs/dbraw/zinc/97/18/07/726971807.db2.gz FMFXPOIVHOVOHU-SKDRFNHKSA-N -1 1 346.347 -0.435 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@@H]1CN(C(=O)c2cnon2)CCO1 ZINC001009732130 726984370 /nfs/dbraw/zinc/98/43/70/726984370.db2.gz DEYRHKMRLUQGNI-SKDRFNHKSA-N -1 1 347.331 -0.170 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(C(=O)C2CCC2)CC1 ZINC001009734553 726986338 /nfs/dbraw/zinc/98/63/38/726986338.db2.gz HCRROQMGORSDAG-UHFFFAOYSA-N -1 1 334.376 -0.602 20 0 EBADMM CCc1cc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC001009746329 726992237 /nfs/dbraw/zinc/99/22/37/726992237.db2.gz WLIQBNCVICYXGN-LLVKDONJSA-N -1 1 347.423 -0.201 20 0 EBADMM C[C@H]1C[C@@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)CN1C(=O)c1ccn[nH]1 ZINC001010022697 727019439 /nfs/dbraw/zinc/01/94/39/727019439.db2.gz LKGWSKGNHMOQQY-YUMQZZPRSA-N -1 1 347.335 -0.714 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001010046576 727022050 /nfs/dbraw/zinc/02/20/50/727022050.db2.gz IQVFNTFDBXQAHV-VXGBXAGGSA-N -1 1 334.376 -0.747 20 0 EBADMM CSCC(=O)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001010166978 727040126 /nfs/dbraw/zinc/04/01/26/727040126.db2.gz WNPVXMAVIWTYOA-UHFFFAOYSA-N -1 1 336.377 -0.935 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(C(=O)C2CC=CC2)CC1 ZINC001010822364 727151825 /nfs/dbraw/zinc/15/18/25/727151825.db2.gz KROIUEHFNUECQP-UHFFFAOYSA-N -1 1 346.387 -0.436 20 0 EBADMM Cc1cc(=O)[nH]cc1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051820788 735412920 /nfs/dbraw/zinc/41/29/20/735412920.db2.gz HRCQPYUCEVJWPG-SNVBAGLBSA-N -1 1 348.363 -0.450 20 0 EBADMM O=C(CCc1nnc[nH]1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001010936180 727166908 /nfs/dbraw/zinc/16/69/08/727166908.db2.gz HCMHPQQGYXVDMN-UHFFFAOYSA-N -1 1 330.348 -0.178 20 0 EBADMM O=C(CCc1nc[nH]n1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001010936180 727166913 /nfs/dbraw/zinc/16/69/13/727166913.db2.gz HCMHPQQGYXVDMN-UHFFFAOYSA-N -1 1 330.348 -0.178 20 0 EBADMM O=C(CN1CN=NC1=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011028811 727183470 /nfs/dbraw/zinc/18/34/70/727183470.db2.gz RVMZCVMILWWMMM-UHFFFAOYSA-N -1 1 332.320 -0.931 20 0 EBADMM C[C@@]1(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)CCNC(=O)C1 ZINC001011036555 727186648 /nfs/dbraw/zinc/18/66/48/727186648.db2.gz OPYACDXZRSVFMX-QGZVFWFLSA-N -1 1 346.387 -0.012 20 0 EBADMM C[C@@H]1CCO[C@@H]1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051831608 735420726 /nfs/dbraw/zinc/42/07/26/735420726.db2.gz IKZYOZPPHKHBRK-SCVCMEIPSA-N -1 1 325.369 -0.748 20 0 EBADMM CCn1cc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)c(C)n1 ZINC001051853729 735429263 /nfs/dbraw/zinc/42/92/63/735429263.db2.gz OMCCJYDIXTYTFQ-NSHDSACASA-N -1 1 349.395 -0.334 20 0 EBADMM Cc1ncc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)c(C)n1 ZINC001051872345 735438063 /nfs/dbraw/zinc/43/80/63/735438063.db2.gz IHONVFKSKALQHO-NSHDSACASA-N -1 1 347.379 -0.452 20 0 EBADMM C[C@@H]1C[N@H+](C)C[C@H](C(=O)N2CCN(C(=O)c3cnc[nH]c3=O)CC2)O1 ZINC001011294394 727510726 /nfs/dbraw/zinc/51/07/26/727510726.db2.gz GZZAIVKVPVEHCN-DGCLKSJQSA-N -1 1 349.391 -0.814 20 0 EBADMM COCC(=O)N1CC[C@@H](NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)[C@@H]1C ZINC001011386244 727521438 /nfs/dbraw/zinc/52/14/38/727521438.db2.gz WTOHXQKWVNCNJK-JGVFFNPUSA-N -1 1 340.336 -0.738 20 0 EBADMM Cc1ncc(C(=O)N2CC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)[C@@H]2C)[nH]1 ZINC001011565765 727542597 /nfs/dbraw/zinc/54/25/97/727542597.db2.gz BUKYBJHSEGUUBQ-POYBYMJQSA-N -1 1 347.335 -0.653 20 0 EBADMM C[C@H]1[C@H](NC(=O)Cn2nccn2)CCN1C(=O)c1ncccc1[O-] ZINC001011679721 727557870 /nfs/dbraw/zinc/55/78/70/727557870.db2.gz PIYOQDXKKODCDR-WDEREUQCSA-N -1 1 330.348 -0.202 20 0 EBADMM C[C@@]1(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)CCCOC1 ZINC001051887902 735446875 /nfs/dbraw/zinc/44/68/75/735446875.db2.gz LBDYDZSILIKDSW-IAQYHMDHSA-N -1 1 339.396 -0.356 20 0 EBADMM Cc1nc(C(=O)N[C@@H]2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)[C@@H]2C)c[nH]1 ZINC001011941191 727606562 /nfs/dbraw/zinc/60/65/62/727606562.db2.gz CZOAIMQSUDKDFX-VXNVDRBHSA-N -1 1 349.351 -0.860 20 0 EBADMM Cc1ncc(C(=O)N2C[C@@H](NC(=O)Cn3c(=O)[n-][nH]c3=O)C[C@H]2C)[nH]1 ZINC001012392101 727660434 /nfs/dbraw/zinc/66/04/34/727660434.db2.gz NILNCQORXVSQNO-APPZFPTMSA-N -1 1 349.351 -0.860 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)CN1CCOCC1 ZINC001012498555 727670726 /nfs/dbraw/zinc/67/07/26/727670726.db2.gz WHKUAUMDYBCMFV-QWHCGFSZSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)Cn2cncn2)CN1C(=O)c1ncccc1[O-] ZINC001012504743 727673016 /nfs/dbraw/zinc/67/30/16/727673016.db2.gz CINHDTRGJPPCND-GHMZBOCLSA-N -1 1 330.348 -0.202 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2c[nH]c(=O)cn2)CN1C(=O)c1ncccc1[O-] ZINC001012508908 727673421 /nfs/dbraw/zinc/67/34/21/727673421.db2.gz GEIDHLCYCQNTTK-UWVGGRQHSA-N -1 1 343.343 -0.097 20 0 EBADMM O=C(c1cc(Cl)no1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051909888 735458993 /nfs/dbraw/zinc/45/89/93/735458993.db2.gz DXFIEZYTRGXDLZ-ZETCQYMHSA-N -1 1 342.743 -0.218 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H](NC(=O)C(F)F)C[C@H]1C ZINC001013318853 727793667 /nfs/dbraw/zinc/79/36/67/727793667.db2.gz WBWSYADVFTTZTE-PHDIDXHHSA-N -1 1 346.290 -0.119 20 0 EBADMM CC(C)C(=O)N[C@H]1C[C@H](C)N(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001013324630 727793789 /nfs/dbraw/zinc/79/37/89/727793789.db2.gz GWQJFELTOOXIGS-UWVGGRQHSA-N -1 1 332.364 -0.207 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2C[C@H](C)N(C(=O)CCc3c[nH]nn3)C2)c1[O-] ZINC001014080821 727890289 /nfs/dbraw/zinc/89/02/89/727890289.db2.gz UFLPLYPTEILXDS-GZMMTYOYSA-N -1 1 347.379 -0.106 20 0 EBADMM C[C@@H](C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)c1ccnn1C ZINC001014471162 727958854 /nfs/dbraw/zinc/95/88/54/727958854.db2.gz MDLDJZOSCHOOFL-MNOVXSKESA-N -1 1 333.396 -0.664 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cn(C4CCC4)nn3)C2)nc1=O ZINC001014479664 727960434 /nfs/dbraw/zinc/96/04/34/727960434.db2.gz SPEHYJAZPUIOFZ-SNVBAGLBSA-N -1 1 346.395 -0.571 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3c(F)cncc3F)C2)nc1=O ZINC001014574694 727972267 /nfs/dbraw/zinc/97/22/67/727972267.db2.gz QQHCMFRPVFKLEB-MRVPVSSYSA-N -1 1 338.318 -0.214 20 0 EBADMM C[C@H]1[C@H](NC(=O)C2CC2)CCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001014679412 727991434 /nfs/dbraw/zinc/99/14/34/727991434.db2.gz MQFJKHVJLWTBEG-WCQYABFASA-N -1 1 348.403 -0.168 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cc4n(n3)CCCC4)C2)nc1=O ZINC001014862904 728021663 /nfs/dbraw/zinc/02/16/63/728021663.db2.gz JXYGHZSNBMLAGR-NSHDSACASA-N -1 1 345.407 -0.355 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CCCN1C(=O)C1CC1 ZINC001015086377 728076051 /nfs/dbraw/zinc/07/60/51/728076051.db2.gz VVIBXVAWYWXUFM-MWLCHTKSSA-N -1 1 344.375 -0.063 20 0 EBADMM CCn1cc(CCN2CC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)cn1 ZINC001015108986 728080670 /nfs/dbraw/zinc/08/06/70/728080670.db2.gz GCQMRXSCULNRGW-LLVKDONJSA-N -1 1 347.379 -0.454 20 0 EBADMM C[C@H]1[C@@H](NC(=O)Cn2c(=O)[n-][nH]c2=O)CCCN1C(=O)c1ccn[nH]1 ZINC001015112672 728082271 /nfs/dbraw/zinc/08/22/71/728082271.db2.gz KDJZDCYGRIGSQZ-IUCAKERBSA-N -1 1 349.351 -0.778 20 0 EBADMM Cn1nc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cc1Cl ZINC001015211395 728114037 /nfs/dbraw/zinc/11/40/37/728114037.db2.gz IPRRHSUEYZJCFI-MRVPVSSYSA-N -1 1 339.787 -0.500 20 0 EBADMM C[C@@H](C(N)=O)N1CCN([C@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001052017579 735505026 /nfs/dbraw/zinc/50/50/26/735505026.db2.gz OGUUSOFPNPYZRH-STQMWFEESA-N -1 1 347.419 -0.507 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cnc4nccn4c3)C2)nc1=O ZINC001015279145 728393817 /nfs/dbraw/zinc/39/38/17/728393817.db2.gz UOCZZQLNMPDAIL-NSHDSACASA-N -1 1 342.363 -0.845 20 0 EBADMM Cn1nnc(C(=O)NC[C@H]2CC[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001015411442 728412346 /nfs/dbraw/zinc/41/23/46/728412346.db2.gz PGBLTJGBXLOYIJ-UWVGGRQHSA-N -1 1 345.363 -0.361 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cc(C4CC4)n[nH]3)C2)nc1=O ZINC001015742684 728431190 /nfs/dbraw/zinc/43/11/90/728431190.db2.gz AGIFJCDERHPKOH-SNVBAGLBSA-N -1 1 331.380 -0.287 20 0 EBADMM CC(C)n1ccc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001015785155 728431419 /nfs/dbraw/zinc/43/14/19/728431419.db2.gz UXSXQMMREVLABL-LLVKDONJSA-N -1 1 333.396 -0.110 20 0 EBADMM COc1cccc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001015933222 728439443 /nfs/dbraw/zinc/43/94/43/728439443.db2.gz WRNJETFULYTFPY-SNVBAGLBSA-N -1 1 332.364 -0.484 20 0 EBADMM CN(C(=O)c1ccn[nH]1)C1CC(NC(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001016271673 728447138 /nfs/dbraw/zinc/44/71/38/728447138.db2.gz FOMKYEJPVFNFHJ-UHFFFAOYSA-N -1 1 346.347 -0.758 20 0 EBADMM CCc1ncncc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001016276030 728447764 /nfs/dbraw/zinc/44/77/64/728447764.db2.gz QUPCSSRXUGQNMH-SNVBAGLBSA-N -1 1 331.380 -0.535 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)[C@H]2CN(C)CCO2)C1 ZINC001016428467 728471965 /nfs/dbraw/zinc/47/19/65/728471965.db2.gz APGVNXFSRVLFAZ-ORHYLEIMSA-N -1 1 348.403 -0.163 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)[C@H]2CCC(=O)N2)C1 ZINC001016430768 728472771 /nfs/dbraw/zinc/47/27/71/728472771.db2.gz LYBHEMGMRVMADW-VQXHTEKXSA-N -1 1 332.360 -0.215 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@@H]3CC34CCOCC4)C2)nc1=O ZINC001016438105 728473744 /nfs/dbraw/zinc/47/37/44/728473744.db2.gz QLMDRYWXXIJCCO-RYUDHWBXSA-N -1 1 335.408 -0.384 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C1CC(NC(=O)C(F)F)C1 ZINC001016762962 728503086 /nfs/dbraw/zinc/50/30/86/728503086.db2.gz IFGBLCHYUMJTBB-UHFFFAOYSA-N -1 1 346.290 -0.119 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N(C)C1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001016874728 728519512 /nfs/dbraw/zinc/51/95/12/728519512.db2.gz BQMLRVWGMUYNLO-PMUOWJKOSA-N -1 1 344.375 -0.207 20 0 EBADMM CC(=O)NC1(C2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)CC2)CC1 ZINC001017113503 728547680 /nfs/dbraw/zinc/54/76/80/728547680.db2.gz MXQRITGZNQYYOY-UHFFFAOYSA-N -1 1 348.403 -0.166 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)CCn2ccnn2)C1 ZINC001017112716 728547795 /nfs/dbraw/zinc/54/77/95/728547795.db2.gz FIFRSWYHWVHIIU-GFCCVEGCSA-N -1 1 348.411 -0.138 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)c2cn(C)nn2)C1 ZINC001017114945 728548126 /nfs/dbraw/zinc/54/81/26/728548126.db2.gz LGOYNDVELQYGBK-JTQLQIEISA-N -1 1 334.384 -0.377 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)[C@@H]2CCNC2=O)C1 ZINC001017179144 728552664 /nfs/dbraw/zinc/55/26/64/728552664.db2.gz DWFQMHFSWIHOMO-WDEREUQCSA-N -1 1 336.396 -0.683 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)c2c[nH]c(=O)cn2)C1 ZINC001017191108 728553988 /nfs/dbraw/zinc/55/39/88/728553988.db2.gz MXYBRCCIFMCNCG-JTQLQIEISA-N -1 1 347.379 -0.422 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)c2cnn(C)n2)C1 ZINC001017229632 728556541 /nfs/dbraw/zinc/55/65/41/728556541.db2.gz AUHDTDPKRXIGIP-JTQLQIEISA-N -1 1 334.384 -0.377 20 0 EBADMM CO[C@H](C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc([O-])n(C)c1=O ZINC001017789588 728610217 /nfs/dbraw/zinc/61/02/17/728610217.db2.gz XSFLVIOQLMRTPJ-UTUOFQBUSA-N -1 1 336.392 -0.190 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)CCc2cn[nH]c2)nc1=O ZINC001018040754 728629701 /nfs/dbraw/zinc/62/97/01/728629701.db2.gz KEDKJUJPSTUCTB-BETUJISGSA-N -1 1 345.407 -0.361 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)[C@@H]2C[C@]23CCOC3)nc1=O ZINC001018157793 728639365 /nfs/dbraw/zinc/63/93/65/728639365.db2.gz RJXFVSBZIUSLPF-LKQDWFRTSA-N -1 1 347.419 -0.290 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2cnn[nH]2)CN1C(=O)Cc1cnn(C)c1 ZINC001018251916 728650197 /nfs/dbraw/zinc/65/01/97/728650197.db2.gz SXIKKGNELAUGEY-CMPLNLGQSA-N -1 1 331.380 -0.110 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CN1C(=O)c1ccn[nH]1 ZINC001018292067 728654026 /nfs/dbraw/zinc/65/40/26/728654026.db2.gz UVZUKYKWQOIXDB-HTQZYQBOSA-N -1 1 347.335 -0.571 20 0 EBADMM COCCn1ncc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c1C ZINC001018843518 728693958 /nfs/dbraw/zinc/69/39/58/728693958.db2.gz OJTBSGNJWJICJO-NSHDSACASA-N -1 1 349.395 -0.334 20 0 EBADMM CN1CCCC[C@@H](C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)C1=O ZINC001019644262 728955231 /nfs/dbraw/zinc/95/52/31/728955231.db2.gz XFXDOEKHLOJDEQ-WDEREUQCSA-N -1 1 336.396 -0.541 20 0 EBADMM Cc1cc(CN[C@H]2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)nn1C ZINC001019710152 728975789 /nfs/dbraw/zinc/97/57/89/728975789.db2.gz HKLOHVAIYRJVFY-JTQLQIEISA-N -1 1 335.368 -0.878 20 0 EBADMM Cc1cnc(CN[C@H]2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)s1 ZINC001019710408 728975846 /nfs/dbraw/zinc/97/58/46/728975846.db2.gz NFXFSRCBZYICCM-VIFPVBQESA-N -1 1 338.393 -0.155 20 0 EBADMM NC(=O)c1csc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001019831973 729003271 /nfs/dbraw/zinc/00/32/71/729003271.db2.gz ZQWBHBBRSIRMEM-QMMMGPOBSA-N -1 1 336.377 -0.325 20 0 EBADMM CC(C)n1cc(CN[C@H]2C[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)nn1 ZINC001020353044 729144303 /nfs/dbraw/zinc/14/43/03/729144303.db2.gz MIHKVRFSIIAWPH-KYZUINATSA-N -1 1 348.367 -0.494 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@@H]1CNC(=O)N1 ZINC001020526933 729194040 /nfs/dbraw/zinc/19/40/40/729194040.db2.gz TVXYNKALWWQQTI-GUBZILKMSA-N -1 1 333.348 -0.812 20 0 EBADMM Cn1c(C(=O)NC2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[n-]2)c[nH]c1=O ZINC001021345951 729306799 /nfs/dbraw/zinc/30/67/99/729306799.db2.gz JHNJJIKYLGSETL-PBINXNQUSA-N -1 1 347.379 -0.125 20 0 EBADMM O=C(c1ncccc1[O-])N1CC[C@H]2CN(C(=O)[C@@H]3COCCO3)C[C@H]21 ZINC001021441815 729314093 /nfs/dbraw/zinc/31/40/93/729314093.db2.gz CCQXALSCPIXOCE-SCRDCRAPSA-N -1 1 347.371 -0.125 20 0 EBADMM Cn1ncc(C(=O)N2C[C@@H]3CCN(C(=O)c4ncccc4[O-])[C@@H]3C2)n1 ZINC001021441738 729314112 /nfs/dbraw/zinc/31/41/12/729314112.db2.gz AWRRDTLFLYFXBW-CMPLNLGQSA-N -1 1 342.359 -0.098 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@H](CCCN3C(=O)c3cn[nH]c3)C2)nc1=O ZINC001021533663 729320418 /nfs/dbraw/zinc/32/04/18/729320418.db2.gz PQHWIAWZXUMPEV-DGCLKSJQSA-N -1 1 345.407 -0.042 20 0 EBADMM CC(C)n1ncnc1CN[C@H]1C[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001022370199 729486377 /nfs/dbraw/zinc/48/63/77/729486377.db2.gz ZDQZTOHKHVTWJY-DTORHVGOSA-N -1 1 348.367 -0.494 20 0 EBADMM COc1c[nH]c(C(=O)N[C@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC001022433896 729494063 /nfs/dbraw/zinc/49/40/63/729494063.db2.gz VHLMKFKVJFPSEB-OCAPTIKFSA-N -1 1 334.336 -0.742 20 0 EBADMM O=C(C[C@H]1CCCO1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001023111871 729608441 /nfs/dbraw/zinc/60/84/41/729608441.db2.gz XHDMVUSEMSOGOG-NQBHXWOUSA-N -1 1 335.360 -0.342 20 0 EBADMM Cn1nnnc1CN1CCC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001023326570 729664155 /nfs/dbraw/zinc/66/41/55/729664155.db2.gz XVEYHPJYWZZPAP-LLVKDONJSA-N -1 1 331.380 -0.047 20 0 EBADMM Cc1cc(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3[nH]nc(C)c3[O-])C2)n[nH]1 ZINC001023412109 729681851 /nfs/dbraw/zinc/68/18/51/729681851.db2.gz NZNJLKPNCLETEV-NXEZZACHSA-N -1 1 334.336 -0.929 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)ncn1 ZINC001023423103 729685317 /nfs/dbraw/zinc/68/53/17/729685317.db2.gz QVJUQTVPSAXYNZ-DGCLKSJQSA-N -1 1 343.343 -0.499 20 0 EBADMM Cn1cccc1C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023486699 729701652 /nfs/dbraw/zinc/70/16/52/729701652.db2.gz NZZAGSQBQMMJND-ZWNOBZJWSA-N -1 1 330.344 -0.259 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)[C@H]2CCCOCC2)C[C@H]1O)c1ncccc1[O-] ZINC001023488398 729702112 /nfs/dbraw/zinc/70/21/12/729702112.db2.gz GJGGQDONQZAEFK-OUCADQQQSA-N -1 1 349.387 -0.095 20 0 EBADMM O=C(Cc1cccnc1)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023488445 729702608 /nfs/dbraw/zinc/70/26/08/729702608.db2.gz IPDPLKAWXMWJFW-TZMCWYRMSA-N -1 1 342.355 -0.274 20 0 EBADMM CCn1cnc(C(=O)NC[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001023705424 729755945 /nfs/dbraw/zinc/75/59/45/729755945.db2.gz QGOYOBMZROFNDX-GFCCVEGCSA-N -1 1 347.423 -0.033 20 0 EBADMM Cc1nccn1CC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001023799550 729801632 /nfs/dbraw/zinc/80/16/32/729801632.db2.gz FURAKFLZDPHVER-DGCLKSJQSA-N -1 1 345.359 -0.706 20 0 EBADMM Cc1nnc(CNC[C@@H]2CCCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC001024053475 729835369 /nfs/dbraw/zinc/83/53/69/729835369.db2.gz FPYSMGQGIBHZPH-VIFPVBQESA-N -1 1 349.351 -0.384 20 0 EBADMM Cc1ccc(C(=O)NC[C@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)nn1 ZINC001024434945 729887629 /nfs/dbraw/zinc/88/76/29/729887629.db2.gz LUYRMOVZFKTQQQ-GFCCVEGCSA-N -1 1 345.407 -0.009 20 0 EBADMM CS(=O)(=O)CC(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024887602 729939388 /nfs/dbraw/zinc/93/93/88/729939388.db2.gz WXPAPTOICJUXKS-SECBINFHSA-N -1 1 331.398 -0.974 20 0 EBADMM Nc1nccnc1C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024904141 729940717 /nfs/dbraw/zinc/94/07/17/729940717.db2.gz JSLMHPAGPQWPDP-SECBINFHSA-N -1 1 332.368 -0.333 20 0 EBADMM Cn1ccnc1CNC[C@H]1CCCCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001025010421 729986251 /nfs/dbraw/zinc/98/62/51/729986251.db2.gz AMNKMJJULQWCFY-SNVBAGLBSA-N -1 1 347.379 -0.199 20 0 EBADMM C[C@@]1(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CNC(=O)C1 ZINC001025030396 729988237 /nfs/dbraw/zinc/98/82/37/729988237.db2.gz PRXCFHCWSVHHOR-BONVTDFDSA-N -1 1 336.396 -0.493 20 0 EBADMM O=C(C[C@H]1CCC(=O)N1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001025112916 730000737 /nfs/dbraw/zinc/00/07/37/730000737.db2.gz OKXSMROQEPVDDU-GHMZBOCLSA-N -1 1 336.396 -0.350 20 0 EBADMM C[C@H]1OCC[C@@]1(C)C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001025924334 730108268 /nfs/dbraw/zinc/10/82/68/730108268.db2.gz PTEZYHMIHSJVHH-WHRSBTLBSA-N -1 1 335.408 -0.530 20 0 EBADMM C[C@@H]1COCC[C@@H]1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001026021101 730116109 /nfs/dbraw/zinc/11/61/09/730116109.db2.gz DPZFZPVULJOYBC-MOWSAHLDSA-N -1 1 335.408 -0.673 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1C[C@@H]2CC[C@@H](NCc3ccon3)[C@@H]2C1 ZINC001026305437 730136571 /nfs/dbraw/zinc/13/65/71/730136571.db2.gz ZFXOLAMGLVWHHI-JMJZKYOTSA-N -1 1 346.347 -0.089 20 0 EBADMM C[C@@H](NC[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1)c1ncccn1 ZINC001026940851 730173889 /nfs/dbraw/zinc/17/38/89/730173889.db2.gz SSAHZZKOKVWBAX-NXEZZACHSA-N -1 1 345.363 -0.114 20 0 EBADMM Cc1noc(CNC[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001026941734 730174159 /nfs/dbraw/zinc/17/41/59/730174159.db2.gz PYQAYGVRYYLVSF-QMMMGPOBSA-N -1 1 335.324 -0.774 20 0 EBADMM CCc1cc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)[nH]n1 ZINC001027760060 730237353 /nfs/dbraw/zinc/23/73/53/730237353.db2.gz QRGYMSXPTZAIEY-NSHDSACASA-N -1 1 333.396 -0.212 20 0 EBADMM Cc1ccnc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001027850019 730242780 /nfs/dbraw/zinc/24/27/80/730242780.db2.gz JAMZVQGWKKVLPV-LLVKDONJSA-N -1 1 331.380 -0.399 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H]2CNC(=O)C2CCC(O)CC2)nc1=O ZINC001027853207 730243053 /nfs/dbraw/zinc/24/30/53/730243053.db2.gz WSNFNYIENJZHMG-CPCZMJQVSA-N -1 1 337.424 -0.260 20 0 EBADMM Cc1ncc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)n1C ZINC001028098917 730266225 /nfs/dbraw/zinc/26/62/25/730266225.db2.gz XVENGWKHWBXABC-LLVKDONJSA-N -1 1 333.396 -0.455 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)NC[C@@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001028205119 730274418 /nfs/dbraw/zinc/27/44/18/730274418.db2.gz FEZAGIAETQSPAB-NSHDSACASA-N -1 1 333.396 -0.157 20 0 EBADMM Cc1noc(C)c1C(=O)NC[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001028399260 730289968 /nfs/dbraw/zinc/28/99/68/730289968.db2.gz JBNYUIUKSIWCOG-LLVKDONJSA-N -1 1 334.380 -0.035 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)c3cncc(F)c3)C2)nc1=O ZINC001028476099 730298202 /nfs/dbraw/zinc/29/82/02/730298202.db2.gz XTPJRTKKHXCEKI-JTQLQIEISA-N -1 1 334.355 -0.106 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)[C@H]3CCCOCC3)C2)nc1=O ZINC001028509341 730303656 /nfs/dbraw/zinc/30/36/56/730303656.db2.gz LWYNHZREVUZJQT-OLZOCXBDSA-N -1 1 337.424 -0.137 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)[C@H]3C[C@@H]3C(F)F)C2)nc1=O ZINC001028516189 730304754 /nfs/dbraw/zinc/30/47/54/730304754.db2.gz HDDWFARPNRQHHR-GUBZILKMSA-N -1 1 329.351 -0.052 20 0 EBADMM Cc1nc([C@@H](C)N2CC[C@@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001028660614 730333504 /nfs/dbraw/zinc/33/35/04/730333504.db2.gz AAXGJZBGLJCXIM-APPZFPTMSA-N -1 1 348.367 -0.478 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)[C@@H]3C[C@H]4CC[C@@H]3O4)C2)nc1=O ZINC001028816288 730360419 /nfs/dbraw/zinc/36/04/19/730360419.db2.gz BIGLRCKUHXRWFH-LPWJVIDDSA-N -1 1 335.408 -0.386 20 0 EBADMM CN(C)C(=O)CN1CC[C@@H](CNC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001028854025 730363421 /nfs/dbraw/zinc/36/34/21/730363421.db2.gz RFXKAEJCLAOVMO-NSHDSACASA-N -1 1 346.391 -0.838 20 0 EBADMM CCO[C@@H]1C[C@@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029220492 730393514 /nfs/dbraw/zinc/39/35/14/730393514.db2.gz YDDHYORRRHTLCM-QNWHQSFQSA-N -1 1 335.408 -0.291 20 0 EBADMM O=C(CCc1nc[nH]n1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001029262885 730395675 /nfs/dbraw/zinc/39/56/75/730395675.db2.gz AIHWIEMYZZGADO-AOOOYVTPSA-N -1 1 332.368 -0.564 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)[C@H]2COCCO2)C1 ZINC001029656577 730480248 /nfs/dbraw/zinc/48/02/48/730480248.db2.gz TZDLKASVVMROIE-WDEREUQCSA-N -1 1 325.369 -0.794 20 0 EBADMM Cc1c(C(=O)N2CC[C@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)nnn1C ZINC001029684746 730483317 /nfs/dbraw/zinc/48/33/17/730483317.db2.gz BGOULNGYIMOYSA-SNVBAGLBSA-N -1 1 334.384 -0.459 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)[C@@H]2CN(C)CCO2)C1 ZINC001029687132 730484033 /nfs/dbraw/zinc/48/40/33/730484033.db2.gz BBWIRFPTYIXIFY-NEPJUHHUSA-N -1 1 338.412 -0.879 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)c2cc(=O)n(C)o2)C1 ZINC001029719910 730487894 /nfs/dbraw/zinc/48/78/94/730487894.db2.gz QJBBUADFUIXEGQ-VIFPVBQESA-N -1 1 336.352 -0.604 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)C[C@H]2CCNC2=O)C1 ZINC001029754422 730494957 /nfs/dbraw/zinc/49/49/57/730494957.db2.gz YFTZRNVIKBCBDU-WDEREUQCSA-N -1 1 336.396 -0.683 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N1CC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001029761798 730497179 /nfs/dbraw/zinc/49/71/79/730497179.db2.gz BOGXVTSJZFCRJW-VIFPVBQESA-N -1 1 335.368 -0.158 20 0 EBADMM CN(Cc1ccn(C)n1)C[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001029776491 730499690 /nfs/dbraw/zinc/49/96/90/730499690.db2.gz BRVLGYNGYBIVRK-JTQLQIEISA-N -1 1 347.379 -0.390 20 0 EBADMM CN(Cc1cnnn1C)C[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001029776516 730499714 /nfs/dbraw/zinc/49/97/14/730499714.db2.gz CDMXUYJSWCXWMH-VIFPVBQESA-N -1 1 348.367 -0.995 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)c2cn(C)c(=O)cn2)C1 ZINC001029788615 730501233 /nfs/dbraw/zinc/50/12/33/730501233.db2.gz ZAXJFZDENUTDLF-SNVBAGLBSA-N -1 1 347.379 -0.802 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)[C@]2(F)CCOC2)C1 ZINC001029820261 730507804 /nfs/dbraw/zinc/50/78/04/730507804.db2.gz SWEBUVMRKLWLCQ-HZMBPMFUSA-N -1 1 327.360 -0.081 20 0 EBADMM Cc1ccn(CC(=O)N2CC[C@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001029844317 730512098 /nfs/dbraw/zinc/51/20/98/730512098.db2.gz DSOLDAZWSTUXMG-GFCCVEGCSA-N -1 1 333.396 -0.004 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)C[C@H]2CCC(=O)N2)C1 ZINC001029867350 730515207 /nfs/dbraw/zinc/51/52/07/730515207.db2.gz VSYJFLGDLQCJEI-WDEREUQCSA-N -1 1 336.396 -0.541 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)[C@H]2COCCO2)CC1 ZINC001029939485 730526910 /nfs/dbraw/zinc/52/69/10/730526910.db2.gz XPNNPEIBBJKVFJ-GFCCVEGCSA-N -1 1 339.396 -0.404 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)[C@H]2COC(=O)N2)CC1 ZINC001029961679 730528449 /nfs/dbraw/zinc/52/84/49/730528449.db2.gz GIZGDRFLVDECRO-SNVBAGLBSA-N -1 1 338.368 -0.711 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)Cc2nnc[nH]2)CC1 ZINC001030009335 730534613 /nfs/dbraw/zinc/53/46/13/730534613.db2.gz BHKYOILTEREWPD-UHFFFAOYSA-N -1 1 334.384 -0.459 20 0 EBADMM COCCc1nc(CN2CC(NC(=O)c3ncccc3[O-])C2)no1 ZINC001030242462 730558014 /nfs/dbraw/zinc/55/80/14/730558014.db2.gz UQBJNBCKDWPFNK-UHFFFAOYSA-N -1 1 333.348 -0.027 20 0 EBADMM CC(C)Cc1ncc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)[nH]1 ZINC001030309639 730565559 /nfs/dbraw/zinc/56/55/59/730565559.db2.gz NXWYWIACYOLCGA-UHFFFAOYSA-N -1 1 333.396 -0.356 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ccnn3CC3CCC3)C2)nc1=O ZINC001030317541 730567259 /nfs/dbraw/zinc/56/72/59/730567259.db2.gz POLOZNAXIOOVDG-UHFFFAOYSA-N -1 1 345.407 -0.281 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@@H]3C[C@H]3c3ccncc3)C2)nc1=O ZINC001030334694 730569553 /nfs/dbraw/zinc/56/95/53/730569553.db2.gz XEGUYHIFKWWEQV-QWHCGFSZSA-N -1 1 328.376 -0.393 20 0 EBADMM Cn1ncc(C2CCC2)c1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030343120 730570537 /nfs/dbraw/zinc/57/05/37/730570537.db2.gz JHMYZLABNQICQD-UHFFFAOYSA-N -1 1 345.407 -0.276 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)Cc3cn4c(n3)CCCC4)C2)nc1=O ZINC001030350036 730572701 /nfs/dbraw/zinc/57/27/01/730572701.db2.gz XCLMPYZIXKNFIJ-UHFFFAOYSA-N -1 1 345.407 -0.816 20 0 EBADMM CC(C)Cn1ccc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001030380288 730576474 /nfs/dbraw/zinc/57/64/74/730576474.db2.gz WMUYZAANDKNLBN-UHFFFAOYSA-N -1 1 333.396 -0.425 20 0 EBADMM Cn1ncc(C2CC2)c1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030422469 730583119 /nfs/dbraw/zinc/58/31/19/730583119.db2.gz DFCFHYZLFREYKW-UHFFFAOYSA-N -1 1 331.380 -0.667 20 0 EBADMM COc1cc(C)cnc1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030455850 730589294 /nfs/dbraw/zinc/58/92/94/730589294.db2.gz JUODLMAZOOCCJY-UHFFFAOYSA-N -1 1 332.364 -0.565 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cnc4cc[nH]c4c3)C2)nc1=O ZINC001030498995 730596632 /nfs/dbraw/zinc/59/66/32/730596632.db2.gz WZXCDAZXXWUOMW-UHFFFAOYSA-N -1 1 327.348 -0.401 20 0 EBADMM COc1ccncc1CC(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030515451 730597826 /nfs/dbraw/zinc/59/78/26/730597826.db2.gz XKXQDWCCBDJTHD-UHFFFAOYSA-N -1 1 332.364 -0.945 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cc4c[nH]ccc-4n3)C2)nc1=O ZINC001030527821 730599988 /nfs/dbraw/zinc/59/99/88/730599988.db2.gz WCVBLISWBODYJC-UHFFFAOYSA-N -1 1 327.348 -0.401 20 0 EBADMM CN1CCCC[C@H]1C(=O)NC1CN(Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC001030535066 730600309 /nfs/dbraw/zinc/60/03/09/730600309.db2.gz JWXKECOJNUCRBS-AWEZNQCLSA-N -1 1 344.419 -0.193 20 0 EBADMM CN1CCCC[C@@H]1C(=O)NC1CN(Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC001030535067 730600388 /nfs/dbraw/zinc/60/03/88/730600388.db2.gz JWXKECOJNUCRBS-CQSZACIVSA-N -1 1 344.419 -0.193 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cnoc3-c3ccco3)C2)nc1=O ZINC001030542791 730601848 /nfs/dbraw/zinc/60/18/48/730601848.db2.gz FHKCPFXLZKGCSF-UHFFFAOYSA-N -1 1 344.331 -0.029 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ncc4ccccn43)C2)nc1=O ZINC001030676020 730622731 /nfs/dbraw/zinc/62/27/31/730622731.db2.gz PQPGISCRPMHHIS-UHFFFAOYSA-N -1 1 327.348 -0.630 20 0 EBADMM C[C@H]1C[C@@H](CN2CC(NC(=O)c3cnc([O-])n(C)c3=O)C2)CCO1 ZINC001030779798 730637066 /nfs/dbraw/zinc/63/70/66/730637066.db2.gz JNLUTDKXSFEKEJ-QWRGUYRKSA-N -1 1 336.392 -0.285 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)Cc3cc(F)ccc3F)C2)nc1=O ZINC001030865950 730650375 /nfs/dbraw/zinc/65/03/75/730650375.db2.gz LLRYAXVRHXMJGJ-UHFFFAOYSA-N -1 1 337.330 -0.070 20 0 EBADMM Cc1nc(C(C)C)ncc1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031057243 730669294 /nfs/dbraw/zinc/66/92/94/730669294.db2.gz HRUONTMXOYCPQO-UHFFFAOYSA-N -1 1 345.407 -0.056 20 0 EBADMM O=C(NC1CN(CCN2CCNC2=O)C1)c1cnc(C2CC2)[n-]c1=O ZINC001031060580 730669935 /nfs/dbraw/zinc/66/99/35/730669935.db2.gz HRGMPAHIWABZMS-UHFFFAOYSA-N -1 1 346.391 -0.501 20 0 EBADMM COc1ccc(CC(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c(F)c1 ZINC001031187641 730684650 /nfs/dbraw/zinc/68/46/50/730684650.db2.gz KXBPXTFDDAAPGW-UHFFFAOYSA-N -1 1 349.366 -0.201 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(Cc2ncc(C)cn2)C1 ZINC001031250038 730693644 /nfs/dbraw/zinc/69/36/44/730693644.db2.gz QNPVJNGFNLFADB-UHFFFAOYSA-N -1 1 346.347 -0.391 20 0 EBADMM Cc1ncsc1CN1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001031250072 730693977 /nfs/dbraw/zinc/69/39/77/730693977.db2.gz RWHQQEIOQLGMLT-UHFFFAOYSA-N -1 1 345.388 -0.203 20 0 EBADMM COCc1ccsc1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031255623 730695869 /nfs/dbraw/zinc/69/58/69/730695869.db2.gz YRGHHTQYLJMVJS-UHFFFAOYSA-N -1 1 337.405 -0.070 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3c[nH]c4ncccc34)C2)nc1=O ZINC001031405680 730717003 /nfs/dbraw/zinc/71/70/03/730717003.db2.gz IVTFBOUIVROKQX-UHFFFAOYSA-N -1 1 327.348 -0.401 20 0 EBADMM Cc1cc(C)n([C@@H](C)C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001031470047 730726812 /nfs/dbraw/zinc/72/68/12/730726812.db2.gz DAGBBECIOHCKFH-NSHDSACASA-N -1 1 333.396 -0.517 20 0 EBADMM CC(C)Oc1ncccc1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031500149 730730990 /nfs/dbraw/zinc/73/09/90/730730990.db2.gz LHPGTBSESBEQKL-UHFFFAOYSA-N -1 1 346.391 -0.095 20 0 EBADMM O=C(NCC1CN(C[C@H]2CCS(=O)(=O)C2)C1)c1ncccc1[O-] ZINC001031628794 730742773 /nfs/dbraw/zinc/74/27/73/730742773.db2.gz YPXZDKDNLCCUCX-LLVKDONJSA-N -1 1 339.417 -0.117 20 0 EBADMM Cn1ncc(C2CC2)c1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031724518 730757287 /nfs/dbraw/zinc/75/72/87/730757287.db2.gz LACUVLYXLHFKQY-UHFFFAOYSA-N -1 1 345.407 -0.419 20 0 EBADMM CC[C@@H]1OCCC[C@H]1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031722308 730757330 /nfs/dbraw/zinc/75/73/30/730757330.db2.gz SJUNCZLZAKOBAO-OLZOCXBDSA-N -1 1 337.424 -0.138 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cnn(C(C)(C)C)c3)C2)nc1=O ZINC001031800436 730770709 /nfs/dbraw/zinc/77/07/09/730770709.db2.gz QPLUQRZONOUBDT-UHFFFAOYSA-N -1 1 347.423 -0.078 20 0 EBADMM Cn1nc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)cc1Cl ZINC001031833240 730777132 /nfs/dbraw/zinc/77/71/32/730777132.db2.gz HSRZHVIVRVJZCZ-UHFFFAOYSA-N -1 1 339.787 -0.643 20 0 EBADMM CC(C)n1cnc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001031874244 730783582 /nfs/dbraw/zinc/78/35/82/730783582.db2.gz NDIOCAPBBVOZTP-UHFFFAOYSA-N -1 1 333.396 -0.252 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3occ4c3CCOC4)C2)nc1=O ZINC001031878019 730784720 /nfs/dbraw/zinc/78/47/20/730784720.db2.gz JQYGJFLCONVDPK-UHFFFAOYSA-N -1 1 347.375 -0.364 20 0 EBADMM CCc1noc(C)c1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032181677 730823164 /nfs/dbraw/zinc/82/31/64/730823164.db2.gz QBWWXLOXKPXHSG-UHFFFAOYSA-N -1 1 334.380 -0.171 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@H]3CC34CCOCC4)C2)nc1=O ZINC001032232054 730833198 /nfs/dbraw/zinc/83/31/98/730833198.db2.gz RNLMJQWLYFXPBY-GFCCVEGCSA-N -1 1 335.408 -0.527 20 0 EBADMM Cn1[nH]c(CN2C[C@@H]3C[C@H]2CN3C(=O)c2ncccc2[O-])nc1=O ZINC001032370461 730847243 /nfs/dbraw/zinc/84/72/43/730847243.db2.gz XYFPGXZLOAJLQZ-UWVGGRQHSA-N -1 1 330.348 -0.692 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1C[C@@H]2C[C@H]1CN2C[C@@H]1CCCCO1 ZINC001032499172 730876979 /nfs/dbraw/zinc/87/69/79/730876979.db2.gz SYBBIVRJZLRUJH-DCAQKATOSA-N -1 1 335.364 -0.249 20 0 EBADMM C[C@@H](C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1)c1cncnc1 ZINC001032585491 730894434 /nfs/dbraw/zinc/89/44/34/730894434.db2.gz KRXMQTBQTXPWSQ-WXHSDQCUSA-N -1 1 343.391 -0.513 20 0 EBADMM Cc1ccnn1CC(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032655941 730905665 /nfs/dbraw/zinc/90/56/65/730905665.db2.gz JIRRWKOBRONOTO-RYUDHWBXSA-N -1 1 331.380 -0.901 20 0 EBADMM CCc1oncc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032659121 730906008 /nfs/dbraw/zinc/90/60/08/730906008.db2.gz MWHWEEGXEMZHPP-UWVGGRQHSA-N -1 1 332.364 -0.242 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)[C@@]2(C)CCCOC2)nc1=O ZINC001032703314 730914446 /nfs/dbraw/zinc/91/44/46/730914446.db2.gz PODJRBKSGKEZAG-MKBNYLNASA-N -1 1 335.408 -0.290 20 0 EBADMM CCc1cc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC001032917074 730949126 /nfs/dbraw/zinc/94/91/26/730949126.db2.gz RSMLUTCESSNCFV-LLVKDONJSA-N -1 1 333.396 -0.260 20 0 EBADMM Cc1nn(C)cc1C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032962123 730952245 /nfs/dbraw/zinc/95/22/45/730952245.db2.gz KRVYYTHLFXLRBA-LLVKDONJSA-N -1 1 333.396 -0.503 20 0 EBADMM CN(C(=O)Cc1ccc(F)cn1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033197260 730985092 /nfs/dbraw/zinc/98/50/92/730985092.db2.gz GXLMKIDGFOKJBJ-CYBMUJFWSA-N -1 1 348.382 -0.082 20 0 EBADMM CN(C(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033278584 730999729 /nfs/dbraw/zinc/99/97/29/730999729.db2.gz KPCMNTYDBUBDPO-SFDCQRBFSA-N -1 1 349.435 -0.044 20 0 EBADMM CN(C(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033282955 731000106 /nfs/dbraw/zinc/00/01/06/731000106.db2.gz QXIVMGSIWDFAPC-VPLWTHEFSA-N -1 1 347.419 -0.436 20 0 EBADMM CN(C(=O)[C@@]1(F)CCOC1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033362567 731014162 /nfs/dbraw/zinc/01/41/62/731014162.db2.gz SHLLTLSMJHUGFP-QMTHXVAHSA-N -1 1 327.360 -0.730 20 0 EBADMM CCc1ncncc1C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033664734 731058623 /nfs/dbraw/zinc/05/86/23/731058623.db2.gz HNYVYYWHCRUXLM-LLVKDONJSA-N -1 1 345.407 -0.193 20 0 EBADMM CCN(C(=O)c1nnn(C)c1C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033783895 731073719 /nfs/dbraw/zinc/07/37/19/731073719.db2.gz QZTBUYLPGGFIAJ-LLVKDONJSA-N -1 1 348.411 -0.718 20 0 EBADMM CCN(C(=O)c1cnnc(C)c1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033805927 731075341 /nfs/dbraw/zinc/07/53/41/731075341.db2.gz AFKAPQIMQCQYNE-ZDUSSCGKSA-N -1 1 345.407 -0.057 20 0 EBADMM CCN(C(=O)c1cnn(C)c1N)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033811255 731076499 /nfs/dbraw/zinc/07/64/99/731076499.db2.gz RKNQUVCMVPIOFX-SNVBAGLBSA-N -1 1 348.411 -0.839 20 0 EBADMM CCN(C(=O)c1csnn1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033912275 731086614 /nfs/dbraw/zinc/08/66/14/731086614.db2.gz IKIKSSGRZBMVQU-VIFPVBQESA-N -1 1 337.409 -0.304 20 0 EBADMM CCN(C(=O)c1n[nH]nc1C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033916237 731086934 /nfs/dbraw/zinc/08/69/34/731086934.db2.gz MOWHQTJALVLRNK-SNVBAGLBSA-N -1 1 334.384 -0.728 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC001034117795 731104173 /nfs/dbraw/zinc/10/41/73/731104173.db2.gz PXIAYQPMEHXGJP-LLVKDONJSA-N -1 1 333.396 -0.076 20 0 EBADMM Cc1c(C(=O)N[C@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001034105537 731104216 /nfs/dbraw/zinc/10/42/16/731104216.db2.gz RWEOJNGFFQTKQE-LBPRGKRZSA-N -1 1 347.423 -0.065 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H](NC(=O)c3cnns3)C2)nc1=O ZINC001034120419 731104599 /nfs/dbraw/zinc/10/45/99/731104599.db2.gz GHCKDLTUCLYBSK-VIFPVBQESA-N -1 1 337.409 -0.256 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC001034119442 731104733 /nfs/dbraw/zinc/10/47/33/731104733.db2.gz LAOMWTHYQSOSCK-LBPRGKRZSA-N -1 1 347.423 -0.065 20 0 EBADMM Cn1nccc1CC(=O)N[C@@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034186480 731108731 /nfs/dbraw/zinc/10/87/31/731108731.db2.gz CYHVPAUVILCKIT-GFCCVEGCSA-N -1 1 347.423 -0.445 20 0 EBADMM CC[C@H](C(N)=O)N1CCCC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001034292960 731118302 /nfs/dbraw/zinc/11/83/02/731118302.db2.gz URMSBVPPMBJABG-DTWKUNHWSA-N -1 1 338.368 -0.869 20 0 EBADMM Cc1n[nH]cc1C(=O)N[C@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034335462 731121630 /nfs/dbraw/zinc/12/16/30/731121630.db2.gz QJUKJWZOZVIIRR-NSHDSACASA-N -1 1 333.396 -0.076 20 0 EBADMM CC[C@]1(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CCNC1=O ZINC001034963104 731169356 /nfs/dbraw/zinc/16/93/56/731169356.db2.gz CGFCTSSUAHDIQP-BMIGLBTASA-N -1 1 336.396 -0.493 20 0 EBADMM Cn1cc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)ccc1=O ZINC001034984758 731172863 /nfs/dbraw/zinc/17/28/63/731172863.db2.gz WVZBUBWKEZLQMF-LLVKDONJSA-N -1 1 332.364 -0.397 20 0 EBADMM COc1cc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)nn1C ZINC001034989468 731173579 /nfs/dbraw/zinc/17/35/79/731173579.db2.gz JVFSZGMJWSMRJS-SECBINFHSA-N -1 1 335.368 -0.353 20 0 EBADMM CO[C@H](C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)c1cnn(C)c1 ZINC001035001638 731175486 /nfs/dbraw/zinc/17/54/86/731175486.db2.gz ANFHMPHEUKZTDD-YPMHNXCESA-N -1 1 349.395 -0.288 20 0 EBADMM O=C(c1cnn2cc[nH]c12)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035114393 731187011 /nfs/dbraw/zinc/18/70/11/731187011.db2.gz XBYICPGBVIXOLT-SECBINFHSA-N -1 1 330.352 -0.120 20 0 EBADMM CC(=O)N1CCC[C@@H]1C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035137995 731191626 /nfs/dbraw/zinc/19/16/26/731191626.db2.gz FPGANEPPIRMVKP-VXGBXAGGSA-N -1 1 336.396 -0.398 20 0 EBADMM Cc1ccc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)cn1 ZINC001035290899 731206033 /nfs/dbraw/zinc/20/60/33/731206033.db2.gz PKUKYFZZOIAYJU-CYBMUJFWSA-N -1 1 346.391 -0.557 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)[C@H]3CC=CCC3)C2)nc1=O ZINC001035295581 731207103 /nfs/dbraw/zinc/20/71/03/731207103.db2.gz ZYCRRPHNKMNQIS-QWHCGFSZSA-N -1 1 335.408 -0.218 20 0 EBADMM Cc1ccncc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035318637 731214082 /nfs/dbraw/zinc/21/40/82/731214082.db2.gz DIIFOVMLVOFGLQ-GFCCVEGCSA-N -1 1 346.391 -0.557 20 0 EBADMM O=C(NC[C@@H]1CN([C@@H]2CCCNC2=O)CCO1)c1ncccc1[O-] ZINC001035342243 731221204 /nfs/dbraw/zinc/22/12/04/731221204.db2.gz BUEACUYCZGLYGY-VXGBXAGGSA-N -1 1 334.376 -0.504 20 0 EBADMM Cn1cnnc1CN1CCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001035342277 731221434 /nfs/dbraw/zinc/22/14/34/731221434.db2.gz CKHYNIJNEDJFOQ-LLVKDONJSA-N -1 1 332.364 -0.454 20 0 EBADMM O=C(NC[C@H]1CN([C@@H]2CCC(=O)NC2=O)CCO1)c1ncccc1[O-] ZINC001035343344 731221716 /nfs/dbraw/zinc/22/17/16/731221716.db2.gz HVZDTKCVZLPGNQ-WDEREUQCSA-N -1 1 348.359 -0.977 20 0 EBADMM CN1CC[C@H](N2CCO[C@H](CNC(=O)c3ncccc3[O-])C2)C1=O ZINC001035345065 731222370 /nfs/dbraw/zinc/22/23/70/731222370.db2.gz VLPAXJMERXOJOY-NEPJUHHUSA-N -1 1 334.376 -0.552 20 0 EBADMM Cc1ccn(C)c1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035347977 731223692 /nfs/dbraw/zinc/22/36/92/731223692.db2.gz MELYIRFTGCLUHS-GFCCVEGCSA-N -1 1 348.407 -0.614 20 0 EBADMM CCc1ccc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)o1 ZINC001035416195 731248294 /nfs/dbraw/zinc/24/82/94/731248294.db2.gz JZWGGMRYIGBIEZ-LBPRGKRZSA-N -1 1 349.391 -0.105 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)[C@H]3CCC3(F)F)C2)nc1=O ZINC001035417443 731248589 /nfs/dbraw/zinc/24/85/89/731248589.db2.gz VFRPUYGYELNVNG-NXEZZACHSA-N -1 1 345.350 -0.529 20 0 EBADMM O=C(NC[C@H]1CN(CC2CCCC2)CCO1)c1n[nH]c(=O)[n-]c1=O ZINC001035431535 731253207 /nfs/dbraw/zinc/25/32/07/731253207.db2.gz IZTPUBIVDRPVAI-NSHDSACASA-N -1 1 337.380 -0.096 20 0 EBADMM C[C@@H]1CCCN(C(=O)CCn2ccnn2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036542595 731261671 /nfs/dbraw/zinc/26/16/71/731261671.db2.gz DBQPTLPVUXQLRS-NEPJUHHUSA-N -1 1 348.411 -0.091 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cc3cccn3cn2)nc1=O ZINC001038394136 731318457 /nfs/dbraw/zinc/31/84/57/731318457.db2.gz MPVOFOGLMGYFFB-GFCCVEGCSA-N -1 1 341.375 -0.240 20 0 EBADMM CC(C)c1n[nH]cc1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038450931 731327808 /nfs/dbraw/zinc/32/78/08/731327808.db2.gz VKCQSSDXILUPMB-JTQLQIEISA-N -1 1 333.396 -0.041 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cccn3nccc23)nc1=O ZINC001038454978 731328422 /nfs/dbraw/zinc/32/84/22/731328422.db2.gz OSCFIEYDGUBHGM-NSHDSACASA-N -1 1 341.375 -0.240 20 0 EBADMM O=C(NC[C@H]1CCN1Cc1n[nH]c(C2CC2)n1)c1n[nH]c(=O)[n-]c1=O ZINC001038466322 731331043 /nfs/dbraw/zinc/33/10/43/731331043.db2.gz ROZDCZZBBUGVME-MRVPVSSYSA-N -1 1 346.351 -0.717 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2ncc3ccccn32)nc1=O ZINC001038511036 731337535 /nfs/dbraw/zinc/33/75/35/731337535.db2.gz IPLOAHBEEZCEKS-LLVKDONJSA-N -1 1 341.375 -0.240 20 0 EBADMM COc1coc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)cc1=O ZINC001038515272 731337909 /nfs/dbraw/zinc/33/79/09/731337909.db2.gz NNLYRAOESJKJOH-VIFPVBQESA-N -1 1 349.347 -0.926 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@@H]2OC[C@@H]3CCC[C@@H]32)nc1=O ZINC001038529527 731339335 /nfs/dbraw/zinc/33/93/35/731339335.db2.gz MLWFSHSRNOXYJW-KZVDOYCCSA-N -1 1 335.408 -0.386 20 0 EBADMM Cc1nn(C)c(C)c1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038568700 731344932 /nfs/dbraw/zinc/34/49/32/731344932.db2.gz OONWJCLLMZATLC-NSHDSACASA-N -1 1 333.396 -0.537 20 0 EBADMM Cc1nc([C@@H](C)N2CC[C@@H]2CNC(=O)c2cnc([O-])n(C)c2=O)n[nH]1 ZINC001038583971 731347567 /nfs/dbraw/zinc/34/75/67/731347567.db2.gz RSZSHOWKEYEZTL-PSASIEDQSA-N -1 1 347.379 -0.522 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@H]2Cc3ccncc3C2)nc1=O ZINC001038704692 731367162 /nfs/dbraw/zinc/36/71/62/731367162.db2.gz NLFXLSLBSDFBDQ-GXTWGEPZSA-N -1 1 342.403 -0.391 20 0 EBADMM COc1cc(=O)[nH]cc1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038708081 731367796 /nfs/dbraw/zinc/36/77/96/731367796.db2.gz LPPDIXICEKYTEV-SECBINFHSA-N -1 1 348.363 -0.778 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@@H]2C[C@H]2c2cccnc2)nc1=O ZINC001038727463 731370356 /nfs/dbraw/zinc/37/03/56/731370356.db2.gz LDWYDHFFLPHBJE-HZSPNIEDSA-N -1 1 342.403 -0.002 20 0 EBADMM CC(C)n1ccc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001038762736 731373002 /nfs/dbraw/zinc/37/30/02/731373002.db2.gz VJQICBMFAIJWPH-NSHDSACASA-N -1 1 333.396 -0.110 20 0 EBADMM CNC(=O)CN1CC[C@H]1CNC(=O)c1n[n-]c2ccccc2c1=O ZINC001038764290 731373466 /nfs/dbraw/zinc/37/34/66/731373466.db2.gz MZGJGCKEXDCYMD-JTQLQIEISA-N -1 1 329.360 -0.115 20 0 EBADMM COc1nc(C)ccc1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038784223 731376464 /nfs/dbraw/zinc/37/64/64/731376464.db2.gz VDGGLWCSWCMDRB-LLVKDONJSA-N -1 1 346.391 -0.175 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cc3ncccn3n2)nc1=O ZINC001038831000 731382967 /nfs/dbraw/zinc/38/29/67/731382967.db2.gz DJTRIFJSTKOFPY-JTQLQIEISA-N -1 1 342.363 -0.845 20 0 EBADMM O=C(NC[C@H]1CCN1Cc1cscn1)c1cc(=O)n2[n-]cnc2n1 ZINC001038921440 731393339 /nfs/dbraw/zinc/39/33/39/731393339.db2.gz LTEWKPXRXTWHSZ-SNVBAGLBSA-N -1 1 345.388 -0.122 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2[nH]nc3ccccc32)nc1=O ZINC001038979423 731398921 /nfs/dbraw/zinc/39/89/21/731398921.db2.gz NDWLPQDRXXAIKH-SNVBAGLBSA-N -1 1 341.375 -0.011 20 0 EBADMM O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[n-]1)c1ccc2[nH]nnc2c1 ZINC001039013036 731403863 /nfs/dbraw/zinc/40/38/63/731403863.db2.gz PUMGFGWGVPYCJT-VIFPVBQESA-N -1 1 328.336 -0.214 20 0 EBADMM CCc1ncncc1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001039020247 731404803 /nfs/dbraw/zinc/40/48/03/731404803.db2.gz ZEPZRLIYJKNKCX-JTQLQIEISA-N -1 1 331.380 -0.535 20 0 EBADMM CCOc1cccnc1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001039105394 731417933 /nfs/dbraw/zinc/41/79/33/731417933.db2.gz RDFVDBGOSTZILG-NSHDSACASA-N -1 1 346.391 -0.094 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CC[C@@H](C2)N3C(=O)[C@H]2CCOC2)nc1=O ZINC001039336761 731428235 /nfs/dbraw/zinc/42/82/35/731428235.db2.gz OTKJDZCOMPAMHR-XQQFMLRXSA-N -1 1 335.408 -0.290 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CC[C@@H](C2)N3C(=O)[C@]23C[C@H]2COC3)nc1=O ZINC001039380060 731430410 /nfs/dbraw/zinc/43/04/10/731430410.db2.gz IUUJRVGPGMRWKH-LKQDWFRTSA-N -1 1 347.419 -0.290 20 0 EBADMM CO[C@@H](C)C(=O)N1CC[C@@]2(C1)CCCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001040104302 731600956 /nfs/dbraw/zinc/60/09/56/731600956.db2.gz LMHNRZVULPLGSU-LRDDRELGSA-N -1 1 337.424 -0.042 20 0 EBADMM Cc1nc[nH]c1C(=O)NC[C@]1(O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001040273181 731677424 /nfs/dbraw/zinc/67/74/24/731677424.db2.gz GTITXJFOCWJHDG-MRXNPFEDSA-N -1 1 345.359 -0.174 20 0 EBADMM O=C(NC[C@@]1(O)CCN(C(=O)c2ncccc2[O-])C1)c1cccnn1 ZINC001040676156 731823327 /nfs/dbraw/zinc/82/33/27/731823327.db2.gz MGASXNAVPBAIJD-INIZCTEOSA-N -1 1 343.343 -0.416 20 0 EBADMM C[C@]1(C(=O)NC[C@]2(O)CCN(C(=O)c3ncccc3[O-])C2)CCOC1 ZINC001040697109 731836490 /nfs/dbraw/zinc/83/64/90/731836490.db2.gz PGCRGUBHWNIWQD-DLBZAZTESA-N -1 1 349.387 -0.093 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(CCN(C(=O)[C@H]4CCOC4)C3)C2)nc1=O ZINC001041124218 731997368 /nfs/dbraw/zinc/99/73/68/731997368.db2.gz ZFQBDOXLIROKQZ-LRDDRELGSA-N -1 1 335.408 -0.431 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)c1ccncc1 ZINC001041171488 732019845 /nfs/dbraw/zinc/01/98/45/732019845.db2.gz JXUIQOUSMQASEQ-UHFFFAOYSA-N -1 1 328.328 -0.201 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)c4cnsn4)C[C@@H]32)nc1=O ZINC001041953322 732263095 /nfs/dbraw/zinc/26/30/95/732263095.db2.gz IUBBFUVVYUYDAR-ONGXEEELSA-N -1 1 349.420 -0.304 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)[C@H]1CCCOC1 ZINC001041995207 732272636 /nfs/dbraw/zinc/27/26/36/732272636.db2.gz URMFULLCNHXKOF-NSHDSACASA-N -1 1 335.360 -0.483 20 0 EBADMM Cc1n[nH]cc1C(=O)N1CC[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C1 ZINC001042173969 732342804 /nfs/dbraw/zinc/34/28/04/732342804.db2.gz UWCPJSYFJFLLGE-WCQYABFASA-N -1 1 345.407 -0.123 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)Cc4cnoc4)C[C@H]32)nc1=O ZINC001042197424 732352617 /nfs/dbraw/zinc/35/26/17/732352617.db2.gz BLUDLZSTUKVXNV-QWHCGFSZSA-N -1 1 346.391 -0.238 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)c4n[nH]cc4F)C[C@@H]32)nc1=O ZINC001042217816 732364307 /nfs/dbraw/zinc/36/43/07/732364307.db2.gz CCVUXOUKBOJEHG-ONGXEEELSA-N -1 1 349.370 -0.293 20 0 EBADMM Cc1c[nH]c(C(=O)N2CC[C@@H]3CCN(Cc4nc(=O)n(C)[n-]4)[C@H]3C2)n1 ZINC001042267868 732382418 /nfs/dbraw/zinc/38/24/18/732382418.db2.gz XLTVIMXVJNGUBH-RYUDHWBXSA-N -1 1 345.407 -0.123 20 0 EBADMM CC(C)[C@@H](O)C(=O)NC[C@H]1COCCN1C(=O)c1ncccc1[O-] ZINC001061553060 738604672 /nfs/dbraw/zinc/60/46/72/738604672.db2.gz VJCABQWPLCDHHI-SMDDNHRTSA-N -1 1 337.376 -0.239 20 0 EBADMM Cc1ncoc1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042712480 732546070 /nfs/dbraw/zinc/54/60/70/732546070.db2.gz ALNZRRJBEUHSDH-UHFFFAOYSA-N -1 1 332.316 -0.299 20 0 EBADMM Cc1nnsc1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042712749 732546130 /nfs/dbraw/zinc/54/61/30/732546130.db2.gz HIIXEXIWZYFASH-UHFFFAOYSA-N -1 1 349.372 -0.436 20 0 EBADMM O=C(Cc1cncnc1)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042713036 732546785 /nfs/dbraw/zinc/54/67/85/732546785.db2.gz LTSOKYUKDKBUHY-UHFFFAOYSA-N -1 1 343.343 -0.877 20 0 EBADMM Cn1nccc1CC(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042713344 732547336 /nfs/dbraw/zinc/54/73/36/732547336.db2.gz UERDNVZIMCQVQJ-UHFFFAOYSA-N -1 1 345.359 -0.934 20 0 EBADMM C[C@@H](C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1)n1cccn1 ZINC001042713477 732547400 /nfs/dbraw/zinc/54/74/00/732547400.db2.gz ZNRRYHMFSGABGW-NSHDSACASA-N -1 1 345.359 -0.452 20 0 EBADMM Cc1cn(C)nc1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042716530 732548332 /nfs/dbraw/zinc/54/83/32/732548332.db2.gz QUNRCYKRUAIBRZ-UHFFFAOYSA-N -1 1 345.359 -0.554 20 0 EBADMM C[C@@H]1OCC[C@H]1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042716175 732548364 /nfs/dbraw/zinc/54/83/64/732548364.db2.gz ICVAHXFRZXPKMM-WDEREUQCSA-N -1 1 335.360 -0.485 20 0 EBADMM Cc1ccnn1CC(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042719336 732549961 /nfs/dbraw/zinc/54/99/61/732549961.db2.gz AOUIMYDLOOEUGW-UHFFFAOYSA-N -1 1 345.359 -0.705 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2n[nH]cc2F)C1)c1ncccc1[O-] ZINC001042719558 732550094 /nfs/dbraw/zinc/55/00/94/732550094.db2.gz FVJMTTULGYHDFR-UHFFFAOYSA-N -1 1 335.295 -0.734 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ccc(=O)[nH]c2)C1)c1ncccc1[O-] ZINC001042720124 732551190 /nfs/dbraw/zinc/55/11/90/732551190.db2.gz RBDLYLJVAXHJJZ-UHFFFAOYSA-N -1 1 344.327 -0.495 20 0 EBADMM O=C(CCOCC1CC1)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042720158 732551334 /nfs/dbraw/zinc/55/13/34/732551334.db2.gz SHFGAVCZXNMCGK-UHFFFAOYSA-N -1 1 349.387 -0.093 20 0 EBADMM CN(C(=O)c1cnn2ncccc12)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042942038 732674308 /nfs/dbraw/zinc/67/43/08/732674308.db2.gz CUDGIYMQQQADPW-UHFFFAOYSA-N -1 1 342.363 -0.893 20 0 EBADMM Cc1cn(C(C)(C)C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001043059323 732737691 /nfs/dbraw/zinc/73/76/91/732737691.db2.gz JBOBQGONXMNQMN-UHFFFAOYSA-N -1 1 347.423 -0.309 20 0 EBADMM Cn1ccc(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)n1 ZINC001043118660 732768171 /nfs/dbraw/zinc/76/81/71/732768171.db2.gz ILLYOUJNOPATJZ-UHFFFAOYSA-N -1 1 331.332 -0.862 20 0 EBADMM CCc1c(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001043212658 732825398 /nfs/dbraw/zinc/82/53/98/732825398.db2.gz IIHXRUXTTXNQDN-UHFFFAOYSA-N -1 1 333.396 -0.639 20 0 EBADMM CCOc1cc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)on1 ZINC001043363310 732891980 /nfs/dbraw/zinc/89/19/80/732891980.db2.gz DEAVMHOFODKHNF-UHFFFAOYSA-N -1 1 336.352 -0.549 20 0 EBADMM CN(C(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043383928 732902054 /nfs/dbraw/zinc/90/20/54/732902054.db2.gz WULRHDIZULVBLR-VHRBIJSZSA-N -1 1 335.408 -0.434 20 0 EBADMM CC(C)n1cnc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001043472648 732961563 /nfs/dbraw/zinc/96/15/63/732961563.db2.gz JAFZROASVZOWBD-UHFFFAOYSA-N -1 1 333.396 -0.158 20 0 EBADMM O=C(Cc1cncs1)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001043480158 732967749 /nfs/dbraw/zinc/96/77/49/732967749.db2.gz LFWXBUWTEURIIX-UHFFFAOYSA-N -1 1 348.384 -0.211 20 0 EBADMM Cc1cc(C2(C(=O)N(C)C3CN(Cc4nc(=O)n(C)[n-]4)C3)CC2)on1 ZINC001043632414 733034253 /nfs/dbraw/zinc/03/42/53/733034253.db2.gz VKALRPVIZAOOLR-UHFFFAOYSA-N -1 1 346.391 -0.221 20 0 EBADMM CN(C(=O)c1cnn2ccccc12)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044058165 733266785 /nfs/dbraw/zinc/26/67/85/733266785.db2.gz JOGCHLFNOBQXHH-UHFFFAOYSA-N -1 1 341.375 -0.288 20 0 EBADMM Cc1n[nH]c(C)c1[C@@H](C)C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044060717 733268522 /nfs/dbraw/zinc/26/85/22/733268522.db2.gz FOCWXARRIBVNBN-SECBINFHSA-N -1 1 347.423 -0.105 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(Cc2cccnc2)C1 ZINC001044181648 733321222 /nfs/dbraw/zinc/32/12/22/733321222.db2.gz DYUSUMUZNNMROQ-UHFFFAOYSA-N -1 1 339.359 -0.231 20 0 EBADMM CN(C(=O)Cn1c(=O)[n-][nH]c1=O)C1CN(CC[C@@H]2CCCCO2)C1 ZINC001044237066 733334011 /nfs/dbraw/zinc/33/40/11/733334011.db2.gz VFXCBNJNMGNFJG-LBPRGKRZSA-N -1 1 339.396 -0.209 20 0 EBADMM Cc1nc[nH]c1CC(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001044319124 733377670 /nfs/dbraw/zinc/37/76/70/733377670.db2.gz BHJWQIIEQRXLON-UHFFFAOYSA-N -1 1 345.359 -0.635 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001044721100 733491080 /nfs/dbraw/zinc/49/10/80/733491080.db2.gz YWWZPLFTCVNUEI-UHFFFAOYSA-N -1 1 345.359 -0.256 20 0 EBADMM O=C(c1cnc2n[nH]nc2c1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045071120 733571474 /nfs/dbraw/zinc/57/14/74/733571474.db2.gz JLMFGEDEAOMJDF-VIFPVBQESA-N -1 1 343.351 -0.429 20 0 EBADMM CN1CC[C@@H](CC(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)C1=O ZINC001045081951 733575238 /nfs/dbraw/zinc/57/52/38/733575238.db2.gz JWOMEKKVWANEAI-QWRGUYRKSA-N -1 1 336.396 -0.541 20 0 EBADMM C[C@@H](C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1)S(C)(=O)=O ZINC001045094827 733578123 /nfs/dbraw/zinc/57/81/23/733578123.db2.gz NMYAODJOBPXFEZ-IUCAKERBSA-N -1 1 331.398 -0.976 20 0 EBADMM Cc1ncoc1CNC[C@@H]1CCCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001045106094 733583544 /nfs/dbraw/zinc/58/35/44/733583544.db2.gz ACYOOHNWWKULHH-VIFPVBQESA-N -1 1 334.336 -0.026 20 0 EBADMM O=C(c1cnc2nccn2c1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045121690 733590554 /nfs/dbraw/zinc/59/05/54/733590554.db2.gz PRYZCDVCVNBPJQ-NSHDSACASA-N -1 1 342.363 -0.053 20 0 EBADMM CN1C(=O)CC[C@H]1CC(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045146711 733600352 /nfs/dbraw/zinc/60/03/52/733600352.db2.gz DYZXDICRMRXBNP-QWRGUYRKSA-N -1 1 336.396 -0.398 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)c1ncccn1 ZINC001045209154 733623852 /nfs/dbraw/zinc/62/38/52/733623852.db2.gz QHHNZKLYTHAAEX-UHFFFAOYSA-N -1 1 329.316 -0.806 20 0 EBADMM CC(C)(C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1)S(C)(=O)=O ZINC001045224786 733627894 /nfs/dbraw/zinc/62/78/94/733627894.db2.gz QDCAENQINWEWBY-VIFPVBQESA-N -1 1 345.425 -0.586 20 0 EBADMM O=C(c1cnc2cccnn21)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045230863 733628923 /nfs/dbraw/zinc/62/89/23/733628923.db2.gz QYUPUPFXVYVGNJ-JTQLQIEISA-N -1 1 342.363 -0.053 20 0 EBADMM Cn1cncc1CCC(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045294095 733655442 /nfs/dbraw/zinc/65/54/42/733655442.db2.gz ILJQGDMZRZGOAB-LBPRGKRZSA-N -1 1 333.396 -0.043 20 0 EBADMM CC(=O)N[C@@H]1CC[C@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@@H]21 ZINC000979860411 805588433 /nfs/dbraw/zinc/58/84/33/805588433.db2.gz CYJCKBBFLJSJRL-RWMBFGLXSA-N -1 1 334.376 -0.700 20 0 EBADMM Cn1[n-]c(CN2CCC(C)(NC(=O)c3cnc[nH]c3=O)CC2)nc1=O ZINC001045472658 733701286 /nfs/dbraw/zinc/70/12/86/733701286.db2.gz QHOQWORTGWTEHL-UHFFFAOYSA-N -1 1 347.379 -0.612 20 0 EBADMM Cn1cncc1C(=O)NC1(C)CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001045494780 733706612 /nfs/dbraw/zinc/70/66/12/733706612.db2.gz DLDAVMOKRGKHKN-UHFFFAOYSA-N -1 1 333.396 -0.374 20 0 EBADMM Cc1ncc(C(=O)NC2(C)CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1C ZINC001045535661 733716691 /nfs/dbraw/zinc/71/66/91/733716691.db2.gz BSONVJHOAHOOAZ-UHFFFAOYSA-N -1 1 347.423 -0.065 20 0 EBADMM C/C=C(\C)C(=O)N1CCN([C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001046021064 733807450 /nfs/dbraw/zinc/80/74/50/733807450.db2.gz ZQRYVMPFSOAYSS-QJIMOZNHSA-N -1 1 348.451 -0.207 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](C)(NC(=O)c3cc[n+]([O-])cc3)C2)nc1=O ZINC001046118262 733862805 /nfs/dbraw/zinc/86/28/05/733862805.db2.gz CYOLCKRQGXMEEO-OAHLLOKOSA-N -1 1 332.364 -0.864 20 0 EBADMM C[C@@H](C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCCO1 ZINC001046249403 733894776 /nfs/dbraw/zinc/89/47/76/733894776.db2.gz FGBVYTQXFPNNOC-YPMHNXCESA-N -1 1 349.387 -0.095 20 0 EBADMM Cc1ncn(C)c1C(=O)N[C@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001046397676 733927321 /nfs/dbraw/zinc/92/73/21/733927321.db2.gz MDOTTXRTAAEJRH-OAHLLOKOSA-N -1 1 333.396 -0.455 20 0 EBADMM Cn1[n-]c(CN2CC[C@](C)(NC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C2)nc1=O ZINC001046495930 733949164 /nfs/dbraw/zinc/94/91/64/733949164.db2.gz LJBWWCPYYIUOLF-MMJDFARISA-N -1 1 347.419 -0.388 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](C)(NC(=O)[C@@H]3C[C@H]4CC[C@@H]3O4)C2)nc1=O ZINC001046640164 733988438 /nfs/dbraw/zinc/98/84/38/733988438.db2.gz DGPDWRZUFGVGFA-LSSIXWDNSA-N -1 1 335.408 -0.244 20 0 EBADMM O=C(N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2)C1=COCCO1 ZINC001047017905 734082217 /nfs/dbraw/zinc/08/22/17/734082217.db2.gz DKLAMUKAAONRLS-AXFHLTTASA-N -1 1 335.364 -0.132 20 0 EBADMM Cc1c(C(=O)N[C@H]2C[C@H]3C[C@@H](C2)N(Cc2n[nH]c(=O)[n-]2)C3)nnn1C ZINC001047045900 734085224 /nfs/dbraw/zinc/08/52/24/734085224.db2.gz AASWZMFVOLSUBG-DCAQKATOSA-N -1 1 346.395 -0.270 20 0 EBADMM CCn1nncc1C(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047067703 734088672 /nfs/dbraw/zinc/08/86/72/734088672.db2.gz DSKZIPKORBGZES-AXFHLTTASA-N -1 1 346.395 -0.095 20 0 EBADMM O=C(N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2)[C@H]1[C@@H]2COC[C@@H]21 ZINC001047128365 734098092 /nfs/dbraw/zinc/09/80/92/734098092.db2.gz FKQBUBXZMJIAMO-CUDMWDDJSA-N -1 1 333.392 -0.128 20 0 EBADMM O=C(Cc1c[nH]cn1)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001047172829 734106328 /nfs/dbraw/zinc/10/63/28/734106328.db2.gz ZFGNVZGDQUXAAF-UHFFFAOYSA-N -1 1 331.332 -0.944 20 0 EBADMM Cc1cc(C)c(C(=O)N(C)[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)o1 ZINC001047341726 734143196 /nfs/dbraw/zinc/14/31/96/734143196.db2.gz LNHKYSSDDGYZOT-RYUDHWBXSA-N -1 1 349.391 -0.364 20 0 EBADMM C[C@H](c1nncn1C)N1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001047358497 734152161 /nfs/dbraw/zinc/15/21/61/734152161.db2.gz AGUDRWUBHGECRC-MDZLAQPJSA-N -1 1 346.391 -0.206 20 0 EBADMM CN(C(=O)C1C=CC=CC=C1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047400550 734173029 /nfs/dbraw/zinc/17/30/29/734173029.db2.gz XEJRWYHMDJDUDF-KBPBESRZSA-N -1 1 345.403 -0.590 20 0 EBADMM CN(C(=O)C1(C2CC2)CCC1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047474058 734212462 /nfs/dbraw/zinc/21/24/62/734212462.db2.gz LNTBWHRZFCXTAG-STQMWFEESA-N -1 1 349.435 -0.308 20 0 EBADMM CN(C(=O)C(C)(C)C1CC1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047493304 734224102 /nfs/dbraw/zinc/22/41/02/734224102.db2.gz JPIAMSOGKPXLFG-RYUDHWBXSA-N -1 1 337.424 -0.452 20 0 EBADMM Cc1noc(CN[C@@H](C)[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001047897190 734319282 /nfs/dbraw/zinc/31/92/82/734319282.db2.gz ZTMZDQGRKVMXHU-CBAPKCEASA-N -1 1 349.351 -0.385 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNCc1nnn(C)n1 ZINC001048223602 734367698 /nfs/dbraw/zinc/36/76/98/734367698.db2.gz VRPXEHBUCPWDNP-MNOVXSKESA-N -1 1 331.380 -0.191 20 0 EBADMM O=C(c1ccc(=O)[nH]n1)N1C[C@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C1 ZINC001048664735 734463909 /nfs/dbraw/zinc/46/39/09/734463909.db2.gz JTUVAUMDVQVOQQ-DTORHVGOSA-N -1 1 331.336 -0.790 20 0 EBADMM Cn1oc(C(=O)N2C[C@H]3CN(Cc4n[nH]c(=O)[n-]4)C[C@H]3C2)cc1=O ZINC001048790402 734511109 /nfs/dbraw/zinc/51/11/09/734511109.db2.gz DCYCXHNKUGOJAF-DTORHVGOSA-N -1 1 334.336 -0.994 20 0 EBADMM O=C(Cn1cncn1)NC[C@H]1COCCN1C(=O)c1ncccc1[O-] ZINC001061917282 738821712 /nfs/dbraw/zinc/82/17/12/738821712.db2.gz DJXLBMIGCFBQEJ-NSHDSACASA-N -1 1 346.347 -0.964 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@H]2CCCN3C(=O)c2cnsn2)nc1=O ZINC001049341851 734712036 /nfs/dbraw/zinc/71/20/36/734712036.db2.gz PLAQFENBNWOKDH-MNOVXSKESA-N -1 1 349.420 -0.161 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@@H]2CCCN3C(=O)Cc2ccon2)nc1=O ZINC001049377869 734717248 /nfs/dbraw/zinc/71/72/48/734717248.db2.gz RUVFTDWDJSSZHN-STQMWFEESA-N -1 1 346.391 -0.096 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@@H]2CCCN3C(=O)[C@@H]2CCOC2)nc1=O ZINC001049826820 734793654 /nfs/dbraw/zinc/79/36/54/734793654.db2.gz JEQUIOCXDMGMKN-AGIUHOORSA-N -1 1 335.408 -0.290 20 0 EBADMM Cn1ccc(C(=O)N2CCC[C@@H]3[C@H]2CCN3Cc2nc(=O)n(C)[n-]2)n1 ZINC001049896761 734805390 /nfs/dbraw/zinc/80/53/90/734805390.db2.gz OPUOGWGDBZURAT-CHWSQXEVSA-N -1 1 345.407 -0.279 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCCN(C(=O)C[C@H]4CCOC4)[C@@H]3C2)nc1=O ZINC001050004375 734823729 /nfs/dbraw/zinc/82/37/29/734823729.db2.gz LZXMKSKWLUTUHL-HZSPNIEDSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCCN(C(=O)c4cncnc4)[C@@H]3C2)nc1=O ZINC001050032276 734827415 /nfs/dbraw/zinc/82/74/15/734827415.db2.gz UVXQBENSTZLIRX-WCQYABFASA-N -1 1 343.391 -0.365 20 0 EBADMM CC(C)[C@@H](O)C(=O)N1CCC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001050040000 734829672 /nfs/dbraw/zinc/82/96/72/734829672.db2.gz UPSYNEDDBMVPCH-OUCADQQQSA-N -1 1 337.424 -0.452 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCCN(C(=O)[C@]45C[C@H]4COC5)[C@@H]3C2)nc1=O ZINC001050051856 734832848 /nfs/dbraw/zinc/83/28/48/734832848.db2.gz JITWTFPDQASTCS-JRATXPSKSA-N -1 1 347.419 -0.432 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCCN(C(=O)c4csnn4)[C@@H]3C2)nc1=O ZINC001050103766 734842525 /nfs/dbraw/zinc/84/25/25/734842525.db2.gz AHSOWYMVIJYPNP-GXSJLCMTSA-N -1 1 349.420 -0.304 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)c2ccncc2)nc1=O ZINC001050790699 734960451 /nfs/dbraw/zinc/96/04/51/734960451.db2.gz QFUFPRFSBRGZRG-GFCCVEGCSA-N -1 1 332.364 -0.866 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)c2ccccn2)nc1=O ZINC001050791925 734961053 /nfs/dbraw/zinc/96/10/53/734961053.db2.gz LVKWNHNTYPDMRC-NSHDSACASA-N -1 1 332.364 -0.866 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)c2ccccc2)nc1=O ZINC001050793715 734961062 /nfs/dbraw/zinc/96/10/62/734961062.db2.gz FTVXBEMIOKMEOU-CYBMUJFWSA-N -1 1 331.376 -0.261 20 0 EBADMM Cc1ocnc1C(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001050833593 734969739 /nfs/dbraw/zinc/96/97/39/734969739.db2.gz VKHKTRXGCIAZAP-JTQLQIEISA-N -1 1 336.352 -0.964 20 0 EBADMM O=C(CN1CCOC[C@H]1CNC(=O)c1ncccc1[O-])NC1CC1 ZINC001050890242 734990640 /nfs/dbraw/zinc/99/06/40/734990640.db2.gz FNARJFFBAAZUKA-GFCCVEGCSA-N -1 1 334.376 -0.504 20 0 EBADMM Cn1cc(CN2CCOC[C@@H]2CNC(=O)c2ncccc2[O-])nn1 ZINC001050892661 734991047 /nfs/dbraw/zinc/99/10/47/734991047.db2.gz URANKJUWGPUIKC-LBPRGKRZSA-N -1 1 332.364 -0.454 20 0 EBADMM CCc1[nH]ccc1C(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001050908523 734998172 /nfs/dbraw/zinc/99/81/72/734998172.db2.gz JWTPOVKBQXMCID-NSHDSACASA-N -1 1 348.407 -0.370 20 0 EBADMM CNC(=O)NCC(=O)N1CC[C@@H]([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001050933784 735003695 /nfs/dbraw/zinc/00/36/95/735003695.db2.gz JFRUWJIPGHABKV-WDEREUQCSA-N -1 1 349.391 -0.317 20 0 EBADMM CN1CCCC[C@@H]1C(=O)NC[C@H]1COCCN1Cc1n[nH]c(=O)[n-]1 ZINC001050983308 735024658 /nfs/dbraw/zinc/02/46/58/735024658.db2.gz SWJDPBGWSYTNHF-NWDGAFQWSA-N -1 1 338.412 -0.688 20 0 EBADMM CCc1ccoc1C(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001051093426 735064316 /nfs/dbraw/zinc/06/43/16/735064316.db2.gz MRMRNBFVGUUROH-LBPRGKRZSA-N -1 1 349.391 -0.105 20 0 EBADMM O=C(NC[C@@H]1COCCN1CC1CC1)c1cc(=O)n2[n-]cnc2n1 ZINC001051209753 735099754 /nfs/dbraw/zinc/09/97/54/735099754.db2.gz MPVBKWJSXFYAJO-LLVKDONJSA-N -1 1 332.364 -0.742 20 0 EBADMM CC[C@@H](C)CN1CCOC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001051209338 735099945 /nfs/dbraw/zinc/09/99/45/735099945.db2.gz IZDFHQCWOQYNAL-NEPJUHHUSA-N -1 1 348.407 -0.106 20 0 EBADMM CCCCN1CCOC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001051209759 735099957 /nfs/dbraw/zinc/09/99/57/735099957.db2.gz MQQJYWCDXLOILG-LLVKDONJSA-N -1 1 334.380 -0.352 20 0 EBADMM CCC(=O)N[C@@H](C)[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001051251907 735112235 /nfs/dbraw/zinc/11/22/35/735112235.db2.gz SGUHDLNCQFINPS-VHSXEESVSA-N -1 1 332.364 -0.206 20 0 EBADMM Cc1cnn(CC(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001051465563 735162752 /nfs/dbraw/zinc/16/27/52/735162752.db2.gz JTXPQDTWTQHEKG-LLVKDONJSA-N -1 1 335.368 -0.968 20 0 EBADMM O=C(c1ccc(F)nc1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051494708 735197827 /nfs/dbraw/zinc/19/78/27/735197827.db2.gz MTAOTIRYSFMXBI-JTQLQIEISA-N -1 1 336.327 -0.325 20 0 EBADMM O=C(CC[C@@H]1CCOC1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051535782 735224093 /nfs/dbraw/zinc/22/40/93/735224093.db2.gz YTZHNGRWTKOKPS-NEPJUHHUSA-N -1 1 339.396 -0.356 20 0 EBADMM O=C([C@@H]1CCCCO1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051548040 735227619 /nfs/dbraw/zinc/22/76/19/735227619.db2.gz CIONKBUMIBWCNW-QWRGUYRKSA-N -1 1 325.369 -0.604 20 0 EBADMM O=C(N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1)C1(C(F)F)CC1 ZINC001051615920 735267964 /nfs/dbraw/zinc/26/79/64/735267964.db2.gz DTEFJWSFWABQLP-MRVPVSSYSA-N -1 1 331.323 -0.127 20 0 EBADMM CNC(=O)NCC(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001052422210 735611777 /nfs/dbraw/zinc/61/17/77/735611777.db2.gz QIKKVSMYJOMSAS-MNOVXSKESA-N -1 1 349.391 -0.460 20 0 EBADMM C[C@@H]1CN(C(=O)CCNC(N)=O)C[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001052572084 735644427 /nfs/dbraw/zinc/64/44/27/735644427.db2.gz IPYDVDRXRHPRTB-MNOVXSKESA-N -1 1 349.391 -0.330 20 0 EBADMM C[C@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@@H]1CNC(=O)C(N)=O ZINC001052619478 735653367 /nfs/dbraw/zinc/65/33/67/735653367.db2.gz SUAJAUPVNYRLOK-WPRPVWTQSA-N -1 1 347.375 -0.631 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)[C@H]2[C@@H]4CCC[C@@H]42)CCO3)nc1=O ZINC001053210105 735778635 /nfs/dbraw/zinc/77/86/35/735778635.db2.gz VDWUYJIZJSMVAK-IMRBUKKESA-N -1 1 347.419 -0.432 20 0 EBADMM Cn1ccc(C(=O)N2CCOC3(CN(Cc4nc(=O)n(C)[n-]4)C3)C2)c1 ZINC001053221806 735781424 /nfs/dbraw/zinc/78/14/24/735781424.db2.gz XHEGUKCGNUALFQ-UHFFFAOYSA-N -1 1 346.391 -0.826 20 0 EBADMM Cc1nc(C(=O)N2CCOC3(CN(Cc4nc(=O)n(C)[n-]4)C3)C2)co1 ZINC001053230987 735785617 /nfs/dbraw/zinc/78/56/17/735785617.db2.gz DBYZCDOQRNHBCD-UHFFFAOYSA-N -1 1 348.363 -0.868 20 0 EBADMM O=C(c1ncccc1[O-])N1CCOC2(CN(Cc3cnon3)C2)C1 ZINC001053232422 735786168 /nfs/dbraw/zinc/78/61/68/735786168.db2.gz MOEKETPPLFSWCB-UHFFFAOYSA-N -1 1 331.332 -0.103 20 0 EBADMM C[C@@H]1CC[C@@H](C(=O)N2CCOC3(CN(Cc4nc(=O)n(C)[n-]4)C3)C2)C1 ZINC001053458631 735881029 /nfs/dbraw/zinc/88/10/29/735881029.db2.gz WSROFOFGBIBBQG-CHWSQXEVSA-N -1 1 349.435 -0.042 20 0 EBADMM C[C@H]1CC[C@H](C(=O)N2CCOC3(CN(Cc4nc(=O)n(C)[n-]4)C3)C2)C1 ZINC001053458634 735881207 /nfs/dbraw/zinc/88/12/07/735881207.db2.gz WSROFOFGBIBBQG-STQMWFEESA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CC[C@@H](CNC(=O)c2ccon2)O3)nc1=O ZINC001053577962 735931388 /nfs/dbraw/zinc/93/13/88/735931388.db2.gz WLIITADKHMKEAL-JTQLQIEISA-N -1 1 348.363 -0.740 20 0 EBADMM O=C(NC[C@@H]1CCC2(CN([C@H]3CCNC3=O)C2)O1)c1ncccc1[O-] ZINC001053594278 735937267 /nfs/dbraw/zinc/93/72/67/735937267.db2.gz IJZJFLWWVFVRMX-RYUDHWBXSA-N -1 1 346.387 -0.361 20 0 EBADMM CC(C)CN1CC2(C1)CC[C@@H](CNC(=O)c1n[nH]c(=O)[n-]c1=O)O2 ZINC001053627019 735949099 /nfs/dbraw/zinc/94/90/99/735949099.db2.gz LCOJGWQFOXCOFW-JTQLQIEISA-N -1 1 337.380 -0.098 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CC[C@H](CNC(=O)[C@H]2CC24CC4)O3)nc1=O ZINC001053669456 735960841 /nfs/dbraw/zinc/96/08/41/735960841.db2.gz ZOKDBLHHWQULQP-VXGBXAGGSA-N -1 1 347.419 -0.242 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1(CNC(=O)C(F)F)CCC1 ZINC001062374089 738909360 /nfs/dbraw/zinc/90/93/60/738909360.db2.gz WCJRGCWYNPMGNZ-UHFFFAOYSA-N -1 1 346.290 -0.070 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)[C@H]2CC=CCC2)CO3)nc1=O ZINC001053735773 735984934 /nfs/dbraw/zinc/98/49/34/735984934.db2.gz KQIVRWSYAZCFRW-QWHCGFSZSA-N -1 1 347.419 -0.076 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)c2ccc[nH]2)CO3)nc1=O ZINC001053739163 735986058 /nfs/dbraw/zinc/98/60/58/735986058.db2.gz AZBDDYVECLEDCL-SNVBAGLBSA-N -1 1 332.364 -0.790 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)CC2CCC2)CO3)nc1=O ZINC001053764501 736003581 /nfs/dbraw/zinc/00/35/81/736003581.db2.gz RUIGTNBZWICTJJ-GFCCVEGCSA-N -1 1 335.408 -0.242 20 0 EBADMM C[C@H](C(=O)N(C)C)N1CC2(C1)C[C@H](NC(=O)c1ncccc1[O-])CO2 ZINC001053784351 736014246 /nfs/dbraw/zinc/01/42/46/736014246.db2.gz MCICEAHUVNYOMC-NEPJUHHUSA-N -1 1 348.403 -0.163 20 0 EBADMM CC1CC(CN2CC3(C2)C[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CO3)C1 ZINC001053858523 736060993 /nfs/dbraw/zinc/06/09/93/736060993.db2.gz JJFWBFLEFQMIAG-ILDUYXDCSA-N -1 1 349.391 -0.098 20 0 EBADMM CC[C@H](C)CN1CC2(C1)C[C@@H](NC(=O)c1n[nH]c(=O)[n-]c1=O)CO2 ZINC001053859101 736061743 /nfs/dbraw/zinc/06/17/43/736061743.db2.gz ZTJLRFRPKWULBI-VHSXEESVSA-N -1 1 337.380 -0.098 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N[C@@H]1COC2(CN(CC3CCC3)C2)C1 ZINC001053992982 736137450 /nfs/dbraw/zinc/13/74/50/736137450.db2.gz DODVHSPXISAUTQ-NSHDSACASA-N -1 1 337.380 -0.551 20 0 EBADMM CCn1ccc(CC(=O)N2C[C@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001054672730 736229275 /nfs/dbraw/zinc/22/92/75/736229275.db2.gz PCHVDKFVPIAALK-CMPLNLGQSA-N -1 1 333.396 -0.094 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnn3c2CCC3)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054714624 736234728 /nfs/dbraw/zinc/23/47/28/736234728.db2.gz WNPHDKKUSVDAGJ-KOLCDFICSA-N -1 1 331.380 -0.097 20 0 EBADMM Cc1nn(C)cc1CC(=O)N1C[C@@H](C)[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001054748544 736239786 /nfs/dbraw/zinc/23/97/86/736239786.db2.gz JJMDIVKSDQRSTJ-SKDRFNHKSA-N -1 1 333.396 -0.269 20 0 EBADMM C[C@@H]1CN(C(=O)c2cn3c(n2)COCC3)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054797319 736247174 /nfs/dbraw/zinc/24/71/74/736247174.db2.gz DYACJWMRZRLUNT-NXEZZACHSA-N -1 1 347.379 -0.513 20 0 EBADMM C[C@H]1CN(C(=O)c2cc(CN(C)C)on2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054829093 736252642 /nfs/dbraw/zinc/25/26/42/736252642.db2.gz DZMMQIDUGVPCFS-JOYOIKCWSA-N -1 1 349.395 -0.190 20 0 EBADMM C[C@H]1CN(C(=O)c2ccc(=O)n(C)c2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054848626 736254506 /nfs/dbraw/zinc/25/45/06/736254506.db2.gz ZCBYUXSNLMSDMD-GXSJLCMTSA-N -1 1 332.364 -0.541 20 0 EBADMM C[C@H]1CN(C(=O)c2cn3cccnc3n2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054854198 736256134 /nfs/dbraw/zinc/25/61/34/736256134.db2.gz QCXCRSOUBFAIPL-VHSXEESVSA-N -1 1 342.363 -0.197 20 0 EBADMM Cc1oncc1CN[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@H]1C ZINC001054858980 736257017 /nfs/dbraw/zinc/25/70/17/736257017.db2.gz FNXOKTNXNOXRRU-OIBJUYFYSA-N -1 1 334.336 -0.170 20 0 EBADMM Cc1nocc1CN[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1C ZINC001054860844 736257266 /nfs/dbraw/zinc/25/72/66/736257266.db2.gz RVYAZPQRQQKIFF-GMSGAONNSA-N -1 1 334.336 -0.170 20 0 EBADMM Cc1nccnc1CN[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@H]1C ZINC001054860895 736257283 /nfs/dbraw/zinc/25/72/83/736257283.db2.gz SHVFEQRMSJNGHX-GZMMTYOYSA-N -1 1 345.363 -0.368 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnn3c2OCCC3)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054859420 736257367 /nfs/dbraw/zinc/25/73/67/736257367.db2.gz JTHNVJCLTOWWLV-KOLCDFICSA-N -1 1 347.379 -0.260 20 0 EBADMM C[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1NCc1cnns1 ZINC001054860901 736257447 /nfs/dbraw/zinc/25/74/47/736257447.db2.gz SHYNRDDQEGVAIM-POYBYMJQSA-N -1 1 337.365 -0.615 20 0 EBADMM COCCn1ccc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H](C)C2)n1 ZINC001054884523 736261805 /nfs/dbraw/zinc/26/18/05/736261805.db2.gz DMFOUQJMOPDZLZ-JQWIXIFHSA-N -1 1 349.395 -0.397 20 0 EBADMM Cc1cc(C)n(CC(=O)N2C[C@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001054883019 736262049 /nfs/dbraw/zinc/26/20/49/736262049.db2.gz UGOCMADZNGLNQR-JOYOIKCWSA-N -1 1 333.396 -0.040 20 0 EBADMM CCC(=O)NCC(=O)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC001055253571 736319021 /nfs/dbraw/zinc/31/90/21/736319021.db2.gz JZYVKRHRZVVVML-TXEJJXNPSA-N -1 1 346.387 -0.156 20 0 EBADMM COCCC(=O)N[C@@]1(CO)CCCN(C(=O)c2ncccc2[O-])C1 ZINC001055663057 736423840 /nfs/dbraw/zinc/42/38/40/736423840.db2.gz DCKJGVRZRYHEPH-INIZCTEOSA-N -1 1 337.376 -0.093 20 0 EBADMM O=C(N[C@]1(CO)CCCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCOC1 ZINC001055815007 736496219 /nfs/dbraw/zinc/49/62/19/736496219.db2.gz FZNALCAZERYCJJ-SJKOYZFVSA-N -1 1 349.387 -0.093 20 0 EBADMM O=C(N[C@]1(CO)CCCN(C(=O)c2ncccc2[O-])C1)[C@H]1CCOC1 ZINC001055815009 736496235 /nfs/dbraw/zinc/49/62/35/736496235.db2.gz FZNALCAZERYCJJ-YVEFUNNKSA-N -1 1 349.387 -0.093 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(c2ncccn2)CC1 ZINC001055858019 736524549 /nfs/dbraw/zinc/52/45/49/736524549.db2.gz SGABEYBRJGKMSE-UHFFFAOYSA-N -1 1 332.320 -0.351 20 0 EBADMM CO[C@@H](C)C(=O)N1CCC[C@@](CO)(NC(=O)c2ncccc2[O-])C1 ZINC001055901108 736541798 /nfs/dbraw/zinc/54/17/98/736541798.db2.gz ZQLJLDNIHTVKDJ-MEDUHNTESA-N -1 1 337.376 -0.095 20 0 EBADMM Cc1cc(N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2cnn[nH]2)n2ncnc2n1 ZINC001056470833 736729496 /nfs/dbraw/zinc/72/94/96/736729496.db2.gz UTIGTSCGCGXGAE-GDGBQDQQSA-N -1 1 325.336 -0.585 20 0 EBADMM CO[C@@H](C)CC(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057162322 737040876 /nfs/dbraw/zinc/04/08/76/737040876.db2.gz ADCWLEJSPSNCJX-NSHDSACASA-N -1 1 325.365 -0.237 20 0 EBADMM Cn1cc(CC(=O)N(CCO)CCNC(=O)c2ncccc2[O-])cn1 ZINC001057164537 737043329 /nfs/dbraw/zinc/04/33/29/737043329.db2.gz JKGVQKHZKLREEQ-UHFFFAOYSA-N -1 1 347.375 -0.686 20 0 EBADMM Cc1ncc(C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])n1C ZINC001057408570 737228561 /nfs/dbraw/zinc/22/85/61/737228561.db2.gz JOJDFRVOMRDUKD-UHFFFAOYSA-N -1 1 347.375 -0.306 20 0 EBADMM C[C@@H](C(=O)NCCN(CCO)C(=O)c1ncccc1[O-])n1cccn1 ZINC001057410264 737230186 /nfs/dbraw/zinc/23/01/86/737230186.db2.gz KIBXWMGZQVNJDS-LBPRGKRZSA-N -1 1 347.375 -0.204 20 0 EBADMM CO[C@H](C)CC(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410572 737230849 /nfs/dbraw/zinc/23/08/49/737230849.db2.gz SJAADRBWVXPYPA-LLVKDONJSA-N -1 1 325.365 -0.237 20 0 EBADMM C[C@@H](C(=O)NCCN(CCO)C(=O)c1ncccc1[O-])n1cncn1 ZINC001057410382 737230863 /nfs/dbraw/zinc/23/08/63/737230863.db2.gz OWKMHPNZGQTLRV-NSHDSACASA-N -1 1 348.363 -0.809 20 0 EBADMM O=C(Cc1cncnc1)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057454737 737263059 /nfs/dbraw/zinc/26/30/59/737263059.db2.gz VKJYZOXLZVBTRF-UHFFFAOYSA-N -1 1 345.359 -0.629 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2cncn2C)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071655538 741183288 /nfs/dbraw/zinc/18/32/88/741183288.db2.gz NXBPSHWYVPJFHQ-WDEREUQCSA-N -1 1 333.396 -0.375 20 0 EBADMM Cn1cncc1CC(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001058159659 737683690 /nfs/dbraw/zinc/68/36/90/737683690.db2.gz RZYURHJIDKVESN-UHFFFAOYSA-N -1 1 347.375 -0.686 20 0 EBADMM C[C@H]1CO[C@@H](C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])C1 ZINC001058332028 737782382 /nfs/dbraw/zinc/78/23/82/737782382.db2.gz VBORBNJYSSKFEH-DGCLKSJQSA-N -1 1 337.376 -0.237 20 0 EBADMM O=C(N[C@@H]1CCN(c2ncccn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001058406184 737816323 /nfs/dbraw/zinc/81/63/23/737816323.db2.gz MJOLNQGPDYKFMQ-SECBINFHSA-N -1 1 326.320 -0.784 20 0 EBADMM O=C(NCCN(CCO)C(=O)[C@H]1[C@@H]2COC[C@@H]21)c1ncccc1[O-] ZINC001058448095 737834649 /nfs/dbraw/zinc/83/46/49/737834649.db2.gz VBBPYULNUGNXJS-PJXYFTJBSA-N -1 1 335.360 -0.770 20 0 EBADMM O=C(NCCN(CCO)C(=O)[C@@H]1C[C@@]12CCOC2)c1ncccc1[O-] ZINC001058829385 738013160 /nfs/dbraw/zinc/01/31/60/738013160.db2.gz UNRYWQUBDCLBGR-YVEFUNNKSA-N -1 1 349.387 -0.236 20 0 EBADMM Cc1cc(N[C@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)ncn1 ZINC001058928044 738077785 /nfs/dbraw/zinc/07/77/85/738077785.db2.gz LFZUDANKDCOAIR-JTQLQIEISA-N -1 1 340.347 -0.157 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC[C@H]1CCN(C(=O)C2CC2)C1 ZINC001058987139 738095096 /nfs/dbraw/zinc/09/50/96/738095096.db2.gz ATRQXAYSOCEXGC-LBPRGKRZSA-N -1 1 348.403 -0.309 20 0 EBADMM Cn1nnc(C(=O)NCC[C@H]2CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001059518525 738188005 /nfs/dbraw/zinc/18/80/05/738188005.db2.gz MUGVTKVEHDGXKZ-JTQLQIEISA-N -1 1 345.363 -0.407 20 0 EBADMM NC(=O)NCCC(=O)NCC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059516408 738188118 /nfs/dbraw/zinc/18/81/18/738188118.db2.gz LSCVAVBRMOUKEL-LLVKDONJSA-N -1 1 349.391 -0.186 20 0 EBADMM Cc1ccc(N[C@H]2C[C@@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)nn1 ZINC001059680207 738226568 /nfs/dbraw/zinc/22/65/68/738226568.db2.gz AQOYFVQEPUUXLO-AOOOYVTPSA-N -1 1 340.347 -0.111 20 0 EBADMM O=C(N[C@H]1C[C@@H](Nc2ncnc3[nH]cnc32)C1)c1n[nH]c(=O)[n-]c1=O ZINC001059882409 738269089 /nfs/dbraw/zinc/26/90/89/738269089.db2.gz KGOIUOVFGZKYLI-OLQVQODUSA-N -1 1 343.307 -0.678 20 0 EBADMM NC(=O)C(=O)N1CC[C@@H](CCNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001060279145 738341481 /nfs/dbraw/zinc/34/14/81/738341481.db2.gz KCETWXODXRERPT-SECBINFHSA-N -1 1 347.375 -0.487 20 0 EBADMM CN1C[C@@H](C(=O)NC2(CNC(=O)c3ncccc3[O-])CCC2)NC1=O ZINC001062840137 739002739 /nfs/dbraw/zinc/00/27/39/739002739.db2.gz SCTGHEYOQSHTJT-JTQLQIEISA-N -1 1 347.375 -0.421 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(c2nccn3nnnc23)C1 ZINC001062923650 739023740 /nfs/dbraw/zinc/02/37/40/739023740.db2.gz WULBZFCJCSKNBZ-JTQLQIEISA-N -1 1 340.347 -0.029 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)[C@@H]1CCN(c2ncccn2)C1 ZINC001062957781 739032890 /nfs/dbraw/zinc/03/28/90/739032890.db2.gz FQSREYAXFGEJRE-SNVBAGLBSA-N -1 1 340.347 -0.442 20 0 EBADMM O=C(Cn1ncnn1)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001063146778 739069646 /nfs/dbraw/zinc/06/96/46/739069646.db2.gz OUADRMQTDLIKKE-UHFFFAOYSA-N -1 1 331.336 -0.757 20 0 EBADMM O=C(Cn1nccn1)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001063178902 739074826 /nfs/dbraw/zinc/07/48/26/739074826.db2.gz FPOKCRACMDOUHQ-UHFFFAOYSA-N -1 1 330.348 -0.152 20 0 EBADMM CC(C)[C@@H](O)C(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001065073598 739510515 /nfs/dbraw/zinc/51/05/15/739510515.db2.gz MVRQEMGOGIDCOK-SMDDNHRTSA-N -1 1 337.376 -0.239 20 0 EBADMM NC(=O)NCC(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001065824652 739871731 /nfs/dbraw/zinc/87/17/31/739871731.db2.gz QHZVAHMYHMRRSO-SNVBAGLBSA-N -1 1 335.364 -0.434 20 0 EBADMM CN(CCCN(C)C(=O)[C@H]1CCC(=O)N1)C(=O)c1ncccc1[O-] ZINC001067004061 740081663 /nfs/dbraw/zinc/08/16/63/740081663.db2.gz KLDHTVZIHVCWFX-LLVKDONJSA-N -1 1 334.376 -0.014 20 0 EBADMM CCC1(NC(=O)CCn2cc[n-]c(=O)c2=O)CCN(C(C)=O)CC1 ZINC001069072596 740534455 /nfs/dbraw/zinc/53/44/55/740534455.db2.gz JJZUEGMXHKHRNF-UHFFFAOYSA-N -1 1 336.392 -0.166 20 0 EBADMM CCC1(NC(=O)c2ncccc2[O-])CCN(C(=O)CNC(N)=O)CC1 ZINC001069158280 740540433 /nfs/dbraw/zinc/54/04/33/740540433.db2.gz HZGPELWHSNWRAX-UHFFFAOYSA-N -1 1 349.391 -0.044 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C(C)(C)C)[C@@H](n3ccnn3)C2)nc1=O ZINC001070081061 740687824 /nfs/dbraw/zinc/68/78/24/740687824.db2.gz DRVUJURSVKVBOL-MNOVXSKESA-N -1 1 348.411 -0.712 20 0 EBADMM CCCC(=O)N1CCC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001070362672 740796593 /nfs/dbraw/zinc/79/65/93/740796593.db2.gz RYTGYAQOCAHLSU-GFCCVEGCSA-N -1 1 336.392 -0.166 20 0 EBADMM COC(=O)NCC(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001070487112 740845681 /nfs/dbraw/zinc/84/56/81/740845681.db2.gz VMBBGLVSAUGYFM-SNVBAGLBSA-N -1 1 336.348 -0.136 20 0 EBADMM O=C(NCc1n[nH]c([C@@H]2COCCN2Cc2n[nH]c(=O)[n-]2)n1)C1CC1 ZINC001070579838 740870770 /nfs/dbraw/zinc/87/07/70/740870770.db2.gz AEOJWCPBTBHREG-VIFPVBQESA-N -1 1 348.367 -0.772 20 0 EBADMM O=C(NCc1nnc([C@@H]2COCCN2Cc2n[nH]c(=O)[n-]2)[nH]1)C1CC1 ZINC001070579838 740870771 /nfs/dbraw/zinc/87/07/71/740870771.db2.gz AEOJWCPBTBHREG-VIFPVBQESA-N -1 1 348.367 -0.772 20 0 EBADMM NC(=O)CC(=O)N[C@@H]1CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001070747352 740935933 /nfs/dbraw/zinc/93/59/33/740935933.db2.gz FZSMOUVOHFHFIJ-SNVBAGLBSA-N -1 1 347.375 -0.344 20 0 EBADMM O=C(c1ncccc1[O-])N1CCC[C@H](N2CCN(CCO)CC2=O)C1 ZINC001071262656 741109188 /nfs/dbraw/zinc/10/91/88/741109188.db2.gz PUQKEKRFKFRWFR-ZDUSSCGKSA-N -1 1 348.403 -0.472 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2cc[nH]c(=O)c2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071410620 741133685 /nfs/dbraw/zinc/13/36/85/741133685.db2.gz FDWVDVZIMKGZDO-JQWIXIFHSA-N -1 1 346.391 -0.008 20 0 EBADMM C[C@H]1CN(C(=O)C2CCC2)C[C@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001071436289 741136004 /nfs/dbraw/zinc/13/60/04/741136004.db2.gz JCEGGZBEVDOOGP-WCQYABFASA-N -1 1 348.403 -0.310 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)cnn1 ZINC001071470642 741142737 /nfs/dbraw/zinc/14/27/37/741142737.db2.gz MISUBNVBWCXRCJ-YPMHNXCESA-N -1 1 345.407 -0.010 20 0 EBADMM CC(=O)N(C)CC(=O)N1C[C@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001071657560 741184038 /nfs/dbraw/zinc/18/40/38/741184038.db2.gz GDLAESJPNKPWNY-JQWIXIFHSA-N -1 1 334.376 -0.158 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)Cc2c[nH]cn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071847516 741232568 /nfs/dbraw/zinc/23/25/68/741232568.db2.gz LIHOGKRLDMTKFQ-MNOVXSKESA-N -1 1 333.396 -0.457 20 0 EBADMM Cc1nonc1CC(=O)N[C@@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071951389 741263307 /nfs/dbraw/zinc/26/33/07/741263307.db2.gz XNEUMINOBZSIQG-MWLCHTKSSA-N -1 1 349.395 -0.488 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C(=O)NCC1CC1 ZINC001071951862 741263342 /nfs/dbraw/zinc/26/33/42/741263342.db2.gz DTOQWJLSYLPMSS-ZYHUDNBSSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2ccn(C)n2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001072073385 741297855 /nfs/dbraw/zinc/29/78/55/741297855.db2.gz YRJGRZUTHDKCNP-QWRGUYRKSA-N -1 1 333.396 -0.375 20 0 EBADMM Cc1nccc(C(=O)N[C@@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001072077998 741301035 /nfs/dbraw/zinc/30/10/35/741301035.db2.gz DQRFWFRXOICUNU-ZYHUDNBSSA-N -1 1 345.407 -0.010 20 0 EBADMM Cc1nc[nH]c1C(=O)N[C@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001072125268 741311250 /nfs/dbraw/zinc/31/12/50/741311250.db2.gz BPZNTQAVYDRQRC-KOLCDFICSA-N -1 1 333.396 -0.077 20 0 EBADMM CC(C)=CC(=O)N[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1C ZINC001072393738 741388556 /nfs/dbraw/zinc/38/85/56/741388556.db2.gz RTFYANNWUAMLHT-OLZOCXBDSA-N -1 1 348.403 -0.144 20 0 EBADMM CC(C)=CC(=O)N[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC001072427341 741395616 /nfs/dbraw/zinc/39/56/16/741395616.db2.gz TYDQJFZPPHZVLV-ZYHUDNBSSA-N -1 1 344.375 -0.040 20 0 EBADMM C[C@@H](C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2)n1cccn1 ZINC001072494783 741416735 /nfs/dbraw/zinc/41/67/35/741416735.db2.gz FNDJZLYFFRMPKQ-LBPRGKRZSA-N -1 1 345.407 -0.400 20 0 EBADMM Cc1cnn(CC(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)c1 ZINC001072520838 741422541 /nfs/dbraw/zinc/42/25/41/741422541.db2.gz WPKYAHUDKABRAV-UHFFFAOYSA-N -1 1 345.407 -0.652 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC3(C2)CCN([C@H]2CCN(C)C2=O)C3)c1[O-] ZINC001072628277 741446488 /nfs/dbraw/zinc/44/64/88/741446488.db2.gz NMMIGCNNORXRMM-NSHDSACASA-N -1 1 333.392 -0.198 20 0 EBADMM CCn1ncc(C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)n1 ZINC001072707400 741464251 /nfs/dbraw/zinc/46/42/51/741464251.db2.gz MWPAFNRUEFAJLZ-UHFFFAOYSA-N -1 1 346.395 -0.932 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)C[C@@H]4CCCOC4)C3)C2)nc1=O ZINC001073437506 741613656 /nfs/dbraw/zinc/61/36/56/741613656.db2.gz YHGRYXRFCVVCRS-ZDUSSCGKSA-N -1 1 349.435 -0.041 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@H](CNC(=O)c3ccncc3)C2)nc1=O ZINC001073514736 741625681 /nfs/dbraw/zinc/62/56/81/741625681.db2.gz CRTICJCTKDXUAJ-CYBMUJFWSA-N -1 1 346.391 -0.476 20 0 EBADMM CN1CCC[C@@H]1C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[n-]2)CCCO1 ZINC001073554373 741649841 /nfs/dbraw/zinc/64/98/41/741649841.db2.gz GOHGJAJEBDOKFN-NWDGAFQWSA-N -1 1 338.412 -0.688 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@@H](CNC(=O)C3=CCCC3)C2)nc1=O ZINC001073574194 741662459 /nfs/dbraw/zinc/66/24/59/741662459.db2.gz LZJSILYLAMMHPE-ZDUSSCGKSA-N -1 1 335.408 -0.074 20 0 EBADMM COCC(=O)N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC001073633372 741690215 /nfs/dbraw/zinc/69/02/15/741690215.db2.gz XXCNXXQPDLGTQB-ZJUUUORDSA-N -1 1 348.363 -0.969 20 0 EBADMM Cc1nc[nH]c1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001073914365 741788900 /nfs/dbraw/zinc/78/89/00/741788900.db2.gz QFPRBFLBYXGUGV-NSHDSACASA-N -1 1 349.395 -0.839 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1NC(=O)CCNC(N)=O ZINC001073953904 741793623 /nfs/dbraw/zinc/79/36/23/741793623.db2.gz FVGCJMPSOPKOSU-QWRGUYRKSA-N -1 1 349.391 -0.188 20 0 EBADMM CO[C@@H](C)C(=O)N1CCCC[C@@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001073964502 741796311 /nfs/dbraw/zinc/79/63/11/741796311.db2.gz RQPOCVYEIGJPNF-NWDGAFQWSA-N -1 1 325.413 -0.044 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H]3CCN(C(=O)c4ccon4)C[C@H]32)nc1=O ZINC001074184097 741853517 /nfs/dbraw/zinc/85/35/17/741853517.db2.gz GJZXYFYECDKFGA-NEPJUHHUSA-N -1 1 348.363 -0.788 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CC[C@H]2OCCN(Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001074310652 741898364 /nfs/dbraw/zinc/89/83/64/741898364.db2.gz YPOZSZCNEMVSIC-VHSXEESVSA-N -1 1 348.367 -0.948 20 0 EBADMM COCC(=O)N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)[C@H](C)C1 ZINC001074769271 742103365 /nfs/dbraw/zinc/10/33/65/742103365.db2.gz XYIVFPXNYYCYNA-NXEZZACHSA-N -1 1 348.363 -0.827 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CCNC(N)=O ZINC001074943080 742139740 /nfs/dbraw/zinc/13/97/40/742139740.db2.gz CXIZGNPVEHBZAD-GHMZBOCLSA-N -1 1 349.391 -0.045 20 0 EBADMM CCC(=O)N1CC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1C ZINC001075067808 742172783 /nfs/dbraw/zinc/17/27/83/742172783.db2.gz VJSYNWWVQVIRMD-VXGBXAGGSA-N -1 1 336.392 -0.168 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)CCN1C(=O)C1CC1 ZINC001075067156 742172792 /nfs/dbraw/zinc/17/27/92/742172792.db2.gz MDJXZNBQMXLYBV-YPMHNXCESA-N -1 1 348.403 -0.168 20 0 EBADMM C[C@H]1CN(C(=O)CS(C)(=O)=O)CC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001075236341 742202868 /nfs/dbraw/zinc/20/28/68/742202868.db2.gz RVFTYIWDWBLVEE-UWVGGRQHSA-N -1 1 345.425 -0.871 20 0 EBADMM C[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC[C@@H]1CNCc1cnon1 ZINC001075301431 742209866 /nfs/dbraw/zinc/20/98/66/742209866.db2.gz OGAOPGLYPFGKKF-RKDXNWHRSA-N -1 1 349.351 -0.446 20 0 EBADMM COCC(=O)NCC[C@H](C)NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001075347794 742215735 /nfs/dbraw/zinc/21/57/35/742215735.db2.gz RHIVCWIESRNZPP-ZETCQYMHSA-N -1 1 328.325 -0.833 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)c4cc[n+]([O-])cc4)[C@@H]3C2)nc1=O ZINC001075517220 742240217 /nfs/dbraw/zinc/24/02/17/742240217.db2.gz SGNWMSSKNCJMPO-QWHCGFSZSA-N -1 1 344.375 -0.912 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)Cn4cccn4)[C@@H]3C2)nc1=O ZINC001075550122 742248916 /nfs/dbraw/zinc/24/89/16/742248916.db2.gz GAYCHVQOCCRDSG-NWDGAFQWSA-N -1 1 331.380 -0.962 20 0 EBADMM Cc1cc(CC(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)[nH]n1 ZINC001075677654 742269845 /nfs/dbraw/zinc/26/98/45/742269845.db2.gz QAZZKSYQULUKBA-WCQYABFASA-N -1 1 345.407 -0.585 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)C[C@](C)(O)C4CC4)[C@@H]3C2)nc1=O ZINC001075703951 742276638 /nfs/dbraw/zinc/27/66/38/742276638.db2.gz WKJNVCPFPOUOFJ-PPHDSNJXSA-N -1 1 349.435 -0.308 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)C(=O)NCC1CC1 ZINC001076245342 742418983 /nfs/dbraw/zinc/41/89/83/742418983.db2.gz XYHBTHZAVQECJN-JTQLQIEISA-N -1 1 334.376 -0.062 20 0 EBADMM CC(=O)N1CC(C(=O)NCC[C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001076284985 742430483 /nfs/dbraw/zinc/43/04/83/742430483.db2.gz WOARGDOSLOYEGR-JTQLQIEISA-N -1 1 334.376 -0.110 20 0 EBADMM Cn1cncc1CC(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001076463500 742476972 /nfs/dbraw/zinc/47/69/72/742476972.db2.gz STHJKZAWUUGCMC-WCQYABFASA-N -1 1 345.407 -0.883 20 0 EBADMM C[C@@H](CCNC(=O)c1cnc(C2CC2)[n-]c1=O)NC(=O)CC(N)=O ZINC001076564200 742497563 /nfs/dbraw/zinc/49/75/63/742497563.db2.gz HHEYKHUCIYYSJA-QMMMGPOBSA-N -1 1 335.364 -0.440 20 0 EBADMM COc1nccc(CN2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001076917348 742642469 /nfs/dbraw/zinc/64/24/69/742642469.db2.gz YDSFHTNTNMOLJF-DGCLKSJQSA-N -1 1 345.359 -0.439 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)[C@@H]3C[C@@H]4C=C[C@H]3CC4)C2)nc1=O ZINC001077029131 742703827 /nfs/dbraw/zinc/70/38/27/742703827.db2.gz UFDZYIJSIAHLSI-XVIXHAIJSA-N -1 1 347.419 -0.628 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)C[C@@H]3C=CCCC3)C2)nc1=O ZINC001077215460 742792841 /nfs/dbraw/zinc/79/28/41/742792841.db2.gz YVKLGQXVRUHGTR-JHJVBQTASA-N -1 1 335.408 -0.484 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)[C@@H]3CCCC34CC4)C2)nc1=O ZINC001077223682 742796566 /nfs/dbraw/zinc/79/65/66/742796566.db2.gz LNGCTLBCBMZWOZ-QJPTWQEYSA-N -1 1 335.408 -0.650 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)C34CCC(CC3)C4)C2)nc1=O ZINC001077238817 742804198 /nfs/dbraw/zinc/80/41/98/742804198.db2.gz VGSMASGEMWXRGC-YZUGKSJVSA-N -1 1 335.408 -0.650 20 0 EBADMM C[C@H](C(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-])S(C)(=O)=O ZINC001077262443 742819316 /nfs/dbraw/zinc/81/93/16/742819316.db2.gz KQFDARVBQFLRFH-VHSXEESVSA-N -1 1 343.405 -0.155 20 0 EBADMM CC(C)=CC(=O)N[C@@H](C)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001077402469 742956081 /nfs/dbraw/zinc/95/60/81/742956081.db2.gz PKPAXSGXXPFWCR-LBPRGKRZSA-N -1 1 336.392 -0.096 20 0 EBADMM CC(C)CC(=O)N[C@@H](C)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001077402166 742956400 /nfs/dbraw/zinc/95/64/00/742956400.db2.gz FCRURRWBVVBRLO-LBPRGKRZSA-N -1 1 338.408 -0.016 20 0 EBADMM C[C@@H](CCNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)C1CCC1 ZINC001077402414 742956435 /nfs/dbraw/zinc/95/64/35/742956435.db2.gz NMAJODXMLAKKNW-NSHDSACASA-N -1 1 336.392 -0.262 20 0 EBADMM C[C@@H](CCNC(=O)c1ccc2oc(=O)nc-2[n-]1)NC(=O)c1cnn[nH]1 ZINC001077487029 743011758 /nfs/dbraw/zinc/01/17/58/743011758.db2.gz BPLMJUBTAMTBIT-ZETCQYMHSA-N -1 1 345.319 -0.015 20 0 EBADMM C[C@@H](CCNC(=O)[C@H]1CN(C)C(=O)N1)NC(=O)c1ncccc1[O-] ZINC001077734618 743214094 /nfs/dbraw/zinc/21/40/94/743214094.db2.gz CYVVAVINYDSXTA-VHSXEESVSA-N -1 1 335.364 -0.565 20 0 EBADMM C[C@@H](CCNC(=O)c1cn(C)c(=O)cn1)NC(=O)c1ncccc1[O-] ZINC001077765957 743236746 /nfs/dbraw/zinc/23/67/46/743236746.db2.gz KGJQWUHROKWIOF-JTQLQIEISA-N -1 1 345.359 -0.181 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)[C@@H]3CC4CCC3CC4)C2)nc1=O ZINC001078236373 743549637 /nfs/dbraw/zinc/54/96/37/743549637.db2.gz HAFSBICBEBLIRZ-YTPFDHIKSA-N -1 1 349.435 -0.404 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)c3ccc(F)s3)C2)nc1=O ZINC001078265941 743561527 /nfs/dbraw/zinc/56/15/27/743561527.db2.gz MLBGIKITVOGVAF-HTQZYQBOSA-N -1 1 341.368 -0.716 20 0 EBADMM O=C(c1ccc(=O)[nH]n1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078326069 743586693 /nfs/dbraw/zinc/58/66/93/743586693.db2.gz WQBPALXREWRICW-SECBINFHSA-N -1 1 349.351 -0.973 20 0 EBADMM C[C@H](C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1)n1cccn1 ZINC001078341719 743592460 /nfs/dbraw/zinc/59/24/60/743592460.db2.gz LAJCIQQZLSDWBH-VXGBXAGGSA-N -1 1 349.395 -0.325 20 0 EBADMM O=C([C@H]1CCCOC1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078356450 743602173 /nfs/dbraw/zinc/60/21/73/743602173.db2.gz LIJAWZXEHGEBAW-RYUDHWBXSA-N -1 1 339.396 -0.356 20 0 EBADMM O=C(C[C@H]1CCOC1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078363628 743609872 /nfs/dbraw/zinc/60/98/72/743609872.db2.gz MWNPMGWTDZPYGC-NEPJUHHUSA-N -1 1 339.396 -0.356 20 0 EBADMM O=C(Cc1cc[nH]n1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078365846 743610481 /nfs/dbraw/zinc/61/04/81/743610481.db2.gz MUJQOXGZKBNQCN-NSHDSACASA-N -1 1 335.368 -0.817 20 0 EBADMM CCc1n[nH]cc1C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078424620 743643356 /nfs/dbraw/zinc/64/33/56/743643356.db2.gz ZDPOFKAEGQWYMV-SNVBAGLBSA-N -1 1 349.395 -0.183 20 0 EBADMM Cc1cnn(C)c1C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078450215 743653371 /nfs/dbraw/zinc/65/33/71/743653371.db2.gz UODBRTJZOYEFAJ-NSHDSACASA-N -1 1 349.395 -0.427 20 0 EBADMM O=C(N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2)c1ccc(=O)[nH]n1 ZINC001078546414 743691322 /nfs/dbraw/zinc/69/13/22/743691322.db2.gz WPEOZAFQDRQBRL-ZJUUUORDSA-N -1 1 345.363 -0.163 20 0 EBADMM O=C(Cn1cccn1)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078557066 743693697 /nfs/dbraw/zinc/69/36/97/743693697.db2.gz ZQGCZCJUKBTLOH-WDEREUQCSA-N -1 1 331.380 -0.076 20 0 EBADMM Cn1oc(C(=O)N[C@@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)cc1=O ZINC001078606411 743706730 /nfs/dbraw/zinc/70/67/30/743706730.db2.gz HAHUSGXSISUTFA-NXEZZACHSA-N -1 1 348.363 -0.367 20 0 EBADMM NC(=O)C(=O)NC1CCC(NC(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000980213854 805801077 /nfs/dbraw/zinc/80/10/77/805801077.db2.gz PYQAVRNNAABKBJ-UHFFFAOYSA-N -1 1 347.375 -0.298 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)C[C@H]2CCCOC2)C1 ZINC000980419373 805886415 /nfs/dbraw/zinc/88/64/15/805886415.db2.gz SWXYZOCXHAZPQS-OLZOCXBDSA-N -1 1 337.424 -0.042 20 0 EBADMM C[C@@H]1C[C@@H](CNCc2nnn(C)n2)CN1C(=O)c1ncccc1[O-] ZINC000980526700 805923520 /nfs/dbraw/zinc/92/35/20/805923520.db2.gz UKFFJOFXHAZIGZ-MNOVXSKESA-N -1 1 331.380 -0.049 20 0 EBADMM Cc1nnsc1C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000980688861 805990499 /nfs/dbraw/zinc/99/04/99/805990499.db2.gz RAFQSRVZTSAYSV-UHFFFAOYSA-N -1 1 337.409 -0.384 20 0 EBADMM Cn1cnnc1CN[C@@H]1CCC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000981203499 806108418 /nfs/dbraw/zinc/10/84/18/806108418.db2.gz ZELVQPOSHXBVTE-BDAKNGLRSA-N -1 1 348.367 -0.758 20 0 EBADMM Cc1ncc(CC(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)o1 ZINC000981319095 806141581 /nfs/dbraw/zinc/14/15/81/806141581.db2.gz AZSOTCMWXJYCTI-UHFFFAOYSA-N -1 1 334.380 -0.318 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)c3n[nH]c4c3CCC4)CC2)nc1=O ZINC000981529031 806192956 /nfs/dbraw/zinc/19/29/56/806192956.db2.gz QLBOQQKYGVYGDN-UHFFFAOYSA-N -1 1 345.407 -0.332 20 0 EBADMM Cc1nc(CN2CCCN(C(=O)c3n[nH]c(=O)[n-]c3=O)CC2)n[nH]1 ZINC000981555144 806199518 /nfs/dbraw/zinc/19/95/18/806199518.db2.gz DTFFUKRKELHMFK-UHFFFAOYSA-N -1 1 334.340 -0.943 20 0 EBADMM Cc1nc([C@@H](C)N2CCCN(C(=O)c3n[nH]c(=O)[n-]c3=O)CC2)n[nH]1 ZINC000981555127 806200163 /nfs/dbraw/zinc/20/01/63/806200163.db2.gz CXWWUUFZKCSMDJ-MRVPVSSYSA-N -1 1 348.367 -0.382 20 0 EBADMM COc1cnc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)nc1 ZINC000981593797 806211187 /nfs/dbraw/zinc/21/11/87/806211187.db2.gz LUOINGKOMFKGQA-UHFFFAOYSA-N -1 1 347.379 -0.745 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)c4ccon4)C3)C2)nc1=O ZINC000981646297 806223885 /nfs/dbraw/zinc/22/38/85/806223885.db2.gz UQBSWJFCNLDMDT-UHFFFAOYSA-N -1 1 332.364 -0.165 20 0 EBADMM Cc1nn(C)c(C)c1C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000981717419 806249397 /nfs/dbraw/zinc/24/93/97/806249397.db2.gz GZBOHDCXFRTJTG-UHFFFAOYSA-N -1 1 347.423 -0.193 20 0 EBADMM C[C@H]1C[C@@H](C(=O)N2CC3(C2)CCCN(Cc2nc(=O)n(C)[n-]2)C3)CO1 ZINC000981859609 806306857 /nfs/dbraw/zinc/30/68/57/806306857.db2.gz SGKBHFGMKKCOHN-QWHCGFSZSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)c4cccnn4)C3)C2)nc1=O ZINC000982081174 806393547 /nfs/dbraw/zinc/39/35/47/806393547.db2.gz JKECHYFWNOMHNH-UHFFFAOYSA-N -1 1 343.391 -0.363 20 0 EBADMM Cn1ccc(C(=O)N2CC3(C2)CCCN(Cc2nc(=O)n(C)[n-]2)C3)n1 ZINC000982150821 806412070 /nfs/dbraw/zinc/41/20/70/806412070.db2.gz WZTZGOCEOSBVSO-UHFFFAOYSA-N -1 1 345.407 -0.420 20 0 EBADMM CN1C[C@@H](C(=O)NC[C@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC000982675410 806631319 /nfs/dbraw/zinc/63/13/19/806631319.db2.gz LVZBJUSNFHCLLI-NEPJUHHUSA-N -1 1 346.387 -0.156 20 0 EBADMM CN1C[C@@H](C(=O)NC[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)NC1=O ZINC000982675701 806631392 /nfs/dbraw/zinc/63/13/92/806631392.db2.gz NHDWHCUSCCKYCJ-QWRGUYRKSA-N -1 1 347.375 -0.611 20 0 EBADMM C[C@@]1(C(=O)N2CC[C@H](CNC(=O)c3ncccc3[O-])C2)CNC(=O)C1 ZINC000982998032 806829454 /nfs/dbraw/zinc/82/94/54/806829454.db2.gz HIRYLXYLQVHHCL-DIFFPNOSSA-N -1 1 346.387 -0.108 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC000983010976 806835457 /nfs/dbraw/zinc/83/54/57/806835457.db2.gz CWCAZGQTXGCIGM-SECBINFHSA-N -1 1 330.348 -0.594 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)[C@H]2CCNC2=O)C1)c1ncccc1[O-] ZINC000983075894 806865818 /nfs/dbraw/zinc/86/58/18/806865818.db2.gz DCUMRGIJLINNRR-MNOVXSKESA-N -1 1 332.360 -0.498 20 0 EBADMM CCS(=O)(=O)N1CCC[C@@H](C(=O)NCc2nc([O-])cc(=O)[nH]2)C1 ZINC000823413046 803788461 /nfs/dbraw/zinc/78/84/61/803788461.db2.gz RJUXPURZCYSIOH-SECBINFHSA-N -1 1 344.393 -0.434 20 0 EBADMM C/C=C(\C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000976859483 803910541 /nfs/dbraw/zinc/91/05/41/803910541.db2.gz OSXPAODLBOSEAT-ZANRWWAOSA-N -1 1 342.359 -0.430 20 0 EBADMM NC(=O)[C@@H]1CC[C@H]1C(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000976950528 804000500 /nfs/dbraw/zinc/00/05/00/804000500.db2.gz XMASKVJQKSHLBX-YFVNTRNASA-N -1 1 344.371 -0.515 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1)C(=O)C1CC1 ZINC000977248649 804254496 /nfs/dbraw/zinc/25/44/96/804254496.db2.gz ROKGOPYSIKOZAP-SNVBAGLBSA-N -1 1 330.348 -0.500 20 0 EBADMM CN(C[C@H]1CCN1C(=O)[C@@H]1CCCNC1=O)C(=O)c1ncccc1[O-] ZINC000977598444 804406795 /nfs/dbraw/zinc/40/67/95/804406795.db2.gz IGCUEOWYUIMCEU-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@H]1CCN1C(=O)[C@@H]1CCN(C)C1=O)C(=O)c1ncccc1[O-] ZINC000977616728 804416135 /nfs/dbraw/zinc/41/61/35/804416135.db2.gz ILVWEHLIKIYFRV-VXGBXAGGSA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C[C@H]1CCN1C(=O)Cn1cnnn1)C(=O)c1ncccc1[O-] ZINC000977619358 804417576 /nfs/dbraw/zinc/41/75/76/804417576.db2.gz PYYAYEVYRVMCRJ-SNVBAGLBSA-N -1 1 331.336 -0.853 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)c1cnnn1C ZINC000977644117 804434669 /nfs/dbraw/zinc/43/46/69/804434669.db2.gz KRUKMDKTHOXXGJ-SNVBAGLBSA-N -1 1 330.348 -0.098 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1CCC(=O)NC1 ZINC000977967507 804593757 /nfs/dbraw/zinc/59/37/57/804593757.db2.gz HOLKTLMBFUDYDC-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM CO[C@@H](C)C(=O)N1CC[C@@H]1CN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000978052602 804634660 /nfs/dbraw/zinc/63/46/60/804634660.db2.gz JQDWLNFBIJGTHM-VHSXEESVSA-N -1 1 348.363 -0.875 20 0 EBADMM CN(C[C@H]1CCN1C(=O)CC1CC1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000978053605 804635519 /nfs/dbraw/zinc/63/55/19/804635519.db2.gz LBSZCKNUZGFEPM-LLVKDONJSA-N -1 1 344.375 -0.109 20 0 EBADMM C[C@@H](c1nnnn1C)N1C[C@@H]2[C@@H](CNC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000978064836 804641161 /nfs/dbraw/zinc/64/11/61/804641161.db2.gz OUKGRLVAOYPSJT-FIQHERPVSA-N -1 1 343.391 -0.021 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@@H]1CCC(=O)N1 ZINC000978103548 804660534 /nfs/dbraw/zinc/66/05/34/804660534.db2.gz IPAZSUXVDUUARU-MNOVXSKESA-N -1 1 332.360 -0.261 20 0 EBADMM C[C@]1(C(=O)N[C@H]2C[C@@H](CNC(=O)c3ncccc3[O-])C2)CNC(=O)C1 ZINC000978742035 804953792 /nfs/dbraw/zinc/95/37/92/804953792.db2.gz WYCMKVXBPGBRDA-VGTOOOLASA-N -1 1 346.387 -0.062 20 0 EBADMM O=C(NC[C@@H]1C[C@H](NC(=O)[C@@H]2CCC(=O)NC2)C1)c1ncccc1[O-] ZINC000978752910 804959261 /nfs/dbraw/zinc/95/92/61/804959261.db2.gz LSKBHPMUBWWYSG-UTUOFQBUSA-N -1 1 346.387 -0.062 20 0 EBADMM CN1C[C@H](C(=O)NC[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)NC1=O ZINC000979101681 805139380 /nfs/dbraw/zinc/13/93/80/805139380.db2.gz HSXRIKSHRUBEHI-OUAUKWLOSA-N -1 1 347.375 -0.565 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2cccnc2)CCO1 ZINC000979173167 805188875 /nfs/dbraw/zinc/18/88/75/805188875.db2.gz CTAVBQOJFTYTPT-CMPLNLGQSA-N -1 1 332.364 -0.075 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)Cn2cccn2)CCO1 ZINC000979184247 805195593 /nfs/dbraw/zinc/19/55/93/805195593.db2.gz BBFTWQBXVDMNIZ-GHMZBOCLSA-N -1 1 335.368 -0.888 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)C2=COCCO2)CCO1 ZINC000979184647 805195623 /nfs/dbraw/zinc/19/56/23/805195623.db2.gz VPVXQYGNQCYUMO-UWVGGRQHSA-N -1 1 339.352 -0.896 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)Cn2cccn2)CCO1 ZINC000979184248 805195705 /nfs/dbraw/zinc/19/57/05/805195705.db2.gz BBFTWQBXVDMNIZ-MNOVXSKESA-N -1 1 335.368 -0.888 20 0 EBADMM Cc1c(C(=O)N2CCO[C@@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)cnn1C ZINC000979195470 805201629 /nfs/dbraw/zinc/20/16/29/805201629.db2.gz PEMUYFZELCAPPF-BXKDBHETSA-N -1 1 349.395 -0.428 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)Cc2cnn(C)c2)CCO1 ZINC000979223753 805217573 /nfs/dbraw/zinc/21/75/73/805217573.db2.gz XWRPXEFDQJNVSZ-JQWIXIFHSA-N -1 1 349.395 -0.808 20 0 EBADMM Cc1ncncc1C(=O)N1CCO[C@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979235057 805227420 /nfs/dbraw/zinc/22/74/20/805227420.db2.gz QPFPPJQSHTYSOJ-JQWIXIFHSA-N -1 1 347.379 -0.372 20 0 EBADMM Cc1[nH]nc(C(=O)N2CCO[C@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)c1C ZINC000979252112 805241489 /nfs/dbraw/zinc/24/14/89/805241489.db2.gz GHQBQXNPFFRMMJ-QWRGUYRKSA-N -1 1 349.395 -0.130 20 0 EBADMM Cc1cc(CC(=O)N2CCO[C@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC000979261490 805246942 /nfs/dbraw/zinc/24/69/42/805246942.db2.gz ZUVGMMIQLHNJNA-PWSUYJOCSA-N -1 1 349.395 -0.510 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)nn1C ZINC000979262501 805248240 /nfs/dbraw/zinc/24/82/40/805248240.db2.gz RZRUPQBYIHVKNF-ZYHUDNBSSA-N -1 1 349.395 -0.428 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2cc[nH]c(=O)c2)CCO1 ZINC000979275960 805254514 /nfs/dbraw/zinc/25/45/14/805254514.db2.gz POKOEBULVHFFLH-KOLCDFICSA-N -1 1 348.363 -0.370 20 0 EBADMM C[C@H](NCc1cnn(C)n1)[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC000979279933 805259235 /nfs/dbraw/zinc/25/92/35/805259235.db2.gz SPXLGENJNWKHOO-FZMZJTMJSA-N -1 1 346.391 -0.065 20 0 EBADMM Cc1cn(C)nc1C(=O)N1CCO[C@@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979301331 805278131 /nfs/dbraw/zinc/27/81/31/805278131.db2.gz YIMZLEGRJKPGSW-WDEREUQCSA-N -1 1 349.395 -0.428 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)CCn2ccnc2)CCO1 ZINC000979357238 805321386 /nfs/dbraw/zinc/32/13/86/805321386.db2.gz GIFYGNUUUYGOTB-VXGBXAGGSA-N -1 1 349.395 -0.497 20 0 EBADMM Cc1c[nH]c(C(=O)N2CCO[C@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000979415291 805362181 /nfs/dbraw/zinc/36/21/81/805362181.db2.gz RPXACJMJVSWDDO-ZJUUUORDSA-N -1 1 335.368 -0.439 20 0 EBADMM Cc1nccc(C(=O)N2CCO[C@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000979434099 805375357 /nfs/dbraw/zinc/37/53/57/805375357.db2.gz QUHDTTKEXKRJOA-CABZTGNLSA-N -1 1 347.379 -0.372 20 0 EBADMM Cc1nccc(C(=O)N2CCO[C@@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000979434097 805376101 /nfs/dbraw/zinc/37/61/01/805376101.db2.gz QUHDTTKEXKRJOA-BXKDBHETSA-N -1 1 347.379 -0.372 20 0 EBADMM Cc1nc[nH]c1CC(=O)N1CCO[C@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979448547 805386167 /nfs/dbraw/zinc/38/61/67/805386167.db2.gz QMHDNDQXMPIIIL-PWSUYJOCSA-N -1 1 349.395 -0.510 20 0 EBADMM Cc1nc[nH]c1C(=O)N1CCO[C@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979472396 805395176 /nfs/dbraw/zinc/39/51/76/805395176.db2.gz VNYBWYOEPBBRQX-WPRPVWTQSA-N -1 1 335.368 -0.439 20 0 EBADMM CN1CCOC[C@H]1C(=O)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000979660353 805472762 /nfs/dbraw/zinc/47/27/62/805472762.db2.gz BSIHRGSLVMLBHO-AVGNSLFASA-N -1 1 348.403 -0.258 20 0 EBADMM Cn1nncc1C(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000979664517 805475106 /nfs/dbraw/zinc/47/51/06/805475106.db2.gz LJWFJKFJXGZNSI-MGCOHNPYSA-N -1 1 330.348 -0.146 20 0 EBADMM C[C@]1(C(=O)N[C@H]2C[C@H](CNC(=O)c3ncccc3[O-])C2)CCNC1=O ZINC000979673373 805482239 /nfs/dbraw/zinc/48/22/39/805482239.db2.gz MWXUSIKRZDJZSL-DINDLPBHSA-N -1 1 346.387 -0.062 20 0 EBADMM O=C(NC[C@H]1C[C@H](NC(=O)[C@@H]2CCCNC2=O)C1)c1ncccc1[O-] ZINC000979675880 805483071 /nfs/dbraw/zinc/48/30/71/805483071.db2.gz VZGINNJQSWMVHC-IJLUTSLNSA-N -1 1 346.387 -0.062 20 0 EBADMM O=C(C[C@H]1CCNC1=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000979676845 805484538 /nfs/dbraw/zinc/48/45/38/805484538.db2.gz ZWMDVSVJAXUBEQ-IJLUTSLNSA-N -1 1 346.387 -0.062 20 0 EBADMM O=C(Cn1cnnn1)N[C@H]1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000983488239 807025463 /nfs/dbraw/zinc/02/54/63/807025463.db2.gz YPBDPVIWAFZTOT-WDEREUQCSA-N -1 1 345.363 -0.369 20 0 EBADMM NC(=O)C(=O)N[C@H]1CCC[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000983602059 807053702 /nfs/dbraw/zinc/05/37/02/807053702.db2.gz WQZKVEJLQISESK-UWVGGRQHSA-N -1 1 347.375 -0.298 20 0 EBADMM C[C@@H](NC[C@H]1C[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1)c1ncccn1 ZINC000984212527 807218353 /nfs/dbraw/zinc/21/83/53/807218353.db2.gz DSUXWAJHFWLCOE-OPRDCNLKSA-N -1 1 345.363 -0.068 20 0 EBADMM CCC(=O)N[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)[C@@H]1CC ZINC000984386293 807276610 /nfs/dbraw/zinc/27/66/10/807276610.db2.gz CEGYAEZLJKKLGZ-NWDGAFQWSA-N -1 1 336.392 -0.168 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1nnn(C)n1 ZINC000984803677 807391535 /nfs/dbraw/zinc/39/15/35/807391535.db2.gz XLCFWOHGZVSWGM-VHSXEESVSA-N -1 1 345.363 -0.266 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)[C@@H]2CN(C)CCO2)CC1 ZINC000985351146 807490814 /nfs/dbraw/zinc/49/08/14/807490814.db2.gz BRGSVEXGYUBXMW-LBPRGKRZSA-N -1 1 338.412 -0.736 20 0 EBADMM CCn1ncc(C(=O)N2CCC(N(C)Cc3n[nH]c(=O)[n-]3)CC2)n1 ZINC000985419606 807508419 /nfs/dbraw/zinc/50/84/19/807508419.db2.gz BWUHDZJTDHIYTE-UHFFFAOYSA-N -1 1 334.384 -0.142 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)CCc2cnn(C)n2)CC1 ZINC000985653375 807557938 /nfs/dbraw/zinc/55/79/38/807557938.db2.gz QWDFXBOHGPHTPK-UHFFFAOYSA-N -1 1 348.411 -0.306 20 0 EBADMM Cc1cccn(CC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)c1=O ZINC000986267318 807660883 /nfs/dbraw/zinc/66/08/83/807660883.db2.gz CMTAERCOQBAFQY-NWDGAFQWSA-N -1 1 346.391 -0.240 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnn2ncccc12 ZINC000986367482 807687533 /nfs/dbraw/zinc/68/75/33/807687533.db2.gz YTZXHFQFAHDHBK-ONGXEEELSA-N -1 1 342.363 -0.054 20 0 EBADMM COCCn1nccc1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000986414289 807697304 /nfs/dbraw/zinc/69/73/04/807697304.db2.gz QRYTYBSHUNKICN-WDEREUQCSA-N -1 1 349.395 -0.254 20 0 EBADMM CC(=O)N1CC[C@@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@@H]2C1 ZINC000986417811 807698930 /nfs/dbraw/zinc/69/89/30/807698930.db2.gz CINVQVHNXWAAJF-MNOVXSKESA-N -1 1 330.348 -0.642 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CN1CCCCC1=O ZINC000986472204 807710897 /nfs/dbraw/zinc/71/08/97/807710897.db2.gz IWDPFMRHZCQCFE-MNOVXSKESA-N -1 1 336.396 -0.398 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CN1CCCNC1=O ZINC000986482916 807715233 /nfs/dbraw/zinc/71/52/33/807715233.db2.gz PBBIMAGZUGEVFK-NXEZZACHSA-N -1 1 337.384 -0.995 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cn2cccnc2n1 ZINC000986483059 807716442 /nfs/dbraw/zinc/71/64/42/807716442.db2.gz PUMJSMYQCDUBAT-ZJUUUORDSA-N -1 1 342.363 -0.054 20 0 EBADMM CCn1ccc(CN[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)[C@H]2C)n1 ZINC000986487993 807718453 /nfs/dbraw/zinc/71/84/53/807718453.db2.gz NFVJHBFNEWDKCR-ONGXEEELSA-N -1 1 347.379 -0.108 20 0 EBADMM Cc1cc(CN[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)[C@@H]2C)nn1C ZINC000986488009 807718640 /nfs/dbraw/zinc/71/86/40/807718640.db2.gz NIHZBKLKVMFBHF-MWLCHTKSSA-N -1 1 347.379 -0.282 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cc(C(N)=O)cn1C ZINC000986497117 807719914 /nfs/dbraw/zinc/71/99/14/807719914.db2.gz WJUQCFHLDQDTFJ-PSASIEDQSA-N -1 1 347.379 -0.660 20 0 EBADMM Cc1cnc(CN[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)[C@@H]2C)cn1 ZINC000986490803 807720055 /nfs/dbraw/zinc/72/00/55/807720055.db2.gz YCMODTWRBVMPSJ-KOLCDFICSA-N -1 1 345.363 -0.226 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cc2n(n1)CCCO2 ZINC000986551260 807732254 /nfs/dbraw/zinc/73/22/54/807732254.db2.gz VPVKEOXAJGMHBZ-VHSXEESVSA-N -1 1 347.379 -0.118 20 0 EBADMM C[C@@H]1[C@@H](NCc2ccn(C)n2)CCN1C(=O)c1cnc([O-])n(C)c1=O ZINC000986648943 807755710 /nfs/dbraw/zinc/75/57/10/807755710.db2.gz HHRCOLKVQWYHMA-MFKMUULPSA-N -1 1 346.391 -0.388 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cccc(=O)n1C ZINC000986912528 807795281 /nfs/dbraw/zinc/79/52/81/807795281.db2.gz FXEBAACEHIMSKJ-VHSXEESVSA-N -1 1 332.364 -0.398 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCn1cnccc1=O ZINC000987282060 807883615 /nfs/dbraw/zinc/88/36/15/807883615.db2.gz VECHWVBCMGWMIS-GHMZBOCLSA-N -1 1 347.379 -0.764 20 0 EBADMM C[C@H]1[C@@H](NCc2cnns2)CCN1C(=O)Cn1c(=O)[n-][nH]c1=O ZINC000987424310 807915984 /nfs/dbraw/zinc/91/59/84/807915984.db2.gz ZTWYWXMJFITEIA-CBAPKCEASA-N -1 1 339.381 -0.680 20 0 EBADMM C[C@@H]1[C@@H](NCc2nccs2)CCN1C(=O)Cn1c(=O)[n-][nH]c1=O ZINC000987423850 807916414 /nfs/dbraw/zinc/91/64/14/807916414.db2.gz XZLGPYHMOANHHD-BDAKNGLRSA-N -1 1 338.393 -0.075 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)Cn1ccccc1=O ZINC000987495913 807941914 /nfs/dbraw/zinc/94/19/14/807941914.db2.gz YDGINJBPRYEEEF-MNOVXSKESA-N -1 1 332.364 -0.549 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1cn(C)nn1 ZINC000987685854 807990860 /nfs/dbraw/zinc/99/08/60/807990860.db2.gz TVZLKZRSNYIOTC-ZJUUUORDSA-N -1 1 330.348 -0.051 20 0 EBADMM CN(C[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C(=O)[C@@H]1COC(=O)N1 ZINC000987929563 808060090 /nfs/dbraw/zinc/06/00/90/808060090.db2.gz LHWFXGHUTAYYDL-MNOVXSKESA-N -1 1 348.359 -0.184 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)[C@@H]2CCNC2=O)C1)C(=O)c1ncccc1[O-] ZINC000988180798 808140362 /nfs/dbraw/zinc/14/03/62/808140362.db2.gz BVCMIDBGPWMSQS-NWDGAFQWSA-N -1 1 346.387 -0.156 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)c2nnn(C)n2)C1)C(=O)c1ncccc1[O-] ZINC000988216569 808152826 /nfs/dbraw/zinc/15/28/26/808152826.db2.gz ATEBKSOUSCJDFI-JTQLQIEISA-N -1 1 345.363 -0.455 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccnc2ccnn21 ZINC000988952790 808332028 /nfs/dbraw/zinc/33/20/28/808332028.db2.gz IIAGZIUPSVZCJF-VHSXEESVSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccnc2ccnn21 ZINC000988952785 808332141 /nfs/dbraw/zinc/33/21/41/808332141.db2.gz IIAGZIUPSVZCJF-NXEZZACHSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cc2n(n1)CCO2 ZINC000988957520 808337243 /nfs/dbraw/zinc/33/72/43/808337243.db2.gz ZHRRPRVTJVPJEL-RKDXNWHRSA-N -1 1 333.352 -0.508 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CC1CS(=O)(=O)C1 ZINC000989058333 808360153 /nfs/dbraw/zinc/36/01/53/808360153.db2.gz UQEDCLFFSOGNHF-WCBMZHEXSA-N -1 1 343.409 -0.976 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc(=O)n(C)c1 ZINC000989100488 808373824 /nfs/dbraw/zinc/37/38/24/808373824.db2.gz RBQDPCUBHGNAJY-MWLCHTKSSA-N -1 1 332.364 -0.398 20 0 EBADMM Cc1cnc(CN[C@H]2C[C@H](C)N(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)cn1 ZINC000989108945 808376330 /nfs/dbraw/zinc/37/63/30/808376330.db2.gz DQGJAFMXXJPAGM-UWVGGRQHSA-N -1 1 345.363 -0.226 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccnc2n[nH]nc21 ZINC000989160365 808391091 /nfs/dbraw/zinc/39/10/91/808391091.db2.gz OSCCGHSGVSCMBW-HTQZYQBOSA-N -1 1 343.351 -0.431 20 0 EBADMM Cc1cc(CC(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)n(C)n1 ZINC000989177993 808395879 /nfs/dbraw/zinc/39/58/79/808395879.db2.gz CJQRUGLPIYYDBM-WDEREUQCSA-N -1 1 333.396 -0.126 20 0 EBADMM COc1c[nH]c(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)cc1=O ZINC000989184707 808396951 /nfs/dbraw/zinc/39/69/51/808396951.db2.gz BTSRBUBOXCNMOH-IUCAKERBSA-N -1 1 348.363 -0.400 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1coc(C(N)=O)c1 ZINC000989231402 808410438 /nfs/dbraw/zinc/41/04/38/808410438.db2.gz RMWQMRXQBFURBS-IONNQARKSA-N -1 1 334.336 -0.405 20 0 EBADMM C[C@H]1C[C@H](NCc2ccn(C)n2)CN1C(=O)c1cnc([O-])n(C)c1=O ZINC000989286157 808426940 /nfs/dbraw/zinc/42/69/40/808426940.db2.gz XTWCBVVYAQNFGJ-JQWIXIFHSA-N -1 1 346.391 -0.388 20 0 EBADMM C[C@H]1C[C@@H](NCc2ccn(C)n2)CN1C(=O)c1cnc([O-])n(C)c1=O ZINC000989286156 808427289 /nfs/dbraw/zinc/42/72/89/808427289.db2.gz XTWCBVVYAQNFGJ-CMPLNLGQSA-N -1 1 346.391 -0.388 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)[C@H]3C[C@@]34CCOC4)CC2)nc1=O ZINC000989438082 808474240 /nfs/dbraw/zinc/47/42/40/808474240.db2.gz AVWZOZWMSDPDII-MLGOLLRUSA-N -1 1 335.408 -0.431 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1nccn2ccnc12 ZINC000989462317 808482716 /nfs/dbraw/zinc/48/27/16/808482716.db2.gz QAIAHSPYTBGKGK-ZJUUUORDSA-N -1 1 342.363 -0.054 20 0 EBADMM CCn1ccnc1CN[C@@H]1C[C@H](C)N(C(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC000989722477 808568547 /nfs/dbraw/zinc/56/85/47/808568547.db2.gz KPXVOEZJEVEMAI-WDEREUQCSA-N -1 1 349.395 -0.315 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)[C@H]4CCCO4)CCC[C@H]23)nc1=O ZINC000989932677 808620228 /nfs/dbraw/zinc/62/02/28/808620228.db2.gz SJOYQWVWCUXDNK-BFQNTYOBSA-N -1 1 335.408 -0.099 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)[C@H]4CCOC4)CCC[C@@H]23)nc1=O ZINC000989984906 808631308 /nfs/dbraw/zinc/63/13/08/808631308.db2.gz UZXTXPKFBTVBEP-HWWQOWPSSA-N -1 1 335.408 -0.242 20 0 EBADMM Cn1nccc1C(=O)N[C@@]12CCC[C@H]1N(Cc1nc(=O)n(C)[n-]1)CC2 ZINC000990027999 808639760 /nfs/dbraw/zinc/63/97/60/808639760.db2.gz ZXZSPLTVEMWBTJ-MLGOLLRUSA-N -1 1 345.407 -0.231 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)c2ccncc2)C1 ZINC000990061862 808646597 /nfs/dbraw/zinc/64/65/97/808646597.db2.gz ASPNZFVMWZLVIT-UHFFFAOYSA-N -1 1 345.315 -0.454 20 0 EBADMM O=C(NC1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1)[C@@H]1COCCO1 ZINC000990302337 808739775 /nfs/dbraw/zinc/73/97/75/808739775.db2.gz QTPRIOWCGWHQDQ-LBPRGKRZSA-N -1 1 348.359 -0.584 20 0 EBADMM Cn1cnc(C(=O)N[C@]23CCC[C@@H]2N(Cc2nc(=O)n(C)[n-]2)CC3)n1 ZINC000990543837 808833764 /nfs/dbraw/zinc/83/37/64/808833764.db2.gz LQTUZQITLFBPSQ-BONVTDFDSA-N -1 1 346.395 -0.836 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)[C@H]1[C@@H]2CCC[C@@H]21 ZINC000990698102 808890922 /nfs/dbraw/zinc/89/09/22/808890922.db2.gz HPFBLNNSXSKPDS-IWIIMEHWSA-N -1 1 342.359 -0.596 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)[C@H]4CCOC4)CCC[C@H]23)nc1=O ZINC000990957338 809011343 /nfs/dbraw/zinc/01/13/43/809011343.db2.gz UZXTXPKFBTVBEP-MKBNYLNASA-N -1 1 335.408 -0.242 20 0 EBADMM O=C(NC1CN(C(=O)[C@@H]2CCCCC(=O)N2)C1)c1ncccc1[O-] ZINC000990969255 809018360 /nfs/dbraw/zinc/01/83/60/809018360.db2.gz BYZDCIHGCDTXBA-NSHDSACASA-N -1 1 332.360 -0.213 20 0 EBADMM O=C(NC1CN(C(=O)[C@@H]2CCCc3nn[nH]c32)C1)c1ncccc1[O-] ZINC000990972760 809019218 /nfs/dbraw/zinc/01/92/18/809019218.db2.gz RPNLQATXAXZPMB-SNVBAGLBSA-N -1 1 342.359 -0.034 20 0 EBADMM C[C@@H]1CCN(C(=O)C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC000990971088 809020010 /nfs/dbraw/zinc/02/00/10/809020010.db2.gz GJXYCTCQPURTKY-SNVBAGLBSA-N -1 1 332.360 -0.404 20 0 EBADMM CCn1nc(C)c(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)n1 ZINC000990974210 809020362 /nfs/dbraw/zinc/02/03/62/809020362.db2.gz GKPQTSHIXXIJQG-UHFFFAOYSA-N -1 1 330.348 -0.039 20 0 EBADMM C[C@@H](C(=O)N1CC(NC(=O)c2ncccc2[O-])C1)N1CCOCC1 ZINC000990975160 809022005 /nfs/dbraw/zinc/02/20/05/809022005.db2.gz PXAHFJLPDKAQRH-NSHDSACASA-N -1 1 334.376 -0.552 20 0 EBADMM NC(=O)c1ccnc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)c1 ZINC000990975059 809022202 /nfs/dbraw/zinc/02/22/02/809022202.db2.gz MHWMGMZJWJBNKX-UHFFFAOYSA-N -1 1 341.327 -0.465 20 0 EBADMM NC(=O)c1ncccc1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990975902 809022970 /nfs/dbraw/zinc/02/29/70/809022970.db2.gz CLDUFGTWTQUZBX-UHFFFAOYSA-N -1 1 341.327 -0.465 20 0 EBADMM O=C(NC1CN(C(=O)[C@H]2CCCS2(=O)=O)C1)c1ncccc1[O-] ZINC000990978311 809025661 /nfs/dbraw/zinc/02/56/61/809025661.db2.gz MYUGZUIJSIDFDT-LLVKDONJSA-N -1 1 339.373 -0.695 20 0 EBADMM O=C(NC1CN(C(=O)[C@@H]2CCNC(=O)CC2)C1)c1ncccc1[O-] ZINC000990978392 809025953 /nfs/dbraw/zinc/02/59/53/809025953.db2.gz ODKDWMCOICPDLN-JTQLQIEISA-N -1 1 332.360 -0.356 20 0 EBADMM Cc1cc(C(=O)NC2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)co1 ZINC000991022458 809041848 /nfs/dbraw/zinc/04/18/48/809041848.db2.gz QCWDKYACGUXTTO-UHFFFAOYSA-N -1 1 342.315 -0.427 20 0 EBADMM Cc1cc[nH]c1C(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000991101818 809073845 /nfs/dbraw/zinc/07/38/45/809073845.db2.gz QXZKDQRMXGQEID-UHFFFAOYSA-N -1 1 345.359 -0.796 20 0 EBADMM O=C(NC1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1)[C@@H]1COC(=O)N1 ZINC000991125980 809093645 /nfs/dbraw/zinc/09/36/45/809093645.db2.gz NSHPZURSXHLBKX-JTQLQIEISA-N -1 1 347.331 -0.891 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)C1=COCCO1 ZINC000991236169 809180445 /nfs/dbraw/zinc/18/04/45/809180445.db2.gz XOYRNIQMHTYGAV-CMPLNLGQSA-N -1 1 349.343 -0.633 20 0 EBADMM O=C(Cc1cc[nH]n1)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC000991363874 809361381 /nfs/dbraw/zinc/36/13/81/809361381.db2.gz MPPPFVRDSLBXAY-DGCLKSJQSA-N -1 1 345.359 -0.555 20 0 EBADMM Cc1ncc(C(=O)N2CC[C@@H](NC(=O)c3[nH]nc(C)c3[O-])[C@@H](O)C2)[nH]1 ZINC000991396635 809407101 /nfs/dbraw/zinc/40/71/01/809407101.db2.gz WMRFQQHCTLFRDX-KOLCDFICSA-N -1 1 348.363 -0.539 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ccnnc2)C[C@H]1O)c1ncccc1[O-] ZINC000991405666 809422682 /nfs/dbraw/zinc/42/26/82/809422682.db2.gz ACMBLWKCMQKFHI-WCQYABFASA-N -1 1 343.343 -0.417 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cn[nH]c2)C[C@H]1O)c1ncccc1[O-] ZINC000991405690 809422816 /nfs/dbraw/zinc/42/28/16/809422816.db2.gz HALIGPWSFZRXQI-ZYHUDNBSSA-N -1 1 331.332 -0.484 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ccncn2)C[C@H]1O)c1ncccc1[O-] ZINC000991406671 809424220 /nfs/dbraw/zinc/42/42/20/809424220.db2.gz CXWQLYJGQJHVKZ-GXFFZTMASA-N -1 1 343.343 -0.417 20 0 EBADMM O=C(Cc1cnoc1)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991428263 809455870 /nfs/dbraw/zinc/45/58/70/809455870.db2.gz PZCMRZXDOQHBGX-WCQYABFASA-N -1 1 346.343 -0.291 20 0 EBADMM O=C(Cc1ncc[nH]1)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991428428 809455950 /nfs/dbraw/zinc/45/59/50/809455950.db2.gz QOXFQAPCVWPPLO-PWSUYJOCSA-N -1 1 345.359 -0.555 20 0 EBADMM Cc1nonc1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC000991561615 809643205 /nfs/dbraw/zinc/64/32/05/809643205.db2.gz DCYZNNBOFFIDGA-GXSJLCMTSA-N -1 1 347.331 -0.516 20 0 EBADMM CCc1cc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)[nH]n1 ZINC001002375342 809701934 /nfs/dbraw/zinc/70/19/34/809701934.db2.gz JBTHFUGSQIHSFJ-UHFFFAOYSA-N -1 1 333.396 -0.212 20 0 EBADMM Cc1n[nH]c(C(=O)NC2CCN(CCN3C(=O)CCC3=O)CC2)c1[O-] ZINC001002616332 809752263 /nfs/dbraw/zinc/75/22/63/809752263.db2.gz YUZSSRFUGKDFQJ-UHFFFAOYSA-N -1 1 349.391 -0.233 20 0 EBADMM Cn1cc(C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)ccc1=O ZINC001003122015 809789508 /nfs/dbraw/zinc/78/95/08/809789508.db2.gz NPTBKBDUZNSHBJ-UHFFFAOYSA-N -1 1 342.355 -0.012 20 0 EBADMM CCC(=O)N[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)CC[C@H]1C ZINC001004258577 809817774 /nfs/dbraw/zinc/81/77/74/809817774.db2.gz FZHDTVMAKUYVJM-VXGBXAGGSA-N -1 1 336.392 -0.310 20 0 EBADMM CCC(=O)N[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC[C@@H]1C ZINC001004273173 809818912 /nfs/dbraw/zinc/81/89/12/809818912.db2.gz IJUSXAPCZJXQFV-GXSJLCMTSA-N -1 1 332.364 -0.206 20 0 EBADMM C[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1nnn(C)n1 ZINC001005139559 809839780 /nfs/dbraw/zinc/83/97/80/809839780.db2.gz ZFOPCLXHMDJUMB-VHSXEESVSA-N -1 1 345.363 -0.409 20 0 EBADMM Cc1cc(C(=O)N(C)C2CCN(Cc3nc(=O)n(C)[n-]3)CC2)ncn1 ZINC001005266195 809843894 /nfs/dbraw/zinc/84/38/94/809843894.db2.gz OQXQFLINVSXJBS-UHFFFAOYSA-N -1 1 345.407 -0.057 20 0 EBADMM CCc1n[nH]cc1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001007760052 810015198 /nfs/dbraw/zinc/01/51/98/810015198.db2.gz YQHADBJNQIBMMX-JTQLQIEISA-N -1 1 333.396 -0.212 20 0 EBADMM COc1ncccc1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001008379576 810148602 /nfs/dbraw/zinc/14/86/02/810148602.db2.gz QDFYBVMIMSETPO-NSHDSACASA-N -1 1 346.391 -0.094 20 0 EBADMM Cc1cc(=O)[nH]cc1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001008478775 810171543 /nfs/dbraw/zinc/17/15/43/810171543.db2.gz IVLGDBDQEZTIOL-LLVKDONJSA-N -1 1 346.391 -0.088 20 0 EBADMM CC1(C)CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@H]1NC(=O)C(N)=O ZINC001008530511 810188693 /nfs/dbraw/zinc/18/86/93/810188693.db2.gz YDPDINDHKZAZDL-SNVBAGLBSA-N -1 1 347.375 -0.488 20 0 EBADMM CO[C@@H](C)CN1CCC[C@@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001008894773 810222541 /nfs/dbraw/zinc/22/25/41/810222541.db2.gz ZGHZBJJCNCVTAI-NWDGAFQWSA-N -1 1 348.407 -0.313 20 0 EBADMM CN(C)S(=O)(=O)c1ccc(NC(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC001214357451 810241036 /nfs/dbraw/zinc/24/10/36/810241036.db2.gz JTMZNEMVWLTNCW-UHFFFAOYSA-N -1 1 341.349 -0.475 20 0 EBADMM O=C(C=C1CCC1)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001010976260 810309389 /nfs/dbraw/zinc/30/93/89/810309389.db2.gz BKOAKIZNYUXNCX-UHFFFAOYSA-N -1 1 342.359 -0.188 20 0 EBADMM CC[C@@H](C)C(=O)NCC(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001216774383 810388817 /nfs/dbraw/zinc/38/88/17/810388817.db2.gz VTWKGNJENHLLIC-GMTAPVOTSA-N -1 1 338.412 -0.391 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cccc4n[nH]nc43)C2)nc1=O ZINC001014529422 810437384 /nfs/dbraw/zinc/43/73/84/810437384.db2.gz QFBZEYGDLVOIKB-SECBINFHSA-N -1 1 342.363 -0.616 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@H]3CCn4cncc4C3)C2)nc1=O ZINC001014985133 810458709 /nfs/dbraw/zinc/45/87/09/810458709.db2.gz WFZTYQGRDYFENT-RYUDHWBXSA-N -1 1 345.407 -0.742 20 0 EBADMM Cc1cc(C)c(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c(=O)[nH]1 ZINC001015449811 810478994 /nfs/dbraw/zinc/47/89/94/810478994.db2.gz QLZCLNHNHUSKAF-NSHDSACASA-N -1 1 346.391 -0.170 20 0 EBADMM CCc1nc2c(c(OC(=O)[C@H](CC(=O)[O-])[C@@H](O)C(=O)[O-])n1)C[NH2+]C2 ZINC001218416243 810489923 /nfs/dbraw/zinc/48/99/23/810489923.db2.gz GPQDLOVCOGCQLO-KSBSHMNSSA-N -1 1 339.304 -0.916 20 0 EBADMM O=C(N[C@@H]1CC[C@@H](CNC(=O)C2CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001015495586 810497982 /nfs/dbraw/zinc/49/79/82/810497982.db2.gz DTQYISBLZZXUJL-MWLCHTKSSA-N -1 1 344.375 -0.158 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@H]3CCCc4nn[nH]c43)C2)nc1=O ZINC001015621327 810545347 /nfs/dbraw/zinc/54/53/47/810545347.db2.gz WHJRLOGHEOWPJK-UWVGGRQHSA-N -1 1 346.395 -0.963 20 0 EBADMM CC(C)c1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC001015734842 810571666 /nfs/dbraw/zinc/57/16/66/810571666.db2.gz FMLBZDJRLCKONM-SNVBAGLBSA-N -1 1 333.396 -0.041 20 0 EBADMM Cc1ccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c(=O)[nH]1 ZINC001015759596 810573636 /nfs/dbraw/zinc/57/36/36/810573636.db2.gz ZTQRYJLRIZTDIH-JTQLQIEISA-N -1 1 332.364 -0.478 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ccnc(C4CC4)n3)C2)nc1=O ZINC001015783747 810581468 /nfs/dbraw/zinc/58/14/68/810581468.db2.gz VQCLWAWTBBGDJJ-LLVKDONJSA-N -1 1 343.391 -0.220 20 0 EBADMM COc1nc(C)ccc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001015800244 810584753 /nfs/dbraw/zinc/58/47/53/810584753.db2.gz NLKRLPGFEIGNQL-LLVKDONJSA-N -1 1 346.391 -0.175 20 0 EBADMM Cc1nc(CN2CC[C@@H](NC(=O)c3c[n-]n4c3nccc4=O)C2)n[nH]1 ZINC001015808453 810588735 /nfs/dbraw/zinc/58/87/35/810588735.db2.gz XOCPANMAQHBCDF-SNVBAGLBSA-N -1 1 342.363 -0.547 20 0 EBADMM CO[C@@H](C)CN1CC[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001015865336 810606096 /nfs/dbraw/zinc/60/60/96/810606096.db2.gz WMMNLVNUQGMPAF-QWRGUYRKSA-N -1 1 334.380 -0.703 20 0 EBADMM Cc1cc(CN2CC[C@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)on1 ZINC001015988975 810642781 /nfs/dbraw/zinc/64/27/81/810642781.db2.gz JEOVPTXVTDICCZ-JTQLQIEISA-N -1 1 343.347 -0.282 20 0 EBADMM O=C(N[C@@H]1CCN(Cc2ccon2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001015991158 810643592 /nfs/dbraw/zinc/64/35/92/810643592.db2.gz ATPQZRFGTJTQJP-SECBINFHSA-N -1 1 329.320 -0.590 20 0 EBADMM Cn1nccc1CN1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001015991685 810646134 /nfs/dbraw/zinc/64/61/34/810646134.db2.gz FLUKVXULBGFPMO-JTQLQIEISA-N -1 1 342.363 -0.845 20 0 EBADMM CCOc1ncccc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001016153724 810682056 /nfs/dbraw/zinc/68/20/56/810682056.db2.gz WYPTTWGMVLQDTI-LLVKDONJSA-N -1 1 346.391 -0.094 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cn4ccccc4n3)C2)nc1=O ZINC001016172642 810683882 /nfs/dbraw/zinc/68/38/82/810683882.db2.gz ARMPCGLUKQHEED-LLVKDONJSA-N -1 1 341.375 -0.240 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ccc(C(N)=O)cc3)C2)nc1=O ZINC001016231003 810711143 /nfs/dbraw/zinc/71/11/43/810711143.db2.gz WZXUOCSRZDHJHV-LBPRGKRZSA-N -1 1 344.375 -0.788 20 0 EBADMM O=C(N[C@@H]1CN(C[C@@H](O)CC(F)(F)F)C[C@@H]1O)c1ncccc1[O-] ZINC001219497301 810721420 /nfs/dbraw/zinc/72/14/20/810721420.db2.gz KDUVQNIMAJTYLG-NGZCFLSTSA-N -1 1 349.309 -0.125 20 0 EBADMM CC(C)(C)NC(=O)CN1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001219503689 810724740 /nfs/dbraw/zinc/72/47/40/810724740.db2.gz DNKUVFCLEXGYNA-PWSUYJOCSA-N -1 1 336.392 -0.523 20 0 EBADMM CN(C(=O)c1cnc(C2CC2)[n-]c1=O)C1CC(NC(=O)C(N)=O)C1 ZINC001016520576 810743475 /nfs/dbraw/zinc/74/34/75/810743475.db2.gz WKDIOTOYXJAIJV-UHFFFAOYSA-N -1 1 333.348 -0.736 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)CC3(C)CCCC3)[C@@H](O)C2)nc1=O ZINC001219568233 810754889 /nfs/dbraw/zinc/75/48/89/810754889.db2.gz ULZLKLDPVNFZNJ-NEPJUHHUSA-N -1 1 337.424 -0.260 20 0 EBADMM CC(C)CCN1CCO[C@@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001035431125 810794755 /nfs/dbraw/zinc/79/47/55/810794755.db2.gz GFPNJWKASKKFGB-JTQLQIEISA-N -1 1 325.369 -0.241 20 0 EBADMM CC(C)CCN1CCO[C@@H](CNC(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001035468690 810824886 /nfs/dbraw/zinc/82/48/86/810824886.db2.gz ZZPSGHLUJVSYBV-LBPRGKRZSA-N -1 1 338.408 -0.037 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)[C@H]3CCC3(C)C)C2)nc1=O ZINC001035489998 810848487 /nfs/dbraw/zinc/84/84/87/810848487.db2.gz UKBRRCKUJDNHEK-NWDGAFQWSA-N -1 1 337.424 -0.138 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)Cn2cccn2)CC3)nc1=O ZINC001035649080 810979152 /nfs/dbraw/zinc/97/91/52/810979152.db2.gz YPISRCNUCAXOFR-UHFFFAOYSA-N -1 1 345.407 -0.571 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)c2n[nH]cc2F)CC3)nc1=O ZINC001035791874 811025625 /nfs/dbraw/zinc/02/56/25/811025625.db2.gz VXUVCLXTJAJITI-UHFFFAOYSA-N -1 1 349.370 -0.291 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC2(CN(CCF)C2)CC1 ZINC001035818346 811032337 /nfs/dbraw/zinc/03/23/37/811032337.db2.gz SJBDCRZABGDATA-UHFFFAOYSA-N -1 1 338.383 -0.179 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C3(C(C)(F)F)CC3)[C@@H](O)C2)nc1=O ZINC001220369663 811074338 /nfs/dbraw/zinc/07/43/38/811074338.db2.gz MMKZELPVCCCEGL-BDAKNGLRSA-N -1 1 345.350 -0.795 20 0 EBADMM Cc1nnc(CN[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@@H]2C)o1 ZINC001036097232 811097177 /nfs/dbraw/zinc/09/71/77/811097177.db2.gz VUNAKCFYDPBSQG-CBAPKCEASA-N -1 1 349.351 -0.385 20 0 EBADMM C[C@H]1CN(C(=O)c2cnc([O-])n(C)c2=O)CC[C@@H]1NCc1cnon1 ZINC001036141133 811106746 /nfs/dbraw/zinc/10/67/46/811106746.db2.gz NQSZHWAXUNPTTA-CABZTGNLSA-N -1 1 348.363 -0.491 20 0 EBADMM O=C(C1=COCCO1)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036635768 811188149 /nfs/dbraw/zinc/18/81/49/811188149.db2.gz OGHXLJOEJXIGGC-MNOVXSKESA-N -1 1 335.364 -0.179 20 0 EBADMM Cn1nccc1C(=O)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036663530 811198008 /nfs/dbraw/zinc/19/80/08/811198008.db2.gz MYPQJEMWWVRXPR-WDEREUQCSA-N -1 1 331.380 -0.020 20 0 EBADMM Nc1nccnc1C(=O)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036670407 811201910 /nfs/dbraw/zinc/20/19/10/811201910.db2.gz SZPJNKSIABZUSX-NXEZZACHSA-N -1 1 344.379 -0.381 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)c1 ZINC001036692718 811207208 /nfs/dbraw/zinc/20/72/08/811207208.db2.gz LQNLPERRKGRPKW-ZYHUDNBSSA-N -1 1 331.380 -0.020 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)c1 ZINC001036692715 811208311 /nfs/dbraw/zinc/20/83/11/811208311.db2.gz LQNLPERRKGRPKW-CMPLNLGQSA-N -1 1 331.380 -0.020 20 0 EBADMM Cn1oc(C(=O)N2CC[C@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC001036700582 811213472 /nfs/dbraw/zinc/21/34/72/811213472.db2.gz IIDDRSWBIGUEEB-ZJUUUORDSA-N -1 1 348.363 -0.461 20 0 EBADMM O=C(Cn1nccn1)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036727955 811231711 /nfs/dbraw/zinc/23/17/11/811231711.db2.gz MHWLWZFTZVZXNW-GHMZBOCLSA-N -1 1 332.368 -0.775 20 0 EBADMM O=C(c1cnc[nH]c1=O)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036746133 811239474 /nfs/dbraw/zinc/23/94/74/811239474.db2.gz LCUVMLAMSYBKRV-MWLCHTKSSA-N -1 1 345.363 -0.258 20 0 EBADMM C[C@@H](CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O)n1cccc1 ZINC001220795826 811244423 /nfs/dbraw/zinc/24/44/23/811244423.db2.gz MIJDPLJBZSFFBJ-XQQFMLRXSA-N -1 1 348.407 -0.778 20 0 EBADMM O=C(Cc1cnc[nH]1)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036829987 811277263 /nfs/dbraw/zinc/27/72/63/811277263.db2.gz NISPMMFCUBDZDB-CMPLNLGQSA-N -1 1 331.380 -0.101 20 0 EBADMM NC(=O)CN1CC[C@@H]2CN(C(=O)c3c[n-]n4c3nccc4=O)CC[C@@H]21 ZINC001036837717 811281575 /nfs/dbraw/zinc/28/15/75/811281575.db2.gz NECDTQJOZIGKOS-PWSUYJOCSA-N -1 1 344.375 -0.956 20 0 EBADMM O=C(CCn1cnnn1)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036853279 811290410 /nfs/dbraw/zinc/29/04/10/811290410.db2.gz ROHSDMFBUSZARO-GHMZBOCLSA-N -1 1 347.383 -0.990 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CCC[C@@H]2CNCc2nnnn2C)c1[O-] ZINC001036985054 811323585 /nfs/dbraw/zinc/32/35/85/811323585.db2.gz BBUBWMUWHGJVFV-ZJUUUORDSA-N -1 1 334.384 -0.364 20 0 EBADMM Cn1ncnc1CNC[C@H]1CCC[C@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001037038326 811348136 /nfs/dbraw/zinc/34/81/36/811348136.db2.gz LIGKAIVJBZVOJX-RKDXNWHRSA-N -1 1 348.367 -0.900 20 0 EBADMM Cc1noc(CNC[C@H]2CCC[C@@H]2NC(=O)c2n[nH]c(=O)[n-]c2=O)n1 ZINC001037038919 811348155 /nfs/dbraw/zinc/34/81/55/811348155.db2.gz WNPFWBXSDSBOOT-BDAKNGLRSA-N -1 1 349.351 -0.337 20 0 EBADMM CCOCCCC(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001221312330 811438256 /nfs/dbraw/zinc/43/82/56/811438256.db2.gz FIAFCUGFMIVDCN-CHWSQXEVSA-N -1 1 337.424 -0.042 20 0 EBADMM C[C@H](OCC1CC1)C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001221417151 811451826 /nfs/dbraw/zinc/45/18/26/811451826.db2.gz KUFQXVQTONMWMI-IACUBPJLSA-N -1 1 349.435 -0.044 20 0 EBADMM CO[C@@H](C)CCC(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001221498805 811469920 /nfs/dbraw/zinc/46/99/20/811469920.db2.gz KWOQQQJTOSKDBL-YNEHKIRRSA-N -1 1 337.424 -0.044 20 0 EBADMM CC(C)C[C@@H](C(N)=O)C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001222150090 811604587 /nfs/dbraw/zinc/60/45/87/811604587.db2.gz SLFBFERERKRDEP-QWRGUYRKSA-N -1 1 338.412 -0.262 20 0 EBADMM C[C@@H]1CN([C@@H]2CCC(=O)NC2=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001079611349 811608509 /nfs/dbraw/zinc/60/85/09/811608509.db2.gz ZBRWTVNWNHKWGT-GMTAPVOTSA-N -1 1 332.360 -0.358 20 0 EBADMM CCOC1CC(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)C1 ZINC001079641774 811624970 /nfs/dbraw/zinc/62/49/70/811624970.db2.gz YPVCNZVRMQZJNM-IKWCTNDRSA-N -1 1 337.424 -0.140 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H](C)NC(C)=O)C1 ZINC001079653170 811638595 /nfs/dbraw/zinc/63/85/95/811638595.db2.gz VZIXKKNFVBPENP-SNVBAGLBSA-N -1 1 334.376 -0.015 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cc1ccn(C)n1 ZINC001079681710 811658486 /nfs/dbraw/zinc/65/84/86/811658486.db2.gz YRUHTDORDYIQDA-ZYHUDNBSSA-N -1 1 333.396 -0.979 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)[C@@]3(C)CCOC3)CC2)nc1=O ZINC001224971303 811786188 /nfs/dbraw/zinc/78/61/88/811786188.db2.gz JVZVLYCPMPTDNU-INIZCTEOSA-N -1 1 337.424 -0.137 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)COCC(F)F)CC2)nc1=O ZINC001228449076 811979975 /nfs/dbraw/zinc/97/99/75/811979975.db2.gz YKPKMPPKFNNWKN-UHFFFAOYSA-N -1 1 333.339 -0.529 20 0 EBADMM CC[C@H](NC(C)=O)C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001228721112 811995505 /nfs/dbraw/zinc/99/55/05/811995505.db2.gz FGZLVQQFQLSUDX-QJPTWQEYSA-N -1 1 338.412 -0.058 20 0 EBADMM Cn1cc(OCC(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cn1 ZINC001228864103 812000488 /nfs/dbraw/zinc/00/04/88/812000488.db2.gz BHAKSMFQMMRFEW-UHFFFAOYSA-N -1 1 349.395 -0.999 20 0 EBADMM CCN(C(=O)C(=O)NCC1CC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001080372026 812001263 /nfs/dbraw/zinc/00/12/63/812001263.db2.gz YXYLESNTQAVBHW-UHFFFAOYSA-N -1 1 346.387 -0.014 20 0 EBADMM Cc1ccnn1CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001080533140 812049257 /nfs/dbraw/zinc/04/92/57/812049257.db2.gz OVSXVXAVPPFQNH-ZYHUDNBSSA-N -1 1 333.396 -0.750 20 0 EBADMM CCN(C(=O)Cc1nnc[nH]1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001080591598 812082117 /nfs/dbraw/zinc/08/21/17/812082117.db2.gz SKJWVFJUDZAILQ-UHFFFAOYSA-N -1 1 330.348 -0.179 20 0 EBADMM COC(=O)c1c[n-]c(O[C@H](C[n+]2ccccc2)CS(=O)(=O)[O-])n1 ZINC001230282875 812097330 /nfs/dbraw/zinc/09/73/30/812097330.db2.gz ZDHUPPQKENSVKA-SNVBAGLBSA-O -1 1 342.353 -0.181 20 0 EBADMM O=C(NC1(CO)CCN(C(=O)c2ncccc2[O-])CC1)c1ccn[nH]1 ZINC001080736147 812178299 /nfs/dbraw/zinc/17/82/99/812178299.db2.gz YFKKVTABDXBIPA-UHFFFAOYSA-N -1 1 345.359 -0.093 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)CCn1cccn1 ZINC001230806365 812236884 /nfs/dbraw/zinc/23/68/84/812236884.db2.gz KXZDTTYBOGIFEU-LBPRGKRZSA-N -1 1 333.396 -0.572 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)Cc1ccn(C)n1 ZINC001234224206 812397065 /nfs/dbraw/zinc/39/70/65/812397065.db2.gz GYZVHFUEABBIBR-GFCCVEGCSA-N -1 1 333.396 -0.883 20 0 EBADMM Cc1nccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)n1 ZINC001080961936 812400984 /nfs/dbraw/zinc/40/09/84/812400984.db2.gz GFNINESMOPZNSL-BXKDBHETSA-N -1 1 331.380 -0.543 20 0 EBADMM NC(=O)c1nc[n-]c(=O)c1OC[C@H]1COCCOCCOCCO1 ZINC001234471649 812429411 /nfs/dbraw/zinc/42/94/11/812429411.db2.gz FYHFJRRLWTVPJY-SNVBAGLBSA-N -1 1 343.336 -0.892 20 0 EBADMM CCC(=O)N[C@H](C)C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001234490026 812433690 /nfs/dbraw/zinc/43/36/90/812433690.db2.gz NZAUYIUNBIDDAJ-GHMZBOCLSA-N -1 1 338.412 -0.944 20 0 EBADMM COC(=O)CN1C[C@@H](Oc2c(=O)[n-]c(C)nc2C(=O)OC)CC1=O ZINC001234564613 812438381 /nfs/dbraw/zinc/43/83/81/812438381.db2.gz MKRQHIPWHVEHFV-QMMMGPOBSA-N -1 1 339.304 -0.570 20 0 EBADMM COC(=O)C[C@H](Oc1c(=O)[n-]c(C)nc1C(=O)OC)C(=O)OC ZINC001234558333 812438919 /nfs/dbraw/zinc/43/89/19/812438919.db2.gz SVMNINVAOMXFFA-ZETCQYMHSA-N -1 1 328.277 -0.239 20 0 EBADMM COC(=O)NCCNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001248700438 812607153 /nfs/dbraw/zinc/60/71/53/812607153.db2.gz AELZILKBIXECLW-UHFFFAOYSA-N -1 1 325.325 -0.590 20 0 EBADMM COCCCOC1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001252088090 812645931 /nfs/dbraw/zinc/64/59/31/812645931.db2.gz KPXDDEYXQGPWTL-UHFFFAOYSA-N -1 1 339.392 -0.029 20 0 EBADMM O=C([O-])CCC(=O)CNC[C@@H](O)COc1ccc(CCO)cc1 ZINC001253166890 812674843 /nfs/dbraw/zinc/67/48/43/812674843.db2.gz JAVILVDQEVBYAO-CQSZACIVSA-N -1 1 325.361 -0.015 20 0 EBADMM CCCS(=O)(=O)[N-]c1cc(N2CCN(CCO)CC2)ncn1 ZINC001253627349 812682476 /nfs/dbraw/zinc/68/24/76/812682476.db2.gz YBQJKEOWEACUTG-UHFFFAOYSA-N -1 1 329.426 -0.257 20 0 EBADMM COCCCC(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001081303376 812699219 /nfs/dbraw/zinc/69/92/19/812699219.db2.gz WPHLHIDPPPTMKX-WCQYABFASA-N -1 1 337.376 -0.237 20 0 EBADMM Cc1nn(C)c(-n2cccn2)c1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001255280097 812712117 /nfs/dbraw/zinc/71/21/17/812712117.db2.gz DQNFCTQHMBBSKR-SNVBAGLBSA-N -1 1 343.351 -0.359 20 0 EBADMM CC(C)OCC(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001081321407 812728622 /nfs/dbraw/zinc/72/86/22/812728622.db2.gz NOGMAHXIICWDKJ-AAEUAGOBSA-N -1 1 337.376 -0.239 20 0 EBADMM CCOCCC(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001081341842 812765535 /nfs/dbraw/zinc/76/55/35/812765535.db2.gz VGOPTJCUHOPHBH-YPMHNXCESA-N -1 1 337.376 -0.237 20 0 EBADMM O=C(C[N-]S(=O)(=O)C(F)F)NCC(=O)OCc1ccccc1 ZINC001259960394 812818677 /nfs/dbraw/zinc/81/86/77/812818677.db2.gz RIQJXNBQOMUGOT-UHFFFAOYSA-N -1 1 336.316 -0.012 20 0 EBADMM O=C(c1c[nH]cc2ncnc1-2)N1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001261283228 812888047 /nfs/dbraw/zinc/88/80/47/812888047.db2.gz NAKJXZRXZZXGLM-UHFFFAOYSA-N -1 1 328.336 -0.260 20 0 EBADMM O=C(COCC1CC1)N1C[C@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001081424372 812911197 /nfs/dbraw/zinc/91/11/97/812911197.db2.gz DPDYWZRQCJSYOA-JSGCOSHPSA-N -1 1 349.387 -0.237 20 0 EBADMM C[C@H](CNC(=O)C(=O)N1CCN(C2CCOCC2)C[C@@H]1C)C(=O)[O-] ZINC001261554771 812936950 /nfs/dbraw/zinc/93/69/50/812936950.db2.gz MXRFSZZPUQYHPC-NEPJUHHUSA-N -1 1 341.408 -0.465 20 0 EBADMM CC(C)(O)CC(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001081450661 812975930 /nfs/dbraw/zinc/97/59/30/812975930.db2.gz WZNYNQUARHXRCN-CMPLNLGQSA-N -1 1 337.376 -0.503 20 0 EBADMM CCN(CCO)C(=O)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC001261855265 812997187 /nfs/dbraw/zinc/99/71/87/812997187.db2.gz NRBKPPCCLXMUAE-GFCCVEGCSA-N -1 1 339.314 -0.503 20 0 EBADMM CO[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)Cc1cc(C)n[nH]1 ZINC001081521416 813119669 /nfs/dbraw/zinc/11/96/69/813119669.db2.gz CPMWROOSQMKZGI-GHMZBOCLSA-N -1 1 335.368 -0.900 20 0 EBADMM CN(CCOCCN(C)C(=O)[C@H]1CCCO1)Cc1nc(=O)n(C)[n-]1 ZINC001264103316 813151124 /nfs/dbraw/zinc/15/11/24/813151124.db2.gz HPOUUKJAVLMDPX-GFCCVEGCSA-N -1 1 341.412 -0.806 20 0 EBADMM CCN(CCCNC(=O)[C@H]1CCCC(=O)N1C)Cc1n[nH]c(=O)[n-]1 ZINC001265144013 813247446 /nfs/dbraw/zinc/24/74/46/813247446.db2.gz YYTQGYAICQSRMR-LLVKDONJSA-N -1 1 338.412 -0.151 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1c(C)coc1C ZINC001081619071 813309193 /nfs/dbraw/zinc/30/91/93/813309193.db2.gz GQWQSVOUKIUTMJ-VXGBXAGGSA-N -1 1 349.391 -0.053 20 0 EBADMM Cc1nc(CN2CC[C@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)co1 ZINC001266348056 813544048 /nfs/dbraw/zinc/54/40/48/813544048.db2.gz VIHDMAPCGOLZSG-LBPRGKRZSA-N -1 1 347.375 -0.386 20 0 EBADMM NC(=O)NCC(=O)N1CCCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001081868059 813648443 /nfs/dbraw/zinc/64/84/43/813648443.db2.gz RXYUPTSCBWFMKC-JTQLQIEISA-N -1 1 335.364 -0.434 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@H](C)c1ccco1 ZINC001082126651 813778019 /nfs/dbraw/zinc/77/80/19/813778019.db2.gz NNFSDDKFCXURJT-NQBHXWOUSA-N -1 1 349.391 -0.180 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cc(C)[nH]c1C ZINC001082182450 813807071 /nfs/dbraw/zinc/80/70/71/813807071.db2.gz QGSQYGOPBSQECV-CHWSQXEVSA-N -1 1 348.407 -0.317 20 0 EBADMM CO[C@@H]1CN(CC(C)C)C[C@H]1NC(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC001082248604 813845057 /nfs/dbraw/zinc/84/50/57/813845057.db2.gz TXVRUBRKHCMVEH-CHWSQXEVSA-N -1 1 348.407 -0.457 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@H]1COc2ccccc2O1 ZINC001267464712 813903484 /nfs/dbraw/zinc/90/34/84/813903484.db2.gz ZVQAXQPOKRSTPT-GFCCVEGCSA-N -1 1 333.348 -0.102 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@]2(C)CCC(=O)NC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082697696 813994980 /nfs/dbraw/zinc/99/49/80/813994980.db2.gz SHDLWUOTJAZEJS-FCHSOHFDSA-N -1 1 336.396 -0.637 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCN(Cc2ccon2)C1 ZINC001267751441 814024635 /nfs/dbraw/zinc/02/46/35/814024635.db2.gz ZAFKKZCTSPSABS-LLVKDONJSA-N -1 1 333.348 -0.695 20 0 EBADMM CC[C@@H](CNC(=O)c1cccc2ncnn21)NCc1n[nH]c(=O)[n-]1 ZINC001267810292 814047271 /nfs/dbraw/zinc/04/72/71/814047271.db2.gz CZCYGFICFCEAOI-VIFPVBQESA-N -1 1 330.352 -0.149 20 0 EBADMM Cn1ccc(C(=O)N2CCO[C@@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@@H]32)c1 ZINC001083049186 814088008 /nfs/dbraw/zinc/08/80/08/814088008.db2.gz FGZMOUJLUYBHMX-QWHCGFSZSA-N -1 1 346.391 -0.828 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)/C=C/c3ccc[nH]3)C2)nc1=O ZINC001268020595 814095294 /nfs/dbraw/zinc/09/52/94/814095294.db2.gz JCQAJOGEOMHRIF-IHVVCDCBSA-N -1 1 346.391 -0.533 20 0 EBADMM CNC(=O)[C@@H](C)N1C[C@H]2OCCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC001083059765 814098695 /nfs/dbraw/zinc/09/86/95/814098695.db2.gz VHXZKLZQXYLMNV-NTZNESFSSA-N -1 1 334.376 -0.553 20 0 EBADMM Cc1cnoc1C(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21 ZINC001083237460 814227110 /nfs/dbraw/zinc/22/71/10/814227110.db2.gz FMSFXKIRSPVQBS-WDEREUQCSA-N -1 1 348.363 -0.870 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCn2cncc2C1 ZINC001268460822 814251022 /nfs/dbraw/zinc/25/10/22/814251022.db2.gz WIHIVGIBECWYSJ-LLVKDONJSA-N -1 1 333.396 -0.093 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1cc2n(n1)CCCO2 ZINC001268465290 814252767 /nfs/dbraw/zinc/25/27/67/814252767.db2.gz XIJLRDWERASEBI-UHFFFAOYSA-N -1 1 335.368 -0.259 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C3CCCCCC3)[C@@H](O)C2)nc1=O ZINC001083366462 814332806 /nfs/dbraw/zinc/33/28/06/814332806.db2.gz KFECFCMJDOXLBS-OLZOCXBDSA-N -1 1 337.424 -0.260 20 0 EBADMM CCn1nc(C)c(CN2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1 ZINC001083423497 814404413 /nfs/dbraw/zinc/40/44/13/814404413.db2.gz YRBSXKDSYFPHJF-OCCSQVGLSA-N -1 1 346.391 -0.318 20 0 EBADMM Cc1nnc([C@@H](C)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)[nH]1 ZINC001083423391 814404507 /nfs/dbraw/zinc/40/45/07/814404507.db2.gz SUZCCVINFPABHS-UISBYWKRSA-N -1 1 332.364 -0.250 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)c3ccoc3Cl)[C@@H](O)C2)nc1=O ZINC001083430852 814412198 /nfs/dbraw/zinc/41/21/98/814412198.db2.gz SRVQYKSVFRXSNI-BDAKNGLRSA-N -1 1 341.755 -0.670 20 0 EBADMM CCc1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)o1 ZINC001083575950 814576705 /nfs/dbraw/zinc/57/67/05/814576705.db2.gz ZEWKZCVNVXOSLF-MNOVXSKESA-N -1 1 335.364 -0.761 20 0 EBADMM O=C(N[C@@H]1CN(Cc2ccccc2)C[C@@H]1O)c1n[nH]c(=O)[n-]c1=O ZINC001083606931 814617245 /nfs/dbraw/zinc/61/72/45/814617245.db2.gz XEYXQRCQHYPQRB-MNOVXSKESA-N -1 1 331.332 -0.742 20 0 EBADMM Cc1cnc(CN2CC[C@H]2CNC(=O)CCn2cc[n-]c(=O)c2=O)o1 ZINC001269320925 814635332 /nfs/dbraw/zinc/63/53/32/814635332.db2.gz LUCJILJKQNOHQH-LBPRGKRZSA-N -1 1 347.375 -0.386 20 0 EBADMM Cn1nnnc1NC(=O)[C@]12C[C@H]1CCN2C(=O)c1ncccc1[O-] ZINC001269346860 814651657 /nfs/dbraw/zinc/65/16/57/814651657.db2.gz XYCHHFKWFYFJJP-CLAHSXSESA-N -1 1 329.320 -0.446 20 0 EBADMM Cc1cnc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c(C)c1 ZINC001083659437 814668061 /nfs/dbraw/zinc/66/80/61/814668061.db2.gz AUTBWIYIPOLLNM-NEPJUHHUSA-N -1 1 346.391 -0.905 20 0 EBADMM Cc1cnc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)cc1C ZINC001083660504 814669368 /nfs/dbraw/zinc/66/93/68/814669368.db2.gz BIWJXOOXBYRSQY-OLZOCXBDSA-N -1 1 346.391 -0.905 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@@H]2[C@H]1CCC(=O)N2CC(F)(F)F ZINC001269576669 814734654 /nfs/dbraw/zinc/73/46/54/814734654.db2.gz RSICAIAEZBARFQ-HTQZYQBOSA-N -1 1 332.286 -0.104 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@]2(CCN(CCn3ccnc3)C2=O)C1 ZINC001269578197 814735380 /nfs/dbraw/zinc/73/53/80/814735380.db2.gz VXEUHOQHKJGAEV-OAHLLOKOSA-N -1 1 344.379 -0.910 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@]2(CCN(Cc3ccncc3)C2=O)C1 ZINC001269578138 814736004 /nfs/dbraw/zinc/73/60/04/814736004.db2.gz UASCBCMNGODMCO-MRXNPFEDSA-N -1 1 341.375 -0.212 20 0 EBADMM Cn1cc(C(=O)N2CCC[C@@H](CCNCc3n[nH]c(=O)[n-]3)C2)[nH]c1=O ZINC001269766768 815596595 /nfs/dbraw/zinc/59/65/95/815596595.db2.gz XYDCFCFLMFXXGR-JTQLQIEISA-N -1 1 349.395 -0.019 20 0 EBADMM CN(C(=O)c1nc[nH]n1)C1CN(CCC[N-]C(=O)C(F)(F)F)C1 ZINC001269861606 815622757 /nfs/dbraw/zinc/62/27/57/815622757.db2.gz KMVPXXOWNJMIAQ-UHFFFAOYSA-N -1 1 334.302 -0.371 20 0 EBADMM CN(C)C(=O)CN1CCO[C@H]2CN(C(=O)c3ccc([O-])cn3)C[C@H]21 ZINC001269896030 815630583 /nfs/dbraw/zinc/63/05/83/815630583.db2.gz WDKHTEZYKSMZTN-KGLIPLIRSA-N -1 1 334.376 -0.599 20 0 EBADMM CN(C)S(=O)(=O)N1CCC2(CN(C(=O)c3ccc([O-])cn3)C2)C1 ZINC001269894716 815630632 /nfs/dbraw/zinc/63/06/32/815630632.db2.gz BNDQYQLMOSPADJ-UHFFFAOYSA-N -1 1 340.405 -0.259 20 0 EBADMM Cn1nnnc1NC(=O)[C@]12C[C@H]1CCN2C(=O)c1ccc([O-])cn1 ZINC001270138859 815697637 /nfs/dbraw/zinc/69/76/37/815697637.db2.gz KSJMKYXAAFIGHP-CLAHSXSESA-N -1 1 329.320 -0.446 20 0 EBADMM CN(C)S(=O)(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC001270258162 815736423 /nfs/dbraw/zinc/73/64/23/815736423.db2.gz NUVFKDHHTFPBCE-PHIMTYICSA-N -1 1 340.405 -0.118 20 0 EBADMM Cn1ncnc1C(=O)N1C[C@H]2C[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC001270258735 815736490 /nfs/dbraw/zinc/73/64/90/815736490.db2.gz WKYYMEWQMTVUSZ-AOOOYVTPSA-N -1 1 328.332 -0.345 20 0 EBADMM Cc1ccc(C)c(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c1 ZINC001083992613 815759257 /nfs/dbraw/zinc/75/92/57/815759257.db2.gz AEHZQYXJCWVLPN-KGLIPLIRSA-N -1 1 345.403 -0.300 20 0 EBADMM COCCOCCN1CCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001270638629 815838977 /nfs/dbraw/zinc/83/89/77/815838977.db2.gz ORWCKSTVBQMPQD-CYBMUJFWSA-N -1 1 339.392 -0.119 20 0 EBADMM C[C@@H](C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)n1cccn1 ZINC001084202687 815863675 /nfs/dbraw/zinc/86/36/75/815863675.db2.gz UFDXSJVIYRZQHI-YNEHKIRRSA-N -1 1 345.407 -0.401 20 0 EBADMM Cc1ncncc1C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001084209508 815867157 /nfs/dbraw/zinc/86/71/57/815867157.db2.gz KQFIQVOGLOERIB-DGCLKSJQSA-N -1 1 343.391 -0.447 20 0 EBADMM Cc1cc(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)nn1C ZINC001084215518 815868006 /nfs/dbraw/zinc/86/80/06/815868006.db2.gz CIMYRFYFEFQVEB-DGCLKSJQSA-N -1 1 345.407 -0.503 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)C[C@H]4CCOC4)[C@@H]3C2)nc1=O ZINC001084245309 815873957 /nfs/dbraw/zinc/87/39/57/815873957.db2.gz OZAMSKFSRDKUQQ-JHJVBQTASA-N -1 1 335.408 -0.432 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)C[C@H]4COC(=O)C4)[C@@H]3C2)nc1=O ZINC001084279487 815879055 /nfs/dbraw/zinc/87/90/55/815879055.db2.gz GJXSJGZGECKPGJ-IJLUTSLNSA-N -1 1 349.391 -0.906 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)[C@@H]4CCCCO4)[C@@H]3C2)nc1=O ZINC001084281011 815881215 /nfs/dbraw/zinc/88/12/15/815881215.db2.gz ASOQWZZSZZCAMI-UPJWGTAASA-N -1 1 335.408 -0.290 20 0 EBADMM Cn1cnc(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)c1 ZINC001084279917 815881324 /nfs/dbraw/zinc/88/13/24/815881324.db2.gz WEFZDNZCQJCFRN-ZYHUDNBSSA-N -1 1 331.380 -0.812 20 0 EBADMM Cn1[nH]c(CN2CC[C@@H]3CN(C(=O)c4ncccc4[O-])[C@@H]3C2)nc1=O ZINC001084299426 815886659 /nfs/dbraw/zinc/88/66/59/815886659.db2.gz URJMHVZOMUQWPJ-GHMZBOCLSA-N -1 1 344.375 -0.445 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC2(C[C@@H]2C(=O)NCCc2ccccn2)C1 ZINC001270784203 815888215 /nfs/dbraw/zinc/88/82/15/815888215.db2.gz FGQRWBRHHZUFRM-GFCCVEGCSA-N -1 1 341.375 -0.655 20 0 EBADMM O=C(Cc1nn[n-]n1)NC12CC(C(=O)Nc3ccc(O)cc3O)(C1)C2 ZINC001270785039 815888736 /nfs/dbraw/zinc/88/87/36/815888736.db2.gz PSQRRQHDSJUFCE-UHFFFAOYSA-N -1 1 344.331 -0.169 20 0 EBADMM CN(Cc1ncccn1)C(=O)[C@@H]1CC12CN(C(=O)Cc1nn[n-]n1)C2 ZINC001270788274 815890091 /nfs/dbraw/zinc/89/00/91/815890091.db2.gz CYDQQEKJVZUIDZ-JTQLQIEISA-N -1 1 342.363 -0.961 20 0 EBADMM Cc1ccnc(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)n1 ZINC001084314618 815890791 /nfs/dbraw/zinc/89/07/91/815890791.db2.gz VPPNSMCCDJGWSZ-VXGBXAGGSA-N -1 1 343.391 -0.447 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4nccs4)[C@@H]3C2)nc1=O ZINC001084736186 815972139 /nfs/dbraw/zinc/97/21/39/815972139.db2.gz ZZEDSELFDXEGBY-NXEZZACHSA-N -1 1 334.405 -0.089 20 0 EBADMM Cn1ncc(C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)c1N ZINC001085177608 816026843 /nfs/dbraw/zinc/02/68/43/816026843.db2.gz OXMOBDZYIHNKED-NXEZZACHSA-N -1 1 334.384 -0.343 20 0 EBADMM Cc1cnc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001085468175 816051131 /nfs/dbraw/zinc/05/11/31/816051131.db2.gz JOBITXRQVCNYMS-LLVKDONJSA-N -1 1 331.380 -0.447 20 0 EBADMM CC(C)C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@]1(C)CCNC1=O ZINC001271294564 816065974 /nfs/dbraw/zinc/06/59/74/816065974.db2.gz PRTWPTOANBZKND-ZUZCIYMTSA-N -1 1 338.412 -0.343 20 0 EBADMM CC(=O)[C@H](C)N1C(=O)COCC12CN(C(=O)c1cncc([O-])c1)C2 ZINC001271384656 816094341 /nfs/dbraw/zinc/09/43/41/816094341.db2.gz AAUSEQRJCGGLRY-JTQLQIEISA-N -1 1 333.344 -0.182 20 0 EBADMM CC(=O)[C@@H](C)N1CC2(CN(C(=O)c3cncc([O-])c3)C2)OCC1=O ZINC001271387295 816094361 /nfs/dbraw/zinc/09/43/61/816094361.db2.gz SXJOJNVYIRYCLG-SNVBAGLBSA-N -1 1 333.344 -0.182 20 0 EBADMM CCNC(=O)CN1CC[C@]2(CCN(C(=O)c3cncc([O-])c3)C2)C1=O ZINC001271386510 816094411 /nfs/dbraw/zinc/09/44/11/816094411.db2.gz MMHNAGLMKFQZLH-QGZVFWFLSA-N -1 1 346.387 -0.012 20 0 EBADMM COCCCN1CC2(CN(C(=O)c3cncc([O-])c3)C2)OCC1=O ZINC001271385156 816094583 /nfs/dbraw/zinc/09/45/83/816094583.db2.gz DFPDEQBKOHZRBH-UHFFFAOYSA-N -1 1 335.360 -0.123 20 0 EBADMM CCc1nn(C)cc1C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085659352 816103029 /nfs/dbraw/zinc/10/30/29/816103029.db2.gz QVOACAKRIPRZOP-LLVKDONJSA-N -1 1 347.423 -0.249 20 0 EBADMM Cc1nc(CN2CC[C@H]2CN(C)C(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC001085700420 816116557 /nfs/dbraw/zinc/11/65/57/816116557.db2.gz NTLKKQGVMKJKMA-QMMMGPOBSA-N -1 1 334.340 -0.944 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085779319 816144155 /nfs/dbraw/zinc/14/41/55/816144155.db2.gz RWIURLGGVBFSBU-SNVBAGLBSA-N -1 1 347.379 -0.741 20 0 EBADMM CNC(=O)CN1CC[C@H]1CN(C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001085859479 816163872 /nfs/dbraw/zinc/16/38/72/816163872.db2.gz BGSDLXPZNHSDPX-NSHDSACASA-N -1 1 333.392 -0.048 20 0 EBADMM COc1cccc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001085903682 816175245 /nfs/dbraw/zinc/17/52/45/816175245.db2.gz KUQDQECOZMANTL-NSHDSACASA-N -1 1 346.391 -0.142 20 0 EBADMM COc1cccc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001085903681 816175267 /nfs/dbraw/zinc/17/52/67/816175267.db2.gz KUQDQECOZMANTL-LLVKDONJSA-N -1 1 346.391 -0.142 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2C[C@@H](N[C@@H](C)c3nnnn3C)C2)c1[O-] ZINC001086061542 816218172 /nfs/dbraw/zinc/21/81/72/816218172.db2.gz UOPLBLQPJUNBDR-LPEHRKFASA-N -1 1 334.384 -0.194 20 0 EBADMM Cc1cc(CN(C)CCN(C)C(=O)CCn2cc[n-]c(=O)c2=O)on1 ZINC001272132233 816322999 /nfs/dbraw/zinc/32/29/99/816322999.db2.gz ZMCPDVNXAVSBSH-UHFFFAOYSA-N -1 1 349.391 -0.186 20 0 EBADMM Cc1conc1CN[C@H]1C[C@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001086419070 816328062 /nfs/dbraw/zinc/32/80/62/816328062.db2.gz ZWNGJIZGENJRKY-KYZUINATSA-N -1 1 334.336 -0.123 20 0 EBADMM CC1(C)CO[C@H](CC(=O)N(CCO)CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001272208322 816354115 /nfs/dbraw/zinc/35/41/15/816354115.db2.gz SKMSXZJAWKKMEK-LLVKDONJSA-N -1 1 341.412 -0.374 20 0 EBADMM O=C(c1ccc([O-])cc1F)N1CC2(C1)COCC(=O)N2C1COC1 ZINC001272224593 816358944 /nfs/dbraw/zinc/35/89/44/816358944.db2.gz NVQFPZOKLPDANL-UHFFFAOYSA-N -1 1 336.319 -0.017 20 0 EBADMM C[C@@H]1CCN(C(=O)[C@H]2COCCO2)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087124888 816466958 /nfs/dbraw/zinc/46/69/58/816466958.db2.gz ROKPWZZAYZZTRY-GRYCIOLGSA-N -1 1 339.396 -0.358 20 0 EBADMM C[C@@H]1CCN(C(=O)[C@@H]2COCCO2)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087124889 816466984 /nfs/dbraw/zinc/46/69/84/816466984.db2.gz ROKPWZZAYZZTRY-WOPDTQHZSA-N -1 1 339.396 -0.358 20 0 EBADMM C[C@@H]1CCN(C(=O)Cn2cncn2)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087243336 816477824 /nfs/dbraw/zinc/47/78/24/816477824.db2.gz OMALCDBDBUDVMB-MNOVXSKESA-N -1 1 334.384 -0.481 20 0 EBADMM NC(=O)CC(=O)N1C[C@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1Cc1n[nH]c(=O)[n-]1 ZINC001087344300 816504663 /nfs/dbraw/zinc/50/46/63/816504663.db2.gz DKUOPLRKBSDHDR-ZDCRXTMVSA-N -1 1 334.380 -0.946 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2cnccn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087489976 816524648 /nfs/dbraw/zinc/52/46/48/816524648.db2.gz ZYDSXEWQESETQG-CMPLNLGQSA-N -1 1 331.380 -0.319 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2cnn(C)c2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087498435 816526157 /nfs/dbraw/zinc/52/61/57/816526157.db2.gz QMBHNCWKHXNFDF-NWDGAFQWSA-N -1 1 333.396 -0.375 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)Cc2n[nH]c(C)n2)CCN1Cc1n[nH]c(=O)[n-]1 ZINC001087567873 816534904 /nfs/dbraw/zinc/53/49/04/816534904.db2.gz LHEZRGBWQNIFAO-VHSXEESVSA-N -1 1 334.384 -0.351 20 0 EBADMM COC(CN1C(=O)COCC12CN(Cc1ncccc1[O-])C2)OC ZINC001273325932 816645236 /nfs/dbraw/zinc/64/52/36/816645236.db2.gz RXFXNRAUKLJABU-UHFFFAOYSA-N -1 1 337.376 -0.181 20 0 EBADMM CC[C@@H](C(N)=O)N1CC2(CN(Cc3ncccc3[O-])C2)OCC1=O ZINC001273325475 816645466 /nfs/dbraw/zinc/64/54/66/816645466.db2.gz MPWCKDNGGWOROL-LBPRGKRZSA-N -1 1 334.376 -0.536 20 0 EBADMM O=C(Cn1nccn1)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088320455 816663152 /nfs/dbraw/zinc/66/31/52/816663152.db2.gz FSTHTJZVRNVBKO-LLVKDONJSA-N -1 1 334.384 -0.337 20 0 EBADMM CC(C)(C(N)=O)C(=O)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088329919 816666050 /nfs/dbraw/zinc/66/60/50/816666050.db2.gz KPFGHGUSLNRPPU-JTQLQIEISA-N -1 1 338.412 -0.117 20 0 EBADMM Cc1cnc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)cn1 ZINC001088453786 816684410 /nfs/dbraw/zinc/68/44/10/816684410.db2.gz GNFQQTMLKCFYAK-MNOVXSKESA-N -1 1 331.380 -0.400 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cc2cnn(C)c2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088485914 816690056 /nfs/dbraw/zinc/69/00/56/816690056.db2.gz JEJBFKSDPJXTNX-PWSUYJOCSA-N -1 1 333.396 -0.836 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)nn1C ZINC001088485253 816690202 /nfs/dbraw/zinc/69/02/02/816690202.db2.gz ZWFNDSRLYUGBAH-MNOVXSKESA-N -1 1 333.396 -0.457 20 0 EBADMM Cc1nn(C)cc1CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001088626417 816716555 /nfs/dbraw/zinc/71/65/55/816716555.db2.gz LZVNNSRIZVRFFZ-YPMHNXCESA-N -1 1 347.423 -0.528 20 0 EBADMM Cc1nc(CN2CC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)[C@H]2C)n[nH]1 ZINC001088709192 816728723 /nfs/dbraw/zinc/72/87/23/816728723.db2.gz YVPHZZSCPCGHRB-SVRRBLITSA-N -1 1 334.340 -0.898 20 0 EBADMM CN(C)c1cnc(C(=O)NC/C=C\C[NH2+]Cc2nc(=O)n(C)[nH]2)cn1 ZINC001274022973 816842282 /nfs/dbraw/zinc/84/22/82/816842282.db2.gz AHOMSSIISRTWPR-PLNGDYQASA-N -1 1 346.395 -0.960 20 0 EBADMM CC1(C)C[C@H](NC(=O)Cc2nc[nH]n2)CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001089537452 816859981 /nfs/dbraw/zinc/85/99/81/816859981.db2.gz MMIYQKUIIRMHQK-SNVBAGLBSA-N -1 1 348.411 -0.022 20 0 EBADMM CC1(C)C[C@@H](NC(=O)Cn2cncn2)CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001089555074 816868018 /nfs/dbraw/zinc/86/80/18/816868018.db2.gz HQAVEIFLRRJHAM-NSHDSACASA-N -1 1 348.411 -0.091 20 0 EBADMM CC(C)NCc1cn([C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)nn1 ZINC001089589239 816884683 /nfs/dbraw/zinc/88/46/83/816884683.db2.gz VFVBFXBGUHOHMW-SNVBAGLBSA-N -1 1 348.367 -0.541 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C3CCCCC3)[C@H](O)C2)nc1=O ZINC001090023816 816972955 /nfs/dbraw/zinc/97/29/55/816972955.db2.gz URXUSIMYVJEXQI-CHWSQXEVSA-N -1 1 337.424 -0.260 20 0 EBADMM Cc1ccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)cn1 ZINC001090075932 817008479 /nfs/dbraw/zinc/00/84/79/817008479.db2.gz LGYKKRRKCYYDOH-QWHCGFSZSA-N -1 1 346.391 -0.823 20 0 EBADMM Cc1cccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)n1 ZINC001090094296 817018357 /nfs/dbraw/zinc/01/83/57/817018357.db2.gz UAKVWWIUNBRMJY-AAEUAGOBSA-N -1 1 346.391 -0.823 20 0 EBADMM Cc1cncc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c1 ZINC001090161401 817083229 /nfs/dbraw/zinc/08/32/29/817083229.db2.gz FWPIBBKPGDZUSD-STQMWFEESA-N -1 1 346.391 -0.823 20 0 EBADMM Cc1ccc([O-])c(C(=O)N2CC3(C[C@@H]3C(=O)NCC(=O)N(C)C)C2)n1 ZINC001274975356 817134800 /nfs/dbraw/zinc/13/48/00/817134800.db2.gz XLHJIOUKPMIYBX-LLVKDONJSA-N -1 1 346.387 -0.238 20 0 EBADMM Cc1nnc(CN2CC[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)o1 ZINC001090217390 817136285 /nfs/dbraw/zinc/13/62/85/817136285.db2.gz QQRXAJXUGCLEFP-PWSUYJOCSA-N -1 1 333.348 -0.156 20 0 EBADMM Cn1ncnc1CN1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001090218192 817138148 /nfs/dbraw/zinc/13/81/48/817138148.db2.gz TVYUMDHBBOFDMC-PWSUYJOCSA-N -1 1 332.364 -0.719 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)c1cnn2ccncc12 ZINC001275415524 817211777 /nfs/dbraw/zinc/21/17/77/817211777.db2.gz PYAHHWPXSJZLSP-IUCAKERBSA-N -1 1 330.352 -0.150 20 0 EBADMM O=C(Nc1cn[nH]c1)C1=NO[C@@H]2CN(C(=O)c3cncc([O-])c3)C[C@H]12 ZINC001275620548 817261298 /nfs/dbraw/zinc/26/12/98/817261298.db2.gz HKCTXAICXFMARJ-NWDGAFQWSA-N -1 1 342.315 -0.024 20 0 EBADMM O=C(NCCn1ccnn1)[C@@H]1CC12CN(C(=O)c1cncc([O-])c1)C2 ZINC001275622420 817263034 /nfs/dbraw/zinc/26/30/34/817263034.db2.gz AFKFCZTWQSOSFG-ZDUSSCGKSA-N -1 1 342.359 -0.343 20 0 EBADMM O=C(Cn1cccnc1=O)N1CCC12CN(Cc1ccncc1[O-])C2 ZINC001275997411 817336229 /nfs/dbraw/zinc/33/62/29/817336229.db2.gz ZUIHVFRRWZNISQ-UHFFFAOYSA-N -1 1 341.371 -0.169 20 0 EBADMM COCCN(C)C(=O)c1[nH]nc2c1CCN(C(=O)c1cc(=O)[nH][n-]1)C2 ZINC001276024702 817343047 /nfs/dbraw/zinc/34/30/47/817343047.db2.gz DTXAHEPTJKUGMC-UHFFFAOYSA-N -1 1 348.363 -0.245 20 0 EBADMM CN(C(=O)C(=O)NCC(F)F)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001277663046 817619670 /nfs/dbraw/zinc/61/96/70/817619670.db2.gz RQNJGRMYCYBSBW-QMMMGPOBSA-N -1 1 346.338 -0.782 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C(C3CC3)C3CC3)[C@H](O)C2)nc1=O ZINC001090717360 817692634 /nfs/dbraw/zinc/69/26/34/817692634.db2.gz WLIQZMZVUZKKQN-QWHCGFSZSA-N -1 1 349.435 -0.404 20 0 EBADMM CCn1cccc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001090818802 817775864 /nfs/dbraw/zinc/77/58/64/817775864.db2.gz RMZINKILBGYRGU-YPMHNXCESA-N -1 1 348.407 -0.705 20 0 EBADMM CCn1cccc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001090818799 817776009 /nfs/dbraw/zinc/77/60/09/817776009.db2.gz RMZINKILBGYRGU-AAEUAGOBSA-N -1 1 348.407 -0.705 20 0 EBADMM Cc1cc(CNC2CC(CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)on1 ZINC001091123937 817896016 /nfs/dbraw/zinc/89/60/16/817896016.db2.gz PPOBGWIRMTWLGR-UHFFFAOYSA-N -1 1 334.336 -0.123 20 0 EBADMM COCCOCC(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001278942094 817896041 /nfs/dbraw/zinc/89/60/41/817896041.db2.gz LFLQNXJYHHCXDR-TUAOUCFPSA-N -1 1 339.396 -0.358 20 0 EBADMM Cn1[n-]c(CN2CCC[C@](CO)(NC(=O)[C@H]3CC=CCC3)C2)nc1=O ZINC001279462166 817935626 /nfs/dbraw/zinc/93/56/26/817935626.db2.gz OQAOLAANBJIZNO-GUYCJALGSA-N -1 1 349.435 -0.092 20 0 EBADMM CC(=O)NCc1cc(O[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)ccn1 ZINC001091396078 817981674 /nfs/dbraw/zinc/98/16/74/817981674.db2.gz AXEYVHMKPVLTGO-CQSZACIVSA-N -1 1 346.391 -0.207 20 0 EBADMM COc1csc(C(=O)N(CCO)CCNCc2n[nH]c(=O)[n-]2)c1 ZINC001279791990 817985873 /nfs/dbraw/zinc/98/58/73/817985873.db2.gz NFOAKDLEVVNRDU-UHFFFAOYSA-N -1 1 341.393 -0.195 20 0 EBADMM COC1CCC(C(=O)N(CCO)CCNCc2n[nH]c(=O)[n-]2)CC1 ZINC001279809699 817988652 /nfs/dbraw/zinc/98/86/52/817988652.db2.gz KOKBGRMZNZRLQP-UHFFFAOYSA-N -1 1 341.412 -0.374 20 0 EBADMM Cc1cc(C(=O)N2C[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@@]3(C)C2)no1 ZINC001091463598 817992858 /nfs/dbraw/zinc/99/28/58/817992858.db2.gz QQNZZJQRORGJEM-BZNIZROVSA-N -1 1 346.391 -0.001 20 0 EBADMM C[C@H]1C[C@@H](C(=O)N(CCO)CCNCc2n[nH]c(=O)[n-]2)CCO1 ZINC001279870199 817997568 /nfs/dbraw/zinc/99/75/68/817997568.db2.gz YXZDYIJLYZKGPQ-QWRGUYRKSA-N -1 1 327.385 -0.764 20 0 EBADMM C[C@H](C(=O)N1CC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1)S(C)(=O)=O ZINC001280094194 818018501 /nfs/dbraw/zinc/01/85/01/818018501.db2.gz JOIMHQFMZYVHIV-ZJUUUORDSA-N -1 1 345.425 -0.728 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)CC(C)(C)O)C[C@]3(C)C2)nc1=O ZINC001091635319 818020585 /nfs/dbraw/zinc/02/05/85/818020585.db2.gz NIBNPYBDZFWLOX-BZNIZROVSA-N -1 1 337.424 -0.450 20 0 EBADMM CNC(=O)CN1CC(OC2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC001093530089 818043692 /nfs/dbraw/zinc/04/36/92/818043692.db2.gz REROVADNTSTELI-UHFFFAOYSA-N -1 1 348.403 -0.161 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCC(OC2CN(CC3CC3)C2)CC1 ZINC001093549857 818050277 /nfs/dbraw/zinc/05/02/77/818050277.db2.gz BVHDILBQKRKBNA-UHFFFAOYSA-N -1 1 349.391 -0.002 20 0 EBADMM O=C(NCc1cn(C2CN(CCCO)C2)nn1)c1ncccc1[O-] ZINC001094286872 818207926 /nfs/dbraw/zinc/20/79/26/818207926.db2.gz XKUKAFPANLOVJA-UHFFFAOYSA-N -1 1 332.364 -0.452 20 0 EBADMM Cn1nncc1C(=O)NC[C@]12CCC[C@H]1N(Cc1n[nH]c(=O)[n-]1)CC2 ZINC001094406353 818261530 /nfs/dbraw/zinc/26/15/30/818261530.db2.gz SNTFYNAQKGPMKQ-IAQYHMDHSA-N -1 1 346.395 -0.187 20 0 EBADMM COCCOCC(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC001282795710 818493179 /nfs/dbraw/zinc/49/31/79/818493179.db2.gz CZXMRNQRJJLUMP-NSHDSACASA-N -1 1 341.412 -0.902 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)C[C@H]2CCCO2)C3)nc1=O ZINC001095200664 818582077 /nfs/dbraw/zinc/58/20/77/818582077.db2.gz NLOHLJIMHSMTBP-NDBYEHHHSA-N -1 1 335.408 -0.101 20 0 EBADMM O=C(NCCNC(=O)[C@@H]1CC12CCC2)c1cc(=O)n2[n-]cnc2n1 ZINC001283017084 818585159 /nfs/dbraw/zinc/58/51/59/818585159.db2.gz DMNAAQRXZFLNFN-VIFPVBQESA-N -1 1 330.348 -0.546 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@@H]2CCCOC2)C3)nc1=O ZINC001095230114 818588118 /nfs/dbraw/zinc/58/81/18/818588118.db2.gz YXOITWZSQPBGBQ-NDBYEHHHSA-N -1 1 335.408 -0.244 20 0 EBADMM C[C@H](C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1)n1cncn1 ZINC001095333694 818603792 /nfs/dbraw/zinc/60/37/92/818603792.db2.gz HDAOWXCKJMAUJD-WYUUTHIRSA-N -1 1 346.395 -0.817 20 0 EBADMM CCc1n[nH]cc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001095671122 818667728 /nfs/dbraw/zinc/66/77/28/818667728.db2.gz ATTIZORJKQYKAA-ICCXJUOJSA-N -1 1 345.407 -0.071 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCCNC(=O)[C@H]1CC12CC2 ZINC001283302750 818751200 /nfs/dbraw/zinc/75/12/00/818751200.db2.gz HYCHUNJXBYQJGJ-MRVPVSSYSA-N -1 1 336.348 -0.067 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@]2(C)CCOC2)C3)nc1=O ZINC001096244058 818751794 /nfs/dbraw/zinc/75/17/94/818751794.db2.gz HNLLUKDRTTZZJI-KZTGVZKYSA-N -1 1 335.408 -0.244 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCN(c2ncc(F)cn2)C1 ZINC001096402617 818817491 /nfs/dbraw/zinc/81/74/91/818817491.db2.gz FVOQSXFGYYUJOY-LLVKDONJSA-N -1 1 348.338 -0.749 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCN(c2cccc(F)n2)C1 ZINC001096403133 818818136 /nfs/dbraw/zinc/81/81/36/818818136.db2.gz MQYWFCJHCVYRKG-LLVKDONJSA-N -1 1 347.350 -0.144 20 0 EBADMM Cc1nc[nH]c1CC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001096432801 818824619 /nfs/dbraw/zinc/82/46/19/818824619.db2.gz DMRVACKAJWVOFN-WXHSDQCUSA-N -1 1 345.407 -0.396 20 0 EBADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)C[C@@H]1CC(=O)NC1=O ZINC001283529843 818842183 /nfs/dbraw/zinc/84/21/83/818842183.db2.gz WTYVIURFSYMSMN-JTQLQIEISA-N -1 1 348.359 -0.582 20 0 EBADMM CN(CCCNC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)[C@H]1CC12CC2 ZINC001283595680 818873263 /nfs/dbraw/zinc/87/32/63/818873263.db2.gz OEWUNADFOYMQBR-GFCCVEGCSA-N -1 1 348.403 -0.309 20 0 EBADMM CC(C)CC(=O)N(C)CCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001283596006 818873538 /nfs/dbraw/zinc/87/35/38/818873538.db2.gz SEGRXALFFFYKOE-UHFFFAOYSA-N -1 1 338.408 -0.063 20 0 EBADMM C[C@H](CN(C)C(=O)c1ncnc2[nH]ccc21)NCc1n[nH]c(=O)[n-]1 ZINC001283638424 818893073 /nfs/dbraw/zinc/89/30/73/818893073.db2.gz BFSNCFCHOBCSOJ-MRVPVSSYSA-N -1 1 330.352 -0.017 20 0 EBADMM COC1CC(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)C1 ZINC001097290394 818970959 /nfs/dbraw/zinc/97/09/59/818970959.db2.gz VBSBMDPWNHSARG-AQUBRYCOSA-N -1 1 335.408 -0.245 20 0 EBADMM COCCOCCC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC001283938774 819036598 /nfs/dbraw/zinc/03/65/98/819036598.db2.gz JSBCJAFGLRQJEA-GHMZBOCLSA-N -1 1 327.385 -0.358 20 0 EBADMM CC(C)CCC(=O)NC[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001284194044 819129643 /nfs/dbraw/zinc/12/96/43/819129643.db2.gz QLGPEMIHHZEJKM-LBPRGKRZSA-N -1 1 338.408 -0.016 20 0 EBADMM O=C([C@H]1CCOC1)N1CCC(N(CCO)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001098383859 819162033 /nfs/dbraw/zinc/16/20/33/819162033.db2.gz GMNDVTQVGONMMI-NSHDSACASA-N -1 1 339.396 -0.668 20 0 EBADMM C[C@H](CCNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)C(C)(C)F ZINC001284441572 819234644 /nfs/dbraw/zinc/23/46/44/819234644.db2.gz WKDJTOMYLQIXGF-SNVBAGLBSA-N -1 1 342.371 -0.314 20 0 EBADMM CN(CCNC(=O)CC(C)(C)C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001284497408 819256850 /nfs/dbraw/zinc/25/68/50/819256850.db2.gz NZLYCPMFHXJLMR-UHFFFAOYSA-N -1 1 338.408 -0.063 20 0 EBADMM C/C(=C\C(=O)NCCN(C)C(=O)CCn1cc[n-]c(=O)c1=O)C1CC1 ZINC001284501746 819258865 /nfs/dbraw/zinc/25/88/65/819258865.db2.gz RWKZZBNHQBOOPV-VAWYXSNFSA-N -1 1 348.403 -0.142 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC[C@H](n3cc(CNC[C@H](C)O)nn3)C2)c1[O-] ZINC001098656063 819299752 /nfs/dbraw/zinc/29/97/52/819299752.db2.gz LLOTZHJNCWNAOH-CABZTGNLSA-N -1 1 349.395 -0.427 20 0 EBADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)[C@@H]1CCN(C)C1=O ZINC001284607546 819302359 /nfs/dbraw/zinc/30/23/59/819302359.db2.gz HAZBVPMSTHFXAX-LLVKDONJSA-N -1 1 334.376 -0.156 20 0 EBADMM Cc1c[nH]nc1C(=O)N[C@]12CCC[C@H]1CN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001098830925 819377741 /nfs/dbraw/zinc/37/77/41/819377741.db2.gz LJBSONYGBCWSKT-ZBEGNZNMSA-N -1 1 345.407 -0.076 20 0 EBADMM COCC(=O)NC[C@H]1CCC(C)(C)CN1Cc1nc(=O)n(C)[n-]1 ZINC001098833779 819377946 /nfs/dbraw/zinc/37/79/46/819377946.db2.gz UYYJIFURJUATIM-LLVKDONJSA-N -1 1 325.413 -0.138 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1CN(C(=O)c2ccco2)C1 ZINC001284922527 819410375 /nfs/dbraw/zinc/41/03/75/819410375.db2.gz AMKAGSAOAOWXDD-UHFFFAOYSA-N -1 1 332.316 -0.840 20 0 EBADMM Cn1[n-]c(CN[C@@H]2CN(C(=O)C(F)F)C[C@H]2C(F)(F)F)nc1=O ZINC001099196034 819433890 /nfs/dbraw/zinc/43/38/90/819433890.db2.gz VXUNFZLMBFVBHS-PHDIDXHHSA-N -1 1 343.256 -0.148 20 0 EBADMM CC(C)C(=O)N1CCC[C@@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285179161 819501240 /nfs/dbraw/zinc/50/12/40/819501240.db2.gz QYJRYSUBEXLECG-GFCCVEGCSA-N -1 1 336.392 -0.310 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)CNC(=O)C(F)F ZINC001285205806 819509891 /nfs/dbraw/zinc/50/98/91/819509891.db2.gz ZLLYCUMWQYRVEE-MRVPVSSYSA-N -1 1 332.307 -0.940 20 0 EBADMM CCCCCC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001099674517 819560239 /nfs/dbraw/zinc/56/02/39/819560239.db2.gz BOQIVELKOIVECX-VXGBXAGGSA-N -1 1 325.413 -0.260 20 0 EBADMM CCSCCC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001099706906 819602335 /nfs/dbraw/zinc/60/23/35/819602335.db2.gz JTLQGORJIMBQAF-MNOVXSKESA-N -1 1 343.453 -0.697 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC/C=C\CNC(=O)c1ccon1 ZINC001285487546 819626488 /nfs/dbraw/zinc/62/64/88/819626488.db2.gz KZCRPFGADPXFAJ-UPHRSURJSA-N -1 1 347.331 -0.983 20 0 EBADMM C[C@H](CNC(=O)c1cccnc1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285671017 819717233 /nfs/dbraw/zinc/71/72/33/819717233.db2.gz YQMHVFGRCLJBIM-LLVKDONJSA-N -1 1 345.359 -0.744 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)C1(C)CCC1 ZINC001285681109 819723442 /nfs/dbraw/zinc/72/34/42/819723442.db2.gz UOCKSNPZRIFGKE-LLVKDONJSA-N -1 1 336.392 -0.262 20 0 EBADMM CC(C)C(C)(C)C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001099853596 819779978 /nfs/dbraw/zinc/77/99/78/819779978.db2.gz TXKZAAMAZVVMEL-RYUDHWBXSA-N -1 1 339.440 -0.158 20 0 EBADMM CC(C)=CC(=O)NC[C@@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001285832843 819782055 /nfs/dbraw/zinc/78/20/55/819782055.db2.gz QRYHPWMPLULWPP-JTQLQIEISA-N -1 1 330.348 -0.286 20 0 EBADMM C[C@H](CNC(=O)[C@@]1(C)CCNC1=O)N(C)C(=O)c1ncccc1[O-] ZINC001285869354 819796404 /nfs/dbraw/zinc/79/64/04/819796404.db2.gz VMQRZQRDLKEQFU-HWPZZCPQSA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@H](CNC(=O)[C@@H]1CC12CC2)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001285901905 819815148 /nfs/dbraw/zinc/81/51/48/819815148.db2.gz PBWXFKQBTYKAQN-NEPJUHHUSA-N -1 1 348.403 -0.310 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)CC(C)(F)F)[C@@H](O)C2)nc1=O ZINC001099990842 819996979 /nfs/dbraw/zinc/99/69/79/819996979.db2.gz BUSKBZFDBQHDFE-BDAKNGLRSA-N -1 1 333.339 -0.795 20 0 EBADMM Cn1cnc(C(=O)NCCOCCCNC(=O)c2ncccc2[O-])n1 ZINC001287488907 820061676 /nfs/dbraw/zinc/06/16/76/820061676.db2.gz VBNKUUUDFDDEGQ-UHFFFAOYSA-N -1 1 348.363 -0.518 20 0 EBADMM CN(CCNC(=O)c1ncccc1[O-])c1ncnc2c1nnn2C ZINC001100070776 820158814 /nfs/dbraw/zinc/15/88/14/820158814.db2.gz AOCUGNWHBYFDLB-UHFFFAOYSA-N -1 1 328.336 -0.275 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)C(C)(C)C(N)=O ZINC001289976512 820433503 /nfs/dbraw/zinc/43/35/03/820433503.db2.gz CRAODTHEARMKBP-SNVBAGLBSA-N -1 1 334.376 -0.028 20 0 EBADMM O=C(NCCNC(=O)[C@H]1CCNC(=O)C1)c1cnc(C2CC2)[n-]c1=O ZINC001292637257 820573144 /nfs/dbraw/zinc/57/31/44/820573144.db2.gz TUGYNLWWVSFDJR-JTQLQIEISA-N -1 1 347.375 -0.568 20 0 EBADMM O=C(C[C@@H]1COC(=O)C1)NCCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001292638607 820574101 /nfs/dbraw/zinc/57/41/01/820574101.db2.gz MPYNXLWYOAYOAJ-VIFPVBQESA-N -1 1 348.359 -0.141 20 0 EBADMM C[C@H](C(=O)NCCNC(=O)CCn1cc[n-]c(=O)c1=O)C(C)(C)C ZINC001292946123 820669300 /nfs/dbraw/zinc/66/93/00/820669300.db2.gz CWJASVIAIJYKQB-LLVKDONJSA-N -1 1 338.408 -0.159 20 0 EBADMM CCOCCC(=O)NCCCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001293196357 820720249 /nfs/dbraw/zinc/72/02/49/820720249.db2.gz QLXLVCLVZCZMPB-UHFFFAOYSA-N -1 1 336.352 -0.920 20 0 EBADMM O=C(Cc1ccoc1)NCCCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001293262151 820731554 /nfs/dbraw/zinc/73/15/54/820731554.db2.gz HBENXAIVESJQIE-UHFFFAOYSA-N -1 1 344.331 -0.511 20 0 EBADMM Cc1n[nH]c(C(=O)NCCCN(C)C(=O)CCc2c[nH]nn2)c1[O-] ZINC001294029133 820858391 /nfs/dbraw/zinc/85/83/91/820858391.db2.gz MTCPTBBIXIYCML-UHFFFAOYSA-N -1 1 335.368 -0.247 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)CN1CCOCC1 ZINC001101889742 820946163 /nfs/dbraw/zinc/94/61/63/820946163.db2.gz RJHALUQGTUNLQW-VXGBXAGGSA-N -1 1 338.412 -0.973 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)CCn1ccnn1 ZINC001101914005 820960889 /nfs/dbraw/zinc/96/08/89/820960889.db2.gz NEAIXOVYERTNMR-GHMZBOCLSA-N -1 1 334.384 -0.624 20 0 EBADMM CNC(=O)NCCC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001101939116 820967596 /nfs/dbraw/zinc/96/75/96/820967596.db2.gz HFMFFUDFKLSNOY-NXEZZACHSA-N -1 1 339.400 -0.987 20 0 EBADMM CC1(C)C[C@H]1C(=O)N1CC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001294772769 820995795 /nfs/dbraw/zinc/99/57/95/820995795.db2.gz GMATWSTZLDFAMA-NEPJUHHUSA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)C[C@@H]1CCNC1=O ZINC001102043713 821009965 /nfs/dbraw/zinc/00/99/65/821009965.db2.gz JNGTYMYPPAPGQB-OUAUKWLOSA-N -1 1 336.396 -0.779 20 0 EBADMM Cc1ccc(C(=O)NC[C@@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)o1 ZINC001295413180 821091399 /nfs/dbraw/zinc/09/13/99/821091399.db2.gz GQVWHWZUDHGELU-SNVBAGLBSA-N -1 1 348.359 -0.237 20 0 EBADMM C[C@H](CNC(=O)c1[nH]ncc1F)NC(=O)c1c[n-]n2c1nccc2=O ZINC001295643324 821141508 /nfs/dbraw/zinc/14/15/08/821141508.db2.gz OYSJJGJIXBOWNE-SSDOTTSWSA-N -1 1 347.310 -0.567 20 0 EBADMM CN(CCNC(=O)C1CC(=O)NC(=O)C1)C(=O)c1ncccc1[O-] ZINC001296151520 821217444 /nfs/dbraw/zinc/21/74/44/821217444.db2.gz DECPTHMWNDZXKD-UHFFFAOYSA-N -1 1 334.332 -0.972 20 0 EBADMM CC[C@H](C)CC(=O)N(C)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001296153174 821218349 /nfs/dbraw/zinc/21/83/49/821218349.db2.gz KPBYOSQKJLNVDP-LBPRGKRZSA-N -1 1 338.408 -0.063 20 0 EBADMM CC[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)Nc1ncccn1 ZINC001103136351 821264430 /nfs/dbraw/zinc/26/44/30/821264430.db2.gz ZFJRFJYGYIJBPX-LLVKDONJSA-N -1 1 332.364 -0.276 20 0 EBADMM CC[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)Nc1ncccn1 ZINC001103136352 821264559 /nfs/dbraw/zinc/26/45/59/821264559.db2.gz ZFJRFJYGYIJBPX-NSHDSACASA-N -1 1 332.364 -0.276 20 0 EBADMM C[C@H](CC(N)=O)C(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001103310523 821287170 /nfs/dbraw/zinc/28/71/70/821287170.db2.gz VHSHNIMPXOGXPJ-GMTAPVOTSA-N -1 1 338.412 -0.404 20 0 EBADMM COC(=O)NCC(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001103935552 821371373 /nfs/dbraw/zinc/37/13/73/821371373.db2.gz GJTUJDLLQPRBTD-NXEZZACHSA-N -1 1 340.384 -0.560 20 0 EBADMM CC[C@@H](F)C(=O)NC[C@H](CC)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001297468864 821377982 /nfs/dbraw/zinc/37/79/82/821377982.db2.gz HLPZSCQKUWGHDG-WDEREUQCSA-N -1 1 342.371 -0.314 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncn(C)n2)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001104153661 821411802 /nfs/dbraw/zinc/41/18/02/821411802.db2.gz MBOIMJSQGCVCSR-NXEZZACHSA-N -1 1 334.384 -0.473 20 0 EBADMM Cn1ncc(CCC(=O)NC/C=C/CNC(=O)c2ncccc2[O-])n1 ZINC001298356872 821515861 /nfs/dbraw/zinc/51/58/61/821515861.db2.gz NZCIIIWTIDJWEL-NSCUHMNNSA-N -1 1 344.375 -0.049 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C[C@@H]1CC(=O)NC1=O ZINC001298642631 821579520 /nfs/dbraw/zinc/57/95/20/821579520.db2.gz MKJKCDATYCGJHE-RKDXNWHRSA-N -1 1 334.332 -0.926 20 0 EBADMM C[C@H](CNC(=O)CC1CCC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001298650863 821581464 /nfs/dbraw/zinc/58/14/64/821581464.db2.gz OBZJJFLGDPOSNA-LLVKDONJSA-N -1 1 336.392 -0.262 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)[C@H]1CC=CCC1 ZINC001298735425 821591656 /nfs/dbraw/zinc/59/16/56/821591656.db2.gz ZWPRXTBTOFOXHU-STQMWFEESA-N -1 1 348.403 -0.096 20 0 EBADMM Cc1ccnc(N(C)CCN(C)C(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001105428352 821748988 /nfs/dbraw/zinc/74/89/88/821748988.db2.gz IRXUZHVYRGHXSJ-UHFFFAOYSA-N -1 1 342.363 -0.276 20 0 EBADMM CN(CCN(C)c1ncc(F)cn1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001105428663 821749286 /nfs/dbraw/zinc/74/92/86/821749286.db2.gz VYUAIRMNDFDZEI-UHFFFAOYSA-N -1 1 346.326 -0.445 20 0 EBADMM CNC(=O)NC[C@@H]1CCCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001302491000 821751575 /nfs/dbraw/zinc/75/15/75/821751575.db2.gz WNFUJWJFEZVPSP-NSHDSACASA-N -1 1 337.380 -0.763 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@H]1CCN(CC(F)F)C1 ZINC001302973472 821753568 /nfs/dbraw/zinc/75/35/68/821753568.db2.gz QGETXDJMQPXWGI-SNVBAGLBSA-N -1 1 330.335 -0.370 20 0 EBADMM COC[C@H](NC(=O)CCn1cnc2ccccc2c1=O)c1nn[n-]n1 ZINC001303578072 821757445 /nfs/dbraw/zinc/75/74/45/821757445.db2.gz IZLFRENDENHOHP-LBPRGKRZSA-N -1 1 343.347 -0.196 20 0 EBADMM CO[N-]C(=O)CNC(=O)c1cc(S(=O)(=O)N(C)C)ccc1O ZINC001304258810 821763408 /nfs/dbraw/zinc/76/34/08/821763408.db2.gz OSXFIRNYBZXZPX-UHFFFAOYSA-N -1 1 331.350 -0.950 20 0 EBADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CCN1C(=O)CCC1=O ZINC001309196582 821775909 /nfs/dbraw/zinc/77/59/09/821775909.db2.gz QOCMAHGMEJBQII-UHFFFAOYSA-N -1 1 325.412 -0.522 20 0 EBADMM CCOC(=O)C1(S(=O)(=O)[N-][C@H]2CC(=O)N(C(C)C)C2=O)CCC1 ZINC001309256475 821776365 /nfs/dbraw/zinc/77/63/65/821776365.db2.gz ANKLZLLZGHRBIS-JTQLQIEISA-N -1 1 346.405 -0.073 20 0 EBADMM O=C(NCCCOCCO)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001313189493 821801259 /nfs/dbraw/zinc/80/12/59/821801259.db2.gz ZOKOMTDOOIMGRK-UHFFFAOYSA-N -1 1 326.353 -0.547 20 0 EBADMM O=C(NC[C@@H](O)CNc1ncnc2nc[nH]c21)c1ncccc1[O-] ZINC001105802776 821874634 /nfs/dbraw/zinc/87/46/34/821874634.db2.gz QEBILUJITQSNIB-QMMMGPOBSA-N -1 1 329.320 -0.392 20 0 EBADMM CNC(=O)[C@H](C)N(C)CCCNC(=O)c1c[n-]n2c1nccc2=O ZINC001316854135 821891441 /nfs/dbraw/zinc/89/14/41/821891441.db2.gz RAQFVLAPFJMVLN-JTQLQIEISA-N -1 1 334.380 -0.791 20 0 EBADMM C[C@H](CCNc1ncnc2[nH]cnc21)NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001106395445 822262537 /nfs/dbraw/zinc/26/25/37/822262537.db2.gz LWSBFSAGFKZIIA-SSDOTTSWSA-N -1 1 347.339 -0.686 20 0 EBADMM C[C@@H](CCNc1ncnc2[nH]cnc21)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001106643856 822305720 /nfs/dbraw/zinc/30/57/20/822305720.db2.gz ITSAUAOBWBRCAX-LURJTMIESA-N -1 1 345.323 -0.479 20 0 EBADMM CC[C@@H](CNC(=O)c1ccc2nncn2c1)NCc1n[nH]c(=O)[n-]1 ZINC001318581738 822338085 /nfs/dbraw/zinc/33/80/85/822338085.db2.gz ALHCGTXUWKBGGK-JTQLQIEISA-N -1 1 330.352 -0.149 20 0 EBADMM CCN1CCn2ncc(CNC(=O)CCn3cc[n-]c(=O)c3=O)c2C1 ZINC001128517336 828469268 /nfs/dbraw/zinc/46/92/68/828469268.db2.gz HXLTYNLOYDFLRO-UHFFFAOYSA-N -1 1 346.391 -0.725 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cc(Br)n[nH]1 ZINC001128568103 828476616 /nfs/dbraw/zinc/47/66/16/828476616.db2.gz QTTGOZTWKKOQOM-UHFFFAOYSA-N -1 1 330.146 -0.485 20 0 EBADMM Cn1[n-]c(CN2CC(n3cc(CNC(=O)C4(C)CC4)nn3)C2)nc1=O ZINC001107175892 823774459 /nfs/dbraw/zinc/77/44/59/823774459.db2.gz WQIRNPSVJFFSQF-UHFFFAOYSA-N -1 1 346.395 -0.827 20 0 EBADMM C[C@@H](C(=O)NCCNCc1n[nH]c(=O)[n-]1)n1nnnc1C(C)(C)C ZINC001129061517 828566668 /nfs/dbraw/zinc/56/66/68/828566668.db2.gz PMVGXSXXOXIRNP-QMMMGPOBSA-N -1 1 337.388 -0.739 20 0 EBADMM O=C(NCC=CCNc1ncnc2[nH]cnc21)c1n[nH]c(=O)[n-]c1=O ZINC001107605131 823891127 /nfs/dbraw/zinc/89/11/27/823891127.db2.gz WMCXBRRBMPTYKD-OWOJBTEDSA-N -1 1 343.307 -0.701 20 0 EBADMM COCCCC(=O)NC[C@@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107767663 823950080 /nfs/dbraw/zinc/95/00/80/823950080.db2.gz MRZHLEUZYLFIGH-HNNXBMFYSA-N -1 1 341.412 -0.758 20 0 EBADMM Cn1nnnc1CN1CCO[C@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001107889681 824020535 /nfs/dbraw/zinc/02/05/35/824020535.db2.gz BKCMFMVECZZUIO-OAHLLOKOSA-N -1 1 347.379 -0.668 20 0 EBADMM CCCCN1CCO[C@](C)(CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001108052024 824107014 /nfs/dbraw/zinc/10/70/14/824107014.db2.gz VDMXCOATNPQBKD-CQSZACIVSA-N -1 1 325.369 -0.096 20 0 EBADMM CC(C)CN1CCO[C@](C)(CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001108052150 824107462 /nfs/dbraw/zinc/10/74/62/824107462.db2.gz ZGBBSUKZNFAQCX-CQSZACIVSA-N -1 1 325.369 -0.241 20 0 EBADMM CNC(=O)c1ccc(C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)cn1 ZINC001129408898 828614028 /nfs/dbraw/zinc/61/40/28/828614028.db2.gz JXSIADMPJMMBJW-UHFFFAOYSA-N -1 1 327.304 -0.267 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@](C)(CNC(=O)[C@H]3CC34CC4)C2)nc1=O ZINC001108240510 824177487 /nfs/dbraw/zinc/17/74/87/824177487.db2.gz JVEMUYXTIXNELS-ABAIWWIYSA-N -1 1 335.408 -0.384 20 0 EBADMM Cn1[n-]c(CN2CCO[C@](C)(CNC(=O)Cc3ccco3)C2)nc1=O ZINC001108295940 824202899 /nfs/dbraw/zinc/20/28/99/824202899.db2.gz YSIIRKLIMHYMPP-MRXNPFEDSA-N -1 1 349.391 -0.349 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@]1(C)CN(CCF)CCO1 ZINC001108340584 824227159 /nfs/dbraw/zinc/22/71/59/824227159.db2.gz FCYBRPVBMHCRTH-AWEZNQCLSA-N -1 1 344.343 -0.313 20 0 EBADMM Cc1nc[nH]c1C(=O)NC[C@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001108445000 824289277 /nfs/dbraw/zinc/28/92/77/824289277.db2.gz ODCLROLEVIVSIM-OAHLLOKOSA-N -1 1 349.395 -0.839 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)CCn2cccn2)C3)nc1=O ZINC001108940068 824456582 /nfs/dbraw/zinc/45/65/82/824456582.db2.gz IXOBMHQWZGCPAA-AGIUHOORSA-N -1 1 345.407 -0.383 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)CN(C)c1ncccn1 ZINC001109039217 824474692 /nfs/dbraw/zinc/47/46/92/824474692.db2.gz KKFHQCGBQPMTQM-MRVPVSSYSA-N -1 1 334.336 -0.059 20 0 EBADMM C[C@@H](CN(C)c1cnc(F)cn1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001109038960 824474791 /nfs/dbraw/zinc/47/47/91/824474791.db2.gz DHYIYSDWMMGYHO-QMMMGPOBSA-N -1 1 346.326 -0.399 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)C[C@@](C)(O)C2CC2)C3)nc1=O ZINC001109164452 824494980 /nfs/dbraw/zinc/49/49/80/824494980.db2.gz KEVNQZLYJIJIJU-ZOPJHEKZSA-N -1 1 349.435 -0.119 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)CC2(O)CCC2)C3)nc1=O ZINC001109248551 824511894 /nfs/dbraw/zinc/51/18/94/824511894.db2.gz QHZXKOLPBOHBEB-WOPDTQHZSA-N -1 1 335.408 -0.365 20 0 EBADMM C[C@@H](CN(C)c1ncnc2[nH]cnc21)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001109309878 824525739 /nfs/dbraw/zinc/52/57/39/824525739.db2.gz SCHPQGAYOLOJMJ-LURJTMIESA-N -1 1 345.323 -0.796 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)CCc2cn[nH]c2)C3)nc1=O ZINC001109822556 824606094 /nfs/dbraw/zinc/60/60/94/824606094.db2.gz UXWADGGWZUZHFY-AGIUHOORSA-N -1 1 345.407 -0.314 20 0 EBADMM O=C(NCC1(Nc2cnc(F)cn2)CC1)c1cc(=O)n2[n-]cnc2n1 ZINC001110182413 824672386 /nfs/dbraw/zinc/67/23/86/824672386.db2.gz DDTIDQUTIWQFAI-UHFFFAOYSA-N -1 1 344.310 -0.279 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)C[C@](C)(O)C2CC2)C3)nc1=O ZINC001110457736 824732520 /nfs/dbraw/zinc/73/25/20/824732520.db2.gz KEVNQZLYJIJIJU-FJZAXULXSA-N -1 1 349.435 -0.119 20 0 EBADMM CC[C@@H](C)[C@@H](OC)C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001113044041 825736351 /nfs/dbraw/zinc/73/63/51/825736351.db2.gz JNUAIHCOQVQSER-DGCLKSJQSA-N -1 1 325.413 -0.186 20 0 EBADMM CCC[C@@H](OCC)C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001113235095 825800150 /nfs/dbraw/zinc/80/01/50/825800150.db2.gz SHWHAIIPJZHQBL-GFCCVEGCSA-N -1 1 325.413 -0.042 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCN(CCOCC2CC2)CC1 ZINC001113534636 825911036 /nfs/dbraw/zinc/91/10/36/825911036.db2.gz LWUCODSYOVGOLY-UHFFFAOYSA-N -1 1 346.391 -0.398 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)CCCn3cccn3)CC2)nc1=O ZINC001113649798 825964676 /nfs/dbraw/zinc/96/46/76/825964676.db2.gz PUUNNYOCABQKAA-UHFFFAOYSA-N -1 1 333.396 -0.571 20 0 EBADMM Cc1ccnc(N(C)[C@@H](C)CNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001113657721 825968753 /nfs/dbraw/zinc/96/87/53/825968753.db2.gz UXQWGMNVNWPURI-JTQLQIEISA-N -1 1 342.363 -0.229 20 0 EBADMM COCCC(C)(C)C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001115055139 826410499 /nfs/dbraw/zinc/41/04/99/826410499.db2.gz AXSVKLZOHFGRNV-PJXYFTJBSA-N -1 1 337.424 -0.283 20 0 EBADMM CC(C)OCCCC(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001115345222 826514955 /nfs/dbraw/zinc/51/49/55/826514955.db2.gz ACIKPLUZNWWZGL-JYAVWHMHSA-N -1 1 337.424 -0.140 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1cc(F)cc(N2CCOCC2)c1 ZINC001116107669 826599492 /nfs/dbraw/zinc/59/94/92/826599492.db2.gz PLXRYDZQWCFXGV-UHFFFAOYSA-N -1 1 349.326 -0.570 20 0 EBADMM O=C([N-]OCC(F)F)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001116600838 826627935 /nfs/dbraw/zinc/62/79/35/826627935.db2.gz PVSLLFZAKINWJW-JGVFFNPUSA-N -1 1 326.321 -0.665 20 0 EBADMM O=C([N-]OCC(F)F)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001116600836 826628194 /nfs/dbraw/zinc/62/81/94/826628194.db2.gz PVSLLFZAKINWJW-HTQZYQBOSA-N -1 1 326.321 -0.665 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCOCC(OC)OC)o1 ZINC001116754274 826640436 /nfs/dbraw/zinc/64/04/36/826640436.db2.gz OBCCBDYGUDSNCY-UHFFFAOYSA-N -1 1 336.366 -0.447 20 0 EBADMM CNS(=O)(=O)c1cc(NC(=O)CCc2nc[nH]n2)ccc1[O-] ZINC001116868353 826647292 /nfs/dbraw/zinc/64/72/92/826647292.db2.gz VYKRTRHWDDPDSU-UHFFFAOYSA-N -1 1 325.350 -0.010 20 0 EBADMM COCC[C@@](C)(O)CNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001117382726 826736722 /nfs/dbraw/zinc/73/67/22/826736722.db2.gz TVRXKKSBYXJVDL-OAHLLOKOSA-N -1 1 335.364 -0.816 20 0 EBADMM C[C@@H](O)[C@H]1CCCN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC001117384943 826736786 /nfs/dbraw/zinc/73/67/86/826736786.db2.gz IBSUQXLJMLKLIF-MNOVXSKESA-N -1 1 331.376 -0.100 20 0 EBADMM CCOC(=O)c1ccsc1NC(=O)C(=O)NN1CC(=O)[N-]C1=O ZINC001117395956 826739895 /nfs/dbraw/zinc/73/98/95/826739895.db2.gz HUAYRSAPWQZORZ-UHFFFAOYSA-N -1 1 340.317 -0.554 20 0 EBADMM COC(=O)c1c(C)csc1NC(=O)C(=O)NN1CC(=O)[N-]C1=O ZINC001117437904 826749523 /nfs/dbraw/zinc/74/95/23/826749523.db2.gz BHIKGDZTOSQTGZ-UHFFFAOYSA-N -1 1 340.317 -0.635 20 0 EBADMM O=S(=O)([N-]Cc1nnc2n1CCOC2)c1ccc(F)nc1F ZINC001118935488 827029837 /nfs/dbraw/zinc/02/98/37/827029837.db2.gz OQKWTNIJRLVJSE-UHFFFAOYSA-N -1 1 331.304 -0.040 20 0 EBADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C(=O)N[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001119132250 827070706 /nfs/dbraw/zinc/07/07/06/827070706.db2.gz LMVLXJZYMPFEEA-QARKVSRYSA-N -1 1 349.309 -0.583 20 0 EBADMM O=C(CC1CCS(=O)(=O)CC1)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001181545056 833165271 /nfs/dbraw/zinc/16/52/71/833165271.db2.gz FVLZUJXBBTUMJY-UHFFFAOYSA-N -1 1 341.349 -0.131 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)[C@@H]1C[C@]1(F)Cl ZINC001181669084 833173117 /nfs/dbraw/zinc/17/31/17/833173117.db2.gz CMENKLABDVTFAO-MWODSPESSA-N -1 1 346.746 -0.048 20 0 EBADMM COC(=O)c1cnc(N[C@H](CO)CNC(=O)c2ncccc2[O-])nc1 ZINC001121340470 827347031 /nfs/dbraw/zinc/34/70/31/827347031.db2.gz XUWSMIILGJSUCV-JTQLQIEISA-N -1 1 347.331 -0.433 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2CCS[C@H](C(F)(F)F)C2)CNCCO1 ZINC001122165730 827533599 /nfs/dbraw/zinc/53/35/99/827533599.db2.gz CBEFRAVPQIBIBG-XVKPBYJWSA-N -1 1 328.312 -0.064 20 0 EBADMM O=C(CNC(=O)c1ccncc1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001182763220 833256698 /nfs/dbraw/zinc/25/66/98/833256698.db2.gz CIBOOKVGLKVIIP-LLVKDONJSA-N -1 1 345.363 -0.974 20 0 EBADMM O=C(CNC(=O)c1ccoc1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001182781183 833258258 /nfs/dbraw/zinc/25/82/58/833258258.db2.gz FRWSJXCKCLFHMY-SNVBAGLBSA-N -1 1 334.336 -0.776 20 0 EBADMM CS(=O)(=O)N1CC([N-]C(=O)C(F)(F)OCC(F)(F)F)C1 ZINC001183194302 833282822 /nfs/dbraw/zinc/28/28/22/833282822.db2.gz GHFNQGFQWKJXBB-UHFFFAOYSA-N -1 1 326.243 -0.082 20 0 EBADMM Cn1ccnc1COCC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001183508941 833292702 /nfs/dbraw/zinc/29/27/02/833292702.db2.gz ZWDSNQWZQMDFHX-SNVBAGLBSA-N -1 1 335.368 -0.849 20 0 EBADMM COC[C@H](C)n1cc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)c(C)n1 ZINC001127312233 828277759 /nfs/dbraw/zinc/27/77/59/828277759.db2.gz NHAFKMJHYHAUDJ-VIFPVBQESA-N -1 1 337.384 -0.258 20 0 EBADMM CCOC(=O)c1nc[nH]c1NC(=O)CC[C@@H]1NC(=O)[C@H](C)NC1=O ZINC001184064092 833319207 /nfs/dbraw/zinc/31/92/07/833319207.db2.gz UAAVJTCCIXHFKF-YUMQZZPRSA-N -1 1 337.336 -0.692 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1ccc(-c2cn[nH]c2)cn1 ZINC001130455947 828851458 /nfs/dbraw/zinc/85/14/58/828851458.db2.gz DVPYTPJREXWQAH-UHFFFAOYSA-N -1 1 328.336 -0.185 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cn(-c2ccccc2)nn1 ZINC001130753989 828927903 /nfs/dbraw/zinc/92/79/03/828927903.db2.gz HWZKKDPXWASRHR-UHFFFAOYSA-N -1 1 328.336 -0.389 20 0 EBADMM Cc1nc(C)c(CNCCNC(=O)CCc2n[nH]c(=O)[n-]c2=O)o1 ZINC001130757020 828930034 /nfs/dbraw/zinc/93/00/34/828930034.db2.gz MVKFTDOEBITZJN-UHFFFAOYSA-N -1 1 336.352 -0.274 20 0 EBADMM CC(C)(C)C(=O)NCCC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001184762754 833339249 /nfs/dbraw/zinc/33/92/49/833339249.db2.gz GURANZQELFZJAR-SNVBAGLBSA-N -1 1 338.412 -0.247 20 0 EBADMM COc1cccc(OC)c1CC(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001130894411 828991693 /nfs/dbraw/zinc/99/16/93/828991693.db2.gz XEXFEXCQGHPZCE-UHFFFAOYSA-N -1 1 335.364 -0.024 20 0 EBADMM CC(C)Cn1[n-]c(CC(=O)NCCNCc2cnnn2C)cc1=O ZINC001130924506 828995899 /nfs/dbraw/zinc/99/58/99/828995899.db2.gz QOSJBSOCNROMQW-UHFFFAOYSA-N -1 1 335.412 -0.591 20 0 EBADMM CCOC(=O)c1sc(S(=O)(=O)NCS(=O)(=O)[O-])nc1C ZINC001184978125 833344697 /nfs/dbraw/zinc/34/46/97/833344697.db2.gz OXBMKVNUDBCBAZ-UHFFFAOYSA-N -1 1 344.392 -0.248 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)[C@H]1CCCN1c1nccs1 ZINC001131805877 829224255 /nfs/dbraw/zinc/22/42/55/829224255.db2.gz SHOGBJYPTVZZLL-SECBINFHSA-N -1 1 337.409 -0.158 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)CC2(O)CCC2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001132017338 829304274 /nfs/dbraw/zinc/30/42/74/829304274.db2.gz NWZUGKWPLWBYHW-VXGBXAGGSA-N -1 1 337.424 -0.117 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)CCn2ccnc2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001132221384 829383458 /nfs/dbraw/zinc/38/34/58/829383458.db2.gz GSADZQNLZQFJLS-STQMWFEESA-N -1 1 347.423 -0.136 20 0 EBADMM Cc1nnc(CN[C@@H](C)C[C@H](C)NC(=O)c2cnc([O-])n(C)c2=O)[nH]1 ZINC001135164997 829911866 /nfs/dbraw/zinc/91/18/66/829911866.db2.gz BKUWVWORTNXKTI-IUCAKERBSA-N -1 1 349.395 -0.401 20 0 EBADMM C[C@H](NCCNC(=O)CCn1cc[n-]c(=O)c1=O)c1ncccn1 ZINC001135389953 829960192 /nfs/dbraw/zinc/96/01/92/829960192.db2.gz DAXWZXQBMFJMDP-NSHDSACASA-N -1 1 332.364 -0.816 20 0 EBADMM C[C@@H](C[C@H](C)NC(=O)C(C)(C)S(C)(=O)=O)NCc1n[nH]c(=O)[n-]1 ZINC001135596317 829992234 /nfs/dbraw/zinc/99/22/34/829992234.db2.gz MTDSZUXKXCUAGI-IUCAKERBSA-N -1 1 347.441 -0.294 20 0 EBADMM Cc1cc2ncc(C(=O)N3CCC(O)(c4nn[n-]n4)CC3)c(C)n2n1 ZINC001138987290 830100518 /nfs/dbraw/zinc/10/05/18/830100518.db2.gz OPHSMUBBXZHGAE-UHFFFAOYSA-N -1 1 342.363 -0.017 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC[C@@H](CS(N)(=O)=O)C1 ZINC001138834775 830101110 /nfs/dbraw/zinc/10/11/10/830101110.db2.gz CCTYZLZELIYYTO-MRVPVSSYSA-N -1 1 346.434 -0.080 20 0 EBADMM O=C(C=Cc1c[nH]cn1)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001142275970 830125422 /nfs/dbraw/zinc/12/54/22/830125422.db2.gz BSKHOCZBZGXOHW-ISUDXETCSA-N -1 1 346.347 -0.536 20 0 EBADMM CCCS(=O)(=O)CC(=O)N[C@@H](C)C[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001146430764 830198837 /nfs/dbraw/zinc/19/88/37/830198837.db2.gz KZEWNLXHSOQOPI-UWVGGRQHSA-N -1 1 347.441 -0.292 20 0 EBADMM Cn1cc(C(=O)NCCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])cn1 ZINC001147287482 830315503 /nfs/dbraw/zinc/31/55/03/830315503.db2.gz SLKBQVDEAMADPW-NSHDSACASA-N -1 1 334.336 -0.925 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)c1cccc(=O)[nH]1 ZINC001147305539 830318903 /nfs/dbraw/zinc/31/89/03/830318903.db2.gz BSXDZRGZKAENFB-NSHDSACASA-N -1 1 347.331 -0.558 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCNCc1cnsn1 ZINC001147698204 830394367 /nfs/dbraw/zinc/39/43/67/830394367.db2.gz GSPWCBAZIVMYLH-UHFFFAOYSA-N -1 1 326.338 -0.733 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2cc(=O)[nH]c(=O)[nH]2)c1 ZINC001147699371 830395211 /nfs/dbraw/zinc/39/52/11/830395211.db2.gz WSVGPLRAFFNPTK-UHFFFAOYSA-N -1 1 340.317 -0.246 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCNCc1nnc(C)s1 ZINC001147699134 830395472 /nfs/dbraw/zinc/39/54/72/830395472.db2.gz LFPRDDPFLXFOAY-UHFFFAOYSA-N -1 1 340.365 -0.424 20 0 EBADMM Cc1nc(CNCCNC(=O)c2cc(=O)n3[n-]cnc3n2)oc1C ZINC001147706306 830398768 /nfs/dbraw/zinc/39/87/68/830398768.db2.gz VTOULIHXDBGECR-UHFFFAOYSA-N -1 1 331.336 -0.458 20 0 EBADMM O=C(NCCNCc1noc(C2CC2)n1)c1cc(=O)n2[n-]cnc2n1 ZINC001147725315 830402469 /nfs/dbraw/zinc/40/24/69/830402469.db2.gz GLBPWKPVPGDQTM-UHFFFAOYSA-N -1 1 344.335 -0.802 20 0 EBADMM Cc1ncc(CO)c(C(=O)N2CCC3(CC2)CNC(=O)CO3)c1[O-] ZINC001147838208 830419191 /nfs/dbraw/zinc/41/91/91/830419191.db2.gz LHFKTVHYYZPBHL-UHFFFAOYSA-N -1 1 335.360 -0.291 20 0 EBADMM COC(=O)[C@H](Cc1cncn1C)NC(=O)c1c([O-])c(C)ncc1CO ZINC001147844375 830419568 /nfs/dbraw/zinc/41/95/68/830419568.db2.gz PEJFVFCVZCBMAV-LBPRGKRZSA-N -1 1 348.359 -0.164 20 0 EBADMM CCC[C@@H](OC)C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001148017420 830439317 /nfs/dbraw/zinc/43/93/17/830439317.db2.gz NPAUBMUUNLZXQU-GFCCVEGCSA-N -1 1 337.424 -0.042 20 0 EBADMM CSCC[C@H](NC(=O)[C@H](C)N1C(=O)CCC1=O)c1nn[n-]n1 ZINC001148260056 830462686 /nfs/dbraw/zinc/46/26/86/830462686.db2.gz AZUHISHNFUGAMC-YUMQZZPRSA-N -1 1 326.382 -0.352 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)/C=C/c1ccco1 ZINC001211779276 837462974 /nfs/dbraw/zinc/46/29/74/837462974.db2.gz CSSNUXVZIOSLQM-FBVTZJDUSA-N -1 1 347.375 -0.270 20 0 EBADMM CCCc1nc(C)c(CNCCNC(=O)Cn2c(=O)[n-][nH]c2=O)o1 ZINC001149142091 830602048 /nfs/dbraw/zinc/60/20/48/830602048.db2.gz ZVCMOEXTOQTWAL-UHFFFAOYSA-N -1 1 338.368 -0.156 20 0 EBADMM COC[C@@H](C)C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149390128 830654243 /nfs/dbraw/zinc/65/42/43/830654243.db2.gz CKWUUWSJKDJSFU-NEPJUHHUSA-N -1 1 341.412 -0.902 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1ccc(-n2cnnn2)cc1 ZINC001150212181 830839604 /nfs/dbraw/zinc/83/96/04/830839604.db2.gz SHINOWOINIYCKA-UHFFFAOYSA-N -1 1 329.324 -0.994 20 0 EBADMM CNS(=O)(=O)[C@H]1CCN(C(=O)c2c(C)[nH]c(=O)[n-]c2=S)C1 ZINC001151776116 831110780 /nfs/dbraw/zinc/11/07/80/831110780.db2.gz GRQHRYNIICOIHL-ZETCQYMHSA-N -1 1 332.407 -0.457 20 0 EBADMM CCCC(=O)N1CCCC[C@H]1C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001152110720 831132919 /nfs/dbraw/zinc/13/29/19/831132919.db2.gz PCUMUWQLZMGSET-NSHDSACASA-N -1 1 338.412 -0.103 20 0 EBADMM CN1CC[C@](C)(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])C1=O ZINC001152167353 831137861 /nfs/dbraw/zinc/13/78/61/831137861.db2.gz GHUWIDBLCIQZFA-CYBMUJFWSA-N -1 1 327.362 -0.154 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@@H](O)C(F)(F)C(F)(F)F ZINC001153276526 831219605 /nfs/dbraw/zinc/21/96/05/831219605.db2.gz HZJCNXLYFFBKCF-ZCFIWIBFSA-N -1 1 345.224 -0.399 20 0 EBADMM Cn1c2cc(C(=O)NCCNCc3n[nH]c(=O)[n-]3)ccc2[nH]c1=O ZINC001153854915 831278195 /nfs/dbraw/zinc/27/81/95/831278195.db2.gz CVYUESZOLQGKCN-UHFFFAOYSA-N -1 1 331.336 -0.378 20 0 EBADMM CCS(=O)(=O)N1CCN(c2nc(C)cc3c2C(=O)[N-]C3=O)CC1 ZINC001154808289 831363758 /nfs/dbraw/zinc/36/37/58/831363758.db2.gz PQQSFHYRTYWXBD-UHFFFAOYSA-N -1 1 338.389 -0.255 20 0 EBADMM COCC[N@@H+](C)C[C@H]1CCCCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001158186589 831637628 /nfs/dbraw/zinc/63/76/28/831637628.db2.gz WHWYTDNJIQLKSO-SNVBAGLBSA-N -1 1 325.369 -0.144 20 0 EBADMM CC[C@H](C)CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1OC ZINC001212182722 837571611 /nfs/dbraw/zinc/57/16/11/837571611.db2.gz KYEYIPZPRONVCA-QJPTWQEYSA-N -1 1 325.413 -0.140 20 0 EBADMM CCNS(=O)(=O)c1cccnc1Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001160852710 831895987 /nfs/dbraw/zinc/89/59/87/831895987.db2.gz DPCBNSRAIZJGMS-ZETCQYMHSA-N -1 1 343.390 -0.673 20 0 EBADMM CCOC(=O)c1c[n-]c(NC(=O)C=Cc2cn(C)c(=O)n(C)c2=O)n1 ZINC001160930862 831907253 /nfs/dbraw/zinc/90/72/53/831907253.db2.gz SPGBJADOFBZBBY-WAYWQWQTSA-N -1 1 347.331 -0.364 20 0 EBADMM O=C(CCc1c[nH]nn1)NCCC1=CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001161248890 831940279 /nfs/dbraw/zinc/94/02/79/831940279.db2.gz QXNWMKTWNBKJFW-UHFFFAOYSA-N -1 1 346.395 -0.100 20 0 EBADMM O=C(CCc1n[nH]c(=O)[n-]c1=O)NCCCNCc1ncccn1 ZINC001161715455 831985708 /nfs/dbraw/zinc/98/57/08/831985708.db2.gz LEMRNYGWUKMXIE-UHFFFAOYSA-N -1 1 333.352 -0.699 20 0 EBADMM CC(C)c1nnc(CNCCCNC(=O)c2n[nH]c(=O)[n-]c2=O)[nH]1 ZINC001161863817 831998222 /nfs/dbraw/zinc/99/82/22/831998222.db2.gz SXSNTAXHSJMQLZ-UHFFFAOYSA-N -1 1 336.356 -0.566 20 0 EBADMM CCCn1ncnc1CNCCCNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001161880102 832000024 /nfs/dbraw/zinc/00/00/24/832000024.db2.gz VXJKUGKHSUYDQC-UHFFFAOYSA-N -1 1 336.356 -0.806 20 0 EBADMM O=C(CNC(=O)C1CC1)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001163568408 832120504 /nfs/dbraw/zinc/12/05/04/832120504.db2.gz DYHYJMXUFGSFRT-UHFFFAOYSA-N -1 1 336.396 -0.587 20 0 EBADMM CCOCCS(=O)(=O)[N-]Cc1nc(Cn2ccccc2=O)no1 ZINC001350281878 832147426 /nfs/dbraw/zinc/14/74/26/832147426.db2.gz PDISLNQMPYUCPC-UHFFFAOYSA-N -1 1 342.377 -0.265 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCCNC(=O)[C@H]1CC12CC2 ZINC001350291174 832147998 /nfs/dbraw/zinc/14/79/98/832147998.db2.gz FFYORBZWVABQII-LLVKDONJSA-N -1 1 334.376 -0.651 20 0 EBADMM C[C@@H](C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)n1cncn1 ZINC001164165886 832174066 /nfs/dbraw/zinc/17/40/66/832174066.db2.gz DECUZUQDQJETCO-JTQLQIEISA-N -1 1 334.384 -0.261 20 0 EBADMM Cc1cnc2nc(C(=O)[N-]c3ncn(CC(=O)N(C)C)n3)nn2c1 ZINC001351256414 832225583 /nfs/dbraw/zinc/22/55/83/832225583.db2.gz QKLOPMQGTSTOGT-UHFFFAOYSA-N -1 1 329.324 -0.635 20 0 EBADMM O=C(NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)c1cnc[nH]c1=O ZINC001165656004 832233376 /nfs/dbraw/zinc/23/33/76/832233376.db2.gz KYECVGWRDKUYIP-UHFFFAOYSA-N -1 1 333.352 -0.304 20 0 EBADMM COc1nn(C)cc1C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001166541852 832264830 /nfs/dbraw/zinc/26/48/30/832264830.db2.gz RNRCTDIUIPZFDP-UHFFFAOYSA-N -1 1 349.395 -0.058 20 0 EBADMM COC[C@@H](OC)C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167094894 832311766 /nfs/dbraw/zinc/31/17/66/832311766.db2.gz GIXKUXMRGUBTHW-SNVBAGLBSA-N -1 1 327.385 -0.452 20 0 EBADMM C[C@]1(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)CCNC1=O ZINC001167200855 832317442 /nfs/dbraw/zinc/31/74/42/832317442.db2.gz NCCHANXWPQXFJS-AWEZNQCLSA-N -1 1 336.396 -0.587 20 0 EBADMM CC(C)=CC(=O)N1CC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001352892590 832380004 /nfs/dbraw/zinc/38/00/04/832380004.db2.gz VPYZIEKJXCKWQE-GFCCVEGCSA-N -1 1 334.376 -0.390 20 0 EBADMM COCC[N@@H+](C)CCNC(=O)C(=O)N1C[C@@H](C(=O)[O-])[C@H](C2CC2)C1 ZINC001353135527 832407235 /nfs/dbraw/zinc/40/72/35/832407235.db2.gz YXUNPVOMCHWVKR-QWHCGFSZSA-N -1 1 341.408 -0.750 20 0 EBADMM COCCN(C)CCNC(=O)C(=O)N1C[C@@H](C(=O)[O-])[C@H](C2CC2)C1 ZINC001353135527 832407239 /nfs/dbraw/zinc/40/72/39/832407239.db2.gz YXUNPVOMCHWVKR-QWHCGFSZSA-N -1 1 341.408 -0.750 20 0 EBADMM NCc1nnnn1-c1ccc(S(=O)(=O)[N-]c2nccs2)cn1 ZINC001168921224 832440639 /nfs/dbraw/zinc/44/06/39/832440639.db2.gz WGVDAAKZESBMAP-UHFFFAOYSA-N -1 1 338.378 -0.227 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)NCCOCC2CC2)CC1 ZINC001354027819 832458032 /nfs/dbraw/zinc/45/80/32/832458032.db2.gz PYHCMKVOTASONM-UHFFFAOYSA-N -1 1 342.440 -0.243 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)[C@H]1CC12CCC2 ZINC001354555761 832501553 /nfs/dbraw/zinc/50/15/53/832501553.db2.gz KHNZCHNKKLMMTA-VXGBXAGGSA-N -1 1 348.403 -0.262 20 0 EBADMM Cc1cc(C(=O)N[C@@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)no1 ZINC001354557230 832502241 /nfs/dbraw/zinc/50/22/41/832502241.db2.gz PMRBIILGXHUSGD-VIFPVBQESA-N -1 1 349.347 -0.842 20 0 EBADMM CNC(=O)c1cncc(C=CC(=O)N2CCOC[C@@H]2c2nn[n-]n2)c1 ZINC001170375059 832502780 /nfs/dbraw/zinc/50/27/80/832502780.db2.gz FJKZUGYQKVJUMO-QAVQXKDTSA-N -1 1 343.347 -0.432 20 0 EBADMM O=C(c1ccc(-n2ccnn2)cc1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001170376068 832502868 /nfs/dbraw/zinc/50/28/68/832502868.db2.gz XXVHRUOYMIETBM-GFCCVEGCSA-N -1 1 326.320 -0.006 20 0 EBADMM CN1C[C@H](NC(=O)C(=O)NCCc2c(F)cc([O-])cc2F)CC1=O ZINC001354564247 832503748 /nfs/dbraw/zinc/50/37/48/832503748.db2.gz BBLWAUQPBZQEAZ-MRVPVSSYSA-N -1 1 341.314 -0.324 20 0 EBADMM CCN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)C1CCC1 ZINC001356126032 832602588 /nfs/dbraw/zinc/60/25/88/832602588.db2.gz JPERBXXIIOPUGH-UHFFFAOYSA-N -1 1 336.392 -0.309 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@@H]1CCCN1C(=O)C1CCC1 ZINC001356358170 832620518 /nfs/dbraw/zinc/62/05/18/832620518.db2.gz QIHYABFLBMTSBI-ZDUSSCGKSA-N -1 1 348.403 -0.166 20 0 EBADMM CN(CC(=O)N1CC[C@@H](c2nn[n-]n2)C1)c1ncnc2nc[nH]c21 ZINC001175552748 832629358 /nfs/dbraw/zinc/62/93/58/832629358.db2.gz LIQKZPZZAKJKCT-MRVPVSSYSA-N -1 1 328.340 -0.682 20 0 EBADMM CC(C)(C)OC(=O)N[C@@H]1CCN(C(=O)Cc2nn[n-]n2)C[C@H]1O ZINC001176841295 832694142 /nfs/dbraw/zinc/69/41/42/832694142.db2.gz VRSRZDGQBWHTQM-RKDXNWHRSA-N -1 1 326.357 -0.771 20 0 EBADMM O=C(Cc1nn[n-]n1)N1C[C@@H](OCc2cccnc2)[C@H]2COC[C@H]21 ZINC001176840275 832694444 /nfs/dbraw/zinc/69/44/44/832694444.db2.gz NSQCNEXQUUIWIB-YNEHKIRRSA-N -1 1 330.348 -0.420 20 0 EBADMM CC(=O)NC[C@H]1c2ncn(C(C)C)c2CCN1C(=O)Cc1nn[n-]n1 ZINC001176840797 832694773 /nfs/dbraw/zinc/69/47/73/832694773.db2.gz WUYRTWIRGDFPPR-LBPRGKRZSA-N -1 1 346.395 -0.218 20 0 EBADMM CCNC(=O)CN1CCO[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC001176982762 832724186 /nfs/dbraw/zinc/72/41/86/832724186.db2.gz ISQLCAFNMWERQQ-JSGCOSHPSA-N -1 1 348.403 -0.161 20 0 EBADMM Cn1cnc(CC(=O)NCCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])c1 ZINC001177854308 832805277 /nfs/dbraw/zinc/80/52/77/832805277.db2.gz YZVCSIFHXZDONC-LBPRGKRZSA-N -1 1 348.363 -0.996 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)Cc1ccc[nH]1 ZINC001177875058 832806714 /nfs/dbraw/zinc/80/67/14/832806714.db2.gz QAZLAWKGPZAXMY-LBPRGKRZSA-N -1 1 333.348 -0.401 20 0 EBADMM CC(C)(C)OC(=O)N1C[C@H](O)C[C@@H](C(=O)NCc2nn[n-]n2)C1 ZINC001178664419 832897688 /nfs/dbraw/zinc/89/76/88/832897688.db2.gz MIQRJINOUPYFBI-RKDXNWHRSA-N -1 1 326.357 -0.566 20 0 EBADMM O=C(NCCCCCCNCc1cnon1)c1n[nH]c(=O)[n-]c1=O ZINC001178947280 832932534 /nfs/dbraw/zinc/93/25/34/832932534.db2.gz ONTHPYXIWMEVCF-UHFFFAOYSA-N -1 1 337.340 -0.254 20 0 EBADMM Cc1nc(C)n(CCCC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001186987202 833447506 /nfs/dbraw/zinc/44/75/06/833447506.db2.gz ULZGHBVXZVROGK-GFCCVEGCSA-N -1 1 348.411 -0.110 20 0 EBADMM CCON(CC)C(=O)CNS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001213129381 837707666 /nfs/dbraw/zinc/70/76/66/837707666.db2.gz GDCMZSUOIGTFLU-UHFFFAOYSA-N -1 1 334.354 -0.725 20 0 EBADMM CCON(CC)C(=O)CNS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001213129381 837707671 /nfs/dbraw/zinc/70/76/71/837707671.db2.gz GDCMZSUOIGTFLU-UHFFFAOYSA-N -1 1 334.354 -0.725 20 0 EBADMM COc1cc(OC)nc(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001189236890 834138603 /nfs/dbraw/zinc/13/86/03/834138603.db2.gz WHXGTFKJLNZYAQ-UHFFFAOYSA-N -1 1 333.264 -0.225 20 0 EBADMM CCNC(=O)NC(=O)CN(C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001189769858 834189447 /nfs/dbraw/zinc/18/94/47/834189447.db2.gz MUXKIJXEBBKBNZ-LLVKDONJSA-N -1 1 349.391 -0.221 20 0 EBADMM COC(=O)[C@H](C)NC(=O)NCC[N-]C(=O)C(F)(F)Br ZINC001190582429 834297696 /nfs/dbraw/zinc/29/76/96/834297696.db2.gz HQQNLJIGDPTQNO-YFKPBYRVSA-N -1 1 346.128 -0.049 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@@H](C)C(C)(F)F ZINC001213460517 837779866 /nfs/dbraw/zinc/77/98/66/837779866.db2.gz RNURCYUCDJVFOQ-OPRDCNLKSA-N -1 1 347.366 -0.285 20 0 EBADMM CCOC(=O)CC1([N-]S(=O)(=O)C(C)(C)C(=O)OCC)COC1 ZINC001193074570 834789612 /nfs/dbraw/zinc/78/96/12/834789612.db2.gz IKNNJFVFFZHFFN-UHFFFAOYSA-N -1 1 337.394 -0.030 20 0 EBADMM COC(=O)c1cc(C(=O)Nc2cc(N3CCN(C)CC3)ncn2)[n-]n1 ZINC001194278883 835071905 /nfs/dbraw/zinc/07/19/05/835071905.db2.gz NFPGGVFTFSTQMC-UHFFFAOYSA-N -1 1 345.363 -0.010 20 0 EBADMM CCOCCOCC(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001194315949 835079631 /nfs/dbraw/zinc/07/96/31/835079631.db2.gz RISMWVVXVFLTQV-GFCCVEGCSA-N -1 1 341.412 -0.806 20 0 EBADMM O=C1CO[C@H](C[N-]S(=O)(=O)c2ncccc2C(F)(F)F)CN1 ZINC001194586831 835127979 /nfs/dbraw/zinc/12/79/79/835127979.db2.gz QXNXILLKKHECJO-ZETCQYMHSA-N -1 1 339.295 -0.106 20 0 EBADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1cn(-c2cnccn2)cn1 ZINC001194727968 835143492 /nfs/dbraw/zinc/14/34/92/835143492.db2.gz WDYARBNKUZRXHP-UHFFFAOYSA-N -1 1 339.275 -0.056 20 0 EBADMM CCCCCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001195205243 835197886 /nfs/dbraw/zinc/19/78/86/835197886.db2.gz OGAHDAIESPVEQM-CHWSQXEVSA-N -1 1 338.408 -0.159 20 0 EBADMM CO[C@H](C)CCC(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001195596498 835254224 /nfs/dbraw/zinc/25/42/24/835254224.db2.gz HGHKGOKGESLQPX-GFCCVEGCSA-N -1 1 325.413 -0.042 20 0 EBADMM COC(=O)CCCCS(=O)(=O)[N-]c1cc(N(C)CCO)ncn1 ZINC001196922489 835481891 /nfs/dbraw/zinc/48/18/91/835481891.db2.gz LPTDSXVYUKFSGX-UHFFFAOYSA-N -1 1 346.409 -0.010 20 0 EBADMM COCCO[C@@H](C)C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001197331232 835539464 /nfs/dbraw/zinc/53/94/64/835539464.db2.gz ISXWPEZOUFKSEG-LBPRGKRZSA-N -1 1 341.412 -0.806 20 0 EBADMM O=C(NCc1nn[nH]n1)c1cc(-n2ncc(=O)[n-]c2=O)ccc1Cl ZINC001198002772 835629674 /nfs/dbraw/zinc/62/96/74/835629674.db2.gz UADVQPPJLGHHBL-UHFFFAOYSA-N -1 1 348.710 -0.570 20 0 EBADMM CC(C)(C(N)=O)C(=O)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001203059335 836392041 /nfs/dbraw/zinc/39/20/41/836392041.db2.gz LPULFGYVXCQGNH-DTWKUNHWSA-N -1 1 336.396 -0.461 20 0 EBADMM NC(=O)NCCCCC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001208519455 837076033 /nfs/dbraw/zinc/07/60/33/837076033.db2.gz YWVBGEIJZJSEJI-SNVBAGLBSA-N -1 1 339.400 -0.571 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CCCn1cncn1 ZINC001210026056 837226080 /nfs/dbraw/zinc/22/60/80/837226080.db2.gz ZAUITRFIVFRSBT-VXGBXAGGSA-N -1 1 348.411 -0.883 20 0 EBADMM COCCCOCC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001210118176 837240240 /nfs/dbraw/zinc/24/02/40/837240240.db2.gz DSOIPCRHQIQGAZ-VXGBXAGGSA-N -1 1 341.412 -0.902 20 0 EBADMM CCCNC(=O)CCC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210708691 837324377 /nfs/dbraw/zinc/32/43/77/837324377.db2.gz RCIQUPLDLBDYES-NSHDSACASA-N -1 1 338.412 -0.103 20 0 EBADMM Cn1c2[nH]c(CCC(=O)[N-]OCC(F)(F)F)nc2c(=O)[nH]c1=O ZINC001319781539 838177756 /nfs/dbraw/zinc/17/77/56/838177756.db2.gz IMVIWQVZVFQNHZ-UHFFFAOYSA-N -1 1 335.242 -0.095 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H](c1nc[nH]n1)c1ccccc1 ZINC001320249862 838728729 /nfs/dbraw/zinc/72/87/29/838728729.db2.gz MAHHQBAZBUKSET-CYBMUJFWSA-N -1 1 340.343 -0.049 20 0 EBADMM Cc1cccc(N2C(=O)N[C@H](CCC(=O)[N-]OCC(N)=O)C2=O)c1 ZINC001320578075 838794103 /nfs/dbraw/zinc/79/41/03/838794103.db2.gz SRYGGIZTPFUYHO-LLVKDONJSA-N -1 1 334.332 -0.267 20 0 EBADMM CCN(CCNCc1cnc(C)nc1)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001320781271 838836704 /nfs/dbraw/zinc/83/67/04/838836704.db2.gz HWAHMOXAASNJPT-UHFFFAOYSA-N -1 1 333.352 -0.367 20 0 EBADMM CO[C@H]1CCOc2c(NC(=O)C(=O)NCc3nn[n-]n3)cccc21 ZINC001321035170 838889549 /nfs/dbraw/zinc/88/95/49/838889549.db2.gz RPICISHQMPUGLX-JTQLQIEISA-N -1 1 332.320 -0.075 20 0 EBADMM Cn1nnc2ccc(C(=O)N3CCC(O)(c4nn[n-]n4)CC3)cc21 ZINC001321176009 838928431 /nfs/dbraw/zinc/92/84/31/838928431.db2.gz SEMDDBKIHADLKF-UHFFFAOYSA-N -1 1 328.336 -0.395 20 0 EBADMM CCCNC(=O)CNC/C=C/CNC(=O)c1c[n-]n2c1nccc2=O ZINC001321284787 838950165 /nfs/dbraw/zinc/95/01/65/838950165.db2.gz HYENTYMCCXSLPD-ONEGZZNKSA-N -1 1 346.391 -0.576 20 0 EBADMM O=C(CSCc1cc(=O)n2ccsc2n1)NCc1nn[n-]n1 ZINC001321682804 839052623 /nfs/dbraw/zinc/05/26/23/839052623.db2.gz IWBUVHDPCIGXQL-UHFFFAOYSA-N -1 1 337.390 -0.181 20 0 EBADMM CN(C)C(=O)CCCNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001322907376 839295834 /nfs/dbraw/zinc/29/58/34/839295834.db2.gz MGHLRBPLMXTBEO-UHFFFAOYSA-N -1 1 332.364 -0.735 20 0 EBADMM O=C(Nc1cccc(N2CCCC2)c1)C(=O)NN1CC(=O)[N-]C1=O ZINC001322981947 839312933 /nfs/dbraw/zinc/31/29/33/839312933.db2.gz TUBKYJPLKPFPAM-UHFFFAOYSA-N -1 1 331.332 -0.192 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N[C@H]3CC[C@H](CO)CC3)ccnc1-2 ZINC001323230999 839381894 /nfs/dbraw/zinc/38/18/94/839381894.db2.gz WUNUFSGMTDMZJU-XYPYZODXSA-N -1 1 331.376 -0.052 20 0 EBADMM CCCCCn1nc(C)cc1NC(=O)C(=O)NN1CC(=O)[N-]C1=O ZINC001323274709 839400292 /nfs/dbraw/zinc/40/02/92/839400292.db2.gz WIRRBPGYONWEEP-UHFFFAOYSA-N -1 1 336.352 -0.097 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1(NCc2ccon2)CC1 ZINC001323572064 839474762 /nfs/dbraw/zinc/47/47/62/839474762.db2.gz SRYOKBVNPYZEQU-UHFFFAOYSA-N -1 1 333.348 -0.647 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C(=O)NCC(F)F)c1 ZINC001324363242 839637284 /nfs/dbraw/zinc/63/72/84/839637284.db2.gz WVEHEANKHMWFDH-UHFFFAOYSA-N -1 1 337.304 -0.380 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NC/C=C\C2CC2)o1 ZINC001324370932 839639582 /nfs/dbraw/zinc/63/95/82/839639582.db2.gz KGCMGCBCTFSCCK-UPHRSURJSA-N -1 1 327.362 -0.261 20 0 EBADMM CC(C)(C)c1csc(NC(=O)C(=O)NN2CC(=O)[N-]C2=O)n1 ZINC001324617250 839688390 /nfs/dbraw/zinc/68/83/90/839688390.db2.gz ZIQZOEJRCJVRMN-UHFFFAOYSA-N -1 1 325.350 -0.038 20 0 EBADMM C[C@H]1COCC[C@H]1C(=O)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001326090897 840030618 /nfs/dbraw/zinc/03/06/18/840030618.db2.gz HNEFJCZAZNKUOP-WDEREUQCSA-N -1 1 327.385 -0.907 20 0 EBADMM O=C([C@H]1COc2ccccc21)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001326160383 840053548 /nfs/dbraw/zinc/05/35/48/840053548.db2.gz UWQZBZCFXHYKBZ-LBPRGKRZSA-N -1 1 347.375 -0.403 20 0 EBADMM CCCCOCC(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001326618269 840152986 /nfs/dbraw/zinc/15/29/86/840152986.db2.gz VYXDOBHGWCFHIB-GFCCVEGCSA-N -1 1 341.412 -0.758 20 0 EBADMM C[C@H]1c2nncn2CCN1C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001326803891 840204477 /nfs/dbraw/zinc/20/44/77/840204477.db2.gz LKFDYLPDXCEVGY-VIFPVBQESA-N -1 1 340.347 -0.525 20 0 EBADMM Cc1cnn(-c2ccccc2)c1NC(=O)C(=O)NN1CC(=O)[N-]C1=O ZINC001326807322 840205688 /nfs/dbraw/zinc/20/56/88/840205688.db2.gz NJHPFWVMRGDWKM-UHFFFAOYSA-N -1 1 342.315 -0.298 20 0 EBADMM O=C(Nc1cccc(OC(F)F)c1)C(=O)NN1CC(=O)[N-]C1=O ZINC001329109677 840843539 /nfs/dbraw/zinc/84/35/39/840843539.db2.gz JERWSNJDZCFECG-UHFFFAOYSA-N -1 1 328.231 -0.191 20 0 EBADMM CCN(C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@H]1CCNC1=O ZINC001329119221 840848195 /nfs/dbraw/zinc/84/81/95/840848195.db2.gz KCITZNCMCWLTCE-NSHDSACASA-N -1 1 330.348 -0.983 20 0 EBADMM COCCN1CC[C@@H](NC(=O)C(=O)NC[C@@H](CC(C)C)C(=O)[O-])C1 ZINC001329129040 840850155 /nfs/dbraw/zinc/85/01/55/840850155.db2.gz ZCHLGFWZKXMIBZ-CHWSQXEVSA-N -1 1 343.424 -0.314 20 0 EBADMM Cc1ncncc1C(=O)NCCOCCN(C)Cc1nc(=O)n(C)[n-]1 ZINC001331102382 841335408 /nfs/dbraw/zinc/33/54/08/841335408.db2.gz HRZGLDBNMCWSID-UHFFFAOYSA-N -1 1 349.395 -0.915 20 0 EBADMM C[C@H]1C[C@H](C(=O)NCCOCCN(C)Cc2nc(=O)n(C)[n-]2)CO1 ZINC001331133245 841347775 /nfs/dbraw/zinc/34/77/75/841347775.db2.gz QAXCIKKYPJNACU-RYUDHWBXSA-N -1 1 341.412 -0.902 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)CS1(=O)=O ZINC001331265715 841381847 /nfs/dbraw/zinc/38/18/47/841381847.db2.gz YYAKEHJGNCORJE-NXEZZACHSA-N -1 1 329.378 -0.991 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)CS1(=O)=O ZINC001331265719 841382625 /nfs/dbraw/zinc/38/26/25/841382625.db2.gz YYAKEHJGNCORJE-VHSXEESVSA-N -1 1 329.378 -0.991 20 0 EBADMM COCC[N@H+]1CC[C@H](NC(=O)C(=O)NCCC(C)(C)C(=O)[O-])C1 ZINC001331951206 841537861 /nfs/dbraw/zinc/53/78/61/841537861.db2.gz SERMPBDMOZMDHH-NSHDSACASA-N -1 1 329.397 -0.560 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)NCCC(C)(C)C(=O)[O-])C1 ZINC001331951206 841537865 /nfs/dbraw/zinc/53/78/65/841537865.db2.gz SERMPBDMOZMDHH-NSHDSACASA-N -1 1 329.397 -0.560 20 0 EBADMM O=C(Cn1ccc(=O)[nH]c1=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001332191853 841587551 /nfs/dbraw/zinc/58/75/51/841587551.db2.gz CXMZUJZTVKRROL-GFCCVEGCSA-N -1 1 327.304 -0.632 20 0 EBADMM CO[C@@H](CNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@@H]1CCOC1 ZINC001333324337 841850484 /nfs/dbraw/zinc/85/04/84/841850484.db2.gz BGCVPDDMPFKOFR-MFKMUULPSA-N -1 1 347.375 -0.552 20 0 EBADMM C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)[C@@H](C)NC(=O)c1cc[nH]c1 ZINC001333916869 841966084 /nfs/dbraw/zinc/96/60/84/841966084.db2.gz QDUIRHTWQHODNE-GHMZBOCLSA-N -1 1 347.375 -0.422 20 0 EBADMM O=C([O-])[C@@H]1CN(C(=O)C(=O)N[C@H]2CCN(C3CC3)C2)C[C@H]1C1CC1 ZINC001336558573 842492804 /nfs/dbraw/zinc/49/28/04/842492804.db2.gz XUYDYYAVZVBXNN-FPMFFAJLSA-N -1 1 335.404 -0.092 20 0 EBADMM CC[C@@H](F)C(=O)N(C)C[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001337378072 842607471 /nfs/dbraw/zinc/60/74/71/842607471.db2.gz OMQJIZYCLHLSSY-WDEREUQCSA-N -1 1 342.371 -0.362 20 0 EBADMM COCCn1ncc2ccc(NC(=O)C(=O)NCc3nn[n-]n3)cc21 ZINC001339328194 842909387 /nfs/dbraw/zinc/90/93/87/842909387.db2.gz RLJLYOBOKSUOEM-UHFFFAOYSA-N -1 1 344.335 -0.549 20 0 EBADMM CO[N-]C(=O)CNC(=O)C(=O)N[C@@H]1CN(Cc2ccccc2)C[C@H]1C ZINC001341157289 843059583 /nfs/dbraw/zinc/05/95/83/843059583.db2.gz XKAVWUDLRMRFMW-TZMCWYRMSA-N -1 1 348.403 -0.583 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2CCO[C@@H](CC(F)(F)F)C2)CNCCO1 ZINC001342176068 843146446 /nfs/dbraw/zinc/14/64/46/843146446.db2.gz NHHOCRJYRLZFQN-GZMMTYOYSA-N -1 1 326.271 -0.391 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCCSCCCO)ccnc1-2 ZINC001342376166 843159208 /nfs/dbraw/zinc/15/92/08/843159208.db2.gz AQIWFEGMYQMWNZ-UHFFFAOYSA-N -1 1 337.405 -0.488 20 0 EBADMM Cc1cc(=O)n2[n-]c(NC(=O)Cn3nc4ccccn4c3=O)nc2n1 ZINC001343710692 843255748 /nfs/dbraw/zinc/25/57/48/843255748.db2.gz XRHIFDDRESHWLU-UHFFFAOYSA-N -1 1 340.303 -0.826 20 0 EBADMM CNC(=O)C1(C(=O)NC[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC001344220759 843303133 /nfs/dbraw/zinc/30/31/33/843303133.db2.gz KHKOUEYJJHQHRC-PHIMTYICSA-N -1 1 346.387 -0.062 20 0 EBADMM Cc1cc(CN2CCN(c3nnc(Cc4nnn[n-]4)n3C)CC2)no1 ZINC001344594766 843323525 /nfs/dbraw/zinc/32/35/25/843323525.db2.gz BGDGRKLMOYIBJC-UHFFFAOYSA-N -1 1 344.383 -0.462 20 0 EBADMM Cc1cc(CN2CCN(c3nnc(Cc4nn[n-]n4)n3C)CC2)no1 ZINC001344594766 843323535 /nfs/dbraw/zinc/32/35/35/843323535.db2.gz BGDGRKLMOYIBJC-UHFFFAOYSA-N -1 1 344.383 -0.462 20 0 EBADMM CNC(=O)CC(=O)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@]2(C)C1 ZINC001345844904 843455132 /nfs/dbraw/zinc/45/51/32/843455132.db2.gz UAVJYUBISXXVAF-DIFFPNOSSA-N -1 1 346.387 -0.156 20 0 EBADMM CNC(=O)CC(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncccc1[O-])C2 ZINC001347494806 843622549 /nfs/dbraw/zinc/62/25/49/843622549.db2.gz PKIUAUORYVHDIF-MXWKQRLJSA-N -1 1 332.360 -0.215 20 0 EBADMM O=C(NCC1CC1)C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001348209648 843667738 /nfs/dbraw/zinc/66/77/38/843667738.db2.gz UKGMYLQGJPWBKO-UHFFFAOYSA-N -1 1 332.360 -0.402 20 0 EBADMM O=C([O-])c1cnc(C(=O)N[C@@H]2CCN(CCN3CCOCC3)C2)cn1 ZINC001610861396 970770746 /nfs/dbraw/zinc/77/07/46/970770746.db2.gz ZMCVYWAGAQIKJM-GFCCVEGCSA-N -1 1 349.391 -0.689 20 0 EBADMM O=C(c1ccc(-c2nn[nH]n2)cn1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000095163714 944612696 /nfs/dbraw/zinc/61/26/96/944612696.db2.gz FHRXSZVEEKIYET-SECBINFHSA-N -1 1 326.324 -0.205 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N1CC[C@@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001573470361 946022521 /nfs/dbraw/zinc/02/25/21/946022521.db2.gz DEBWWNPRBFXTEP-WCBMZHEXSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N1CC[C@@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001573470361 946022527 /nfs/dbraw/zinc/02/25/27/946022527.db2.gz DEBWWNPRBFXTEP-WCBMZHEXSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@@H](C)N(C)c1nccnc1-c1nnn[n-]1 ZINC001573475985 946109652 /nfs/dbraw/zinc/10/96/52/946109652.db2.gz BUMPKZFMDRZUSE-RKDXNWHRSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@@H](C)N(C)c1nccnc1-c1nn[n-]n1 ZINC001573475985 946109656 /nfs/dbraw/zinc/10/96/56/946109656.db2.gz BUMPKZFMDRZUSE-RKDXNWHRSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)NCC(=O)N1C[C@@H](C)[C@@H](Nc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001573499520 946368407 /nfs/dbraw/zinc/36/84/07/946368407.db2.gz FECCQOIORJEKKF-KCJUWKMLSA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)NCC(=O)N1C[C@@H](C)[C@@H](Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001573499520 946368413 /nfs/dbraw/zinc/36/84/13/946368413.db2.gz FECCQOIORJEKKF-KCJUWKMLSA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)NCC(=O)N(C)CCCN(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001573501437 946379637 /nfs/dbraw/zinc/37/96/37/946379637.db2.gz OTXFTBKZKAFUKW-UHFFFAOYSA-N -1 1 347.383 -0.923 20 0 EBADMM CC(=O)NCC(=O)N(C)C[C@H](C)Nc1nc(C)cc(-c2nnn[n-]2)n1 ZINC001573502017 946385291 /nfs/dbraw/zinc/38/52/91/946385291.db2.gz UFMGRKLOIUSVII-VIFPVBQESA-N -1 1 347.383 -0.640 20 0 EBADMM CC(=O)NCC(=O)N(C)C[C@H](C)Nc1nc(C)cc(-c2nn[n-]n2)n1 ZINC001573502017 946385304 /nfs/dbraw/zinc/38/53/04/946385304.db2.gz UFMGRKLOIUSVII-VIFPVBQESA-N -1 1 347.383 -0.640 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@H](Nc2cncc(-c3nnn[n-]3)n2)[C@@H]1C ZINC001573503833 946399694 /nfs/dbraw/zinc/39/96/94/946399694.db2.gz BVXZNWZRJMBTQX-WPRPVWTQSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@H](Nc2cncc(-c3nn[n-]n3)n2)[C@@H]1C ZINC001573503833 946399706 /nfs/dbraw/zinc/39/97/06/946399706.db2.gz BVXZNWZRJMBTQX-WPRPVWTQSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N1CCC(Nc2cnc(-c3nnn[n-]3)cn2)CC1 ZINC001573506721 946436577 /nfs/dbraw/zinc/43/65/77/946436577.db2.gz SJSWCJQGYWQINN-UHFFFAOYSA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)NCC(=O)N1CCC(Nc2cnc(-c3nn[n-]n3)cn2)CC1 ZINC001573506721 946436582 /nfs/dbraw/zinc/43/65/82/946436582.db2.gz SJSWCJQGYWQINN-UHFFFAOYSA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)[C@@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001573511128 946483063 /nfs/dbraw/zinc/48/30/63/946483063.db2.gz NCIDNJHKOMQAKI-SFYZADRCSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)[C@@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001573511128 946483069 /nfs/dbraw/zinc/48/30/69/946483069.db2.gz NCIDNJHKOMQAKI-SFYZADRCSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)NCC(=O)NCC[C@H](C)Nc1nc(C)cc(-c2nnn[n-]2)n1 ZINC001573520562 946598173 /nfs/dbraw/zinc/59/81/73/946598173.db2.gz KKKJKUPFOBYBGK-QMMMGPOBSA-N -1 1 347.383 -0.592 20 0 EBADMM CC(=O)NCC(=O)NCC[C@H](C)Nc1nc(C)cc(-c2nn[n-]n2)n1 ZINC001573520562 946598183 /nfs/dbraw/zinc/59/81/83/946598183.db2.gz KKKJKUPFOBYBGK-QMMMGPOBSA-N -1 1 347.383 -0.592 20 0 EBADMM CC(=O)NC[C@H]1CN(c2nc(C)cc(-c3nnn[n-]3)n2)CCCO1 ZINC001573529982 946682637 /nfs/dbraw/zinc/68/26/37/946682637.db2.gz RYOHSJUBFPGJOE-NSHDSACASA-N -1 1 332.368 -0.303 20 0 EBADMM CC(=O)NC[C@H]1CN(c2nc(C)cc(-c3nn[n-]n3)n2)CCCO1 ZINC001573529982 946682644 /nfs/dbraw/zinc/68/26/44/946682644.db2.gz RYOHSJUBFPGJOE-NSHDSACASA-N -1 1 332.368 -0.303 20 0 EBADMM C[C@H](C(=O)N(C)C[C@@H](O)CNc1nccnc1-c1nnn[n-]1)C1CC1 ZINC001573594921 947411502 /nfs/dbraw/zinc/41/15/02/947411502.db2.gz FBMNXTGNOQJGEG-ONGXEEELSA-N -1 1 346.395 -0.066 20 0 EBADMM C[C@H](C(=O)N(C)C[C@@H](O)CNc1nccnc1-c1nn[n-]n1)C1CC1 ZINC001573594921 947411507 /nfs/dbraw/zinc/41/15/07/947411507.db2.gz FBMNXTGNOQJGEG-ONGXEEELSA-N -1 1 346.395 -0.066 20 0 EBADMM C[C@H](C(=O)N(C)C[C@H](O)CNc1ccc(-c2nnn[n-]2)nn1)C1CC1 ZINC001573595094 947415496 /nfs/dbraw/zinc/41/54/96/947415496.db2.gz FOKCJCHOKGNDOP-GXSJLCMTSA-N -1 1 346.395 -0.066 20 0 EBADMM C[C@H](C(=O)N(C)C[C@H](O)CNc1ccc(-c2nn[n-]n2)nn1)C1CC1 ZINC001573595094 947415500 /nfs/dbraw/zinc/41/55/00/947415500.db2.gz FOKCJCHOKGNDOP-GXSJLCMTSA-N -1 1 346.395 -0.066 20 0 EBADMM C[C@@H](C(=O)N(C)CCNc1ccc(-c2nnn[n-]2)nn1)n1cncn1 ZINC001573603130 947478689 /nfs/dbraw/zinc/47/86/89/947478689.db2.gz CPXKSLPBACQTFZ-VIFPVBQESA-N -1 1 343.355 -0.620 20 0 EBADMM C[C@@H](C(=O)N(C)CCNc1ccc(-c2nn[n-]n2)nn1)n1cncn1 ZINC001573603130 947478695 /nfs/dbraw/zinc/47/86/95/947478695.db2.gz CPXKSLPBACQTFZ-VIFPVBQESA-N -1 1 343.355 -0.620 20 0 EBADMM C[C@@H](C(=O)N1CC[C@@H](c2n[nH]cc2N)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573622477 947681437 /nfs/dbraw/zinc/68/14/37/947681437.db2.gz ACRBNDNFQDQUAR-JGVFFNPUSA-N -1 1 343.355 -0.659 20 0 EBADMM CC(=O)C[C@H](C)NC(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001573366022 947738149 /nfs/dbraw/zinc/73/81/49/947738149.db2.gz OSYARUZACQKKHE-ZETCQYMHSA-N -1 1 335.324 -0.997 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)[C@@H](C)CNc1nccnc1-c1nnn[n-]1 ZINC001573388669 947920615 /nfs/dbraw/zinc/92/06/15/947920615.db2.gz NNCRVMRBMNUGOC-VIFPVBQESA-N -1 1 347.383 -0.606 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)[C@@H](C)CNc1nccnc1-c1nn[n-]n1 ZINC001573388669 947920620 /nfs/dbraw/zinc/92/06/20/947920620.db2.gz NNCRVMRBMNUGOC-VIFPVBQESA-N -1 1 347.383 -0.606 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)CN(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001573398953 947988716 /nfs/dbraw/zinc/98/87/16/947988716.db2.gz RICLAJXZRGHSFJ-VIFPVBQESA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@@H](C)CNc1nccnc1-c1nnn[n-]1 ZINC001573401390 947998756 /nfs/dbraw/zinc/99/87/56/947998756.db2.gz BNAQCKLRVRHSHM-SECBINFHSA-N -1 1 347.383 -0.701 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@@H](C)CNc1nccnc1-c1nn[n-]n1 ZINC001573401390 947998761 /nfs/dbraw/zinc/99/87/61/947998761.db2.gz BNAQCKLRVRHSHM-SECBINFHSA-N -1 1 347.383 -0.701 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@H](C)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001573404492 948027103 /nfs/dbraw/zinc/02/71/03/948027103.db2.gz SZUSFBKQCVWYDW-SECBINFHSA-N -1 1 347.383 -0.701 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@H](C)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001573404492 948027112 /nfs/dbraw/zinc/02/71/12/948027112.db2.gz SZUSFBKQCVWYDW-SECBINFHSA-N -1 1 347.383 -0.701 20 0 EBADMM CC(=O)N(C)CC(=O)NCC[C@@H](C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001573405787 948049349 /nfs/dbraw/zinc/04/93/49/948049349.db2.gz XFSKBHRRBDJUDF-SECBINFHSA-N -1 1 347.383 -0.558 20 0 EBADMM CC(=O)N(C)CC(=O)NCC[C@@H](C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001573405787 948049353 /nfs/dbraw/zinc/04/93/53/948049353.db2.gz XFSKBHRRBDJUDF-SECBINFHSA-N -1 1 347.383 -0.558 20 0 EBADMM CC(=O)N1C[C@H](C)O[C@@]2(CCN(c3ccnc(-c4nn[n-]n4)n3)C2)C1 ZINC001573426614 948158413 /nfs/dbraw/zinc/15/84/13/948158413.db2.gz MVRBKIMNMZUQPI-ZUZCIYMTSA-N -1 1 344.379 -0.127 20 0 EBADMM CC(=O)N1CCC(N(CCO)c2cnc(-c3nnn[n-]3)cn2)CC1 ZINC001573432844 948192871 /nfs/dbraw/zinc/19/28/71/948192871.db2.gz HVWIKNBTWGDEBY-UHFFFAOYSA-N -1 1 332.368 -0.534 20 0 EBADMM CC(=O)N1CCC(N(CCO)c2cnc(-c3nn[n-]n3)cn2)CC1 ZINC001573432844 948192874 /nfs/dbraw/zinc/19/28/74/948192874.db2.gz HVWIKNBTWGDEBY-UHFFFAOYSA-N -1 1 332.368 -0.534 20 0 EBADMM C[C@H](C(=O)NC[C@@H](O)CNc1ccnc(-c2nn[n-]n2)n1)C(C)(C)C ZINC001573690595 948227023 /nfs/dbraw/zinc/22/70/23/948227023.db2.gz FDFOGMQUNTYDNY-ZJUUUORDSA-N -1 1 348.411 -0.350 20 0 EBADMM CC(=O)N1CC[C@]2(C1)CN(c1cnc(-c3nnn[n-]3)cn1)CCO2 ZINC001573439792 948252781 /nfs/dbraw/zinc/25/27/81/948252781.db2.gz SHDQDFGKLQWBCV-AWEZNQCLSA-N -1 1 330.352 -0.516 20 0 EBADMM CC(=O)N1CC[C@]2(C1)CN(c1cnc(-c3nn[n-]n3)cn1)CCO2 ZINC001573439792 948252793 /nfs/dbraw/zinc/25/27/93/948252793.db2.gz SHDQDFGKLQWBCV-AWEZNQCLSA-N -1 1 330.352 -0.516 20 0 EBADMM CC(=O)N1CC[C@]2(C1)CN(c1nccnc1-c1nnn[n-]1)CCO2 ZINC001573439828 948254224 /nfs/dbraw/zinc/25/42/24/948254224.db2.gz UEVWLHTXJTVCQO-AWEZNQCLSA-N -1 1 330.352 -0.516 20 0 EBADMM CC(=O)N1CC[C@]2(C1)CN(c1nccnc1-c1nn[n-]n1)CCO2 ZINC001573439828 948254226 /nfs/dbraw/zinc/25/42/26/948254226.db2.gz UEVWLHTXJTVCQO-AWEZNQCLSA-N -1 1 330.352 -0.516 20 0 EBADMM CC(=O)N1CCC[C@H](N(CCO)c2nc(C)cc(-c3nnn[n-]3)n2)C1 ZINC001573441975 948282609 /nfs/dbraw/zinc/28/26/09/948282609.db2.gz DQLUUGLGVBYOLC-LBPRGKRZSA-N -1 1 346.395 -0.225 20 0 EBADMM CC(=O)N1CCC[C@H](N(CCO)c2nc(C)cc(-c3nn[n-]n3)n2)C1 ZINC001573441975 948282615 /nfs/dbraw/zinc/28/26/15/948282615.db2.gz DQLUUGLGVBYOLC-LBPRGKRZSA-N -1 1 346.395 -0.225 20 0 EBADMM C[C@@H](C(=O)NC[C@H](O)CNc1nccnc1-c1nnn[n-]1)C1CC1 ZINC001573696030 948314038 /nfs/dbraw/zinc/31/40/38/948314038.db2.gz ZMXOJASDDHYWGX-PSASIEDQSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@@H](C(=O)NC[C@H](O)CNc1nccnc1-c1nn[n-]n1)C1CC1 ZINC001573696030 948314047 /nfs/dbraw/zinc/31/40/47/948314047.db2.gz ZMXOJASDDHYWGX-PSASIEDQSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@@H](C(=O)NCc1cnc(Cl)cn1)n1cnc(-c2nn[n-]n2)n1 ZINC001573729160 948567418 /nfs/dbraw/zinc/56/74/18/948567418.db2.gz HWMLQCPYKUCWAN-LURJTMIESA-N -1 1 334.731 -0.221 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CN(c2cncc(-c3nnn[n-]3)n2)C[C@H]1O)C1CC1 ZINC001573740181 948629386 /nfs/dbraw/zinc/62/93/86/948629386.db2.gz KJDAILVEFLCNNS-GGZOMVNGSA-N -1 1 344.379 -0.632 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CN(c2cncc(-c3nn[n-]n3)n2)C[C@H]1O)C1CC1 ZINC001573740181 948629391 /nfs/dbraw/zinc/62/93/91/948629391.db2.gz KJDAILVEFLCNNS-GGZOMVNGSA-N -1 1 344.379 -0.632 20 0 EBADMM C[C@H](C(=O)N[C@@H]1Cc2ccccc2[C@H]1O)n1cnc(-c2nn[n-]n2)n1 ZINC001573741095 948648737 /nfs/dbraw/zinc/64/87/37/948648737.db2.gz XSHKGRNHOYMLRZ-GGZOMVNGSA-N -1 1 340.347 -0.206 20 0 EBADMM O=C(c1cn[nH]c1)N1CC[C@@H](Nc2cncc(-c3nnn[n-]3)n2)C1 ZINC001570925367 948667179 /nfs/dbraw/zinc/66/71/79/948667179.db2.gz IEGDCDFAWCOVCW-SECBINFHSA-N -1 1 326.324 -0.294 20 0 EBADMM O=C(c1cn[nH]c1)N1CC[C@@H](Nc2cncc(-c3nn[n-]n3)n2)C1 ZINC001570925367 948667181 /nfs/dbraw/zinc/66/71/81/948667181.db2.gz IEGDCDFAWCOVCW-SECBINFHSA-N -1 1 326.324 -0.294 20 0 EBADMM CN(C(=O)c1cccc(-c2nn[n-]n2)n1)[C@@H]1CCN2CCO[C@H]1C2 ZINC001570925600 948671972 /nfs/dbraw/zinc/67/19/72/948671972.db2.gz FEOZJLWEPZZRCZ-OLZOCXBDSA-N -1 1 329.364 -0.193 20 0 EBADMM CC[C@](C)(NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)C(N)=O ZINC001570925561 948672476 /nfs/dbraw/zinc/67/24/76/948672476.db2.gz MKZWQQYBCRDYRU-NSHDSACASA-N -1 1 325.354 -0.806 20 0 EBADMM CC[C@](C)(NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)C(N)=O ZINC001570925561 948672485 /nfs/dbraw/zinc/67/24/85/948672485.db2.gz MKZWQQYBCRDYRU-NSHDSACASA-N -1 1 325.354 -0.806 20 0 EBADMM CCn1cc(CNc2cc(-c3nnn[n-]3)nc(C)n2)c(=O)[nH]c1=O ZINC001570926008 948680586 /nfs/dbraw/zinc/68/05/86/948680586.db2.gz MNUUCTTXZARSNF-UHFFFAOYSA-N -1 1 329.324 -0.141 20 0 EBADMM CCn1cc(CNc2cc(-c3nn[n-]n3)nc(C)n2)c(=O)[nH]c1=O ZINC001570926008 948680591 /nfs/dbraw/zinc/68/05/91/948680591.db2.gz MNUUCTTXZARSNF-UHFFFAOYSA-N -1 1 329.324 -0.141 20 0 EBADMM C[C@@H]1CN(C(=O)C2CC2)C[C@H]1CNc1ccnc(-c2nn[n-]n2)n1 ZINC001570926291 948687122 /nfs/dbraw/zinc/68/71/22/948687122.db2.gz SNKAQYFRPCYNOX-MWLCHTKSSA-N -1 1 328.380 -0.005 20 0 EBADMM Cc1c[nH]c(=O)c(CNC(=O)[C@H](C)n2cnc(-c3nn[n-]n3)n2)c1 ZINC001570926428 948690337 /nfs/dbraw/zinc/69/03/37/948690337.db2.gz YFOQEGGACKPHSC-QMMMGPOBSA-N -1 1 329.324 -0.255 20 0 EBADMM Cn1ncnc1C1(O)CCN(c2nccnc2-c2nnn[n-]2)CC1 ZINC001570926728 948695572 /nfs/dbraw/zinc/69/55/72/948695572.db2.gz GVGUUHLGOACSIL-UHFFFAOYSA-N -1 1 328.340 -0.727 20 0 EBADMM Cn1ncnc1C1(O)CCN(c2nccnc2-c2nn[n-]n2)CC1 ZINC001570926728 948695575 /nfs/dbraw/zinc/69/55/75/948695575.db2.gz GVGUUHLGOACSIL-UHFFFAOYSA-N -1 1 328.340 -0.727 20 0 EBADMM O=C(N[C@H]1CCN(c2cnc(-c3nnn[n-]3)cn2)C1)c1ccon1 ZINC001570926767 948697386 /nfs/dbraw/zinc/69/73/86/948697386.db2.gz DFMAGHGSZCADKN-QMMMGPOBSA-N -1 1 327.308 -0.347 20 0 EBADMM O=C(N[C@H]1CCN(c2cnc(-c3nn[n-]n3)cn2)C1)c1ccon1 ZINC001570926767 948697391 /nfs/dbraw/zinc/69/73/91/948697391.db2.gz DFMAGHGSZCADKN-QMMMGPOBSA-N -1 1 327.308 -0.347 20 0 EBADMM CCS(=O)(=O)N[C@@H](C)C(=O)Nc1n[nH]c(C)c1-c1nn[n-]n1 ZINC001570927338 948709179 /nfs/dbraw/zinc/70/91/79/948709179.db2.gz QOGZSUVJXLRFRT-LURJTMIESA-N -1 1 328.358 -0.835 20 0 EBADMM O=C(NCc1nnc2n1CCOC2)c1csc(-c2nn[n-]n2)c1 ZINC001570928259 948728830 /nfs/dbraw/zinc/72/88/30/948728830.db2.gz FMLCXBKUPYVORI-UHFFFAOYSA-N -1 1 332.349 -0.020 20 0 EBADMM C[N@H+](Cc1cc(=O)n2[n-]cc(-c3nnn[n-]3)c2n1)C(C)(C)C(N)=O ZINC001570928647 948737317 /nfs/dbraw/zinc/73/73/17/948737317.db2.gz AGJTTWPDHAMHQZ-UHFFFAOYSA-N -1 1 331.340 -0.689 20 0 EBADMM C[N@@H+](Cc1cc(=O)n2[n-]cc(-c3nnn[n-]3)c2n1)C(C)(C)C(N)=O ZINC001570928647 948737321 /nfs/dbraw/zinc/73/73/21/948737321.db2.gz AGJTTWPDHAMHQZ-UHFFFAOYSA-N -1 1 331.340 -0.689 20 0 EBADMM COc1ncc(NC(=O)NCCn2cncn2)cc1-c1nn[n-]n1 ZINC001570928980 948746490 /nfs/dbraw/zinc/74/64/90/948746490.db2.gz YEPCWIMECBIIPU-UHFFFAOYSA-N -1 1 330.312 -0.317 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)N1CCCNC(=O)[C@@H]1C ZINC001570929186 948756589 /nfs/dbraw/zinc/75/65/89/948756589.db2.gz JGKVXTRVJBQYOX-QMMMGPOBSA-N -1 1 331.336 -0.374 20 0 EBADMM C[C@@H](CCNc1cnc(-c2nnn[n-]2)cn1)NC(=O)[C@H]1C[C@H]1C(N)=O ZINC001574679213 948769094 /nfs/dbraw/zinc/76/90/94/948769094.db2.gz QLJARIYYVPIHQL-YIZRAAEISA-N -1 1 345.367 -0.915 20 0 EBADMM COC(=O)C1(C)CN(C(=O)c2[nH]c(=O)c(-c3nn[n-]n3)cc2C)C1 ZINC001570929452 948768931 /nfs/dbraw/zinc/76/89/31/948768931.db2.gz TUQPAUWHQVKHCT-UHFFFAOYSA-N -1 1 332.320 -0.089 20 0 EBADMM C[C@@H](CCNc1cnc(-c2nn[n-]n2)cn1)NC(=O)[C@H]1C[C@H]1C(N)=O ZINC001574679213 948769102 /nfs/dbraw/zinc/76/91/02/948769102.db2.gz QLJARIYYVPIHQL-YIZRAAEISA-N -1 1 345.367 -0.915 20 0 EBADMM O=C(NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)C1(C2CC2)CC1 ZINC001570929870 948791595 /nfs/dbraw/zinc/79/15/95/948791595.db2.gz XIXWPOJUYNDKJG-UHFFFAOYSA-N -1 1 331.336 -0.565 20 0 EBADMM CC1(C)C[C@H]1CNC(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570930309 948810753 /nfs/dbraw/zinc/81/07/53/948810753.db2.gz GSIYQWRXVKZUET-QMMMGPOBSA-N -1 1 333.352 -0.319 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)c1cnnn1C ZINC001574682256 948831632 /nfs/dbraw/zinc/83/16/32/948831632.db2.gz QSAUDZXUGDRVQA-QMMMGPOBSA-N -1 1 343.355 -0.594 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)c1cnnn1C ZINC001574682256 948831651 /nfs/dbraw/zinc/83/16/51/948831651.db2.gz QSAUDZXUGDRVQA-QMMMGPOBSA-N -1 1 343.355 -0.594 20 0 EBADMM COC[C@H](NC(=O)c1cc(-c2nn[nH]n2)ccc1F)c1nn[n-]n1 ZINC001570930988 948832898 /nfs/dbraw/zinc/83/28/98/948832898.db2.gz RKDXHHDHVOAGIW-VIFPVBQESA-N -1 1 333.287 -0.364 20 0 EBADMM NC(=O)c1cc(NCCn2cnc(-c3nn[n-]n3)n2)nc(Cl)n1 ZINC001570933557 948899002 /nfs/dbraw/zinc/89/90/02/948899002.db2.gz QHCDFWDSQXHWFC-UHFFFAOYSA-N -1 1 335.719 -0.887 20 0 EBADMM C[C@@H]1C[C@H](CO)CN(C(=O)NCCn2cnc(-c3nn[n-]n3)n2)C1 ZINC001570933897 948915192 /nfs/dbraw/zinc/91/51/92/948915192.db2.gz WKDOHHKVMYAQGW-ZJUUUORDSA-N -1 1 335.372 -0.882 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(c3cnc(-c4nnn[n-]4)cn3)C[C@H]21)c1ccc[nH]1 ZINC001570933974 948917549 /nfs/dbraw/zinc/91/75/49/948917549.db2.gz HARIDMSKJNWYDE-WOFXILAISA-N -1 1 337.347 -0.151 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(c3cnc(-c4nn[n-]n4)cn3)C[C@H]21)c1ccc[nH]1 ZINC001570933974 948917560 /nfs/dbraw/zinc/91/75/60/948917560.db2.gz HARIDMSKJNWYDE-WOFXILAISA-N -1 1 337.347 -0.151 20 0 EBADMM Cc1nnc([C@H](C)Nc2[nH]c(=O)n(C)c(=O)c2-c2nn[n-]n2)s1 ZINC001570934210 948929014 /nfs/dbraw/zinc/92/90/14/948929014.db2.gz YWEYRCSDAFWGLZ-BYPYZUCNSA-N -1 1 335.353 -0.001 20 0 EBADMM O=C(N[C@@H]1CCN(c2cnc(-c3nnn[n-]3)cn2)C1)c1cccnn1 ZINC001570935104 948966940 /nfs/dbraw/zinc/96/69/40/948966940.db2.gz LPBWVAKTXABEMX-SECBINFHSA-N -1 1 338.335 -0.545 20 0 EBADMM O=C(N[C@@H]1CCN(c2cnc(-c3nn[n-]n3)cn2)C1)c1cccnn1 ZINC001570935104 948966951 /nfs/dbraw/zinc/96/69/51/948966951.db2.gz LPBWVAKTXABEMX-SECBINFHSA-N -1 1 338.335 -0.545 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(c3cnc(-c4nn[n-]n4)cn3)C[C@H]21)c1ccn[nH]1 ZINC001570935482 948982503 /nfs/dbraw/zinc/98/25/03/948982503.db2.gz ODWGGLAHVOTLBW-JDICNCQASA-N -1 1 338.335 -0.756 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)[C@H]1CCOC1 ZINC001574689508 948988527 /nfs/dbraw/zinc/98/85/27/948988527.db2.gz HJUKBUFMRWYJMN-ZJUUUORDSA-N -1 1 332.368 0.000 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)[C@H]1CCOC1 ZINC001574689508 948988536 /nfs/dbraw/zinc/98/85/36/948988536.db2.gz HJUKBUFMRWYJMN-ZJUUUORDSA-N -1 1 332.368 0.000 20 0 EBADMM O=C(Cc1ccoc1)N[C@H]1C[C@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570937526 949077492 /nfs/dbraw/zinc/07/74/92/949077492.db2.gz FQHGPABYWWEYGZ-XYPYZODXSA-N -1 1 340.347 -0.027 20 0 EBADMM O=C(c1cn[nH]c1)N1CCC(Nc2ccnc(-c3nn[n-]n3)n2)CC1 ZINC001570937789 949082908 /nfs/dbraw/zinc/08/29/08/949082908.db2.gz JMQIVDSVBPIHPO-UHFFFAOYSA-N -1 1 340.351 -0.482 20 0 EBADMM O=C(N[C@H]1CCCN(c2nccnc2-c2nnn[n-]2)C1)c1ccn[nH]1 ZINC001570937823 949086562 /nfs/dbraw/zinc/08/65/62/949086562.db2.gz KIUQZLIYIZFSPK-VIFPVBQESA-N -1 1 340.351 -0.221 20 0 EBADMM O=C(N[C@H]1CCCN(c2nccnc2-c2nn[n-]n2)C1)c1ccn[nH]1 ZINC001570937823 949086581 /nfs/dbraw/zinc/08/65/81/949086581.db2.gz KIUQZLIYIZFSPK-VIFPVBQESA-N -1 1 340.351 -0.221 20 0 EBADMM C[C@H](CNC(=O)COCC1CC1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574930263 949106669 /nfs/dbraw/zinc/10/66/69/949106669.db2.gz KGVOUNPFCYYDGJ-SECBINFHSA-N -1 1 332.368 -0.578 20 0 EBADMM CC(=O)N[C@H]1CCN(S(=O)(=O)c2ccc(-c3nnn[n-]3)s2)C1 ZINC001570940122 949168609 /nfs/dbraw/zinc/16/86/09/949168609.db2.gz KUEKPPLBAMSJFO-QMMMGPOBSA-N -1 1 342.406 -0.173 20 0 EBADMM CC(=O)N[C@H]1CCN(S(=O)(=O)c2ccc(-c3nn[n-]n3)s2)C1 ZINC001570940122 949168618 /nfs/dbraw/zinc/16/86/18/949168618.db2.gz KUEKPPLBAMSJFO-QMMMGPOBSA-N -1 1 342.406 -0.173 20 0 EBADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@H](O)c1ccc(-c2nn[nH]n2)cc1 ZINC001570940826 949196903 /nfs/dbraw/zinc/19/69/03/949196903.db2.gz NYSQVRHIPUNDBZ-SNVBAGLBSA-N -1 1 341.335 -0.391 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(c3nccnc3-c3nnn[n-]3)C2)no1 ZINC001570941761 949243690 /nfs/dbraw/zinc/24/36/90/949243690.db2.gz DRDKEXUMPVFAAH-VIFPVBQESA-N -1 1 341.335 -0.038 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(c3nccnc3-c3nn[n-]n3)C2)no1 ZINC001570941761 949243709 /nfs/dbraw/zinc/24/37/09/949243709.db2.gz DRDKEXUMPVFAAH-VIFPVBQESA-N -1 1 341.335 -0.038 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CC[C@H](Cn3ccnn3)C2)n1 ZINC001570941839 949249197 /nfs/dbraw/zinc/24/91/97/949249197.db2.gz ABRVYGHPSONMRJ-VIFPVBQESA-N -1 1 343.355 -0.254 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CC[C@H](Cn3ccnn3)C2)n1 ZINC001570941839 949249217 /nfs/dbraw/zinc/24/92/17/949249217.db2.gz ABRVYGHPSONMRJ-VIFPVBQESA-N -1 1 343.355 -0.254 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@]1(C)CCOC1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574702114 949278172 /nfs/dbraw/zinc/27/81/72/949278172.db2.gz HBNWWXSGLVAZAF-BMIGLBTASA-N -1 1 346.395 -0.236 20 0 EBADMM CC(C)(C)C(=O)N(CCO)CCNc1ccnc(-c2nn[n-]n2)n1 ZINC001573793552 949303292 /nfs/dbraw/zinc/30/32/92/949303292.db2.gz USGYIOHOSHTTOV-UHFFFAOYSA-N -1 1 334.384 -0.643 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N[C@@H]2CCc3c(nnn3C)C2)n1 ZINC001570943166 949339579 /nfs/dbraw/zinc/33/95/79/949339579.db2.gz WISCLAXRPDIMSZ-SSDOTTSWSA-N -1 1 343.355 -0.592 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N[C@@H]2CCc3c(nnn3C)C2)n1 ZINC001570943166 949339599 /nfs/dbraw/zinc/33/95/99/949339599.db2.gz WISCLAXRPDIMSZ-SSDOTTSWSA-N -1 1 343.355 -0.592 20 0 EBADMM CC1(C(=O)N(CCO)CCNc2ccc(-c3nnn[n-]3)nn2)CC1 ZINC001575525507 949343187 /nfs/dbraw/zinc/34/31/87/949343187.db2.gz OXOLJRUUYLCEPO-UHFFFAOYSA-N -1 1 332.368 -0.310 20 0 EBADMM CC1(C(=O)N(CCO)CCNc2ccc(-c3nn[n-]n3)nn2)CC1 ZINC001575525507 949343207 /nfs/dbraw/zinc/34/32/07/949343207.db2.gz OXOLJRUUYLCEPO-UHFFFAOYSA-N -1 1 332.368 -0.310 20 0 EBADMM CC(C)(C)C(=O)N1CCO[C@H](CNc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001573796924 949343538 /nfs/dbraw/zinc/34/35/38/949343538.db2.gz XFZGNQVIKMQNLL-SNVBAGLBSA-N -1 1 346.395 -0.236 20 0 EBADMM CC1(C(=O)N(CCO)CCNc2nccnc2-c2nnn[n-]2)CC1 ZINC001575525865 949353652 /nfs/dbraw/zinc/35/36/52/949353652.db2.gz RWJIEASBEOWMBD-UHFFFAOYSA-N -1 1 332.368 -0.310 20 0 EBADMM CC1(C(=O)N(CCO)CCNc2nccnc2-c2nn[n-]n2)CC1 ZINC001575525865 949353674 /nfs/dbraw/zinc/35/36/74/949353674.db2.gz RWJIEASBEOWMBD-UHFFFAOYSA-N -1 1 332.368 -0.310 20 0 EBADMM C[C@@H](CNC(=O)Cc1ccoc1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574942608 949358704 /nfs/dbraw/zinc/35/87/04/949358704.db2.gz PSCLNMDBUXRAAN-VIFPVBQESA-N -1 1 328.336 -0.169 20 0 EBADMM C[C@H](NC(=O)C1=COCCO1)[C@H](C)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575260834 949365040 /nfs/dbraw/zinc/36/50/40/949365040.db2.gz XSWMBEWQCPKIIC-IUCAKERBSA-N -1 1 346.351 -0.728 20 0 EBADMM C[C@H](CNC(=O)Cc1cncn1C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574945579 949415979 /nfs/dbraw/zinc/41/59/79/949415979.db2.gz MVJRGDZXWCRRKM-SECBINFHSA-N -1 1 342.367 -0.451 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)N1CCN(C)C(=O)C1(C)C ZINC001570944667 949435660 /nfs/dbraw/zinc/43/56/60/949435660.db2.gz VPYDDNLEMGCEKJ-UHFFFAOYSA-N -1 1 345.363 -0.031 20 0 EBADMM C[C@H](CNC(=O)Cn1ccnc1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001574947527 949446207 /nfs/dbraw/zinc/44/62/07/949446207.db2.gz BTLDVEFFUBTMDC-SNVBAGLBSA-N -1 1 342.367 -0.506 20 0 EBADMM CC(C)(C)C(=O)NC[C@@]1(O)CCN(c2nccnc2-c2nnn[n-]2)C1 ZINC001573804229 949467522 /nfs/dbraw/zinc/46/75/22/949467522.db2.gz TYODAMDZQSPABR-HNNXBMFYSA-N -1 1 346.395 -0.240 20 0 EBADMM CC(C)(C)C(=O)NC[C@@]1(O)CCN(c2nccnc2-c2nn[n-]n2)C1 ZINC001573804229 949467534 /nfs/dbraw/zinc/46/75/34/949467534.db2.gz TYODAMDZQSPABR-HNNXBMFYSA-N -1 1 346.395 -0.240 20 0 EBADMM C[C@@H](CNC(=O)Cn1cccn1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001574948832 949470590 /nfs/dbraw/zinc/47/05/90/949470590.db2.gz NBTJZKJMYGQNLI-SNVBAGLBSA-N -1 1 342.367 -0.861 20 0 EBADMM CC(C)CC(=O)NC[C@@]1(O)CCN(c2ccnc(-c3nn[n-]n3)n2)C1 ZINC001574117532 949476435 /nfs/dbraw/zinc/47/64/35/949476435.db2.gz WRUBHRWMVZCDPW-HNNXBMFYSA-N -1 1 346.395 -0.240 20 0 EBADMM C[C@H]1CO[C@H](C(=O)N2CCN(c3ccnc(-c4nn[n-]n4)n3)CC2)C1 ZINC001570945504 949484418 /nfs/dbraw/zinc/48/44/18/949484418.db2.gz BNANPODIGZHOFT-MNOVXSKESA-N -1 1 344.379 -0.270 20 0 EBADMM C[C@H](O)c1cn(CC(=O)Nc2n[nH]c(C3CC3)c2-c2nn[n-]n2)nn1 ZINC001570945598 949487102 /nfs/dbraw/zinc/48/71/02/949487102.db2.gz FQRMDOQMTUENER-LURJTMIESA-N -1 1 344.339 -0.249 20 0 EBADMM O=C(NC[C@@H]1CCCN1c1cncc(-c2nnn[n-]2)n1)[C@@H]1CCOC1 ZINC001570945696 949494012 /nfs/dbraw/zinc/49/40/12/949494012.db2.gz HUSXJODLWHRIRD-MNOVXSKESA-N -1 1 344.379 -0.222 20 0 EBADMM O=C(NC[C@@H]1CCCN1c1cncc(-c2nn[n-]n2)n1)[C@@H]1CCOC1 ZINC001570945696 949494030 /nfs/dbraw/zinc/49/40/30/949494030.db2.gz HUSXJODLWHRIRD-MNOVXSKESA-N -1 1 344.379 -0.222 20 0 EBADMM CC(C)(C)C(=O)N[C@@H]1CN(c2ccc(-c3nnn[n-]3)nn2)C[C@@H]1O ZINC001573806775 949495452 /nfs/dbraw/zinc/49/54/52/949495452.db2.gz VOVMTSDZBNBCPA-ZJUUUORDSA-N -1 1 332.368 -0.632 20 0 EBADMM CC(C)(C)C(=O)N[C@@H]1CN(c2ccc(-c3nn[n-]n3)nn2)C[C@@H]1O ZINC001573806775 949495464 /nfs/dbraw/zinc/49/54/64/949495464.db2.gz VOVMTSDZBNBCPA-ZJUUUORDSA-N -1 1 332.368 -0.632 20 0 EBADMM CN(c1ccc(-c2nnn[n-]2)nn1)[C@@H]1CCN(C(=O)[C@H]2CCCO2)C1 ZINC001570945788 949502636 /nfs/dbraw/zinc/50/26/36/949502636.db2.gz INESKBMMLBKSLN-ZYHUDNBSSA-N -1 1 344.379 -0.127 20 0 EBADMM CN(c1ccc(-c2nn[n-]n2)nn1)[C@@H]1CCN(C(=O)[C@H]2CCCO2)C1 ZINC001570945788 949502654 /nfs/dbraw/zinc/50/26/54/949502654.db2.gz INESKBMMLBKSLN-ZYHUDNBSSA-N -1 1 344.379 -0.127 20 0 EBADMM O=C([C@H]1CCOC1)N1CCC[C@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570946504 949532023 /nfs/dbraw/zinc/53/20/23/949532023.db2.gz PROUNFFRIHRCFY-QWRGUYRKSA-N -1 1 344.379 -0.482 20 0 EBADMM O=C(N[C@H]1C[C@H](Nc2ccc(-c3nnn[n-]3)nn2)C1)[C@@H]1COCCO1 ZINC001570946365 949533324 /nfs/dbraw/zinc/53/33/24/949533324.db2.gz MFOTWFWSEDQCKA-QXEWZRGKSA-N -1 1 346.351 -0.869 20 0 EBADMM O=C(N[C@H]1C[C@H](Nc2ccc(-c3nn[n-]n3)nn2)C1)[C@@H]1COCCO1 ZINC001570946365 949533344 /nfs/dbraw/zinc/53/33/44/949533344.db2.gz MFOTWFWSEDQCKA-QXEWZRGKSA-N -1 1 346.351 -0.869 20 0 EBADMM O=C([C@H]1CCOC1)N1CCC[C@@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570946505 949535169 /nfs/dbraw/zinc/53/51/69/949535169.db2.gz PROUNFFRIHRCFY-WDEREUQCSA-N -1 1 344.379 -0.482 20 0 EBADMM CC(C)CC(=O)N[C@@H]1CN(c2ccnc(-c3nn[n-]n3)n2)C[C@H]1O ZINC001574124687 949576546 /nfs/dbraw/zinc/57/65/46/949576546.db2.gz JRNLCDHFNQRYHC-NXEZZACHSA-N -1 1 332.368 -0.632 20 0 EBADMM C[C@H](NC(=O)CCCC(N)=O)[C@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001575270771 949602101 /nfs/dbraw/zinc/60/21/01/949602101.db2.gz GCEAPUIKGUCSOJ-IUCAKERBSA-N -1 1 347.383 -0.383 20 0 EBADMM C[C@H](NC(=O)CCCC(N)=O)[C@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001575270771 949602111 /nfs/dbraw/zinc/60/21/11/949602111.db2.gz GCEAPUIKGUCSOJ-IUCAKERBSA-N -1 1 347.383 -0.383 20 0 EBADMM COc1ccc(C(=O)NCCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)o1 ZINC001570948236 949603246 /nfs/dbraw/zinc/60/32/46/949603246.db2.gz JIWWNABHSMYXGU-UHFFFAOYSA-N -1 1 347.291 -0.839 20 0 EBADMM C[C@@H](NC(=O)CCCC(N)=O)[C@H](C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575271266 949615819 /nfs/dbraw/zinc/61/58/19/949615819.db2.gz JBYFOHYRMKJEJR-DTWKUNHWSA-N -1 1 347.383 -0.383 20 0 EBADMM C[C@@H](NC(=O)CCCC(N)=O)[C@H](C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575271266 949615827 /nfs/dbraw/zinc/61/58/27/949615827.db2.gz JBYFOHYRMKJEJR-DTWKUNHWSA-N -1 1 347.383 -0.383 20 0 EBADMM CC1(C)C(CNC(=O)Cn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)C1(C)C ZINC001570949243 949635424 /nfs/dbraw/zinc/63/54/24/949635424.db2.gz TUIARMIVODHOAJ-UHFFFAOYSA-N -1 1 347.379 -0.073 20 0 EBADMM O=C(CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)NC[C@H]1CCCOC1 ZINC001570949893 949661046 /nfs/dbraw/zinc/66/10/46/949661046.db2.gz BOCCSQDQSQFNTA-SECBINFHSA-N -1 1 349.351 -0.938 20 0 EBADMM C[C@@H](CN(C)C(=O)C[C@H]1CCOC1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574716335 949689986 /nfs/dbraw/zinc/68/99/86/949689986.db2.gz JNCDFBHBZHSZQV-WDEREUQCSA-N -1 1 346.395 -0.236 20 0 EBADMM Cc1onc(CC(=O)N[C@H](CO)Cc2cncs2)c1-c1nnn[n-]1 ZINC001570950770 949702131 /nfs/dbraw/zinc/70/21/31/949702131.db2.gz TXYWPKCTWGEVAS-QMMMGPOBSA-N -1 1 349.376 -0.118 20 0 EBADMM Cc1onc(CC(=O)N[C@H](CO)Cc2cncs2)c1-c1nn[n-]n1 ZINC001570950770 949702144 /nfs/dbraw/zinc/70/21/44/949702144.db2.gz TXYWPKCTWGEVAS-QMMMGPOBSA-N -1 1 349.376 -0.118 20 0 EBADMM CC(C)[C@@H](O)C(=O)NC[C@H](C)CNc1cncc(-c2nnn[n-]2)n1 ZINC001574964088 949765998 /nfs/dbraw/zinc/76/59/98/949765998.db2.gz PTDXXTNDSJFCBB-BXKDBHETSA-N -1 1 334.384 -0.162 20 0 EBADMM CC(C)[C@@H](O)C(=O)NC[C@H](C)CNc1cncc(-c2nn[n-]n2)n1 ZINC001574964088 949766018 /nfs/dbraw/zinc/76/60/18/949766018.db2.gz PTDXXTNDSJFCBB-BXKDBHETSA-N -1 1 334.384 -0.162 20 0 EBADMM CC(C)(C)CC(=O)NC[C@@H](CO)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001573835784 950065418 /nfs/dbraw/zinc/06/54/18/950065418.db2.gz BYWILPNGAVCNDB-VIFPVBQESA-N -1 1 334.384 -0.596 20 0 EBADMM C[C@@H](CN(C)C(=O)Cc1ncc[nH]1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574732231 950071809 /nfs/dbraw/zinc/07/18/09/950071809.db2.gz HKUJSCHGSQLNJC-VIFPVBQESA-N -1 1 342.367 -0.119 20 0 EBADMM C[C@@H](CN(C)C(=O)Cc1ncc[nH]1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574732231 950071827 /nfs/dbraw/zinc/07/18/27/950071827.db2.gz HKUJSCHGSQLNJC-VIFPVBQESA-N -1 1 342.367 -0.119 20 0 EBADMM CC(C)(C)CC(=O)NC[C@H](O)CNc1cncc(-c2nnn[n-]2)n1 ZINC001573836213 950072413 /nfs/dbraw/zinc/07/24/13/950072413.db2.gz HADWETJXZKYOTB-SECBINFHSA-N -1 1 334.384 -0.018 20 0 EBADMM CC(C)(C)CC(=O)NC[C@H](O)CNc1cncc(-c2nn[n-]n2)n1 ZINC001573836213 950072428 /nfs/dbraw/zinc/07/24/28/950072428.db2.gz HADWETJXZKYOTB-SECBINFHSA-N -1 1 334.384 -0.018 20 0 EBADMM C[C@H](CN(C)C(=O)Cc1ncc[nH]1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574732547 950074256 /nfs/dbraw/zinc/07/42/56/950074256.db2.gz JUXZCCLSTGNZKK-SECBINFHSA-N -1 1 342.367 -0.697 20 0 EBADMM CCN(C(=O)C(=O)NCC1(C(=O)[O-])CCOCC1)[C@H]1CCN(C)C1 ZINC001589696869 950173738 /nfs/dbraw/zinc/17/37/38/950173738.db2.gz FHFZWODIQIMAJQ-LBPRGKRZSA-N -1 1 341.408 -0.463 20 0 EBADMM C[C@@H](CN(C)C(=O)c1c[nH]c(-c2nn[nH]n2)c1)NCc1n[nH]c(=O)[n-]1 ZINC001574739733 950206629 /nfs/dbraw/zinc/20/66/29/950206629.db2.gz WRXHRPGWQMQDMV-ZETCQYMHSA-N -1 1 346.355 -0.731 20 0 EBADMM C[C@H](CNC(=O)c1cnc[nH]c1=O)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574983959 950252467 /nfs/dbraw/zinc/25/24/67/950252467.db2.gz UBAPECVIGRZSNB-SSDOTTSWSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@H](CNC(=O)c1cnc[nH]c1=O)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574983959 950252485 /nfs/dbraw/zinc/25/24/85/950252485.db2.gz UBAPECVIGRZSNB-SSDOTTSWSA-N -1 1 342.323 -0.617 20 0 EBADMM CC1(C(=O)N[C@@H]2CN(c3cncc(-c4nnn[n-]4)n3)C[C@@H]2O)CCC1 ZINC001575605413 950464978 /nfs/dbraw/zinc/46/49/78/950464978.db2.gz NLRXZNNXVONHLY-MNOVXSKESA-N -1 1 344.379 -0.487 20 0 EBADMM CC1(C(=O)N[C@@H]2CN(c3cncc(-c4nn[n-]n4)n3)C[C@@H]2O)CCC1 ZINC001575605413 950464991 /nfs/dbraw/zinc/46/49/91/950464991.db2.gz NLRXZNNXVONHLY-MNOVXSKESA-N -1 1 344.379 -0.487 20 0 EBADMM CC(C)CCC(=O)N(C)C[C@@H](O)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001574167468 950483859 /nfs/dbraw/zinc/48/38/59/950483859.db2.gz COVGUOMEROETPU-NSHDSACASA-N -1 1 348.411 -0.254 20 0 EBADMM C[C@@H]1[C@@H](Nc2ccnc(-c3nn[n-]n3)n2)CCN1C(=O)c1ccon1 ZINC001575920548 950632597 /nfs/dbraw/zinc/63/25/97/950632597.db2.gz MLCJVPXLFWCVLS-BDAKNGLRSA-N -1 1 341.335 -0.218 20 0 EBADMM C[C@@H](CNC(=O)c1ccn[nH]1)CNc1nccnc1-c1nnn[n-]1 ZINC001575005872 950707100 /nfs/dbraw/zinc/70/71/00/950707100.db2.gz PACVXSPLPHFIMP-MRVPVSSYSA-N -1 1 328.340 -0.142 20 0 EBADMM C[C@@H](CNC(=O)c1ccn[nH]1)CNc1nccnc1-c1nn[n-]n1 ZINC001575005872 950707114 /nfs/dbraw/zinc/70/71/14/950707114.db2.gz PACVXSPLPHFIMP-MRVPVSSYSA-N -1 1 328.340 -0.142 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ccnn1C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574762502 950708948 /nfs/dbraw/zinc/70/89/48/950708948.db2.gz YITKOPBGZUQHEU-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ccnn1C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574762502 950708959 /nfs/dbraw/zinc/70/89/59/950708959.db2.gz YITKOPBGZUQHEU-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CN(C)C(=O)c1cnccn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574763461 950713635 /nfs/dbraw/zinc/71/36/35/950713635.db2.gz BMZKKBWXTDTGKO-SECBINFHSA-N -1 1 340.351 -0.559 20 0 EBADMM C[C@@H](CNC(=O)c1cc[nH]c(=O)c1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575006872 950726314 /nfs/dbraw/zinc/72/63/14/950726314.db2.gz XLBUQDGQFIOBTP-QMMMGPOBSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@@H](CNC(=O)c1cc[nH]c(=O)c1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575006872 950726324 /nfs/dbraw/zinc/72/63/24/950726324.db2.gz XLBUQDGQFIOBTP-QMMMGPOBSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cnn(C)n1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574764145 950741992 /nfs/dbraw/zinc/74/19/92/950741992.db2.gz PWKMSMBKOHROMV-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cnn(C)n1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574764145 950742000 /nfs/dbraw/zinc/74/20/00/950742000.db2.gz PWKMSMBKOHROMV-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cncn1C)Nc1nccnc1-c1nnn[n-]1 ZINC001574764759 950761533 /nfs/dbraw/zinc/76/15/33/950761533.db2.gz XXDNENRSYBBHRT-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cncn1C)Nc1nccnc1-c1nn[n-]n1 ZINC001574764759 950761546 /nfs/dbraw/zinc/76/15/46/950761546.db2.gz XXDNENRSYBBHRT-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CN(C)C(=O)c1nc[nH]n1)Nc1nccnc1-c1nnn[n-]1 ZINC001574765065 950772326 /nfs/dbraw/zinc/77/23/26/950772326.db2.gz CUMLGFLOGGADNR-ZETCQYMHSA-N -1 1 329.328 -0.653 20 0 EBADMM C[C@@H](CN(C)C(=O)c1nc[nH]n1)Nc1nccnc1-c1nn[n-]n1 ZINC001574765065 950772335 /nfs/dbraw/zinc/77/23/35/950772335.db2.gz CUMLGFLOGGADNR-ZETCQYMHSA-N -1 1 329.328 -0.653 20 0 EBADMM CC1(C)C[C@](C)(NC(=O)NCCn2cnc(-c3nn[n-]n3)n2)C(=O)O1 ZINC001575631740 950777512 /nfs/dbraw/zinc/77/75/12/950777512.db2.gz SDMCQTHVVIOSQK-ZDUSSCGKSA-N -1 1 349.355 -0.758 20 0 EBADMM C[C@H](CN(C)C(=O)c1ncccn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574765398 950785635 /nfs/dbraw/zinc/78/56/35/950785635.db2.gz HUGUDUNMJVGXPY-SECBINFHSA-N -1 1 340.351 -0.559 20 0 EBADMM C[C@@H]1[C@@H](Nc2cncc(-c3nnn[n-]3)n2)CCN1C(=O)c1cn[nH]n1 ZINC001575934108 950853513 /nfs/dbraw/zinc/85/35/13/950853513.db2.gz QIAKUACNWZVNCT-SFYZADRCSA-N -1 1 341.339 -0.510 20 0 EBADMM C[C@@H]1[C@@H](Nc2cncc(-c3nn[n-]n3)n2)CCN1C(=O)c1cn[nH]n1 ZINC001575934108 950853534 /nfs/dbraw/zinc/85/35/34/950853534.db2.gz QIAKUACNWZVNCT-SFYZADRCSA-N -1 1 341.339 -0.510 20 0 EBADMM CCOC(=O)C1=C(CN[C@]2(C(=O)[O-])CCOC2)NC(=O)N[C@@H]1C ZINC001590179840 950871384 /nfs/dbraw/zinc/87/13/84/950871384.db2.gz SVSYOORIOSJRSN-XLKFXECMSA-N -1 1 327.337 -0.662 20 0 EBADMM CCOC(=O)N1CCN(C(=O)CNC2(C(=O)[O-])CCOCC2)CC1 ZINC001590883839 951013505 /nfs/dbraw/zinc/01/35/05/951013505.db2.gz DRVJUXGHYUBBRK-UHFFFAOYSA-N -1 1 343.380 -0.490 20 0 EBADMM C[C@H](CNC(=O)c1ccncn1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001575033780 951229660 /nfs/dbraw/zinc/22/96/60/951229660.db2.gz ATEWZPJABWKZDZ-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1ccncn1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575033780 951229665 /nfs/dbraw/zinc/22/96/65/951229665.db2.gz ATEWZPJABWKZDZ-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@@H](CNC(=O)c1ccncc1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575034875 951257482 /nfs/dbraw/zinc/25/74/82/951257482.db2.gz PUGMRKCTFBZOHY-SNVBAGLBSA-N -1 1 339.363 -0.048 20 0 EBADMM C[C@H](CNC(=O)c1ccnn1C)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575035003 951263175 /nfs/dbraw/zinc/26/31/75/951263175.db2.gz RXIAVSJPVQGQPY-VIFPVBQESA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@H](CNC(=O)c1ccnn1C)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575035003 951263189 /nfs/dbraw/zinc/26/31/89/951263189.db2.gz RXIAVSJPVQGQPY-VIFPVBQESA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@@H](CNC(=O)c1ccn(C)n1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001575036735 951302043 /nfs/dbraw/zinc/30/20/43/951302043.db2.gz XHSPQBQPHOAWHR-QMMMGPOBSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@@H](CNC(=O)c1ccn(C)n1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575036735 951302048 /nfs/dbraw/zinc/30/20/48/951302048.db2.gz XHSPQBQPHOAWHR-QMMMGPOBSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)c(=O)[nH]1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575042360 951358265 /nfs/dbraw/zinc/35/82/65/951358265.db2.gz IJPNPNMIFLGHPQ-ZETCQYMHSA-N -1 1 344.339 -0.674 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)nn1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575042719 951369163 /nfs/dbraw/zinc/36/91/63/951369163.db2.gz MNHDZKSAYWSFLN-QMMMGPOBSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)nn1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575042719 951369174 /nfs/dbraw/zinc/36/91/74/951369174.db2.gz MNHDZKSAYWSFLN-QMMMGPOBSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@@H](CNC(=O)c1cncn1C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575045369 951425917 /nfs/dbraw/zinc/42/59/17/951425917.db2.gz AZVWLJIXNQUEIV-QMMMGPOBSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@@H](CNC(=O)c1cncn1C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575045369 951425921 /nfs/dbraw/zinc/42/59/21/951425921.db2.gz AZVWLJIXNQUEIV-QMMMGPOBSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@@H](CNC(=O)c1cnccn1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575045421 951427636 /nfs/dbraw/zinc/42/76/36/951427636.db2.gz CBWGJJCUBAWMJV-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@@H](CNC(=O)c1cnccn1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575045421 951427643 /nfs/dbraw/zinc/42/76/43/951427643.db2.gz CBWGJJCUBAWMJV-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1cncnc1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001575046953 951451195 /nfs/dbraw/zinc/45/11/95/951451195.db2.gz VQSQQIYDVMMBFW-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@H](CNC(=O)c1cncnc1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575046953 951451202 /nfs/dbraw/zinc/45/12/02/951451202.db2.gz VQSQQIYDVMMBFW-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@H](CNC(=O)c1cnccn1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575047211 951454618 /nfs/dbraw/zinc/45/46/18/951454618.db2.gz ZNHDNMLBIJJPFQ-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1cnccn1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575047211 951454625 /nfs/dbraw/zinc/45/46/25/951454625.db2.gz ZNHDNMLBIJJPFQ-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)c1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575052805 951471068 /nfs/dbraw/zinc/47/10/68/951471068.db2.gz OLBGZGCSSGAVEX-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)c1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575052805 951471081 /nfs/dbraw/zinc/47/10/81/951471081.db2.gz OLBGZGCSSGAVEX-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)n1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001575053227 951476364 /nfs/dbraw/zinc/47/63/64/951476364.db2.gz RQGJWZAXVHAWQM-SSDOTTSWSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)n1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575053227 951476372 /nfs/dbraw/zinc/47/63/72/951476372.db2.gz RQGJWZAXVHAWQM-SSDOTTSWSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@H](CNC(=O)c1cnns1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575055296 951501188 /nfs/dbraw/zinc/50/11/88/951501188.db2.gz PUBXZQMXNVWPCG-ZETCQYMHSA-N -1 1 346.380 -0.592 20 0 EBADMM C[C@H](CNC(=O)c1cnns1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575055535 951506918 /nfs/dbraw/zinc/50/69/18/951506918.db2.gz WODWDABQELHSHZ-SSDOTTSWSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@@H](CNC(=O)c1csnn1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575062462 951568767 /nfs/dbraw/zinc/56/87/67/951568767.db2.gz WJDCUSGSPDFRCW-LURJTMIESA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@@H](CNC(=O)c1csnn1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575062462 951568778 /nfs/dbraw/zinc/56/87/78/951568778.db2.gz WJDCUSGSPDFRCW-LURJTMIESA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])Nc1cnc(-c2nn[nH]n2)cn1 ZINC001575068237 951631458 /nfs/dbraw/zinc/63/14/58/951631458.db2.gz YILJXNWIJCJBPG-QMMMGPOBSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@@H](CNC(=O)c1ncn(C)n1)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001575068290 951633511 /nfs/dbraw/zinc/63/35/11/951633511.db2.gz ZLVUQWNZUMOKHK-MRVPVSSYSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@@H](CNC(=O)c1ncn(C)n1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001575068290 951633515 /nfs/dbraw/zinc/63/35/15/951633515.db2.gz ZLVUQWNZUMOKHK-MRVPVSSYSA-N -1 1 343.355 -0.737 20 0 EBADMM O=C([O-])COCCNC(=O)N1CCC[C@H](N2CCOCC2)CC1 ZINC001595113757 951928826 /nfs/dbraw/zinc/92/88/26/951928826.db2.gz MIFLXUZKGNRQND-ZDUSSCGKSA-N -1 1 329.397 -0.016 20 0 EBADMM C[C@@H](CNc1[nH]c(=O)n(C)c(=O)c1-c1nn[n-]n1)N1CCCCC1=O ZINC001575116258 951970076 /nfs/dbraw/zinc/97/00/76/951970076.db2.gz BRPZCELYFPCMCY-QMMMGPOBSA-N -1 1 348.367 -0.521 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)[C@]12C[C@H]1COC2 ZINC001575140559 952142375 /nfs/dbraw/zinc/14/23/75/952142375.db2.gz DPUDUGQWBAUZLQ-YHAQOWFVSA-N -1 1 344.379 -0.626 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)[C@@H]1CCCO1 ZINC001575143788 952157420 /nfs/dbraw/zinc/15/74/20/952157420.db2.gz RSTXABLBOSZWSY-ZJUUUORDSA-N -1 1 332.368 -0.484 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)[C@H]1CCCO1 ZINC001575143785 952157542 /nfs/dbraw/zinc/15/75/42/952157542.db2.gz RSTXABLBOSZWSY-VHSXEESVSA-N -1 1 332.368 -0.484 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1cnns1 ZINC001575143843 952158142 /nfs/dbraw/zinc/15/81/42/952158142.db2.gz CYMLHBZHDSCECN-ZETCQYMHSA-N -1 1 346.380 -0.497 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)Cc1ccon1 ZINC001575143997 952161778 /nfs/dbraw/zinc/16/17/78/952161778.db2.gz SYGCNYKCFFXGFU-VIFPVBQESA-N -1 1 343.351 -0.432 20 0 EBADMM O=C([O-])Cn1cccc(C(=O)N2CCN(C[C@H]3CCOC3)CC2)c1=O ZINC001595155271 952162101 /nfs/dbraw/zinc/16/21/01/952162101.db2.gz VDZWAEDSGVJPAZ-CYBMUJFWSA-N -1 1 349.387 -0.273 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)COCC1CC1 ZINC001575144311 952165215 /nfs/dbraw/zinc/16/52/15/952165215.db2.gz UXPBZRXOPNCCHO-JTQLQIEISA-N -1 1 346.395 -0.236 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)CC(C)(C)O ZINC001575144506 952168299 /nfs/dbraw/zinc/16/82/99/952168299.db2.gz WBUOEXBDKYQWHH-VIFPVBQESA-N -1 1 334.384 -0.502 20 0 EBADMM O=C([O-])[C@@H]1CC[C@@H]1C(=O)N1CCC(O)(CN2CCOCC2)CC1 ZINC001595172162 952225024 /nfs/dbraw/zinc/22/50/24/952225024.db2.gz GILYBBVYHPKIEF-QWHCGFSZSA-N -1 1 326.393 -0.217 20 0 EBADMM C[C@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)[C@H]1COC(=O)N1 ZINC001575154715 952229085 /nfs/dbraw/zinc/22/90/85/952229085.db2.gz VDNAAVMGTFUXOD-VXNVDRBHSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)[C@H]1COC(=O)N1 ZINC001575154715 952229094 /nfs/dbraw/zinc/22/90/94/952229094.db2.gz VDNAAVMGTFUXOD-VXNVDRBHSA-N -1 1 347.339 -0.976 20 0 EBADMM CC(CO)(CO)CNS(=O)(=O)c1ccc(-c2nn[n-]n2)c(F)c1 ZINC001575179580 952413203 /nfs/dbraw/zinc/41/32/03/952413203.db2.gz WHWYEZQCLGYZSO-UHFFFAOYSA-N -1 1 345.356 -0.725 20 0 EBADMM C[C@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)[C@@H]1CCC(=O)N1 ZINC001575180527 952418451 /nfs/dbraw/zinc/41/84/51/952418451.db2.gz VJQXYSCCPOHWLM-BDAKNGLRSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)[C@@H]1CCC(=O)N1 ZINC001575180527 952418454 /nfs/dbraw/zinc/41/84/54/952418454.db2.gz VJQXYSCCPOHWLM-BDAKNGLRSA-N -1 1 345.367 -0.806 20 0 EBADMM O=C(N[C@H]1C[C@@H](C(=O)[O-])C1)C(=O)N1CC[C@@H]2[C@@H]1CCCN2CCO ZINC001594792616 953697173 /nfs/dbraw/zinc/69/71/73/953697173.db2.gz SCPXVROXBCSPJF-XQHKEYJVSA-N -1 1 339.392 -0.977 20 0 EBADMM CN(C)C1(CNC(=O)C2(C(=O)[O-])CCS(=O)(=O)CC2)CCC1 ZINC001593693076 954054132 /nfs/dbraw/zinc/05/41/32/954054132.db2.gz IRCOLWWVMDBPTA-UHFFFAOYSA-N -1 1 332.422 -0.134 20 0 EBADMM CN(C)Cc1ccc(C(N)=O)cc1NC(=O)NC[C@](C)(O)C(=O)[O-] ZINC001593702151 954128879 /nfs/dbraw/zinc/12/88/79/954128879.db2.gz JXQMUBAPKQNFIO-HNNXBMFYSA-N -1 1 338.364 -0.196 20 0 EBADMM CN(C)[C@@H]1CCC[C@H]1NC(=O)C1(C(=O)[O-])CCS(=O)(=O)CC1 ZINC001593709484 954179169 /nfs/dbraw/zinc/17/91/69/954179169.db2.gz XREPOOWQBAKGIU-GHMZBOCLSA-N -1 1 332.422 -0.135 20 0 EBADMM O=C([O-])C1(C(=O)N2CCN([C@@H]3CCC[C@@H]3O)CC2)CCOCC1 ZINC001594851447 954194929 /nfs/dbraw/zinc/19/49/29/954194929.db2.gz TXEQWSJOQOFEQN-OLZOCXBDSA-N -1 1 326.393 -0.075 20 0 EBADMM CN(CCC(=O)[O-])C(=O)C(=O)N1CCC[C@H](CN2CCOCC2)C1 ZINC001593731110 954318373 /nfs/dbraw/zinc/31/83/73/954318373.db2.gz FFNIJPKDSQWNCM-CYBMUJFWSA-N -1 1 341.408 -0.510 20 0 EBADMM O=C([O-])[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCC[N@@H+]1CCOCCO ZINC001594896842 954574037 /nfs/dbraw/zinc/57/40/37/954574037.db2.gz SXIWKJVBULNSHW-DTWKUNHWSA-N -1 1 328.287 -0.409 20 0 EBADMM O=C([O-])[C@@H]1CC(=O)N(CN2CC[C@H](C(=O)NCC(F)(F)F)C2)C1 ZINC001594899047 954601719 /nfs/dbraw/zinc/60/17/19/954601719.db2.gz YUHQHMBYGIOCQW-DTWKUNHWSA-N -1 1 337.298 -0.123 20 0 EBADMM CN1CCC[C@H]2[C@H]1CCN2C(=O)C(=O)Nc1ccn(CC(=O)[O-])n1 ZINC001593780520 954650769 /nfs/dbraw/zinc/65/07/69/954650769.db2.gz GIUPJEHEXMISNN-MNOVXSKESA-N -1 1 335.364 -0.399 20 0 EBADMM CC(C)[C@H]1CN(C(=O)C2(C(=O)[O-])CCS(=O)(=O)CC2)CCN1 ZINC001602999929 972038117 /nfs/dbraw/zinc/03/81/17/972038117.db2.gz KSNUCEDUUOCUPC-LLVKDONJSA-N -1 1 332.422 -0.278 20 0 EBADMM CNC(=O)NC[C@@H]1CN(CC2(O)CCC(C(=O)[O-])CC2)CCO1 ZINC001593795592 954807423 /nfs/dbraw/zinc/80/74/23/954807423.db2.gz YYBFUQNRYFVGFQ-MQYJIDSJSA-N -1 1 329.397 -0.378 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC[N@@H+]2CCC[C@@H]2C(=O)[O-])co1 ZINC001593798842 954841856 /nfs/dbraw/zinc/84/18/56/954841856.db2.gz BVNZBPTWBZEIHU-SNVBAGLBSA-N -1 1 346.361 -0.107 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC[N@H+]2CCC[C@@H]2C(=O)[O-])co1 ZINC001593798842 954841866 /nfs/dbraw/zinc/84/18/66/954841866.db2.gz BVNZBPTWBZEIHU-SNVBAGLBSA-N -1 1 346.361 -0.107 20 0 EBADMM Cn1cnnc1CN1CCC[C@@H](NC(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC001594559211 955792833 /nfs/dbraw/zinc/79/28/33/955792833.db2.gz ATDUPJQUONZFSZ-APPZFPTMSA-N -1 1 335.286 -0.089 20 0 EBADMM CO[C@](C)(C(=O)[O-])C(=O)NCc1ccc(N2CCN(C)CC2)nc1 ZINC001593926180 956129570 /nfs/dbraw/zinc/12/95/70/956129570.db2.gz YESARFQYCNEDJH-INIZCTEOSA-N -1 1 336.392 -0.061 20 0 EBADMM C[C@H](N[C@H]1CCN(CC(=O)[O-])C1=O)c1cccc(S(N)(=O)=O)c1 ZINC001589124365 956156116 /nfs/dbraw/zinc/15/61/16/956156116.db2.gz VKVBJJJXOVVZBD-CABZTGNLSA-N -1 1 341.389 -0.330 20 0 EBADMM COC[C@]1(C(=O)[O-])CCN(C(=O)NCCN2CCCOCC2)C1 ZINC001593962921 956508841 /nfs/dbraw/zinc/50/88/41/956508841.db2.gz BXQNZMMUZSRDCD-HNNXBMFYSA-N -1 1 329.397 -0.159 20 0 EBADMM N[C@@H](CC(=O)[O-])c1noc(CSCC(=O)N2CCOCC2)n1 ZINC001594660448 956531548 /nfs/dbraw/zinc/53/15/48/956531548.db2.gz ZEACRLKJUVJXJO-QMMMGPOBSA-N -1 1 330.366 -0.364 20 0 EBADMM COC[C@@]1(C(=O)[O-])CCN(CC(=O)NCC(=O)N2CCCC2)C1 ZINC001593966334 956545497 /nfs/dbraw/zinc/54/54/97/956545497.db2.gz IBHHGRDAYBEONH-OAHLLOKOSA-N -1 1 327.381 -0.852 20 0 EBADMM COC[C@]1(C(=O)[O-])CCN(CN2CC3(CCOCC3)[C@H](O)C2=O)C1 ZINC001593967738 956576622 /nfs/dbraw/zinc/57/66/22/956576622.db2.gz VJRFADFBVALMGN-WBMJQRKESA-N -1 1 342.392 -0.633 20 0 EBADMM COCCOCCc1ncc(C(=O)N2CCOC[C@H]2C(=O)[O-])[nH]1 ZINC001594017718 957046907 /nfs/dbraw/zinc/04/69/07/957046907.db2.gz WZHZGEKGKGBXEV-NSHDSACASA-N -1 1 327.337 -0.459 20 0 EBADMM COc1ccnc(N2CCN(C(=O)[C@H]3CC(C(=O)[O-])=NO3)CC2)c1 ZINC001594150563 958790924 /nfs/dbraw/zinc/79/09/24/958790924.db2.gz KXTNQOXZVXNDHO-GFCCVEGCSA-N -1 1 334.332 -0.032 20 0 EBADMM CC(C)(C(=O)[O-])n1ccc(NC(=O)NCCN2CC[C@@H](O)C2)n1 ZINC001588625119 959566604 /nfs/dbraw/zinc/56/66/04/959566604.db2.gz BDIQAPRMXBRRDZ-SNVBAGLBSA-N -1 1 325.369 -0.109 20 0 EBADMM C[C@H]1CN(C2CCOCC2)CCN1C(=O)C(=O)N(C)CCC(=O)[O-] ZINC001594246125 959572771 /nfs/dbraw/zinc/57/27/71/959572771.db2.gz WXSGKHSKBOWFLT-LBPRGKRZSA-N -1 1 341.408 -0.369 20 0 EBADMM CC(C)(C)N1CC[C@H]1CNC(=O)C(=O)Nc1ccn(CC(=O)[O-])n1 ZINC001588660430 960436646 /nfs/dbraw/zinc/43/66/46/960436646.db2.gz ZJAYPWMTCGQZDO-JTQLQIEISA-N -1 1 337.380 -0.105 20 0 EBADMM C[C@@H]1C[N@H+](CCC(=O)[O-])CCN1C(=O)CSc1n[nH]c(=O)[n-]1 ZINC001571186683 962215761 /nfs/dbraw/zinc/21/57/61/962215761.db2.gz TZFNYHFNXOHWMT-MRVPVSSYSA-N -1 1 329.382 -0.390 20 0 EBADMM C[C@@H]1C[N@@H+](CCC(=O)[O-])CCN1C(=O)CSc1n[nH]c(=O)[n-]1 ZINC001571186683 962215783 /nfs/dbraw/zinc/21/57/83/962215783.db2.gz TZFNYHFNXOHWMT-MRVPVSSYSA-N -1 1 329.382 -0.390 20 0 EBADMM Cc1nc([C@H]2CCN(C(=O)Cn3cccc(C(=O)[O-])c3=O)C2)n[nH]1 ZINC001571196266 962314309 /nfs/dbraw/zinc/31/43/09/962314309.db2.gz RIOVJELRLZWGEX-JTQLQIEISA-N -1 1 331.332 -0.011 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC001571200025 962354245 /nfs/dbraw/zinc/35/42/45/962354245.db2.gz DYVLNFIFAXKRCX-KWQFWETISA-N -1 1 332.320 -0.702 20 0 EBADMM Cc1nn[nH]c1C(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001571211211 962454347 /nfs/dbraw/zinc/45/43/47/962454347.db2.gz XEOGEAXZRUYZJB-VLEAKVRGSA-N -1 1 333.348 -0.351 20 0 EBADMM C[N@H+]1CCN(C(=O)c2ccc(OCC(N)=O)cc2)C[C@@H](C(=O)[O-])C1 ZINC001571223117 962559505 /nfs/dbraw/zinc/55/95/05/962559505.db2.gz FHGCTKUFLPAYOL-LBPRGKRZSA-N -1 1 335.360 -0.361 20 0 EBADMM C[C@@H](C(=O)NC(=O)NCc1ccccc1)N1CC[C@@](O)(C(=O)[O-])C1 ZINC001571223649 962564186 /nfs/dbraw/zinc/56/41/86/962564186.db2.gz JASAASBGLCXXEC-ZBEGNZNMSA-N -1 1 335.360 -0.078 20 0 EBADMM COCC[N@H+]1CC[C@@H](NC(=O)N2CCO[C@@](COC)(C(=O)[O-])C2)C1 ZINC001574163501 962712021 /nfs/dbraw/zinc/71/20/21/962712021.db2.gz SOOZTTXGANDXHK-DOMZBBRYSA-N -1 1 345.396 -0.781 20 0 EBADMM COCCN1CC[C@@H](NC(=O)N2CCO[C@@](COC)(C(=O)[O-])C2)C1 ZINC001574163501 962712036 /nfs/dbraw/zinc/71/20/36/962712036.db2.gz SOOZTTXGANDXHK-DOMZBBRYSA-N -1 1 345.396 -0.781 20 0 EBADMM O=C([O-])C1CCN(S(=O)(=O)N2CCC[N@H+](CCO)CC2)CC1 ZINC000318815164 970475955 /nfs/dbraw/zinc/47/59/55/970475955.db2.gz PJMQMTBHUPQEKE-UHFFFAOYSA-N -1 1 335.426 -0.972 20 0 EBADMM O=C([O-])C1CCN(S(=O)(=O)N2CCCN(CCO)CC2)CC1 ZINC000318815164 970475958 /nfs/dbraw/zinc/47/59/58/970475958.db2.gz PJMQMTBHUPQEKE-UHFFFAOYSA-N -1 1 335.426 -0.972 20 0 EBADMM Cc1ccc(C(=O)[O-])cc1S(=O)(=O)NCC(=O)N1CCNCC1 ZINC000321073103 970740865 /nfs/dbraw/zinc/74/08/65/970740865.db2.gz IEBRMBLXGOHTNM-UHFFFAOYSA-N -1 1 341.389 -0.597 20 0 EBADMM C[C@@]1(NC(=O)C(F)(F)F)CCN(C(=O)[C@@H]2CC(C(=O)[O-])=NO2)C1 ZINC001603346067 972746657 /nfs/dbraw/zinc/74/66/57/972746657.db2.gz DGXZTPBGMXYUGC-WRWORJQWSA-N -1 1 337.254 -0.115 20 0 EBADMM O=C([O-])C1=NO[C@H](C(=O)N2CCC[C@H](N3CCOCC3)CC2)C1 ZINC001605963609 972939851 /nfs/dbraw/zinc/93/98/51/972939851.db2.gz GIQICFUQWVNGKL-AAEUAGOBSA-N -1 1 325.365 -0.071 20 0 EBADMM C[C@H]1CN(CCNS(=O)(=O)c2cnn(C)c2)CC[C@@H]1C(=O)[O-] ZINC001603461527 973301223 /nfs/dbraw/zinc/30/12/23/973301223.db2.gz PUJNNRYXGCETOA-JQWIXIFHSA-N -1 1 330.410 -0.259 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CCC[C@H](NC(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC001605394388 973370763 /nfs/dbraw/zinc/37/07/63/973370763.db2.gz JSSZCHPNPXUHDT-POYBYMJQSA-N -1 1 349.269 -0.151 20 0 EBADMM Cc1nc(C2CN(C(=O)C3(C(=O)[O-])CCS(=O)(=O)CC3)C2)n[nH]1 ZINC001605403361 973398166 /nfs/dbraw/zinc/39/81/66/973398166.db2.gz YANDUADPBWXOIG-UHFFFAOYSA-N -1 1 342.377 -0.681 20 0 EBADMM O=C([O-])CCNC(=O)C(=O)NC1CCN(Cc2ccccn2)CC1 ZINC001606190306 973437286 /nfs/dbraw/zinc/43/72/86/973437286.db2.gz MEKAQNVQPVYEKC-UHFFFAOYSA-N -1 1 334.376 -0.247 20 0 EBADMM COC[C@@]1(C(=O)[O-])CN(C(=O)CN(C)[C@@H]2CCSC2)CCO1 ZINC001604551416 973572476 /nfs/dbraw/zinc/57/24/76/973572476.db2.gz REPJKHPQXQAJNW-BXUZGUMPSA-N -1 1 332.422 -0.248 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)N2CC[C@H](C(=O)[O-])[C@@H](C)C2)C1 ZINC001604612615 973731997 /nfs/dbraw/zinc/73/19/97/973731997.db2.gz OHBQJKDBJZHLGX-AVGNSLFASA-N -1 1 341.408 -0.608 20 0 EBADMM CCOC(=O)c1c(C)[nH]nc1CN1CCS(=O)(=O)C[C@H]1C(=O)[O-] ZINC001603811065 974284613 /nfs/dbraw/zinc/28/46/13/974284613.db2.gz TYEQJTQHCWAWQY-JTQLQIEISA-N -1 1 345.377 -0.422 20 0 EBADMM CC(C)n1ccc(NC(=O)C(=O)N2CCN(C)C[C@@H](C(=O)[O-])C2)n1 ZINC001592137907 976981140 /nfs/dbraw/zinc/98/11/40/976981140.db2.gz IMXQSUHRJHXMEW-LLVKDONJSA-N -1 1 337.380 -0.123 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)CCCCCS(N)(=O)=O)CC1 ZINC001592410879 978008371 /nfs/dbraw/zinc/00/83/71/978008371.db2.gz WRNIGYHBTQBGDH-LBPRGKRZSA-N -1 1 349.453 -0.157 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2ccc(-c3nn[nH]n3)cn2)CC1 ZINC001592415986 978016235 /nfs/dbraw/zinc/01/62/35/978016235.db2.gz WRDKSWYPJHEGOT-SNVBAGLBSA-N -1 1 345.363 -0.117 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2cnc3c(c2)nnn3C)CC1 ZINC001592417774 978018614 /nfs/dbraw/zinc/01/86/14/978018614.db2.gz BTBIJDCDPILTIO-JTQLQIEISA-N -1 1 332.364 -0.016 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2ccnc3c2nnn3C)CC1 ZINC001592418753 978027243 /nfs/dbraw/zinc/02/72/43/978027243.db2.gz VVLDGFBAMSKXBY-SNVBAGLBSA-N -1 1 332.364 -0.016 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2ccnc3c2nnn3C)CC1 ZINC001592418752 978027762 /nfs/dbraw/zinc/02/77/62/978027762.db2.gz VVLDGFBAMSKXBY-JTQLQIEISA-N -1 1 332.364 -0.016 20 0 EBADMM C[C@H](CCCNc1ccnc(C(=O)[O-])n1)NC(=O)Cc1nnc[nH]1 ZINC001592486679 978269639 /nfs/dbraw/zinc/26/96/39/978269639.db2.gz BAQOBECUKWWBRO-SECBINFHSA-N -1 1 333.352 -0.346 20 0 EBADMM C[C@@](CCF)(NC(=O)c1cc(S(N)(=O)=O)ccc1O)C(=O)[O-] ZINC001592497573 978299936 /nfs/dbraw/zinc/29/99/36/978299936.db2.gz ANAMSOGREXVJHN-LBPRGKRZSA-N -1 1 334.325 -0.028 20 0 EBADMM C[C@@H](CN1CCN(C)CC1)NC(=O)NC[C@H]1CCO[C@H]1C(=O)[O-] ZINC001592615959 978578116 /nfs/dbraw/zinc/57/81/16/978578116.db2.gz OMKYYCBTSLLTKI-YNEHKIRRSA-N -1 1 328.413 -0.589 20 0 EBADMM C[C@H](CNC(=O)CCc1nc[nH]n1)N(C)c1ncc(C(=O)[O-])cn1 ZINC001592650591 978631789 /nfs/dbraw/zinc/63/17/89/978631789.db2.gz JMZDWQRZNVKWPS-SECBINFHSA-N -1 1 333.352 -0.133 20 0 EBADMM C[C@@H](Nc1ccnc(C(=O)[O-])n1)[C@H](C)NC(=O)CCc1nc[nH]n1 ZINC001593019898 980275311 /nfs/dbraw/zinc/27/53/11/980275311.db2.gz LGJASDZIPCLLNR-BDAKNGLRSA-N -1 1 333.352 -0.347 20 0 EBADMM C[C@H](NC(=O)Cn1cccc(C(=O)[O-])c1=O)[C@@H]1CN(C)CCN1C ZINC001593052043 980351070 /nfs/dbraw/zinc/35/10/70/980351070.db2.gz VAICFDXBXANKOM-AAEUAGOBSA-N -1 1 336.392 -0.703 20 0 EBADMM CCCCNC(=O)CN1CCN(CCC(=O)NCC(=O)[O-])CC1 ZINC001595754917 982445716 /nfs/dbraw/zinc/44/57/16/982445716.db2.gz WECMZCTVHJOVLL-UHFFFAOYSA-N -1 1 328.413 -0.889 20 0 EBADMM CCOC(=O)[C@@H](CC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-])C(C)=O ZINC001596527296 984341522 /nfs/dbraw/zinc/34/15/22/984341522.db2.gz XWTWGORABQLYND-QWRGUYRKSA-N -1 1 325.321 -0.320 20 0 EBADMM CCOC(=O)[C@H]1CCCN(C(=O)CN2CC[C@](O)(C(=O)[O-])C2)C1 ZINC001596575074 984475072 /nfs/dbraw/zinc/47/50/72/984475072.db2.gz VABBJYZAQBJRAL-XHDPSFHLSA-N -1 1 328.365 -0.691 20 0 EBADMM CCOC(=O)C1CCN(C(=O)CN(C)C[C@](C)(O)C(=O)[O-])CC1 ZINC001596576048 984481826 /nfs/dbraw/zinc/48/18/26/984481826.db2.gz FXMNZMJBJKOYBQ-HNNXBMFYSA-N -1 1 330.381 -0.445 20 0 EBADMM C[C@H]1CN(C(=O)C(=O)N[C@@H]2CCOC3(CC(C(=O)[O-])C3)C2)CCN1 ZINC001599910923 984984070 /nfs/dbraw/zinc/98/40/70/984984070.db2.gz LNTMPBSOTCOONX-BYHRKAOISA-N -1 1 339.392 -0.665 20 0 EBADMM C[C@H]1CN(S(=O)(=O)C[C@@]23CC[N@@H+](C2)CCC3)C[C@@H](C(=O)[O-])O1 ZINC001599930375 985302836 /nfs/dbraw/zinc/30/28/36/985302836.db2.gz JVFSSKJCHZTOQK-OBJOEFQTSA-N -1 1 332.422 -0.024 20 0 EBADMM CC(=O)N[C@H]1CCCN(C(=O)CN2CCSC[C@@H](C(=O)[O-])C2)C1 ZINC001589119916 985381624 /nfs/dbraw/zinc/38/16/24/985381624.db2.gz KIUNAVNRUUCLCK-STQMWFEESA-N -1 1 343.449 -0.137 20 0 EBADMM CC(=O)N[C@@H]1CCCN(C(=O)CN[C@@H](C[C@@H]2CCCO2)C(=O)[O-])C1 ZINC001589119893 985381977 /nfs/dbraw/zinc/38/19/77/985381977.db2.gz JVHVYGVLTPPEKU-RDBSUJKOSA-N -1 1 341.408 -0.275 20 0 EBADMM C[C@@H]1CN([C@H]2CCN(CCC(=O)[O-])C2=O)CCN1CC(C)(C)O ZINC001594508407 985748155 /nfs/dbraw/zinc/74/81/55/985748155.db2.gz REAMBNLHIPAMEC-OLZOCXBDSA-N -1 1 327.425 -0.161 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)CCCN1C(=O)CNC1=O ZINC001594516618 985910448 /nfs/dbraw/zinc/91/04/48/985910448.db2.gz YQAJDEJENOITHQ-LLVKDONJSA-N -1 1 340.380 -0.674 20 0 EBADMM C[C@@H]1CN(CCCOC(=O)CN2CCC[C@H](C(=O)[O-])C2=O)CCO1 ZINC001594527241 986069855 /nfs/dbraw/zinc/06/98/55/986069855.db2.gz HWZUAHGDUQYFRS-OLZOCXBDSA-N -1 1 342.392 -0.036 20 0 EBADMM CCO[C@H]1CCN(C(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)C1 ZINC001596981304 986241559 /nfs/dbraw/zinc/24/15/59/986241559.db2.gz RNBOLTMHZLYGLV-LBPRGKRZSA-N -1 1 341.408 -0.369 20 0 EBADMM C[C@H]1CNCCN1C(=O)C(=O)N1C[C@H](C(=O)[O-])Oc2ccccc21 ZINC001594583973 986454267 /nfs/dbraw/zinc/45/42/67/986454267.db2.gz APVUABMUAVGYSF-GXFFZTMASA-N -1 1 333.344 -0.315 20 0 EBADMM C[C@H]1CNCCN1C(=O)C(=O)N1CCOc2c(cccc2C(=O)[O-])C1 ZINC001594584237 986458410 /nfs/dbraw/zinc/45/84/10/986458410.db2.gz DJTBLLXHGIMUSZ-NSHDSACASA-N -1 1 347.371 -0.074 20 0 EBADMM C[C@H](C(=O)NCC(=O)[O-])N1CCC(C(=O)N2CCOCC2)CC1 ZINC001589396701 986525999 /nfs/dbraw/zinc/52/59/99/986525999.db2.gz KFEOYAOQRGWYAK-LLVKDONJSA-N -1 1 327.381 -0.854 20 0 EBADMM CC(C)(NC(=O)C(F)(F)F)C(=O)N[C@@H](CC1OCCO1)C(=O)[O-] ZINC001590840885 990709893 /nfs/dbraw/zinc/70/98/93/990709893.db2.gz VFIIBSCPQOINFH-LURJTMIESA-N -1 1 342.270 -0.224 20 0 EBADMM CN(CC(=O)N1CCNCC1)Cc1noc(CCCC(=O)[O-])n1 ZINC001598380295 991578982 /nfs/dbraw/zinc/57/89/82/991578982.db2.gz BEYARFOCABQUAZ-UHFFFAOYSA-N -1 1 325.369 -0.660 20 0 EBADMM CN(CC(=O)N1CCNCC1)S(=O)(=O)[C@@H]1CCCC[C@H]1C(=O)[O-] ZINC001598380352 991579920 /nfs/dbraw/zinc/57/99/20/991579920.db2.gz FJLGAWXAIMVCPY-VXGBXAGGSA-N -1 1 347.437 -0.677 20 0 EBADMM CN(CC(=O)[O-])C(=O)CCN(CCC(F)(F)F)C[C@H](O)CO ZINC001598404032 991704362 /nfs/dbraw/zinc/70/43/62/991704362.db2.gz JOKNFBYCCPAXOC-VIFPVBQESA-N -1 1 330.303 -0.473 20 0 EBADMM CS(=O)(=O)Nc1ccc(C(=O)N[C@@]2(CC(=O)[O-])CCOC2)cn1 ZINC001599761276 992125166 /nfs/dbraw/zinc/12/51/66/992125166.db2.gz UZXKLCWXBNHQRI-CYBMUJFWSA-N -1 1 343.361 -0.183 20 0 EBADMM CN(CCN(C)c1ccnc(C(=O)[O-])n1)C(=O)CCc1c[nH]nn1 ZINC001598485235 992197213 /nfs/dbraw/zinc/19/72/13/992197213.db2.gz QXURIQOENLZZOL-UHFFFAOYSA-N -1 1 333.352 -0.180 20 0 EBADMM CN(CCN(C)c1ccnc(C(=O)[O-])n1)C(=O)CCc1cnn[nH]1 ZINC001598485235 992197219 /nfs/dbraw/zinc/19/72/19/992197219.db2.gz QXURIQOENLZZOL-UHFFFAOYSA-N -1 1 333.352 -0.180 20 0 EBADMM C[C@H](NC(=O)N1CCO[C@@H](CC(=O)[O-])C1)[C@@H]1CN(C)CCN1C ZINC001593062218 993573931 /nfs/dbraw/zinc/57/39/31/993573931.db2.gz FUKUOOWTIGCVGW-AVGNSLFASA-N -1 1 328.413 -0.494 20 0 EBADMM CN1CCN(C(=O)C(=O)Nc2cccc3nonc32)C[C@@H](C(=O)[O-])C1 ZINC001598604223 993617216 /nfs/dbraw/zinc/61/72/16/993617216.db2.gz QVCPKRYZFUCSBI-VIFPVBQESA-N -1 1 347.331 -0.364 20 0 EBADMM CN1CCN(C(=O)C(=O)Nc2cnn(CCF)c2)C[C@H](C(=O)[O-])C1 ZINC001598605030 993633426 /nfs/dbraw/zinc/63/34/26/993633426.db2.gz YEVKJTMXYOIQAD-SNVBAGLBSA-N -1 1 341.343 -0.734 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)c2ccc3n[nH]nc3n2)CC1 ZINC001598616271 993886842 /nfs/dbraw/zinc/88/68/42/993886842.db2.gz KIPNFWKJUJGJES-UHFFFAOYSA-N -1 1 347.379 -0.873 20 0 EBADMM CN1CCN(Cc2cccc(C(=O)NCC(=O)NCC(=O)[O-])c2)CC1 ZINC001598618992 993958573 /nfs/dbraw/zinc/95/85/73/993958573.db2.gz FQNJWDODGMFUDU-UHFFFAOYSA-N -1 1 348.403 -0.635 20 0 EBADMM CN1CCN(S(=O)(=O)[C@@H]2CC(=O)N(C3CC3)C2)C[C@@H](C(=O)[O-])C1 ZINC001598621713 994015774 /nfs/dbraw/zinc/01/57/74/994015774.db2.gz TWEORFFQMDEILQ-CMPLNLGQSA-N -1 1 345.421 -0.972 20 0 EBADMM CN1CCN(c2cccc(C(=O)N3CCC[C@H](O)[C@H]3C(=O)[O-])n2)CC1 ZINC001598624997 994073076 /nfs/dbraw/zinc/07/30/76/994073076.db2.gz WEEHFFSVHMASIC-ZFWWWQNUSA-N -1 1 348.403 -0.117 20 0 EBADMM CNS(=O)(=O)c1ccc([C@H](C)NCC(=O)NCCC(=O)[O-])cc1 ZINC001598673175 994793058 /nfs/dbraw/zinc/79/30/58/994793058.db2.gz SQJXUCPGLPIEDA-JTQLQIEISA-N -1 1 343.405 -0.164 20 0 EBADMM C[C@@H](O)CN1CCN(C(=O)NCCn2cc(C(=O)[O-])nn2)[C@H](C)C1 ZINC001593462741 995389701 /nfs/dbraw/zinc/38/97/01/995389701.db2.gz ONTXLJVHKMGWNG-GHMZBOCLSA-N -1 1 340.384 -0.927 20 0 EBADMM COC(=O)[C@@H]1CN(C(=O)c2cn[nH]c2-c2cnn(C)c2)C[C@H]1C(=O)[O-] ZINC001598814696 996260298 /nfs/dbraw/zinc/26/02/98/996260298.db2.gz CRNZBWLOYIAJIJ-GHMZBOCLSA-N -1 1 347.331 -0.244 20 0 EBADMM COC(=O)[C@H]1CN(Cc2ccc(S(N)(=O)=O)cc2)C[C@H]1C(=O)[O-] ZINC001598830257 996428206 /nfs/dbraw/zinc/42/82/06/996428206.db2.gz WNFGVYQVCGAIQV-NEPJUHHUSA-N -1 1 342.373 -0.360 20 0 EBADMM COC(=O)CN(CCN1CCN(C)CC1)C(=O)CCCCC(=O)[O-] ZINC001598862984 996690338 /nfs/dbraw/zinc/69/03/38/996690338.db2.gz MBDMLUAGONGGBN-UHFFFAOYSA-N -1 1 343.424 -0.120 20 0 EBADMM CO[C@@]1(C(=O)[O-])CCN(C(=O)NCCCN2CCC[C@H]2C(N)=O)C1 ZINC001599073698 996820782 /nfs/dbraw/zinc/82/07/82/996820782.db2.gz XXRXVDGDURWVQG-NHYWBVRUSA-N -1 1 342.396 -0.789 20 0 EBADMM COCC1(CC(=O)N(CCN2CCN(C)CC2)CC(=O)[O-])CC1 ZINC001599196999 997234932 /nfs/dbraw/zinc/23/49/32/997234932.db2.gz BOKPOWXFVQGVKG-UHFFFAOYSA-N -1 1 327.425 -0.036 20 0 EBADMM COC(=O)[C@H]1O[C@H](C(=O)N2CCN(CCC(=O)[O-])[C@H](C)C2)C[C@@H]1C ZINC001598909180 997327556 /nfs/dbraw/zinc/32/75/56/997327556.db2.gz SZDKHHZMIXAQCP-OPDFLTKYSA-N -1 1 342.392 -0.040 20 0 EBADMM COC(=O)[C@H]1O[C@H](C(=O)N2CCN(CCC(=O)[O-])[C@@H](C)C2)C[C@@H]1C ZINC001598909179 997327681 /nfs/dbraw/zinc/32/76/81/997327681.db2.gz SZDKHHZMIXAQCP-MNXVOIDGSA-N -1 1 342.392 -0.040 20 0 EBADMM COC[C@@H]1CNCCN1C(=O)C(=O)Nc1ccccc1CC(=O)[O-] ZINC001599206514 997417992 /nfs/dbraw/zinc/41/79/92/997417992.db2.gz AAXGLUMFMRRMGB-LBPRGKRZSA-N -1 1 335.360 -0.301 20 0 EBADMM COC[C@@H]1CNCCN1C(=O)C(=O)Nc1ccc(C(=O)[O-])cc1F ZINC001599208183 997448519 /nfs/dbraw/zinc/44/85/19/997448519.db2.gz QDFKOKZOIGWRND-JTQLQIEISA-N -1 1 339.323 -0.091 20 0 EBADMM COCCN(CC(N)=O)C1CCN(C(=O)[C@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001599234881 997927355 /nfs/dbraw/zinc/92/73/55/997927355.db2.gz JOSMEYOSOWRQHI-RYUDHWBXSA-N -1 1 327.381 -0.868 20 0 EBADMM COCCN1CCC[C@@H]1CNC(=O)C(=O)N1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC001599244175 998109886 /nfs/dbraw/zinc/10/98/86/998109886.db2.gz XSPSWOFPMGWFSP-JHJVBQTASA-N -1 1 341.408 -0.608 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@@H](O)CC(=O)[O-])oc1Cl ZINC001598954132 998209163 /nfs/dbraw/zinc/20/91/63/998209163.db2.gz YRVXGXIFTNCBHP-YFKPBYRVSA-N -1 1 341.725 -0.167 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@]2(CC(=O)[O-])CCOC2)on1 ZINC001598954558 998216850 /nfs/dbraw/zinc/21/68/50/998216850.db2.gz YJXASTPBECHVGZ-NSHDSACASA-N -1 1 334.306 -0.627 20 0 EBADMM C[C@H]1[C@H](Nc2ccnc(C(=O)[O-])n2)CCN1C(=O)Cc1ccn[nH]1 ZINC001594195140 998758307 /nfs/dbraw/zinc/75/83/07/998758307.db2.gz ZRRSSPWWZQIKOY-GXSJLCMTSA-N -1 1 330.348 -0.036 20 0 EBADMM C[C@H]1C[C@H](NC(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)CO1 ZINC001594248868 998949436 /nfs/dbraw/zinc/94/94/36/998949436.db2.gz HQLVNENMGFLJFR-QWRGUYRKSA-N -1 1 327.381 -0.713 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)[C@H](C)O1 ZINC001594249076 998951261 /nfs/dbraw/zinc/95/12/61/998951261.db2.gz JLYFBWGXWONARO-GRYCIOLGSA-N -1 1 341.408 -0.324 20 0 EBADMM COC(CN(CCC(=O)[O-])C(=O)C1CN([C@@H]2CCOC2)C1)OC ZINC001599047918 999164445 /nfs/dbraw/zinc/16/44/45/999164445.db2.gz XYYZZAYRDGFKTO-GFCCVEGCSA-N -1 1 330.381 -0.371 20 0 EBADMM C[C@@H]1CN(C(=O)/C=C\CN2CCOCC2)CCN1CCC(=O)[O-] ZINC001594466508 999689098 /nfs/dbraw/zinc/68/90/98/999689098.db2.gz KJASWTLTXQODBF-PYLYLYNFSA-N -1 1 325.409 -0.118 20 0 EBADMM COc1cc(S(=O)(=O)[N-]C(C)(C)CNS(C)(=O)=O)sn1 ZINC001364043658 1132180230 /nfs/dbraw/zinc/18/02/30/1132180230.db2.gz DDBCQPFTSUIXMT-UHFFFAOYSA-N -1 1 343.452 -0.242 20 0 EBADMM COCCC(=O)NCCCN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001687358006 1125869814 /nfs/dbraw/zinc/86/98/14/1125869814.db2.gz CUJBWVAGVDVYEP-UHFFFAOYSA-N -1 1 336.352 -0.968 20 0 EBADMM CCN(CCNC(=O)[C@@H]1C[C@H]1c1cncn1C)Cc1nc(=O)n(C)[n-]1 ZINC001481001145 1125905964 /nfs/dbraw/zinc/90/59/64/1125905964.db2.gz ODOAFWIACVCNLR-VXGBXAGGSA-N -1 1 347.423 -0.416 20 0 EBADMM COc1cc(C(=O)NCCN(Cc2nc(=O)n(C)[n-]2)C2CC2)on1 ZINC001481167503 1125932912 /nfs/dbraw/zinc/93/29/12/1125932912.db2.gz OCHFHABXMPIKJN-UHFFFAOYSA-N -1 1 336.352 -0.501 20 0 EBADMM CO[C@H]1COCC[C@H]1CC(=O)N(C)CCNCc1n[nH]c(=O)[n-]1 ZINC001481240076 1125950256 /nfs/dbraw/zinc/95/02/56/1125950256.db2.gz WRYUOMZKAOQBOI-QWRGUYRKSA-N -1 1 327.385 -0.500 20 0 EBADMM CC[C@H](CNC(=O)CN1CCCCCC1=O)NCc1n[nH]c(=O)[n-]1 ZINC001481874128 1126063242 /nfs/dbraw/zinc/06/32/42/1126063242.db2.gz DTTAEFDCRVSDNP-LLVKDONJSA-N -1 1 338.412 -0.103 20 0 EBADMM C[C@@](CNCc1n[nH]c(=O)[n-]1)(NC(=O)C[C@@H]1CCC(=O)N1)C1CC1 ZINC001481944675 1126068555 /nfs/dbraw/zinc/06/85/55/1126068555.db2.gz OIKXZPXAGDXPKU-BONVTDFDSA-N -1 1 336.396 -0.447 20 0 EBADMM O=C(NCCNc1cnccn1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001687388262 1126074558 /nfs/dbraw/zinc/07/45/58/1126074558.db2.gz IBVMJZZIAPKUNT-UHFFFAOYSA-N -1 1 345.363 -0.044 20 0 EBADMM CNC(=O)NCC(=O)N(C)C[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001408990744 1126128037 /nfs/dbraw/zinc/12/80/37/1126128037.db2.gz DRLBHJXDOKPERT-LLVKDONJSA-N -1 1 349.391 -0.363 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@]1(C)CCCS1(=O)=O ZINC001482341891 1126134473 /nfs/dbraw/zinc/13/44/73/1126134473.db2.gz DACAWRLOGFLYBJ-RNCFNFMXSA-N -1 1 345.425 -0.586 20 0 EBADMM CCC1(CC)CNC(=O)[C@H]1[N-]S(=O)(=O)N=[S@](C)(=O)N(C)C ZINC001364063596 1126141422 /nfs/dbraw/zinc/14/14/22/1126141422.db2.gz OVXTZFAZRDJQSL-YBYGRFCBSA-N -1 1 340.471 -0.300 20 0 EBADMM CC(C)C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CN1CCOCC1 ZINC001482380889 1126141790 /nfs/dbraw/zinc/14/17/90/1126141790.db2.gz HUOKMCDGBSHTQO-LBPRGKRZSA-N -1 1 340.428 -0.537 20 0 EBADMM CC(C)C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CCN(C)C1=O ZINC001482391457 1126143557 /nfs/dbraw/zinc/14/35/57/1126143557.db2.gz OSOLFLIJZSEZPI-QWRGUYRKSA-N -1 1 338.412 -0.391 20 0 EBADMM C[C@@H](NCCN(C)C(=O)CCn1cc[n-]c(=O)c1=O)c1ncccn1 ZINC001691516690 1126144861 /nfs/dbraw/zinc/14/48/61/1126144861.db2.gz NCZHJPYJDUCJFJ-GFCCVEGCSA-N -1 1 346.391 -0.474 20 0 EBADMM CS(=O)(=O)CCC(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC001409044881 1126163491 /nfs/dbraw/zinc/16/34/91/1126163491.db2.gz OMBNUKJKUCLOGG-UHFFFAOYSA-N -1 1 327.362 -0.838 20 0 EBADMM CO[C@@H](C(=O)NC1CN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCOC1 ZINC001409084215 1126189409 /nfs/dbraw/zinc/18/94/09/1126189409.db2.gz VOGHBSYMDAIEOO-QMTHXVAHSA-N -1 1 335.360 -0.221 20 0 EBADMM CCN1C[C@H](C(=O)NC[C@H](NCc2n[nH]c(=O)[n-]2)C(C)C)CC1=O ZINC001482531085 1126191018 /nfs/dbraw/zinc/19/10/18/1126191018.db2.gz CFEWLRSKUHJFAJ-MNOVXSKESA-N -1 1 338.412 -0.391 20 0 EBADMM COC[C@H](C)CC(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001409101127 1126200517 /nfs/dbraw/zinc/20/05/17/1126200517.db2.gz JCCKYPQBNFIBIV-SECBINFHSA-N -1 1 348.363 -0.969 20 0 EBADMM CC1(CC(=O)NCC2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)CC1 ZINC001409160449 1126220707 /nfs/dbraw/zinc/22/07/07/1126220707.db2.gz HUKZLQOAOXUOJU-UHFFFAOYSA-N -1 1 344.375 -0.204 20 0 EBADMM O=C(NC[C@H](O)CNCc1n[nH]c(=O)[n-]1)c1ccnc2ccccc21 ZINC001482748454 1126269563 /nfs/dbraw/zinc/26/95/63/1126269563.db2.gz WURXZEACECZSRH-SNVBAGLBSA-N -1 1 342.359 -0.061 20 0 EBADMM COc1ncccc1CNC[C@H](O)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001482721847 1126266052 /nfs/dbraw/zinc/26/60/52/1126266052.db2.gz IPDCOMMGJBHQFZ-NSHDSACASA-N -1 1 335.364 -0.292 20 0 EBADMM Cc1ccc(F)c(C(=O)NC[C@@H](O)CNCc2n[nH]c(=O)[n-]2)c1F ZINC001482763769 1126281114 /nfs/dbraw/zinc/28/11/14/1126281114.db2.gz BALTXMJNPDGUOO-QMMMGPOBSA-N -1 1 341.318 -0.023 20 0 EBADMM CC1(C)CO[C@@H](CCC(=O)NC[C@@H](O)CNCc2n[nH]c(=O)[n-]2)C1 ZINC001482787509 1126291086 /nfs/dbraw/zinc/29/10/86/1126291086.db2.gz ICPBBCHVYMJEGC-QWRGUYRKSA-N -1 1 341.412 -0.328 20 0 EBADMM O=C(CN1CCc2ccccc21)NC[C@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001482797742 1126294177 /nfs/dbraw/zinc/29/41/77/1126294177.db2.gz UCYULTWZGZUOMJ-GFCCVEGCSA-N -1 1 346.391 -0.860 20 0 EBADMM C[C@@H](CNC(=O)c1ccc(C(N)=O)o1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001409587020 1126380247 /nfs/dbraw/zinc/38/02/47/1126380247.db2.gz PMRKYSDZNVPLJM-QMMMGPOBSA-N -1 1 336.352 -0.949 20 0 EBADMM C[C@H](CNC(=O)c1ccc2oc(=O)nc-2[n-]1)N(C)[C@@H]1CCNC1=O ZINC001409634615 1126386288 /nfs/dbraw/zinc/38/62/88/1126386288.db2.gz AFWGYISALHXESN-PSASIEDQSA-N -1 1 333.348 -0.133 20 0 EBADMM Cc1nnc(COCC(=O)N[C@@H](C)CNCc2n[nH]c(=O)[n-]2)s1 ZINC001483245627 1126410297 /nfs/dbraw/zinc/41/02/97/1126410297.db2.gz UTQFXDRTEVLNPT-ZETCQYMHSA-N -1 1 341.397 -0.519 20 0 EBADMM Cc1nn(C)c(=O)c(C(=O)N[C@@H](C)CNCc2n[nH]c(=O)[n-]2)c1C ZINC001483254097 1126411035 /nfs/dbraw/zinc/41/10/35/1126411035.db2.gz BXJPDVAOVAJBNB-ZETCQYMHSA-N -1 1 335.368 -0.871 20 0 EBADMM Cc1nocc1CNC[C@H](C)NC(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC001483276270 1126412881 /nfs/dbraw/zinc/41/28/81/1126412881.db2.gz LMHGZJLUVQRNOF-VIFPVBQESA-N -1 1 345.363 -0.338 20 0 EBADMM Cc1conc1CN1CC[C@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001483687827 1126458540 /nfs/dbraw/zinc/45/85/40/1126458540.db2.gz MKRAOFWJXZWYLL-LBPRGKRZSA-N -1 1 347.375 -0.386 20 0 EBADMM CCn1cc(CCN2CC[C@H]2CNC(=O)Cn2c(=O)[n-][nH]c2=O)cn1 ZINC001483695337 1126460082 /nfs/dbraw/zinc/46/00/82/1126460082.db2.gz AGIYZHLDWKEWCU-LBPRGKRZSA-N -1 1 349.395 -0.661 20 0 EBADMM C[C@H](CN1CCOCC1)C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[n-]1 ZINC001483703099 1126461387 /nfs/dbraw/zinc/46/13/87/1126461387.db2.gz TZKLECXMPIYVCZ-VXGBXAGGSA-N -1 1 338.412 -0.831 20 0 EBADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@H](CO)[C@H]1CCOC1 ZINC001365703175 1126469865 /nfs/dbraw/zinc/46/98/65/1126469865.db2.gz QSAHXGTUXSTIHV-WDEREUQCSA-N -1 1 328.456 -0.026 20 0 EBADMM Cn1[n-]c(CN2CCC(F)(F)[C@@H](CNC(=O)C(F)F)C2)nc1=O ZINC001484192495 1126514656 /nfs/dbraw/zinc/51/46/56/1126514656.db2.gz NDOQXVKGCBEYEJ-ZETCQYMHSA-N -1 1 339.293 -0.053 20 0 EBADMM Cc1cc(CN2CC(O)(CNC(=O)c3ncccc3[O-])C2)ncn1 ZINC001484267926 1126547547 /nfs/dbraw/zinc/54/75/47/1126547547.db2.gz NXJGPDKJKUSUMK-UHFFFAOYSA-N -1 1 329.360 -0.138 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)CCC2CC2)CO3)nc1=O ZINC001484794249 1126635984 /nfs/dbraw/zinc/63/59/84/1126635984.db2.gz IJAZBQBOXAHUHC-LBPRGKRZSA-N -1 1 335.408 -0.242 20 0 EBADMM CC(C)[C@@H](C)C(=O)N[C@@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001484804498 1126636844 /nfs/dbraw/zinc/63/68/44/1126636844.db2.gz KMFONZHBOOYMLO-NEPJUHHUSA-N -1 1 337.424 -0.140 20 0 EBADMM CCN1CC(N2CCN(C(=O)CCCn3c(=O)[n-][nH]c3=O)CC2)C1 ZINC001484944079 1126655915 /nfs/dbraw/zinc/65/59/15/1126655915.db2.gz FBANJUOFKGNFMI-UHFFFAOYSA-N -1 1 338.412 -0.682 20 0 EBADMM C[C@@H](NC(=O)c1cc(CN(C)C)on1)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001485169463 1126678008 /nfs/dbraw/zinc/67/80/08/1126678008.db2.gz OGWWOKAGVBZLIJ-DTWKUNHWSA-N -1 1 337.384 -0.144 20 0 EBADMM C[C@@H](NC(=O)CCCC(=O)N(C)C)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001485215896 1126680984 /nfs/dbraw/zinc/68/09/84/1126680984.db2.gz OBEGOLQNBMXOIG-VHSXEESVSA-N -1 1 326.401 -0.248 20 0 EBADMM COc1nccc(C(=O)NC[C@H](C)N(C)Cc2nc(=O)n(C)[n-]2)n1 ZINC001485328284 1126691043 /nfs/dbraw/zinc/69/10/43/1126691043.db2.gz DTBDOJPMRILWMW-VIFPVBQESA-N -1 1 335.368 -0.843 20 0 EBADMM COCC(C)(C)C(=O)N1CCO[C@@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC001485641300 1126784021 /nfs/dbraw/zinc/78/40/21/1126784021.db2.gz REXATMWGJLLGCP-GHMZBOCLSA-N -1 1 341.412 -0.112 20 0 EBADMM C[C@H](NC(=O)CCc1cncnc1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001409933872 1126858320 /nfs/dbraw/zinc/85/83/20/1126858320.db2.gz QZFVETPMSUPTTB-NSHDSACASA-N -1 1 345.407 -0.532 20 0 EBADMM O=C(Cc1cnn2ccccc12)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001626046728 1126862387 /nfs/dbraw/zinc/86/23/87/1126862387.db2.gz LOEJKVJOCRDFGR-UHFFFAOYSA-N -1 1 327.348 -0.100 20 0 EBADMM C[C@@H](CN(C)C(=O)Cn1cc(Cl)cn1)NCc1n[nH]c(=O)[n-]1 ZINC001485926026 1126917373 /nfs/dbraw/zinc/91/73/73/1126917373.db2.gz HFKSDRFEZLQCID-QMMMGPOBSA-N -1 1 327.776 -0.003 20 0 EBADMM COCc1cccc(C(=O)NC[C@H](CO)NCc2nc(=O)n(C)[n-]2)c1 ZINC001486014824 1126966213 /nfs/dbraw/zinc/96/62/13/1126966213.db2.gz ZJFDGOGKPMNMQP-CYBMUJFWSA-N -1 1 349.391 -0.865 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CN(C)C(=O)C1CC1 ZINC001486116001 1127039464 /nfs/dbraw/zinc/03/94/64/1127039464.db2.gz VCLKPYHTECSNAJ-KOLCDFICSA-N -1 1 336.396 -0.542 20 0 EBADMM COC[C@@H](OC)C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001486242151 1127065734 /nfs/dbraw/zinc/06/57/34/1127065734.db2.gz DHANUCRUTBLDGQ-ZJUUUORDSA-N -1 1 327.385 -0.502 20 0 EBADMM CCc1nnsc1C(=O)N(C)C[C@@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001486284829 1127082133 /nfs/dbraw/zinc/08/21/33/1127082133.db2.gz BEAMNUCYLYNACS-ZETCQYMHSA-N -1 1 341.397 -0.853 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H](O)CN(C)C(=O)/C=C\C(C)(C)C ZINC001486328639 1127131054 /nfs/dbraw/zinc/13/10/54/1127131054.db2.gz LEUFADMHAHWRIB-QDZRJHCZSA-N -1 1 339.440 -0.038 20 0 EBADMM NC(=O)C1(C(=O)NC2CC(CNC(=O)c3ncccc3[O-])C2)CC1 ZINC001486616691 1127221633 /nfs/dbraw/zinc/22/16/33/1127221633.db2.gz JDUIRAPSLNPXFL-UHFFFAOYSA-N -1 1 332.360 -0.323 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)N(C)C(=O)[C@@H]1C[C@H]1C ZINC001486930115 1127341141 /nfs/dbraw/zinc/34/11/41/1127341141.db2.gz XULJMPYMIOAILV-GRYCIOLGSA-N -1 1 336.392 -0.454 20 0 EBADMM Cc1noc(C)c1C(=O)NC[C@@H](O)CNC(=O)c1ncccc1[O-] ZINC001410037544 1127516359 /nfs/dbraw/zinc/51/63/59/1127516359.db2.gz AXOMZYOZEZRENX-SNVBAGLBSA-N -1 1 334.332 -0.087 20 0 EBADMM Cc1cnn(C)c1C(=O)NC[C@H](O)CNC(=O)c1ncccc1[O-] ZINC001410110511 1127573920 /nfs/dbraw/zinc/57/39/20/1127573920.db2.gz SWUQLTCLPIRLML-SNVBAGLBSA-N -1 1 333.348 -0.650 20 0 EBADMM COc1ccnc(C(=O)NC[C@@H](O)CNC(=O)c2ncccc2[O-])c1 ZINC001410113906 1127577463 /nfs/dbraw/zinc/57/74/63/1127577463.db2.gz YSXLQFXSCZCSBB-SNVBAGLBSA-N -1 1 346.343 -0.289 20 0 EBADMM CCc1oncc1C(=O)NC[C@@H](O)CNC(=O)c1ncccc1[O-] ZINC001410114562 1127578090 /nfs/dbraw/zinc/57/80/90/1127578090.db2.gz ZNPWGOHVGGKHTE-SECBINFHSA-N -1 1 334.332 -0.142 20 0 EBADMM CN(C(=O)c1cnc[nH]c1=O)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001410317608 1127678038 /nfs/dbraw/zinc/67/80/38/1127678038.db2.gz VEXMCWYGCAEMCG-JTQLQIEISA-N -1 1 333.352 -0.354 20 0 EBADMM CC(C)OCC(=O)NCC1(NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001410686177 1127814285 /nfs/dbraw/zinc/81/42/85/1127814285.db2.gz ZBWGFLCUCIKXDY-UHFFFAOYSA-N -1 1 348.363 -0.779 20 0 EBADMM O=C(Cn1cccn1)N1CC[C@](O)(CNC(=O)c2ncccc2[O-])C1 ZINC001411161901 1128053492 /nfs/dbraw/zinc/05/34/92/1128053492.db2.gz SGNXFDMDWMACGC-INIZCTEOSA-N -1 1 345.359 -0.623 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H](O)CN(C)C(=O)[C@@H]1CCC1(F)F ZINC001411168440 1128064953 /nfs/dbraw/zinc/06/49/53/1128064953.db2.gz PVLMEMACVYVESB-UWVGGRQHSA-N -1 1 347.366 -0.595 20 0 EBADMM COCCN(CCNC(=O)c1cccn1C)Cc1nc(=O)n(C)[n-]1 ZINC001411219458 1128094203 /nfs/dbraw/zinc/09/42/03/1128094203.db2.gz VMIXEWBFAFFONM-UHFFFAOYSA-N -1 1 336.396 -0.675 20 0 EBADMM CNC(=O)NCCC(=O)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001411307924 1128136859 /nfs/dbraw/zinc/13/68/59/1128136859.db2.gz MIMIVLOSAYEWTF-UHFFFAOYSA-N -1 1 349.391 -0.269 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)[C@H]4[C@@H]5COC[C@@H]54)C[C@]3(C)C2)nc1=O ZINC001091937049 1128171086 /nfs/dbraw/zinc/17/10/86/1128171086.db2.gz YAWSHABDFFHQPG-GFZQMZQVSA-N -1 1 347.419 -0.719 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)[C@H]1COCCO1 ZINC001092470071 1128248665 /nfs/dbraw/zinc/24/86/65/1128248665.db2.gz VKQYVPFIBRGQAL-GMTAPVOTSA-N -1 1 325.369 -0.890 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)[C@H]1CN(C)CCO1 ZINC001092522141 1128251451 /nfs/dbraw/zinc/25/14/51/1128251451.db2.gz KWTMTTGAIDAZEM-IJLUTSLNSA-N -1 1 338.412 -0.975 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)[C@H]1COCCN1C ZINC001092555271 1128253518 /nfs/dbraw/zinc/25/35/18/1128253518.db2.gz PCKNMVZVYMDOIA-IJLUTSLNSA-N -1 1 338.412 -0.975 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)C2CC2)c2nccn23)nc1=O ZINC001092338538 1128230576 /nfs/dbraw/zinc/23/05/76/1128230576.db2.gz MLAILMAZXALVDN-LLVKDONJSA-N -1 1 343.391 -0.513 20 0 EBADMM CC(C)=CC(=O)N1CC(n2cc(CNCc3nc(=O)n(C)[n-]3)nn2)C1 ZINC001093301945 1128313027 /nfs/dbraw/zinc/31/30/27/1128313027.db2.gz BEGLSBMIAZMCQH-UHFFFAOYSA-N -1 1 346.395 -0.661 20 0 EBADMM CCCC(=O)N1CC(n2cc(CNCc3nc(=O)n(C)[n-]3)nn2)C1 ZINC001093299882 1128320024 /nfs/dbraw/zinc/32/00/24/1128320024.db2.gz DFABHOJZVLUJKH-UHFFFAOYSA-N -1 1 334.384 -0.827 20 0 EBADMM CC(=O)NCC(=O)N(C)C[C@@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001487698207 1128397980 /nfs/dbraw/zinc/39/79/80/1128397980.db2.gz YVKYAFOXSNIFIH-SECBINFHSA-N -1 1 349.391 -0.228 20 0 EBADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)[C@@]1(C)CCNC1=O ZINC001487756596 1128418735 /nfs/dbraw/zinc/41/87/35/1128418735.db2.gz WGMDPJMIINQXQI-HWPZZCPQSA-N -1 1 334.376 -0.110 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C[C@@H](C)NC(=O)[C@H](C)OC ZINC001487843382 1128431529 /nfs/dbraw/zinc/43/15/29/1128431529.db2.gz PYKDTUFLXNQDAX-SFYZADRCSA-N -1 1 342.352 -0.492 20 0 EBADMM COCC[C@@H](C)C(=O)N[C@H](CO)CNC(=O)c1ncccc1[O-] ZINC001487978367 1128474702 /nfs/dbraw/zinc/47/47/02/1128474702.db2.gz RXAABDZMUAXPKG-MNOVXSKESA-N -1 1 325.365 -0.333 20 0 EBADMM CSC[C@H](C)C(=O)NC[C@H](CO)NC(=O)c1ncccc1[O-] ZINC001487964504 1128499651 /nfs/dbraw/zinc/49/96/51/1128499651.db2.gz UNFJKNBEIAGOFN-VHSXEESVSA-N -1 1 327.406 -0.007 20 0 EBADMM O=C([N-][C@H](CO)CNC(=O)c1[nH]ncc1C(F)(F)F)C(F)F ZINC001488011944 1128508013 /nfs/dbraw/zinc/50/80/13/1128508013.db2.gz DLVJXONSQSMNGM-BYPYZUCNSA-N -1 1 330.213 -0.100 20 0 EBADMM CSCC(=O)N[C@@H](CO)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001487999033 1128535178 /nfs/dbraw/zinc/53/51/78/1128535178.db2.gz VNZVWSNBAIADAD-SECBINFHSA-N -1 1 340.405 -0.371 20 0 EBADMM CCOCC(=O)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H]1C ZINC001488115733 1128626427 /nfs/dbraw/zinc/62/64/27/1128626427.db2.gz SHDVNALIKVSEMV-UWVGGRQHSA-N -1 1 348.363 -0.827 20 0 EBADMM C[C@H]1C[C@H](NC(=O)CCn2cnnn2)CN1C(=O)c1ncccc1[O-] ZINC001488176606 1128638566 /nfs/dbraw/zinc/63/85/66/1128638566.db2.gz CWFQUENPQKCNKQ-QWRGUYRKSA-N -1 1 345.363 -0.417 20 0 EBADMM NC(=O)CC(=O)N[C@@]12CCC[C@@H]1N(C(=O)c1ncccc1[O-])CC2 ZINC001488228433 1128658712 /nfs/dbraw/zinc/65/87/12/1128658712.db2.gz SESRNSQGYOXHKZ-MEDUHNTESA-N -1 1 332.360 -0.084 20 0 EBADMM C[C@@H]1[C@H](NC(=O)CCNC(N)=O)CCCN1C(=O)c1ncccc1[O-] ZINC001488260383 1128664261 /nfs/dbraw/zinc/66/42/61/1128664261.db2.gz OZDLDCKRAJEUBV-GHMZBOCLSA-N -1 1 349.391 -0.045 20 0 EBADMM CN(C[C@@H]1CCN1CCOCC1CC1)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001488640471 1128808913 /nfs/dbraw/zinc/80/89/13/1128808913.db2.gz HIRQLEHPXKTIDQ-NSHDSACASA-N -1 1 337.380 -0.144 20 0 EBADMM C[C@H](OCC1CC1)C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001489306990 1128948730 /nfs/dbraw/zinc/94/87/30/1128948730.db2.gz XOUMIKMJXBGSFQ-ZKYQVNSYSA-N -1 1 349.387 -0.096 20 0 EBADMM Cc1cc(C(=O)N2CC[C@@H]2CN(C)C(=O)Cn2c(=O)[n-][nH]c2=O)[nH]n1 ZINC001489767920 1129064490 /nfs/dbraw/zinc/06/44/90/1129064490.db2.gz YLNFXIAVFPTVMF-SECBINFHSA-N -1 1 349.351 -0.906 20 0 EBADMM CCOCCC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001490178639 1129134761 /nfs/dbraw/zinc/13/47/61/1129134761.db2.gz MKZJVMVRZGUNDQ-DGCLKSJQSA-N -1 1 337.376 -0.095 20 0 EBADMM COCC1(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])[C@H](O)C2)CC1 ZINC001490178909 1129135248 /nfs/dbraw/zinc/13/52/48/1129135248.db2.gz NXHFTGSCVOBCNK-WCQYABFASA-N -1 1 349.387 -0.095 20 0 EBADMM CCN(CCCNC(=O)c1cccn(C)c1=O)Cc1n[nH]c(=O)[n-]1 ZINC001490355181 1129172739 /nfs/dbraw/zinc/17/27/39/1129172739.db2.gz FSOHSCDXNNFXAP-UHFFFAOYSA-N -1 1 334.380 -0.149 20 0 EBADMM COCCC1(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)CC1 ZINC001414050271 1131355274 /nfs/dbraw/zinc/35/52/74/1131355274.db2.gz OPJOJCJBJQFWNI-YPMHNXCESA-N -1 1 349.387 -0.095 20 0 EBADMM C[C@]1(CNC(=O)CC(N)=O)CN(C(=O)c2ncccc2[O-])CCO1 ZINC001490522236 1129222756 /nfs/dbraw/zinc/22/27/56/1129222756.db2.gz WBCCMFYFPAVRNG-HNNXBMFYSA-N -1 1 336.348 -0.990 20 0 EBADMM C[C@@H](NC(=O)CCn1cnnn1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001411398404 1129287259 /nfs/dbraw/zinc/28/72/59/1129287259.db2.gz UIDIDJUKUJHRHS-ZJUUUORDSA-N -1 1 333.352 -0.513 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)NC[C@@H]1CC[N@H+]1C1CCOCC1 ZINC001411562295 1129319171 /nfs/dbraw/zinc/31/91/71/1129319171.db2.gz PRQUIRPVFCDVDY-LBPRGKRZSA-N -1 1 346.391 -0.559 20 0 EBADMM O=C(C[C@@H]1CCCOC1)N1CC(CCO)(NC(=O)c2cnn[nH]2)C1 ZINC001411789121 1129385579 /nfs/dbraw/zinc/38/55/79/1129385579.db2.gz VTIAIALMTDVSBM-NSHDSACASA-N -1 1 337.380 -0.685 20 0 EBADMM CSc1ncc(C(=O)N2CCO[C@H](CNC(C)=O)C2)c(=O)[n-]1 ZINC001411811197 1129390529 /nfs/dbraw/zinc/39/05/29/1129390529.db2.gz KOPJNVSHNDSTOZ-SECBINFHSA-N -1 1 326.378 -0.119 20 0 EBADMM O=C(CCCc1nn[n-]n1)NC[C@H](O)COc1ccc2c(c1)OCO2 ZINC001411898379 1129398853 /nfs/dbraw/zinc/39/88/53/1129398853.db2.gz MLXFBRGXVQOMBF-JTQLQIEISA-N -1 1 349.347 -0.193 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1CC12CCS(=O)(=O)CC2)c1nn[n-]n1 ZINC001411992210 1129421974 /nfs/dbraw/zinc/42/19/74/1129421974.db2.gz RYPSVOVRCGDFKA-ZJUUUORDSA-N -1 1 327.410 -0.024 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1CC12CCS(=O)(=O)CC2)c1nn[n-]n1 ZINC001411992196 1129422067 /nfs/dbraw/zinc/42/20/67/1129422067.db2.gz RYPSVOVRCGDFKA-NXEZZACHSA-N -1 1 327.410 -0.024 20 0 EBADMM Cc1occc1C(=O)NCC(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001412056168 1129437216 /nfs/dbraw/zinc/43/72/16/1129437216.db2.gz JDDBYPSOMKRETG-UHFFFAOYSA-N -1 1 334.336 -0.659 20 0 EBADMM COc1ccccc1[C@@H](O)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001412058480 1129437433 /nfs/dbraw/zinc/43/74/33/1129437433.db2.gz SGMJIKHBESEQAP-GFCCVEGCSA-N -1 1 333.348 -0.248 20 0 EBADMM O=C(c1cn(C(F)(F)CO)nn1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC001412111314 1129452304 /nfs/dbraw/zinc/45/23/04/1129452304.db2.gz SMINMUMYVHYKQI-UHFFFAOYSA-N -1 1 344.278 -0.072 20 0 EBADMM CCOC(=O)c1nc([C@H](C)NC(=O)CS(=O)(=O)C(C)C)n[n-]1 ZINC001412325863 1129502740 /nfs/dbraw/zinc/50/27/40/1129502740.db2.gz HAZNCBMAQAOBPS-QMMMGPOBSA-N -1 1 332.382 -0.018 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CS(=O)(=O)C(C)C)n1 ZINC001412325863 1129502742 /nfs/dbraw/zinc/50/27/42/1129502742.db2.gz HAZNCBMAQAOBPS-QMMMGPOBSA-N -1 1 332.382 -0.018 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H]1Cc2cccnc2NC1=O ZINC001412553178 1129559512 /nfs/dbraw/zinc/55/95/12/1129559512.db2.gz UCFNKBOROLUSPX-SNVBAGLBSA-N -1 1 341.327 -0.408 20 0 EBADMM C[C@@]1(C(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)CCCS1(=O)=O ZINC001412612867 1129576926 /nfs/dbraw/zinc/57/69/26/1129576926.db2.gz QMDGOKLOBHTNGG-GWCFXTLKSA-N -1 1 327.410 -0.052 20 0 EBADMM CS(=O)(=O)N1CCC[C@H]1C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001412614999 1129577498 /nfs/dbraw/zinc/57/74/98/1129577498.db2.gz UJUNTURYERVJKZ-MNOVXSKESA-N -1 1 342.425 -0.595 20 0 EBADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CCC(=O)NC[C@@H](O)CO ZINC001412748548 1129611377 /nfs/dbraw/zinc/61/13/77/1129611377.db2.gz XDGDJRRRYLLUIX-GFCCVEGCSA-N -1 1 332.360 -0.045 20 0 EBADMM COc1cnc([C@H]2CCCN2C(=O)c2nc3n(n2)CCCN3)[n-]c1=O ZINC001412767415 1129635115 /nfs/dbraw/zinc/63/51/15/1129635115.db2.gz FAVZBXZTDADLDJ-SECBINFHSA-N -1 1 345.363 -0.167 20 0 EBADMM C[C@H](C(=O)N[C@@H](CO)CNC(=O)c1ncccc1[O-])n1cccn1 ZINC001412802866 1129658935 /nfs/dbraw/zinc/65/89/35/1129658935.db2.gz DXPQTCNMDKYFGI-GHMZBOCLSA-N -1 1 333.348 -0.548 20 0 EBADMM COc1ccnc(C(=O)N[C@H](CO)CNC(=O)c2ncccc2[O-])c1 ZINC001412803918 1129661589 /nfs/dbraw/zinc/66/15/89/1129661589.db2.gz DFWOLKPDPKNJHB-JTQLQIEISA-N -1 1 346.343 -0.289 20 0 EBADMM CCn1nc(C)cc1C(=O)N[C@@H](CO)CNC(=O)c1ncccc1[O-] ZINC001412815402 1129667239 /nfs/dbraw/zinc/66/72/39/1129667239.db2.gz UXWSBLFPTIXEGQ-LLVKDONJSA-N -1 1 347.375 -0.167 20 0 EBADMM Nc1nc2nc(CN3CCOC4(CCOCC4)CC3)cc(=O)n2[n-]1 ZINC001412970165 1129824218 /nfs/dbraw/zinc/82/42/18/1129824218.db2.gz NFPCMDWQKIDSLJ-UHFFFAOYSA-N -1 1 334.380 -0.229 20 0 EBADMM CN(C(=O)[C@@H]1CN(C)C(=O)CN1C(=O)OC(C)(C)C)c1nn[n-]n1 ZINC001361436993 1131408318 /nfs/dbraw/zinc/40/83/18/1131408318.db2.gz UHTSQWSJPIISRR-QMMMGPOBSA-N -1 1 339.356 -0.760 20 0 EBADMM Cc1cc(C(=O)NC[C@H](O)CN(C)C(=O)c2ncccc2[O-])[nH]n1 ZINC001413248743 1129969770 /nfs/dbraw/zinc/96/97/70/1129969770.db2.gz OMCMNOMLZDHIPH-JTQLQIEISA-N -1 1 333.348 -0.318 20 0 EBADMM CN(C[C@H](O)CNC(=O)CCc1ccon1)C(=O)c1ncccc1[O-] ZINC001413254869 1129974957 /nfs/dbraw/zinc/97/49/57/1129974957.db2.gz WBVNJYOKPLRNQR-GFCCVEGCSA-N -1 1 348.359 -0.043 20 0 EBADMM CNC(=O)C1CCN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)CC1 ZINC001413267063 1129984916 /nfs/dbraw/zinc/98/49/16/1129984916.db2.gz KYRXLCVPIOOVJY-UHFFFAOYSA-N -1 1 330.366 -0.657 20 0 EBADMM CNC(=O)C1CCN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)CC1 ZINC001413267063 1129984917 /nfs/dbraw/zinc/98/49/17/1129984917.db2.gz KYRXLCVPIOOVJY-UHFFFAOYSA-N -1 1 330.366 -0.657 20 0 EBADMM COC(=O)[C@H]1CC[C@@H](C(=O)OC)N(C(=O)CCc2nn[n-]n2)C1 ZINC001413280497 1129987245 /nfs/dbraw/zinc/98/72/45/1129987245.db2.gz XHCQOLUQMONPOW-IUCAKERBSA-N -1 1 325.325 -0.915 20 0 EBADMM CCS(=O)(=O)N(C)CC(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC001413302444 1129999554 /nfs/dbraw/zinc/99/95/54/1129999554.db2.gz VQGMWGFDDZGZKG-QMMMGPOBSA-N -1 1 336.443 -0.608 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)C[C@@H]2CCN(C(C)=O)C2)[n-]n1 ZINC001413312520 1130007059 /nfs/dbraw/zinc/00/70/59/1130007059.db2.gz UWBUIZMDDLFSCH-JTQLQIEISA-N -1 1 344.393 -0.315 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)C[C@@H]2CCN(C(C)=O)C2)n[n-]1 ZINC001413312520 1130007065 /nfs/dbraw/zinc/00/70/65/1130007065.db2.gz UWBUIZMDDLFSCH-JTQLQIEISA-N -1 1 344.393 -0.315 20 0 EBADMM C[C@@H](CCO)C1(C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)CCC1 ZINC001413317983 1130010910 /nfs/dbraw/zinc/01/09/10/1130010910.db2.gz FGFBHYRXCKPNBM-JTQLQIEISA-N -1 1 345.421 -0.047 20 0 EBADMM C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001413329481 1130019792 /nfs/dbraw/zinc/01/97/92/1130019792.db2.gz JRRXUJQSRHKACF-BIMULSAOSA-N -1 1 344.375 -0.319 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@]3(CNC(=O)C3)C2)[n-]n1 ZINC001413345707 1130032598 /nfs/dbraw/zinc/03/25/98/1130032598.db2.gz DCHUXYVPJHISHM-GFCCVEGCSA-N -1 1 328.350 -0.903 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@]3(CNC(=O)C3)C2)n[n-]1 ZINC001413345707 1130032601 /nfs/dbraw/zinc/03/26/01/1130032601.db2.gz DCHUXYVPJHISHM-GFCCVEGCSA-N -1 1 328.350 -0.903 20 0 EBADMM CCO[C@@H](C(=O)N1CCOC[C@@H]1c1nn[n-]n1)C1CCOCC1 ZINC001413390308 1130069128 /nfs/dbraw/zinc/06/91/28/1130069128.db2.gz WOSVBQMXVXDYQL-VXGBXAGGSA-N -1 1 325.369 -0.069 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2[C@H]3C[C@H]4CO[C@H]2[C@H]4C3)[n-]n1 ZINC001413408885 1130077050 /nfs/dbraw/zinc/07/70/50/1130077050.db2.gz BKGHFEJFRXVFKZ-VRDSTSQNSA-N -1 1 327.362 -0.102 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2[C@H]3C[C@H]4CO[C@H]2[C@H]4C3)n[n-]1 ZINC001413408885 1130077056 /nfs/dbraw/zinc/07/70/56/1130077056.db2.gz BKGHFEJFRXVFKZ-VRDSTSQNSA-N -1 1 327.362 -0.102 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1C[C@@H]2C[C@]2(C(=O)OC)C1 ZINC001413416457 1130080252 /nfs/dbraw/zinc/08/02/52/1130080252.db2.gz FYDWSVQLMKWIJA-UJVNDKKSSA-N -1 1 343.361 -0.184 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCO[C@H](C(F)F)C2)[n-]n1 ZINC001413423611 1130081799 /nfs/dbraw/zinc/08/17/99/1130081799.db2.gz ATVNDXCJRRYAQV-ZETCQYMHSA-N -1 1 325.293 -0.149 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCO[C@H](C(F)F)C2)n[n-]1 ZINC001413423611 1130081805 /nfs/dbraw/zinc/08/18/05/1130081805.db2.gz ATVNDXCJRRYAQV-ZETCQYMHSA-N -1 1 325.293 -0.149 20 0 EBADMM O=C(C[C@H]1CCS(=O)(=O)C1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001413452675 1130092892 /nfs/dbraw/zinc/09/28/92/1130092892.db2.gz BWHUKOYXYHKQOZ-SECBINFHSA-N -1 1 341.389 -0.304 20 0 EBADMM CC(C)[C@H](C(=O)N1CC[C@H](c2nn[n-]n2)C1)N1CCCS1(=O)=O ZINC001413469072 1130099031 /nfs/dbraw/zinc/09/90/31/1130099031.db2.gz NPNAYNIKFCHVGI-WDEREUQCSA-N -1 1 342.425 -0.424 20 0 EBADMM CC(C)(CNC(=O)CNC(=O)c1ncccc1[O-])CS(C)(=O)=O ZINC001413523698 1130122845 /nfs/dbraw/zinc/12/28/45/1130122845.db2.gz HXHGUSRAQUNPMX-UHFFFAOYSA-N -1 1 343.405 -0.296 20 0 EBADMM CNS(=O)(=O)c1ccc(C(=O)N[C@H](COC)c2nn[n-]n2)cc1 ZINC001413557366 1130132788 /nfs/dbraw/zinc/13/27/88/1130132788.db2.gz RXVOMFRKRNCGQV-SNVBAGLBSA-N -1 1 340.365 -0.775 20 0 EBADMM O=C(CC(=O)N1CCN(C(=O)c2ncccc2[O-])CC1)NCC1CC1 ZINC001491009050 1130287023 /nfs/dbraw/zinc/28/70/23/1130287023.db2.gz BZQZITSLIXKLAE-UHFFFAOYSA-N -1 1 346.387 -0.012 20 0 EBADMM C[C@@H]1CC[C@@H](C(=O)NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001491298676 1130390547 /nfs/dbraw/zinc/39/05/47/1130390547.db2.gz WGGCBTMTIQCEPI-NXEZZACHSA-N -1 1 332.364 -0.300 20 0 EBADMM C[C@@H](CC(=O)NCCNC(=O)c1cc(=O)n2[n-]cnc2n1)C1CC1 ZINC001491369055 1130415221 /nfs/dbraw/zinc/41/52/21/1130415221.db2.gz KRAYFLWIMCTYHZ-VIFPVBQESA-N -1 1 332.364 -0.300 20 0 EBADMM CC[C@]1(C)C[C@H]1C(=O)NCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001491459162 1130453220 /nfs/dbraw/zinc/45/32/20/1130453220.db2.gz CNZXRISZFURTJR-MEDUHNTESA-N -1 1 336.392 -0.405 20 0 EBADMM CC(C(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1)=C1CCC1 ZINC001280406301 1130566895 /nfs/dbraw/zinc/56/68/95/1130566895.db2.gz MRWZTYYRVCFVNV-CYBMUJFWSA-N -1 1 335.408 -0.074 20 0 EBADMM O=C(NCCC[C@H]1CCCN1Cc1n[nH]c(=O)[n-]1)c1c[nH]c(=O)cn1 ZINC001492167886 1130587948 /nfs/dbraw/zinc/58/79/48/1130587948.db2.gz ZQWACYSUCJCXTB-JTQLQIEISA-N -1 1 347.379 -0.232 20 0 EBADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)c1cc(=O)n(C)o1 ZINC001492329677 1130629761 /nfs/dbraw/zinc/62/97/61/1130629761.db2.gz DIRASACNZMQBQX-UHFFFAOYSA-N -1 1 334.332 -0.029 20 0 EBADMM CN(CCNC(=O)c1ccc2cc[nH]c2n1)Cc1nc(=O)n(C)[n-]1 ZINC001492291014 1130633531 /nfs/dbraw/zinc/63/35/31/1130633531.db2.gz CJVHCMWPGIUFDI-UHFFFAOYSA-N -1 1 329.364 -0.154 20 0 EBADMM CN(CCNC(=O)c1cnn(C)c1C(F)F)Cc1nc(=O)n(C)[n-]1 ZINC001492493891 1130698997 /nfs/dbraw/zinc/69/89/97/1130698997.db2.gz HSVNXFGUJBTUEY-UHFFFAOYSA-N -1 1 343.338 -0.359 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N1CCC(O)(CO)CC1 ZINC001413915401 1130741946 /nfs/dbraw/zinc/74/19/46/1130741946.db2.gz FVHGWNLMJFRPJL-UHFFFAOYSA-N -1 1 338.364 -0.716 20 0 EBADMM CCn1cc(CC(=O)Nc2n[n-]c(OCCO)c2C(=O)OC)cn1 ZINC001413862964 1130826926 /nfs/dbraw/zinc/82/69/26/1130826926.db2.gz DYTGWAODSNRSIF-UHFFFAOYSA-N -1 1 337.336 -0.035 20 0 EBADMM CCn1cc(CC(=O)Nc2[n-]nc(OCCO)c2C(=O)OC)cn1 ZINC001413862964 1130826933 /nfs/dbraw/zinc/82/69/33/1130826933.db2.gz DYTGWAODSNRSIF-UHFFFAOYSA-N -1 1 337.336 -0.035 20 0 EBADMM COCCCCC(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001356748191 1130917706 /nfs/dbraw/zinc/91/77/06/1130917706.db2.gz RCKUYDAPACEOQD-UHFFFAOYSA-N -1 1 348.363 -0.825 20 0 EBADMM CC(C)C[C@@H](C(N)=O)C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC001356755109 1130920133 /nfs/dbraw/zinc/92/01/33/1130920133.db2.gz PIWHUWJMINDATQ-NSHDSACASA-N -1 1 334.376 -0.125 20 0 EBADMM C/C=C(\C)C(=O)NC[C@@H](C)CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001357016010 1131025140 /nfs/dbraw/zinc/02/51/40/1131025140.db2.gz VBNTZFVIYRKMMF-BZFUBLJMSA-N -1 1 332.364 -0.134 20 0 EBADMM CC[C@H]1CN(C(=O)[C@]2(C(=O)[O-])CNCCO2)CCN1CC(F)F ZINC001357535079 1131098443 /nfs/dbraw/zinc/09/84/43/1131098443.db2.gz LNPOWJNGRKKGQB-HZMBPMFUSA-N -1 1 335.351 -0.383 20 0 EBADMM C[C@H]1CN(C(=O)[C@@]2(C(=O)[O-])CNCCO2)CCN1CC(F)(F)F ZINC001357589100 1131104702 /nfs/dbraw/zinc/10/47/02/1131104702.db2.gz YIOPXSPTXAVQRY-JOYOIKCWSA-N -1 1 339.314 -0.475 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2CCN(c3ccc(F)cn3)CC2)CNCCO1 ZINC001357789819 1131129139 /nfs/dbraw/zinc/12/91/39/1131129139.db2.gz XPWOHIYJVSTUTE-HNNXBMFYSA-N -1 1 338.339 -0.688 20 0 EBADMM C[C@@H]1C[C@H]1CC(=O)NC/C=C\CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001357964282 1131154263 /nfs/dbraw/zinc/15/42/63/1131154263.db2.gz MRHWXHPZSPUMQV-FAQRPARDSA-N -1 1 348.403 -0.239 20 0 EBADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@]3(F)CCS(=O)(=O)C3)nc2n1 ZINC001361587929 1131424180 /nfs/dbraw/zinc/42/41/80/1131424180.db2.gz BRNVXESBLWCWPA-LBPRGKRZSA-N -1 1 343.340 -0.555 20 0 EBADMM CCc1cc(=O)n2[nH]c([N-]C(=O)[C@]3(F)CCS(=O)(=O)C3)nc2n1 ZINC001361587929 1131424183 /nfs/dbraw/zinc/42/41/83/1131424183.db2.gz BRNVXESBLWCWPA-LBPRGKRZSA-N -1 1 343.340 -0.555 20 0 EBADMM CCOC(=O)N1CSC[C@H]1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001361785163 1131454878 /nfs/dbraw/zinc/45/48/78/1131454878.db2.gz RRBNVCBZRXNSCK-DTWKUNHWSA-N -1 1 342.381 -0.369 20 0 EBADMM O=C([C@H]1CCCN1C(=O)C(F)(F)F)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001361786009 1131455621 /nfs/dbraw/zinc/45/56/21/1131455621.db2.gz UKCZLSGMXQMMLY-HTQZYQBOSA-N -1 1 348.285 -0.347 20 0 EBADMM O=C(c1cc2c([nH]c1=O)CCC[C@@H]2O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001361921587 1131479561 /nfs/dbraw/zinc/47/95/61/1131479561.db2.gz FTGDOVGIKWHKFY-RYUDHWBXSA-N -1 1 346.347 -0.116 20 0 EBADMM CCO[C@@H]1COCC[C@H]1CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001361923253 1131480462 /nfs/dbraw/zinc/48/04/62/1131480462.db2.gz JKHLCAFFXGSGRL-QJPTWQEYSA-N -1 1 325.369 -0.069 20 0 EBADMM O=C(c1ncc(Br)cn1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001361927268 1131481740 /nfs/dbraw/zinc/48/17/40/1131481740.db2.gz RROPGIAMHRXDTP-SSDOTTSWSA-N -1 1 340.141 -0.034 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)C(=O)NCC(F)(F)F ZINC001361953661 1131487292 /nfs/dbraw/zinc/48/72/92/1131487292.db2.gz VEZZFTJYUJIJRI-SCSAIBSYSA-N -1 1 338.242 -0.307 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC(NC(N)=O)CC2)co1 ZINC001362022279 1131498339 /nfs/dbraw/zinc/49/83/39/1131498339.db2.gz KTXGGKAZNBUOOU-UHFFFAOYSA-N -1 1 330.366 -0.539 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@H](N2CCNC2=O)C1 ZINC001362100245 1131515441 /nfs/dbraw/zinc/51/54/41/1131515441.db2.gz REBWIOCVLXKJTP-NSHDSACASA-N -1 1 347.375 -0.467 20 0 EBADMM C[C@H](NC(=O)c1cccc([O-])c1F)C(=O)N1CCS(=O)(=O)CC1 ZINC001362120399 1131519075 /nfs/dbraw/zinc/51/90/75/1131519075.db2.gz LBVPJUBIUHLYPY-VIFPVBQESA-N -1 1 344.364 -0.093 20 0 EBADMM O=C(Cc1ccccc1F)N1C[C@@H](O)C[C@@H]1C(=O)NCc1nn[n-]n1 ZINC001362122069 1131519935 /nfs/dbraw/zinc/51/99/35/1131519935.db2.gz BUPLTPAWPSMTNC-CMPLNLGQSA-N -1 1 348.338 -0.841 20 0 EBADMM CS(=O)(=O)N[C@H]1CCC[C@@H]1CNC(=O)CCCc1nn[n-]n1 ZINC001362193713 1131533498 /nfs/dbraw/zinc/53/34/98/1131533498.db2.gz SRTCJMPXMUBIAI-ZJUUUORDSA-N -1 1 330.414 -0.644 20 0 EBADMM O=C(c1cc(N2CCOCC2)ncn1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362209884 1131537344 /nfs/dbraw/zinc/53/73/44/1131537344.db2.gz QUTSCMMABBODCK-NSHDSACASA-N -1 1 344.379 -0.154 20 0 EBADMM Cn1ncc(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)c1C(F)F ZINC001362384947 1131572871 /nfs/dbraw/zinc/57/28/71/1131572871.db2.gz DGSFATHHTMPXJE-UHFFFAOYSA-N -1 1 327.295 -0.005 20 0 EBADMM CC(=O)NC1(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)CCCCC1 ZINC001362387459 1131573285 /nfs/dbraw/zinc/57/32/85/1131573285.db2.gz KXVZEMBATQJSJU-UHFFFAOYSA-N -1 1 336.396 -0.151 20 0 EBADMM O=C([C@H]1CCc2ncncc2C1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362394520 1131574919 /nfs/dbraw/zinc/57/49/19/1131574919.db2.gz ZYXHTMMSLGSGPE-JTQLQIEISA-N -1 1 329.364 -0.395 20 0 EBADMM CN(CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001362407270 1131577093 /nfs/dbraw/zinc/57/70/93/1131577093.db2.gz PUVDHUHRDWDKHL-GHMZBOCLSA-N -1 1 342.425 -0.975 20 0 EBADMM O=C(CCc1nn[n-]n1)NC1CCN(S(=O)(=O)C2CC2)CC1 ZINC001362452592 1131586156 /nfs/dbraw/zinc/58/61/56/1131586156.db2.gz QNVHTAGLPNWLGX-UHFFFAOYSA-N -1 1 328.398 -0.795 20 0 EBADMM Cn1cc(C(=O)NC[C@@H]2CCCN2C(=O)c2cnncc2[O-])cn1 ZINC001362460731 1131588433 /nfs/dbraw/zinc/58/84/33/1131588433.db2.gz YZRLBYMOPTZREI-NSHDSACASA-N -1 1 330.348 -0.050 20 0 EBADMM CC(C)(C)OC(=O)N1CCO[C@H](CNC(=O)CCc2nn[n-]n2)C1 ZINC001362517913 1131600080 /nfs/dbraw/zinc/60/00/80/1131600080.db2.gz BXZILVGVGPFPNM-SNVBAGLBSA-N -1 1 340.384 -0.116 20 0 EBADMM Cn1nc(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)c2c1CCCC2 ZINC001362562757 1131611185 /nfs/dbraw/zinc/61/11/85/1131611185.db2.gz QVJJVAJAAZGBOI-UHFFFAOYSA-N -1 1 331.380 -0.064 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CCS(=O)(=O)CC1 ZINC001362612033 1131624140 /nfs/dbraw/zinc/62/41/40/1131624140.db2.gz MUTOGJQVMXLNKB-UHFFFAOYSA-N -1 1 331.350 -0.549 20 0 EBADMM CC1(C)[C@H]([NH+]2CCOCC2)C[C@@H]1NC(=O)c1[nH]c(=O)[nH]c(=O)c1N ZINC001362639082 1131629692 /nfs/dbraw/zinc/62/96/92/1131629692.db2.gz MJRAPVNJELJXIX-DTWKUNHWSA-N -1 1 337.380 -0.301 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H](C)S(=O)(=O)[C@@H](C)C1 ZINC001362669719 1131637531 /nfs/dbraw/zinc/63/75/31/1131637531.db2.gz BXBSRLZPTPLIOI-BQBZGAKWSA-N -1 1 331.350 -0.456 20 0 EBADMM O=C(C[C@H]1C=CS(=O)(=O)C1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC001362674152 1131638656 /nfs/dbraw/zinc/63/86/56/1131638656.db2.gz QXNFQVQMHDUOKR-RKDXNWHRSA-N -1 1 329.407 -0.235 20 0 EBADMM O=C(CCCc1nn[n-]n1)N[C@H]1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001362710629 1131648598 /nfs/dbraw/zinc/64/85/98/1131648598.db2.gz DHOMQQYBKFWOIR-GXFFZTMASA-N -1 1 343.409 -0.625 20 0 EBADMM O=C(c1cn(C[C@H]2CCOC2)nn1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362710936 1131648736 /nfs/dbraw/zinc/64/87/36/1131648736.db2.gz OGFRJUULNDKTKQ-GHMZBOCLSA-N -1 1 332.368 -0.153 20 0 EBADMM CC(C)(NS(C)(=O)=O)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001362716033 1131650766 /nfs/dbraw/zinc/65/07/66/1131650766.db2.gz NLTSFCFRTVNWAR-JTQLQIEISA-N -1 1 338.393 -0.267 20 0 EBADMM CC(C)CN1C[C@@H](C(=O)N2CCC(O)(c3nn[n-]n3)CC2)CC1=O ZINC001362726587 1131655123 /nfs/dbraw/zinc/65/51/23/1131655123.db2.gz APCZPHWQMPVCHP-NSHDSACASA-N -1 1 336.396 -0.486 20 0 EBADMM O=C([C@@H]1CCCN1c1ncccn1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362732779 1131657862 /nfs/dbraw/zinc/65/78/62/1131657862.db2.gz UDAINUSODIMUOK-NSHDSACASA-N -1 1 344.379 -0.531 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H](CO)Cc2cnn(C)c2)co1 ZINC001362786690 1131673536 /nfs/dbraw/zinc/67/35/36/1131673536.db2.gz GBSVBJHITAFTRN-NSHDSACASA-N -1 1 342.377 -0.745 20 0 EBADMM O=C([C@H]1CC(=O)N(Cc2ccccn2)C1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001362824730 1131686461 /nfs/dbraw/zinc/68/64/61/1131686461.db2.gz YASFTMJEVYTTFG-RYUDHWBXSA-N -1 1 341.375 -0.041 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H](O)CNC(=O)OC(C)(C)C)c1nn[n-]n1 ZINC001362825432 1131686662 /nfs/dbraw/zinc/68/66/62/1131686662.db2.gz OXFWAABUTLBDBG-IUCAKERBSA-N -1 1 328.373 -0.353 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC(NC(=O)NC)CC2)co1 ZINC001362869611 1131700869 /nfs/dbraw/zinc/70/08/69/1131700869.db2.gz UFAPEGOEYSSWDB-UHFFFAOYSA-N -1 1 344.393 -0.279 20 0 EBADMM CC(C)(C)n1cc(CNC(=O)CCCc2nn[n-]n2)c(=O)[nH]c1=O ZINC001362876013 1131702498 /nfs/dbraw/zinc/70/24/98/1131702498.db2.gz AOBHQKWCHMHPGH-UHFFFAOYSA-N -1 1 335.368 -0.144 20 0 EBADMM COC[C@@H](NC(=O)c1ncc(Br)cn1)c1nn[n-]n1 ZINC001362898102 1131707527 /nfs/dbraw/zinc/70/75/27/1131707527.db2.gz HKTXPLASHIBIJD-ZCFIWIBFSA-N -1 1 328.130 -0.130 20 0 EBADMM COC[C@H](NC(=O)c1cnc2c(c1)NC(=O)CS2)c1nn[n-]n1 ZINC001362909705 1131711201 /nfs/dbraw/zinc/71/12/01/1131711201.db2.gz MTMXWNZOZJRHED-QMMMGPOBSA-N -1 1 335.349 -0.244 20 0 EBADMM COC[C@@H](NC(=O)[C@@H]1CN(C(C)=O)c2ccccc2O1)c1nn[n-]n1 ZINC001362910858 1131711784 /nfs/dbraw/zinc/71/17/84/1131711784.db2.gz SRCBDKIRBDQDQW-MFKMUULPSA-N -1 1 346.347 -0.183 20 0 EBADMM CCO[C@@H]1C[C@](NC(=O)CCc2nn[n-]n2)(C(=O)OC)C1(C)C ZINC001362936839 1131717869 /nfs/dbraw/zinc/71/78/69/1131717869.db2.gz HVLKSGUJJPMLQI-OTYXRUKQSA-N -1 1 325.369 -0.005 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CCC[C@@H]3C[C@@H]32)o1 ZINC001363017411 1131747237 /nfs/dbraw/zinc/74/72/37/1131747237.db2.gz FLRCCRBMLFMFBY-UTLUCORTSA-N -1 1 341.389 -0.038 20 0 EBADMM COc1cc(CC(=O)N2CCC(O)(c3nn[n-]n3)CC2)ccc1O ZINC001363028507 1131753863 /nfs/dbraw/zinc/75/38/63/1131753863.db2.gz NCHICXXAZIIPQL-UHFFFAOYSA-N -1 1 333.348 -0.033 20 0 EBADMM COc1cnc([C@H]2CCCN2C(=O)Cn2ncn(C)c2=O)[n-]c1=O ZINC001363041619 1131759054 /nfs/dbraw/zinc/75/90/54/1131759054.db2.gz QNFIOKLHIQWXAB-SECBINFHSA-N -1 1 334.336 -0.550 20 0 EBADMM COC(=O)C[C@H]1CS(=O)(=O)CCN1C(=O)c1cncc([O-])c1 ZINC001363135113 1131796364 /nfs/dbraw/zinc/79/63/64/1131796364.db2.gz MMGXCPRAYXCPRX-JTQLQIEISA-N -1 1 328.346 -0.411 20 0 EBADMM CSc1nc(CNC(=O)c2cn(C(F)(F)CO)nn2)cc(=O)[n-]1 ZINC001363144521 1131802065 /nfs/dbraw/zinc/80/20/65/1131802065.db2.gz ZSLOMUAUZLGMJM-UHFFFAOYSA-N -1 1 346.319 -0.033 20 0 EBADMM O=C([C@H]1CNC(=O)c2ccccc21)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001363204132 1131829908 /nfs/dbraw/zinc/82/99/08/1131829908.db2.gz OIZOIXGGXVZMGC-NWDGAFQWSA-N -1 1 328.332 -0.373 20 0 EBADMM CCn1nc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)ccc1=O ZINC001363205618 1131830733 /nfs/dbraw/zinc/83/07/33/1131830733.db2.gz FHSYWVDFFKLSFW-UHFFFAOYSA-N -1 1 331.332 -0.239 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCS(=O)(=O)[C@@H](C)C2)o1 ZINC001363272887 1131863885 /nfs/dbraw/zinc/86/38/85/1131863885.db2.gz LPUBAMUSKZSLGO-QMMMGPOBSA-N -1 1 336.391 -0.553 20 0 EBADMM COC(=O)c1ccn(CCCC(=O)N2CC[C@@H](c3nn[n-]n3)C2)n1 ZINC001363324365 1131900175 /nfs/dbraw/zinc/90/01/75/1131900175.db2.gz WLVFTQIFRVXRQX-SNVBAGLBSA-N -1 1 333.352 -0.021 20 0 EBADMM COC(=O)[C@@H](C[C@H]1CCCO1)NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001363393009 1131928856 /nfs/dbraw/zinc/92/88/56/1131928856.db2.gz ORHLGRDHLZXWBO-HTQZYQBOSA-N -1 1 341.320 -0.263 20 0 EBADMM O=C(c1cnc(OCC2CC2)cn1)N1CCOC[C@H]1c1nn[n-]n1 ZINC001363410721 1131938232 /nfs/dbraw/zinc/93/82/32/1131938232.db2.gz MYSFVWUHUGQXLZ-NSHDSACASA-N -1 1 331.336 -0.008 20 0 EBADMM O=C(c1ccc(N2CCOCC2)nc1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001363413074 1131939758 /nfs/dbraw/zinc/93/97/58/1131939758.db2.gz YKZOAOGJRWICHR-GFCCVEGCSA-N -1 1 345.363 -0.355 20 0 EBADMM COC[C@H](NC(=O)[C@H]1CS[C@@]2(C)CCC(=O)N12)c1nn[n-]n1 ZINC001363437236 1131949870 /nfs/dbraw/zinc/94/98/70/1131949870.db2.gz PWYNHENPLZMWOC-SXMVTHIZSA-N -1 1 326.382 -0.543 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H](CO)C[C@@H]2CCCO2)o1 ZINC001363435380 1131949914 /nfs/dbraw/zinc/94/99/14/1131949914.db2.gz DTIMGWZAWCNFIT-UWVGGRQHSA-N -1 1 332.378 -0.153 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CC[C@H]2COC(=O)N[C@@H]2C1 ZINC001363472839 1131963514 /nfs/dbraw/zinc/96/35/14/1131963514.db2.gz PBAJCFMTCHWFDW-VHSXEESVSA-N -1 1 334.332 -0.526 20 0 EBADMM O=C(CNC(=O)NCc1ccco1)N1CCOC[C@H]1c1nn[n-]n1 ZINC001363515361 1131985365 /nfs/dbraw/zinc/98/53/65/1131985365.db2.gz ZXESKALEPIUOPH-JTQLQIEISA-N -1 1 335.324 -0.808 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ccc(=O)n(CCO)c2)co1 ZINC001363515575 1131985637 /nfs/dbraw/zinc/98/56/37/1131985637.db2.gz VDBKZUUPZRQYIQ-UHFFFAOYSA-N -1 1 341.345 -0.406 20 0 EBADMM O=C(C[C@H]1CCCS1(=O)=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001363522526 1131990546 /nfs/dbraw/zinc/99/05/46/1131990546.db2.gz XMJONXHGWFICDJ-SECBINFHSA-N -1 1 341.389 -0.162 20 0 EBADMM CN(C)S(=O)(=O)c1ccc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)o1 ZINC001363523619 1131991377 /nfs/dbraw/zinc/99/13/77/1131991377.db2.gz JHKMVDSWEUVXIW-QMMMGPOBSA-N -1 1 340.365 -0.327 20 0 EBADMM CS(=O)(=O)NCc1ccc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)o1 ZINC001363531150 1131998662 /nfs/dbraw/zinc/99/86/62/1131998662.db2.gz ZJDCCYXWMQZJAD-MRVPVSSYSA-N -1 1 340.365 -0.528 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NC2(C(=O)NC)CCOCC2)co1 ZINC001363591084 1132025034 /nfs/dbraw/zinc/02/50/34/1132025034.db2.gz LLTLVBBNTFFVAA-UHFFFAOYSA-N -1 1 345.377 -0.787 20 0 EBADMM COC[C@@H]1CC[C@@H]([C@@H]2COCCN2C(=O)CCc2nn[n-]n2)O1 ZINC001363626139 1132036443 /nfs/dbraw/zinc/03/64/43/1132036443.db2.gz UIDYLGHHAFTGCO-SRVKXCTJSA-N -1 1 325.369 -0.446 20 0 EBADMM COc1cnc(C(C)(C)NC(=O)Cn2ccc(=O)[nH]c2=O)[n-]c1=O ZINC001363634810 1132039738 /nfs/dbraw/zinc/03/97/38/1132039738.db2.gz WKQHBDJNTDNJDD-UHFFFAOYSA-N -1 1 335.320 -0.495 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC3(C2)CCNC(=O)O3)co1 ZINC001363653612 1132045845 /nfs/dbraw/zinc/04/58/45/1132045845.db2.gz XKFXTWRLJJGGLV-UHFFFAOYSA-N -1 1 329.334 -0.488 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)c2ccc(C(=O)NC)nc2)n[n-]1 ZINC001363712568 1132065449 /nfs/dbraw/zinc/06/54/49/1132065449.db2.gz UBKGBMNHDXNLFB-UHFFFAOYSA-N -1 1 332.320 -0.334 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(C(=O)NC)nc2)n1 ZINC001363712568 1132065456 /nfs/dbraw/zinc/06/54/56/1132065456.db2.gz UBKGBMNHDXNLFB-UHFFFAOYSA-N -1 1 332.320 -0.334 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCc2nc(C)cc(=O)[nH]2)o1 ZINC001363774817 1132082911 /nfs/dbraw/zinc/08/29/11/1132082911.db2.gz XRNIAJPDDUUXQM-UHFFFAOYSA-N -1 1 326.334 -0.078 20 0 EBADMM COC(=O)CCN(CC(=O)OC(C)(C)C)Cc1nc(=O)n(C)[n-]1 ZINC001363817512 1132098256 /nfs/dbraw/zinc/09/82/56/1132098256.db2.gz JVDQVKZSKSQPLE-UHFFFAOYSA-N -1 1 328.369 -0.185 20 0 EBADMM COC(=O)C[C@H]1CCCN1S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001363834721 1132105691 /nfs/dbraw/zinc/10/56/91/1132105691.db2.gz VULCEYDQFMLNJO-MRVPVSSYSA-N -1 1 331.350 -0.088 20 0 EBADMM COC(=O)C[C@H]1CCCN1S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001363834721 1132105698 /nfs/dbraw/zinc/10/56/98/1132105698.db2.gz VULCEYDQFMLNJO-MRVPVSSYSA-N -1 1 331.350 -0.088 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2CCO[C@H](C3CC3)C2)c(=O)[nH]c1=O ZINC001363856451 1132112957 /nfs/dbraw/zinc/11/29/57/1132112957.db2.gz VTFJLTXVQPAHKQ-UWVGGRQHSA-N -1 1 329.378 -0.278 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CC[C@H]2NC(=O)CC[C@H]2C1 ZINC001363882314 1132118406 /nfs/dbraw/zinc/11/84/06/1132118406.db2.gz BSHVSVJFEIOFEZ-WDEREUQCSA-N -1 1 332.360 -0.356 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCS(=O)(=O)C(F)(F)F ZINC001363924064 1132130606 /nfs/dbraw/zinc/13/06/06/1132130606.db2.gz IOZFSBWJTZCWIG-UHFFFAOYSA-N -1 1 345.255 -0.439 20 0 EBADMM CCNC(=O)[C@H](C)[N-]S(=O)(=O)c1nc[nH]c1Br ZINC001363942530 1132137521 /nfs/dbraw/zinc/13/75/21/1132137521.db2.gz YKHQBZUUYXJIQN-YFKPBYRVSA-N -1 1 325.188 -0.025 20 0 EBADMM Cc1nn(C)c(C)c1[C@H](O)CNC(=O)CNC(=O)c1ncccc1[O-] ZINC001363944820 1132137684 /nfs/dbraw/zinc/13/76/84/1132137684.db2.gz RCHZMZMFMBPGBS-GFCCVEGCSA-N -1 1 347.375 -0.283 20 0 EBADMM Cc1ccccc1[C@@H](CO)[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001363949332 1132139498 /nfs/dbraw/zinc/13/94/98/1132139498.db2.gz CJCAIOSZUOSZBH-LLVKDONJSA-N -1 1 339.373 -0.194 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@H](C)O[C@@]3(CCOC3)C2)[n-]n1 ZINC001363971941 1132148942 /nfs/dbraw/zinc/14/89/42/1132148942.db2.gz RBTOFKZQLYFTJP-ZANVPECISA-N -1 1 345.377 -0.235 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@H](C)O[C@@]3(CCOC3)C2)n[n-]1 ZINC001363971941 1132148948 /nfs/dbraw/zinc/14/89/48/1132148948.db2.gz RBTOFKZQLYFTJP-ZANVPECISA-N -1 1 345.377 -0.235 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)[C@H](C)N1CCOCC1 ZINC001363974955 1132150022 /nfs/dbraw/zinc/15/00/22/1132150022.db2.gz PBCPTBYHRQTXKT-ZJUUUORDSA-N -1 1 346.409 -0.416 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCc3n[nH]c(=O)cc3C2)[n-]n1 ZINC001363985516 1132154006 /nfs/dbraw/zinc/15/40/06/1132154006.db2.gz BPTBUTCPTCZEHR-UHFFFAOYSA-N -1 1 339.333 -0.561 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCc3n[nH]c(=O)cc3C2)n[n-]1 ZINC001363985516 1132154011 /nfs/dbraw/zinc/15/40/11/1132154011.db2.gz BPTBUTCPTCZEHR-UHFFFAOYSA-N -1 1 339.333 -0.561 20 0 EBADMM COC(=O)[C@](C)(CO)[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC001364003061 1132161462 /nfs/dbraw/zinc/16/14/62/1132161462.db2.gz PUPPPZQICKXJDY-VIFPVBQESA-N -1 1 345.299 -0.359 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCC[C@H]2C(=O)OC)[n-]n1 ZINC001364003611 1132161699 /nfs/dbraw/zinc/16/16/99/1132161699.db2.gz PQTGPGQINPVEGQ-SFYZADRCSA-N -1 1 331.350 -0.184 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCC[C@H]2C(=O)OC)n[n-]1 ZINC001364003611 1132161705 /nfs/dbraw/zinc/16/17/05/1132161705.db2.gz PQTGPGQINPVEGQ-SFYZADRCSA-N -1 1 331.350 -0.184 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](CO)[C@H]1CCCOC1 ZINC001364005766 1132162716 /nfs/dbraw/zinc/16/27/16/1132162716.db2.gz PUNUBWLSXZEJDO-WPRPVWTQSA-N -1 1 333.366 -0.738 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)[N-][C@@H](C)[C@@H](O)C(F)(F)F)n[nH]1 ZINC001364028733 1132173178 /nfs/dbraw/zinc/17/31/78/1132173178.db2.gz PNVKHWNIYHIBPU-MHTLYPKNSA-N -1 1 331.272 -0.214 20 0 EBADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]CCN1CCNC1=O ZINC001364036959 1132176684 /nfs/dbraw/zinc/17/66/84/1132176684.db2.gz UXGZPEYPJKORCC-IBGZPJMESA-N -1 1 326.444 -0.258 20 0 EBADMM CC(C)n1nnc([N-]S(=O)(=O)CCCN2CCN(C)CC2)n1 ZINC001364047242 1132182001 /nfs/dbraw/zinc/18/20/01/1132182001.db2.gz QONFUAVDMBBMDT-UHFFFAOYSA-N -1 1 331.446 -0.367 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H](CCO)C2CCCC2)c(=O)n(C)c1=O ZINC001364047822 1132183405 /nfs/dbraw/zinc/18/34/05/1132183405.db2.gz GXSLXSJUBBVOTP-NSHDSACASA-N -1 1 345.421 -0.697 20 0 EBADMM CCO[C@@H]1COCC[C@@H]1CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC001364051152 1132184906 /nfs/dbraw/zinc/18/49/06/1132184906.db2.gz UQMICQRARMUXPO-RKDXNWHRSA-N -1 1 332.382 -0.022 20 0 EBADMM CCO[C@@H]1COCC[C@@H]1CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC001364051152 1132184918 /nfs/dbraw/zinc/18/49/18/1132184918.db2.gz UQMICQRARMUXPO-RKDXNWHRSA-N -1 1 332.382 -0.022 20 0 EBADMM COc1cnc([C@H]2CCCN2S(=O)(=O)c2nncn2C)[n-]c1=O ZINC001364063105 1132191699 /nfs/dbraw/zinc/19/16/99/1132191699.db2.gz DKOUBDHJJTXLFC-MRVPVSSYSA-N -1 1 340.365 -0.155 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NC2(C)CN(C(=O)OC)C2)co1 ZINC001364092061 1132203582 /nfs/dbraw/zinc/20/35/82/1132203582.db2.gz DDQVCYOGSGRPAD-UHFFFAOYSA-N -1 1 331.350 -0.242 20 0 EBADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001364240616 1132250416 /nfs/dbraw/zinc/25/04/16/1132250416.db2.gz GGJCEQKGHFOUHZ-SECBINFHSA-N -1 1 339.377 -0.966 20 0 EBADMM CCS(=O)(=O)N(C)CC(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001364246463 1132252010 /nfs/dbraw/zinc/25/20/10/1132252010.db2.gz BDFCZKCOVJNMKP-LBPRGKRZSA-N -1 1 338.393 -0.313 20 0 EBADMM COC(=O)[C@@H](Cc1cnc[nH]1)NC(=O)Cc1cc(=O)n(CC(C)C)[n-]1 ZINC001364287424 1132263686 /nfs/dbraw/zinc/26/36/86/1132263686.db2.gz KHAURBYQJMTHII-CYBMUJFWSA-N -1 1 349.391 -0.002 20 0 EBADMM COC[C@@H](CCO)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001364333706 1132280404 /nfs/dbraw/zinc/28/04/04/1132280404.db2.gz QSTYIBLKIHCBMU-SECBINFHSA-N -1 1 326.353 -0.549 20 0 EBADMM O=C(c1cccc(N2CCNC2=O)c1)N1CCOC[C@H]1c1nn[n-]n1 ZINC001364382750 1132301000 /nfs/dbraw/zinc/30/10/00/1132301000.db2.gz JKXCAOBMABFCIM-LBPRGKRZSA-N -1 1 343.347 -0.057 20 0 EBADMM COc1cnc([C@H]2CCCN2C(=O)CCCS(N)(=O)=O)[n-]c1=O ZINC001364416322 1132309454 /nfs/dbraw/zinc/30/94/54/1132309454.db2.gz NTVWVIFMYNOBST-SECBINFHSA-N -1 1 344.393 -0.077 20 0 EBADMM COC(=O)c1c(NC(=O)[C@H]2CCC(=O)N2C)n[n-]c1OCCO ZINC001364506712 1132344100 /nfs/dbraw/zinc/34/41/00/1132344100.db2.gz CEAGXBWDKUMXKB-SSDOTTSWSA-N -1 1 326.309 -0.873 20 0 EBADMM COC(=O)c1c(OCCO)n[n-]c1NC(=O)[C@H]1CCC(=O)N1C ZINC001364506712 1132344105 /nfs/dbraw/zinc/34/41/05/1132344105.db2.gz CEAGXBWDKUMXKB-SSDOTTSWSA-N -1 1 326.309 -0.873 20 0 EBADMM CO[C@H](C(=O)NCc1nc2c(c(=O)[n-]1)COCC2)c1cnn(C)c1 ZINC001364551008 1132359694 /nfs/dbraw/zinc/35/96/94/1132359694.db2.gz YPWUWACFWFVKQJ-ZDUSSCGKSA-N -1 1 333.348 -0.008 20 0 EBADMM Cc1[nH]c(=O)[nH]c(=O)c1CC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC001364571525 1132364660 /nfs/dbraw/zinc/36/46/60/1132364660.db2.gz UIRTXASPIPQTOG-UHFFFAOYSA-N -1 1 348.281 -0.124 20 0 EBADMM O=C(NCc1nnc2n1CCNC2=O)c1cnc(C2CC2)[n-]c1=O ZINC001364620970 1132376267 /nfs/dbraw/zinc/37/62/67/1132376267.db2.gz YJBVKGOIYXDLMZ-UHFFFAOYSA-N -1 1 329.320 -0.676 20 0 EBADMM C[C@H](NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C(=O)NCCF ZINC001364728822 1132405879 /nfs/dbraw/zinc/40/58/79/1132405879.db2.gz PYJUZRYZHMMWNI-QMMMGPOBSA-N -1 1 341.343 -0.472 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@H](C)CN2CCOCC2)[n-]n1 ZINC001364788999 1132426411 /nfs/dbraw/zinc/42/64/11/1132426411.db2.gz KGUNTHPQKCLLCW-JTQLQIEISA-N -1 1 346.409 -0.557 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@H](C)CN2CCOCC2)n[n-]1 ZINC001364788999 1132426417 /nfs/dbraw/zinc/42/64/17/1132426417.db2.gz KGUNTHPQKCLLCW-JTQLQIEISA-N -1 1 346.409 -0.557 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@](F)(C(=O)OC)C2)[n-]n1 ZINC001364795555 1132429458 /nfs/dbraw/zinc/42/94/58/1132429458.db2.gz JQJQJSJYTYSODD-NSHDSACASA-N -1 1 335.313 -0.528 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@](F)(C(=O)OC)C2)n[n-]1 ZINC001364795555 1132429459 /nfs/dbraw/zinc/42/94/59/1132429459.db2.gz JQJQJSJYTYSODD-NSHDSACASA-N -1 1 335.313 -0.528 20 0 EBADMM CC[C@H](O)Cn1cc(C(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)nn1 ZINC001364818002 1132438511 /nfs/dbraw/zinc/43/85/11/1132438511.db2.gz FZMFAWZJXBEOBO-MNOVXSKESA-N -1 1 334.384 -0.343 20 0 EBADMM CCNC(=O)N1CC[C@H](NS(=O)(=O)c2cc(C(=O)OC)n[n-]2)C1 ZINC001364821798 1132440461 /nfs/dbraw/zinc/44/04/61/1132440461.db2.gz LOOLASPPYLTAIT-QMMMGPOBSA-N -1 1 345.381 -0.722 20 0 EBADMM CCNC(=O)N1CC[C@H](NS(=O)(=O)c2cc(C(=O)OC)[n-]n2)C1 ZINC001364821798 1132440464 /nfs/dbraw/zinc/44/04/64/1132440464.db2.gz LOOLASPPYLTAIT-QMMMGPOBSA-N -1 1 345.381 -0.722 20 0 EBADMM COC(=O)C1([N-]S(=O)(=O)[C@@H]2COC[C@H]2O)CCSCC1 ZINC001364823558 1132441809 /nfs/dbraw/zinc/44/18/09/1132441809.db2.gz KROUGDSVIGRNDN-RKDXNWHRSA-N -1 1 325.408 -0.896 20 0 EBADMM COC[C@@H]1CN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)C[C@@H](C)O1 ZINC001364850794 1132453828 /nfs/dbraw/zinc/45/38/28/1132453828.db2.gz NODCLWCURDJGLF-BDAKNGLRSA-N -1 1 333.366 -0.379 20 0 EBADMM COC[C@@H]1CN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)C[C@@H](C)O1 ZINC001364850794 1132453831 /nfs/dbraw/zinc/45/38/31/1132453831.db2.gz NODCLWCURDJGLF-BDAKNGLRSA-N -1 1 333.366 -0.379 20 0 EBADMM CNC(=O)c1ccc(CS(=O)(=O)[N-][C@@](C)(CO)C(=O)OC)cc1 ZINC001364862549 1132458514 /nfs/dbraw/zinc/45/85/14/1132458514.db2.gz SXJQVRQWKVDPRA-AWEZNQCLSA-N -1 1 344.389 -0.610 20 0 EBADMM CN(C)c1cccnc1C[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001364868352 1132460117 /nfs/dbraw/zinc/46/01/17/1132460117.db2.gz ABDIJDMFEHFRAF-UHFFFAOYSA-N -1 1 339.377 -0.575 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2C[C@@H]3CCCCN3C2=O)[n-]n1 ZINC001364874972 1132463443 /nfs/dbraw/zinc/46/34/43/1132463443.db2.gz JOKFZFTVKSLENV-IUCAKERBSA-N -1 1 342.377 -0.372 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2C[C@@H]3CCCCN3C2=O)n[n-]1 ZINC001364874972 1132463448 /nfs/dbraw/zinc/46/34/48/1132463448.db2.gz JOKFZFTVKSLENV-IUCAKERBSA-N -1 1 342.377 -0.372 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC(C)(C)CN2CCOCC2)[n-]n1 ZINC001364882127 1132467503 /nfs/dbraw/zinc/46/75/03/1132467503.db2.gz JGVWKYFQKWMILW-UHFFFAOYSA-N -1 1 346.409 -0.415 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC(C)(C)CN2CCOCC2)n[n-]1 ZINC001364882127 1132467507 /nfs/dbraw/zinc/46/75/07/1132467507.db2.gz JGVWKYFQKWMILW-UHFFFAOYSA-N -1 1 346.409 -0.415 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCS(=O)(=O)C2CC2)[n-]n1 ZINC001364883943 1132469339 /nfs/dbraw/zinc/46/93/39/1132469339.db2.gz ZSHMRNUCKUKMBN-UHFFFAOYSA-N -1 1 337.379 -0.948 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCS(=O)(=O)C2CC2)n[n-]1 ZINC001364883943 1132469344 /nfs/dbraw/zinc/46/93/44/1132469344.db2.gz ZSHMRNUCKUKMBN-UHFFFAOYSA-N -1 1 337.379 -0.948 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H](c3nncn3C)C2)[n-]n1 ZINC001364914507 1132482525 /nfs/dbraw/zinc/48/25/25/1132482525.db2.gz CFYQPCXKTBUOFB-MRVPVSSYSA-N -1 1 340.365 -0.497 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H](c3nncn3C)C2)n[n-]1 ZINC001364914507 1132482542 /nfs/dbraw/zinc/48/25/42/1132482542.db2.gz CFYQPCXKTBUOFB-MRVPVSSYSA-N -1 1 340.365 -0.497 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2cc3n(n2)CCCC3)c(=O)[nH]c1=O ZINC001364953080 1132500047 /nfs/dbraw/zinc/50/00/47/1132500047.db2.gz LHXFDCQBVWHMEZ-UHFFFAOYSA-N -1 1 339.377 -0.503 20 0 EBADMM C[C@@](Cn1cccn1)([N-]S(=O)(=O)c1c[nH]nc1Cl)C(N)=O ZINC001364976489 1132509847 /nfs/dbraw/zinc/50/98/47/1132509847.db2.gz OEQUHLNDKWSWCA-JTQLQIEISA-N -1 1 332.773 -0.518 20 0 EBADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-]CC(=O)NC1CCCC1 ZINC001365015845 1132520633 /nfs/dbraw/zinc/52/06/33/1132520633.db2.gz LAWWRIAACZPKJY-UHFFFAOYSA-N -1 1 344.393 -0.158 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC(n3ccnn3)CC2)[n-]n1 ZINC001365134558 1132554331 /nfs/dbraw/zinc/55/43/31/1132554331.db2.gz KXNCTKVJZSNJRK-UHFFFAOYSA-N -1 1 340.365 -0.186 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC(n3ccnn3)CC2)n[n-]1 ZINC001365134558 1132554333 /nfs/dbraw/zinc/55/43/33/1132554333.db2.gz KXNCTKVJZSNJRK-UHFFFAOYSA-N -1 1 340.365 -0.186 20 0 EBADMM CO[C@H]1CC[C@H]1N(C)C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC001365156737 1132563106 /nfs/dbraw/zinc/56/31/06/1132563106.db2.gz DCRQXDQHTMSDOM-BDAKNGLRSA-N -1 1 345.377 -0.707 20 0 EBADMM CO[C@@H]1CC[C@@H]1N(C)C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC001365156739 1132563345 /nfs/dbraw/zinc/56/33/45/1132563345.db2.gz DCRQXDQHTMSDOM-DTWKUNHWSA-N -1 1 345.377 -0.707 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)Cc2nc(C3CC3)nn2C)n[n-]1 ZINC001365194521 1132576672 /nfs/dbraw/zinc/57/66/72/1132576672.db2.gz LYDMKIXDBBFXIJ-UHFFFAOYSA-N -1 1 333.352 -0.154 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)Cc2nc(C3CC3)nn2C)n1 ZINC001365194521 1132576675 /nfs/dbraw/zinc/57/66/75/1132576675.db2.gz LYDMKIXDBBFXIJ-UHFFFAOYSA-N -1 1 333.352 -0.154 20 0 EBADMM CN(C)C(=O)[C@@H]1CCN(C(=O)Nc2nn[nH]c2C(=O)NC2CC2)C1 ZINC001365296079 1132609377 /nfs/dbraw/zinc/60/93/77/1132609377.db2.gz UVCKOFZZOKXIAE-MRVPVSSYSA-N -1 1 335.368 -0.361 20 0 EBADMM Nc1nc2nc(CN3CCC[C@@H](N4CCOCC4)C3)cc(=O)n2[n-]1 ZINC001365299847 1132610559 /nfs/dbraw/zinc/61/05/59/1132610559.db2.gz OVVJSGUMRINXOB-GFCCVEGCSA-N -1 1 333.396 -0.704 20 0 EBADMM COC[C@@H]([N-]S(=O)(=O)C[C@@H]1COc2ccccc2O1)C(N)=O ZINC001365377137 1132640660 /nfs/dbraw/zinc/64/06/60/1132640660.db2.gz XJCFIFRAWUCHGM-VHSXEESVSA-N -1 1 330.362 -0.754 20 0 EBADMM COC[C@@H]([N-]S(=O)(=O)C[C@H]1COc2ccccc2O1)C(N)=O ZINC001365377118 1132640960 /nfs/dbraw/zinc/64/09/60/1132640960.db2.gz XJCFIFRAWUCHGM-NXEZZACHSA-N -1 1 330.362 -0.754 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(CC(F)F)C[C@@H](C)O)[n-]n1 ZINC001365399270 1132651479 /nfs/dbraw/zinc/65/14/79/1132651479.db2.gz OPPAOLVONVKDPR-ZCFIWIBFSA-N -1 1 327.309 -0.167 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(CC(F)F)C[C@@H](C)O)n[n-]1 ZINC001365399270 1132651484 /nfs/dbraw/zinc/65/14/84/1132651484.db2.gz OPPAOLVONVKDPR-ZCFIWIBFSA-N -1 1 327.309 -0.167 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H]1CCCS1(=O)=O ZINC001365398573 1132652144 /nfs/dbraw/zinc/65/21/44/1132652144.db2.gz LACKWHBNXNXNAJ-SSDOTTSWSA-N -1 1 337.379 -0.948 20 0 EBADMM COC[C@H](NS(=O)(=O)c1cc(C(=O)OC)n[n-]1)C1CCOCC1 ZINC001365434532 1132672675 /nfs/dbraw/zinc/67/26/75/1132672675.db2.gz HDRIMRNFJCMWRF-NSHDSACASA-N -1 1 347.393 -0.084 20 0 EBADMM COC[C@H](NS(=O)(=O)c1cc(C(=O)OC)[n-]n1)C1CCOCC1 ZINC001365434532 1132672680 /nfs/dbraw/zinc/67/26/80/1132672680.db2.gz HDRIMRNFJCMWRF-NSHDSACASA-N -1 1 347.393 -0.084 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCc1cncc(C)n1 ZINC001365445466 1132681339 /nfs/dbraw/zinc/68/13/39/1132681339.db2.gz QMQUKTYZNWOMSK-UHFFFAOYSA-N -1 1 325.350 -0.184 20 0 EBADMM COC(=O)C[C@@H]1CCC[C@H]1[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001365476043 1132700843 /nfs/dbraw/zinc/70/08/43/1132700843.db2.gz XIKFPQCEWRQKLH-DTWKUNHWSA-N -1 1 345.377 -0.504 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@]2(C(=O)OC)CCOC2)o1 ZINC001365475091 1132701183 /nfs/dbraw/zinc/70/11/83/1132701183.db2.gz OYVHBBGVKKOSDE-CYBMUJFWSA-N -1 1 347.345 -0.076 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CC[C@@H](C2)N3C(=O)c2cccnn2)nc1=O ZINC001365602108 1132734279 /nfs/dbraw/zinc/73/42/79/1132734279.db2.gz LKLDKGIMCVTMSB-NEPJUHHUSA-N -1 1 343.391 -0.223 20 0 EBADMM Cc1ccc([C@@H](O)C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)o1 ZINC001365660496 1132750691 /nfs/dbraw/zinc/75/06/91/1132750691.db2.gz PBLWZGJAXGHOAP-QMMMGPOBSA-N -1 1 329.334 -0.601 20 0 EBADMM COc1cc(S(=O)(=O)[N-]CC2CN(S(C)(=O)=O)C2)sn1 ZINC001365701964 1132768170 /nfs/dbraw/zinc/76/81/70/1132768170.db2.gz HLFWHFLWFJKDOY-UHFFFAOYSA-N -1 1 341.436 -0.679 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC[C@H](O)C2CCCC2)c(=O)[nH]c1=O ZINC001365727257 1132777967 /nfs/dbraw/zinc/77/79/67/1132777967.db2.gz MLUXNOSGBJHHON-JTQLQIEISA-N -1 1 331.394 -0.295 20 0 EBADMM COC[C@H]([N-]S(=O)(=O)c1n[nH]cc1C(=O)OC)[C@H]1CCCOC1 ZINC001365738256 1132785535 /nfs/dbraw/zinc/78/55/35/1132785535.db2.gz GJVCEBYQKHPDCT-ONGXEEELSA-N -1 1 347.393 -0.084 20 0 EBADMM Cc1conc1CN[C@H]1C[C@@H](CNC(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC001365825544 1132820749 /nfs/dbraw/zinc/82/07/49/1132820749.db2.gz HXDRNXNPIXCOFG-AOOOYVTPSA-N -1 1 336.352 -0.330 20 0 EBADMM CCS(=O)(=O)CC(=O)NC[C@H]1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001365858268 1132836471 /nfs/dbraw/zinc/83/64/71/1132836471.db2.gz AVMTZIDEHVDIOJ-VHSXEESVSA-N -1 1 345.425 -0.681 20 0 EBADMM CCC(=O)N[C@H](C)C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001365936882 1132896843 /nfs/dbraw/zinc/89/68/43/1132896843.db2.gz NGODLVMHAYKWCX-MNOVXSKESA-N -1 1 338.412 -0.896 20 0 EBADMM O=C(CN1CC[C@@H](NC(=O)c2ncccc2[O-])C1)NC[C@@H]1CCOC1 ZINC001365974874 1132936609 /nfs/dbraw/zinc/93/66/09/1132936609.db2.gz FCJDLLHCHNQWCD-QWHCGFSZSA-N -1 1 348.403 -0.256 20 0 EBADMM C[C@H](CNC(=O)c1ccc2c(n1)OCCO2)NCc1n[nH]c(=O)[n-]1 ZINC001366305012 1133092764 /nfs/dbraw/zinc/09/27/64/1133092764.db2.gz SWEMWDWSWGXEJH-MRVPVSSYSA-N -1 1 334.336 -0.415 20 0 EBADMM CN(CCNC(=O)c1n[nH]c(=O)[n-]c1=O)C[C@@H](O)c1ccccc1 ZINC001366544415 1133179547 /nfs/dbraw/zinc/17/95/47/1133179547.db2.gz LCEWEFVVPKMFDL-LLVKDONJSA-N -1 1 333.348 -0.322 20 0 EBADMM Cc1n[nH]c(C)c1[C@@H](C)C(=O)NCCN(C)Cc1nc(=O)n(C)[n-]1 ZINC001366572164 1133193408 /nfs/dbraw/zinc/19/34/08/1133193408.db2.gz BWRQHZJFCMSIPM-SECBINFHSA-N -1 1 335.412 -0.200 20 0 EBADMM Cc1n[nH]c(C)c1[C@H](C)C(=O)NCCN(C)Cc1nc(=O)n(C)[n-]1 ZINC001366572169 1133193457 /nfs/dbraw/zinc/19/34/57/1133193457.db2.gz BWRQHZJFCMSIPM-VIFPVBQESA-N -1 1 335.412 -0.200 20 0 EBADMM CN(CCNC(=O)CCOC1CCOCC1)Cc1nc(=O)n(C)[n-]1 ZINC001366578824 1133195921 /nfs/dbraw/zinc/19/59/21/1133195921.db2.gz HKRRIJNVTUWHGS-UHFFFAOYSA-N -1 1 341.412 -0.758 20 0 EBADMM Cc1cc(C(=O)NCCN(Cc2nc(=O)n(C)[n-]2)C2CC2)c(C)nn1 ZINC001366738296 1133276753 /nfs/dbraw/zinc/27/67/53/1133276753.db2.gz DHDCYLKMESMFAZ-UHFFFAOYSA-N -1 1 345.407 -0.090 20 0 EBADMM CC(=O)NC(C)(C)C(=O)NCC[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001366845043 1133315011 /nfs/dbraw/zinc/31/50/11/1133315011.db2.gz FEGHYGOCYUYHCJ-NSHDSACASA-N -1 1 338.412 -0.247 20 0 EBADMM CO[C@H](CC(C)C)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001366995366 1133409729 /nfs/dbraw/zinc/40/97/29/1133409729.db2.gz ABTKQVGQXFNJRU-RWMBFGLXSA-N -1 1 337.424 -0.045 20 0 EBADMM CO[C@@H](CC(C)C)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001366995363 1133409908 /nfs/dbraw/zinc/40/99/08/1133409908.db2.gz ABTKQVGQXFNJRU-AVGNSLFASA-N -1 1 337.424 -0.045 20 0 EBADMM Cc1noc(CCCC(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001367034803 1133442655 /nfs/dbraw/zinc/44/26/55/1133442655.db2.gz DMLIRUAPRKINCK-LLVKDONJSA-N -1 1 349.395 -0.487 20 0 EBADMM C[C@H](Cc1cnn(C)c1)C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001367035790 1133443515 /nfs/dbraw/zinc/44/35/15/1133443515.db2.gz GWTGNFCQYLIXQD-DGCLKSJQSA-N -1 1 347.423 -0.589 20 0 EBADMM CCOCC1(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001367056889 1133458964 /nfs/dbraw/zinc/45/89/64/1133458964.db2.gz ZOXOVHUMYJSABX-GFCCVEGCSA-N -1 1 337.424 -0.042 20 0 EBADMM C[C@](CNCc1n[nH]c(=O)[n-]1)(NC(=O)[C@H]1COCCO1)C1CC1 ZINC001367166423 1133491456 /nfs/dbraw/zinc/49/14/56/1133491456.db2.gz FETOPQIRKXBGRN-QMTHXVAHSA-N -1 1 325.369 -0.700 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)c1cc2n(n1)CCCO2 ZINC001367368764 1133566375 /nfs/dbraw/zinc/56/63/75/1133566375.db2.gz NNYDLXZSIFPCIC-VIFPVBQESA-N -1 1 335.368 -0.260 20 0 EBADMM CCO[C@@H](C(=O)N[C@@H](C)CN(C)Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001367809549 1133793322 /nfs/dbraw/zinc/79/33/22/1133793322.db2.gz JLONHIBOFWZOAB-GXFFZTMASA-N -1 1 325.413 -0.140 20 0 EBADMM C[C@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)CNC(=O)C(C)(C)C ZINC001367853499 1133814644 /nfs/dbraw/zinc/81/46/44/1133814644.db2.gz ITORNOBJUGAAMC-SNVBAGLBSA-N -1 1 340.428 -0.793 20 0 EBADMM CN1CC[C@H](CC(=O)N[C@@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)C1=O ZINC001367908924 1133834919 /nfs/dbraw/zinc/83/49/19/1133834919.db2.gz QAISNCPHCAOWNO-MNOVXSKESA-N -1 1 336.396 -0.637 20 0 EBADMM C[C@@H](CS(C)(=O)=O)C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001368121053 1133947801 /nfs/dbraw/zinc/94/78/01/1133947801.db2.gz RJBVXPVQGGSQEI-VHSXEESVSA-N -1 1 345.425 -0.728 20 0 EBADMM CCC(=O)N[C@H](C)C(=O)N[C@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001368147068 1133960782 /nfs/dbraw/zinc/96/07/82/1133960782.db2.gz BKAUOXRONZHCDF-MEBBXXQBSA-N -1 1 338.412 -0.896 20 0 EBADMM Cn1cnc(C(=O)NC[C@]2(C)CCCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001368214493 1133991885 /nfs/dbraw/zinc/99/18/85/1133991885.db2.gz HIWFZSBZMFFFKL-INIZCTEOSA-N -1 1 347.423 -0.126 20 0 EBADMM Cn1[n-]c(CN2CC[C@](O)(CNC(=O)c3ccccc3O)C2)nc1=O ZINC001368258159 1134044507 /nfs/dbraw/zinc/04/45/07/1134044507.db2.gz NFKYEGQIDCGEOL-INIZCTEOSA-N -1 1 347.375 -0.819 20 0 EBADMM Cc1cccc(C(=O)NC[C@@]2(O)CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001368260630 1134053374 /nfs/dbraw/zinc/05/33/74/1134053374.db2.gz PXSQTOPJRVGNEY-INIZCTEOSA-N -1 1 346.391 -0.821 20 0 EBADMM CC(C)CCCC(=O)NCC1(O)CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001368311377 1134134776 /nfs/dbraw/zinc/13/47/76/1134134776.db2.gz YKMQKLGBSFIUEE-UHFFFAOYSA-N -1 1 325.413 -0.402 20 0 EBADMM CC[C@H](C(N)=O)N1CCC[C@](CO)(NC(=O)c2ncccc2[O-])C1 ZINC001368367629 1134171521 /nfs/dbraw/zinc/17/15/21/1134171521.db2.gz AOHZVKQSYSMHIJ-BZNIZROVSA-N -1 1 336.392 -0.392 20 0 EBADMM C[C@H](CS(C)(=O)=O)C(=O)NC[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001368543900 1134284743 /nfs/dbraw/zinc/28/47/43/1134284743.db2.gz XRNNCGMRBMMLNT-BBBLOLIVSA-N -1 1 345.425 -0.825 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CCCOCC1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001368947607 1134493161 /nfs/dbraw/zinc/49/31/61/1134493161.db2.gz NPSYKEBMCOMAEO-NEPJUHHUSA-N -1 1 325.413 -0.138 20 0 EBADMM Cn1nncc1C(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCCC1 ZINC001369045803 1134545282 /nfs/dbraw/zinc/54/52/82/1134545282.db2.gz IVPKEEMBHSUTFX-UHFFFAOYSA-N -1 1 334.384 -0.139 20 0 EBADMM CC(C)n1cccc1C(=O)NC[C@H](CO)NCc1nc(=O)n(C)[n-]1 ZINC001369372723 1134728850 /nfs/dbraw/zinc/72/88/50/1134728850.db2.gz APKYIJFJSRWDPX-LLVKDONJSA-N -1 1 336.396 -0.629 20 0 EBADMM CC(C)CC(C)(C)C(=O)NC[C@@H](CO)NCc1nc(=O)n(C)[n-]1 ZINC001369375639 1134731788 /nfs/dbraw/zinc/73/17/88/1134731788.db2.gz CBNIIJQLXIAWJD-NSHDSACASA-N -1 1 327.429 -0.253 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H](O)CN(C)C(=O)c1nccs1 ZINC001369520858 1134917623 /nfs/dbraw/zinc/91/76/23/1134917623.db2.gz WUGNFIVVKSGEPP-SECBINFHSA-N -1 1 340.409 -0.870 20 0 EBADMM C[C@H](NC(=O)c1cn(C)c(=O)n1C)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001369676605 1134983882 /nfs/dbraw/zinc/98/38/82/1134983882.db2.gz BXXPDXBEZVEZKI-UWVGGRQHSA-N -1 1 347.375 -0.239 20 0 EBADMM C[C@@H](C(=O)N(C)C)N1CCN(CCNC(=O)c2ncccc2[O-])CC1 ZINC001369903444 1135083292 /nfs/dbraw/zinc/08/32/92/1135083292.db2.gz YILTZXLQZGRCPE-ZDUSSCGKSA-N -1 1 349.435 -0.389 20 0 EBADMM C[C@@H]1CO[C@@H](C(=O)NC2(CCO)CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001369994795 1135152858 /nfs/dbraw/zinc/15/28/58/1135152858.db2.gz FWWOZDKEYFNCQS-WCQYABFASA-N -1 1 349.387 -0.095 20 0 EBADMM CC(=O)N1CCC[C@@H]1C(=O)NC[C@@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001370279401 1135280715 /nfs/dbraw/zinc/28/07/15/1135280715.db2.gz WJJIEZMPEMXXEN-VXGBXAGGSA-N -1 1 336.396 -0.494 20 0 EBADMM CO[C@H](C)C(=O)N[C@H]1C[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001370285469 1135283584 /nfs/dbraw/zinc/28/35/84/1135283584.db2.gz LNVZINXIASRSOW-OPRDCNLKSA-N -1 1 348.363 -0.923 20 0 EBADMM CNC(=O)NCC(=O)N1CCC(N(C)C(=O)c2ncccc2[O-])CC1 ZINC001370350091 1135314280 /nfs/dbraw/zinc/31/42/80/1135314280.db2.gz YPNZAPJQHQSKFU-UHFFFAOYSA-N -1 1 349.391 -0.221 20 0 EBADMM O=C(CNC(=O)N1CCCC1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001370366963 1135321658 /nfs/dbraw/zinc/32/16/58/1135321658.db2.gz QKCBOEMYEIXWEK-JTQLQIEISA-N -1 1 337.384 -0.994 20 0 EBADMM Cc1cnn(C)c1C(=O)N[C@H](CO)CNC(=O)c1ncccc1[O-] ZINC001370673495 1135479467 /nfs/dbraw/zinc/47/94/67/1135479467.db2.gz HCPBVTWNCGHYBN-JTQLQIEISA-N -1 1 333.348 -0.650 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H]2CNC(=O)[C@]2(F)CCOC2)nc1=O ZINC001370884139 1135684314 /nfs/dbraw/zinc/68/43/14/1135684314.db2.gz LJEFYEWPZDNYIM-NHYWBVRUSA-N -1 1 341.387 -0.292 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H]2CNC(=O)Cc2ncc[nH]2)nc1=O ZINC001370899617 1135689986 /nfs/dbraw/zinc/68/99/86/1135689986.db2.gz CJMLMALSZJIPMP-LLVKDONJSA-N -1 1 333.396 -0.455 20 0 EBADMM CN(C[C@@H](O)CNC(=O)c1ncccc1[O-])C(=O)Cn1cccn1 ZINC001370960249 1135712614 /nfs/dbraw/zinc/71/26/14/1135712614.db2.gz WVRKCGVRTQVAQK-NSHDSACASA-N -1 1 333.348 -0.767 20 0 EBADMM CN(C[C@H](O)CNC(=O)c1ncccc1[O-])C(=O)Cn1cccn1 ZINC001370960243 1135712627 /nfs/dbraw/zinc/71/26/27/1135712627.db2.gz WVRKCGVRTQVAQK-LLVKDONJSA-N -1 1 333.348 -0.767 20 0 EBADMM CCC(=O)NCC(=O)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001371430168 1135908709 /nfs/dbraw/zinc/90/87/09/1135908709.db2.gz WRMMMIZEZBXKAS-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@@H](CNC(=O)CNC(=O)c1ccsc1)NCc1n[nH]c(=O)[n-]1 ZINC001371477993 1135932550 /nfs/dbraw/zinc/93/25/50/1135932550.db2.gz SBOJZYGCADQZKB-QMMMGPOBSA-N -1 1 338.393 -0.404 20 0 EBADMM CN(CCNC(=O)c1cc(C(F)F)n[nH]1)Cc1nc(=O)n(C)[n-]1 ZINC001372104734 1136112837 /nfs/dbraw/zinc/11/28/37/1136112837.db2.gz HVTONPYESVBJMS-UHFFFAOYSA-N -1 1 329.311 -0.369 20 0 EBADMM CN(CCNC(=O)[C@@H]1C[C@H]1c1cncn1C)Cc1nc(=O)n(C)[n-]1 ZINC001372119560 1136115709 /nfs/dbraw/zinc/11/57/09/1136115709.db2.gz YZPKDKJGVHGKRY-GHMZBOCLSA-N -1 1 333.396 -0.806 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)COC(C)(C)C)C2)nc1=O ZINC001372608524 1136244929 /nfs/dbraw/zinc/24/49/29/1136244929.db2.gz HYRRDWMKFZMXGM-NSHDSACASA-N -1 1 325.413 -0.138 20 0 EBADMM COCC(=O)NC1CCC(NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001372736920 1136283433 /nfs/dbraw/zinc/28/34/33/1136283433.db2.gz HZHJAIMYPJCWAD-UHFFFAOYSA-N -1 1 348.363 -0.779 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CCCS1(=O)=O ZINC001372837287 1136300934 /nfs/dbraw/zinc/30/09/34/1136300934.db2.gz UFPNHJMRMWYOBA-ONGXEEELSA-N -1 1 341.389 -0.401 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H](C)NC(=O)[C@H]1CC1(F)F ZINC001372984765 1136346742 /nfs/dbraw/zinc/34/67/42/1136346742.db2.gz CTVMYBOPQOXODQ-PHDIDXHHSA-N -1 1 346.290 -0.214 20 0 EBADMM CCCN(C(=O)c1cn[nH]c1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001373092028 1136369589 /nfs/dbraw/zinc/36/95/89/1136369589.db2.gz NPKFOLVSCYRPBF-LBPRGKRZSA-N -1 1 333.396 -0.042 20 0 EBADMM Cc1nnc(CC(=O)NCC[C@@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)o1 ZINC001373184764 1136393506 /nfs/dbraw/zinc/39/35/06/1136393506.db2.gz CUWWFPPWOQOBLF-SNVBAGLBSA-N -1 1 335.368 -0.227 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCCN(C(=O)CCNC(N)=O)C1 ZINC001373213605 1136401421 /nfs/dbraw/zinc/40/14/21/1136401421.db2.gz GRLPCCWFRUPIMW-NSHDSACASA-N -1 1 349.391 -0.091 20 0 EBADMM Cn1nc(C(=O)NCC[C@@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)ccc1=O ZINC001373229448 1136403884 /nfs/dbraw/zinc/40/38/84/1136403884.db2.gz ALWUWIQLYOTBNN-SNVBAGLBSA-N -1 1 347.379 -0.754 20 0 EBADMM C[C@H](CCNC(=O)c1ncccc1[O-])NC(=O)CNC(=O)C1CC1 ZINC001373262178 1136412366 /nfs/dbraw/zinc/41/23/66/1136412366.db2.gz SPIYKODXDMTKLA-SNVBAGLBSA-N -1 1 334.376 -0.062 20 0 EBADMM CN(CCNC(=O)CCN1CCCC1=O)C(=O)c1ncccc1[O-] ZINC001373459020 1136463428 /nfs/dbraw/zinc/46/34/28/1136463428.db2.gz LJBFTBVTIRLJBJ-UHFFFAOYSA-N -1 1 334.376 -0.012 20 0 EBADMM CCO[C@H](C)C(=O)N(C)CCNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001373572002 1136499602 /nfs/dbraw/zinc/49/96/02/1136499602.db2.gz BCBNHUXHYRPKBM-MRVPVSSYSA-N -1 1 342.352 -0.490 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCN(C)C(=O)c1ccn(C)c1 ZINC001373576154 1136500762 /nfs/dbraw/zinc/50/07/62/1136500762.db2.gz WEAOSTBYYPDSQA-UHFFFAOYSA-N -1 1 349.347 -0.263 20 0 EBADMM Cc1cc(OCC(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)no1 ZINC001373631306 1136520249 /nfs/dbraw/zinc/52/02/49/1136520249.db2.gz XWHKWCXQTPPKMS-SNVBAGLBSA-N -1 1 336.352 -0.826 20 0 EBADMM CC(C)[C@@H](C)C(=O)NCCN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001373714464 1136546548 /nfs/dbraw/zinc/54/65/48/1136546548.db2.gz OOLHBOCGMGATAS-SNVBAGLBSA-N -1 1 334.380 -0.102 20 0 EBADMM CC[C@H](CNC(=O)CC[C@@]1(C)CCC(=O)N1)NCc1n[nH]c(=O)[n-]1 ZINC001373834384 1136570274 /nfs/dbraw/zinc/57/02/74/1136570274.db2.gz DPESELGJNDFRAB-BMIGLBTASA-N -1 1 338.412 -0.056 20 0 EBADMM Cn1cc(CCC(=O)NC2(CNCc3n[nH]c(=O)[n-]3)CCCC2)nn1 ZINC001373864581 1136575503 /nfs/dbraw/zinc/57/55/03/1136575503.db2.gz IKDAZFIGZXNGPD-UHFFFAOYSA-N -1 1 348.411 -0.210 20 0 EBADMM Cc1c(C(=O)N[C@@](C)(CNCc2n[nH]c(=O)[n-]2)C2CC2)nnn1C ZINC001373877996 1136578653 /nfs/dbraw/zinc/57/86/53/1136578653.db2.gz VDJGQOCJKSPBCH-AWEZNQCLSA-N -1 1 334.384 -0.364 20 0 EBADMM CCN(CCNC(=O)[C@@H]1CC[C@H]1C(N)=O)C(=O)c1ncccc1[O-] ZINC001373906519 1136584512 /nfs/dbraw/zinc/58/45/12/1136584512.db2.gz MSNIUXKLMDCFTQ-GHMZBOCLSA-N -1 1 334.376 -0.123 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)C(C3CC3)C3CC3)C2)nc1=O ZINC001374154924 1136641176 /nfs/dbraw/zinc/64/11/76/1136641176.db2.gz XHFCYFFTZGLHNJ-CYBMUJFWSA-N -1 1 349.435 -0.138 20 0 EBADMM CC(C)S(=O)(=O)CC(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC001374650034 1136774621 /nfs/dbraw/zinc/77/46/21/1136774621.db2.gz BVKYQIIAFVVBQT-UHFFFAOYSA-N -1 1 341.389 -0.449 20 0 EBADMM O=C(NC[C@H](O)CNCc1n[nH]c(=O)[n-]1)c1ccc2c(c1)CCC2 ZINC001374671850 1136790744 /nfs/dbraw/zinc/79/07/44/1136790744.db2.gz CLAHUFPBNXLCTM-CYBMUJFWSA-N -1 1 331.376 -0.121 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)COC(C)C)C1 ZINC001374717454 1136811968 /nfs/dbraw/zinc/81/19/68/1136811968.db2.gz GNEVIUDDYPAHJU-UHFFFAOYSA-N -1 1 340.336 -0.738 20 0 EBADMM C[C@H](CNCc1cc2n(n1)CCC2)NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001374841168 1136848854 /nfs/dbraw/zinc/84/88/54/1136848854.db2.gz YJCGHAKBOZNRIM-SECBINFHSA-N -1 1 335.368 -0.874 20 0 EBADMM C[C@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)c1cnn(C(F)F)c1 ZINC001375130384 1136922172 /nfs/dbraw/zinc/92/21/72/1136922172.db2.gz AXIOWMVONLCOCI-MRVPVSSYSA-N -1 1 343.338 -0.050 20 0 EBADMM C[C@@H](NC(=O)CNC(=O)c1ncccc1[O-])[C@@H](C)N1CCOCC1 ZINC000343684042 296049482 /nfs/dbraw/zinc/04/94/82/296049482.db2.gz BJCMZLXURSFXTL-VXGBXAGGSA-N -1 1 336.392 -0.258 20 0 EBADMM C[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C1CCOCC1 ZINC000268536908 375924592 /nfs/dbraw/zinc/92/45/92/375924592.db2.gz JRUCFIRZMZINAN-SECBINFHSA-N -1 1 331.394 -0.823 20 0 EBADMM CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)c3ncc(C)cc3[O-])C[C@H]21 ZINC000619222459 376153869 /nfs/dbraw/zinc/15/38/69/376153869.db2.gz LWMLPZYTMRBKST-WCQGTBRESA-N -1 1 326.374 -0.020 20 0 EBADMM CCC(F)(F)C[N-]S(=O)(=O)N1CCN(S(C)(=O)=O)CC1 ZINC000625454619 376231220 /nfs/dbraw/zinc/23/12/20/376231220.db2.gz AWSMJQFVLHXLMD-UHFFFAOYSA-N -1 1 335.398 -0.557 20 0 EBADMM O=C(c1ccccc1)N1CC(S(=O)(=O)[N-][C@H]2COCCC2=O)C1 ZINC000625493910 376242813 /nfs/dbraw/zinc/24/28/13/376242813.db2.gz XUQYIFCGKDLTRM-ZDUSSCGKSA-N -1 1 338.385 -0.212 20 0 EBADMM O=C(NCc1nn[n-]n1)[C@@H]1CCN(c2ccc3c(c2)OCCO3)C1=O ZINC000600495169 376420012 /nfs/dbraw/zinc/42/00/12/376420012.db2.gz KDHLLUODFRRIGI-JTQLQIEISA-N -1 1 344.331 -0.360 20 0 EBADMM COC(=O)C[C@H](O)CNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000600466064 376368170 /nfs/dbraw/zinc/36/81/70/376368170.db2.gz YCHKBDNAGFXPOE-JTQLQIEISA-N -1 1 336.348 -0.366 20 0 EBADMM O=C(Cn1nc2ccccn2c1=O)NC1(c2nn[n-]n2)CCCC1 ZINC000605532217 376526586 /nfs/dbraw/zinc/52/65/86/376526586.db2.gz SGSPRIYEQQGAHO-UHFFFAOYSA-N -1 1 328.336 -0.405 20 0 EBADMM CCC(=O)N1CSC[C@@H]1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370863800 376591602 /nfs/dbraw/zinc/59/16/02/376591602.db2.gz YHALUPAZNILXGZ-RKDXNWHRSA-N -1 1 326.382 -0.589 20 0 EBADMM O=C(c1cn(-c2ccccc2)nn1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370863404 376591764 /nfs/dbraw/zinc/59/17/64/376591764.db2.gz VTXPMTBIBGOTBU-GFCCVEGCSA-N -1 1 326.320 -0.006 20 0 EBADMM O=C([C@H]1CC(=O)N(CC(F)(F)F)C1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370863567 376593330 /nfs/dbraw/zinc/59/33/30/376593330.db2.gz WSIOPIYEVGVDDL-YUMQZZPRSA-N -1 1 348.285 -0.490 20 0 EBADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3nnn(C(C)(C)C)n3)nc2n1 ZINC000622998844 376610033 /nfs/dbraw/zinc/61/00/33/376610033.db2.gz UIIARSRMUKHFOX-UHFFFAOYSA-N -1 1 345.367 -0.214 20 0 EBADMM C[C@]1(C2CC2)NC(=O)N(CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)C1=O ZINC000560316626 376675767 /nfs/dbraw/zinc/67/57/67/376675767.db2.gz KSZOWRWEDQAJCL-RFAUZJTJSA-N -1 1 347.379 -0.374 20 0 EBADMM Cc1[nH]c(=O)sc1S(=O)(=O)[N-]CCNC(=O)c1ccncn1 ZINC000427560256 376843403 /nfs/dbraw/zinc/84/34/03/376843403.db2.gz RSYZCRMQUTUXTL-UHFFFAOYSA-N -1 1 343.390 -0.345 20 0 EBADMM CCOC(=O)N[C@H](C(=O)N1CCO[C@H](c2nn[n-]n2)C1)C(C)C ZINC000363518421 376994342 /nfs/dbraw/zinc/99/43/42/376994342.db2.gz VRZRZZSHPYNVSL-UWVGGRQHSA-N -1 1 326.357 -0.130 20 0 EBADMM COCC[C@@H]([N-]S(=O)(=O)CC(C)(C)C(=O)OC)C(=O)OC ZINC000589307227 377410215 /nfs/dbraw/zinc/41/02/15/377410215.db2.gz QVWGXIBXKUXPLA-SECBINFHSA-N -1 1 325.383 -0.317 20 0 EBADMM O=C(c1ccccc1-n1ccnn1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000364643562 377628212 /nfs/dbraw/zinc/62/82/12/377628212.db2.gz GKIKDZQRNDPIRM-GFCCVEGCSA-N -1 1 326.320 -0.006 20 0 EBADMM COC(=O)[C@H](Cc1ccncc1)[N-]S(=O)(=O)[C@@H](C)C(=O)N(C)C ZINC000590588725 377638303 /nfs/dbraw/zinc/63/83/03/377638303.db2.gz JIXLAICZBIRKKU-JQWIXIFHSA-N -1 1 343.405 -0.438 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)[C@H](C)C(=O)N(C)C)c1ccnn1C ZINC000592096252 377790989 /nfs/dbraw/zinc/79/09/89/377790989.db2.gz JPNHUGMBKDHFNE-PSASIEDQSA-N -1 1 332.382 -0.970 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H](O)C[C@H](C(=O)OC)C2)o1 ZINC000592912618 377861777 /nfs/dbraw/zinc/86/17/77/377861777.db2.gz OQYTXNMOSRVBFR-DTWKUNHWSA-N -1 1 346.361 -0.816 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2CCO[C@H]2C(=O)OC)c1 ZINC000594823994 377962205 /nfs/dbraw/zinc/96/22/05/377962205.db2.gz CIWOCJAPHUNTAS-WCBMZHEXSA-N -1 1 333.318 -0.325 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-]C(C)(C)[C@@H](O)C(=O)OC)cc1C ZINC000595323868 377998230 /nfs/dbraw/zinc/99/82/30/377998230.db2.gz BJXIMYFZWVZJFH-JTQLQIEISA-N -1 1 349.361 -0.035 20 0 EBADMM COc1cc(C(=O)NCc2nn[n-]n2)cc(Cl)c1OCC(N)=O ZINC000599334223 378232531 /nfs/dbraw/zinc/23/25/31/378232531.db2.gz HWYGATFXJSIMKB-UHFFFAOYSA-N -1 1 340.727 -0.344 20 0 EBADMM Cc1nnnn1[C@H](Cc1ccc(F)cc1)C(=O)NCc1nn[n-]n1 ZINC000599376622 378233174 /nfs/dbraw/zinc/23/31/74/378233174.db2.gz CUQUCHQWYOIWBS-LLVKDONJSA-N -1 1 331.315 -0.266 20 0 EBADMM CCCCS(=O)(=O)N1CCC(C(=O)NCc2nn[n-]n2)CC1 ZINC000599377491 378233502 /nfs/dbraw/zinc/23/35/02/378233502.db2.gz UXVCSZGCYWHKAU-UHFFFAOYSA-N -1 1 330.414 -0.342 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H](OC)[C@H]2CCOC2)o1 ZINC000617146176 378478185 /nfs/dbraw/zinc/47/81/85/378478185.db2.gz VWACAPGLRJUWON-ONGXEEELSA-N -1 1 332.378 -0.031 20 0 EBADMM COc1ccc(S(=O)(=O)C[C@H](C)C(=O)NCc2nn[n-]n2)cc1 ZINC000604868151 378481451 /nfs/dbraw/zinc/48/14/51/378481451.db2.gz JGLGSVRVLVGWEQ-VIFPVBQESA-N -1 1 339.377 -0.066 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1cccc(N2CCCCC2=O)c1 ZINC000606117468 378530516 /nfs/dbraw/zinc/53/05/16/378530516.db2.gz PIKRXNVDXZIOAD-UHFFFAOYSA-N -1 1 343.347 -0.029 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H](C)C(=O)N2CCCC2)co1 ZINC000337077134 378605817 /nfs/dbraw/zinc/60/58/17/378605817.db2.gz SUOXNZNFFRLFRT-SECBINFHSA-N -1 1 329.378 -0.072 20 0 EBADMM CNC(=O)CNC(=O)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC000337482941 378653842 /nfs/dbraw/zinc/65/38/42/378653842.db2.gz AFLDBEMPNRMESK-UHFFFAOYSA-N -1 1 330.366 -0.066 20 0 EBADMM CCCN(C(=O)CNC(=O)c1ncccc1[O-])[C@H]1CC(=O)N(C)C1=O ZINC000338246676 378747419 /nfs/dbraw/zinc/74/74/19/378747419.db2.gz MYRXVZXRILFMBV-JTQLQIEISA-N -1 1 348.359 -0.487 20 0 EBADMM CCS(=O)(=O)C[C@H](C)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000337893075 378705554 /nfs/dbraw/zinc/70/55/54/378705554.db2.gz BIQXCFOSCYQEHC-VIFPVBQESA-N -1 1 329.378 -0.544 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CCC[C@H](C(N)=O)C2)co1 ZINC000339224229 378868723 /nfs/dbraw/zinc/86/87/23/378868723.db2.gz ZGKFGDAOEUKSIG-WPRPVWTQSA-N -1 1 329.378 -0.038 20 0 EBADMM CN(C)S(=O)(=O)NCC[N-]S(=O)(=O)c1sccc1F ZINC000451530978 379055851 /nfs/dbraw/zinc/05/58/51/379055851.db2.gz QQLOZHWQBBUFKD-UHFFFAOYSA-N -1 1 331.416 -0.439 20 0 EBADMM Cc1ccc(CN2C[C@@H](C(=O)NN3CC(=O)[N-]C3=O)CC2=O)cc1 ZINC000066237148 379045775 /nfs/dbraw/zinc/04/57/75/379045775.db2.gz CGGQJNNBKPXVCF-LBPRGKRZSA-N -1 1 330.344 -0.073 20 0 EBADMM Cc1ncsc1CC[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000451786195 379069206 /nfs/dbraw/zinc/06/92/06/379069206.db2.gz JRPPTPRVKGKEMD-UHFFFAOYSA-N -1 1 344.418 -0.630 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)CC(=O)N2CCCC2)o1 ZINC000613230745 379116051 /nfs/dbraw/zinc/11/60/51/379116051.db2.gz HZFYBFSOWSPLLE-UHFFFAOYSA-N -1 1 329.378 -0.118 20 0 EBADMM COc1ccc(NC(=O)N2C[C@H](O)[C@@H](O)C2)cc1[N-]S(C)(=O)=O ZINC000626407955 379242366 /nfs/dbraw/zinc/24/23/66/379242366.db2.gz DDHNSYACTHFRRV-QWRGUYRKSA-N -1 1 345.377 -0.364 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CC[C@H](C(N)=O)O2)o1 ZINC000268345845 379294764 /nfs/dbraw/zinc/29/47/64/379294764.db2.gz MELWBQHMLLUDOU-HTQZYQBOSA-N -1 1 332.334 -0.623 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CCC[C@H]3OCC[C@@H]32)c(=O)n(C)c1=O ZINC000268528793 379309895 /nfs/dbraw/zinc/30/98/95/379309895.db2.gz WVMNDEIVEHWSGT-GMTAPVOTSA-N -1 1 343.405 -0.680 20 0 EBADMM CC(C)c1cc(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)on1 ZINC000114499575 379371218 /nfs/dbraw/zinc/37/12/18/379371218.db2.gz DPGJPFBDRSTDOT-UHFFFAOYSA-N -1 1 342.377 -0.326 20 0 EBADMM Cc1noc(C)c1[C@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000113946847 379349252 /nfs/dbraw/zinc/34/92/52/379349252.db2.gz XWSVLVKXCVRIIJ-QMMMGPOBSA-N -1 1 342.377 -0.272 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCN(CCOC)CC2)co1 ZINC000270059233 379431400 /nfs/dbraw/zinc/43/14/00/379431400.db2.gz OKEPVMMXEFVHSX-UHFFFAOYSA-N -1 1 331.394 -0.408 20 0 EBADMM CCN(C(=O)c1coc(S(=O)(=O)[N-]C)c1)[C@@H]1CC(=O)NC1=O ZINC000270124683 379434459 /nfs/dbraw/zinc/43/44/59/379434459.db2.gz WKNQXXBCXSEKBA-MRVPVSSYSA-N -1 1 329.334 -0.935 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@]2(C)CCCC[C@H]2O)c(=O)n(C)c1=O ZINC000269784493 379406926 /nfs/dbraw/zinc/40/69/26/379406926.db2.gz ITLKPRDUKKSITQ-RISCZKNCSA-N -1 1 345.421 -0.697 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCc2ccccc2O)c(=O)n(C)c1=O ZINC000269811791 379409998 /nfs/dbraw/zinc/40/99/98/379409998.db2.gz QSOBYURYCNSJEN-UHFFFAOYSA-N -1 1 339.373 -0.689 20 0 EBADMM CC(C)C[C@@H](CCO)C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000269840975 379413508 /nfs/dbraw/zinc/41/35/08/379413508.db2.gz PZTGUMHNNBUCBC-LLVKDONJSA-N -1 1 347.437 -0.593 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2CN3CCN2CC3)c1 ZINC000269882939 379415267 /nfs/dbraw/zinc/41/52/67/379415267.db2.gz ANLOEWGCOFLUBX-LLVKDONJSA-N -1 1 329.378 -0.656 20 0 EBADMM Cn1cnn(CC(=O)Nc2ccc(F)cc2[N-]S(C)(=O)=O)c1=O ZINC000272549028 379584264 /nfs/dbraw/zinc/58/42/64/379584264.db2.gz SLRSIEYANPVZRW-UHFFFAOYSA-N -1 1 343.340 -0.269 20 0 EBADMM COCCC(C)(C)C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000273595764 379653426 /nfs/dbraw/zinc/65/34/26/379653426.db2.gz KREPZFJCLSXBTC-UHFFFAOYSA-N -1 1 333.410 -0.575 20 0 EBADMM C[C@@H](CN(C)C(=O)CNS(=O)(=O)c1cccnc1)c1nn[n-]n1 ZINC000613474022 379826584 /nfs/dbraw/zinc/82/65/84/379826584.db2.gz DWJTZGOEWKDSPD-VIFPVBQESA-N -1 1 339.381 -0.865 20 0 EBADMM CN1NN=C2C1=NCC[C@H]2C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614390082 379925384 /nfs/dbraw/zinc/92/53/84/379925384.db2.gz QXFPUCPPESZJFB-RKDXNWHRSA-N -1 1 333.352 -0.294 20 0 EBADMM CO[C@H]1CS(=O)(=O)C[C@@H]1[N-]S(=O)(=O)CC1(OC)CCC1 ZINC000632552674 379880248 /nfs/dbraw/zinc/88/02/48/379880248.db2.gz XDWCNCJJIPODOJ-UWVGGRQHSA-N -1 1 327.424 -0.713 20 0 EBADMM CCS(=O)(=O)N[C@@H](C)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614474849 379931852 /nfs/dbraw/zinc/93/18/52/379931852.db2.gz ZSBOTNPYDQGQDO-QMMMGPOBSA-N -1 1 332.382 -0.191 20 0 EBADMM CCC[C@@H](C(=O)N1CCC(c2n[n-]c(=O)o2)CC1)S(N)(=O)=O ZINC000614474543 379933165 /nfs/dbraw/zinc/93/31/65/379933165.db2.gz VRJMAQZGQOFLEL-VIFPVBQESA-N -1 1 332.382 -0.062 20 0 EBADMM CC[C@@H](NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)C1CC1 ZINC000618869610 380035566 /nfs/dbraw/zinc/03/55/66/380035566.db2.gz WDAAGBNPHFCLBE-SECBINFHSA-N -1 1 329.378 -0.038 20 0 EBADMM CN(C)S(=O)(=O)c1ccc2c(c1)N(Cc1nc(=O)n(C)[n-]1)CC2 ZINC000540919996 380018288 /nfs/dbraw/zinc/01/82/88/380018288.db2.gz WFKCFHLAHAMZKS-UHFFFAOYSA-N -1 1 337.405 -0.079 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)C(=O)NCCF ZINC000282385699 380072736 /nfs/dbraw/zinc/07/27/36/380072736.db2.gz RGJROYKCHMESJT-SSDOTTSWSA-N -1 1 336.345 -0.661 20 0 EBADMM CC(C)[C@@H](C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)N1CCCC1=O ZINC000354728862 380087112 /nfs/dbraw/zinc/08/71/12/380087112.db2.gz LPIJLZUOIMRTIZ-VIFPVBQESA-N -1 1 329.382 -0.206 20 0 EBADMM CC(C)[C@@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)N1CCCC1=O ZINC000354728862 380087120 /nfs/dbraw/zinc/08/71/20/380087120.db2.gz LPIJLZUOIMRTIZ-VIFPVBQESA-N -1 1 329.382 -0.206 20 0 EBADMM CCC(=O)N1CCCC[C@H]1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000354724399 380087346 /nfs/dbraw/zinc/08/73/46/380087346.db2.gz JSUUKEIRWDHCBE-QMMMGPOBSA-N -1 1 329.382 -0.062 20 0 EBADMM CCC(=O)N1CCCC[C@H]1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000354724399 380087352 /nfs/dbraw/zinc/08/73/52/380087352.db2.gz JSUUKEIRWDHCBE-QMMMGPOBSA-N -1 1 329.382 -0.062 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H](C)C(=O)N2CCCC2)co1 ZINC000281798671 380042711 /nfs/dbraw/zinc/04/27/11/380042711.db2.gz SUOXNZNFFRLFRT-VIFPVBQESA-N -1 1 329.378 -0.072 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CC[C@@H](NC(=O)NC2CC2)C1 ZINC000354965488 380124522 /nfs/dbraw/zinc/12/45/22/380124522.db2.gz DRGJQGJAUPVULA-LLVKDONJSA-N -1 1 347.375 -0.421 20 0 EBADMM COC(=O)C[C@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)C(=O)OC ZINC000283526595 380126368 /nfs/dbraw/zinc/12/63/68/380126368.db2.gz SRQDNKHDXAYJNV-QMMMGPOBSA-N -1 1 338.345 -0.148 20 0 EBADMM CCOC(=O)CCCS(=O)(=O)N[C@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000548203334 380188449 /nfs/dbraw/zinc/18/84/49/380188449.db2.gz ZETVFPLSESJSLO-SNVBAGLBSA-N -1 1 333.366 -0.332 20 0 EBADMM C[C@@H]1CCCN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C1 ZINC000355390026 380192795 /nfs/dbraw/zinc/19/27/95/380192795.db2.gz WUFKCOSQFCPEHH-SECBINFHSA-N -1 1 329.378 -0.085 20 0 EBADMM Cc1ccnc(NC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)c1 ZINC000355387255 380193302 /nfs/dbraw/zinc/19/33/02/380193302.db2.gz SJQZFLOAAMNIPT-UHFFFAOYSA-N -1 1 338.345 -0.001 20 0 EBADMM CNC(=O)CN1CCCN(CC(=O)[N-]OCc2ccccc2)CC1 ZINC000367383383 380329051 /nfs/dbraw/zinc/32/90/51/380329051.db2.gz DYJHYVSNUREMGK-UHFFFAOYSA-N -1 1 334.420 -0.012 20 0 EBADMM O=C(CCc1nn[n-]n1)N1CSC[C@H]1C(=O)N1CCSCC1 ZINC000630860522 380286124 /nfs/dbraw/zinc/28/61/24/380286124.db2.gz NDGPHTOLOGVPNG-VIFPVBQESA-N -1 1 342.450 -0.391 20 0 EBADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cnc2c(c1C)c(=O)n(C)c(=O)n2C ZINC000615220922 380298923 /nfs/dbraw/zinc/29/89/23/380298923.db2.gz IKBIYDBZYULGBE-UHFFFAOYSA-N -1 1 344.331 -0.070 20 0 EBADMM Cc1noc(C[N-]S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)n1 ZINC000555637484 380362322 /nfs/dbraw/zinc/36/23/22/380362322.db2.gz JIAMQLIOOOSECP-UHFFFAOYSA-N -1 1 332.363 -0.496 20 0 EBADMM CCOC(=O)[C@@H](C)CNC(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000288931851 380424514 /nfs/dbraw/zinc/42/45/14/380424514.db2.gz VQYWDQDCKSCVRB-SHDGIHNSSA-N -1 1 333.348 -0.404 20 0 EBADMM CN(C)S(=O)(=O)NCC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000288939094 380425044 /nfs/dbraw/zinc/42/50/44/380425044.db2.gz NUGQQUQJJZJOEF-UHFFFAOYSA-N -1 1 343.377 -0.361 20 0 EBADMM CCS(=O)(=O)N(C)CCC[N-]S(=O)(=O)c1c(C)onc1N ZINC000289277196 380431881 /nfs/dbraw/zinc/43/18/81/380431881.db2.gz DWCIJHQSRWZQEW-UHFFFAOYSA-N -1 1 340.427 -0.485 20 0 EBADMM C[C@H](C(=O)N(C)C)S(=O)(=O)[N-][C@H]1COc2ccccc2[C@@H]1O ZINC000288398460 380407706 /nfs/dbraw/zinc/40/77/06/380407706.db2.gz MJGGKCBLAJOFSG-CDMKHQONSA-N -1 1 328.390 -0.123 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCc1nc(C)no1 ZINC000269686355 380523972 /nfs/dbraw/zinc/52/39/72/380523972.db2.gz UWTCDDYBRACBLG-UHFFFAOYSA-N -1 1 329.338 -0.201 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H](O)c2ccsc2)c(=O)n(C)c1=O ZINC000272164793 380535520 /nfs/dbraw/zinc/53/55/20/380535520.db2.gz ZXAWINDAEHKQAM-SECBINFHSA-N -1 1 345.402 -0.843 20 0 EBADMM O=C([C@H]1COCCN1C1CCCC1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000369123889 380542018 /nfs/dbraw/zinc/54/20/18/380542018.db2.gz BSXWLXMUOZWWTN-OLZOCXBDSA-N -1 1 336.396 -0.257 20 0 EBADMM CCC[C@H](C)[C@H](CO)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000568033272 380567079 /nfs/dbraw/zinc/56/70/79/380567079.db2.gz HGCONIXNPCNCFF-UWVGGRQHSA-N -1 1 333.410 -0.841 20 0 EBADMM CC(C)(NC(=O)c1ccc2c(c1)NC(=O)CNC2=O)c1nn[n-]n1 ZINC000340739496 380613543 /nfs/dbraw/zinc/61/35/43/380613543.db2.gz MEOWHFSASLCTTG-UHFFFAOYSA-N -1 1 329.320 -0.453 20 0 EBADMM CO[C@@H]1CCN(CCNS(=O)(=O)c2cnn(C)c2)[C@@H](C(=O)[O-])C1 ZINC000573387766 380671145 /nfs/dbraw/zinc/67/11/45/380671145.db2.gz ZJFSPPSVRGDCNF-ZYHUDNBSSA-N -1 1 346.409 -0.738 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@@H](NC(=O)NC)C2)co1 ZINC000341453631 380696258 /nfs/dbraw/zinc/69/62/58/380696258.db2.gz AHWAWRJJHJRFNL-SECBINFHSA-N -1 1 330.366 -0.669 20 0 EBADMM C[C@H](C(=O)N(C)C)S(=O)(=O)[N-]Cc1nc(C(F)(F)F)no1 ZINC000575127786 380731275 /nfs/dbraw/zinc/73/12/75/380731275.db2.gz REWVQEHTEAOWGR-RXMQYKEDSA-N -1 1 330.288 -0.016 20 0 EBADMM CC[C@](COC)([N-]S(=O)(=O)N1C[C@H](C)O[C@@H](C)C1)C(=O)OC ZINC000416517390 380783236 /nfs/dbraw/zinc/78/32/36/380783236.db2.gz RQABRBGCAIKNOB-GMXVVIOVSA-N -1 1 338.426 -0.102 20 0 EBADMM Cc1ccc(CC[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)nc1 ZINC000416505571 380785855 /nfs/dbraw/zinc/78/58/55/380785855.db2.gz DSFNEHCYZVJPGE-UHFFFAOYSA-N -1 1 338.389 -0.692 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CC(=O)N([C@H]3C[C@H]3C)C2)co1 ZINC000374334957 380788327 /nfs/dbraw/zinc/78/83/27/380788327.db2.gz YFTPWIIQAUCZNQ-IEBDPFPHSA-N -1 1 341.389 -0.073 20 0 EBADMM C[C@@H]1C[C@H](C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)[C@@H](C)O1 ZINC000416595544 380789684 /nfs/dbraw/zinc/78/96/84/380789684.db2.gz FBMBADOGCOHRDG-OPRDCNLKSA-N -1 1 331.394 -0.824 20 0 EBADMM CCC[C@@H]1C(=O)NCCN1C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000330569537 380828469 /nfs/dbraw/zinc/82/84/69/380828469.db2.gz MDSKROFRQCVVEN-SECBINFHSA-N -1 1 329.378 -0.072 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCN([C@@H]3CCOC3)CC2)co1 ZINC000366832368 381005502 /nfs/dbraw/zinc/00/55/02/381005502.db2.gz PDKULHVBDHKBJO-GFCCVEGCSA-N -1 1 343.405 -0.266 20 0 EBADMM COC(=O)[C@@H](CN1CCOCC1)[N-]S(=O)(=O)CC1CCCC1 ZINC000581050779 381050922 /nfs/dbraw/zinc/05/09/22/381050922.db2.gz XZHQDYIGLSBNGO-CYBMUJFWSA-N -1 1 334.438 -0.030 20 0 EBADMM COc1ccc(C(=O)CNC(=O)CCC(=O)Nc2nnn[n-]2)cc1 ZINC000346347251 381059077 /nfs/dbraw/zinc/05/90/77/381059077.db2.gz JEAIXSPKOMLQMU-UHFFFAOYSA-N -1 1 332.320 -0.074 20 0 EBADMM COc1ccc(C(=O)CNC(=O)CCC(=O)Nc2nn[n-]n2)cc1 ZINC000346347251 381059083 /nfs/dbraw/zinc/05/90/83/381059083.db2.gz JEAIXSPKOMLQMU-UHFFFAOYSA-N -1 1 332.320 -0.074 20 0 EBADMM COCC[C@H]([N-]S(=O)(=O)[C@@H]1CCC[C@H]1C(=O)OC)C(=O)OC ZINC000581853614 381103693 /nfs/dbraw/zinc/10/36/93/381103693.db2.gz MOYYHQXOJHHGOY-OUAUKWLOSA-N -1 1 337.394 -0.174 20 0 EBADMM CC[C@@H]1COCCN1C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000346828502 381129787 /nfs/dbraw/zinc/12/97/87/381129787.db2.gz UOOXYCXRFCZEAA-SECBINFHSA-N -1 1 345.377 -0.706 20 0 EBADMM CN1C(=O)N[C@H]2CN(C(=O)CNC(=O)c3ncccc3[O-])CC[C@@H]21 ZINC000347104972 381177396 /nfs/dbraw/zinc/17/73/96/381177396.db2.gz UCEIZWYRRIGWAQ-UWVGGRQHSA-N -1 1 333.348 -0.859 20 0 EBADMM CCN1CCOC[C@@H]1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000347721059 381254112 /nfs/dbraw/zinc/25/41/12/381254112.db2.gz QGNAPXNZFUQCCN-LLVKDONJSA-N -1 1 329.378 -0.301 20 0 EBADMM Cc1[nH]c(=O)sc1S(=O)(=O)[N-]CCNC(=O)CC(C)(C)O ZINC000350654422 381534624 /nfs/dbraw/zinc/53/46/24/381534624.db2.gz AGQJHTPAHYJXBH-UHFFFAOYSA-N -1 1 337.423 -0.287 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCc2nnnn2CC2CC2)o1 ZINC000351503172 381633470 /nfs/dbraw/zinc/63/34/70/381633470.db2.gz OYUMLRNTGZMOJD-UHFFFAOYSA-N -1 1 340.365 -0.486 20 0 EBADMM CCC[C@H](NC(=O)c1nc(S(C)(=O)=O)ncc1C)c1nn[n-]n1 ZINC000612062185 381777492 /nfs/dbraw/zinc/77/74/92/381777492.db2.gz UBOJVFOIKHHSOD-QMMMGPOBSA-N -1 1 339.381 -0.027 20 0 EBADMM C[C@H]1CN(C(=O)c2c[n-]n3c2nccc3=O)CCN1CC(C)(C)O ZINC000332745326 381847402 /nfs/dbraw/zinc/84/74/02/381847402.db2.gz DEXFBVTUMXHESF-NSHDSACASA-N -1 1 333.392 -0.060 20 0 EBADMM COC(=O)[C@H](CO)[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000612633429 381849046 /nfs/dbraw/zinc/84/90/46/381849046.db2.gz BSYQQJOMYMLSTE-VIFPVBQESA-N -1 1 325.289 -0.214 20 0 EBADMM COC(=O)[C@H](C)Cc1ccc(NC(=O)C(=O)NCc2nn[n-]n2)cc1 ZINC000612726743 381859454 /nfs/dbraw/zinc/85/94/54/381859454.db2.gz SMBZTNVDEHAVOO-SECBINFHSA-N -1 1 346.347 -0.194 20 0 EBADMM COC(=O)[C@@H](Cc1ccccc1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000352616745 382012929 /nfs/dbraw/zinc/01/29/29/382012929.db2.gz WBUMEYZGGOVZGG-GFCCVEGCSA-N -1 1 341.327 -0.068 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H](NS(C)(=O)=O)C(C)(C)C)c1nn[n-]n1 ZINC000352455085 381987874 /nfs/dbraw/zinc/98/78/74/381987874.db2.gz XDZSKPRZKZVZBF-RKDXNWHRSA-N -1 1 332.430 -0.275 20 0 EBADMM COC(=O)[C@]1(NC(=O)CNC(=O)c2ncccc2[O-])CCSC1 ZINC000352698493 382021510 /nfs/dbraw/zinc/02/15/10/382021510.db2.gz NBNPZQAYNIYIAK-AWEZNQCLSA-N -1 1 339.373 -0.318 20 0 EBADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)Cn3cnnn3)CC2)n1 ZINC000444757197 382157896 /nfs/dbraw/zinc/15/78/96/382157896.db2.gz DDPDZFOHPDXKSA-UHFFFAOYSA-N -1 1 334.340 -0.626 20 0 EBADMM O=S(=O)(C[C@@H](O)CO)c1nnc(-c2ccc3c(c2)OCCO3)[n-]1 ZINC000447500820 382198296 /nfs/dbraw/zinc/19/82/96/382198296.db2.gz RTXCYBMUPRLXQZ-VIFPVBQESA-N -1 1 341.345 -0.630 20 0 EBADMM O=S(=O)(C[C@@H](O)CO)c1n[n-]c(-c2ccc3c(c2)OCCO3)n1 ZINC000447500820 382198302 /nfs/dbraw/zinc/19/83/02/382198302.db2.gz RTXCYBMUPRLXQZ-VIFPVBQESA-N -1 1 341.345 -0.630 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC2(CCO)CC[NH+](C)CC2)o1 ZINC000354146938 382209709 /nfs/dbraw/zinc/20/97/09/382209709.db2.gz GHJWSNKTYZTCRT-UHFFFAOYSA-N -1 1 345.421 -0.236 20 0 EBADMM CS(=O)(=O)NC[C@H]1COCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000629570585 382229958 /nfs/dbraw/zinc/22/99/58/382229958.db2.gz DMCAZODWRMVMKE-NSHDSACASA-N -1 1 342.373 -0.649 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)C(=O)N(C)CC ZINC000276747444 285972072 /nfs/dbraw/zinc/97/20/72/285972072.db2.gz SNKCGJIQZNEWRG-MRVPVSSYSA-N -1 1 332.382 -0.269 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCc1ccn(C)n1 ZINC000319162965 155179565 /nfs/dbraw/zinc/17/95/65/155179565.db2.gz YHQIZTMPPZMKOA-UHFFFAOYSA-N -1 1 327.366 -0.159 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2CCC[C@H](CO)C2)c(=O)n(C)c1=O ZINC000323354028 155182855 /nfs/dbraw/zinc/18/28/55/155182855.db2.gz UKBWTJSKZWNDGM-MNOVXSKESA-N -1 1 345.421 -0.839 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)CC(=O)N2CCOCC2)o1 ZINC000330403332 155268441 /nfs/dbraw/zinc/26/84/41/155268441.db2.gz VVHXJQYGJVEVDE-UHFFFAOYSA-N -1 1 345.377 -0.882 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@@H](OCCO)C2)co1 ZINC000330481737 155274943 /nfs/dbraw/zinc/27/49/43/155274943.db2.gz VCGYVCMWRNXFIR-LLVKDONJSA-N -1 1 332.378 -0.199 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)C(=O)N2CCOCC2)o1 ZINC000330645449 155296209 /nfs/dbraw/zinc/29/62/09/155296209.db2.gz LGPOFIGECNTYQI-VIFPVBQESA-N -1 1 346.361 -0.408 20 0 EBADMM COC(=O)[C@@H]1CCCC[C@@H]1S(=O)(=O)[N-][C@H]1CC(=O)N(C)C1=O ZINC000330683107 155300626 /nfs/dbraw/zinc/30/06/26/155300626.db2.gz OOKMATULADHNID-UTLUCORTSA-N -1 1 332.378 -0.605 20 0 EBADMM C[C@H](C(=O)N(C)C)S(=O)(=O)[N-]Cc1noc(C(F)(F)F)n1 ZINC000331256820 155354826 /nfs/dbraw/zinc/35/48/26/155354826.db2.gz BXFBCYTVUNTEND-RXMQYKEDSA-N -1 1 330.288 -0.016 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@H]2C[C@H]3CC[C@@H]2C3)c(=O)n(C)c1=O ZINC000171104829 154095088 /nfs/dbraw/zinc/09/50/88/154095088.db2.gz QBHUWRXGWVKANG-HBNTYKKESA-N -1 1 327.406 -0.202 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1CCCCNC1=O ZINC000171674091 154095319 /nfs/dbraw/zinc/09/53/19/154095319.db2.gz LPUBWFTUXBRBLL-LBPRGKRZSA-N -1 1 331.376 -0.033 20 0 EBADMM Cc1nc(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)sc1C ZINC000173226470 154101007 /nfs/dbraw/zinc/10/10/07/154101007.db2.gz PMAXJTUVGRXBJZ-UHFFFAOYSA-N -1 1 344.418 -0.364 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1C(=O)NCC[C@H]1C ZINC000194232815 154163758 /nfs/dbraw/zinc/16/37/58/154163758.db2.gz RIBNSGPIUCXESL-ANRSDYALSA-N -1 1 331.376 -0.177 20 0 EBADMM CC[N@H+](CC(=O)[O-])C1CC([N-]S(=O)(=O)c2c(C)onc2N)C1 ZINC000263470558 154257697 /nfs/dbraw/zinc/25/76/97/154257697.db2.gz HPBLGCQLBOTBIF-UHFFFAOYSA-N -1 1 332.382 -0.219 20 0 EBADMM CC[N@@H+](CC(=O)[O-])C1CC([N-]S(=O)(=O)c2c(C)onc2N)C1 ZINC000263470558 154257699 /nfs/dbraw/zinc/25/76/99/154257699.db2.gz HPBLGCQLBOTBIF-UHFFFAOYSA-N -1 1 332.382 -0.219 20 0 EBADMM CCS(=O)(=O)NCC[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000264593195 154286621 /nfs/dbraw/zinc/28/66/21/154286621.db2.gz LWTCOWAIHXMYEF-UHFFFAOYSA-N -1 1 340.379 -0.716 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)CN1CSCC1=O ZINC000265273792 154310768 /nfs/dbraw/zinc/31/07/68/154310768.db2.gz XRPJANWDQQWLBE-UHFFFAOYSA-N -1 1 335.389 -0.211 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCN(CCOC)[C@@H](C)C2)o1 ZINC000265333704 154312734 /nfs/dbraw/zinc/31/27/34/154312734.db2.gz ZAFSMLTVXNTMCY-NSHDSACASA-N -1 1 345.421 -0.020 20 0 EBADMM COc1cccc(C[C@@H](C(=O)Nc2nnn[n-]2)n2nnnc2C)c1 ZINC000265474252 154317209 /nfs/dbraw/zinc/31/72/09/154317209.db2.gz PZFILRYVYUGRIK-NSHDSACASA-N -1 1 329.324 -0.074 20 0 EBADMM COc1cccc(C[C@@H](C(=O)Nc2nn[n-]n2)n2nnnc2C)c1 ZINC000265474252 154317213 /nfs/dbraw/zinc/31/72/13/154317213.db2.gz PZFILRYVYUGRIK-NSHDSACASA-N -1 1 329.324 -0.074 20 0 EBADMM CO[C@H]1CCCC[C@@H]1[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000266155882 154337685 /nfs/dbraw/zinc/33/76/85/154337685.db2.gz GLCWEGSYVHPJIC-UWVGGRQHSA-N -1 1 331.394 -0.680 20 0 EBADMM CC(C)(C)CC(=O)N1CSC[C@H]1C(=O)NN1CC(=O)[N-]C1=O ZINC000266606626 154350238 /nfs/dbraw/zinc/35/02/38/154350238.db2.gz QJQWSMWNMIOUOF-QMMMGPOBSA-N -1 1 328.394 -0.093 20 0 EBADMM C[C@H](C(=O)N(C)C)S(=O)(=O)[N-][C@@H]1COc2ccccc2[C@H]1O ZINC000288398461 154971978 /nfs/dbraw/zinc/97/19/78/154971978.db2.gz MJGGKCBLAJOFSG-IRUJWGPZSA-N -1 1 328.390 -0.123 20 0 EBADMM COC(=O)CC1([N-]S(=O)(=O)c2c(C)onc2N)CCOCC1 ZINC000290828406 155009485 /nfs/dbraw/zinc/00/94/85/155009485.db2.gz UKOIQBOBYGMZBQ-UHFFFAOYSA-N -1 1 333.366 -0.044 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CC(=O)N(C)C2=O)c(C(F)(F)F)n1 ZINC000292711688 155036096 /nfs/dbraw/zinc/03/60/96/155036096.db2.gz BFOVRGQYFPCLJP-RXMQYKEDSA-N -1 1 340.283 -0.526 20 0 EBADMM Cc1cnn(C)c1S(=O)(=O)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000293137480 155041927 /nfs/dbraw/zinc/04/19/27/155041927.db2.gz QDUWSTZSWZWLSU-SECBINFHSA-N -1 1 326.382 -0.292 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2CC(=O)N(C)C2)c(C(F)(F)F)n1 ZINC000293345490 155044049 /nfs/dbraw/zinc/04/40/49/155044049.db2.gz DIYQCLMYNNMXQF-LURJTMIESA-N -1 1 326.300 -0.052 20 0 EBADMM Cn1ncnc1[C@H]1COCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000342546352 156042606 /nfs/dbraw/zinc/04/26/06/156042606.db2.gz NSRCQTOIEIHFRB-SNVBAGLBSA-N -1 1 346.347 -0.754 20 0 EBADMM NC(=O)Cc1csc(NC(=O)CNC(=O)c2ncccc2[O-])n1 ZINC000343092518 156095406 /nfs/dbraw/zinc/09/54/06/156095406.db2.gz DVZWEBHDLZXEDR-UHFFFAOYSA-N -1 1 335.345 -0.360 20 0 EBADMM COCCN1CCN(C(=O)CNC(=O)c2ncccc2[O-])C[C@H]1C ZINC000343672483 156153627 /nfs/dbraw/zinc/15/36/27/156153627.db2.gz QTCMMZHGOLOJLP-GFCCVEGCSA-N -1 1 336.392 -0.304 20 0 EBADMM C[C@H]1[C@H](C)S(=O)(=O)CCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000343704314 156158059 /nfs/dbraw/zinc/15/80/59/156158059.db2.gz GWVJRAMGIDPOGI-UWVGGRQHSA-N -1 1 341.389 -0.449 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)CN1CCC(=O)NC1=O ZINC000343747058 156162201 /nfs/dbraw/zinc/16/22/01/156162201.db2.gz RIFHKMQFGKKAGE-UHFFFAOYSA-N -1 1 346.347 -0.390 20 0 EBADMM CN(C)S(=O)(=O)c1ccc([O-])c(C(=O)NCCc2nc[nH]n2)c1 ZINC000343903388 156184748 /nfs/dbraw/zinc/18/47/48/156184748.db2.gz YZLMKJITNPZASO-UHFFFAOYSA-N -1 1 339.377 -0.267 20 0 EBADMM Cn1cc(N2CCN(C(=O)CNC(=O)c3ncccc3[O-])CC2)cn1 ZINC000344010032 156197150 /nfs/dbraw/zinc/19/71/50/156197150.db2.gz KXYCTJGLIXHJJV-UHFFFAOYSA-N -1 1 344.375 -0.401 20 0 EBADMM CC(C)COC[C@@H](O)CNC(=O)CNC(=O)c1ncccc1[O-] ZINC000344010871 156197347 /nfs/dbraw/zinc/19/73/47/156197347.db2.gz RVTODQFVVLKHEL-NSHDSACASA-N -1 1 325.365 -0.333 20 0 EBADMM CC(=O)N1CC[C@@H](CN(C)C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000344770624 156264369 /nfs/dbraw/zinc/26/43/69/156264369.db2.gz GBUJPMKODKLWQY-LBPRGKRZSA-N -1 1 334.376 -0.156 20 0 EBADMM Cc1[nH]c(=O)sc1S(=O)(=O)[N-]CCNC(=O)c1cnc[nH]1 ZINC000345450992 156334175 /nfs/dbraw/zinc/33/41/75/156334175.db2.gz RGQHILKBMXKEAX-UHFFFAOYSA-N -1 1 331.379 -0.411 20 0 EBADMM CCN1CCOC[C@H]1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000347721061 156526701 /nfs/dbraw/zinc/52/67/01/156526701.db2.gz QGNAPXNZFUQCCN-NSHDSACASA-N -1 1 329.378 -0.301 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NCC(F)(F)F)o1 ZINC000355390300 157000976 /nfs/dbraw/zinc/00/09/76/157000976.db2.gz XQOPATYFOKWMJW-UHFFFAOYSA-N -1 1 329.256 -0.665 20 0 EBADMM COCCOCCS(=O)(=O)[N-]c1cnn(CC(=O)OC)c1C ZINC000355876349 157030134 /nfs/dbraw/zinc/03/01/34/157030134.db2.gz FIYSOOJTAAHOIS-UHFFFAOYSA-N -1 1 335.382 -0.231 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H](O)COCC2CC2)o1 ZINC000356546211 157076986 /nfs/dbraw/zinc/07/69/86/157076986.db2.gz VVZLXBCHDGGAPO-SNVBAGLBSA-N -1 1 332.378 -0.295 20 0 EBADMM O=C(CCN1C(=O)NC2(CCCC2)C1=O)NC1(c2nn[n-]n2)CC1 ZINC000357059358 157116816 /nfs/dbraw/zinc/11/68/16/157116816.db2.gz TZRFYNYSTJWSQY-UHFFFAOYSA-N -1 1 333.352 -0.440 20 0 EBADMM Cn1cnc2c(c1=O)CCN(C(=O)CNC(=O)c1ncccc1[O-])C2 ZINC000357837003 157180657 /nfs/dbraw/zinc/18/06/57/157180657.db2.gz SYOSPWHGCCXWPV-UHFFFAOYSA-N -1 1 343.343 -0.804 20 0 EBADMM C[C@@H](CN(C)C(=O)CN(C)C(=O)[C@H](C)n1cccn1)c1nn[n-]n1 ZINC000357986226 157196455 /nfs/dbraw/zinc/19/64/55/157196455.db2.gz HPLWLVFLXVONEZ-QWRGUYRKSA-N -1 1 334.384 -0.322 20 0 EBADMM CC(=O)NC[C@H]1CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CCO1 ZINC000358352361 157235671 /nfs/dbraw/zinc/23/56/71/157235671.db2.gz RPOIJQDOSUDWQJ-JTQLQIEISA-N -1 1 333.348 -0.349 20 0 EBADMM COCCN(CC(=O)N(C)C)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358354807 157236366 /nfs/dbraw/zinc/23/63/66/157236366.db2.gz VRPGDMPMLCHJKM-UHFFFAOYSA-N -1 1 335.364 -0.149 20 0 EBADMM C[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1cncc(F)c1 ZINC000359190886 157301233 /nfs/dbraw/zinc/30/12/33/157301233.db2.gz FHVYUYHSLAPGQX-QMMMGPOBSA-N -1 1 342.352 -0.342 20 0 EBADMM Cc1cc(N2CC[C@H]([N-]S(=O)(=O)Cc3ccon3)C2=O)n(C)n1 ZINC000525701001 419076308 /nfs/dbraw/zinc/07/63/08/419076308.db2.gz FCQMDDKTGPJQEN-NSHDSACASA-N -1 1 339.377 -0.059 20 0 EBADMM CS(=O)(=O)N1CCC[C@H]1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000644883704 419254809 /nfs/dbraw/zinc/25/48/09/419254809.db2.gz VHOFYIKAYQJHON-UWVGGRQHSA-N -1 1 344.393 -0.095 20 0 EBADMM COCCN(CCN(C)C)C(=O)C(=O)N=c1ncn(C(C)C)[n-]1 ZINC000651558019 419209313 /nfs/dbraw/zinc/20/93/13/419209313.db2.gz AXGGQLWNNWEICY-UHFFFAOYSA-N -1 1 326.401 -0.744 20 0 EBADMM COc1cncc(=NC(=O)C(=O)N2CCO[C@@H]([C@H]3CCCO3)C2)[n-]1 ZINC000651616793 419214135 /nfs/dbraw/zinc/21/41/35/419214135.db2.gz MXKYJORVDWFDJY-GHMZBOCLSA-N -1 1 336.348 -0.748 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H]1CC[C@@H](C(=O)N(C)C)O1 ZINC000574002860 419241005 /nfs/dbraw/zinc/24/10/05/419241005.db2.gz JIZLXVANIJXMNO-BDAKNGLRSA-N -1 1 332.382 -0.521 20 0 EBADMM COC(=O)c1cn(CC[N-]S(=O)(=O)c2ncn(C)c2Cl)nn1 ZINC000284091645 419332017 /nfs/dbraw/zinc/33/20/17/419332017.db2.gz ASVFFVCNRFFMOO-UHFFFAOYSA-N -1 1 348.772 -0.570 20 0 EBADMM CCN1C[C@@H](CNC(=O)c2ccc(S(=O)(=O)[N-]C)o2)CC1=O ZINC000652841029 419471571 /nfs/dbraw/zinc/47/15/71/419471571.db2.gz ZHVKHPOFDFNMOS-SECBINFHSA-N -1 1 329.378 -0.214 20 0 EBADMM CCN1CC[C@H]2OCCN(C(=O)CSc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC000329533066 419563573 /nfs/dbraw/zinc/56/35/73/419563573.db2.gz KDUHIUDNEXGRGI-VHSXEESVSA-N -1 1 327.410 -0.076 20 0 EBADMM Cc1cccc(=O)n1C[C@](C)(O)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000526919011 419626924 /nfs/dbraw/zinc/62/69/24/419626924.db2.gz HMBNJXPFVDTPJC-WBMJQRKESA-N -1 1 346.391 -0.173 20 0 EBADMM C[C@@H]1CCN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)[C@@H]1C ZINC000360180016 419686763 /nfs/dbraw/zinc/68/67/63/419686763.db2.gz FGNRKSCOMWFJKB-RKDXNWHRSA-N -1 1 329.378 -0.086 20 0 EBADMM O=C(NCCNC(=O)c1cc(=O)n2[n-]cnc2n1)NC1CCCCC1 ZINC000353992786 419651064 /nfs/dbraw/zinc/65/10/64/419651064.db2.gz LUXQPZLYZZUWDW-UHFFFAOYSA-N -1 1 347.379 -0.221 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC[C@@]2(O)CCCOC2)o1 ZINC000652946290 419730242 /nfs/dbraw/zinc/73/02/42/419730242.db2.gz VTUVTRMYAFQCCR-ZDUSSCGKSA-N -1 1 332.378 -0.151 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCOC[C@@H]2CC(=O)OC)co1 ZINC000377162986 419749044 /nfs/dbraw/zinc/74/90/44/419749044.db2.gz XAUCYOMUOLFSGE-JTQLQIEISA-N -1 1 346.361 -0.408 20 0 EBADMM CNC(=O)[C@@H](CO)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645652192 419787167 /nfs/dbraw/zinc/78/71/67/419787167.db2.gz URLJGFKWUAAXSK-SSDOTTSWSA-N -1 1 327.284 -0.514 20 0 EBADMM CN1C2(CCC2)COC[C@]1(CO)CNc1nc2[nH][n-]cc-2c(=O)n1 ZINC000528130737 419957834 /nfs/dbraw/zinc/95/78/34/419957834.db2.gz IOWKDPPTTZJNKO-OAHLLOKOSA-N -1 1 334.380 -0.540 20 0 EBADMM CC[C@@H](C)C[C@@H](CO)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000645832761 420520411 /nfs/dbraw/zinc/52/04/11/420520411.db2.gz PJBRPVRSEGWNNI-ZJUUUORDSA-N -1 1 333.410 -0.841 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)OC(C)(C)C)C2)nc1=O ZINC000495481555 420601469 /nfs/dbraw/zinc/60/14/69/420601469.db2.gz RILLASSKXUFZKW-JTQLQIEISA-N -1 1 327.385 -0.166 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)OC(C)(C)C)C2)nc1=O ZINC000495481556 420601591 /nfs/dbraw/zinc/60/15/91/420601591.db2.gz RILLASSKXUFZKW-SNVBAGLBSA-N -1 1 327.385 -0.166 20 0 EBADMM Cc1[nH]c(=O)sc1S(=O)(=O)[N-]CCNc1nnc(C)c(C)n1 ZINC000428181177 420571224 /nfs/dbraw/zinc/57/12/24/420571224.db2.gz GZDBCTSQDJPLQU-UHFFFAOYSA-N -1 1 344.422 0.349 20 0 EBADMM CCc1oc(C(=O)[N-]c2ncn(CC(=O)N(C)C)n2)cc1C(=O)OC ZINC000338526396 420581536 /nfs/dbraw/zinc/58/15/36/420581536.db2.gz ZLNZIFPZZKXXKX-UHFFFAOYSA-N -1 1 349.347 0.561 20 0 EBADMM CO[C@H](CNC(=O)OC(C)(C)C)CC(=O)NN1CC(=O)[N-]C1=O ZINC000495855229 420610640 /nfs/dbraw/zinc/61/06/40/420610640.db2.gz AWZJBTFGRDULCP-QMMMGPOBSA-N -1 1 330.341 -0.501 20 0 EBADMM CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)Nc1nnn[n-]1 ZINC000495270962 420598195 /nfs/dbraw/zinc/59/81/95/420598195.db2.gz OGZJWARNWGGVMY-MRVPVSSYSA-N -1 1 339.356 -0.346 20 0 EBADMM CC(C)(C)OC(=O)N1CCC[C@@H]1C(=O)NCC(=O)Nc1nn[n-]n1 ZINC000495270962 420598199 /nfs/dbraw/zinc/59/81/99/420598199.db2.gz OGZJWARNWGGVMY-MRVPVSSYSA-N -1 1 339.356 -0.346 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](C(=O)N1CCOCC1)C(C)C ZINC000572287294 420661572 /nfs/dbraw/zinc/66/15/72/420661572.db2.gz KWZZAFSRUZEODS-JTQLQIEISA-N -1 1 346.409 -0.273 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2CC[C@H]3C[C@H]3C2)c(=O)n(C)c1=O ZINC000528453314 420749675 /nfs/dbraw/zinc/74/96/75/420749675.db2.gz RFXAFZVFFGIBPA-OUAUKWLOSA-N -1 1 327.406 -0.202 20 0 EBADMM CN1CC[C@H](C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)S1(=O)=O ZINC000649219202 420790833 /nfs/dbraw/zinc/79/08/33/420790833.db2.gz WIAMOKRCBNFFLW-RKDXNWHRSA-N -1 1 330.366 -0.485 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@@H]([C@H](C)[NH3+])C2)[n-]c2nc(=O)[n-]c(=O)c1-2 ZINC000649274654 420794404 /nfs/dbraw/zinc/79/44/04/420794404.db2.gz SYLPUNMRYZGDPE-WCBMZHEXSA-N -1 1 333.348 -0.067 20 0 EBADMM CC1(F)CN(S(=O)(=O)CCCS(=O)(=O)[N-]CC(F)F)C1 ZINC000656375484 420896524 /nfs/dbraw/zinc/89/65/24/420896524.db2.gz SMRUJSSUNJJRMV-UHFFFAOYSA-N -1 1 338.373 -0.065 20 0 EBADMM CO[C@@H](CS(=O)(=O)[N-]c1ccc(C(N)=O)nc1)[C@H]1CCOC1 ZINC000656626544 420899295 /nfs/dbraw/zinc/89/92/95/420899295.db2.gz LTUNZBJWTLWIDA-CABZTGNLSA-N -1 1 329.378 -0.026 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@@H]1[N-]S(=O)(=O)CCC(F)(F)F ZINC000657099716 420915193 /nfs/dbraw/zinc/91/51/93/420915193.db2.gz HANSPORZROFXKT-NKWVEPMBSA-N -1 1 325.330 -0.330 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2CCC[C@@H]3C[C@@H]32)c(=O)n(C)c1=O ZINC000657149983 420918069 /nfs/dbraw/zinc/91/80/69/420918069.db2.gz RIOZYSXEUDTEES-VWYCJHECSA-N -1 1 327.406 -0.202 20 0 EBADMM O=C([O-])[C@H]1CN(C(=O)N[C@H]2CCCc3cn[nH]c32)CCS1(=O)=O ZINC000424411953 265061162 /nfs/dbraw/zinc/06/11/62/265061162.db2.gz PKMCHAHHZSQMGQ-VHSXEESVSA-N -1 1 342.377 -0.320 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2C[C@H]2C2CCC2)o1 ZINC000424983667 265080471 /nfs/dbraw/zinc/08/04/71/265080471.db2.gz QYUCCVHRVUVUEH-VHSXEESVSA-N -1 1 341.389 -0.038 20 0 EBADMM CS(=O)(=O)[N-]c1ccc(S(=O)(=O)N[C@@H]2CC[C@H]2O)c(F)c1 ZINC000425208779 265085304 /nfs/dbraw/zinc/08/53/04/265085304.db2.gz PVMMVLDMQMIEHT-NXEZZACHSA-N -1 1 338.382 -0.001 20 0 EBADMM O=C(Nc1ccncc1[O-])[C@H]1CC[C@@H](C(=O)NC2=CC=NCC2=O)O1 ZINC000427652798 265109111 /nfs/dbraw/zinc/10/91/11/265109111.db2.gz FVQBAIGWNXLLJW-OKILXGFUSA-N -1 1 344.327 -0.144 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2[C@@H]3CCC[C@@H]32)o1 ZINC000428098586 265117181 /nfs/dbraw/zinc/11/71/81/265117181.db2.gz VZJZWJXJNYTCFV-JDICNCQASA-N -1 1 327.362 -0.429 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@H](C)C[C@H]2C(N)=O)co1 ZINC000428132029 265117495 /nfs/dbraw/zinc/11/74/95/265117495.db2.gz QWYQJOYWXSYBEC-WPRPVWTQSA-N -1 1 329.378 -0.086 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](CO)CC(F)F ZINC000428387996 265122396 /nfs/dbraw/zinc/12/23/96/265122396.db2.gz HSVLTBSQWLHKAJ-LURJTMIESA-N -1 1 327.309 -0.119 20 0 EBADMM C[C@@H]1CCN(C(=O)CNC(=O)c2ncccc2[O-])CC[S@@]1=O ZINC000430354282 265147325 /nfs/dbraw/zinc/14/73/25/265147325.db2.gz PQJODOXLCBGKOS-STFLBKPXSA-N -1 1 325.390 -0.114 20 0 EBADMM COC(=O)C[C@H]([N-]S(=O)(=O)CCC1CCOCC1)C(=O)OC ZINC000431531089 265165715 /nfs/dbraw/zinc/16/57/15/265165715.db2.gz JPSCBMZQYDDZBW-NSHDSACASA-N -1 1 337.394 -0.173 20 0 EBADMM Cc1c([C@H]2[C@@H](C(=O)NC3(c4nn[n-]n4)CC3)CC(=O)N2C)cnn1C ZINC000432145427 265172204 /nfs/dbraw/zinc/17/22/04/265172204.db2.gz SEKVCGKJVUMVLI-JOYOIKCWSA-N -1 1 344.379 -0.433 20 0 EBADMM C[C@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])CC[S@@](=O)C1 ZINC000432581768 265177381 /nfs/dbraw/zinc/17/73/81/265177381.db2.gz YQEXLSISXCZLOQ-MLMJSJRWSA-N -1 1 325.390 -0.256 20 0 EBADMM COc1ccc(-n2nnnc2SCC(=O)Nc2nnn[n-]2)cc1 ZINC000439278610 265266489 /nfs/dbraw/zinc/26/64/89/265266489.db2.gz UZDLTEQBVHGCCM-UHFFFAOYSA-N -1 1 333.337 -0.085 20 0 EBADMM COc1ccc(-n2nnnc2SCC(=O)Nc2nn[n-]n2)cc1 ZINC000439278610 265266493 /nfs/dbraw/zinc/26/64/93/265266493.db2.gz UZDLTEQBVHGCCM-UHFFFAOYSA-N -1 1 333.337 -0.085 20 0 EBADMM C[C@H](CO)NS(=O)(=O)c1ccc([N-]S(C)(=O)=O)cc1F ZINC000442823423 265329919 /nfs/dbraw/zinc/32/99/19/265329919.db2.gz KHAVLGLMGALXFC-SSDOTTSWSA-N -1 1 326.371 -0.144 20 0 EBADMM Cc1nc([C@H]2CN(S(=O)(=O)[N-]CC(F)(F)F)CCO2)n[nH]1 ZINC000443021998 265335528 /nfs/dbraw/zinc/33/55/28/265335528.db2.gz JGXPIHKCSNJFIZ-SSDOTTSWSA-N -1 1 329.304 -0.117 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@@H](O)[C@@H]2C(=O)OC)co1 ZINC000444434921 265363281 /nfs/dbraw/zinc/36/32/81/265363281.db2.gz JELODLQTMVVULP-MWLCHTKSSA-N -1 1 346.361 -0.674 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@H](OC)C(=O)OC)o1 ZINC000490629656 272862977 /nfs/dbraw/zinc/86/29/77/272862977.db2.gz VALORYRALSEYQJ-VIFPVBQESA-N -1 1 335.334 -0.077 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H](C)S(C)(=O)=O ZINC000533149917 272907664 /nfs/dbraw/zinc/90/76/64/272907664.db2.gz XHWSGSZBWTUYKD-ZETCQYMHSA-N -1 1 339.395 -0.702 20 0 EBADMM CC[C@@H]1C(=O)NCCN1C(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000288004502 280225296 /nfs/dbraw/zinc/22/52/96/280225296.db2.gz MUTUJPMJROMTCG-XEQXHXIFSA-N -1 1 330.348 -0.983 20 0 EBADMM C[C@@H]1CN[C@@H](C)CN1S(=O)(=O)c1c[nH]c2nc(=O)[n-]c(=O)c-2c1 ZINC000353491310 297114482 /nfs/dbraw/zinc/11/44/82/297114482.db2.gz JQTRAZSYBPYPOV-JGVFFNPUSA-N -1 1 339.377 -0.193 20 0 EBADMM C[C@H]1NCCN(S(=O)(=O)c2c[nH]c3nc(=O)[n-]c(=O)c-3c2)[C@@H]1C ZINC000262742153 300577997 /nfs/dbraw/zinc/57/79/97/300577997.db2.gz CMEKXTRWEITHOP-HTQZYQBOSA-N -1 1 339.377 -0.193 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCCCNC1=O ZINC000298468988 521569428 /nfs/dbraw/zinc/56/94/28/521569428.db2.gz BFVHQIGJLOMUQR-VIFPVBQESA-N -1 1 330.366 -0.467 20 0 EBADMM CN(C)S(=O)(=O)CCC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000670384135 545994752 /nfs/dbraw/zinc/99/47/52/545994752.db2.gz FJRMTPMGQBHPMG-VIFPVBQESA-N -1 1 332.382 -0.237 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@@H]1CC[C@H](C(N)=O)O1 ZINC000675369219 546183399 /nfs/dbraw/zinc/18/33/99/546183399.db2.gz VMQRERHDUFVGQY-CMPLNLGQSA-N -1 1 347.375 -0.257 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H](C)[C@@H]2CN(C)CCO2)o1 ZINC000676257661 546202477 /nfs/dbraw/zinc/20/24/77/546202477.db2.gz GIVQJXDFFRWMOQ-KOLCDFICSA-N -1 1 331.394 -0.363 20 0 EBADMM CC(C)C1CN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C1 ZINC000677120463 546224401 /nfs/dbraw/zinc/22/44/01/546224401.db2.gz HFWIIEMWYYRJRZ-UHFFFAOYSA-N -1 1 329.378 -0.229 20 0 EBADMM O=C(Cc1ccc(O)cc1)NCC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000680932233 546296258 /nfs/dbraw/zinc/29/62/58/546296258.db2.gz NKWZCCLEEFOFHG-GFCCVEGCSA-N -1 1 344.375 -0.030 20 0 EBADMM C[C@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)NCc1nn[n-]n1 ZINC000684281151 546368375 /nfs/dbraw/zinc/36/83/75/546368375.db2.gz PVMJAMICEZULPZ-NSHDSACASA-N -1 1 346.269 -0.552 20 0 EBADMM C[C@@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)NCc1nn[n-]n1 ZINC000684281150 546368646 /nfs/dbraw/zinc/36/86/46/546368646.db2.gz PVMJAMICEZULPZ-LLVKDONJSA-N -1 1 346.269 -0.552 20 0 EBADMM Cn1cc(-c2cc(F)ccc2NC(=O)C(=O)NCc2nn[n-]n2)cn1 ZINC000684634648 546374981 /nfs/dbraw/zinc/37/49/81/546374981.db2.gz OANFZVFZTIDBNP-UHFFFAOYSA-N -1 1 344.310 -0.006 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCOC1CCSCC1 ZINC000891230203 582543380 /nfs/dbraw/zinc/54/33/80/582543380.db2.gz BNHSYIIRDLEPQU-UHFFFAOYSA-N -1 1 327.406 -0.045 20 0 EBADMM NC(=O)[C@@H](c1ccc(-c2nn[nH]n2)cc1)[N@@H+]1CCC[C@H](OCCO)C1 ZINC000737739274 582544552 /nfs/dbraw/zinc/54/45/52/582544552.db2.gz NAGLRMKKLBHBDF-UONOGXRCSA-N -1 1 346.391 -0.134 20 0 EBADMM NC(=O)[C@@H](c1ccc(-c2nn[nH]n2)cc1)[N@H+]1CCC[C@H](OCCO)C1 ZINC000737739274 582544554 /nfs/dbraw/zinc/54/45/54/582544554.db2.gz NAGLRMKKLBHBDF-UONOGXRCSA-N -1 1 346.391 -0.134 20 0 EBADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@H](C)N1CCN(C)CC1 ZINC000866857986 582565857 /nfs/dbraw/zinc/56/58/57/582565857.db2.gz XHMYOQZGJMRIGB-LBPRGKRZSA-N -1 1 340.515 -0.036 20 0 EBADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C[C@H](C)N1CCN(C)CC1 ZINC000866857601 582565963 /nfs/dbraw/zinc/56/59/63/582565963.db2.gz LWOZEAKBQMXAOV-WLRWDXFRSA-N -1 1 326.488 -0.426 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1ccc(-n2cncn2)nc1 ZINC000726866187 582623320 /nfs/dbraw/zinc/62/33/20/582623320.db2.gz VGPVGXRIFAUDSJ-UHFFFAOYSA-N -1 1 327.304 -0.459 20 0 EBADMM NC(=O)CCOc1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC000727755751 582656427 /nfs/dbraw/zinc/65/64/27/582656427.db2.gz HLJRYULMELHEJY-UHFFFAOYSA-N -1 1 346.343 -0.180 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCNC(=O)[C@@H]1c1ccccc1 ZINC000727853924 582661654 /nfs/dbraw/zinc/66/16/54/582661654.db2.gz TZZLUMJZZIRAAI-AWEZNQCLSA-N -1 1 342.355 -0.374 20 0 EBADMM COCCN(Cc1ccccn1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000729260248 582688602 /nfs/dbraw/zinc/68/86/02/582688602.db2.gz ZATALNCXJGWXBA-UHFFFAOYSA-N -1 1 332.360 -0.003 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCC(=O)N1CCNC1=O ZINC000729289190 582690298 /nfs/dbraw/zinc/69/02/98/582690298.db2.gz YWONUWBZHAFQJL-UHFFFAOYSA-N -1 1 347.331 -0.311 20 0 EBADMM CN1CCN(C)[C@@H](CNC(=O)C(=O)Nc2cccc(CC(=O)[O-])c2)C1 ZINC000738012489 582695585 /nfs/dbraw/zinc/69/55/85/582695585.db2.gz BWLWPQMTZKRLIS-AWEZNQCLSA-N -1 1 348.403 -0.386 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC(=O)c2cnn(C)c2)o1 ZINC000741317117 582832697 /nfs/dbraw/zinc/83/26/97/582832697.db2.gz SNESRKXZSSMJML-UHFFFAOYSA-N -1 1 327.318 -0.039 20 0 EBADMM CN(CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1)C(=O)c1cccs1 ZINC000731854843 582841045 /nfs/dbraw/zinc/84/10/45/582841045.db2.gz IGGORCXQJTUNPD-SECBINFHSA-N -1 1 336.377 -0.067 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCN(c2ccccc2)C1=O ZINC000731839745 582841149 /nfs/dbraw/zinc/84/11/49/582841149.db2.gz ZFHNPFQSNNESFM-CYBMUJFWSA-N -1 1 342.355 -0.152 20 0 EBADMM O=C(CN1Cc2ccccc2C1=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000732088960 582844345 /nfs/dbraw/zinc/84/43/45/582844345.db2.gz JAKQAODZJBIEAU-LBPRGKRZSA-N -1 1 328.332 -0.244 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CCN(CC(F)(F)F)C1=O ZINC000732579861 582852312 /nfs/dbraw/zinc/85/23/12/582852312.db2.gz NLMINHDLLUIBJN-QMMMGPOBSA-N -1 1 348.281 -0.794 20 0 EBADMM CCN(C(=O)CCn1cc[n-]c(=O)c1=O)[C@H](C)CS(C)(=O)=O ZINC000733001076 582855393 /nfs/dbraw/zinc/85/53/93/582855393.db2.gz JEESLPRMLKNNKM-SNVBAGLBSA-N -1 1 331.394 -0.792 20 0 EBADMM CC(C)[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)N1CCCC1 ZINC000733786579 582867601 /nfs/dbraw/zinc/86/76/01/582867601.db2.gz XSBXUGOBOZRQJD-ZDUSSCGKSA-N -1 1 336.392 -0.310 20 0 EBADMM C[C@H](C(=O)NC1CC1)N1CCN(c2nccnc2-c2nnn[n-]2)CC1 ZINC000735535555 582898308 /nfs/dbraw/zinc/89/83/08/582898308.db2.gz ADADEIVDVLUTHP-SNVBAGLBSA-N -1 1 343.395 -0.554 20 0 EBADMM C[C@H](C(=O)NC1CC1)N1CCN(c2nccnc2-c2nn[n-]n2)CC1 ZINC000735535555 582898310 /nfs/dbraw/zinc/89/83/10/582898310.db2.gz ADADEIVDVLUTHP-SNVBAGLBSA-N -1 1 343.395 -0.554 20 0 EBADMM CC(C)[C@H]1C[N@H+](C)CCN1c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000735758296 582906215 /nfs/dbraw/zinc/90/62/15/582906215.db2.gz GRMLUXZPZRUQHF-SNVBAGLBSA-N -1 1 348.411 -0.960 20 0 EBADMM CC(C)[C@H]1C[N@@H+](C)CCN1c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000735758296 582906217 /nfs/dbraw/zinc/90/62/17/582906217.db2.gz GRMLUXZPZRUQHF-SNVBAGLBSA-N -1 1 348.411 -0.960 20 0 EBADMM CC(C)NC(=O)CN1CCN(c2cccc(-c3nn[n-]n3)n2)CC1 ZINC000735884362 582908667 /nfs/dbraw/zinc/90/86/67/582908667.db2.gz LDQUXECEKNJYKL-UHFFFAOYSA-N -1 1 330.396 -0.092 20 0 EBADMM CC(C)OC(=O)CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735892948 582909769 /nfs/dbraw/zinc/90/97/69/582909769.db2.gz QJXUXZRFIKOTRU-UHFFFAOYSA-N -1 1 326.338 -0.508 20 0 EBADMM CC(C)OC(=O)CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735892948 582909771 /nfs/dbraw/zinc/90/97/71/582909771.db2.gz QJXUXZRFIKOTRU-UHFFFAOYSA-N -1 1 326.338 -0.508 20 0 EBADMM C[C@@H](c1ccccn1)N(C)c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000736205498 582924180 /nfs/dbraw/zinc/92/41/80/582924180.db2.gz XEFRZMXJSAXKNH-VIFPVBQESA-N -1 1 342.363 -0.144 20 0 EBADMM CC(C)N1CCO[C@@H](CN2CCN(C(=O)CN(C)C(=O)[O-])CC2)C1 ZINC000736474556 582935262 /nfs/dbraw/zinc/93/52/62/582935262.db2.gz MLMRUNOPOAVZHP-AWEZNQCLSA-N -1 1 342.440 -0.150 20 0 EBADMM O=C(c1cccc(-n2cccn2)n1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000736556353 582941002 /nfs/dbraw/zinc/94/10/02/582941002.db2.gz BQTCSAVIILHVQQ-NSHDSACASA-N -1 1 326.320 -0.006 20 0 EBADMM CCn1cc(-c2nn[n-]n2)c(=O)n(Cc2nnc(C(C)C)o2)c1=O ZINC000736719778 582951699 /nfs/dbraw/zinc/95/16/99/582951699.db2.gz TWWMSAYMNCFXCS-UHFFFAOYSA-N -1 1 332.324 -0.235 20 0 EBADMM C[C@@H]1CN(CCCNC(=O)N2CCO[C@H](C(=O)[O-])C2)C[C@H](C)O1 ZINC000736811024 582959221 /nfs/dbraw/zinc/95/92/21/582959221.db2.gz QBDKZHDEPOQEFA-XQQFMLRXSA-N -1 1 329.397 -0.019 20 0 EBADMM CC[C@H]1CN(CCn2cccn2)CCN1[C@H](C)C(=O)NCC(=O)[O-] ZINC000736943578 582976316 /nfs/dbraw/zinc/97/63/16/582976316.db2.gz OSMYLAQFPKXMHM-KGLIPLIRSA-N -1 1 337.424 -0.131 20 0 EBADMM CO[C@H](CNc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C)C(F)(F)F ZINC000736959752 582977527 /nfs/dbraw/zinc/97/75/27/582977527.db2.gz DEHNVHCTPWHMBX-RXMQYKEDSA-N -1 1 349.273 -0.747 20 0 EBADMM CN(CC(=O)[O-])C(=O)CCN1CCN(c2ncccc2C(N)=O)CC1 ZINC000737401723 583007378 /nfs/dbraw/zinc/00/73/78/583007378.db2.gz RYMLIKRDMJFLCQ-UHFFFAOYSA-N -1 1 349.391 -0.764 20 0 EBADMM Cc1nc2n(n1)C[C@H](NC(=O)c1ccc(-c3nnn[n-]3)nc1)CC2 ZINC000737490444 583009940 /nfs/dbraw/zinc/00/99/40/583009940.db2.gz VAFGOGKNPSGHNG-SNVBAGLBSA-N -1 1 325.336 -0.094 20 0 EBADMM Cc1nc2n(n1)C[C@H](NC(=O)c1ccc(-c3nn[n-]n3)nc1)CC2 ZINC000737490444 583009942 /nfs/dbraw/zinc/00/99/42/583009942.db2.gz VAFGOGKNPSGHNG-SNVBAGLBSA-N -1 1 325.336 -0.094 20 0 EBADMM CN1CCN(C)[C@@H](CNC(=O)C(=O)Nc2ccc(C(=O)[O-])cc2)C1 ZINC000737526521 583012163 /nfs/dbraw/zinc/01/21/63/583012163.db2.gz ROWYLIDAQLMDJK-ZDUSSCGKSA-N -1 1 334.376 -0.315 20 0 EBADMM CN1CCN(C[C@@H]2CN(C(=O)NCCCC(=O)[O-])CCO2)CC1 ZINC000737525185 583012556 /nfs/dbraw/zinc/01/25/56/583012556.db2.gz CCQSVCHDWPJQBH-CYBMUJFWSA-N -1 1 328.413 -0.491 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N(CC2CC2)CC2CC2)n(C)c1=O ZINC000737589344 583017627 /nfs/dbraw/zinc/01/76/27/583017627.db2.gz CHLBTDQPCSGPOJ-UHFFFAOYSA-N -1 1 331.380 -0.110 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NCCc2cscn2)n(C)c1=O ZINC000737598058 583018794 /nfs/dbraw/zinc/01/87/94/583018794.db2.gz WZYSGJDPVMBHDY-UHFFFAOYSA-N -1 1 334.365 -0.625 20 0 EBADMM Cn1cc(CCCNS(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)cn1 ZINC000737602522 583020216 /nfs/dbraw/zinc/02/02/16/583020216.db2.gz QDVKUPCGOWJDTM-UHFFFAOYSA-N -1 1 348.392 -0.094 20 0 EBADMM Cn1cc(CCCNS(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)cn1 ZINC000737602522 583020218 /nfs/dbraw/zinc/02/02/18/583020218.db2.gz QDVKUPCGOWJDTM-UHFFFAOYSA-N -1 1 348.392 -0.094 20 0 EBADMM NC(=O)[C@H]1CCCCN1S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737746912 583025736 /nfs/dbraw/zinc/02/57/36/583025736.db2.gz UEWVSHLEWNCEFT-SNVBAGLBSA-N -1 1 337.365 -0.710 20 0 EBADMM NC(=O)[C@H]1CCCCN1S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737746912 583025740 /nfs/dbraw/zinc/02/57/40/583025740.db2.gz UEWVSHLEWNCEFT-SNVBAGLBSA-N -1 1 337.365 -0.710 20 0 EBADMM NS(=O)(=O)c1cccc(CNc2cnc(-c3nnn[n-]3)cn2)c1 ZINC000737769938 583027193 /nfs/dbraw/zinc/02/71/93/583027193.db2.gz ROBLACYFBULCCD-UHFFFAOYSA-N -1 1 332.349 -0.084 20 0 EBADMM NS(=O)(=O)c1cccc(CNc2cnc(-c3nn[n-]n3)cn2)c1 ZINC000737769938 583027194 /nfs/dbraw/zinc/02/71/94/583027194.db2.gz ROBLACYFBULCCD-UHFFFAOYSA-N -1 1 332.349 -0.084 20 0 EBADMM O=C(CN1CCN(c2cccc(-c3nn[n-]n3)n2)CC1)NC1CC1 ZINC000737882932 583031655 /nfs/dbraw/zinc/03/16/55/583031655.db2.gz MVDSBWLJVZUGQB-UHFFFAOYSA-N -1 1 328.380 -0.338 20 0 EBADMM O=C1CNC(=O)N1CCNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000738308053 583049200 /nfs/dbraw/zinc/04/92/00/583049200.db2.gz XZOPRNDCQDTMCL-UHFFFAOYSA-N -1 1 339.319 -0.226 20 0 EBADMM O=C1CNC(=O)N1CCNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000738308053 583049202 /nfs/dbraw/zinc/04/92/02/583049202.db2.gz XZOPRNDCQDTMCL-UHFFFAOYSA-N -1 1 339.319 -0.226 20 0 EBADMM Cn1cc(N2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)CC2)cn1 ZINC000746350489 583105514 /nfs/dbraw/zinc/10/55/14/583105514.db2.gz PWFNUMGPTZFMKZ-UHFFFAOYSA-N -1 1 332.364 -0.991 20 0 EBADMM COCCS(=O)(=O)CC(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000747474010 583113661 /nfs/dbraw/zinc/11/36/61/583113661.db2.gz NNZNJEHJLPCWSE-LBPRGKRZSA-N -1 1 339.377 -0.534 20 0 EBADMM O=C(NCCS(=O)(=O)N1CCOCC1)c1cc(F)ccc1[O-] ZINC000748041430 583117200 /nfs/dbraw/zinc/11/72/00/583117200.db2.gz IFAOBQPEUYTKRJ-UHFFFAOYSA-N -1 1 332.353 -0.077 20 0 EBADMM CCNC(=O)[C@@H](C)[N-]S(=O)(=O)c1n[nH]c(C)c1C(=O)OCC ZINC000750990868 583140323 /nfs/dbraw/zinc/14/03/23/583140323.db2.gz FPLRDRVZVJRPEI-MRVPVSSYSA-N -1 1 332.382 -0.302 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@H](Cn2ccnn2)C1 ZINC000752254654 583153040 /nfs/dbraw/zinc/15/30/40/583153040.db2.gz YQLYUPCIPVJYII-LBPRGKRZSA-N -1 1 332.364 -0.543 20 0 EBADMM Cc1ccc(NC(=O)C(=O)N2CCC(O)(c3nn[n-]n3)CC2)cc1 ZINC000753089697 583158779 /nfs/dbraw/zinc/15/87/79/583158779.db2.gz ZXUFJPXURKEXHX-UHFFFAOYSA-N -1 1 330.348 -0.043 20 0 EBADMM CCOC(=O)[C@H]1CN(C(=O)c2coc(S(=O)(=O)[N-]C)c2)CCO1 ZINC000755921503 583178678 /nfs/dbraw/zinc/17/86/78/583178678.db2.gz QTWYTPXGYADJGQ-SNVBAGLBSA-N -1 1 346.361 -0.408 20 0 EBADMM CN(CCCNC(=O)C1CCC1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000760790867 583231954 /nfs/dbraw/zinc/23/19/54/583231954.db2.gz NZZXLYRLWKUUQQ-UHFFFAOYSA-N -1 1 336.392 -0.309 20 0 EBADMM O=C([C@@H]1CC(=O)N(c2cn[nH]c2)C1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000765109540 583278537 /nfs/dbraw/zinc/27/85/37/583278537.db2.gz LFBFXUGPZRHSRL-VHSXEESVSA-N -1 1 330.352 -0.318 20 0 EBADMM C[C@@H](C(=O)OCc1nc(=O)n(C)[n-]1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000765417239 583281821 /nfs/dbraw/zinc/28/18/21/583281821.db2.gz POUUIIQFTYIPPF-LPEHRKFASA-N -1 1 336.348 -0.285 20 0 EBADMM Cn1[n-]c(COC(=O)CNC(=O)c2ccc3c(c2)OCO3)nc1=O ZINC000765415423 583281934 /nfs/dbraw/zinc/28/19/34/583281934.db2.gz SGXNFRPDTAPDLJ-UHFFFAOYSA-N -1 1 334.288 -0.690 20 0 EBADMM O=C(Nc1ccccc1CN1C(=O)CCC1=O)NN1CC(=O)[N-]C1=O ZINC000770277460 583334820 /nfs/dbraw/zinc/33/48/20/583334820.db2.gz BDRUDUPEQNGWKF-UHFFFAOYSA-N -1 1 345.315 -0.076 20 0 EBADMM CCOCCO[N-]C(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000772699896 583364034 /nfs/dbraw/zinc/36/40/34/583364034.db2.gz XPEWJFVDUAEOKY-GHMZBOCLSA-N -1 1 334.394 -0.894 20 0 EBADMM C/C=C\C[C@@H]([N-]S(=O)(=O)CCS(C)(=O)=O)C(=O)OCC ZINC000773290741 583371397 /nfs/dbraw/zinc/37/13/97/583371397.db2.gz NXJNEZTYGARWSF-AYYIZTPMSA-N -1 1 327.424 -0.152 20 0 EBADMM O=C([O-])[C@@H](Cc1cnc[nH]1)NS(=O)(=O)c1cccc2nonc21 ZINC000776436461 583404032 /nfs/dbraw/zinc/40/40/32/583404032.db2.gz CXVXWXAMIQRDSM-SECBINFHSA-N -1 1 337.317 -0.080 20 0 EBADMM O=C(CNC(=O)COC(=O)c1cn[n-]n1)Nc1ccc(F)c(F)c1 ZINC000805607364 583421573 /nfs/dbraw/zinc/42/15/73/583421573.db2.gz VMKALNKSHCHLTO-UHFFFAOYSA-N -1 1 339.258 -0.005 20 0 EBADMM O=C(NN1CC(=O)[N-]C1=O)[C@@H]1CC=CC[C@H]1C(=O)N1CCOCC1 ZINC000784348556 583485445 /nfs/dbraw/zinc/48/54/45/583485445.db2.gz WUZWGPBWGNNPIQ-GHMZBOCLSA-N -1 1 336.348 -0.989 20 0 EBADMM O=C(NN1CC(=O)[N-]C1=O)[C@@H]1CC=CC[C@@H]1C(=O)N1CCOCC1 ZINC000784348562 583485485 /nfs/dbraw/zinc/48/54/85/583485485.db2.gz WUZWGPBWGNNPIQ-MNOVXSKESA-N -1 1 336.348 -0.989 20 0 EBADMM CC(=O)CCCCOC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000786196022 583506647 /nfs/dbraw/zinc/50/66/47/583506647.db2.gz BQTWDSFGODLDCT-UHFFFAOYSA-N -1 1 346.361 -0.041 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)OCc2nccs2)o1 ZINC000786199589 583506958 /nfs/dbraw/zinc/50/69/58/583506958.db2.gz GBXOZQDFRFZRDR-UHFFFAOYSA-N -1 1 345.358 -0.143 20 0 EBADMM COC(=O)[C@@H]1[C@H]2CCC[C@@H]2CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000786234943 583507442 /nfs/dbraw/zinc/50/74/42/583507442.db2.gz ZYHYLOHZKGXCFO-MDZLAQPJSA-N -1 1 335.360 -0.273 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCc1ncnn1-c1ccccc1 ZINC000787609194 583519664 /nfs/dbraw/zinc/51/96/64/583519664.db2.gz XFGCBPCSHZYOEK-UHFFFAOYSA-N -1 1 340.343 -0.176 20 0 EBADMM O=C([N-]S(=O)(=O)N1CCOCC1)c1[nH]nc2c1CCCCC2 ZINC000789382275 583536888 /nfs/dbraw/zinc/53/68/88/583536888.db2.gz VRKOFEVHSVQMOK-UHFFFAOYSA-N -1 1 328.394 -0.015 20 0 EBADMM CCS(=O)(=O)CCn1cc(C)c([N-]S(=O)(=O)N2CCC2)n1 ZINC000795360280 583588976 /nfs/dbraw/zinc/58/89/76/583588976.db2.gz JKXNNQPAAYCEIR-UHFFFAOYSA-N -1 1 336.439 -0.011 20 0 EBADMM CN(CC(=O)N1CCC(O)(c2nn[n-]n2)CC1)C(=O)OC(C)(C)C ZINC000798147999 583602539 /nfs/dbraw/zinc/60/25/39/583602539.db2.gz IZBPCTQBHIZLHC-UHFFFAOYSA-N -1 1 340.384 -0.123 20 0 EBADMM COC(=O)[C@@H]1CCC[C@@H](C(=O)N2CCC(O)(c3nn[n-]n3)CC2)C1 ZINC000798269989 583603608 /nfs/dbraw/zinc/60/36/08/583603608.db2.gz LFUDYWVMAJTFQL-GHMZBOCLSA-N -1 1 337.380 -0.011 20 0 EBADMM CN(CCCNC(=O)N=c1ccnc2n(C)[n-]cc1-2)S(C)(=O)=O ZINC000806485109 583650295 /nfs/dbraw/zinc/65/02/95/583650295.db2.gz OCVCBBBUIOVUEL-UHFFFAOYSA-N -1 1 340.409 -0.255 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)N[C@H]3CCCN(CCO)C3=O)ccnc1-2 ZINC000806487584 583650314 /nfs/dbraw/zinc/65/03/14/583650314.db2.gz HXTQIFYUEJCDNH-LBPRGKRZSA-N -1 1 332.364 -0.553 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)NCCNC(=O)c3ccn[nH]3)ccnc1-2 ZINC000806483836 583650403 /nfs/dbraw/zinc/65/04/03/583650403.db2.gz RUTKEYDRJGKHDL-UHFFFAOYSA-N -1 1 328.336 -0.384 20 0 EBADMM C[C@H](O)C[C@@H]1COCCN1C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000807027719 583657078 /nfs/dbraw/zinc/65/70/78/583657078.db2.gz YNMPRRSONNUFSQ-WDEREUQCSA-N -1 1 347.375 -0.721 20 0 EBADMM COCCn1c(=O)cc([O-])nc1SCC(=O)N1CCO[C@H](C)C1 ZINC000809826279 583680621 /nfs/dbraw/zinc/68/06/21/583680621.db2.gz BFGHBSMBWHUWTA-SNVBAGLBSA-N -1 1 343.405 -0.065 20 0 EBADMM COC(=O)CC[C@H](NC(=O)OC(C)(C)C)C(=O)NCc1nn[n-]n1 ZINC000813449616 583698883 /nfs/dbraw/zinc/69/88/83/583698883.db2.gz VFOBZZHACBKPLN-QMMMGPOBSA-N -1 1 342.356 -0.338 20 0 EBADMM Cn1cc(Br)c(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)n1 ZINC000815520035 583711058 /nfs/dbraw/zinc/71/10/58/583711058.db2.gz PBZPKFLIJVQIER-SSDOTTSWSA-N -1 1 342.157 -0.091 20 0 EBADMM CCN1CC[C@H]2OCCN(C(=O)Cn3[n-]cc4c(=O)ncnc3-4)[C@H]2C1 ZINC000818044963 583734246 /nfs/dbraw/zinc/73/42/46/583734246.db2.gz MNMQQRMYBYNRGF-QWHCGFSZSA-N -1 1 346.391 -0.607 20 0 EBADMM CC(C)C(=O)N1CCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)CC1 ZINC000820011013 583764830 /nfs/dbraw/zinc/76/48/30/583764830.db2.gz GCQRCMQJVKRLBQ-UHFFFAOYSA-N -1 1 347.383 -0.068 20 0 EBADMM CC(C)C(=O)N1CCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)CC1 ZINC000820011013 583764832 /nfs/dbraw/zinc/76/48/32/583764832.db2.gz GCQRCMQJVKRLBQ-UHFFFAOYSA-N -1 1 347.383 -0.068 20 0 EBADMM C[C@@H](CCc1cccn1C)NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000820254549 583769549 /nfs/dbraw/zinc/76/95/49/583769549.db2.gz REGKRSDVVBNEOA-JTQLQIEISA-N -1 1 329.368 -0.066 20 0 EBADMM CCN1CCN(CC(=O)N(CCNC(C)=O)[C@@H](C)C(=O)[O-])CC1 ZINC000820353865 583771861 /nfs/dbraw/zinc/77/18/61/583771861.db2.gz HVAKMDBVWUKTKU-LBPRGKRZSA-N -1 1 328.413 -0.938 20 0 EBADMM C[C@@H](O)CC(C)(C)CNc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000820474255 583773648 /nfs/dbraw/zinc/77/36/48/583773648.db2.gz QFZBHMDZCFTXMT-MRVPVSSYSA-N -1 1 337.384 -0.527 20 0 EBADMM C[C@@H]1C[C@H](Nc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)C[N@H+]1C1CC1 ZINC000820665699 583777126 /nfs/dbraw/zinc/77/71/26/583777126.db2.gz GBNLEKSBIWAFMV-BDAKNGLRSA-N -1 1 346.395 -0.699 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nnn[n-]2)CCN1C(=O)[C@H]1CCOC1 ZINC000820775435 583779424 /nfs/dbraw/zinc/77/94/24/583779424.db2.gz IATUITQQICBITH-MNOVXSKESA-N -1 1 344.379 -0.270 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1C(=O)[C@H]1CCOC1 ZINC000820775435 583779427 /nfs/dbraw/zinc/77/94/27/583779427.db2.gz IATUITQQICBITH-MNOVXSKESA-N -1 1 344.379 -0.270 20 0 EBADMM C[C@H]1CN(S(C)(=O)=O)CC[C@@H]1Nc1nccnc1-c1nnn[n-]1 ZINC000820769830 583779550 /nfs/dbraw/zinc/77/95/50/583779550.db2.gz XATBWYKDLDYBNW-IUCAKERBSA-N -1 1 338.397 -0.261 20 0 EBADMM C[C@H]1CN(S(C)(=O)=O)CC[C@@H]1Nc1nccnc1-c1nn[n-]n1 ZINC000820769830 583779552 /nfs/dbraw/zinc/77/95/52/583779552.db2.gz XATBWYKDLDYBNW-IUCAKERBSA-N -1 1 338.397 -0.261 20 0 EBADMM CCCNC(=O)[C@@H]1CCCN(C(=O)Cn2cnc(-c3nn[n-]n3)n2)C1 ZINC000821114511 583785301 /nfs/dbraw/zinc/78/53/01/583785301.db2.gz VLMUGJHWCFPLCT-SNVBAGLBSA-N -1 1 347.383 -0.777 20 0 EBADMM CCN1C(=O)[C@H]2CN(c3nccnc3-c3nnn[n-]3)CCN2C1=O ZINC000821241194 583786997 /nfs/dbraw/zinc/78/69/97/583786997.db2.gz KVEZOKGMWNGHDB-MRVPVSSYSA-N -1 1 329.324 -0.871 20 0 EBADMM CCN1C(=O)[C@H]2CN(c3nccnc3-c3nn[n-]n3)CCN2C1=O ZINC000821241194 583786998 /nfs/dbraw/zinc/78/69/98/583786998.db2.gz KVEZOKGMWNGHDB-MRVPVSSYSA-N -1 1 329.324 -0.871 20 0 EBADMM COc1cccc(S([O-])=CC(=O)O[C@@H](C)C(=O)NC(N)=O)c1 ZINC000821359379 583789677 /nfs/dbraw/zinc/78/96/77/583789677.db2.gz OKZRHJHXSKKHLI-QSIZCVBASA-N -1 1 328.346 -0.071 20 0 EBADMM CCO[C@@H]1C[C@@H](NC(=O)Cn2cnc(-c3nn[n-]n3)n2)C12CCC2 ZINC000821361160 583789746 /nfs/dbraw/zinc/78/97/46/583789746.db2.gz TWXCUIHTPBHKHK-NXEZZACHSA-N -1 1 332.368 -0.078 20 0 EBADMM CCc1noc(CC)c1CNC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821559175 583793185 /nfs/dbraw/zinc/79/31/85/583793185.db2.gz XUGJVWMXVPCJCL-UHFFFAOYSA-N -1 1 331.340 -0.113 20 0 EBADMM CN(CC(=O)NC(C)(C)C)C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000821592488 583794513 /nfs/dbraw/zinc/79/45/13/583794513.db2.gz IDPRDDSRFOKSQP-UHFFFAOYSA-N -1 1 335.372 -0.021 20 0 EBADMM CN(CC(=O)NC(C)(C)C)C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000821592488 583794514 /nfs/dbraw/zinc/79/45/14/583794514.db2.gz IDPRDDSRFOKSQP-UHFFFAOYSA-N -1 1 335.372 -0.021 20 0 EBADMM CN(Cc1nccs1)c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000821618081 583795344 /nfs/dbraw/zinc/79/53/44/583795344.db2.gz PMAKKOWSWSRBGN-UHFFFAOYSA-N -1 1 334.365 -0.643 20 0 EBADMM COC(=O)C1(CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)CCCC1 ZINC000821654117 583799397 /nfs/dbraw/zinc/79/93/97/583799397.db2.gz UPIOWDUBSHEXKK-UHFFFAOYSA-N -1 1 334.340 -0.692 20 0 EBADMM COC(=O)C[C@H](NC(=O)c1ccc(-c2nnn[n-]2)s1)C(=O)OC ZINC000821659052 583799584 /nfs/dbraw/zinc/79/95/84/583799584.db2.gz QGFAFVGIOOWVMU-LURJTMIESA-N -1 1 339.333 -0.237 20 0 EBADMM COC(=O)C[C@H](NC(=O)c1ccc(-c2nn[n-]n2)s1)C(=O)OC ZINC000821659052 583799586 /nfs/dbraw/zinc/79/95/86/583799586.db2.gz QGFAFVGIOOWVMU-LURJTMIESA-N -1 1 339.333 -0.237 20 0 EBADMM COC(=O)Cc1cccc(NC(=O)Cn2cnc(-c3nn[n-]n3)n2)c1 ZINC000821663503 583800026 /nfs/dbraw/zinc/80/00/26/583800026.db2.gz AJZJTJKIEJEBQI-UHFFFAOYSA-N -1 1 342.319 -0.188 20 0 EBADMM COC(=O)c1ccc(CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)o1 ZINC000821669129 583800421 /nfs/dbraw/zinc/80/04/21/583800421.db2.gz ZAWAWWNJQNGHQK-UHFFFAOYSA-N -1 1 332.280 -0.846 20 0 EBADMM COC[C@@H](C)C(=O)N1CCN(c2nccnc2-c2nnn[n-]2)C[C@H]1C ZINC000821682072 583801185 /nfs/dbraw/zinc/80/11/85/583801185.db2.gz ZERRDEWMZFETIF-GHMZBOCLSA-N -1 1 346.395 -0.024 20 0 EBADMM COC[C@@H](C)C(=O)N1CCN(c2nccnc2-c2nn[n-]n2)C[C@H]1C ZINC000821682072 583801186 /nfs/dbraw/zinc/80/11/86/583801186.db2.gz ZERRDEWMZFETIF-GHMZBOCLSA-N -1 1 346.395 -0.024 20 0 EBADMM COC[C@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)c1ccc(C)o1 ZINC000821682621 583801276 /nfs/dbraw/zinc/80/12/76/583801276.db2.gz KEPHQICQWANETM-VIFPVBQESA-N -1 1 332.324 -0.136 20 0 EBADMM COCCOC[C@H](C)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821691889 583802090 /nfs/dbraw/zinc/80/20/90/583802090.db2.gz IRPZNHWLLHTGNU-VIFPVBQESA-N -1 1 342.381 -0.409 20 0 EBADMM COCCOC[C@H](C)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821691889 583802091 /nfs/dbraw/zinc/80/20/91/583802091.db2.gz IRPZNHWLLHTGNU-VIFPVBQESA-N -1 1 342.381 -0.409 20 0 EBADMM CS(=O)(=O)N1CCC[C@@H]1C(=O)Nc1ncc(-c2nnn[n-]2)s1 ZINC000821931642 583806228 /nfs/dbraw/zinc/80/62/28/583806228.db2.gz FEPWXIJYHACFRA-ZCFIWIBFSA-N -1 1 343.394 -0.314 20 0 EBADMM CS(=O)(=O)N1CCC[C@@H]1C(=O)Nc1ncc(-c2nn[n-]n2)s1 ZINC000821931642 583806230 /nfs/dbraw/zinc/80/62/30/583806230.db2.gz FEPWXIJYHACFRA-ZCFIWIBFSA-N -1 1 343.394 -0.314 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N2C[C@@H]3CCCC[C@@H]3C2)n(C)c1=O ZINC000822599254 583813327 /nfs/dbraw/zinc/81/33/27/583813327.db2.gz CCFHPESXWPTBNO-AOOOYVTPSA-N -1 1 331.380 -0.110 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NCCc2ccncc2)n(C)c1=O ZINC000822599206 583813452 /nfs/dbraw/zinc/81/34/52/583813452.db2.gz BSRORZJJNRNLRY-UHFFFAOYSA-N -1 1 328.336 -0.686 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N[C@H]2CC(=O)N(C3CC3)C2)n1 ZINC000822609554 583814106 /nfs/dbraw/zinc/81/41/06/583814106.db2.gz QAXRQAGPLGVRDD-ZETCQYMHSA-N -1 1 331.340 -0.515 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N[C@H]2CC(=O)N(C3CC3)C2)n1 ZINC000822609554 583814107 /nfs/dbraw/zinc/81/41/07/583814107.db2.gz QAXRQAGPLGVRDD-ZETCQYMHSA-N -1 1 331.340 -0.515 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NC[C@@]2(CCO)CCOC2)n1 ZINC000822613588 583814147 /nfs/dbraw/zinc/81/41/47/583814147.db2.gz DLBHSBJWGQETPD-CYBMUJFWSA-N -1 1 336.356 -0.489 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NC[C@@]2(CCO)CCOC2)n1 ZINC000822613588 583814148 /nfs/dbraw/zinc/81/41/48/583814148.db2.gz DLBHSBJWGQETPD-CYBMUJFWSA-N -1 1 336.356 -0.489 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCc2ccc(C(N)=O)nc2)n1 ZINC000822619882 583814855 /nfs/dbraw/zinc/81/48/55/583814855.db2.gz JOYHRLMIOAZXPD-UHFFFAOYSA-N -1 1 342.323 -0.584 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCc2ccc(C(N)=O)nc2)n1 ZINC000822619882 583814857 /nfs/dbraw/zinc/81/48/57/583814857.db2.gz JOYHRLMIOAZXPD-UHFFFAOYSA-N -1 1 342.323 -0.584 20 0 EBADMM Cn1cc(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])c(=O)[nH]c1=O ZINC000822669988 583816362 /nfs/dbraw/zinc/81/63/62/583816362.db2.gz XPYPANFIEYESKH-UHFFFAOYSA-N -1 1 329.276 -0.376 20 0 EBADMM NC(=O)c1ccc(NCCCc2[nH]nc(N)c2-c2nn[n-]n2)nn1 ZINC000822884859 583820509 /nfs/dbraw/zinc/82/05/09/583820509.db2.gz BOUCYRAJTDJELT-UHFFFAOYSA-N -1 1 329.328 -0.894 20 0 EBADMM NS(=O)(=O)[C@@H]1CCCN(C(=O)c2ccc(-c3nnn[n-]3)s2)C1 ZINC000822890580 583820830 /nfs/dbraw/zinc/82/08/30/583820830.db2.gz XWJAASKDAKEKKE-SSDOTTSWSA-N -1 1 342.406 -0.179 20 0 EBADMM NS(=O)(=O)[C@@H]1CCCN(C(=O)c2ccc(-c3nn[n-]n3)s2)C1 ZINC000822890580 583820831 /nfs/dbraw/zinc/82/08/31/583820831.db2.gz XWJAASKDAKEKKE-SSDOTTSWSA-N -1 1 342.406 -0.179 20 0 EBADMM Nc1nsc(N2CCN(c3nccnc3-c3nnn[n-]3)CC2)n1 ZINC000822912094 583821604 /nfs/dbraw/zinc/82/16/04/583821604.db2.gz MNUYWVQABLEZPN-UHFFFAOYSA-N -1 1 331.369 -0.583 20 0 EBADMM Nc1nsc(N2CCN(c3nccnc3-c3nn[n-]n3)CC2)n1 ZINC000822912094 583821606 /nfs/dbraw/zinc/82/16/06/583821606.db2.gz MNUYWVQABLEZPN-UHFFFAOYSA-N -1 1 331.369 -0.583 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000823063463 583823755 /nfs/dbraw/zinc/82/37/55/583823755.db2.gz TZZAMXQZUGETIN-GHMZBOCLSA-N -1 1 339.377 -0.725 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CC[C@@]2(O)CCCC[C@H]2C1 ZINC000823122825 583824310 /nfs/dbraw/zinc/82/43/10/583824310.db2.gz JKCUFDJFZVFPTK-HZMBPMFUSA-N -1 1 332.368 -0.388 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NC[C@H](O)COc1ccccc1 ZINC000823126367 583824702 /nfs/dbraw/zinc/82/47/02/583824702.db2.gz JITXTOJIIIDIMY-JTQLQIEISA-N -1 1 344.335 -0.986 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CC(Oc2ccncc2)C1 ZINC000823123341 583824792 /nfs/dbraw/zinc/82/47/92/583824792.db2.gz XEONICRKBFMPSK-UHFFFAOYSA-N -1 1 327.308 -0.857 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NC[C@@H]1OCCc2ccccc21 ZINC000823126917 583825156 /nfs/dbraw/zinc/82/51/56/583825156.db2.gz NRJGCNQQDDGDNC-LBPRGKRZSA-N -1 1 340.347 -0.112 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NC[C@@H](O)Cc1ccccc1 ZINC000823127053 583825245 /nfs/dbraw/zinc/82/52/45/583825245.db2.gz RKCRTNSTDTUSAM-NSHDSACASA-N -1 1 328.336 -0.822 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCc1ccc2c(c1)COC2 ZINC000823132089 583825437 /nfs/dbraw/zinc/82/54/37/583825437.db2.gz ZFHGIJHJCSSYPU-UHFFFAOYSA-N -1 1 326.320 -0.195 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCCc1nc2ccccc2[nH]1 ZINC000823131172 583825658 /nfs/dbraw/zinc/82/56/58/583825658.db2.gz CQDYYHVLMRHWPZ-UHFFFAOYSA-N -1 1 338.335 -0.307 20 0 EBADMM O=C1NC(=O)[C@@]2(CCC[C@H]2CNc2nccnc2-c2nnn[n-]2)N1 ZINC000823540414 583831554 /nfs/dbraw/zinc/83/15/54/583831554.db2.gz CZKRJWKZENNPDZ-CPFSXVBKSA-N -1 1 329.324 -0.553 20 0 EBADMM O=C1NC(=O)[C@@]2(CCC[C@H]2CNc2nccnc2-c2nn[n-]n2)N1 ZINC000823540414 583831557 /nfs/dbraw/zinc/83/15/57/583831557.db2.gz CZKRJWKZENNPDZ-CPFSXVBKSA-N -1 1 329.324 -0.553 20 0 EBADMM c1cnnc(NC[C@H]2CN(c3nccnc3-c3nnn[n-]3)CCO2)c1 ZINC000823815204 583837421 /nfs/dbraw/zinc/83/74/21/583837421.db2.gz BDWUJISEIFZGLW-JTQLQIEISA-N -1 1 340.351 -0.236 20 0 EBADMM c1cnnc(NC[C@H]2CN(c3nccnc3-c3nn[n-]n3)CCO2)c1 ZINC000823815204 583837422 /nfs/dbraw/zinc/83/74/22/583837422.db2.gz BDWUJISEIFZGLW-JTQLQIEISA-N -1 1 340.351 -0.236 20 0 EBADMM CC(=O)NCCNS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000823994193 583839743 /nfs/dbraw/zinc/83/97/43/583839743.db2.gz DDMAZZULIHUZAP-UHFFFAOYSA-N -1 1 328.329 -0.580 20 0 EBADMM CC(=O)NCCNS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000823994193 583839745 /nfs/dbraw/zinc/83/97/45/583839745.db2.gz DDMAZZULIHUZAP-UHFFFAOYSA-N -1 1 328.329 -0.580 20 0 EBADMM CC(C)[C@H](CNC(=O)[C@@H]1CN(C(=O)[O-])CCO1)N1CCN(C)CC1 ZINC000824110151 583841414 /nfs/dbraw/zinc/84/14/14/583841414.db2.gz DSAQKGGRRLOBQI-KBPBESRZSA-N -1 1 342.440 -0.247 20 0 EBADMM CC(C)[C@@H](CNC(=O)COC1CN(C(=O)[O-])C1)N1CCN(C)CC1 ZINC000824110226 583841443 /nfs/dbraw/zinc/84/14/43/583841443.db2.gz GCODLPPCVOWOPQ-CQSZACIVSA-N -1 1 342.440 -0.247 20 0 EBADMM CC(C)[C@@H](CNC(=O)[C@@H]1CN(C(=O)[O-])CCO1)N1CCN(C)CC1 ZINC000824110152 583841483 /nfs/dbraw/zinc/84/14/83/583841483.db2.gz DSAQKGGRRLOBQI-KGLIPLIRSA-N -1 1 342.440 -0.247 20 0 EBADMM CC(C)[C@@H]1C[C@H](Nc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)CCO1 ZINC000824214285 583842457 /nfs/dbraw/zinc/84/24/57/583842457.db2.gz FECUCUFCHKLUQM-ZJUUUORDSA-N -1 1 349.395 -0.120 20 0 EBADMM CC(C)c1nnc([C@@H](C)NC(=O)Cn2cnc(-c3nn[n-]n3)n2)[nH]1 ZINC000824358834 583844272 /nfs/dbraw/zinc/84/42/72/583844272.db2.gz QEXPXCMIZMCOSB-SSDOTTSWSA-N -1 1 331.344 -0.423 20 0 EBADMM C[C@H](CC(N)=O)NS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000824392168 583844979 /nfs/dbraw/zinc/84/49/79/583844979.db2.gz NASNHNGTTCWUBO-ZCFIWIBFSA-N -1 1 328.329 -0.452 20 0 EBADMM C[C@H](CC(N)=O)NS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000824392168 583844981 /nfs/dbraw/zinc/84/49/81/583844981.db2.gz NASNHNGTTCWUBO-ZCFIWIBFSA-N -1 1 328.329 -0.452 20 0 EBADMM C[C@H](CC(N)=O)NS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000824390793 583845009 /nfs/dbraw/zinc/84/50/09/583845009.db2.gz DLPAFPLJPSOSGF-ZCFIWIBFSA-N -1 1 328.329 -0.452 20 0 EBADMM C[C@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)C(=O)Cc1ccccc1 ZINC000824492091 583846914 /nfs/dbraw/zinc/84/69/14/583846914.db2.gz YAVXYGLNQAOJDK-JTQLQIEISA-N -1 1 340.347 -0.225 20 0 EBADMM C[C@H](CC(=O)N1CCO[C@H](CN2CCN(C)CC2)C1)NC(=O)[O-] ZINC000824599380 583847811 /nfs/dbraw/zinc/84/78/11/583847811.db2.gz NRRUVMMYFWCLCX-CHWSQXEVSA-N -1 1 328.413 -0.493 20 0 EBADMM C[C@@H](NS(=O)(=O)c1cccc(Cl)c1-c1nnn[n-]1)C(N)=O ZINC000824641156 583848066 /nfs/dbraw/zinc/84/80/66/583848066.db2.gz SGKMACRQYVWBQZ-RXMQYKEDSA-N -1 1 330.757 -0.328 20 0 EBADMM C[C@@H](NS(=O)(=O)c1cccc(Cl)c1-c1nn[n-]n1)C(N)=O ZINC000824641156 583848067 /nfs/dbraw/zinc/84/80/67/583848067.db2.gz SGKMACRQYVWBQZ-RXMQYKEDSA-N -1 1 330.757 -0.328 20 0 EBADMM C[C@H]1CO[C@H](CO)CN1S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000825006102 583854018 /nfs/dbraw/zinc/85/40/18/583854018.db2.gz GCZJYKHDPURJSX-IUCAKERBSA-N -1 1 340.365 -0.968 20 0 EBADMM C[C@H]1CO[C@H](CO)CN1S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000825006102 583854020 /nfs/dbraw/zinc/85/40/20/583854020.db2.gz GCZJYKHDPURJSX-IUCAKERBSA-N -1 1 340.365 -0.968 20 0 EBADMM C[C@@H]1CO[C@H](CO)CN1S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000825006100 583854115 /nfs/dbraw/zinc/85/41/15/583854115.db2.gz GCZJYKHDPURJSX-BDAKNGLRSA-N -1 1 340.365 -0.968 20 0 EBADMM C[C@@H]1CO[C@H](CO)CN1S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000825006100 583854117 /nfs/dbraw/zinc/85/41/17/583854117.db2.gz GCZJYKHDPURJSX-BDAKNGLRSA-N -1 1 340.365 -0.968 20 0 EBADMM CCNC(=O)NC(=O)Cn1ncc(C(=O)OCC)c1-c1nn[n-]n1 ZINC000825471573 583861655 /nfs/dbraw/zinc/86/16/55/583861655.db2.gz WXPDOFZKTZPANX-UHFFFAOYSA-N -1 1 336.312 -0.914 20 0 EBADMM CCOC(=O)c1cnn(Cc2nnnn2C2CC2)c1-c1nn[n-]n1 ZINC000825570980 583863196 /nfs/dbraw/zinc/86/31/96/583863196.db2.gz QLZLLANHZLYNRN-UHFFFAOYSA-N -1 1 330.312 -0.390 20 0 EBADMM CCc1nn(C)cc1Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000825763337 583866435 /nfs/dbraw/zinc/86/64/35/583866435.db2.gz UZSSUYDEGZOUAG-UHFFFAOYSA-N -1 1 331.340 -0.696 20 0 EBADMM CN(C)C(=O)[C@H]1CC[C@H](CNC(=O)c2ccc(-c3nnn[n-]3)nc2)O1 ZINC000825840814 583868232 /nfs/dbraw/zinc/86/82/32/583868232.db2.gz QVSWGABGYGEOFI-ZYHUDNBSSA-N -1 1 345.363 -0.373 20 0 EBADMM CN(C)C(=O)[C@H]1CC[C@H](CNC(=O)c2ccc(-c3nn[n-]n3)nc2)O1 ZINC000825840814 583868233 /nfs/dbraw/zinc/86/82/33/583868233.db2.gz QVSWGABGYGEOFI-ZYHUDNBSSA-N -1 1 345.363 -0.373 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nnn[n-]1)C(=O)C1(C(N)=O)CCC1 ZINC000825923273 583871051 /nfs/dbraw/zinc/87/10/51/583871051.db2.gz FHWUFJGQMXJVNP-UHFFFAOYSA-N -1 1 347.383 -0.781 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nn[n-]n1)C(=O)C1(C(N)=O)CCC1 ZINC000825923273 583871054 /nfs/dbraw/zinc/87/10/54/583871054.db2.gz FHWUFJGQMXJVNP-UHFFFAOYSA-N -1 1 347.383 -0.781 20 0 EBADMM C[C@@H]1CN(CCCNC(=O)COC2CN(C(=O)[O-])C2)C[C@@H](C)O1 ZINC000826058961 583875706 /nfs/dbraw/zinc/87/57/06/583875706.db2.gz QUMWEAHEEVTCDA-VXGBXAGGSA-N -1 1 329.397 -0.019 20 0 EBADMM CNC(=O)[C@@H]1CCC[C@H]1NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000826061105 583876626 /nfs/dbraw/zinc/87/66/26/583876626.db2.gz ZQANIVACBLMRBY-VXNVDRBHSA-N -1 1 333.356 -0.364 20 0 EBADMM CNC(=O)[C@@H]1CCC[C@H]1NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000826061105 583876628 /nfs/dbraw/zinc/87/66/28/583876628.db2.gz ZQANIVACBLMRBY-VXNVDRBHSA-N -1 1 333.356 -0.364 20 0 EBADMM COC(=O)[C@H](C)CS(=O)(=O)Cc1ccnc(-c2nnn[n-]2)c1 ZINC000826104216 583878266 /nfs/dbraw/zinc/87/82/66/583878266.db2.gz RZZIVKKLNANRSH-MRVPVSSYSA-N -1 1 325.350 -0.014 20 0 EBADMM COC(=O)[C@H](C)CS(=O)(=O)Cc1ccnc(-c2nn[n-]n2)c1 ZINC000826104216 583878267 /nfs/dbraw/zinc/87/82/67/583878267.db2.gz RZZIVKKLNANRSH-MRVPVSSYSA-N -1 1 325.350 -0.014 20 0 EBADMM COC[C@H](CNS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1)OC ZINC000826149836 583883809 /nfs/dbraw/zinc/88/38/09/583883809.db2.gz QDNGXTRPFOUOCM-QMMMGPOBSA-N -1 1 345.356 -0.055 20 0 EBADMM COC[C@H](CNS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1)OC ZINC000826149836 583883811 /nfs/dbraw/zinc/88/38/11/583883811.db2.gz QDNGXTRPFOUOCM-QMMMGPOBSA-N -1 1 345.356 -0.055 20 0 EBADMM CS[C@H](CO)[C@H](C)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000826227298 583888989 /nfs/dbraw/zinc/88/89/89/583888989.db2.gz OXNHLSXNSNPYEL-OIBJUYFYSA-N -1 1 344.422 -0.348 20 0 EBADMM CS[C@H](CO)[C@H](C)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000826227298 583888992 /nfs/dbraw/zinc/88/89/92/583888992.db2.gz OXNHLSXNSNPYEL-OIBJUYFYSA-N -1 1 344.422 -0.348 20 0 EBADMM Cc1ccc(-c2nn[n-]n2)nc1N1CCN(CC(=O)NC2CC2)CC1 ZINC000826280682 583890508 /nfs/dbraw/zinc/89/05/08/583890508.db2.gz LWCXDKSWDPCCCV-UHFFFAOYSA-N -1 1 342.407 -0.029 20 0 EBADMM Cc1cn2c(ncc(C(=O)n3ncc(-c4nn[n-]n4)c3N)c2=O)s1 ZINC000826316853 583891151 /nfs/dbraw/zinc/89/11/51/583891151.db2.gz BGFKXLKHDSYGAJ-UHFFFAOYSA-N -1 1 343.332 -0.288 20 0 EBADMM Cc1onc(CC(=O)N(C)CC(=O)N2CCCC2)c1-c1nnn[n-]1 ZINC000826343072 583892103 /nfs/dbraw/zinc/89/21/03/583892103.db2.gz LFSHLAMEQCLSIS-UHFFFAOYSA-N -1 1 333.352 -0.214 20 0 EBADMM Cc1onc(CC(=O)N(C)CC(=O)N2CCCC2)c1-c1nn[n-]n1 ZINC000826343072 583892105 /nfs/dbraw/zinc/89/21/05/583892105.db2.gz LFSHLAMEQCLSIS-UHFFFAOYSA-N -1 1 333.352 -0.214 20 0 EBADMM Cc1onc(CC(=O)N2CCC[C@H]2C(=O)N(C)C)c1-c1nnn[n-]1 ZINC000826344714 583892587 /nfs/dbraw/zinc/89/25/87/583892587.db2.gz QICVYKFJVUKHRM-JTQLQIEISA-N -1 1 333.352 -0.215 20 0 EBADMM Cc1onc(CC(=O)N2CCC[C@H]2C(=O)N(C)C)c1-c1nn[n-]n1 ZINC000826344714 583892589 /nfs/dbraw/zinc/89/25/89/583892589.db2.gz QICVYKFJVUKHRM-JTQLQIEISA-N -1 1 333.352 -0.215 20 0 EBADMM Cc1onc(CC(=O)NCCN2CCO[C@H](C)C2)c1-c1nn[n-]n1 ZINC000826347405 583892870 /nfs/dbraw/zinc/89/28/70/583892870.db2.gz PYDLNUSDINPCBR-SECBINFHSA-N -1 1 335.368 -0.457 20 0 EBADMM Cc1onc(CC(=O)N[C@@H]2CC(=O)N([C@H]3C[C@@H]3C)C2)c1-c1nnn[n-]1 ZINC000826346420 583892995 /nfs/dbraw/zinc/89/29/95/583892995.db2.gz LVKROLSRDGDIIU-NMLBEHRDSA-N -1 1 345.363 -0.169 20 0 EBADMM Cc1onc(CC(=O)N[C@@H]2CC(=O)N([C@H]3C[C@@H]3C)C2)c1-c1nn[n-]n1 ZINC000826346420 583892998 /nfs/dbraw/zinc/89/29/98/583892998.db2.gz LVKROLSRDGDIIU-NMLBEHRDSA-N -1 1 345.363 -0.169 20 0 EBADMM Cc1onc(CC(=O)NCC(=O)N2CCCCC2)c1-c1nnn[n-]1 ZINC000826346970 583893002 /nfs/dbraw/zinc/89/30/02/583893002.db2.gz ZSZNUJPGVLONMU-UHFFFAOYSA-N -1 1 333.352 -0.166 20 0 EBADMM Cc1onc(CC(=O)NCC(=O)N2CCCCC2)c1-c1nn[n-]n1 ZINC000826346970 583893005 /nfs/dbraw/zinc/89/30/05/583893005.db2.gz ZSZNUJPGVLONMU-UHFFFAOYSA-N -1 1 333.352 -0.166 20 0 EBADMM Cc1onc(CC(=O)N[C@H]2CCC[C@H](C(N)=O)C2)c1-c1nnn[n-]1 ZINC000826346660 583893036 /nfs/dbraw/zinc/89/30/36/583893036.db2.gz SILRUMAIEQVOCH-IUCAKERBSA-N -1 1 333.352 -0.134 20 0 EBADMM Cc1onc(CC(=O)N[C@H]2CCC[C@H](C(N)=O)C2)c1-c1nn[n-]n1 ZINC000826346660 583893038 /nfs/dbraw/zinc/89/30/38/583893038.db2.gz SILRUMAIEQVOCH-IUCAKERBSA-N -1 1 333.352 -0.134 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N(CCO)CC(C)(C)C)n(C)c1=O ZINC000826355785 583893288 /nfs/dbraw/zinc/89/32/88/583893288.db2.gz NEAXFJZDUZHXLT-UHFFFAOYSA-N -1 1 337.384 -0.891 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N2CCc3ccsc3C2)n(C)c1=O ZINC000826355929 583893407 /nfs/dbraw/zinc/89/34/07/583893407.db2.gz SZQGCJUZGQOYCD-UHFFFAOYSA-N -1 1 345.388 -0.112 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N2CCC(CCCO)CC2)n(C)c1=O ZINC000826355476 583893476 /nfs/dbraw/zinc/89/34/76/583893476.db2.gz BMGMZJMCNRMSIH-UHFFFAOYSA-N -1 1 349.395 -0.747 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(=O)n(Cc2nc(C3CCCC3)no2)c1=O ZINC000826357060 583893692 /nfs/dbraw/zinc/89/36/92/583893692.db2.gz PPAPIKJGGDUTHC-UHFFFAOYSA-N -1 1 344.335 -0.184 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(=O)n(Cc2nc3ccccc3o2)c1=O ZINC000826356867 583893741 /nfs/dbraw/zinc/89/37/41/583893741.db2.gz IVEQFJSZWRCBJJ-UHFFFAOYSA-N -1 1 325.288 -0.083 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CCN3C(=O)OCC[C@@H]3C2)n1 ZINC000826356663 583893894 /nfs/dbraw/zinc/89/38/94/583893894.db2.gz AHHQUVVIPZPUQJ-MRVPVSSYSA-N -1 1 347.339 -0.341 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CCN3C(=O)OCC[C@@H]3C2)n1 ZINC000826356663 583893897 /nfs/dbraw/zinc/89/38/97/583893897.db2.gz AHHQUVVIPZPUQJ-MRVPVSSYSA-N -1 1 347.339 -0.341 20 0 EBADMM NC(=O)c1cc([C@@H]2CCCN(c3ccc(-c4nnn[n-]4)nn3)C2)n[nH]1 ZINC000826392571 583897838 /nfs/dbraw/zinc/89/78/38/583897838.db2.gz DHGSTDYDWMVFRC-MRVPVSSYSA-N -1 1 340.351 -0.137 20 0 EBADMM NC(=O)c1cc([C@@H]2CCCN(c3ccc(-c4nn[n-]n4)nn3)C2)n[nH]1 ZINC000826392571 583897840 /nfs/dbraw/zinc/89/78/40/583897840.db2.gz DHGSTDYDWMVFRC-MRVPVSSYSA-N -1 1 340.351 -0.137 20 0 EBADMM NS(=O)(=O)c1ccc(CNc2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000826394798 583898425 /nfs/dbraw/zinc/89/84/25/583898425.db2.gz ZSQIEUKPBDKJJJ-UHFFFAOYSA-N -1 1 332.349 -0.084 20 0 EBADMM NS(=O)(=O)c1ccc(CNc2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000826394798 583898426 /nfs/dbraw/zinc/89/84/26/583898426.db2.gz ZSQIEUKPBDKJJJ-UHFFFAOYSA-N -1 1 332.349 -0.084 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCC[C@@H](OC(F)F)C1 ZINC000826424776 583900870 /nfs/dbraw/zinc/90/08/70/583900870.db2.gz CPSQYVIORKTIKK-SSDOTTSWSA-N -1 1 328.283 -0.312 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N(CCO)CCc1ccccc1 ZINC000826425330 583901055 /nfs/dbraw/zinc/90/10/55/583901055.db2.gz YJHSUWDKISQVAX-UHFFFAOYSA-N -1 1 342.363 -0.478 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCCSCC(F)(F)F ZINC000826425643 583901282 /nfs/dbraw/zinc/90/12/82/583901282.db2.gz MKHCHOKMYZHSKB-UHFFFAOYSA-N -1 1 336.303 -0.130 20 0 EBADMM O=C(NCCCO)C1CCN(c2cccc(-c3nnn[n-]3)n2)CC1 ZINC000826436789 583901849 /nfs/dbraw/zinc/90/18/49/583901849.db2.gz JAEACLBDDHAOKQ-UHFFFAOYSA-N -1 1 331.380 -0.023 20 0 EBADMM O=C(NCCCO)C1CCN(c2cccc(-c3nn[n-]n3)n2)CC1 ZINC000826436789 583901851 /nfs/dbraw/zinc/90/18/51/583901851.db2.gz JAEACLBDDHAOKQ-UHFFFAOYSA-N -1 1 331.380 -0.023 20 0 EBADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCN2C(=O)OCC[C@@H]2C1 ZINC000826472732 583903456 /nfs/dbraw/zinc/90/34/56/583903456.db2.gz VKMIQROHPWPNKP-SNVBAGLBSA-N -1 1 329.320 -0.072 20 0 EBADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCN2C(=O)OCC[C@@H]2C1 ZINC000826472732 583903457 /nfs/dbraw/zinc/90/34/57/583903457.db2.gz VKMIQROHPWPNKP-SNVBAGLBSA-N -1 1 329.320 -0.072 20 0 EBADMM O=C1[C@H](Nc2ccc(-c3nnn[n-]3)nn2)CCN1C1CCOCC1 ZINC000826478281 583903597 /nfs/dbraw/zinc/90/35/97/583903597.db2.gz YFLZHMGNBXYWDX-LLVKDONJSA-N -1 1 330.352 -0.152 20 0 EBADMM O=C1[C@H](Nc2ccc(-c3nn[n-]n3)nn2)CCN1C1CCOCC1 ZINC000826478281 583903598 /nfs/dbraw/zinc/90/35/98/583903598.db2.gz YFLZHMGNBXYWDX-LLVKDONJSA-N -1 1 330.352 -0.152 20 0 EBADMM O=S(=O)(NC[C@H]1COCCO1)c1cccc(F)c1-c1nnn[n-]1 ZINC000826490920 583905647 /nfs/dbraw/zinc/90/56/47/583905647.db2.gz MPUCEHSFUBMDKI-QMMMGPOBSA-N -1 1 343.340 -0.301 20 0 EBADMM O=S(=O)(NC[C@H]1COCCO1)c1cccc(F)c1-c1nn[n-]n1 ZINC000826490920 583905649 /nfs/dbraw/zinc/90/56/49/583905649.db2.gz MPUCEHSFUBMDKI-QMMMGPOBSA-N -1 1 343.340 -0.301 20 0 EBADMM O[C@H](COc1ccc2c(c1)OCO2)Cn1cnc(-c2nn[n-]n2)n1 ZINC000826505303 583906248 /nfs/dbraw/zinc/90/62/48/583906248.db2.gz RORYRALWDQVKDP-QMMMGPOBSA-N -1 1 331.292 -0.373 20 0 EBADMM O=S(=O)(c1cccc(F)c1-c1nnn[n-]1)N1C[C@H](O)C[C@H](O)C1 ZINC000826496145 583906596 /nfs/dbraw/zinc/90/65/96/583906596.db2.gz MOELUEQPJLYAHI-OCAPTIKFSA-N -1 1 343.340 -0.878 20 0 EBADMM O=S(=O)(c1cccc(F)c1-c1nn[n-]n1)N1C[C@H](O)C[C@H](O)C1 ZINC000826496145 583906598 /nfs/dbraw/zinc/90/65/98/583906598.db2.gz MOELUEQPJLYAHI-OCAPTIKFSA-N -1 1 343.340 -0.878 20 0 EBADMM CC[C@@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2C(=O)[O-])CCN1C[C@H](C)O ZINC000826683923 583909627 /nfs/dbraw/zinc/90/96/27/583909627.db2.gz YVWNXPVFFGUTJL-LOWDOPEQSA-N -1 1 329.397 -0.597 20 0 EBADMM CC[C@H]1CN(C(=O)COC2CN(C(=O)[O-])C2)CCN1C[C@H](C)O ZINC000826683947 583909658 /nfs/dbraw/zinc/90/96/58/583909658.db2.gz ZAFNTTAODXCAIX-RYUDHWBXSA-N -1 1 329.397 -0.331 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)CN2CC[C@](F)(C(=O)[O-])C2)C1 ZINC000826886309 583911984 /nfs/dbraw/zinc/91/19/84/583911984.db2.gz UIFKEUGJRLNVPS-CZUORRHYSA-N -1 1 345.415 -0.042 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)CN2CCCS2(=O)=O)CC1 ZINC000827362669 583919802 /nfs/dbraw/zinc/91/98/02/583919802.db2.gz RKZAKBBSDYOUEQ-NSHDSACASA-N -1 1 333.410 -0.971 20 0 EBADMM C[C@@H](CNS(=O)(=O)c1c[nH]c(C(=O)[O-])c1)N1CCN(C)CC1 ZINC000827503140 583922387 /nfs/dbraw/zinc/92/23/87/583922387.db2.gz SLMJVBCCUSNNAT-JTQLQIEISA-N -1 1 330.410 -0.373 20 0 EBADMM COC(=O)[C@@H](F)C[N-]S(=O)(=O)C[C@H](OC)C1CCOCC1 ZINC000827684406 583925801 /nfs/dbraw/zinc/92/58/01/583925801.db2.gz UKHYXFKZPJCMTI-QWRGUYRKSA-N -1 1 327.374 -0.142 20 0 EBADMM C[C@@H]1CN(CCCNC(=O)Cn2cc(C(=O)[O-])nn2)C[C@H](C)O1 ZINC000828448115 583939413 /nfs/dbraw/zinc/93/94/13/583939413.db2.gz BEHFSMORYDXXID-PHIMTYICSA-N -1 1 325.369 -0.408 20 0 EBADMM CC[C@@H](C)[C@H](NC(=O)CN1CCN(C[C@@H](O)CC)CC1)C(=O)[O-] ZINC000829004297 583949907 /nfs/dbraw/zinc/94/99/07/583949907.db2.gz DYURLWQHTDMRBM-IPYPFGDCSA-N -1 1 329.441 -0.010 20 0 EBADMM Cc1c(C(=O)NCCc2nc[nH]n2)nnn1C1CCN(C(=O)[O-])CC1 ZINC000829855716 583959395 /nfs/dbraw/zinc/95/93/95/583959395.db2.gz ZMPGJBMGXKUDGD-UHFFFAOYSA-N -1 1 348.367 -0.008 20 0 EBADMM Cc1nnc([C@@H]2CN(C(=O)[C@@H]3C[C@@H](O)CN3C(=O)[O-])CCO2)[nH]1 ZINC000830677044 583969522 /nfs/dbraw/zinc/96/95/22/583969522.db2.gz CGQLMZMSGKNNAV-UTLUCORTSA-N -1 1 325.325 -0.874 20 0 EBADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)CSCC(N)=O)C1 ZINC000830823031 583971076 /nfs/dbraw/zinc/97/10/76/583971076.db2.gz SRGVHBVXADOJNJ-JTQLQIEISA-N -1 1 327.328 -0.126 20 0 EBADMM CN1CCN(C(C)(C)CNC(=O)N2CCO[C@@H](CC(=O)[O-])C2)CC1 ZINC000830949898 583972657 /nfs/dbraw/zinc/97/26/57/583972657.db2.gz HQXIPXYUWAUBHY-ZDUSSCGKSA-N -1 1 342.440 -0.103 20 0 EBADMM CN1CCO[C@H]2CN(c3ccc(S(N)(=O)=O)cc3C(=O)[O-])C[C@@H]21 ZINC000830964230 583973036 /nfs/dbraw/zinc/97/30/36/583973036.db2.gz IJADRROVICBOIO-STQMWFEESA-N -1 1 341.389 -0.449 20 0 EBADMM CN1CCO[C@H]2CN(c3ccc(S(N)(=O)=O)cc3C(=O)[O-])C[C@H]21 ZINC000830964227 583973046 /nfs/dbraw/zinc/97/30/46/583973046.db2.gz IJADRROVICBOIO-OLZOCXBDSA-N -1 1 341.389 -0.449 20 0 EBADMM COC[C@@]1(C)NC(=O)N(CN(CCC(=O)[O-])C2CCOCC2)C1=O ZINC000831660888 583989255 /nfs/dbraw/zinc/98/92/55/583989255.db2.gz SMIDQOWNVMSHRT-OAHLLOKOSA-N -1 1 343.380 -0.144 20 0 EBADMM Cc1ccc(NC(=O)C(=O)NC[C@H]2CN(C)CCN2C)cc1C(=O)[O-] ZINC000832941806 584002624 /nfs/dbraw/zinc/00/26/24/584002624.db2.gz ZKUQQPGXNKBLQY-ZDUSSCGKSA-N -1 1 348.403 -0.006 20 0 EBADMM Cn1cc(S(=O)(=O)NCCN2CCC3(C[C@@H]3C(=O)[O-])CC2)cn1 ZINC000833053545 584003741 /nfs/dbraw/zinc/00/37/41/584003741.db2.gz WALMPNNOFDXKFK-GFCCVEGCSA-N -1 1 342.421 -0.115 20 0 EBADMM O=C([O-])c1cc(C(=O)N[C@@H]2CCN(CCN3CCOCC3)C2)ccn1 ZINC000833129419 584006384 /nfs/dbraw/zinc/00/63/84/584006384.db2.gz BUQWSGRWLGYGIT-CQSZACIVSA-N -1 1 348.403 -0.084 20 0 EBADMM C[C@H](C(=O)N1CCC[C@H](C(N)=O)C1)N1CCC([C@H](O)C(=O)[O-])CC1 ZINC000833405257 584010154 /nfs/dbraw/zinc/01/01/54/584010154.db2.gz YTLZTJCTUIIYNP-WXHSDQCUSA-N -1 1 341.408 -0.744 20 0 EBADMM CC(C)(C)[C@@H](NS(C)(=O)=O)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000833427922 584010413 /nfs/dbraw/zinc/01/04/13/584010413.db2.gz YDBCTMWNQSWIDZ-UWVGGRQHSA-N -1 1 346.409 -0.515 20 0 EBADMM CC(C)C(=O)NCCNC(=O)N1CCN(CCC(=O)[O-])C[C@H]1C ZINC000833466950 584010684 /nfs/dbraw/zinc/01/06/84/584010684.db2.gz IMLSGSNJJYTGLZ-GFCCVEGCSA-N -1 1 328.413 -0.051 20 0 EBADMM CC(C)[C@H](NC(=O)[O-])C(=O)N1CCC(O)(CN2CCOCC2)CC1 ZINC000833468929 584010686 /nfs/dbraw/zinc/01/06/86/584010686.db2.gz RYCSDCWIPIETST-ZDUSSCGKSA-N -1 1 343.424 -0.036 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@H]1CCCN1S(C)(=O)=O ZINC000833619542 584012286 /nfs/dbraw/zinc/01/22/86/584012286.db2.gz IYHAQOAJCQGEDU-VXGBXAGGSA-N -1 1 347.437 -0.582 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NC[C@@H]1CC[C@H](C(N)=O)O1 ZINC000833621995 584012600 /nfs/dbraw/zinc/01/26/00/584012600.db2.gz DCUSHCXACCBFOW-GRYCIOLGSA-N -1 1 342.396 -0.790 20 0 EBADMM CN(C)c1cc2c(nn1)CCN(CCc1cn(CC(=O)[O-])nn1)C2 ZINC000833691759 584014060 /nfs/dbraw/zinc/01/40/60/584014060.db2.gz VLNHYNNSTBITIZ-UHFFFAOYSA-N -1 1 331.380 -0.181 20 0 EBADMM CS[C@H]1CN(S(=O)(=O)c2cnn(CC(=O)[O-])c2)C[C@H]1N(C)C ZINC000833737401 584015761 /nfs/dbraw/zinc/01/57/61/584015761.db2.gz ARRIGTAVGFSFDM-MNOVXSKESA-N -1 1 348.450 -0.366 20 0 EBADMM NC(=O)C[C@@H](NC(=O)[O-])C(=O)NCCc1nc2ccc(F)cc2[nH]1 ZINC000833984016 584022436 /nfs/dbraw/zinc/02/24/36/584022436.db2.gz ZNBDOLRLLXDETK-SNVBAGLBSA-N -1 1 337.311 -0.128 20 0 EBADMM O=C([O-])N1CC(OCCC(=O)N2CCN([C@@H]3CCC[C@@H]3O)CC2)C1 ZINC000834075580 584026114 /nfs/dbraw/zinc/02/61/14/584026114.db2.gz ZYPDGOQGLDQJPP-KGLIPLIRSA-N -1 1 341.408 -0.187 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCCO[C@H]1CCCCO1 ZINC000834830922 584039441 /nfs/dbraw/zinc/03/94/41/584039441.db2.gz HMFOAPKMWSXALW-ZDUSSCGKSA-N -1 1 325.365 -0.024 20 0 EBADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@H]2CCNC(=O)CC2)CCC1 ZINC000843014095 584069664 /nfs/dbraw/zinc/06/96/64/584069664.db2.gz KOPFLLFQRCLICD-LLVKDONJSA-N -1 1 331.438 -0.167 20 0 EBADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)C[C@H]2CCC(=O)NC2)CCC1 ZINC000843016085 584069773 /nfs/dbraw/zinc/06/97/73/584069773.db2.gz ZTQCDNXYLCONCJ-LLVKDONJSA-N -1 1 331.438 -0.167 20 0 EBADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)c2cccn(C)c2=O)CCC1 ZINC000843017257 584069787 /nfs/dbraw/zinc/06/97/87/584069787.db2.gz ATWLRBAVSRSWDQ-UHFFFAOYSA-N -1 1 327.406 -0.071 20 0 EBADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)C(=O)N(C)CCO ZINC000848630053 584159774 /nfs/dbraw/zinc/15/97/74/584159774.db2.gz MGFQERJUCPCXLR-RKDXNWHRSA-N -1 1 339.314 -0.505 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N[C@@H]3C[C@@H](O)C34CCC4)ccnc1-2 ZINC000850669841 584185362 /nfs/dbraw/zinc/18/53/62/584185362.db2.gz ZABCUUSXEWIKER-VXGBXAGGSA-N -1 1 329.360 -0.300 20 0 EBADMM O=C([O-])[C@@H]1[C@H](NC(=O)C(F)(F)F)CCCN1C(=O)c1cn[nH]n1 ZINC000851701157 584200863 /nfs/dbraw/zinc/20/08/63/584200863.db2.gz FSIDCXYRSXNTKU-VDTYLAMSSA-N -1 1 335.242 -0.459 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(c2ncns2)CC1 ZINC000854817108 584250811 /nfs/dbraw/zinc/25/08/11/584250811.db2.gz QOEGJNSIUIPAAX-UHFFFAOYSA-N -1 1 336.377 -0.873 20 0 EBADMM CN(C)C(=O)N(C)[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000856089013 584266457 /nfs/dbraw/zinc/26/64/57/584266457.db2.gz NJQFETMDKUXHCW-LLVKDONJSA-N -1 1 337.380 -0.859 20 0 EBADMM CC(C)(CNC(=O)CCn1cc[n-]c(=O)c1=O)[C@@]1(O)CCCOC1 ZINC000856148595 584267187 /nfs/dbraw/zinc/26/71/87/584267187.db2.gz XSMKYJPUEOMMPJ-MRXNPFEDSA-N -1 1 339.392 -0.389 20 0 EBADMM COCCn1cc(N2C[C@H](C(=O)[N-]OC(C)(C)CO)CC2=O)cn1 ZINC000857283655 584276602 /nfs/dbraw/zinc/27/66/02/584276602.db2.gz KYCRTQJJUVSWGN-LLVKDONJSA-N -1 1 340.380 -0.299 20 0 EBADMM CN1CC(=O)Nc2cc(C(=O)N3CCO[C@H](c4nn[n-]n4)C3)cnc21 ZINC000859278185 584298889 /nfs/dbraw/zinc/29/88/89/584298889.db2.gz MGPWEZFDXFKDBI-JTQLQIEISA-N -1 1 344.335 -0.803 20 0 EBADMM O=C(N1CCO[C@@H](c2nn[n-]n2)C1)[C@]1(C2CCCC2)CCNC1=O ZINC000859278226 584298922 /nfs/dbraw/zinc/29/89/22/584298922.db2.gz NABOWVJLTDBOKP-ABAIWWIYSA-N -1 1 334.380 -0.204 20 0 EBADMM C[C@H](C(=O)Nc1nnn[n-]1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC000859447184 584303260 /nfs/dbraw/zinc/30/32/60/584303260.db2.gz PXSLPOINOSXVOD-MRVPVSSYSA-N -1 1 339.356 -0.394 20 0 EBADMM C[C@H](C(=O)Nc1nn[n-]n1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC000859447184 584303263 /nfs/dbraw/zinc/30/32/63/584303263.db2.gz PXSLPOINOSXVOD-MRVPVSSYSA-N -1 1 339.356 -0.394 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)CN2CCO[C@@H](CC(N)=O)C2)cc1 ZINC000860016128 584311420 /nfs/dbraw/zinc/31/14/20/584311420.db2.gz HHYIOILYFZGFEC-ZDUSSCGKSA-N -1 1 335.360 -0.472 20 0 EBADMM O=C([N-]CC(F)(F)CO)C(F)(F)C(=O)NCC(F)(F)CO ZINC000865977742 584397618 /nfs/dbraw/zinc/39/76/18/584397618.db2.gz SYFJGAXMKDOUMU-UHFFFAOYSA-N -1 1 326.193 -0.891 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC[C@H]2CCSC2)c(=O)n(C)c1=O ZINC000867576552 584411592 /nfs/dbraw/zinc/41/15/92/584411592.db2.gz MOOLNSGUSKLLOQ-VIFPVBQESA-N -1 1 333.435 -0.495 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC[C@@H]2CCSC2)c(=O)n(C)c1=O ZINC000867576550 584411614 /nfs/dbraw/zinc/41/16/14/584411614.db2.gz MOOLNSGUSKLLOQ-SECBINFHSA-N -1 1 333.435 -0.495 20 0 EBADMM CN1CCN(CC[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C)CC1 ZINC000872475609 584462081 /nfs/dbraw/zinc/46/20/81/584462081.db2.gz OJMGEABWNYDAPJ-HXUWFJFHSA-N -1 1 340.515 -0.036 20 0 EBADMM O=C([N-]CC1CN(C(=O)NCC[C@@]2(O)CCOC2)C1)C(F)(F)F ZINC000875695337 584504522 /nfs/dbraw/zinc/50/45/22/584504522.db2.gz KCYFIVYLGMKSEG-GFCCVEGCSA-N -1 1 339.314 -0.152 20 0 EBADMM CN(C)[S@@](C)(=O)=NS(=O)(=O)[N-]CC(=O)N1CCCCC1 ZINC000881789298 584576701 /nfs/dbraw/zinc/57/67/01/584576701.db2.gz UCVCKBDAPYBMFN-IBGZPJMESA-N -1 1 326.444 -0.592 20 0 EBADMM CO[C@H](C[N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C)[C@@H]1CCOC1 ZINC000882386346 584588604 /nfs/dbraw/zinc/58/86/04/584588604.db2.gz XUGGRXHSOLUZBZ-QVMDTKBZSA-N -1 1 329.444 -0.553 20 0 EBADMM Cn1cc(N2CC[C@H]([N-]S(=O)(=O)c3ccns3)C2=O)cn1 ZINC000885018389 584642736 /nfs/dbraw/zinc/64/27/36/584642736.db2.gz NVAMRHVBUMMBIJ-VIFPVBQESA-N -1 1 327.391 -0.040 20 0 EBADMM O=C1NCC2(CCOCC2)[C@@H]1[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000885605350 584650728 /nfs/dbraw/zinc/65/07/28/584650728.db2.gz MQGBAMZGFXYIBM-SNVBAGLBSA-N -1 1 347.343 -0.067 20 0 EBADMM O=C(c1c(F)ccc([O-])c1F)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC000886182659 584659038 /nfs/dbraw/zinc/65/90/38/584659038.db2.gz LUWLWKJKXKEYIZ-ZETCQYMHSA-N -1 1 333.316 -0.355 20 0 EBADMM CN(C)CCN(CC(=O)[O-])S(=O)(=O)c1cccc2c1COC2=O ZINC000739606430 596908330 /nfs/dbraw/zinc/90/83/30/596908330.db2.gz SKWOOWFDPHDTNG-UHFFFAOYSA-N -1 1 342.373 -0.006 20 0 EBADMM O=C([O-])C1CCN(S(=O)(=O)NC[C@H]2CN3CCC[C@@H]3CO2)CC1 ZINC000320757243 599785542 /nfs/dbraw/zinc/78/55/42/599785542.db2.gz JAFMLEYXWANGNB-OLZOCXBDSA-N -1 1 347.437 -0.519 20 0 EBADMM Cn1ncc2c1ncnc2N1CCN(CCC(=O)NCC(=O)[O-])CC1 ZINC000739062285 600287201 /nfs/dbraw/zinc/28/72/01/600287201.db2.gz AJPLTHAWOXAHGS-UHFFFAOYSA-N -1 1 347.379 -0.924 20 0 EBADMM NC(=O)COc1ccc(CNC(=O)CN2CCC[C@H](C(=O)[O-])C2)cc1 ZINC000739162996 601031487 /nfs/dbraw/zinc/03/14/87/601031487.db2.gz ISDYIYMIAUBAAN-ZDUSSCGKSA-N -1 1 349.387 -0.037 20 0 EBADMM CC(C)N1CCO[C@H](CN2CCN(C(=O)[C@@H](C)NC(=O)[O-])CC2)C1 ZINC000738855446 602420023 /nfs/dbraw/zinc/42/00/23/602420023.db2.gz VZOAHTKEFHCXEY-ZIAGYGMSSA-N -1 1 342.440 -0.104 20 0 EBADMM O=C([O-])N1CCOC[C@H]1C(=O)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000740348941 602893499 /nfs/dbraw/zinc/89/34/99/602893499.db2.gz NCXQXXVOSMYGBF-FRRDWIJNSA-N -1 1 327.381 -0.577 20 0 EBADMM COCCN(CC(=O)N1CC[C@@H](CN2CCOCC2)C1)C(=O)[O-] ZINC000739816347 602970524 /nfs/dbraw/zinc/97/05/24/602970524.db2.gz LYWAJPOOWZHQHP-ZDUSSCGKSA-N -1 1 329.397 -0.207 20 0 EBADMM O=C([O-])N1C[C@@H](O)C[C@H]1C(=O)N1CCC(CN2CCOCC2)CC1 ZINC000740341501 603071142 /nfs/dbraw/zinc/07/11/42/603071142.db2.gz ORUCJDAVQLPZPC-KBPBESRZSA-N -1 1 341.408 -0.330 20 0 EBADMM CN1CCN(C[C@@H]2CN(C(=O)[C@@H]3CCN(C(=O)[O-])C3)CCO2)CC1 ZINC000739738351 603303486 /nfs/dbraw/zinc/30/34/86/603303486.db2.gz WFURZQDYAYQRRA-ZIAGYGMSSA-N -1 1 340.424 -0.539 20 0 EBADMM O=C(CS(=O)(=O)CCCc1ccccc1)NN1CC(=O)[N-]C1=O ZINC000032625799 649939862 /nfs/dbraw/zinc/93/98/62/649939862.db2.gz PFQMZKXTPXRMEP-UHFFFAOYSA-N -1 1 339.373 -0.383 20 0 EBADMM CCCNC(=O)NC(=O)COC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000042264040 649941408 /nfs/dbraw/zinc/94/14/08/649941408.db2.gz PIJQNLPBLILHDJ-UHFFFAOYSA-N -1 1 347.349 -0.420 20 0 EBADMM COC(=O)[C@@H]1CN([C@@H](C)CCN2CCO[C@@H](C(=O)[O-])C2)CCO1 ZINC000831115374 604436096 /nfs/dbraw/zinc/43/60/96/604436096.db2.gz ZEWLBECNXZQZFF-XQQFMLRXSA-N -1 1 330.381 -0.576 20 0 EBADMM O=C(COC(=O)[C@H]1CCS(=O)(=O)C1)[N-]C(=O)c1ccccc1 ZINC000052491392 649954923 /nfs/dbraw/zinc/95/49/23/649954923.db2.gz OQYIBTMOXJZXJJ-NSHDSACASA-N -1 1 325.342 -0.079 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]c2ccc(Cl)nc2)c(=O)n(C)c1=O ZINC000182708637 649981299 /nfs/dbraw/zinc/98/12/99/649981299.db2.gz BPBGWRZNDPKLLR-UHFFFAOYSA-N -1 1 330.753 -0.067 20 0 EBADMM CNC(=O)[C@@H](C)[N-]S(=O)(=O)c1nc(C)n(C)c1Br ZINC000311221535 649993717 /nfs/dbraw/zinc/99/37/17/649993717.db2.gz VFTNGGUAUTWAGF-RXMQYKEDSA-N -1 1 339.215 -0.096 20 0 EBADMM CN1C(=O)C[C@@H]([N-]S(=O)(=O)c2cccc(F)c2[N+](=O)[O-])C1=O ZINC000317429690 649994827 /nfs/dbraw/zinc/99/48/27/649994827.db2.gz QBAVWUJKVISAKM-SSDOTTSWSA-N -1 1 331.281 -0.231 20 0 EBADMM NC(=O)Cn1cnc(-c2noc(-c3cc4c(cc3[O-])OCO4)n2)n1 ZINC000350564355 650005095 /nfs/dbraw/zinc/00/50/95/650005095.db2.gz FLXWNUJGNKHUKD-UHFFFAOYSA-N -1 1 330.260 -0.085 20 0 EBADMM COCCN(CCC(=O)[O-])C(=O)C(=O)N[C@H]1C[C@@H](C)N(C2CC2)C1 ZINC000910490087 650110577 /nfs/dbraw/zinc/11/05/77/650110577.db2.gz PZNDQSAUHCTGNY-NEPJUHHUSA-N -1 1 341.408 -0.323 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1cccc(C(N)=O)c1 ZINC000912531291 650207031 /nfs/dbraw/zinc/20/70/31/650207031.db2.gz UOJNFNIBWCGEBB-UHFFFAOYSA-N -1 1 338.345 -0.226 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC1(S(C)(=O)=O)CC1 ZINC000912551100 650208126 /nfs/dbraw/zinc/20/81/26/650208126.db2.gz VSUNEGHMHDGECW-UHFFFAOYSA-N -1 1 337.379 -0.948 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](CO)C[C@@H](O)C(C)(C)C ZINC000912548984 650208196 /nfs/dbraw/zinc/20/81/96/650208196.db2.gz BBVWHYDDQGHFHY-WCBMZHEXSA-N -1 1 349.409 -0.367 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)C1N=NC(=O)N1C ZINC000912557255 650209001 /nfs/dbraw/zinc/20/90/01/650209001.db2.gz VZAAPFPKPLXTLM-ZCFIWIBFSA-N -1 1 344.353 -0.540 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)[C@H]2CN(C)CCN2C)o1 ZINC000920010617 650308298 /nfs/dbraw/zinc/30/82/98/650308298.db2.gz PXWMZPWHTMVCOI-GHMZBOCLSA-N -1 1 345.421 -0.021 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC[C@@H]2CC[C@@H]3C[C@@H]32)c(=O)n(C)c1=O ZINC000920885930 650315270 /nfs/dbraw/zinc/31/52/70/650315270.db2.gz XQIYRZARYOSYIA-HBNTYKKESA-N -1 1 327.406 -0.202 20 0 EBADMM CO[N-]C(=O)CNC(=O)N1CCC[C@@H](NC(=O)C(F)(F)F)[C@H]1C ZINC000927218261 651500456 /nfs/dbraw/zinc/50/04/56/651500456.db2.gz PZTNNNQTEBNWIN-HTQZYQBOSA-N -1 1 340.302 -0.095 20 0 EBADMM C[S@](=O)CCNC(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000930024368 651578815 /nfs/dbraw/zinc/57/88/15/651578815.db2.gz SWWHWOKWHSTMIF-QFIPXVFZSA-N -1 1 334.344 -0.176 20 0 EBADMM CN(C(=O)Cn1cnnn1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937211035 651679800 /nfs/dbraw/zinc/67/98/00/651679800.db2.gz HMPWRNHUWYRCLK-JTQLQIEISA-N -1 1 331.336 -0.853 20 0 EBADMM CC(=O)N1CC(C(=O)N2CC[C@H](N(C)C(=O)c3ncccc3[O-])C2)C1 ZINC000937246321 651696092 /nfs/dbraw/zinc/69/60/92/651696092.db2.gz CADALQPIZGSPFQ-ZDUSSCGKSA-N -1 1 346.387 -0.062 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H](NC(=O)C(F)F)C1 ZINC000937582527 651851544 /nfs/dbraw/zinc/85/15/44/651851544.db2.gz MKXLWSBQXZAINR-YFKPBYRVSA-N -1 1 332.263 -0.508 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CCCC1 ZINC000937592658 651852421 /nfs/dbraw/zinc/85/24/21/651852421.db2.gz DXSXHKRCNWLLAV-NSHDSACASA-N -1 1 344.375 -0.062 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)c1C ZINC000937761427 651911145 /nfs/dbraw/zinc/91/11/45/651911145.db2.gz PDMIMGFRXFLAHC-QMMMGPOBSA-N -1 1 347.335 -0.733 20 0 EBADMM CCn1cc(CC(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)nn1 ZINC000937860731 651956960 /nfs/dbraw/zinc/95/69/60/651956960.db2.gz NLSHSDJSRNRSNE-NSHDSACASA-N -1 1 344.375 -0.028 20 0 EBADMM CCN1CCO[C@@H](C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000937866581 651960532 /nfs/dbraw/zinc/96/05/32/651960532.db2.gz KGDAPUDQDSSLTC-GXTWGEPZSA-N -1 1 348.403 -0.161 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@H]2COCCO2)C1 ZINC000936711606 652041875 /nfs/dbraw/zinc/04/18/75/652041875.db2.gz KSACQWYLAIRXKZ-DGCLKSJQSA-N -1 1 335.360 -0.125 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCN(C(=O)c2ccc[nH]2)C1 ZINC000938311273 652188949 /nfs/dbraw/zinc/18/89/49/652188949.db2.gz JQIMBNRHSRVWNY-QMMMGPOBSA-N -1 1 347.331 -0.131 20 0 EBADMM Cn1cc(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)ncc1=O ZINC000938420281 652249949 /nfs/dbraw/zinc/24/99/49/652249949.db2.gz MFMHOQYEGWOJJY-JTQLQIEISA-N -1 1 343.343 -0.475 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000938453952 652267276 /nfs/dbraw/zinc/26/72/76/652267276.db2.gz SSKJVNNUPLPPCZ-SRVKXCTJSA-N -1 1 334.376 -0.700 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N(C)[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000938872329 652751070 /nfs/dbraw/zinc/75/10/70/652751070.db2.gz VWOYXMSKCYHZDD-AVGNSLFASA-N -1 1 348.403 -0.358 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N(C)[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000938901441 652765432 /nfs/dbraw/zinc/76/54/32/652765432.db2.gz JLLIWTBUMOAGPZ-DCAQKATOSA-N -1 1 344.375 -0.254 20 0 EBADMM CN(C(=O)C1CCC1)[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000938902053 652766762 /nfs/dbraw/zinc/76/67/62/652766762.db2.gz WONXVWUBGYJEQO-NSHDSACASA-N -1 1 344.375 -0.109 20 0 EBADMM O=C(C[C@@H]1CCC(=O)N1)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000941500867 652773379 /nfs/dbraw/zinc/77/33/79/652773379.db2.gz VQKVUFMTARTKAI-WDEREUQCSA-N -1 1 332.360 -0.213 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1)[C@H]1CCCO1 ZINC000944467075 652778964 /nfs/dbraw/zinc/77/89/64/652778964.db2.gz YZEVTPPTZROCSD-DGCLKSJQSA-N -1 1 335.360 -0.077 20 0 EBADMM Cc1cc(C(=O)N(C)[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC000938943129 652781234 /nfs/dbraw/zinc/78/12/34/652781234.db2.gz SQQOMJYTJDADED-QMMMGPOBSA-N -1 1 347.335 -0.699 20 0 EBADMM Cc1ncc(C(=O)N2CCO[C@H](CNC(=O)c3[nH]nc(C)c3[O-])C2)[nH]1 ZINC000944551928 652852288 /nfs/dbraw/zinc/85/22/88/652852288.db2.gz YZQFFBXTQBHVSW-SNVBAGLBSA-N -1 1 348.363 -0.274 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)[C@@H]2CCOC2)CCO1)c1ncccc1[O-] ZINC000944561300 652860603 /nfs/dbraw/zinc/86/06/03/652860603.db2.gz QKLFHSGGIWKTQU-VXGBXAGGSA-N -1 1 335.360 -0.219 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000941663740 652865782 /nfs/dbraw/zinc/86/57/82/652865782.db2.gz BEQSHBGWYZCGQW-AEJSXWLSSA-N -1 1 330.348 -0.596 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCN(C(=O)c2cnco2)C1 ZINC000941663503 652866093 /nfs/dbraw/zinc/86/60/93/652866093.db2.gz SIWXVOOZYNMUQV-SSDOTTSWSA-N -1 1 349.303 -0.471 20 0 EBADMM Cn1cc(C(=O)NC[C@H]2CN(C(=O)c3ncccc3[O-])CCO2)nn1 ZINC000944577838 652876175 /nfs/dbraw/zinc/87/61/75/652876175.db2.gz GJBBTGBRPBNDRX-JTQLQIEISA-N -1 1 346.347 -0.813 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1)c1ncc[nH]1 ZINC000944582049 652882400 /nfs/dbraw/zinc/88/24/00/652882400.db2.gz GATRUUDOOLJEAH-JTQLQIEISA-N -1 1 331.332 -0.219 20 0 EBADMM CCn1nc(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)ccc1=O ZINC000939331389 652896024 /nfs/dbraw/zinc/89/60/24/652896024.db2.gz ZKRJHUBHDAWVQW-MWLCHTKSSA-N -1 1 347.379 -0.663 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)C1=COCCO1 ZINC000941765978 652904348 /nfs/dbraw/zinc/90/43/48/652904348.db2.gz WFLWFPFMJKQLKB-WDEREUQCSA-N -1 1 337.380 -0.677 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N(C)[C@H]1CCN(C(=O)C(N)=O)C1 ZINC000939390118 652923353 /nfs/dbraw/zinc/92/33/53/652923353.db2.gz QOPOXJJBRGEADH-JTQLQIEISA-N -1 1 349.391 -0.228 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)[nH]n1 ZINC000941858609 652931774 /nfs/dbraw/zinc/93/17/74/652931774.db2.gz RJIFUEGCQBXZMH-MWLCHTKSSA-N -1 1 333.396 -0.220 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)[nH]n1 ZINC000941858607 652932518 /nfs/dbraw/zinc/93/25/18/652932518.db2.gz RJIFUEGCQBXZMH-GXSJLCMTSA-N -1 1 333.396 -0.220 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)nn1C ZINC000941869128 652936389 /nfs/dbraw/zinc/93/63/89/652936389.db2.gz GFSSYARECJISAP-PWSUYJOCSA-N -1 1 347.423 -0.209 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1ccnn1C ZINC000941876370 652939225 /nfs/dbraw/zinc/93/92/25/652939225.db2.gz GJCUGCUVPPSXER-WDEREUQCSA-N -1 1 333.396 -0.518 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1cnn(C)c1N ZINC000941981596 653011858 /nfs/dbraw/zinc/01/18/58/653011858.db2.gz UTLNCGNEZMCALO-KOLCDFICSA-N -1 1 348.411 -0.936 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1cnn(C)c1N ZINC000941981598 653011908 /nfs/dbraw/zinc/01/19/08/653011908.db2.gz UTLNCGNEZMCALO-ONGXEEELSA-N -1 1 348.411 -0.936 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)cnn1 ZINC000942001016 653016937 /nfs/dbraw/zinc/01/69/37/653016937.db2.gz POBLLWGNQGKWLC-GWCFXTLKSA-N -1 1 345.407 -0.153 20 0 EBADMM CCn1ccnc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1C ZINC000942010140 653019460 /nfs/dbraw/zinc/01/94/60/653019460.db2.gz UZPQRJZTPCMWSI-NWDGAFQWSA-N -1 1 347.423 -0.035 20 0 EBADMM CCn1cc(CC(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)nn1 ZINC000942090384 653034293 /nfs/dbraw/zinc/03/42/93/653034293.db2.gz RPXMBIAEWJMHKK-LLVKDONJSA-N -1 1 344.375 -0.028 20 0 EBADMM C[C@@H]1CN(C(=O)CCN2CCCC2=O)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939776886 653044019 /nfs/dbraw/zinc/04/40/19/653044019.db2.gz OVBGSUGYNYSCDH-GHMZBOCLSA-N -1 1 336.396 -0.541 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1cn[nH]c(=O)c1 ZINC000942157040 653047901 /nfs/dbraw/zinc/04/79/01/653047901.db2.gz OUARHACHHQTLRY-GXSJLCMTSA-N -1 1 347.379 -0.756 20 0 EBADMM O=C(C=C1CCC1)N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000939973972 653071063 /nfs/dbraw/zinc/07/10/63/653071063.db2.gz ODWUGOYGQGLAAR-LLVKDONJSA-N -1 1 342.359 -0.141 20 0 EBADMM NC(=O)c1c[nH]c(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)c1 ZINC000942284648 653074023 /nfs/dbraw/zinc/07/40/23/653074023.db2.gz HTSHRSMQWGTQFQ-SNVBAGLBSA-N -1 1 343.343 -0.141 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1cncn1C ZINC000942304472 653078570 /nfs/dbraw/zinc/07/85/70/653078570.db2.gz PUITTXVLWBRJLX-MNOVXSKESA-N -1 1 333.396 -0.518 20 0 EBADMM O=C(CCn1cncn1)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940126047 653084560 /nfs/dbraw/zinc/08/45/60/653084560.db2.gz RKZDRIDYDVVZNW-LLVKDONJSA-N -1 1 330.348 -0.200 20 0 EBADMM CS(=O)(=O)CC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940126297 653084670 /nfs/dbraw/zinc/08/46/70/653084670.db2.gz ZIFRESBRGWPSRT-SECBINFHSA-N -1 1 327.362 -0.838 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)[C@@H]2CCCNC2=O)C1)c1ncccc1[O-] ZINC000940139155 653085413 /nfs/dbraw/zinc/08/54/13/653085413.db2.gz SSYXEKDCRZVDPT-GHMZBOCLSA-N -1 1 332.360 -0.356 20 0 EBADMM Cn1nc(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)ccc1=O ZINC000942457593 653109967 /nfs/dbraw/zinc/10/99/67/653109967.db2.gz RCNPDKPKUJBJKX-SNVBAGLBSA-N -1 1 343.343 -0.475 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)Cc1ncc[nH]1 ZINC000946435417 653116167 /nfs/dbraw/zinc/11/61/67/653116167.db2.gz KUTWYVMYYDZBAV-ZYHUDNBSSA-N -1 1 345.359 -0.291 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1n[nH]cc1F ZINC000942600620 653159943 /nfs/dbraw/zinc/15/99/43/653159943.db2.gz RYDXVDPQKLTBKY-WCBMZHEXSA-N -1 1 337.359 -0.389 20 0 EBADMM CCN(C(=O)[C@@H]1CCNC1=O)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000942902155 653254532 /nfs/dbraw/zinc/25/45/32/653254532.db2.gz PWZMKEBDQNJRDR-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1cnc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)c1 ZINC000940674925 653258980 /nfs/dbraw/zinc/25/89/80/653258980.db2.gz AQITUVJGEXNTBC-LBPRGKRZSA-N -1 1 347.423 -0.128 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1cnn(C)n1 ZINC000943095754 653289892 /nfs/dbraw/zinc/28/98/92/653289892.db2.gz ZYGLFYSFFYNGDA-ZYHUDNBSSA-N -1 1 346.347 -0.815 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CN(C(=O)C(F)F)C[C@H]1C ZINC000947018995 653291594 /nfs/dbraw/zinc/29/15/94/653291594.db2.gz DWPKIVJLIISSCR-PHDIDXHHSA-N -1 1 346.290 -0.262 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2cc[n+]([O-])cc2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947020931 653292198 /nfs/dbraw/zinc/29/21/98/653292198.db2.gz HZZLAXIWAZASII-YPMHNXCESA-N -1 1 346.391 -0.475 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H](C)C2)[nH]n1 ZINC000947104019 653314742 /nfs/dbraw/zinc/31/47/42/653314742.db2.gz OKGULPMKRNAETK-WDEREUQCSA-N -1 1 333.396 -0.077 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H](C)C2)nn1C ZINC000947108871 653315608 /nfs/dbraw/zinc/31/56/08/653315608.db2.gz MEGAAPPMTAWHFA-RYUDHWBXSA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2ccnn2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947127367 653320819 /nfs/dbraw/zinc/32/08/19/653320819.db2.gz YBMUOGFAPCXTGA-MNOVXSKESA-N -1 1 333.396 -0.375 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2cccc(=O)[nH]2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947165336 653329409 /nfs/dbraw/zinc/32/94/09/653329409.db2.gz FGCWIMLNOLBVIY-WDEREUQCSA-N -1 1 346.391 -0.008 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2cc[nH]c(=O)c2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947180958 653332022 /nfs/dbraw/zinc/33/20/22/653332022.db2.gz QXQLVKDYZNAABX-CMPLNLGQSA-N -1 1 346.391 -0.008 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)Cc2cncnc2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947258485 653350294 /nfs/dbraw/zinc/35/02/94/653350294.db2.gz SMKWGYADTOOFJG-AAEUAGOBSA-N -1 1 345.407 -0.390 20 0 EBADMM Cc1cn(C)nc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@H](C)C1 ZINC000947306879 653362558 /nfs/dbraw/zinc/36/25/58/653362558.db2.gz QVOBOGVEKDPFDW-NEPJUHHUSA-N -1 1 347.423 -0.067 20 0 EBADMM Cc1ccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H](C)C2)nn1 ZINC000947307045 653362596 /nfs/dbraw/zinc/36/25/96/653362596.db2.gz UFADDGBUTJQKRM-RYUDHWBXSA-N -1 1 345.407 -0.010 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1NC(=O)Cn1ncnn1 ZINC000945276904 653386359 /nfs/dbraw/zinc/38/63/59/653386359.db2.gz FRKLVXLTPBZNKC-QWRGUYRKSA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NC1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000947442564 653404537 /nfs/dbraw/zinc/40/45/37/653404537.db2.gz APXINHWNYOESPM-AAEUAGOBSA-N -1 1 348.403 -0.310 20 0 EBADMM NC(=O)C(=O)N[C@@H]1CCCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000943487917 653407938 /nfs/dbraw/zinc/40/79/38/653407938.db2.gz QQLQUAKBCLGUPF-SNVBAGLBSA-N -1 1 347.375 -0.344 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CCN1Cc1ccnn1C ZINC000947505887 653419030 /nfs/dbraw/zinc/41/90/30/653419030.db2.gz GHFNUMAPQLWTKD-NXEZZACHSA-N -1 1 347.379 -0.201 20 0 EBADMM C[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)CC[C@H]1NC(=O)C1CC1 ZINC000945405543 653440641 /nfs/dbraw/zinc/44/06/41/653440641.db2.gz XTJUJKUQRJJOHK-WCQYABFASA-N -1 1 348.403 -0.310 20 0 EBADMM CC[N@H+]1CCO[C@@H](C(=O)N2CC[C@@H](NC(=O)c3cnc[nH]c3=O)C2)C1 ZINC000941172083 653441078 /nfs/dbraw/zinc/44/10/78/653441078.db2.gz CSNZNHBSZRHFRF-DGCLKSJQSA-N -1 1 349.391 -0.766 20 0 EBADMM Cc1cnn(C)c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H](C)C1 ZINC000947630445 653443102 /nfs/dbraw/zinc/44/31/02/653443102.db2.gz ZJEMFZSMGQGIFY-RYUDHWBXSA-N -1 1 347.423 -0.067 20 0 EBADMM CO[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1NC(=O)C(C)C ZINC000941233883 653459994 /nfs/dbraw/zinc/45/99/94/653459994.db2.gz CWCJHTAGBDFVKO-GHMZBOCLSA-N -1 1 348.363 -0.971 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1cccnn1 ZINC000943748611 653467615 /nfs/dbraw/zinc/46/76/15/653467615.db2.gz CABPZTWCQRIMAP-MNOVXSKESA-N -1 1 331.380 -0.461 20 0 EBADMM Cc1ccn(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H](C)C2)n1 ZINC000947730998 653475209 /nfs/dbraw/zinc/47/52/09/653475209.db2.gz HAZNOHBCZCOEIU-OLZOCXBDSA-N -1 1 347.423 -0.217 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2ccnnc2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947746143 653476989 /nfs/dbraw/zinc/47/69/89/653476989.db2.gz LUEILVPCDFGWQS-CMPLNLGQSA-N -1 1 331.380 -0.319 20 0 EBADMM CN1CCOC[C@H]1C(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000943971295 653499034 /nfs/dbraw/zinc/49/90/34/653499034.db2.gz MBQNTWWZMGJXSU-OLZOCXBDSA-N -1 1 348.403 -0.161 20 0 EBADMM CN1CCOC[C@@H]1C(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000943971294 653499206 /nfs/dbraw/zinc/49/92/06/653499206.db2.gz MBQNTWWZMGJXSU-CHWSQXEVSA-N -1 1 348.403 -0.161 20 0 EBADMM O=C(CN1CN=NC1=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000943973006 653499813 /nfs/dbraw/zinc/49/98/13/653499813.db2.gz AWONOSLUPLFGTL-SNVBAGLBSA-N -1 1 346.347 -0.495 20 0 EBADMM Cn1nncc1C(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000943990706 653503554 /nfs/dbraw/zinc/50/35/54/653503554.db2.gz DZULSKHYLZKLIZ-SNVBAGLBSA-N -1 1 330.348 -0.050 20 0 EBADMM Cc1nc[nH]c1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@H](C)C1 ZINC000948099925 653522696 /nfs/dbraw/zinc/52/26/96/653522696.db2.gz MPNZPKOPJPUQLJ-MWLCHTKSSA-N -1 1 333.396 -0.077 20 0 EBADMM CC(=O)N1CC[C@@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)CC[C@H]21 ZINC000945986494 653528282 /nfs/dbraw/zinc/52/82/82/653528282.db2.gz BOLLGKUANUMRMM-CHWSQXEVSA-N -1 1 334.376 -0.604 20 0 EBADMM O=C(Cn1ncnn1)N[C@@H]1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000946216094 653551243 /nfs/dbraw/zinc/55/12/43/653551243.db2.gz MYCONJHIUIADFX-GHMZBOCLSA-N -1 1 345.363 -0.511 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3c(F)cncc3F)CC2)nc1=O ZINC000948562485 653558399 /nfs/dbraw/zinc/55/83/99/653558399.db2.gz QDYCCSKLJUMAKY-UHFFFAOYSA-N -1 1 338.318 -0.260 20 0 EBADMM CC(C)Cn1ccc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC000948580207 653560381 /nfs/dbraw/zinc/56/03/81/653560381.db2.gz DDYVJYJJKJUGBS-UHFFFAOYSA-N -1 1 347.423 -0.081 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@H]1CC(=O)N(C)C1 ZINC000948626729 653563825 /nfs/dbraw/zinc/56/38/25/653563825.db2.gz XNUAAKKIIKOXLM-GRYCIOLGSA-N -1 1 346.387 -0.158 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@@H]1CCC(=O)NC1 ZINC000948630043 653564165 /nfs/dbraw/zinc/56/41/65/653564165.db2.gz IKLBAKCKJMXYKX-IJLUTSLNSA-N -1 1 346.387 -0.110 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1ncccc1[O-])c1ccc(=O)[nH]n1 ZINC000948849214 653577007 /nfs/dbraw/zinc/57/70/07/653577007.db2.gz CIPVWJPSHHNBPT-SECBINFHSA-N -1 1 329.316 -0.073 20 0 EBADMM CC(C)c1n[nH]cc1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000949049216 653591300 /nfs/dbraw/zinc/59/13/00/653591300.db2.gz IRWASWSKPCTNHS-UHFFFAOYSA-N -1 1 333.396 -0.087 20 0 EBADMM CC[C@@H](CN1CCN(C(=O)CCc2n[nH]c(=O)[n-]c2=O)CC1)OC ZINC000949069778 653592793 /nfs/dbraw/zinc/59/27/93/653592793.db2.gz OUOXUDFQRLZPSG-NSHDSACASA-N -1 1 339.396 -0.215 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cnn4c3OCCC4)CC2)nc1=O ZINC000949103666 653594770 /nfs/dbraw/zinc/59/47/70/653594770.db2.gz KZMKLOTUDASBLL-UHFFFAOYSA-N -1 1 347.379 -0.955 20 0 EBADMM Cc1nc(C)c(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)nc1C ZINC000949214530 653831747 /nfs/dbraw/zinc/83/17/47/653831747.db2.gz WAKAOVKCCBVUBQ-UHFFFAOYSA-N -1 1 345.407 -0.218 20 0 EBADMM CCN1CCO[C@H](C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])C1 ZINC000949539185 653923520 /nfs/dbraw/zinc/92/35/20/653923520.db2.gz ZNQUWFHBBXAYHD-JSGCOSHPSA-N -1 1 348.403 -0.161 20 0 EBADMM Cn1cc(C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])[nH]c1=O ZINC000949538900 653923595 /nfs/dbraw/zinc/92/35/95/653923595.db2.gz TXKBFMGRZVGLLF-SECBINFHSA-N -1 1 331.332 -0.129 20 0 EBADMM O=C(C[C@H]1CC(=O)NC1=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949541947 653924367 /nfs/dbraw/zinc/92/43/67/653924367.db2.gz SJNXXLORXNJFIV-ZJUUUORDSA-N -1 1 346.343 -0.829 20 0 EBADMM Cc1nn(C)c(C)c1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000949546168 653925182 /nfs/dbraw/zinc/92/51/82/653925182.db2.gz ZTQUKPTUFQWXAZ-UHFFFAOYSA-N -1 1 333.396 -0.583 20 0 EBADMM CC(=O)N1CC(C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])C1 ZINC000949608213 653933210 /nfs/dbraw/zinc/93/32/10/653933210.db2.gz HEHQRLAHFXQHBE-GFCCVEGCSA-N -1 1 332.360 -0.404 20 0 EBADMM CCN(C(=O)c1cc[n+]([O-])cc1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000949624864 653939334 /nfs/dbraw/zinc/93/93/34/653939334.db2.gz REQXSYFWJCOMDJ-UHFFFAOYSA-N -1 1 332.364 -0.912 20 0 EBADMM O=C(N[C@H]1CCCN(C(=O)C2CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000949634574 653940114 /nfs/dbraw/zinc/94/01/14/653940114.db2.gz TUECHMMPKHKFIX-JTQLQIEISA-N -1 1 330.348 -0.452 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2CC(=O)N(C)C2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000949822020 653980622 /nfs/dbraw/zinc/98/06/22/653980622.db2.gz VNFIOGVPCTXYSE-IJLUTSLNSA-N -1 1 346.387 -0.158 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)CCc3ccc(=O)[nH]c3)CC2)nc1=O ZINC000949845392 653985524 /nfs/dbraw/zinc/98/55/24/653985524.db2.gz YXXVWEWQYXJZGD-UHFFFAOYSA-N -1 1 346.391 -0.514 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)[C@H]3CCc4cccnc43)CC2)nc1=O ZINC000949899613 653998521 /nfs/dbraw/zinc/99/85/21/653998521.db2.gz GVZXAFCUTPFFPV-ZDUSSCGKSA-N -1 1 342.403 -0.122 20 0 EBADMM O=C(Cn1cnnn1)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000949943378 654004848 /nfs/dbraw/zinc/00/48/48/654004848.db2.gz YGHDGEABMWLWFC-JTQLQIEISA-N -1 1 331.336 -0.805 20 0 EBADMM O=C(N[C@H]1CCCN(C(=O)c2ccn[nH]2)C1)c1n[nH]c(=O)[n-]c1=O ZINC000950115596 654041736 /nfs/dbraw/zinc/04/17/36/654041736.db2.gz UVLDUPLYGQITND-ZETCQYMHSA-N -1 1 333.308 -0.960 20 0 EBADMM O=C(CC1CC1)N[C@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000951362379 654103759 /nfs/dbraw/zinc/10/37/59/654103759.db2.gz LBDGNXXZRLAKIP-ZDUSSCGKSA-N -1 1 348.403 -0.166 20 0 EBADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCN([C@@H]2CCNC2=O)CC1 ZINC000951372515 654106223 /nfs/dbraw/zinc/10/62/23/654106223.db2.gz OUMOUCIRVFQQIO-GFCCVEGCSA-N -1 1 331.376 -0.294 20 0 EBADMM CCN(C(=O)c1cn2c(n1)CCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950357028 654109450 /nfs/dbraw/zinc/10/94/50/654109450.db2.gz HBGKWBBFRUUBMA-UHFFFAOYSA-N -1 1 345.407 -0.402 20 0 EBADMM O=C(N[C@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC000951408970 654118819 /nfs/dbraw/zinc/11/88/19/654118819.db2.gz WYDPFJQBGVTYSE-JTQLQIEISA-N -1 1 330.348 -0.452 20 0 EBADMM CN1C[C@@H](C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])CCC1=O ZINC000950445206 654135003 /nfs/dbraw/zinc/13/50/03/654135003.db2.gz GYYVBJLDHSBZNG-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM CN1C[C@@H](C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])CCC1=O ZINC000950445207 654135290 /nfs/dbraw/zinc/13/52/90/654135290.db2.gz GYYVBJLDHSBZNG-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1ncccc1[O-])[C@@H]1CCCNC1=O ZINC000950492699 654146308 /nfs/dbraw/zinc/14/63/08/654146308.db2.gz TVCJDEGALAUIOQ-GHMZBOCLSA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1)[C@H]1CCC(=O)N1 ZINC000950539784 654171973 /nfs/dbraw/zinc/17/19/73/654171973.db2.gz XNHIDAVRTBHXJI-WDEREUQCSA-N -1 1 332.360 -0.213 20 0 EBADMM Cn1cc(C(=O)N[C@H]2CCCN(C(=O)c3ncccc3[O-])C2)nn1 ZINC000950543126 654173411 /nfs/dbraw/zinc/17/34/11/654173411.db2.gz RHPBZSMTCOFMSG-JTQLQIEISA-N -1 1 330.348 -0.050 20 0 EBADMM CN1C[C@@H](C(=O)N[C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)NC1=O ZINC000950546131 654175023 /nfs/dbraw/zinc/17/50/23/654175023.db2.gz HJOGIMPMMWUZRO-MNOVXSKESA-N -1 1 347.375 -0.468 20 0 EBADMM CCN(C(=O)c1ccc(C(N)=O)o1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950823393 654275368 /nfs/dbraw/zinc/27/53/68/654275368.db2.gz AIXWQIIZLCOSFS-UHFFFAOYSA-N -1 1 348.363 -0.853 20 0 EBADMM O=C(N[C@H]1CCCN(C(=O)[C@H]2CNC(=O)N2)C1)c1ncccc1[O-] ZINC000951836434 654304208 /nfs/dbraw/zinc/30/42/08/654304208.db2.gz GRSMRMBQLAZFIQ-VHSXEESVSA-N -1 1 333.348 -0.811 20 0 EBADMM CCN(C(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950915324 654310929 /nfs/dbraw/zinc/31/09/29/654310929.db2.gz DGASTQLBRJXVDN-CORIIIEPSA-N -1 1 349.435 -0.044 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1ncccc1[O-])[C@@H]1CCC(=O)NC1 ZINC000950964947 654329160 /nfs/dbraw/zinc/32/91/60/654329160.db2.gz PYUMYUNSTMQYMF-GHMZBOCLSA-N -1 1 332.360 -0.356 20 0 EBADMM CN(C)C(=O)[C@@H]1C[C@@H]1C(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC000952156243 654409614 /nfs/dbraw/zinc/40/96/14/654409614.db2.gz BOAPKMVVALWEIQ-GRYCIOLGSA-N -1 1 346.387 -0.158 20 0 EBADMM CC[C@H](C)C(=O)N1CC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951221835 654422197 /nfs/dbraw/zinc/42/21/97/654422197.db2.gz ALAVUMFBOOYHSC-VHSXEESVSA-N -1 1 332.364 -0.206 20 0 EBADMM CC[C@@H](F)C(=O)N1CC[C@@H]1CNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000951222263 654423138 /nfs/dbraw/zinc/42/31/38/654423138.db2.gz IYKLQRWTCGRDGF-HTQZYQBOSA-N -1 1 342.327 -0.025 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1ccc[nH]1)c1cc(=O)n2[n-]cnc2n1 ZINC000951222415 654423781 /nfs/dbraw/zinc/42/37/81/654423781.db2.gz LMXWOXMDAZMJLF-SECBINFHSA-N -1 1 341.331 -0.610 20 0 EBADMM O=C(CC1CCC1)N1CC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951224798 654425758 /nfs/dbraw/zinc/42/57/58/654425758.db2.gz NSBAHWBKAFIAKF-NSHDSACASA-N -1 1 344.375 -0.062 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H]1CNC(=O)[C@H]1CC12CC2 ZINC000952248305 654430065 /nfs/dbraw/zinc/43/00/65/654430065.db2.gz KAJAGNUSPRSTFD-VXGBXAGGSA-N -1 1 346.387 -0.556 20 0 EBADMM CCN(C(=O)c1cc(C)nn1CC)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000952332382 654441095 /nfs/dbraw/zinc/44/10/95/654441095.db2.gz FZWICWDQEMYHHT-UHFFFAOYSA-N -1 1 347.423 -0.020 20 0 EBADMM O=C(NC1C[C@H]2CCC[C@@H](C1)N2C(=O)[C@H]1COCCO1)c1cnn[nH]1 ZINC000952405010 654453401 /nfs/dbraw/zinc/45/34/01/654453401.db2.gz DJAQRROJJWGIGT-GPWHNMLOSA-N -1 1 349.391 -0.138 20 0 EBADMM CCc1cc(C(=O)N2CC[C@@H]2CNC(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC000951297823 654455714 /nfs/dbraw/zinc/45/57/14/654455714.db2.gz KNNPWOFMVHHSFR-MRVPVSSYSA-N -1 1 347.335 -0.787 20 0 EBADMM CC(=O)NC1C[C@H]2CCC[C@@H](C1)N2C(=O)CCn1cc[n-]c(=O)c1=O ZINC000952440892 654459620 /nfs/dbraw/zinc/45/96/20/654459620.db2.gz VHZMGAXULGAFCG-AGUYFDCRSA-N -1 1 348.403 -0.025 20 0 EBADMM NC(=O)CC(=O)N1C[C@H]2CCC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000952682377 654489758 /nfs/dbraw/zinc/48/97/58/654489758.db2.gz FAAJWALARPDQDA-PHIMTYICSA-N -1 1 332.360 -0.132 20 0 EBADMM CC(=O)N1CC[C@]2(C1)CCCN(C(=O)CCn1cc[n-]c(=O)c1=O)C2 ZINC000952817172 654504944 /nfs/dbraw/zinc/50/49/44/654504944.db2.gz IXMMLCYDYQODIS-KRWDZBQOSA-N -1 1 348.403 -0.212 20 0 EBADMM CCC(=O)N1CC[C@@]2(CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)C1 ZINC000953013034 654514731 /nfs/dbraw/zinc/51/47/31/654514731.db2.gz LZYVXOKUFVYRPD-MRXNPFEDSA-N -1 1 344.375 -0.108 20 0 EBADMM NC(=O)CC(=O)N1CC[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC000953313571 654554850 /nfs/dbraw/zinc/55/48/50/654554850.db2.gz FIZZKYPEIOVGDI-MNOVXSKESA-N -1 1 332.360 -0.274 20 0 EBADMM CN(C(=O)C(C)(F)F)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000953521357 654592741 /nfs/dbraw/zinc/59/27/41/654592741.db2.gz XKAWGVYUGGVDHO-UHFFFAOYSA-N -1 1 340.290 -0.644 20 0 EBADMM CCC(=O)N(C)C1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000953674716 654608964 /nfs/dbraw/zinc/60/89/64/654608964.db2.gz CBAJZZVBVISTPX-UHFFFAOYSA-N -1 1 336.392 -0.214 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CCN(C(=O)Cn2ncnn2)CC1 ZINC000953888699 654648072 /nfs/dbraw/zinc/64/80/72/654648072.db2.gz VVKOIGHZLRCRRO-UHFFFAOYSA-N -1 1 345.363 -0.463 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CCN(C(=O)[C@@H]2CCNC2=O)CC1 ZINC000953897406 654650581 /nfs/dbraw/zinc/65/05/81/654650581.db2.gz LLHVBGRXZYTSOH-GFCCVEGCSA-N -1 1 346.387 -0.014 20 0 EBADMM Cc1c(C(=O)N(C)C2CN(C(=O)c3ncccc3[O-])C2)nnn1C ZINC000953948370 654660838 /nfs/dbraw/zinc/66/08/38/654660838.db2.gz XIMNHLBPZXAOKN-UHFFFAOYSA-N -1 1 330.348 -0.179 20 0 EBADMM CN(C(=O)C1=CCCC1)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000954035420 654672431 /nfs/dbraw/zinc/67/24/31/654672431.db2.gz IZUZGEYYZOJRDQ-UHFFFAOYSA-N -1 1 346.387 -0.294 20 0 EBADMM CN(C(=O)[C@H]1CC1(C)C)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000954077766 654681752 /nfs/dbraw/zinc/68/17/52/654681752.db2.gz ASWWEIGQKYPVSX-GFCCVEGCSA-N -1 1 348.403 -0.358 20 0 EBADMM O=C(CCn1ccccc1=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001018937207 728750269 /nfs/dbraw/zinc/75/02/69/728750269.db2.gz QFUPMDJOJHCWEB-NSHDSACASA-N -1 1 332.364 -0.547 20 0 EBADMM CCn1ccnc1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1C ZINC000965969039 724551252 /nfs/dbraw/zinc/55/12/52/724551252.db2.gz GFOXENIQUIVLCQ-RYUDHWBXSA-N -1 1 347.423 -0.035 20 0 EBADMM COCC(=O)N1C[C@H](C)[C@H](NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC000966059647 724563167 /nfs/dbraw/zinc/56/31/67/724563167.db2.gz PVRBDUAQRQJQHL-JGVFFNPUSA-N -1 1 340.336 -0.881 20 0 EBADMM C[C@H]1CN(C(=O)CN2CCCC2=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966138717 724574301 /nfs/dbraw/zinc/57/43/01/724574301.db2.gz PQKIADSVGBGJJM-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C([O-])N(CC(=O)N1CCO[C@H](c2nn[nH]n2)C1)C[C@@H]1CCCO1 ZINC000740491532 719420424 /nfs/dbraw/zinc/42/04/24/719420424.db2.gz LZUXLSRYFQWAMY-UWVGGRQHSA-N -1 1 340.340 -0.741 20 0 EBADMM CCn1ncc(C(=O)N2CC(N(C)C(=O)c3ncccc3[O-])C2)n1 ZINC000954124240 719569253 /nfs/dbraw/zinc/56/92/53/719569253.db2.gz RZKUBJRYEPQRPC-UHFFFAOYSA-N -1 1 330.348 -0.005 20 0 EBADMM C[C@@H](C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1)n1cncn1 ZINC000954124355 719569501 /nfs/dbraw/zinc/56/95/01/719569501.db2.gz WXMHUFOJTPTNJX-JTQLQIEISA-N -1 1 330.348 -0.077 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CN(C)CCO2)C1 ZINC000954124362 719569653 /nfs/dbraw/zinc/56/96/53/719569653.db2.gz XBSVHJYVRUPGSS-ZDUSSCGKSA-N -1 1 334.376 -0.599 20 0 EBADMM C[C@H](C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1)[C@@H]1CCC(=O)N1 ZINC000954127747 719570468 /nfs/dbraw/zinc/57/04/68/719570468.db2.gz FHZXLCKSAGLXRB-JQWIXIFHSA-N -1 1 346.387 -0.015 20 0 EBADMM C[C@@H](C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1)[C@H]1CCC(=O)N1 ZINC000954127749 719570890 /nfs/dbraw/zinc/57/08/90/719570890.db2.gz FHZXLCKSAGLXRB-ZYHUDNBSSA-N -1 1 346.387 -0.015 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@]2(C)CCC(=O)NC2)C1 ZINC000954128193 719571485 /nfs/dbraw/zinc/57/14/85/719571485.db2.gz WOWFCPUFJPOWJP-KRWDZBQOSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CCNC(=O)CC2)C1 ZINC000954128158 719571540 /nfs/dbraw/zinc/57/15/40/719571540.db2.gz VDXXKUKNFPMCGE-LLVKDONJSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@]2(C)CNC(=O)C2)C1 ZINC000954128073 719571663 /nfs/dbraw/zinc/57/16/63/719571663.db2.gz QVASQODIYJTWJG-MRXNPFEDSA-N -1 1 332.360 -0.404 20 0 EBADMM C[C@H]1CC(=O)N[C@@H](C(=O)N(C)C2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000954400021 719706415 /nfs/dbraw/zinc/70/64/15/719706415.db2.gz YXZZXDYTINJFFF-ZYHUDNBSSA-N -1 1 346.387 -0.015 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)c1cnnn1C ZINC000966351090 724620353 /nfs/dbraw/zinc/62/03/53/724620353.db2.gz RDOANPSHYOIWJY-UWVGGRQHSA-N -1 1 330.348 -0.194 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C1CN(C(=O)c2ncc[nH]2)C1 ZINC000954827681 719923431 /nfs/dbraw/zinc/92/34/31/719923431.db2.gz HTQNFJADGSTOQR-UHFFFAOYSA-N -1 1 348.319 -0.784 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C1CN(C(=O)c2ccon2)C1 ZINC000954829297 719924220 /nfs/dbraw/zinc/92/42/20/719924220.db2.gz VVIRUPROAGBZLZ-UHFFFAOYSA-N -1 1 349.303 -0.519 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)c2cocn2)C1 ZINC000954833127 719926941 /nfs/dbraw/zinc/92/69/41/719926941.db2.gz UHVZNERGEJNQKG-UHFFFAOYSA-N -1 1 343.303 -0.998 20 0 EBADMM C[C@@H](C(=O)N1CC(N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC000954833316 719927377 /nfs/dbraw/zinc/92/73/77/719927377.db2.gz WZIMIYURCMVPFF-SECBINFHSA-N -1 1 344.375 -0.254 20 0 EBADMM C[C@@H]1C[C@H]1CC(=O)N(C)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000955218663 720095522 /nfs/dbraw/zinc/09/55/22/720095522.db2.gz ZPCFWIBWKWZAFF-NEPJUHHUSA-N -1 1 348.403 -0.358 20 0 EBADMM CN(C(=O)C(C)(C)C)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000955269825 720107869 /nfs/dbraw/zinc/10/78/69/720107869.db2.gz XKXUTDFMOYRVAH-UHFFFAOYSA-N -1 1 332.364 -0.254 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)Cn1ncnn1 ZINC000955695306 720227644 /nfs/dbraw/zinc/22/76/44/720227644.db2.gz NBIBUFWCGSPXED-QWRGUYRKSA-N -1 1 345.363 -0.417 20 0 EBADMM CO[C@@H](C)C(=O)N1CCC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000955915423 720293308 /nfs/dbraw/zinc/29/33/08/720293308.db2.gz AEMQBRLPLQBDQM-UWVGGRQHSA-N -1 1 348.363 -0.827 20 0 EBADMM O=C(NC[C@@H]1CCCN1C(=O)[C@H]1CCC(=O)N1)c1ncccc1[O-] ZINC000955927696 720296678 /nfs/dbraw/zinc/29/66/78/720296678.db2.gz KVFPOHDYDJTIPX-WDEREUQCSA-N -1 1 332.360 -0.213 20 0 EBADMM O=C(NC[C@@H]1CCCN1C(=O)[C@H]1COC(=O)N1)c1ncccc1[O-] ZINC000955992643 720320804 /nfs/dbraw/zinc/32/08/04/720320804.db2.gz RQGQSEQGZWPIIR-VHSXEESVSA-N -1 1 334.332 -0.384 20 0 EBADMM Cn1cc(C(=O)N2CCC[C@H]2CNC(=O)c2ncccc2[O-])nn1 ZINC000955997127 720323130 /nfs/dbraw/zinc/32/31/30/720323130.db2.gz PVDACLUQSPWYQD-JTQLQIEISA-N -1 1 330.348 -0.050 20 0 EBADMM Cn1nnc(C(=O)N2CCC[C@H]2CNC(=O)c2ncccc2[O-])n1 ZINC000956156569 720380940 /nfs/dbraw/zinc/38/09/40/720380940.db2.gz VKYCEPFTRKQXRR-VIFPVBQESA-N -1 1 331.336 -0.655 20 0 EBADMM CC1(NC(=O)c2n[nH]c(=O)[n-]c2=O)CCN(C(=O)c2ccn[nH]2)CC1 ZINC000956432714 720426785 /nfs/dbraw/zinc/42/67/85/720426785.db2.gz ONMAYXCRLATHGK-UHFFFAOYSA-N -1 1 347.335 -0.569 20 0 EBADMM COCC(=O)N1CC[C@H](N2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC000956592703 720455748 /nfs/dbraw/zinc/45/57/48/720455748.db2.gz LNBFUIYHKVELIG-ZDUSSCGKSA-N -1 1 348.403 -0.208 20 0 EBADMM COCC(=O)N1CC[C@@H](N2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC000956592702 720455787 /nfs/dbraw/zinc/45/57/87/720455787.db2.gz LNBFUIYHKVELIG-CYBMUJFWSA-N -1 1 348.403 -0.208 20 0 EBADMM C[C@@]1(NC(=O)C2CCC2)CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000956626387 720468313 /nfs/dbraw/zinc/46/83/13/720468313.db2.gz YPRMBIWPKPWZIB-QGZVFWFLSA-N -1 1 348.403 -0.166 20 0 EBADMM C[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H]1NC(=O)C(F)F ZINC000966591038 724691623 /nfs/dbraw/zinc/69/16/23/724691623.db2.gz HSFGCCRRHORDIH-BDAKNGLRSA-N -1 1 344.318 -0.845 20 0 EBADMM C[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1NC(=O)CC1CC1 ZINC000966592298 724692254 /nfs/dbraw/zinc/69/22/54/724692254.db2.gz KWMSRXMPELJMER-WCQYABFASA-N -1 1 348.403 -0.310 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1C[C@@H](C)[C@@H](NC(=O)C(N)=O)C1 ZINC000966659507 724709354 /nfs/dbraw/zinc/70/93/54/724709354.db2.gz QYNOAUDHDZOFGL-SCZZXKLOSA-N -1 1 349.391 -0.324 20 0 EBADMM CC(=O)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C(C)(C)C1 ZINC000967078376 724796032 /nfs/dbraw/zinc/79/60/32/724796032.db2.gz KSXGAKQXDZKKJO-NSHDSACASA-N -1 1 332.364 -0.206 20 0 EBADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)Cc2nnc[nH]2)C1 ZINC000956850002 722119512 /nfs/dbraw/zinc/11/95/12/722119512.db2.gz CLDAQBBNIKCTHC-HNNXBMFYSA-N -1 1 330.348 -0.131 20 0 EBADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)Cn2nccn2)C1 ZINC000956849984 722119536 /nfs/dbraw/zinc/11/95/36/722119536.db2.gz CCPWDVKGGCFAOK-HNNXBMFYSA-N -1 1 330.348 -0.200 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@@]2(C)CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000956862031 722120923 /nfs/dbraw/zinc/12/09/23/722120923.db2.gz MLPZAAPZIYJWEY-KRWDZBQOSA-N -1 1 346.387 -0.014 20 0 EBADMM CCN(C(=O)c1c[nH]c(C)cc1=O)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000957088171 722154051 /nfs/dbraw/zinc/15/40/51/722154051.db2.gz RHYLJMAXQIRCMG-UHFFFAOYSA-N -1 1 346.391 -0.548 20 0 EBADMM CC[C@H]1C[C@H](C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)CCO1 ZINC000957106948 722158077 /nfs/dbraw/zinc/15/80/77/722158077.db2.gz IDNNGLYXBVAQRH-OLZOCXBDSA-N -1 1 337.424 -0.042 20 0 EBADMM COCC(=O)N1CC[C@@](C)(NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC000957219675 722176260 /nfs/dbraw/zinc/17/62/60/722176260.db2.gz KJHLXUSHPYOVAY-CQSZACIVSA-N -1 1 340.336 -0.736 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@]1(C)CCN(C(=O)C(F)F)C1 ZINC000957220365 722176516 /nfs/dbraw/zinc/17/65/16/722176516.db2.gz YQFBUJQQSCUHAW-ZDUSSCGKSA-N -1 1 346.290 -0.118 20 0 EBADMM Cn1nc2c(c1C(=O)N1CCN(Cc3nc(=O)n(C)[n-]3)CC1)CCC2 ZINC000957307170 722191513 /nfs/dbraw/zinc/19/15/13/722191513.db2.gz JWANEHUCFWVBCO-UHFFFAOYSA-N -1 1 345.407 -0.711 20 0 EBADMM C[C@@H]1CC[C@@H](CN2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC2)O1 ZINC000957314576 722193168 /nfs/dbraw/zinc/19/31/68/722193168.db2.gz KBXFPFCKOJNMQQ-NEPJUHHUSA-N -1 1 346.391 -0.257 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCN(Cc2ncccn2)CC1 ZINC000957314919 722193283 /nfs/dbraw/zinc/19/32/83/722193283.db2.gz SMQXAXIXOAZSKY-UHFFFAOYSA-N -1 1 340.347 -0.834 20 0 EBADMM Cc1cc(CN2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC2)on1 ZINC000957315076 722193565 /nfs/dbraw/zinc/19/35/65/722193565.db2.gz WAIWKTPAWKBFAB-UHFFFAOYSA-N -1 1 343.347 -0.328 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCN(Cc2cncs2)CC1 ZINC000957319286 722195435 /nfs/dbraw/zinc/19/54/35/722195435.db2.gz GJBCIKDESFILPQ-UHFFFAOYSA-N -1 1 345.388 -0.168 20 0 EBADMM CN(C)c1ccncc1C(=O)N1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC000957351099 722200148 /nfs/dbraw/zinc/20/01/48/722200148.db2.gz GXXHJBJPCRZRIA-UHFFFAOYSA-N -1 1 331.380 -0.071 20 0 EBADMM Cc1nn[nH]c1C(=O)N1C[C@@H](C)[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000957569575 722221785 /nfs/dbraw/zinc/22/17/85/722221785.db2.gz OGLUQQLCDSOHEP-HZGVNTEJSA-N -1 1 333.352 -0.259 20 0 EBADMM C[C@]1(NC(=O)[C@H]2C[C@H]2C(N)=O)CCN(C(=O)c2ncccc2[O-])C1 ZINC000957616094 722228050 /nfs/dbraw/zinc/22/80/50/722228050.db2.gz LOGBBCAEALLSNQ-LSYCYVAJSA-N -1 1 332.360 -0.371 20 0 EBADMM CCc1noc(C)c1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000957623760 722229030 /nfs/dbraw/zinc/22/90/30/722229030.db2.gz QLSPUTXUTUFHNY-UHFFFAOYSA-N -1 1 334.380 -0.075 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)COc3ccccc3F)CC2)nc1=O ZINC000957637353 722229877 /nfs/dbraw/zinc/22/98/77/722229877.db2.gz LHRGRFLYLUUDEW-UHFFFAOYSA-N -1 1 349.366 -0.029 20 0 EBADMM CN(C)c1ncccc1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000957644067 722230574 /nfs/dbraw/zinc/23/05/74/722230574.db2.gz CHGHESKFGNXFSY-UHFFFAOYSA-N -1 1 345.407 -0.473 20 0 EBADMM CCN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)Cn2nccn2)CC1 ZINC000957718618 722235977 /nfs/dbraw/zinc/23/59/77/722235977.db2.gz OWSFUDACDYVTFV-UHFFFAOYSA-N -1 1 348.411 -0.138 20 0 EBADMM NC(=O)C(=O)N1CCC(F)(F)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957764802 722239538 /nfs/dbraw/zinc/23/95/38/722239538.db2.gz SOIAPUDNPMPEKZ-QMMMGPOBSA-N -1 1 342.302 -0.514 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@@H](CNC(=O)c3ccccc3F)C2)nc1=O ZINC000957813118 722244670 /nfs/dbraw/zinc/24/46/70/722244670.db2.gz WZOPBXSZNSALMG-GXFFZTMASA-N -1 1 349.366 -0.530 20 0 EBADMM Cc1cccc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c1 ZINC000957830084 722246051 /nfs/dbraw/zinc/24/60/51/722246051.db2.gz FUIBDIIKVIUOTI-ZIAGYGMSSA-N -1 1 345.403 -0.360 20 0 EBADMM Cc1cccc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c1 ZINC000957830083 722246121 /nfs/dbraw/zinc/24/61/21/722246121.db2.gz FUIBDIIKVIUOTI-UONOGXRCSA-N -1 1 345.403 -0.360 20 0 EBADMM Cc1ccccc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC000957833478 722246454 /nfs/dbraw/zinc/24/64/54/722246454.db2.gz BQPZINQYSMEMEE-OCCSQVGLSA-N -1 1 345.403 -0.360 20 0 EBADMM Cc1cncc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c1 ZINC000957958482 722277548 /nfs/dbraw/zinc/27/75/48/722277548.db2.gz WWBSLHGLPDYLEY-CHWSQXEVSA-N -1 1 346.391 -0.965 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2CN(Cc3cn(C)nc3C)C[C@@H]2O)c1[O-] ZINC000957976638 722280328 /nfs/dbraw/zinc/28/03/28/722280328.db2.gz XONYXACCGDOOBO-AAEUAGOBSA-N -1 1 348.407 -0.312 20 0 EBADMM Cn1ccc(CN2C[C@@H](O)[C@@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC000957991479 722284067 /nfs/dbraw/zinc/28/40/67/722284067.db2.gz SCCVZXRLGAXTND-SMDDNHRTSA-N -1 1 331.376 -0.257 20 0 EBADMM O=C(NC[C@@H]1CN(Cc2cnsn2)C[C@H]1O)c1ncccc1[O-] ZINC000957991923 722284389 /nfs/dbraw/zinc/28/43/89/722284389.db2.gz UTUMQRUTCIINRA-BXKDBHETSA-N -1 1 335.389 -0.139 20 0 EBADMM O=C(CN1C[C@@H](CNC(=O)c2ncccc2[O-])[C@H](O)C1)NC1CC1 ZINC000957995103 722285005 /nfs/dbraw/zinc/28/50/05/722285005.db2.gz KWOHUOTYIGUWPT-ZWNOBZJWSA-N -1 1 334.376 -0.912 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)co1 ZINC000958015327 722289206 /nfs/dbraw/zinc/28/92/06/722289206.db2.gz KNTMAPIFVNVBRT-VXGBXAGGSA-N -1 1 335.364 -0.767 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@@H](CNC(=O)C3C=CC=CC=C3)C2)nc1=O ZINC000958111526 722305459 /nfs/dbraw/zinc/30/54/59/722305459.db2.gz OOSCKYTXBQPTOE-UONOGXRCSA-N -1 1 345.403 -0.684 20 0 EBADMM Cc1cc(C[N@@H+]2C[C@H](O)[C@@H](CNC(=O)c3cnc[nH]c3=O)C2)ncn1 ZINC000958167990 722317351 /nfs/dbraw/zinc/31/73/51/722317351.db2.gz RSRJZEWYKAYNIJ-FZMZJTMJSA-N -1 1 344.375 -0.497 20 0 EBADMM Cc1cc(C)c(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)[nH]1 ZINC000958187772 722321225 /nfs/dbraw/zinc/32/12/25/722321225.db2.gz WETBKZFRJKFSOD-NWDGAFQWSA-N -1 1 348.407 -0.724 20 0 EBADMM Cc1nnsc1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000958197472 722322797 /nfs/dbraw/zinc/32/27/97/722322797.db2.gz OBNBHENEIWQZOO-MBTKJCJQSA-N -1 1 335.393 -0.871 20 0 EBADMM Cc1c(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)cnn1C ZINC000958253942 722334054 /nfs/dbraw/zinc/33/40/54/722334054.db2.gz GEZBQMJXWNAVJZ-PJXYFTJBSA-N -1 1 331.380 -0.989 20 0 EBADMM C[C@@H]1CN(C(=O)c2c[nH]c(=O)cn2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000958256500 722334245 /nfs/dbraw/zinc/33/42/45/722334245.db2.gz QWHZWEPLRYDGJR-MWLCHTKSSA-N -1 1 343.343 -0.239 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(Cc3nnnn3C3CC3)C[C@H]21)c1ncccc1[O-] ZINC000958637626 722407335 /nfs/dbraw/zinc/40/73/35/722407335.db2.gz ITXHSCOMRVHVSM-YABSGUDNSA-N -1 1 341.375 -0.031 20 0 EBADMM CCn1nccc1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000958665186 722413149 /nfs/dbraw/zinc/41/31/49/722413149.db2.gz YRJUQZAIPJFUFE-IWIIMEHWSA-N -1 1 331.380 -0.815 20 0 EBADMM CN(C(=O)Cc1cc[nH]n1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958776083 722431242 /nfs/dbraw/zinc/43/12/42/722431242.db2.gz MQDRGYLIMVCCML-AAEUAGOBSA-N -1 1 345.359 -0.603 20 0 EBADMM CN(C(=O)Cc1ccn[nH]1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958776083 722431244 /nfs/dbraw/zinc/43/12/44/722431244.db2.gz MQDRGYLIMVCCML-AAEUAGOBSA-N -1 1 345.359 -0.603 20 0 EBADMM Cc1n[nH]nc1C(=O)N1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000958830289 722604219 /nfs/dbraw/zinc/60/42/19/722604219.db2.gz OLCHHBRRMDICBL-ONGXEEELSA-N -1 1 346.347 -0.829 20 0 EBADMM Cc1nn[nH]c1C(=O)N1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000958830289 722604221 /nfs/dbraw/zinc/60/42/21/722604221.db2.gz OLCHHBRRMDICBL-ONGXEEELSA-N -1 1 346.347 -0.829 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)Cn2cccn2)C[C@@H]1O ZINC000958830371 722604260 /nfs/dbraw/zinc/60/42/60/722604260.db2.gz RLTVOAMNSOTACU-AAEUAGOBSA-N -1 1 345.359 -0.672 20 0 EBADMM CC(C)C(=O)N1C[C@H](C)[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000959379308 722714350 /nfs/dbraw/zinc/71/43/50/722714350.db2.gz ZYNFLMQMWWRCTB-WDEREUQCSA-N -1 1 346.391 -0.102 20 0 EBADMM O=C([C@@H]1CCNC(=O)C1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959955693 722777786 /nfs/dbraw/zinc/77/77/86/722777786.db2.gz YUEXSNWQQXFYOD-MNOVXSKESA-N -1 1 336.396 -0.493 20 0 EBADMM CN1C[C@H](C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)NC1=O ZINC000960087341 722790819 /nfs/dbraw/zinc/79/08/19/722790819.db2.gz NCBYNCQMUKUOFG-VHSXEESVSA-N -1 1 337.384 -0.995 20 0 EBADMM CC[C@@H](OC)C(=O)N1CCC[C@@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC000960483304 722833063 /nfs/dbraw/zinc/83/30/63/722833063.db2.gz NIOFDXAUBRCYRJ-VXGBXAGGSA-N -1 1 325.413 -0.044 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)c1cn(C)cn1 ZINC000960548112 722838041 /nfs/dbraw/zinc/83/80/41/722838041.db2.gz PQHFTOCMZASBEK-LLVKDONJSA-N -1 1 333.396 -0.422 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)C1=CCOCC1 ZINC000960565804 722839838 /nfs/dbraw/zinc/83/98/38/722839838.db2.gz DQJIWJLPDATKGB-ZDUSSCGKSA-N -1 1 335.408 -0.122 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)c1ccnnc1 ZINC000960891108 722877210 /nfs/dbraw/zinc/87/72/10/722877210.db2.gz VURSOEJINJOKIR-GFCCVEGCSA-N -1 1 331.380 -0.365 20 0 EBADMM COc1ccc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)cn1 ZINC000960930613 722879921 /nfs/dbraw/zinc/87/99/21/722879921.db2.gz NIOFORMTINZMPD-YABSGUDNSA-N -1 1 344.375 -0.628 20 0 EBADMM Cc1nc[nH]c1C(=O)N1CCC[C@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC000961056474 722891506 /nfs/dbraw/zinc/89/15/06/722891506.db2.gz JOZFKPAKQROJPC-NSHDSACASA-N -1 1 333.396 -0.123 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@@H]1O)C1CC1 ZINC000961102076 722899973 /nfs/dbraw/zinc/89/99/73/722899973.db2.gz LAOHXIPEVYHIRD-NEPJUHHUSA-N -1 1 332.360 -0.229 20 0 EBADMM NC(=O)CC(=O)N1CCC[C@@H]([C@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC000961125514 722903270 /nfs/dbraw/zinc/90/32/70/722903270.db2.gz WLKVDXNPSDGWHF-MNOVXSKESA-N -1 1 336.396 -0.554 20 0 EBADMM O=C(c1cn2c(n1)COCC2)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019039210 728779071 /nfs/dbraw/zinc/77/90/71/728779071.db2.gz WYYVPQKHXWTOPY-VIFPVBQESA-N -1 1 333.352 -0.759 20 0 EBADMM NC(=O)c1cccc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001019049933 728783108 /nfs/dbraw/zinc/78/31/08/728783108.db2.gz HISWRZJHPQDMQH-NSHDSACASA-N -1 1 330.348 -0.387 20 0 EBADMM O=C(C[C@H]1CCCO1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000961685300 723058370 /nfs/dbraw/zinc/05/83/70/723058370.db2.gz XHDMVUSEMSOGOG-WZRBSPASSA-N -1 1 335.360 -0.342 20 0 EBADMM Cc1nc(C)c(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)[nH]1 ZINC000961794478 723085245 /nfs/dbraw/zinc/08/52/45/723085245.db2.gz DDDPLUHGPQQXKB-IWIIMEHWSA-N -1 1 331.380 -0.691 20 0 EBADMM CC(C)c1cc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)[nH]n1 ZINC000961835298 723095361 /nfs/dbraw/zinc/09/53/61/723095361.db2.gz ZFQXLWQBOQSUPH-MSRIBSCDSA-N -1 1 345.407 -0.185 20 0 EBADMM Cc1nnc([C@@H](C)NCC2CC(NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC000962040649 723156223 /nfs/dbraw/zinc/15/62/23/723156223.db2.gz UKDYWDWLVDXLSJ-KXNKJCORSA-N -1 1 348.367 -0.431 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]21)[C@@H]1CCc2[nH]cnc2C1 ZINC000962244641 723204723 /nfs/dbraw/zinc/20/47/23/723204723.db2.gz XWTBSSXPWXLKTR-RBQUTUCGSA-N -1 1 343.391 -0.415 20 0 EBADMM Cn1ccc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)c1 ZINC000962256429 723208981 /nfs/dbraw/zinc/20/89/81/723208981.db2.gz IHAXQYLZLZTUPT-YPMHNXCESA-N -1 1 330.344 -0.259 20 0 EBADMM COCc1nocc1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000962268323 723211441 /nfs/dbraw/zinc/21/14/41/723211441.db2.gz IDVMNINPZJHABO-WOFXILAISA-N -1 1 348.363 -0.897 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1[C@H]2CN(Cc3ccon3)C[C@H]21 ZINC000962283723 723216040 /nfs/dbraw/zinc/21/60/40/723216040.db2.gz WXVUGOQRFHSJRT-MYJAWHEDSA-N -1 1 347.331 -0.255 20 0 EBADMM CN(C)c1ccncc1C(=O)N[C@@H]1[C@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000962307196 723223373 /nfs/dbraw/zinc/22/33/73/723223373.db2.gz CISRUTNSNFRXJF-YABSGUDNSA-N -1 1 343.391 -0.169 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2F)C[C@@H]1O)c1ncccc1[O-] ZINC000962434259 723251266 /nfs/dbraw/zinc/25/12/66/723251266.db2.gz FNXDKNYIGIURMV-PWSUYJOCSA-N -1 1 346.318 -0.063 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)[C@@H]2CCCCO2)C[C@@H]1O)c1ncccc1[O-] ZINC000962435727 723252364 /nfs/dbraw/zinc/25/23/64/723252364.db2.gz SNVOGECNMVZQJC-WXHSDQCUSA-N -1 1 335.360 -0.342 20 0 EBADMM CC(=O)N1CCC[C@@H]2[C@@H]1CCN2C(=O)CCn1cc[n-]c(=O)c1=O ZINC000962530898 723277244 /nfs/dbraw/zinc/27/72/44/723277244.db2.gz FHNBFIUTSVSGRI-OLZOCXBDSA-N -1 1 334.376 -0.462 20 0 EBADMM O=C(Cn1cnc2c1CCCC2)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019156079 728810632 /nfs/dbraw/zinc/81/06/32/728810632.db2.gz OEYGPOZOHUXJOO-NSHDSACASA-N -1 1 345.407 -0.024 20 0 EBADMM O=C(Cc1cscn1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000963211001 723392697 /nfs/dbraw/zinc/39/26/97/723392697.db2.gz GXZCTSBHILTRBJ-PWSUYJOCSA-N -1 1 348.384 -0.212 20 0 EBADMM Cn1ccc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)n1 ZINC000963497901 723434620 /nfs/dbraw/zinc/43/46/20/723434620.db2.gz GSHFRZINSCSDLC-PWSUYJOCSA-N -1 1 331.332 -0.864 20 0 EBADMM Cc1nccn1CC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000963555267 723447828 /nfs/dbraw/zinc/44/78/28/723447828.db2.gz FURAKFLZDPHVER-YPMHNXCESA-N -1 1 345.359 -0.706 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@@H]2CCCN(C(C)=O)[C@@H]2C1 ZINC000963606896 723456840 /nfs/dbraw/zinc/45/68/40/723456840.db2.gz XLEWTLPOUFIYJP-VHSXEESVSA-N -1 1 336.348 -0.021 20 0 EBADMM NC(=O)CC(=O)N1CCC2(C[C@H]2NC(=O)c2ncccc2[O-])CC1 ZINC000963686473 723466058 /nfs/dbraw/zinc/46/60/58/723466058.db2.gz YKWNYXYHFTYUGY-LLVKDONJSA-N -1 1 332.360 -0.227 20 0 EBADMM CC(=O)N1C[C@@H]2CCCN(C(=O)c3cc(=O)n4[n-]cnc4n3)[C@@H]2C1 ZINC000963782440 723485451 /nfs/dbraw/zinc/48/54/51/723485451.db2.gz OIZDHPODZVUSMX-CMPLNLGQSA-N -1 1 330.348 -0.500 20 0 EBADMM O=C(Cc1nnc[nH]1)N1CCOC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000964151643 723838701 /nfs/dbraw/zinc/83/87/01/723838701.db2.gz BNNSMRFXGKWPMF-JTQLQIEISA-N -1 1 346.347 -0.895 20 0 EBADMM O=C(Cn1cccn1)N1CCOC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000964154153 723840399 /nfs/dbraw/zinc/84/03/99/723840399.db2.gz CPCQOTNIFIBZGU-LBPRGKRZSA-N -1 1 345.359 -0.359 20 0 EBADMM O=C(NC1CC2(CC(NCc3cnon3)C2)C1)c1n[nH]c(=O)[n-]c1=O ZINC000964226354 723894060 /nfs/dbraw/zinc/89/40/60/723894060.db2.gz NDMOKSICNGNJIA-UHFFFAOYSA-N -1 1 347.335 -0.503 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1ncccc1[O-])c1nnc[nH]1 ZINC000964312180 723963149 /nfs/dbraw/zinc/96/31/49/723963149.db2.gz UIZQAJRXPLKRAZ-SECBINFHSA-N -1 1 332.320 -0.824 20 0 EBADMM O=C(NC[C@H]1CCCCN1C(=O)[C@@H]1CNC(=O)N1)c1ncccc1[O-] ZINC000964577491 724034977 /nfs/dbraw/zinc/03/49/77/724034977.db2.gz MEAQNIJZPYEKNJ-MNOVXSKESA-N -1 1 347.375 -0.421 20 0 EBADMM NC(=O)C(=O)N1CC2(C1)CCN(C(=O)c1cnc(C3CC3)[n-]c1=O)C2 ZINC001019357330 728869269 /nfs/dbraw/zinc/86/92/69/728869269.db2.gz ANHXVDQUMWXBRL-UHFFFAOYSA-N -1 1 345.359 -0.781 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1ccc(=O)[nH]n1 ZINC000964899983 724075949 /nfs/dbraw/zinc/07/59/49/724075949.db2.gz DFIDQIGPEMRSAO-KOLCDFICSA-N -1 1 347.379 -0.756 20 0 EBADMM O=C(Cn1ncnn1)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000964926716 724081647 /nfs/dbraw/zinc/08/16/47/724081647.db2.gz MTCBZQGDACGAPS-LLVKDONJSA-N -1 1 345.363 -0.415 20 0 EBADMM Cn1cnc2c1nccc2C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019366068 728872765 /nfs/dbraw/zinc/87/27/65/728872765.db2.gz FPCVGBPHDZPUDD-VIFPVBQESA-N -1 1 342.363 -0.204 20 0 EBADMM O=C(N[C@@H]1CCCN(C(=O)c2ncccc2[O-])CC1)[C@@H]1CNC(=O)N1 ZINC000965137728 724131125 /nfs/dbraw/zinc/13/11/25/724131125.db2.gz BUUTUBKTQLVXNG-MNOVXSKESA-N -1 1 347.375 -0.421 20 0 EBADMM O=C(c1ncc[nH]1)N1CCOC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000965375303 724207552 /nfs/dbraw/zinc/20/75/52/724207552.db2.gz CASCERADOXBVHZ-UHFFFAOYSA-N -1 1 343.343 -0.123 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)n(C)n1 ZINC000965421858 724231788 /nfs/dbraw/zinc/23/17/88/724231788.db2.gz YWRMISMCGGPFQP-JQWIXIFHSA-N -1 1 347.423 -0.209 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)nn1C ZINC000965454907 724252181 /nfs/dbraw/zinc/25/21/81/724252181.db2.gz XPKJNCNSJCBFMO-GXFFZTMASA-N -1 1 347.423 -0.209 20 0 EBADMM Cc1cnn(CC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)c1 ZINC000965476329 724266121 /nfs/dbraw/zinc/26/61/21/724266121.db2.gz LJUJBDINKZRYGZ-QWHCGFSZSA-N -1 1 347.423 -0.360 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1cncn1C ZINC000967269201 724859524 /nfs/dbraw/zinc/85/95/24/724859524.db2.gz TVKTTZNEKAANLK-QWRGUYRKSA-N -1 1 333.396 -0.518 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@]2(C)CNC(=O)C2)C1 ZINC000967902649 724927927 /nfs/dbraw/zinc/92/79/27/724927927.db2.gz AHDAEUQIGYQHAN-QGZVFWFLSA-N -1 1 346.387 -0.014 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CCC(=O)N2)C1 ZINC000967902556 724928063 /nfs/dbraw/zinc/92/80/63/724928063.db2.gz ZLBKQRAFGJHIJE-NSHDSACASA-N -1 1 332.360 -0.261 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)CCn2cnnn2)C1 ZINC000967906797 724928756 /nfs/dbraw/zinc/92/87/56/724928756.db2.gz JCHNDGJTKZDYQJ-UHFFFAOYSA-N -1 1 345.363 -0.463 20 0 EBADMM CCN(C(=O)[C@@H]1CCCNC1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000968056464 724954511 /nfs/dbraw/zinc/95/45/11/724954511.db2.gz YGKYQQCUAIVAJQ-GFCCVEGCSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)Cc1c[nH]cn1 ZINC000968361108 725055132 /nfs/dbraw/zinc/05/51/32/725055132.db2.gz RFPJVWKZNVPGFT-JQWIXIFHSA-N -1 1 333.396 -0.599 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cc1ncc[nH]1 ZINC000968471229 725070629 /nfs/dbraw/zinc/07/06/29/725070629.db2.gz BCVOSMPOJVMIRO-GHMZBOCLSA-N -1 1 333.396 -0.599 20 0 EBADMM Cc1nc(C(=O)N2C[C@@H](NC(=O)c3cnn[nH]3)[C@@H](O)C2)sc1C ZINC000968704774 725106321 /nfs/dbraw/zinc/10/63/21/725106321.db2.gz XAISKUKNTUMTHS-ZJUUUORDSA-N -1 1 336.377 -0.507 20 0 EBADMM O=C(c1ccnc2[nH]cnc21)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000968817461 725116919 /nfs/dbraw/zinc/11/69/19/725116919.db2.gz NNHYUVUMOKFGHI-MRVPVSSYSA-N -1 1 328.336 -0.214 20 0 EBADMM Cn1cnc(C(=O)NC[C@H]2CCCCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC000968836663 725118716 /nfs/dbraw/zinc/11/87/16/725118716.db2.gz CWMLPAKCXGCPRI-LLVKDONJSA-N -1 1 348.411 -0.589 20 0 EBADMM O=C(CCn1ccccc1=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000968847977 725120746 /nfs/dbraw/zinc/12/07/46/725120746.db2.gz QFUPMDJOJHCWEB-LLVKDONJSA-N -1 1 332.364 -0.547 20 0 EBADMM CC(=O)Nc1cccnc1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000968868981 725122825 /nfs/dbraw/zinc/12/28/25/725122825.db2.gz RQAOZYYEAUOEKX-SNVBAGLBSA-N -1 1 345.363 -0.132 20 0 EBADMM COc1cc(OC)nc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000969102491 725151993 /nfs/dbraw/zinc/15/19/93/725151993.db2.gz FYJNZGIAGAQDRN-MRVPVSSYSA-N -1 1 349.351 -0.678 20 0 EBADMM COc1ccnc(CN[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)c1 ZINC000969212016 725162071 /nfs/dbraw/zinc/16/20/71/725162071.db2.gz RTAYTWTZRYJVHB-SECBINFHSA-N -1 1 346.347 -0.309 20 0 EBADMM Cc1c(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC000969313258 725169631 /nfs/dbraw/zinc/16/96/31/725169631.db2.gz HUDIKEQQPLJRNC-VIFPVBQESA-N -1 1 333.396 -0.599 20 0 EBADMM Cc1ncncc1C(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969343554 725172276 /nfs/dbraw/zinc/17/22/76/725172276.db2.gz YXBQXASJAVMZOS-SECBINFHSA-N -1 1 331.380 -0.543 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1[C@@H]2CC[C@H]1CN(C(=O)C1CC1)C2 ZINC000969395229 725176044 /nfs/dbraw/zinc/17/60/44/725176044.db2.gz LRPJFMSCFYDTDF-BETUJISGSA-N -1 1 346.387 -0.462 20 0 EBADMM C[C@@H](NC(=O)c1ccc(F)cn1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969529037 725188976 /nfs/dbraw/zinc/18/89/76/725188976.db2.gz JKPRAEUBXLFBJH-SECBINFHSA-N -1 1 334.355 -0.107 20 0 EBADMM C[C@H](NC(=O)c1ccn(C)c(=O)c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969986241 725235242 /nfs/dbraw/zinc/23/52/42/725235242.db2.gz XRNLNQKOJXHSTK-JTQLQIEISA-N -1 1 346.391 -0.943 20 0 EBADMM C[C@@H](NC(=O)c1ccn(C)c(=O)c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969986242 725235255 /nfs/dbraw/zinc/23/52/55/725235255.db2.gz XRNLNQKOJXHSTK-SNVBAGLBSA-N -1 1 346.391 -0.943 20 0 EBADMM Cc1nnccc1C(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970060577 725245048 /nfs/dbraw/zinc/24/50/48/725245048.db2.gz PQYHXMMXTJRBPE-VIFPVBQESA-N -1 1 331.380 -0.543 20 0 EBADMM CCn1nc(C)cc1C(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970470803 725294172 /nfs/dbraw/zinc/29/41/72/725294172.db2.gz LPNXTQUSNJKYRO-NSHDSACASA-N -1 1 347.423 -0.116 20 0 EBADMM C[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(Cc2cnon2)C1 ZINC000970736662 725322066 /nfs/dbraw/zinc/32/20/66/725322066.db2.gz FEMITXLQQOTJDO-QMMMGPOBSA-N -1 1 344.335 -0.949 20 0 EBADMM C[C@H](NC(=O)c1cccn(C)c1=O)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970754313 725324225 /nfs/dbraw/zinc/32/42/25/725324225.db2.gz SLLDHVNAQKRZKF-JTQLQIEISA-N -1 1 346.391 -0.943 20 0 EBADMM CCC(=O)N1C[C@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@H]21 ZINC000970963399 725346270 /nfs/dbraw/zinc/34/62/70/725346270.db2.gz MMGDOGUPMKYHNK-VXGBXAGGSA-N -1 1 334.376 -0.604 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H]2CN(C(=O)C3CC3)[C@@H]2C1 ZINC000971005733 725348004 /nfs/dbraw/zinc/34/80/04/725348004.db2.gz VYVSQVNWRBJDRS-CHWSQXEVSA-N -1 1 346.387 -0.604 20 0 EBADMM CN(CC(=O)N1CCOCC1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000971395917 725362782 /nfs/dbraw/zinc/36/27/82/725362782.db2.gz ZBHZLURGPJQDTK-ZDUSSCGKSA-N -1 1 348.403 -0.208 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)Cc2cncnc2)C1 ZINC000971428538 725365262 /nfs/dbraw/zinc/36/52/62/725365262.db2.gz ZCTWEPRERQNQAN-LBPRGKRZSA-N -1 1 331.380 -0.826 20 0 EBADMM CCc1c[nH]c(CC(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000971711500 725432813 /nfs/dbraw/zinc/43/28/13/725432813.db2.gz CLASWZKCKUQBTG-GFCCVEGCSA-N -1 1 347.423 -0.331 20 0 EBADMM CCc1c[nH]c(CC(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000971711501 725432891 /nfs/dbraw/zinc/43/28/91/725432891.db2.gz CLASWZKCKUQBTG-LBPRGKRZSA-N -1 1 347.423 -0.331 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000971745503 725434862 /nfs/dbraw/zinc/43/48/62/725434862.db2.gz LKXQBTKPCWCYEL-SECBINFHSA-N -1 1 335.368 -0.808 20 0 EBADMM C[N@H+](CC(=O)N1CCCC1)[C@@H]1CCN(C(=O)c2cnc[nH]c2=O)C1 ZINC000971756748 725435652 /nfs/dbraw/zinc/43/56/52/725435652.db2.gz NWNPFCHUSQPMLH-GFCCVEGCSA-N -1 1 333.392 -0.049 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)c2cn(C)ccc2=O)C1 ZINC000971917259 725448375 /nfs/dbraw/zinc/44/83/75/725448375.db2.gz NFXDCBURSIFASC-LLVKDONJSA-N -1 1 346.391 -0.846 20 0 EBADMM COc1nccc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000971919312 725448507 /nfs/dbraw/zinc/44/85/07/725448507.db2.gz IWZSWZNHJBAUST-JTQLQIEISA-N -1 1 347.379 -0.747 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)[C@@H]2CCO[C@H]2C2CC2)C1 ZINC000971982837 725452303 /nfs/dbraw/zinc/45/23/03/725452303.db2.gz RXLXRZASVMFHLC-GUTXKFCHSA-N -1 1 349.435 -0.044 20 0 EBADMM Cc1nc[nH]c1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC000991596281 725469676 /nfs/dbraw/zinc/46/96/76/725469676.db2.gz UFDFEQCZJSEVEY-CMPLNLGQSA-N -1 1 345.359 -0.176 20 0 EBADMM Cn1[n-]c(CN2CCO[C@]3(CCN(C(=O)c4cocn4)C3)C2)nc1=O ZINC000972289839 725491647 /nfs/dbraw/zinc/49/16/47/725491647.db2.gz QSFVWAMIZANGCF-OAHLLOKOSA-N -1 1 348.363 -0.787 20 0 EBADMM O=C(Cc1ccn[nH]1)N1CC[C@@]2(C1)CN(Cc1n[nH]c(=O)[n-]1)CCO2 ZINC000972312942 725497808 /nfs/dbraw/zinc/49/78/08/725497808.db2.gz UVXCBJDSAWIMMJ-HNNXBMFYSA-N -1 1 347.379 -0.721 20 0 EBADMM C[C@@H]1CCCN(C(=O)C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000991637374 725502402 /nfs/dbraw/zinc/50/24/02/725502402.db2.gz XGGXPCPXTPNCND-LLVKDONJSA-N -1 1 346.387 -0.014 20 0 EBADMM CNC(=O)[C@@H](C)N1CCO[C@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000972355125 725505369 /nfs/dbraw/zinc/50/53/69/725505369.db2.gz JAQBPYFOBLDACP-SJKOYZFVSA-N -1 1 348.403 -0.161 20 0 EBADMM Cn1nncc1C(=O)N1CC(NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000991647092 725509922 /nfs/dbraw/zinc/50/99/22/725509922.db2.gz GJTNBHURAMPRLO-UHFFFAOYSA-N -1 1 343.347 -0.558 20 0 EBADMM O=C(NC1CN(C(=O)C2=COCCO2)C1)c1cnc(C2CC2)[n-]c1=O ZINC000991648135 725511386 /nfs/dbraw/zinc/51/13/86/725511386.db2.gz IQSPUWURZBLHHJ-UHFFFAOYSA-N -1 1 346.343 -0.112 20 0 EBADMM O=C(NC1CN(C(=O)[C@@H]2CCNC2=O)C1)c1cnc(C2CC2)[n-]c1=O ZINC000991654158 725515978 /nfs/dbraw/zinc/51/59/78/725515978.db2.gz PBJWSQOPMCPWKO-SNVBAGLBSA-N -1 1 345.359 -0.864 20 0 EBADMM CCN1CCO[C@]2(CCN(C(=O)CCc3n[nH]c(=O)[n-]c3=O)C2)C1 ZINC000972447031 725524943 /nfs/dbraw/zinc/52/49/43/725524943.db2.gz PEUQKBWBILJTFE-OAHLLOKOSA-N -1 1 337.380 -0.461 20 0 EBADMM CCCCN1CCO[C@]2(CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)C1 ZINC000972450349 725525837 /nfs/dbraw/zinc/52/58/37/725525837.db2.gz SMFBLDHPGSBZGE-OAHLLOKOSA-N -1 1 337.380 0.000 20 0 EBADMM Cc1ncc(C(=O)N2CC(NC(=O)c3c[n-]n4c3nccc4=O)C2)[nH]1 ZINC000991668319 725528016 /nfs/dbraw/zinc/52/80/16/725528016.db2.gz SPNHQAHPHDVOQG-UHFFFAOYSA-N -1 1 341.331 -0.691 20 0 EBADMM C[C@H](C(N)=O)N(C)[C@H]1CCN(C(=O)c2c[n-]n3c2nccc3=O)C1 ZINC000972494906 725535874 /nfs/dbraw/zinc/53/58/74/725535874.db2.gz IHLXUHMCGHFESI-ZJUUUORDSA-N -1 1 332.364 -0.957 20 0 EBADMM Cn1[n-]c(CN2CCO[C@]3(CCN(C(=O)[C@H]4CC45CC5)C3)C2)nc1=O ZINC000972572011 725552176 /nfs/dbraw/zinc/55/21/76/725552176.db2.gz MUAPJNVOGFVVGO-SJKOYZFVSA-N -1 1 347.419 -0.288 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)c1cnon1 ZINC000991702371 725556044 /nfs/dbraw/zinc/55/60/44/725556044.db2.gz KZMRHOYYMFDXMI-GZMMTYOYSA-N -1 1 333.304 -0.824 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)c2cccn(C)c2=O)C1 ZINC000972751814 725590329 /nfs/dbraw/zinc/59/03/29/725590329.db2.gz LDMICGQQCYEZOH-NSHDSACASA-N -1 1 346.391 -0.846 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)c3cnsn3)C(C)(C)C2)nc1=O ZINC000972833111 725596396 /nfs/dbraw/zinc/59/63/96/725596396.db2.gz RAJFBTPHMGPCRQ-VIFPVBQESA-N -1 1 337.409 -0.400 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)nn1C ZINC000972844151 725597275 /nfs/dbraw/zinc/59/72/75/725597275.db2.gz LQKYEYMNJQIYMO-LBPRGKRZSA-N -1 1 347.423 -0.209 20 0 EBADMM Cc1cnn(CC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)c1 ZINC000972843113 725597494 /nfs/dbraw/zinc/59/74/94/725597494.db2.gz BADILBCXOHUENV-GFCCVEGCSA-N -1 1 347.423 -0.360 20 0 EBADMM Cc1nn(C)cc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000972973598 725614145 /nfs/dbraw/zinc/61/41/45/725614145.db2.gz SJEITBMQRJBLSC-GFCCVEGCSA-N -1 1 347.423 -0.209 20 0 EBADMM CC1(C)C[C@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000973182196 725659707 /nfs/dbraw/zinc/65/97/07/725659707.db2.gz BKIVEXAPCNYAQS-GUBZILKMSA-N -1 1 344.375 -0.159 20 0 EBADMM O=C(CN1C(=O)CCC1=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973196143 725666814 /nfs/dbraw/zinc/66/68/14/725666814.db2.gz BWPMLRKBDIQDFM-MGCOHNPYSA-N -1 1 346.343 -0.687 20 0 EBADMM O=C(N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)[C@H]1CCC(=O)NC1 ZINC000973198813 725668052 /nfs/dbraw/zinc/66/80/52/725668052.db2.gz JBPCOYZXWVPVLB-DCAQKATOSA-N -1 1 332.360 -0.310 20 0 EBADMM O=C(NCC1CC(NC(=O)[C@@H]2COC(=O)N2)C1)c1ncccc1[O-] ZINC000992048315 725708737 /nfs/dbraw/zinc/70/87/37/725708737.db2.gz ILPYUOCDGSKSQN-RTBKNWGFSA-N -1 1 334.332 -0.480 20 0 EBADMM Cn1cc(C(=O)NC2CC(CNC(=O)c3ncccc3[O-])C2)nn1 ZINC000992047590 725708962 /nfs/dbraw/zinc/70/89/62/725708962.db2.gz GAEBAPKLLPXYMZ-UHFFFAOYSA-N -1 1 330.348 -0.146 20 0 EBADMM CN1CCOC[C@H]1C(=O)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC000992048860 725709130 /nfs/dbraw/zinc/70/91/30/725709130.db2.gz LNLOTCGETGKBSV-BPCQOVAHSA-N -1 1 348.403 -0.258 20 0 EBADMM O=C(Cc1nc[nH]n1)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC000992055399 725710744 /nfs/dbraw/zinc/71/07/44/725710744.db2.gz IRVCDWSHEBUXPQ-UHFFFAOYSA-N -1 1 330.348 -0.227 20 0 EBADMM O=C(Cc1nnc[nH]1)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC000992055399 725710750 /nfs/dbraw/zinc/71/07/50/725710750.db2.gz IRVCDWSHEBUXPQ-UHFFFAOYSA-N -1 1 330.348 -0.227 20 0 EBADMM Cn1cnc(C(=O)NCC2CC(NC(=O)c3ncccc3[O-])C2)n1 ZINC000992083121 725720119 /nfs/dbraw/zinc/72/01/19/725720119.db2.gz YMHSHJRFKVCRRB-UHFFFAOYSA-N -1 1 330.348 -0.146 20 0 EBADMM C[C@@H]1C[C@@H]1CC(=O)N[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000973465778 725756145 /nfs/dbraw/zinc/75/61/45/725756145.db2.gz PPHXBRYIFKYQTN-GWOFURMSSA-N -1 1 344.375 -0.159 20 0 EBADMM Cn1ncc(C(=O)NCC2CC(NC(=O)c3ncccc3[O-])C2)n1 ZINC000992236152 725758248 /nfs/dbraw/zinc/75/82/48/725758248.db2.gz VSCOWLDJEPWXCM-UHFFFAOYSA-N -1 1 330.348 -0.146 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CC(NC(=O)C(F)F)C1 ZINC000992240078 725758624 /nfs/dbraw/zinc/75/86/24/725758624.db2.gz CFEUZGWBRFQARQ-UHFFFAOYSA-N -1 1 346.290 -0.214 20 0 EBADMM CCC(=O)NC1C[C@H]2CC[C@@H](C1)N2C(=O)CCn1cc[n-]c(=O)c1=O ZINC000973556536 725778539 /nfs/dbraw/zinc/77/85/39/725778539.db2.gz XZBLEXJCCBJZER-YHWZYXNKSA-N -1 1 348.403 -0.025 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)c4cccnn4)CCC[C@@H]23)nc1=O ZINC000992354118 725785033 /nfs/dbraw/zinc/78/50/33/725785033.db2.gz VWFLYFLREWNDJB-WBMJQRKESA-N -1 1 343.391 -0.175 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)[C@@H]4CCOC4)CCC[C@H]23)nc1=O ZINC000992395736 725791381 /nfs/dbraw/zinc/79/13/81/725791381.db2.gz UZXTXPKFBTVBEP-WQGACYEGSA-N -1 1 335.408 -0.242 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2C[C@@H](NC(=O)Cn3c(=O)[n-][nH]c3=O)C2)c1C ZINC000973974637 725838980 /nfs/dbraw/zinc/83/89/80/725838980.db2.gz LVTCMZZSSVNBDI-DTORHVGOSA-N -1 1 349.351 -0.894 20 0 EBADMM O=C(N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)[C@@H]1CCNC(=O)C1 ZINC000974024209 725848369 /nfs/dbraw/zinc/84/83/69/725848369.db2.gz NGWMAHMAPFKQNU-MXWKQRLJSA-N -1 1 332.360 -0.310 20 0 EBADMM Cc1ncncc1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC000993093598 725854535 /nfs/dbraw/zinc/85/45/35/725854535.db2.gz PUHCSFZHXIODAM-YPMHNXCESA-N -1 1 345.407 -0.010 20 0 EBADMM CN1CC[C@@H](C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)C1=O ZINC000974054947 725858362 /nfs/dbraw/zinc/85/83/62/725858362.db2.gz UMZMYSMRAUKZIJ-AXFHLTTASA-N -1 1 332.360 -0.358 20 0 EBADMM Cn1cc(C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)ncc1=O ZINC000974056246 725858742 /nfs/dbraw/zinc/85/87/42/725858742.db2.gz HBLHFWUVHJYFGA-AOOOYVTPSA-N -1 1 343.343 -0.428 20 0 EBADMM Cn1oc(C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)cc1=O ZINC000974056883 725859087 /nfs/dbraw/zinc/85/90/87/725859087.db2.gz NSMSANKOKSOVCG-DTORHVGOSA-N -1 1 332.316 -0.230 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)C2=CCOCC2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993229039 725864274 /nfs/dbraw/zinc/86/42/74/725864274.db2.gz JPIUIGSOUYFPQD-YPMHNXCESA-N -1 1 335.408 -0.076 20 0 EBADMM C[C@H]1[C@H](NC(=O)C2=CCOCC2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993229031 725864518 /nfs/dbraw/zinc/86/45/18/725864518.db2.gz JPIUIGSOUYFPQD-WCQYABFASA-N -1 1 335.408 -0.076 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCCN1Cc1nc(=O)n(C)[nH]1 ZINC000993250136 725865588 /nfs/dbraw/zinc/86/55/88/725865588.db2.gz PNORCOISNLLYJK-QWRGUYRKSA-N -1 1 346.391 -0.008 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cc2cncnc2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993280283 725868335 /nfs/dbraw/zinc/86/83/35/725868335.db2.gz KFXUPJCUJWRZJP-YPMHNXCESA-N -1 1 345.407 -0.390 20 0 EBADMM Cc1ccnc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)n1 ZINC000993286886 725869334 /nfs/dbraw/zinc/86/93/34/725869334.db2.gz ROXUNRFQIZESKK-VXGBXAGGSA-N -1 1 345.407 -0.010 20 0 EBADMM Cc1nnc(CC(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)o1 ZINC000993328637 725873129 /nfs/dbraw/zinc/87/31/29/725873129.db2.gz NKZYNVZSQLZTLV-GXSJLCMTSA-N -1 1 349.395 -0.488 20 0 EBADMM O=C(C[C@H]1CCC(=O)NC1)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000993380954 725881984 /nfs/dbraw/zinc/88/19/84/725881984.db2.gz LAUIGIFNFBNJAJ-SNVBAGLBSA-N -1 1 332.360 -0.356 20 0 EBADMM Cc1ncn(C)c1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1C ZINC000993458475 725890909 /nfs/dbraw/zinc/89/09/09/725890909.db2.gz SXWMXIPFKAXKDW-RYUDHWBXSA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2cn[nH]c(=O)c2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993470959 725892973 /nfs/dbraw/zinc/89/29/73/725892973.db2.gz ZUTHRSLBOLLZHE-GXSJLCMTSA-N -1 1 347.379 -0.613 20 0 EBADMM Cc1nc(CN2CCC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)[C@H]2C)n[nH]1 ZINC000993548183 725902155 /nfs/dbraw/zinc/90/21/55/725902155.db2.gz MANFWNGZMPELEL-APPZFPTMSA-N -1 1 348.367 -0.508 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2csnn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993637016 725918887 /nfs/dbraw/zinc/91/88/87/725918887.db2.gz RHIZKKSWAHXPPF-RKDXNWHRSA-N -1 1 337.409 -0.257 20 0 EBADMM Cc1cnn(C)c1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1C ZINC000993699086 725929438 /nfs/dbraw/zinc/92/94/38/725929438.db2.gz BSHXKVUDRIXKDV-NWDGAFQWSA-N -1 1 347.423 -0.067 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@@H](NC(=O)c2cnco2)C1 ZINC000974324219 725945064 /nfs/dbraw/zinc/94/50/64/725945064.db2.gz SUNIBMFECOIDKH-KNVOCYPGSA-N -1 1 349.303 -0.425 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)[C@@H]1COC(=O)N1 ZINC000993959744 725967399 /nfs/dbraw/zinc/96/73/99/725967399.db2.gz ROWOPJUUOXZLEA-MXWKQRLJSA-N -1 1 348.359 -0.280 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCO[C@@H](CNCc2ccccn2)C1 ZINC001051699442 735335282 /nfs/dbraw/zinc/33/52/82/735335282.db2.gz QRVPMTHOJFBTPF-NSHDSACASA-N -1 1 346.347 -0.691 20 0 EBADMM CCCC(=O)N1CC[C@@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)[C@@H]2C1 ZINC000974575007 726040063 /nfs/dbraw/zinc/04/00/63/726040063.db2.gz JQZHHWIZKBRCGO-ZYHUDNBSSA-N -1 1 344.375 -0.109 20 0 EBADMM Cn1ccc(CC(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)n1 ZINC000974577532 726040273 /nfs/dbraw/zinc/04/02/73/726040273.db2.gz OMJSZIWHWQELHH-LBPRGKRZSA-N -1 1 347.423 -0.589 20 0 EBADMM Cc1nn[nH]c1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000974932627 726083826 /nfs/dbraw/zinc/08/38/26/726083826.db2.gz VGNYGWRLFPMWEO-VIFPVBQESA-N -1 1 334.384 -0.825 20 0 EBADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)c1cnc2n1CCOC2 ZINC000994834497 726096581 /nfs/dbraw/zinc/09/65/81/726096581.db2.gz QBHIIVNAURYIEL-UHFFFAOYSA-N -1 1 343.343 -0.232 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CC[C@H](CNCc3nnnn3C)C2)c1[O-] ZINC000994880727 726108343 /nfs/dbraw/zinc/10/83/43/726108343.db2.gz LEXBPZZBLQRMPV-UWVGGRQHSA-N -1 1 334.384 -0.364 20 0 EBADMM Cn1nnc(C(=O)N2CCC[C@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC000975251392 726122116 /nfs/dbraw/zinc/12/21/16/726122116.db2.gz FRQKRZXCAOYFFM-SNVBAGLBSA-N -1 1 345.363 -0.407 20 0 EBADMM O=C(NC[C@H]1CCCN(C(=O)c2ncccc2[O-])C1)[C@H]1CCNC1=O ZINC000975433210 726150980 /nfs/dbraw/zinc/15/09/80/726150980.db2.gz BESBRCNNZKJVRP-NEPJUHHUSA-N -1 1 346.387 -0.108 20 0 EBADMM CN1CC[C@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC000995123437 726166464 /nfs/dbraw/zinc/16/64/64/726166464.db2.gz YGGBHMDRNAKVQL-JTQLQIEISA-N -1 1 332.360 -0.404 20 0 EBADMM O=C(N[C@H]1CC(=O)N(C2CN(C(=O)c3ncccc3[O-])C2)C1)C1CC1 ZINC000995467344 726232771 /nfs/dbraw/zinc/23/27/71/726232771.db2.gz XDMDZYOTTCSDDA-NSHDSACASA-N -1 1 344.371 -0.261 20 0 EBADMM CC1(C)CN(C(=O)[C@H]2COCCO2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995503600 726263641 /nfs/dbraw/zinc/26/36/41/726263641.db2.gz MZDBHMICUJJBRC-ZJUUUORDSA-N -1 1 325.369 -0.748 20 0 EBADMM CCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)CCn1cc[n-]c(=O)c1=O ZINC000995556491 726274678 /nfs/dbraw/zinc/27/46/78/726274678.db2.gz WAFPPCVFNNFALD-WOPDTQHZSA-N -1 1 334.376 -0.415 20 0 EBADMM Cc1ncc(C(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2[nH]nc(C)c2[O-])[nH]1 ZINC000976364091 726277042 /nfs/dbraw/zinc/27/70/42/726277042.db2.gz PDLHPMUBIZWRFY-JZYVYDRUSA-N -1 1 330.348 -0.044 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)[C@@H]3CCCNC3=O)C[C@H]21)c1ncccc1[O-] ZINC000976392570 726289553 /nfs/dbraw/zinc/28/95/53/726289553.db2.gz ADNFOWNRFLZOMJ-DCQANWLSSA-N -1 1 344.371 -0.500 20 0 EBADMM C[C@]1(C(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ncccc2[O-])CCNC1=O ZINC000976404943 726294653 /nfs/dbraw/zinc/29/46/53/726294653.db2.gz RPBKJJMKVGCAER-XLKPWHTISA-N -1 1 344.371 -0.500 20 0 EBADMM CC1(C)CN(C(=O)CCn2ccnn2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995726242 726294784 /nfs/dbraw/zinc/29/47/84/726294784.db2.gz IGQWVIOODWVFBU-JTQLQIEISA-N -1 1 334.384 -0.481 20 0 EBADMM Cc1nnc(CC(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)o1 ZINC000995771764 726298197 /nfs/dbraw/zinc/29/81/97/726298197.db2.gz GLPMWAXAYPKZEI-VIFPVBQESA-N -1 1 335.368 -0.229 20 0 EBADMM Cn1oc(C(=O)N[C@@H]2[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)cc1=O ZINC000976421710 726299558 /nfs/dbraw/zinc/29/95/58/726299558.db2.gz MMWHFMQVNLRHTI-WOFXILAISA-N -1 1 344.327 -0.421 20 0 EBADMM Cn1ccc(CN[C@@H]2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)CC2(C)C)n1 ZINC000996010775 726323426 /nfs/dbraw/zinc/32/34/26/726323426.db2.gz QJYVGFLRSZCBCQ-SNVBAGLBSA-N -1 1 347.379 -0.343 20 0 EBADMM O=C(N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)[C@H]1CCC(=O)N1)c1ncccc1[O-] ZINC000996145568 726338188 /nfs/dbraw/zinc/33/81/88/726338188.db2.gz RMQHGHRWBAEVRS-WYUUTHIRSA-N -1 1 344.371 -0.073 20 0 EBADMM Cn1cc(OCC(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)cn1 ZINC000996204736 726344692 /nfs/dbraw/zinc/34/46/92/726344692.db2.gz KNGAAJGVQMNREG-LLVKDONJSA-N -1 1 349.395 -0.351 20 0 EBADMM O=C(Cn1nccn1)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000976572772 726353167 /nfs/dbraw/zinc/35/31/67/726353167.db2.gz YKZHYBSPUDGBEG-IWIIMEHWSA-N -1 1 328.332 -0.735 20 0 EBADMM O=C(CCn1ccnc1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051745043 735366713 /nfs/dbraw/zinc/36/67/13/735366713.db2.gz OXKPDTZSUSHZGX-LLVKDONJSA-N -1 1 335.368 -0.886 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)C[C@H]2C[C@H]2C)C1 ZINC000996396079 726384721 /nfs/dbraw/zinc/38/47/21/726384721.db2.gz GQUBLAQURVPNIP-HTQZYQBOSA-N -1 1 336.348 -0.117 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)[C@H]2CC23CC3)C1 ZINC000996397128 726385250 /nfs/dbraw/zinc/38/52/50/726385250.db2.gz MOJFFMLGNMJVKB-MRVPVSSYSA-N -1 1 334.332 -0.363 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)[C@H]2CC2(C)C)C1 ZINC000996397248 726386120 /nfs/dbraw/zinc/38/61/20/726386120.db2.gz NZHBPBRZISAAID-MRVPVSSYSA-N -1 1 336.348 -0.117 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)/C=C\C2CC2)C1 ZINC000996397289 726386248 /nfs/dbraw/zinc/38/62/48/726386248.db2.gz OQLPMJGOYPHTMH-PLNGDYQASA-N -1 1 334.332 -0.197 20 0 EBADMM O=C(NC1CN(C(=O)[C@@H]2CC[C@@H](F)C2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996403851 726387829 /nfs/dbraw/zinc/38/78/29/726387829.db2.gz BNFBUTMKLJVUHT-RKDXNWHRSA-N -1 1 348.338 -0.504 20 0 EBADMM CS[C@@H](C)C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996408420 726389024 /nfs/dbraw/zinc/38/90/24/726389024.db2.gz PDDWZBIQQFRJNP-ZETCQYMHSA-N -1 1 336.377 -0.890 20 0 EBADMM O=C(NC1CN(C(=O)[C@H]2CC=CCC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996409676 726389256 /nfs/dbraw/zinc/38/92/56/726389256.db2.gz XJNIHBVCAUPNJU-JTQLQIEISA-N -1 1 342.359 -0.286 20 0 EBADMM O=C(CC(F)(F)F)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996409636 726389346 /nfs/dbraw/zinc/38/93/46/726389346.db2.gz WUPRVNCNBPTXMO-UHFFFAOYSA-N -1 1 344.253 -0.689 20 0 EBADMM O=C(NC1CN(C(=O)c2ccsn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996409720 726389401 /nfs/dbraw/zinc/38/94/01/726389401.db2.gz XVSOBYWWRZJZMJ-UHFFFAOYSA-N -1 1 345.344 -0.872 20 0 EBADMM Cn1ccc(CN[C@H]2CN(C(=O)Cn3c(=O)[n-][nH]c3=O)CC2(C)C)n1 ZINC000996565535 726418681 /nfs/dbraw/zinc/41/86/81/726418681.db2.gz YRZFCKMPTSAQSW-NSHDSACASA-N -1 1 349.395 -0.550 20 0 EBADMM O=C(CCc1n[nH]c(=O)[n-]c1=O)N1CCC(NCc2cnon2)CC1 ZINC000996754257 726425426 /nfs/dbraw/zinc/42/54/26/726425426.db2.gz XQYMEPGDAOPVDZ-UHFFFAOYSA-N -1 1 349.351 -0.621 20 0 EBADMM CCc1cc(C(=O)N2CC(NC(=O)c3cnc([O-])n(C)c3=O)C2)n[nH]1 ZINC000997357320 726447779 /nfs/dbraw/zinc/44/77/79/726447779.db2.gz FUUHBTVCRWDRBZ-UHFFFAOYSA-N -1 1 346.347 -0.974 20 0 EBADMM CN1C(=O)CCC[C@H]1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000997710444 726460859 /nfs/dbraw/zinc/46/08/59/726460859.db2.gz JNPACEPKWWHGAC-NSHDSACASA-N -1 1 332.360 -0.261 20 0 EBADMM CCn1nc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)ccc1=O ZINC000997779383 726464149 /nfs/dbraw/zinc/46/41/49/726464149.db2.gz KWEIMZLRGBMYHO-UHFFFAOYSA-N -1 1 343.343 -0.382 20 0 EBADMM C[C@]1(C(=O)NC2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)C=CCC1 ZINC000997844638 726467186 /nfs/dbraw/zinc/46/71/86/726467186.db2.gz BDOHOOYTYQJPLC-INIZCTEOSA-N -1 1 342.359 -0.286 20 0 EBADMM Cc1coc(C(=O)NC2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)c1 ZINC000997952693 726471333 /nfs/dbraw/zinc/47/13/33/726471333.db2.gz HPCQOTKGYPHQFW-UHFFFAOYSA-N -1 1 342.315 -0.427 20 0 EBADMM CC(C)(C(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC000997987011 726472204 /nfs/dbraw/zinc/47/22/04/726472204.db2.gz SEFWCSFVPUWCPG-UHFFFAOYSA-N -1 1 344.375 -0.206 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)c2oncc2C)C1 ZINC000998967707 726502777 /nfs/dbraw/zinc/50/27/77/726502777.db2.gz KJCIHQIGNWJGGJ-UHFFFAOYSA-N -1 1 349.303 -0.553 20 0 EBADMM CCn1cc(CNC2CC(N(C)C(=O)c3n[nH]c(=O)[n-]c3=O)C2)nn1 ZINC000999056711 726505916 /nfs/dbraw/zinc/50/59/16/726505916.db2.gz FRFGSOAOYOPAHO-UHFFFAOYSA-N -1 1 348.367 -0.713 20 0 EBADMM CCC[C@@H]1C[C@H]1C(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000999247933 726512390 /nfs/dbraw/zinc/51/23/90/726512390.db2.gz XVNLLSHLYJWFET-MWLCHTKSSA-N -1 1 344.375 -0.206 20 0 EBADMM CCN1C[C@@H](C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CC1=O ZINC000999323886 726514290 /nfs/dbraw/zinc/51/42/90/726514290.db2.gz ZGVJGGKEBDSBMD-WDEREUQCSA-N -1 1 336.396 -0.541 20 0 EBADMM COCCn1nccc1C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999461354 726520250 /nfs/dbraw/zinc/52/02/50/726520250.db2.gz HPXKLUJHQITUTG-LLVKDONJSA-N -1 1 349.395 -0.252 20 0 EBADMM COCc1nn(C)cc1C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999553572 726522761 /nfs/dbraw/zinc/52/27/61/726522761.db2.gz IBJWGPSKVQRMOY-SNVBAGLBSA-N -1 1 349.395 -0.215 20 0 EBADMM O=C(c1cnn2c1OCCC2)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999606364 726524545 /nfs/dbraw/zinc/52/45/45/726524545.db2.gz PDIXYXRJGNFKPH-SNVBAGLBSA-N -1 1 347.379 -0.116 20 0 EBADMM CCn1nncc1CN[C@H]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000999607690 726524590 /nfs/dbraw/zinc/52/45/90/726524590.db2.gz DHVXDMASZDPNST-VIFPVBQESA-N -1 1 348.367 -0.711 20 0 EBADMM Cn1ccc(=O)c(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC000999674574 726527037 /nfs/dbraw/zinc/52/70/37/726527037.db2.gz NCDAFGXQYGNIEC-JTQLQIEISA-N -1 1 332.364 -0.397 20 0 EBADMM CN1C(=O)CC[C@@H]1CC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999887105 726538854 /nfs/dbraw/zinc/53/88/54/726538854.db2.gz WGTXGNHJRTZIIU-GHMZBOCLSA-N -1 1 336.396 -0.398 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001000293472 726563229 /nfs/dbraw/zinc/56/32/29/726563229.db2.gz WKDOHNPCMOIPCA-PSASIEDQSA-N -1 1 330.348 -0.596 20 0 EBADMM Cc1cc(CN[C@H]2CCCN(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)nn1C ZINC001000802600 726578719 /nfs/dbraw/zinc/57/87/19/726578719.db2.gz SCMPTKVLUXBDQY-NSHDSACASA-N -1 1 349.395 -0.488 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)[C@H]3CCCC(=O)N3)CC2)nc1=O ZINC001000819608 726579490 /nfs/dbraw/zinc/57/94/90/726579490.db2.gz QBPIYVYFOCMEPW-GFCCVEGCSA-N -1 1 348.407 -0.975 20 0 EBADMM CN1CCOC[C@@H]1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001001492991 726608816 /nfs/dbraw/zinc/60/88/16/726608816.db2.gz GKAQSQPKRHPZQR-GFCCVEGCSA-N -1 1 334.376 -0.694 20 0 EBADMM O=C(NCC1CN(C(=O)c2cc[n+]([O-])cc2)C1)c1ncccc1[O-] ZINC001001537553 726611000 /nfs/dbraw/zinc/61/10/00/726611000.db2.gz PYUVHZUHKOJYIB-UHFFFAOYSA-N -1 1 328.328 -0.077 20 0 EBADMM NC(=O)[C@@H]1CC[C@H](C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)O1 ZINC001001542772 726611246 /nfs/dbraw/zinc/61/12/46/726611246.db2.gz ZCSFIYMPMBYSDV-NWDGAFQWSA-N -1 1 348.359 -0.992 20 0 EBADMM Cc1nnc(CC(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)o1 ZINC001001542149 726611295 /nfs/dbraw/zinc/61/12/95/726611295.db2.gz RSIHYCUEJRPCLY-UHFFFAOYSA-N -1 1 331.332 -0.090 20 0 EBADMM Cn1cc(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)n(C)c1=O ZINC001001545219 726611407 /nfs/dbraw/zinc/61/14/07/726611407.db2.gz SWIJNTNKLOMBNH-UHFFFAOYSA-N -1 1 345.359 -0.674 20 0 EBADMM O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-])[C@H]1CCC(=O)N1 ZINC001001660647 726614297 /nfs/dbraw/zinc/61/42/97/726614297.db2.gz CYEWJCDPYVBNSW-KKOKHZNYSA-N -1 1 344.371 -0.073 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)c3ccnnc3)CC2)nc1=O ZINC001001893222 726626563 /nfs/dbraw/zinc/62/65/63/726626563.db2.gz PSTTZWAUYLPHLI-UHFFFAOYSA-N -1 1 331.380 -0.460 20 0 EBADMM O=C(NCC1CN(C(=O)c2nc[nH]n2)C1)c1cnc(C2CC2)[n-]c1=O ZINC001001952587 726628118 /nfs/dbraw/zinc/62/81/18/726628118.db2.gz UMBSMUKPLYFCEI-UHFFFAOYSA-N -1 1 343.347 -0.320 20 0 EBADMM C[C@H](C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1)n1cccn1 ZINC001002382876 726639721 /nfs/dbraw/zinc/63/97/21/726639721.db2.gz YDWIHJHEGNTHLH-LLVKDONJSA-N -1 1 333.396 -0.353 20 0 EBADMM CN(C[C@@H]1CCCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1CCNC1=O ZINC001002411933 726640495 /nfs/dbraw/zinc/64/04/95/726640495.db2.gz NNTSAWZZTBUKJD-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@H]1CCCN1C(=O)c1ncccc1[O-])C(=O)[C@@H]1CCNC1=O ZINC001002411936 726640524 /nfs/dbraw/zinc/64/05/24/726640524.db2.gz NNTSAWZZTBUKJD-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(CNC(=O)c2cc[nH]c2)C1 ZINC001002424127 726640661 /nfs/dbraw/zinc/64/06/61/726640661.db2.gz JKVSUARADOOHEN-UHFFFAOYSA-N -1 1 345.359 -0.857 20 0 EBADMM CN(C[C@H]1CCCN1C(=O)[C@@H]1CNC(=O)N1)C(=O)c1ncccc1[O-] ZINC001002905788 726653474 /nfs/dbraw/zinc/65/34/74/726653474.db2.gz ZEKGVDOSRJLZAL-MNOVXSKESA-N -1 1 347.375 -0.468 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CN(C(=O)C(C)(F)F)C1 ZINC001003314286 726669025 /nfs/dbraw/zinc/66/90/25/726669025.db2.gz IOHOZUQYJGEQSX-UHFFFAOYSA-N -1 1 346.290 -0.260 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CN(C(=O)CSC)C1 ZINC001003316539 726669329 /nfs/dbraw/zinc/66/93/29/726669329.db2.gz HBWYYGXTBGATKN-UHFFFAOYSA-N -1 1 342.377 -0.552 20 0 EBADMM C[C@@H](C(=O)N1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC001003318748 726669505 /nfs/dbraw/zinc/66/95/05/726669505.db2.gz HZIGAIUIAHQZBA-SECBINFHSA-N -1 1 344.375 -0.348 20 0 EBADMM CC1(C(=O)N2CC(CNC(=O)c3cc(=O)n4[n-]cnc4n3)C2)CC1 ZINC001003320047 726669773 /nfs/dbraw/zinc/66/97/73/726669773.db2.gz RKASJOVWPCJCGI-UHFFFAOYSA-N -1 1 330.348 -0.594 20 0 EBADMM COc1ccnc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c1 ZINC001003410753 726673211 /nfs/dbraw/zinc/67/32/11/726673211.db2.gz FZMFJPFXJQVQJO-UHFFFAOYSA-N -1 1 346.391 -0.094 20 0 EBADMM NC(=O)[C@@H]1CC[C@H](C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)O1 ZINC001004412645 726706828 /nfs/dbraw/zinc/70/68/28/726706828.db2.gz USBPNRMKWCOIKZ-NWDGAFQWSA-N -1 1 348.359 -0.992 20 0 EBADMM C[C@H]1CCN(C(=O)c2ccn[nH]2)C[C@H]1NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001005099048 726724687 /nfs/dbraw/zinc/72/46/87/726724687.db2.gz FYWWVVNTZBRVJC-WCBMZHEXSA-N -1 1 349.351 -0.921 20 0 EBADMM CN(C(=O)[C@H]1CCCOC1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005175208 726727912 /nfs/dbraw/zinc/72/79/12/726727912.db2.gz PANUUPQLOPCICV-LBPRGKRZSA-N -1 1 337.424 -0.042 20 0 EBADMM COC1CC(C(=O)N(C)C2CCN(Cc3nc(=O)n(C)[n-]3)CC2)C1 ZINC001005549168 726735685 /nfs/dbraw/zinc/73/56/85/726735685.db2.gz MDLRURDVVHNEAM-UHFFFAOYSA-N -1 1 337.424 -0.044 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC([C@H](C)NC(=O)C2CC2)C1 ZINC001005542089 726735753 /nfs/dbraw/zinc/73/57/53/726735753.db2.gz MOYJUSBFQGMLMN-ZETCQYMHSA-N -1 1 336.348 -0.117 20 0 EBADMM Cc1nc(CN2CCC(N(C)C(=O)c3n[nH]c(=O)[n-]c3=O)CC2)n[nH]1 ZINC001005666080 726738435 /nfs/dbraw/zinc/73/84/35/726738435.db2.gz GCHDOYUBLITOMK-UHFFFAOYSA-N -1 1 348.367 -0.554 20 0 EBADMM C[C@H](NC(=O)[C@@H]1COCCN1C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005930327 726748616 /nfs/dbraw/zinc/74/86/16/726748616.db2.gz WTBLSFOWHBODCT-AAEUAGOBSA-N -1 1 348.403 -0.306 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CCC(=O)N2)C1 ZINC001005953600 726749869 /nfs/dbraw/zinc/74/98/69/726749869.db2.gz TVIHIMBZGFWKSV-ONGXEEELSA-N -1 1 332.360 -0.358 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)C(C)(C)C(N)=O)C1 ZINC001005955515 726749933 /nfs/dbraw/zinc/74/99/33/726749933.db2.gz GSLQXEFVXCMTSY-SECBINFHSA-N -1 1 334.376 -0.125 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)C(C)(C)C(N)=O)C1 ZINC001005955516 726749984 /nfs/dbraw/zinc/74/99/84/726749984.db2.gz GSLQXEFVXCMTSY-VIFPVBQESA-N -1 1 334.376 -0.125 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CCNC2=O)C1 ZINC001005956539 726750094 /nfs/dbraw/zinc/75/00/94/726750094.db2.gz LLTPQWHEDKBORI-GXSJLCMTSA-N -1 1 332.360 -0.500 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001005957863 726750127 /nfs/dbraw/zinc/75/01/27/726750127.db2.gz PZVKYFFZDRDXNY-GHMZBOCLSA-N -1 1 346.387 -0.110 20 0 EBADMM CC(C)=CC(=O)N1CC([C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001006469601 726770452 /nfs/dbraw/zinc/77/04/52/726770452.db2.gz VDUNNEWWQACTAQ-SNVBAGLBSA-N -1 1 344.375 -0.040 20 0 EBADMM C[C@@H](NC(=O)[C@@H]1CN(C)C(=O)N1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006560111 726773681 /nfs/dbraw/zinc/77/36/81/726773681.db2.gz APJSUHKGUDOGQQ-KOLCDFICSA-N -1 1 347.375 -0.613 20 0 EBADMM C[C@H](NC(=O)[C@@H]1CCC(=O)N1C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006629475 726776684 /nfs/dbraw/zinc/77/66/84/726776684.db2.gz VSEAEOZYZNRCDL-JQWIXIFHSA-N -1 1 346.387 -0.015 20 0 EBADMM COc1cc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)on1 ZINC001007167140 726789854 /nfs/dbraw/zinc/78/98/54/726789854.db2.gz NBDAATPWHPWVJD-VIFPVBQESA-N -1 1 336.352 -0.501 20 0 EBADMM NC(=O)CC(=O)N1C[C@@H]2CCC[C@]2(NC(=O)c2ncccc2[O-])C1 ZINC001007356482 726792728 /nfs/dbraw/zinc/79/27/28/726792728.db2.gz JPCSUJHCKBGPQA-QFYYESIMSA-N -1 1 332.360 -0.227 20 0 EBADMM CCn1nnc(C)c1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001007486423 726794556 /nfs/dbraw/zinc/79/45/56/726794556.db2.gz PQEMAYWLZSWYAX-NSHDSACASA-N -1 1 348.411 -0.577 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)nc(C)n1 ZINC001008862912 726817576 /nfs/dbraw/zinc/81/75/76/726817576.db2.gz PPNYGYSQNSVLSC-LBPRGKRZSA-N -1 1 345.407 -0.090 20 0 EBADMM COC[C@H](C)N1CCC[C@@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001008891774 726823543 /nfs/dbraw/zinc/82/35/43/726823543.db2.gz JIXPIFKGSSVOHL-NWDGAFQWSA-N -1 1 348.407 -0.313 20 0 EBADMM COC[C@H](C)N1CCC[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001008891776 726823825 /nfs/dbraw/zinc/82/38/25/726823825.db2.gz JIXPIFKGSSVOHL-RYUDHWBXSA-N -1 1 348.407 -0.313 20 0 EBADMM O=C(NC[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)[C@@H]1COC(=O)N1 ZINC001009022304 726846793 /nfs/dbraw/zinc/84/67/93/726846793.db2.gz WZEACZLHOUOJJV-MMWGEVLESA-N -1 1 346.343 -0.670 20 0 EBADMM C[C@H](NC(=O)c1cn[nH]n1)[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001009677069 726959667 /nfs/dbraw/zinc/95/96/67/726959667.db2.gz FWKMMZPAGYKLJX-JOYOIKCWSA-N -1 1 346.347 -0.435 20 0 EBADMM C[C@@H](NC(=O)c1cnon1)[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001009687324 726965442 /nfs/dbraw/zinc/96/54/42/726965442.db2.gz XMBKBQIRTGVVDT-BXKDBHETSA-N -1 1 347.331 -0.170 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCN(C(=O)C2CCCC2)CC1 ZINC001009734341 726986119 /nfs/dbraw/zinc/98/61/19/726986119.db2.gz FEHXISUWHLTNTA-UHFFFAOYSA-N -1 1 344.375 -0.108 20 0 EBADMM CC(=O)N1C[C@@H]2CC[C@@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]2C1 ZINC001009851877 727006362 /nfs/dbraw/zinc/00/63/62/727006362.db2.gz GBPKAYOFVBAARQ-SDDRHHMPSA-N -1 1 344.375 -0.206 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(C(=O)c2ccc[nH]2)CC1 ZINC001010323403 727071822 /nfs/dbraw/zinc/07/18/22/727071822.db2.gz NPCFHEYNPAPLOG-UHFFFAOYSA-N -1 1 347.331 -0.177 20 0 EBADMM CC[C@@H](C)C(=O)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001010395486 727084267 /nfs/dbraw/zinc/08/42/67/727084267.db2.gz VWKZJABGAXWSBV-SNVBAGLBSA-N -1 1 332.364 -0.252 20 0 EBADMM O=C(CC1=CCOCC1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051804612 735405322 /nfs/dbraw/zinc/40/53/22/735405322.db2.gz HOSGWUXZGGVXQH-GFCCVEGCSA-N -1 1 337.380 -0.436 20 0 EBADMM CCC(=O)N1CC2(C1)CCCN(C(=O)c1cc(=O)n3[n-]cnc3n1)C2 ZINC001010440435 727094886 /nfs/dbraw/zinc/09/48/86/727094886.db2.gz FIIFPMGDGGRWFI-UHFFFAOYSA-N -1 1 344.375 -0.108 20 0 EBADMM NC(=O)[C@@H]1CC[C@@H]1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011036665 727186535 /nfs/dbraw/zinc/18/65/35/727186535.db2.gz SPBUVOHBQJVPIN-MNOVXSKESA-N -1 1 332.360 -0.417 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)Cn1cnnn1 ZINC001011466798 727529274 /nfs/dbraw/zinc/52/92/74/727529274.db2.gz BRTIYRRFPKDRSW-VHSXEESVSA-N -1 1 331.336 -0.807 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CCN(C(=O)CCc3nc[nH]n3)[C@H]2C)c1[O-] ZINC001011628891 727550860 /nfs/dbraw/zinc/55/08/60/727550860.db2.gz RMSPLUHMCFLGON-VHSXEESVSA-N -1 1 347.379 -0.106 20 0 EBADMM C[C@H]1[C@@H](NC(=O)Cc2nc[nH]n2)CCN1C(=O)c1ncccc1[O-] ZINC001011681711 727558140 /nfs/dbraw/zinc/55/81/40/727558140.db2.gz XHKCJGAAOHRCOM-UWVGGRQHSA-N -1 1 330.348 -0.133 20 0 EBADMM C[C@H]1[C@@H](NC(=O)Cc2nnc[nH]2)CCN1C(=O)c1ncccc1[O-] ZINC001011681711 727558142 /nfs/dbraw/zinc/55/81/42/727558142.db2.gz XHKCJGAAOHRCOM-UWVGGRQHSA-N -1 1 330.348 -0.133 20 0 EBADMM Cc1cc(=O)c(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)c[nH]1 ZINC001051891684 735449126 /nfs/dbraw/zinc/44/91/26/735449126.db2.gz BSERWLFUEPQXHK-JTQLQIEISA-N -1 1 348.363 -0.862 20 0 EBADMM C[C@H]1[C@@H](NC(=O)C2CCC2)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001011897944 727599164 /nfs/dbraw/zinc/59/91/64/727599164.db2.gz HYPMXRQIKAPRRF-AAEUAGOBSA-N -1 1 348.403 -0.168 20 0 EBADMM C[C@@H]1[C@H](NC(=O)C(F)F)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001011899587 727599879 /nfs/dbraw/zinc/59/98/79/727599879.db2.gz QKVZQKJFUGTSLA-RKDXNWHRSA-N -1 1 344.318 -0.703 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)Cn1cncn1 ZINC001012082141 727633335 /nfs/dbraw/zinc/63/33/35/727633335.db2.gz RUGVTFBCEXAJSX-WDEREUQCSA-N -1 1 330.348 -0.202 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)CS(C)(=O)=O ZINC001012361483 727658089 /nfs/dbraw/zinc/65/80/89/727658089.db2.gz MKVLBGMDLHQEMQ-UWVGGRQHSA-N -1 1 341.389 -0.449 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)CS(C)(=O)=O ZINC001012361484 727658092 /nfs/dbraw/zinc/65/80/92/727658092.db2.gz MKVLBGMDLHQEMQ-VHSXEESVSA-N -1 1 341.389 -0.449 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@H]2C[C@@H](C)N(C(=O)c3ncccc3[O-])C2)C1 ZINC001012509648 727673771 /nfs/dbraw/zinc/67/37/71/727673771.db2.gz IYTVEVQLZDTVRM-MFKMUULPSA-N -1 1 346.387 -0.015 20 0 EBADMM COc1cccnc1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051916822 735459707 /nfs/dbraw/zinc/45/97/07/735459707.db2.gz NFFHDHNNPJUUII-JTQLQIEISA-N -1 1 348.363 -0.455 20 0 EBADMM COc1cccnc1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051916823 735459721 /nfs/dbraw/zinc/45/97/21/735459721.db2.gz NFFHDHNNPJUUII-SNVBAGLBSA-N -1 1 348.363 -0.455 20 0 EBADMM O=C(COc1ccccc1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051917052 735459883 /nfs/dbraw/zinc/45/98/83/735459883.db2.gz QRSBPPMNYIIVDH-CYBMUJFWSA-N -1 1 347.375 -0.094 20 0 EBADMM C[C@H]1C[C@H](NC(=O)C2(C)CC2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001013238116 727786116 /nfs/dbraw/zinc/78/61/16/727786116.db2.gz BNCUJHRGXCGSIH-RYUDHWBXSA-N -1 1 348.403 -0.168 20 0 EBADMM CC(C)C(=O)N[C@H]1C[C@@H](C)N(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001013238438 727786169 /nfs/dbraw/zinc/78/61/69/727786169.db2.gz DPQCMACDDGUEFY-NEPJUHHUSA-N -1 1 336.392 -0.312 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H](NC(=O)C(F)F)C[C@@H]1C ZINC001013318852 727793661 /nfs/dbraw/zinc/79/36/61/727793661.db2.gz WBWSYADVFTTZTE-NTSWFWBYSA-N -1 1 346.290 -0.119 20 0 EBADMM C[C@H]1C[C@H](NC(=O)C(F)F)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001013325150 727793808 /nfs/dbraw/zinc/79/38/08/727793808.db2.gz IWSJKVYZBMUVQK-BQBZGAKWSA-N -1 1 340.290 -0.598 20 0 EBADMM Cc1nccc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001051936670 735473912 /nfs/dbraw/zinc/47/39/12/735473912.db2.gz IALVPTSGLASMCT-JTQLQIEISA-N -1 1 333.352 -0.760 20 0 EBADMM Cn1cc(Cl)c(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001014541078 727966251 /nfs/dbraw/zinc/96/62/51/727966251.db2.gz PLEDYXQKXYXPQJ-QMMMGPOBSA-N -1 1 339.787 -0.500 20 0 EBADMM Cn1nc(C2CC2)cc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001014595151 727974462 /nfs/dbraw/zinc/97/44/62/727974462.db2.gz NEOUGIPSDXVRPF-LLVKDONJSA-N -1 1 345.407 -0.276 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cn4c(n3)CCCC4)C2)nc1=O ZINC001014621763 727980642 /nfs/dbraw/zinc/98/06/42/727980642.db2.gz XARLVPCSYFQZJL-LLVKDONJSA-N -1 1 345.407 -0.355 20 0 EBADMM Cc1[nH]nc(Cl)c1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001014667718 727990420 /nfs/dbraw/zinc/99/04/20/727990420.db2.gz CAYMYCFMEUWYCM-MRVPVSSYSA-N -1 1 339.787 -0.202 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cn2cnnn2)CCCN1C(=O)c1ncccc1[O-] ZINC001014747323 728002576 /nfs/dbraw/zinc/00/25/76/728002576.db2.gz UCIKAENRKZAPRZ-MNOVXSKESA-N -1 1 345.363 -0.417 20 0 EBADMM COc1cncc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC001014935938 728037054 /nfs/dbraw/zinc/03/70/54/728037054.db2.gz KRXUAEBRLLFGMA-LLVKDONJSA-N -1 1 346.391 -0.175 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@@H]3CCn4ccnc4C3)C2)nc1=O ZINC001015000701 728052708 /nfs/dbraw/zinc/05/27/08/728052708.db2.gz QOXPAQIONBKDGK-NEPJUHHUSA-N -1 1 345.407 -0.742 20 0 EBADMM CCOc1nc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)co1 ZINC001015104115 728079579 /nfs/dbraw/zinc/07/95/79/728079579.db2.gz QDBSWIVAPVOPMQ-VIFPVBQESA-N -1 1 336.352 -0.501 20 0 EBADMM Cc1ncccc1CN1CC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001015109702 728080798 /nfs/dbraw/zinc/08/07/98/728080798.db2.gz LDDVNJQSOLRDEI-NSHDSACASA-N -1 1 330.348 -0.009 20 0 EBADMM Cc1cc(C)n(CCN2CC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001015110344 728081172 /nfs/dbraw/zinc/08/11/72/728081172.db2.gz QZAKBECVPZGNOD-NSHDSACASA-N -1 1 347.379 -0.400 20 0 EBADMM Cc1nc([C@H](C)N2CC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001015117832 728083956 /nfs/dbraw/zinc/08/39/56/728083956.db2.gz HAOJCMJDCHMNAF-XPUUQOCRSA-N -1 1 334.340 -0.725 20 0 EBADMM CCc1nnsc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001015152975 728097404 /nfs/dbraw/zinc/09/74/04/728097404.db2.gz MAIVMFYOFARUAM-QMMMGPOBSA-N -1 1 337.409 -0.473 20 0 EBADMM C[C@H]1[C@H](NC(=O)C(N)=O)CCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001015189938 728109576 /nfs/dbraw/zinc/10/95/76/728109576.db2.gz MWUXDUWZZIUGMY-GZMMTYOYSA-N -1 1 347.375 -0.346 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@@H]3C[C@H]3C3CCOCC3)C2)nc1=O ZINC001015243712 728119304 /nfs/dbraw/zinc/11/93/04/728119304.db2.gz VCLONUOALZSWSI-MELADBBJSA-N -1 1 349.435 -0.138 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ccn4ccnc4c3)C2)nc1=O ZINC001015247100 728120333 /nfs/dbraw/zinc/12/03/33/728120333.db2.gz LWMBSSDJRKDTDO-LBPRGKRZSA-N -1 1 341.375 -0.240 20 0 EBADMM CCC(=O)N[C@H]1CC[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001015264673 728125368 /nfs/dbraw/zinc/12/53/68/728125368.db2.gz GIPSJZGGGQNWJD-ZJUUUORDSA-N -1 1 332.364 -0.158 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)Cc3ccc(=O)[nH]c3)C2)nc1=O ZINC001015310227 728396564 /nfs/dbraw/zinc/39/65/64/728396564.db2.gz LCTFLSNMEJIBAS-LLVKDONJSA-N -1 1 332.364 -0.858 20 0 EBADMM O=C(Cn1cnnn1)N[C@H]1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001015328270 728400635 /nfs/dbraw/zinc/40/06/35/728400635.db2.gz VGKOHUJBLKXXPT-QWRGUYRKSA-N -1 1 345.363 -0.511 20 0 EBADMM O=C(Cn1cnnn1)N[C@@H]1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001015328268 728400742 /nfs/dbraw/zinc/40/07/42/728400742.db2.gz VGKOHUJBLKXXPT-GHMZBOCLSA-N -1 1 345.363 -0.511 20 0 EBADMM CCc1cc(CC(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001015357375 728405002 /nfs/dbraw/zinc/40/50/02/728405002.db2.gz VUTAMMWUBXSUSX-GFCCVEGCSA-N -1 1 347.423 -0.663 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ocnc3C3CC3)C2)nc1=O ZINC001015704370 728427956 /nfs/dbraw/zinc/42/79/56/728427956.db2.gz ZLBKSAAYAVSQRG-JTQLQIEISA-N -1 1 332.364 -0.022 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@@H]3Cc4ccncc4C3)C2)nc1=O ZINC001015710154 728429165 /nfs/dbraw/zinc/42/91/65/728429165.db2.gz GMRIZZVQBJKEKZ-TZMCWYRMSA-N -1 1 342.403 -0.391 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cn(CC4CC4)nn3)C2)nc1=O ZINC001015912749 728438318 /nfs/dbraw/zinc/43/83/18/728438318.db2.gz GBQGSXHDVNNHRJ-NSHDSACASA-N -1 1 346.395 -0.886 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@@H]3COc4ccccc43)C2)nc1=O ZINC001016402979 728467983 /nfs/dbraw/zinc/46/79/83/728467983.db2.gz QNAQMYOMCKBDMV-DGCLKSJQSA-N -1 1 343.387 -0.025 20 0 EBADMM CCOc1cnc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001016422741 728471119 /nfs/dbraw/zinc/47/11/19/728471119.db2.gz FCDNLSMGTPNNQU-SNVBAGLBSA-N -1 1 347.379 -0.699 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CC(NC(=O)C2CCC2)C1 ZINC001016766283 728504366 /nfs/dbraw/zinc/50/43/66/728504366.db2.gz NRZCRYINJYELIO-UHFFFAOYSA-N -1 1 344.375 -0.063 20 0 EBADMM CN(C(=O)C(N)=O)C1CC(NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001016950729 728531026 /nfs/dbraw/zinc/53/10/26/728531026.db2.gz QLWPBXKNXLDRTP-UHFFFAOYSA-N -1 1 333.348 -0.736 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)c2cnnn2C)C1 ZINC001017117164 728548688 /nfs/dbraw/zinc/54/86/88/728548688.db2.gz SMTBFLYHRRAEFA-SNVBAGLBSA-N -1 1 334.384 -0.377 20 0 EBADMM O=C(Cn1cncn1)N1CCC(C2(NC(=O)c3cnn[nH]3)CC2)CC1 ZINC001017176256 728551896 /nfs/dbraw/zinc/55/18/96/728551896.db2.gz MMFZZQWKXZSEPS-UHFFFAOYSA-N -1 1 344.379 -0.403 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)C[C@H]2COC(=O)C2)nc1=O ZINC001017476400 728579928 /nfs/dbraw/zinc/57/99/28/728579928.db2.gz OXABSNBFFRFBNI-UTUOFQBUSA-N -1 1 349.391 -0.763 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)C2CC2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001017889295 728622214 /nfs/dbraw/zinc/62/22/14/728622214.db2.gz GRMDTDKLXRWRJN-GXSJLCMTSA-N -1 1 344.375 -0.063 20 0 EBADMM CCn1cc(CC(=O)N2C[C@@H](NC(=O)c3cnn[nH]3)CC[C@H]2C)nn1 ZINC001018251227 728649975 /nfs/dbraw/zinc/64/99/75/728649975.db2.gz PYUHJNRCIPWECD-MNOVXSKESA-N -1 1 346.395 -0.232 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)Cn2ccnc2)nc1=O ZINC001018336571 728659597 /nfs/dbraw/zinc/65/95/97/728659597.db2.gz CPSDCUZIEHFTNR-TXEJJXNPSA-N -1 1 331.380 -0.820 20 0 EBADMM C[C@@H]1CCN(C(=O)CC(N)=O)C[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001018536404 728673953 /nfs/dbraw/zinc/67/39/53/728673953.db2.gz RJTHQNBJRMNHAH-MNOVXSKESA-N -1 1 334.376 -0.123 20 0 EBADMM CC(C)C(=O)N1CC2(C1)CCN(C(=O)c1cc(=O)n3[n-]cnc3n1)C2 ZINC001018795768 728690573 /nfs/dbraw/zinc/69/05/73/728690573.db2.gz XMYVSZMKMCYXDV-UHFFFAOYSA-N -1 1 344.375 -0.252 20 0 EBADMM Cn1ncc(Cl)c1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001018853378 728695336 /nfs/dbraw/zinc/69/53/36/728695336.db2.gz XIZOALYINUCZOJ-ZETCQYMHSA-N -1 1 325.760 -0.099 20 0 EBADMM Cn1nc2c(c1C(=O)N1CC[C@H](NCc3n[nH]c(=O)[n-]3)C1)CCC2 ZINC001019644346 728955370 /nfs/dbraw/zinc/95/53/70/728955370.db2.gz ZWRMCRIKTYWYJF-VIFPVBQESA-N -1 1 331.380 -0.263 20 0 EBADMM Cc1ccn2ncc(C(=O)N3CC[C@H](NCc4n[nH]c(=O)[n-]4)C3)c2n1 ZINC001019669868 728970544 /nfs/dbraw/zinc/97/05/44/728970544.db2.gz KSPMNMVSOQDRSR-JTQLQIEISA-N -1 1 342.363 -0.134 20 0 EBADMM O=C(C[C@H]1CCCCC(=O)N1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019706379 728975418 /nfs/dbraw/zinc/97/54/18/728975418.db2.gz KJYGBKFSXQKKIY-MNOVXSKESA-N -1 1 336.396 -0.350 20 0 EBADMM CNC(=O)c1cccc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001019719372 728977636 /nfs/dbraw/zinc/97/76/36/728977636.db2.gz UJAPOHCHGVJUDE-VIFPVBQESA-N -1 1 345.363 -0.731 20 0 EBADMM Cn1nc(C2CC2)nc1CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019797897 728994910 /nfs/dbraw/zinc/99/49/10/728994910.db2.gz FSIKIODHQAIXAK-JTQLQIEISA-N -1 1 346.395 -0.551 20 0 EBADMM C[C@H]1[C@@H](NC(=O)[C@H]2COC(=O)N2)CCN1C(=O)c1ncccc1[O-] ZINC001020205382 729099964 /nfs/dbraw/zinc/09/99/64/729099964.db2.gz VWHLQLRSOAKRDL-LPEHRKFASA-N -1 1 334.332 -0.385 20 0 EBADMM Cc1ncc(CN[C@H]2C[C@H](NC(=O)c3cnc([O-])n(C)c3=O)C2)o1 ZINC001020460971 729175670 /nfs/dbraw/zinc/17/56/70/729175670.db2.gz YKURXROBNAXYMY-MGCOHNPYSA-N -1 1 333.348 -0.167 20 0 EBADMM CC(=O)N1CC[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H](C)C1 ZINC001020634095 729213271 /nfs/dbraw/zinc/21/32/71/729213271.db2.gz IIPPZMGEYLWLHY-GXSJLCMTSA-N -1 1 332.364 -0.348 20 0 EBADMM CC(=O)NC[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC001020777225 729233087 /nfs/dbraw/zinc/23/30/87/729233087.db2.gz XCHZKQNIKRBPQW-KOLCDFICSA-N -1 1 332.364 -0.348 20 0 EBADMM O=C(NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1)[C@H]1CCC(=O)NC1 ZINC001021306396 729305050 /nfs/dbraw/zinc/30/50/50/729305050.db2.gz MLOPVBZTZGYIRB-YEJSDXFRSA-N -1 1 348.407 -0.352 20 0 EBADMM O=C(NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1)[C@@H]1CCNC1=O ZINC001021348018 729307408 /nfs/dbraw/zinc/30/74/08/729307408.db2.gz UHCAEINLXGSNBK-HUAZRZQGSA-N -1 1 334.380 -0.742 20 0 EBADMM Cn1cnc(C(=O)N2C[C@@H]3CCN(C(=O)c4ncccc4[O-])[C@@H]3C2)n1 ZINC001021442120 729314047 /nfs/dbraw/zinc/31/40/47/729314047.db2.gz IMXQWUOUZJVKNQ-WDEREUQCSA-N -1 1 342.359 -0.098 20 0 EBADMM O=C(c1ncccc1[O-])N1CC[C@H]2CN(C(=O)[C@@H]3CCC(=O)N3)C[C@H]21 ZINC001021442289 729314173 /nfs/dbraw/zinc/31/41/73/729314173.db2.gz JPCUHIOYJNNRBH-SDDRHHMPSA-N -1 1 344.371 -0.261 20 0 EBADMM C[C@@H](N[C@H]1C[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1)c1nncn1C ZINC001022369930 729486024 /nfs/dbraw/zinc/48/60/24/729486024.db2.gz PQXGOJHZSCOXMP-PRJMDXOYSA-N -1 1 334.340 -0.977 20 0 EBADMM Cn1nnc(C(=O)N2CC[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)n1 ZINC001022410590 729491707 /nfs/dbraw/zinc/49/17/07/729491707.db2.gz IQWQUKMJWSHBSG-VHSXEESVSA-N -1 1 343.347 -0.703 20 0 EBADMM Cn1ncnc1CN[C@H]1C[C@@H](NC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001022821648 729535653 /nfs/dbraw/zinc/53/56/53/729535653.db2.gz ZXQIDLVZRNXWGS-AOOOYVTPSA-N -1 1 342.363 -0.798 20 0 EBADMM Cn1nccc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001023172881 729627791 /nfs/dbraw/zinc/62/77/91/729627791.db2.gz JQINWYDZJRXVHF-BXKDBHETSA-N -1 1 331.332 -0.864 20 0 EBADMM Cn1nccc1C(=O)NC[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001023265854 729652935 /nfs/dbraw/zinc/65/29/35/729652935.db2.gz CGTJDNYQSUIISA-LLVKDONJSA-N -1 1 333.396 -0.516 20 0 EBADMM Cn1ncc(C(=O)NC[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)c1N ZINC001023324270 729663749 /nfs/dbraw/zinc/66/37/49/729663749.db2.gz RXDMHJARPGVSBE-JTQLQIEISA-N -1 1 348.411 -0.934 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2cnns2)C[C@H]1O)c1ncccc1[O-] ZINC001023488575 729702479 /nfs/dbraw/zinc/70/24/79/729702479.db2.gz OBTBHVLAABVSDM-VXNVDRBHSA-N -1 1 335.345 -0.746 20 0 EBADMM Cc1ccc(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)nn1 ZINC001023488710 729702790 /nfs/dbraw/zinc/70/27/90/729702790.db2.gz SWNFVOPJIKIGOA-DGCLKSJQSA-N -1 1 343.343 -0.499 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)C2=CCOCC2)C[C@H]1O)c1ncccc1[O-] ZINC001023488790 729702880 /nfs/dbraw/zinc/70/28/80/729702880.db2.gz XAHIVQWLNRGXPR-DGCLKSJQSA-N -1 1 333.344 -0.565 20 0 EBADMM CCc1n[nH]cc1C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023489168 729703271 /nfs/dbraw/zinc/70/32/71/729703271.db2.gz PGDLNWGVIQWXMU-DGCLKSJQSA-N -1 1 345.359 -0.312 20 0 EBADMM CC[C@@H]1OCC[C@H]1C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023490191 729703578 /nfs/dbraw/zinc/70/35/78/729703578.db2.gz CMTMLQWTYYLAFE-OXHZDVMGSA-N -1 1 349.387 -0.096 20 0 EBADMM O=C(Cc1ncc[nH]1)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023490157 729703609 /nfs/dbraw/zinc/70/36/09/729703609.db2.gz ASJZQMKOYCGQGB-MWLCHTKSSA-N -1 1 331.332 -0.946 20 0 EBADMM CO[C@H](C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1)C(C)C ZINC001023490622 729703618 /nfs/dbraw/zinc/70/36/18/729703618.db2.gz KRHDVRIDFMAPTJ-QKCSRTOESA-N -1 1 337.376 -0.240 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2cc(F)c[nH]2)C[C@H]1O)c1ncccc1[O-] ZINC001023492538 729703930 /nfs/dbraw/zinc/70/39/30/729703930.db2.gz UTGQDDHQNTXDOP-ZYHUDNBSSA-N -1 1 334.307 -0.130 20 0 EBADMM O=C(Cc1cnoc1)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023493508 729704456 /nfs/dbraw/zinc/70/44/56/729704456.db2.gz GUMUEMZDRXPHMC-ZYHUDNBSSA-N -1 1 332.316 -0.681 20 0 EBADMM Cc1ncn(C)c1C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023493944 729704596 /nfs/dbraw/zinc/70/45/96/729704596.db2.gz SCZBVUOMWZJOJR-ZYHUDNBSSA-N -1 1 345.359 -0.556 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](CNC(=O)[C@H]3[C@@H]4COC[C@@H]43)C2)nc1=O ZINC001023535270 729716354 /nfs/dbraw/zinc/71/63/54/729716354.db2.gz HQDJNGPNDWUQTL-CIQGVGRVSA-N -1 1 335.408 -0.671 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](CNC(=O)c3cccnn3)C2)nc1=O ZINC001023667393 729750457 /nfs/dbraw/zinc/75/04/57/729750457.db2.gz BJNKXWXYGQZDHV-NSHDSACASA-N -1 1 331.380 -0.460 20 0 EBADMM CCn1ccc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)n1 ZINC001023687947 729753721 /nfs/dbraw/zinc/75/37/21/729753721.db2.gz USJSDGOXYZUEBZ-DGCLKSJQSA-N -1 1 345.359 -0.381 20 0 EBADMM Cn1ncc(CNC[C@H]2CCCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001024052128 729835069 /nfs/dbraw/zinc/83/50/69/729835069.db2.gz NLCPVKWIIYIMLC-SECBINFHSA-N -1 1 348.367 -0.947 20 0 EBADMM Cn1cnnc1CNC[C@@H]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001024053365 729835389 /nfs/dbraw/zinc/83/53/89/729835389.db2.gz CCXJVFWYIMZDBV-VIFPVBQESA-N -1 1 348.367 -0.947 20 0 EBADMM Cc1noc(CNC[C@H]2CCCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001024054721 729835654 /nfs/dbraw/zinc/83/56/54/729835654.db2.gz UWHNSUNLWCQWKU-SECBINFHSA-N -1 1 349.351 -0.384 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)nn1C ZINC001024352138 729875984 /nfs/dbraw/zinc/87/59/84/729875984.db2.gz KREONFGYZSPRRN-GFCCVEGCSA-N -1 1 347.423 -0.065 20 0 EBADMM Cn1cnc(C(=O)NC[C@@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)c1 ZINC001024392798 729881262 /nfs/dbraw/zinc/88/12/62/729881262.db2.gz WMCFRDZFTJQXBX-NSHDSACASA-N -1 1 333.396 -0.374 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H]2CNC(=O)c2cn[nH]c(=O)c2)nc1=O ZINC001024496014 729893633 /nfs/dbraw/zinc/89/36/33/729893633.db2.gz UEEWMZPPPNCNDU-NSHDSACASA-N -1 1 347.379 -0.612 20 0 EBADMM Cc1nc(CN2CCCC[C@H]2CNC(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC001024533180 729899452 /nfs/dbraw/zinc/89/94/52/729899452.db2.gz SJAJCULCWVRIGA-VIFPVBQESA-N -1 1 348.367 -0.506 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)c1csnn1 ZINC001024833481 729934319 /nfs/dbraw/zinc/93/43/19/729934319.db2.gz FEANLWKGQVJYBU-GMSGAONNSA-N -1 1 335.345 -0.746 20 0 EBADMM O=C(CO[C@H]1CCOC1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024962203 729946718 /nfs/dbraw/zinc/94/67/18/729946718.db2.gz LTGMKBHLJVPPIX-NEPJUHHUSA-N -1 1 339.396 -0.214 20 0 EBADMM Cn1ccc(CC(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)n1 ZINC001024962426 729946798 /nfs/dbraw/zinc/94/67/98/729946798.db2.gz PLPRWWGYKTUOCQ-GFCCVEGCSA-N -1 1 333.396 -0.043 20 0 EBADMM Cn1ccc(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)cc1=O ZINC001025003796 729984448 /nfs/dbraw/zinc/98/44/48/729984448.db2.gz BMKSSPJISMVJOD-GFCCVEGCSA-N -1 1 346.391 -0.007 20 0 EBADMM O=C([C@H]1CCC(=O)NC1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001025007131 729985284 /nfs/dbraw/zinc/98/52/84/729985284.db2.gz KXBNWBDITACKKL-WDEREUQCSA-N -1 1 336.396 -0.493 20 0 EBADMM O=C(C[C@@H]1CCC(=O)N1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001025112917 730000777 /nfs/dbraw/zinc/00/07/77/730000777.db2.gz OKXSMROQEPVDDU-WDEREUQCSA-N -1 1 336.396 -0.350 20 0 EBADMM CCn1cc(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)nn1 ZINC001025125752 730002821 /nfs/dbraw/zinc/00/28/21/730002821.db2.gz RVGFSXLKQVEGKS-SNVBAGLBSA-N -1 1 334.384 -0.094 20 0 EBADMM CC[C@@H]1CC[C@H](C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)O1 ZINC001025807028 730093111 /nfs/dbraw/zinc/09/31/11/730093111.db2.gz BCBYSKHAMXJEJH-DIACKHNESA-N -1 1 335.408 -0.388 20 0 EBADMM CC(C)[C@@H]1OCC[C@H]1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001025810244 730094223 /nfs/dbraw/zinc/09/42/23/730094223.db2.gz UILITIAUFNYSAF-MUGBGTHKSA-N -1 1 349.435 -0.284 20 0 EBADMM CCC(=O)N[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C12CCC2 ZINC001025826688 730098611 /nfs/dbraw/zinc/09/86/11/730098611.db2.gz ATGRQSVTUHPWAX-QWRGUYRKSA-N -1 1 344.375 -0.015 20 0 EBADMM COC1CCC(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)CC1 ZINC001025990844 730115081 /nfs/dbraw/zinc/11/50/81/730115081.db2.gz NVRYAJPMFASJLI-KJZWCNOPSA-N -1 1 349.435 -0.140 20 0 EBADMM Cc1cc(CNC[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)no1 ZINC001026941261 730173965 /nfs/dbraw/zinc/17/39/65/730173965.db2.gz DFFFXCHXWJZUNF-SECBINFHSA-N -1 1 334.336 -0.169 20 0 EBADMM Cc1nonc1CNC[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001026942042 730174173 /nfs/dbraw/zinc/17/41/73/730174173.db2.gz ZUFWHDAJTMTAOP-QMMMGPOBSA-N -1 1 335.324 -0.774 20 0 EBADMM O=C([C@@H]1CCCS1(=O)=O)N1CC[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001027225069 730201033 /nfs/dbraw/zinc/20/10/33/730201033.db2.gz DNNHLILBCVKHCX-ZJUUUORDSA-N -1 1 343.409 -0.974 20 0 EBADMM O=C([C@H]1CCCS1(=O)=O)N1CC[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001027225066 730201062 /nfs/dbraw/zinc/20/10/62/730201062.db2.gz DNNHLILBCVKHCX-NXEZZACHSA-N -1 1 343.409 -0.974 20 0 EBADMM CCn1cc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001027799204 730239660 /nfs/dbraw/zinc/23/96/60/730239660.db2.gz XZGVEMZSMFCOJF-GFCCVEGCSA-N -1 1 333.396 -0.281 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2CCCN2CCN2C(=O)CCC2=O)c1[O-] ZINC001027821712 730240932 /nfs/dbraw/zinc/24/09/32/730240932.db2.gz PSMXKIQMLNRFON-LLVKDONJSA-N -1 1 349.391 -0.233 20 0 EBADMM Cc1ccc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)nn1 ZINC001027878024 730244855 /nfs/dbraw/zinc/24/48/55/730244855.db2.gz REZBTRFMKDBXFS-NSHDSACASA-N -1 1 331.380 -0.399 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)c(C)nn1 ZINC001027907360 730247332 /nfs/dbraw/zinc/24/73/32/730247332.db2.gz WEWFIVWBDCUBNQ-LBPRGKRZSA-N -1 1 345.407 -0.090 20 0 EBADMM Cc1nn(C)cc1CN1CCC[C@H]1CNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001027999431 730255928 /nfs/dbraw/zinc/25/59/28/730255928.db2.gz ICVMIBIUWXNKLQ-NSHDSACASA-N -1 1 347.379 -0.281 20 0 EBADMM Cc1nc(C)c(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)[nH]1 ZINC001028143204 730270930 /nfs/dbraw/zinc/27/09/30/730270930.db2.gz ASHMUAFMWNHXGA-LLVKDONJSA-N -1 1 333.396 -0.157 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H]2CNC(=O)c2ccc(=O)[nH]c2)nc1=O ZINC001028299530 730280739 /nfs/dbraw/zinc/28/07/39/730280739.db2.gz GXWNZVKXOATNSC-LLVKDONJSA-N -1 1 332.364 -0.397 20 0 EBADMM Cn1cncc1CN1CC[C@@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001028661634 730334088 /nfs/dbraw/zinc/33/40/88/730334088.db2.gz MZUNXFDCPHVGIF-VIFPVBQESA-N -1 1 333.352 -0.732 20 0 EBADMM Cc1ncoc1CN1CC[C@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001028661412 730334265 /nfs/dbraw/zinc/33/42/65/730334265.db2.gz KPNBZZWRMJIBJD-SECBINFHSA-N -1 1 334.336 -0.169 20 0 EBADMM Cc1oncc1CN1CC[C@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001028662505 730334731 /nfs/dbraw/zinc/33/47/31/730334731.db2.gz YIRRAOQYYSLUPG-SECBINFHSA-N -1 1 334.336 -0.169 20 0 EBADMM Cc1ncc(CN2CC[C@@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC001028661784 730334805 /nfs/dbraw/zinc/33/48/05/730334805.db2.gz PDPJHKDLQRWDRE-VIFPVBQESA-N -1 1 334.336 -0.169 20 0 EBADMM Cc1oncc1CN1CC[C@@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001028662506 730334886 /nfs/dbraw/zinc/33/48/86/730334886.db2.gz YIRRAOQYYSLUPG-VIFPVBQESA-N -1 1 334.336 -0.169 20 0 EBADMM Cc1nc(CN2CC[C@H](CNC(=O)c3cnc([O-])n(C)c3=O)C2)n[nH]1 ZINC001028734255 730346309 /nfs/dbraw/zinc/34/63/09/730346309.db2.gz SZZHURBNISKBEJ-SNVBAGLBSA-N -1 1 347.379 -0.836 20 0 EBADMM COC(=O)[C@H]1C[C@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029239987 730394208 /nfs/dbraw/zinc/39/42/08/730394208.db2.gz HEQQBSJKKDXTIP-KXNHARMFSA-N -1 1 349.391 -0.907 20 0 EBADMM CO[C@@H](C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001029291670 730397160 /nfs/dbraw/zinc/39/71/60/730397160.db2.gz RRPPKXCPZJTGBE-MBNYWOFBSA-N -1 1 335.408 -0.291 20 0 EBADMM CCn1ncc(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)n1 ZINC001029297585 730397614 /nfs/dbraw/zinc/39/76/14/730397614.db2.gz ZLXVSBPUXZXYGS-PHIMTYICSA-N -1 1 346.395 -0.791 20 0 EBADMM CO[C@@H](C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1)C(C)C ZINC001029457909 730406226 /nfs/dbraw/zinc/40/62/26/730406226.db2.gz VUSCHNKLKNWMOW-MBNYWOFBSA-N -1 1 337.424 -0.045 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)CCn2cncn2)C1 ZINC001029649428 730480015 /nfs/dbraw/zinc/48/00/15/730480015.db2.gz DXLUVFJTBHGOHR-LLVKDONJSA-N -1 1 334.384 -0.528 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)c2cc(=O)n(C)o2)C1 ZINC001029719909 730488212 /nfs/dbraw/zinc/48/82/12/730488212.db2.gz QJBBUADFUIXEGQ-SECBINFHSA-N -1 1 336.352 -0.604 20 0 EBADMM COc1cc(C(=O)N2CC[C@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)ncn1 ZINC001029740075 730492723 /nfs/dbraw/zinc/49/27/23/730492723.db2.gz WRIOABUDQXTIBD-SNVBAGLBSA-N -1 1 347.379 -0.097 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)c2ccc(=O)n(C)c2)C1 ZINC001029776372 730499047 /nfs/dbraw/zinc/49/90/47/730499047.db2.gz GSHPYCFQNGOLBF-NSHDSACASA-N -1 1 346.391 -0.197 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)[C@@]2(C)CNC(=O)C2)C1 ZINC001029792576 730501800 /nfs/dbraw/zinc/50/18/00/730501800.db2.gz KFFNUNGOKQTXHR-MEBBXXQBSA-N -1 1 336.396 -0.683 20 0 EBADMM CCn1cc(C(=O)N2CC[C@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)nn1 ZINC001029880427 730517682 /nfs/dbraw/zinc/51/76/82/730517682.db2.gz YYIBLDYWADXQCD-SNVBAGLBSA-N -1 1 334.384 -0.284 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)c2c[nH]c(=O)cn2)CC1 ZINC001029989420 730532380 /nfs/dbraw/zinc/53/23/80/730532380.db2.gz YXXRZBCIOYATSL-UHFFFAOYSA-N -1 1 347.379 -0.422 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)[C@H]2C[C@H]2C(N)=O)CC1 ZINC001029996816 730533761 /nfs/dbraw/zinc/53/37/61/730533761.db2.gz NMCHKCLCLOCAEY-MNOVXSKESA-N -1 1 336.396 -0.698 20 0 EBADMM CCn1nc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)cc1C1CC1 ZINC001030420508 730583137 /nfs/dbraw/zinc/58/31/37/730583137.db2.gz NMZFFHFNZZDASE-UHFFFAOYSA-N -1 1 345.407 -0.184 20 0 EBADMM CN(C)c1ccc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c(F)c1 ZINC001030437956 730586290 /nfs/dbraw/zinc/58/62/90/730586290.db2.gz PLRXSSLKKVNOJY-UHFFFAOYSA-N -1 1 348.382 -0.072 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3nc4cccnc4s3)C2)nc1=O ZINC001030448789 730587122 /nfs/dbraw/zinc/58/71/22/730587122.db2.gz BUKIJPZHWHRNLZ-UHFFFAOYSA-N -1 1 345.388 -0.273 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cnn(C(C)(C)C)c3)C2)nc1=O ZINC001030590933 730609718 /nfs/dbraw/zinc/60/97/18/730609718.db2.gz VAEGVPBJNIDKNG-UHFFFAOYSA-N -1 1 333.396 -0.326 20 0 EBADMM COCc1cccc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001030626701 730616313 /nfs/dbraw/zinc/61/63/13/730616313.db2.gz PKBUKKDQFYUTGG-UHFFFAOYSA-N -1 1 331.376 -0.131 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@@]34CCO[C@H]3CCCC4)C2)nc1=O ZINC001030662032 730621466 /nfs/dbraw/zinc/62/14/66/730621466.db2.gz QOEDURLYTGXWNJ-LRDDRELGSA-N -1 1 335.408 -0.242 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3nc4c(s3)CCC4)C2)nc1=O ZINC001030675829 730622708 /nfs/dbraw/zinc/62/27/08/730622708.db2.gz KKIHXAYEAXHKHL-UHFFFAOYSA-N -1 1 334.405 -0.332 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)C3(c4cccnc4)CC3)C2)nc1=O ZINC001030824864 730644702 /nfs/dbraw/zinc/64/47/02/730644702.db2.gz FOXCNOYNZDNBLK-UHFFFAOYSA-N -1 1 328.376 -0.464 20 0 EBADMM CC(C)CCn1cc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001030945158 730656146 /nfs/dbraw/zinc/65/61/46/730656146.db2.gz IDDVYTJAKAJJPW-UHFFFAOYSA-N -1 1 348.411 -0.640 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ocnc3C(F)F)C2)nc1=O ZINC001030966360 730659883 /nfs/dbraw/zinc/65/98/83/730659883.db2.gz QPXTWGBKXMVEEE-UHFFFAOYSA-N -1 1 328.279 -0.352 20 0 EBADMM CCc1ncnc(CC)c1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030972214 730661250 /nfs/dbraw/zinc/66/12/50/730661250.db2.gz RXEYUDJFQXSVNJ-UHFFFAOYSA-N -1 1 345.407 -0.363 20 0 EBADMM Cn1ncc(CN2CC(NC(=O)c3cnc(C4CC4)[n-]c3=O)C2)n1 ZINC001031061238 730669827 /nfs/dbraw/zinc/66/98/27/730669827.db2.gz UBMFMSDKVGEYIL-UHFFFAOYSA-N -1 1 329.364 -0.198 20 0 EBADMM C[C@@H](C(=O)N(C)C)N1CC(NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001031060419 730669866 /nfs/dbraw/zinc/66/98/66/730669866.db2.gz DWOHCEFATCGPAY-VIFPVBQESA-N -1 1 333.392 -0.050 20 0 EBADMM Cn1nnnc1CN1CC(NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001031060325 730669881 /nfs/dbraw/zinc/66/98/81/730669881.db2.gz BUAMFAZWYJUDMP-UHFFFAOYSA-N -1 1 330.352 -0.803 20 0 EBADMM Cc1c(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)nnn1C(C)C ZINC001031162750 730683154 /nfs/dbraw/zinc/68/31/54/730683154.db2.gz FXSVTNZELVRNIN-UHFFFAOYSA-N -1 1 334.384 -0.797 20 0 EBADMM C[C@@H]1CCc2n[nH]c(C(=O)NC3CN(Cc4n[nH]c(=O)[n-]4)C3)c2C1 ZINC001031184319 730684312 /nfs/dbraw/zinc/68/43/12/730684312.db2.gz PPNIBUHSTCIBRC-MRVPVSSYSA-N -1 1 331.380 -0.028 20 0 EBADMM CC(C)[C@@H]1C[C@@H](C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)CCO1 ZINC001031205740 730685870 /nfs/dbraw/zinc/68/58/70/730685870.db2.gz RAKNZDNJEZSGSO-AAEUAGOBSA-N -1 1 337.424 -0.140 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(Cc2ncccn2)C1 ZINC001031250215 730693755 /nfs/dbraw/zinc/69/37/55/730693755.db2.gz YFXMZKVIZSIZMN-UHFFFAOYSA-N -1 1 332.320 -0.699 20 0 EBADMM Cc1nc([C@H](C)N2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)no1 ZINC001031251632 730695181 /nfs/dbraw/zinc/69/51/81/730695181.db2.gz SOJSPYOHBTYDJE-ZETCQYMHSA-N -1 1 344.335 -0.716 20 0 EBADMM Cc1nc(CN2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)co1 ZINC001031251775 730695212 /nfs/dbraw/zinc/69/52/12/730695212.db2.gz YETMVFGTROAGNT-UHFFFAOYSA-N -1 1 329.320 -0.672 20 0 EBADMM COc1cccc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1OC ZINC001031304945 730699974 /nfs/dbraw/zinc/69/99/74/730699974.db2.gz VDHITUBEZFLXSB-UHFFFAOYSA-N -1 1 347.375 -0.260 20 0 EBADMM COc1ccc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c(OC)c1 ZINC001031305105 730699990 /nfs/dbraw/zinc/69/99/90/730699990.db2.gz DDSNSFPSXFIAES-UHFFFAOYSA-N -1 1 347.375 -0.260 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@H]3COc4ccccc4O3)C2)nc1=O ZINC001031347489 730706057 /nfs/dbraw/zinc/70/60/57/730706057.db2.gz OQHROFRIUOCCBI-CYBMUJFWSA-N -1 1 345.359 -0.751 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@@H]3Cc4cccc(F)c4O3)C2)nc1=O ZINC001031412424 730718725 /nfs/dbraw/zinc/71/87/25/730718725.db2.gz DZUKWLDGEOTUDM-LBPRGKRZSA-N -1 1 347.350 -0.448 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cc4c([nH]3)CCOC4)C2)nc1=O ZINC001031724599 730757295 /nfs/dbraw/zinc/75/72/95/730757295.db2.gz PFGIJHCIIMZUMZ-UHFFFAOYSA-N -1 1 346.391 -0.629 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3ccc4cc[nH]c4n3)C2)nc1=O ZINC001031746210 730761582 /nfs/dbraw/zinc/76/15/82/730761582.db2.gz IKRDVXZEMBHHMC-UHFFFAOYSA-N -1 1 341.375 -0.154 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@H]3CCn4ccnc4C3)C2)nc1=O ZINC001031782174 730768386 /nfs/dbraw/zinc/76/83/86/730768386.db2.gz BSAOHEZXUNTYDE-LBPRGKRZSA-N -1 1 345.407 -0.885 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@@H]3CCc4cncn4C3)C2)nc1=O ZINC001031783647 730768861 /nfs/dbraw/zinc/76/88/61/730768861.db2.gz DCWWNTMTPZVQTK-GFCCVEGCSA-N -1 1 345.407 -0.885 20 0 EBADMM Cn1nnnc1CN1CC(CNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001032033503 730805260 /nfs/dbraw/zinc/80/52/60/730805260.db2.gz IGSMATQSUQDDOM-UHFFFAOYSA-N -1 1 344.379 -0.555 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3c[nH]nc3C(C)(C)C)C2)nc1=O ZINC001032118642 730814306 /nfs/dbraw/zinc/81/43/06/730814306.db2.gz NKJCACLCBULWPC-UHFFFAOYSA-N -1 1 347.423 -0.009 20 0 EBADMM O=C(NCC1CN(Cc2nncs2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001032127826 730816190 /nfs/dbraw/zinc/81/61/90/730816190.db2.gz FLHSTKUTCLWHFG-UHFFFAOYSA-N -1 1 346.376 -0.869 20 0 EBADMM Cc1conc1CN1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001032128262 730816911 /nfs/dbraw/zinc/81/69/11/730816911.db2.gz XPZKKEURWKXIIV-UHFFFAOYSA-N -1 1 343.347 -0.424 20 0 EBADMM COc1cc[nH]c(=O)c1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032132691 730817039 /nfs/dbraw/zinc/81/70/39/730817039.db2.gz HULMPRLINRIWTJ-UHFFFAOYSA-N -1 1 348.363 -0.921 20 0 EBADMM COc1ccccc1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032142943 730818569 /nfs/dbraw/zinc/81/85/69/730818569.db2.gz FVFRPDNHUWVCJU-UHFFFAOYSA-N -1 1 331.376 -0.021 20 0 EBADMM C[C@@H](C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1)n1cccn1 ZINC001032290829 730837795 /nfs/dbraw/zinc/83/77/95/730837795.db2.gz WCLWHNRRJXEVGP-SRVKXCTJSA-N -1 1 331.380 -0.649 20 0 EBADMM Cc1[nH]nc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)c1C ZINC001032321936 730840865 /nfs/dbraw/zinc/84/08/65/730840865.db2.gz IPFMVKBGDKQFCC-QWRGUYRKSA-N -1 1 331.380 -0.453 20 0 EBADMM COc1cc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)ncn1 ZINC001032408386 730854682 /nfs/dbraw/zinc/85/46/82/730854682.db2.gz ZJXWFKNZKKJAQN-UWVGGRQHSA-N -1 1 345.363 -0.994 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)[C@H]2CCC2(F)F)nc1=O ZINC001032467110 730868163 /nfs/dbraw/zinc/86/81/63/730868163.db2.gz BKUBZDOBCFAMMN-LPEHRKFASA-N -1 1 327.335 -0.061 20 0 EBADMM CCOc1cc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)[nH]n1 ZINC001032468619 730868743 /nfs/dbraw/zinc/86/87/43/730868743.db2.gz ZHUGCSTYIMMMJP-UWVGGRQHSA-N -1 1 347.379 -0.671 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)CCc2ccncc2)nc1=O ZINC001032491908 730873736 /nfs/dbraw/zinc/87/37/36/730873736.db2.gz LFWBIKISDAPMOO-KBPBESRZSA-N -1 1 342.403 -0.079 20 0 EBADMM CO[C@@H](C)CN1C[C@@H]2C[C@H]1CN2C(=O)CCc1n[nH]c(=O)[n-]c1=O ZINC001032495511 730875128 /nfs/dbraw/zinc/87/51/28/730875128.db2.gz NJCUTQJTXBKFQB-DCAQKATOSA-N -1 1 337.380 -0.465 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)CCc2cccnc2)nc1=O ZINC001032503063 730877828 /nfs/dbraw/zinc/87/78/28/730877828.db2.gz CAILUJGOKWFJSR-KBPBESRZSA-N -1 1 342.403 -0.079 20 0 EBADMM Cc1cc(CC(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)n(C)n1 ZINC001032518272 730881680 /nfs/dbraw/zinc/88/16/80/730881680.db2.gz VKKASMQFPRGAAG-STQMWFEESA-N -1 1 345.407 -0.822 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)[C@@H]2CCO[C@H]2C2CC2)nc1=O ZINC001032531560 730883523 /nfs/dbraw/zinc/88/35/23/730883523.db2.gz WHIWQIMPGRBMAW-XPCVCDNBSA-N -1 1 347.419 -0.291 20 0 EBADMM COc1ncccc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032601836 730898193 /nfs/dbraw/zinc/89/81/93/730898193.db2.gz NNBNOCDRONOVOJ-QWRGUYRKSA-N -1 1 344.375 -0.389 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1C[C@@H]2C[C@H]1CN2Cc1ccon1 ZINC001032685849 730910513 /nfs/dbraw/zinc/91/05/13/730910513.db2.gz AUHAGAXZZWFLKA-STQMWFEESA-N -1 1 345.359 -0.600 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)COc2cccnc2)nc1=O ZINC001032685614 730910546 /nfs/dbraw/zinc/91/05/46/730910546.db2.gz LFOKYIDAZQZOBB-RYUDHWBXSA-N -1 1 344.375 -0.633 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)CCn2cccc2)nc1=O ZINC001032699564 730914053 /nfs/dbraw/zinc/91/40/53/730914053.db2.gz CAJBNFXGYZKCED-STQMWFEESA-N -1 1 330.392 -0.215 20 0 EBADMM CCCn1cc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)nn1 ZINC001032705907 730915376 /nfs/dbraw/zinc/91/53/76/730915376.db2.gz QEDBNIDWLQMHNN-QWRGUYRKSA-N -1 1 346.395 -0.791 20 0 EBADMM Cc1ncncc1C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032937539 730950760 /nfs/dbraw/zinc/95/07/60/730950760.db2.gz VVDFOQGBFBRQHK-LLVKDONJSA-N -1 1 331.380 -0.447 20 0 EBADMM CN(C(=O)Cc1cnn(C)c1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032945269 730951319 /nfs/dbraw/zinc/95/13/19/730951319.db2.gz DHEJKYFNGOYXPQ-LBPRGKRZSA-N -1 1 333.396 -0.883 20 0 EBADMM Cc1[nH]nc(C(=O)N(C)[C@H]2CCN(CCN3CCNC3=O)C2)c1[O-] ZINC001033015800 730956940 /nfs/dbraw/zinc/95/69/40/730956940.db2.gz PKJLMBOMSPURJJ-NSHDSACASA-N -1 1 336.396 -0.405 20 0 EBADMM Cc1cc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c(C)nn1 ZINC001033123315 730973365 /nfs/dbraw/zinc/97/33/65/730973365.db2.gz PESOGQRZOYJECX-GFCCVEGCSA-N -1 1 345.407 -0.138 20 0 EBADMM CN(C(=O)c1n[nH]c2c1CCC2)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033222964 730990178 /nfs/dbraw/zinc/99/01/78/730990178.db2.gz KOXDZMFHDZZZFY-JTQLQIEISA-N -1 1 345.407 -0.333 20 0 EBADMM Cc1ncc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1C ZINC001033361670 731014181 /nfs/dbraw/zinc/01/41/81/731014181.db2.gz RICDUBNOBLWXSU-LLVKDONJSA-N -1 1 333.396 -0.503 20 0 EBADMM COc1ncccc1C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033385586 731018166 /nfs/dbraw/zinc/01/81/66/731018166.db2.gz SOWFKSTUJXCTLN-LLVKDONJSA-N -1 1 346.391 -0.142 20 0 EBADMM Cc1ccc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c(=O)[nH]1 ZINC001033460148 731024888 /nfs/dbraw/zinc/02/48/88/731024888.db2.gz MQSODPITNYLSSX-NSHDSACASA-N -1 1 346.391 -0.136 20 0 EBADMM Cc1cc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nc(C)n1 ZINC001033498471 731029787 /nfs/dbraw/zinc/02/97/87/731029787.db2.gz LENBDMUQIYYQLE-LBPRGKRZSA-N -1 1 345.407 -0.138 20 0 EBADMM CN(C(=O)C1(C)CCOCC1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033509117 731033215 /nfs/dbraw/zinc/03/32/15/731033215.db2.gz PPJISYTYCLEHBF-GFCCVEGCSA-N -1 1 337.424 -0.042 20 0 EBADMM CN(C(=O)C[C@H]1CCCOC1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033667858 731058552 /nfs/dbraw/zinc/05/85/52/731058552.db2.gz OUFTZHSXCYHNNW-CHWSQXEVSA-N -1 1 337.424 -0.042 20 0 EBADMM CCN(C(=O)c1cn(C)nc1C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033770730 731072400 /nfs/dbraw/zinc/07/24/00/731072400.db2.gz AUBKXEOFSJLYIC-GFCCVEGCSA-N -1 1 347.423 -0.113 20 0 EBADMM CCN(C(=O)c1cn(C)nc1C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033770732 731072643 /nfs/dbraw/zinc/07/26/43/731072643.db2.gz AUBKXEOFSJLYIC-LBPRGKRZSA-N -1 1 347.423 -0.113 20 0 EBADMM CCN(C(=O)[C@]12C[C@H]1COC2)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033834194 731077984 /nfs/dbraw/zinc/07/79/84/731077984.db2.gz DHUIQVMSYCVDKQ-MKBNYLNASA-N -1 1 335.408 -0.432 20 0 EBADMM CCN(C(=O)c1n[nH]c(=O)[n-]c1=O)[C@@H]1CCN(Cc2n[nH]c(C)n2)C1 ZINC001033884261 731083807 /nfs/dbraw/zinc/08/38/07/731083807.db2.gz XUYNDNNNQHEQRB-SECBINFHSA-N -1 1 348.367 -0.554 20 0 EBADMM CCN(C(=O)c1cn(C)c(=O)[nH]1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033940612 731092262 /nfs/dbraw/zinc/09/22/62/731092262.db2.gz ITTYAJCPBRFJHR-JTQLQIEISA-N -1 1 349.395 -0.716 20 0 EBADMM CCN(C(=O)c1ncccn1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033993627 731096806 /nfs/dbraw/zinc/09/68/06/731096806.db2.gz APIIFHRPDYKHJT-LLVKDONJSA-N -1 1 331.380 -0.365 20 0 EBADMM CCN(C(=O)c1cn(CC)nn1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034002712 731097471 /nfs/dbraw/zinc/09/74/71/731097471.db2.gz BIHVSELNTAVHEK-NSHDSACASA-N -1 1 348.411 -0.544 20 0 EBADMM Cn1ncc(C(=O)N[C@@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)c1N ZINC001034173143 731107396 /nfs/dbraw/zinc/10/73/96/731107396.db2.gz HJWWIRCIUQFPMA-SNVBAGLBSA-N -1 1 348.411 -0.791 20 0 EBADMM Cc1nnc(CC(=O)N[C@@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)o1 ZINC001034191954 731109345 /nfs/dbraw/zinc/10/93/45/731109345.db2.gz GUGMYZMIIJTQDX-LLVKDONJSA-N -1 1 349.395 -0.487 20 0 EBADMM Cn1nncc1C(=O)N[C@@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034194348 731109782 /nfs/dbraw/zinc/10/97/82/731109782.db2.gz NQLFEDWMEUPYBY-SNVBAGLBSA-N -1 1 334.384 -0.979 20 0 EBADMM Cc1ccc(C(=O)N[C@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001034200728 731110729 /nfs/dbraw/zinc/11/07/29/731110729.db2.gz AQWHLAHZSZIPBK-LBPRGKRZSA-N -1 1 345.407 -0.009 20 0 EBADMM Cn1cc(CN2CCCC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)cn1 ZINC001034289786 731117790 /nfs/dbraw/zinc/11/77/90/731117790.db2.gz BZRDESPUMVROLA-NSHDSACASA-N -1 1 347.379 -0.199 20 0 EBADMM CNC(=O)[C@H](C)N1CCCC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001034290126 731118117 /nfs/dbraw/zinc/11/81/17/731118117.db2.gz DYYDVDADWFHBPL-DTWKUNHWSA-N -1 1 338.368 -0.998 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)N[C@H]1CCCCN(CCO)C1 ZINC001034442983 731131382 /nfs/dbraw/zinc/13/13/82/731131382.db2.gz AQJNCVUFXCUJRC-NSHDSACASA-N -1 1 334.380 -0.966 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H](NC(=O)c3ncccn3)C2)nc1=O ZINC001034452055 731133100 /nfs/dbraw/zinc/13/31/00/731133100.db2.gz AAVKEKQUZSREAI-LLVKDONJSA-N -1 1 331.380 -0.317 20 0 EBADMM Cn1ncc(CN[C@H]2CC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)CC2)n1 ZINC001034684387 731150637 /nfs/dbraw/zinc/15/06/37/731150637.db2.gz XYMBMOWIVRQVTF-KYZUINATSA-N -1 1 348.367 -0.758 20 0 EBADMM C[C@@H](C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)S(C)(=O)=O ZINC001034972755 731170551 /nfs/dbraw/zinc/17/05/51/731170551.db2.gz NMYAODJOBPXFEZ-DTWKUNHWSA-N -1 1 331.398 -0.976 20 0 EBADMM Cc1nccnc1CNC[C@H]1CCCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001034982597 731172251 /nfs/dbraw/zinc/17/22/51/731172251.db2.gz KCORYBQVAVUWIX-SNVBAGLBSA-N -1 1 345.363 -0.224 20 0 EBADMM C[C@H](C(=O)NC1CC1)N1CCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001035348646 731224009 /nfs/dbraw/zinc/22/40/09/731224009.db2.gz YUQMCZGQISWVIM-DGCLKSJQSA-N -1 1 348.403 -0.115 20 0 EBADMM Cc1cc(C)c(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)[nH]1 ZINC001035417307 731248576 /nfs/dbraw/zinc/24/85/76/731248576.db2.gz VSEZNRNCZOLDJH-GFCCVEGCSA-N -1 1 348.407 -0.316 20 0 EBADMM C[C@@H]1CCCN(C(=O)c2cnn(C)c2N)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036540133 731261843 /nfs/dbraw/zinc/26/18/43/731261843.db2.gz QVACUEJSAANSGJ-MWLCHTKSSA-N -1 1 348.411 -0.144 20 0 EBADMM CN1CCC[C@@H]1C(=O)NC[C@H]1CCN1Cc1cc(=O)n2[n-]ccc2n1 ZINC001038117154 731283997 /nfs/dbraw/zinc/28/39/97/731283997.db2.gz OBUKDNOODRWXDZ-ZIAGYGMSSA-N -1 1 344.419 -0.193 20 0 EBADMM C[C@@H]1CN(C(=O)CN2CC[C@H]2CNC(=O)c2ncccc2[O-])CCO1 ZINC001038183601 731291117 /nfs/dbraw/zinc/29/11/17/731291117.db2.gz KUZCFQWYUNQZNS-OLZOCXBDSA-N -1 1 348.403 -0.161 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@@H]2CCCc3c[nH]nc32)nc1=O ZINC001038262362 731300462 /nfs/dbraw/zinc/30/04/62/731300462.db2.gz MSJPSVAAFOGOBM-VXGBXAGGSA-N -1 1 345.407 -0.358 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cncc3[nH]cnc32)nc1=O ZINC001038278120 731301315 /nfs/dbraw/zinc/30/13/15/731301315.db2.gz LSLQAFUXHMEFKN-VIFPVBQESA-N -1 1 342.363 -0.616 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cnc(C3CC3)o2)nc1=O ZINC001038357722 731312064 /nfs/dbraw/zinc/31/20/64/731312064.db2.gz RUCUMHBQRQLYAK-SNVBAGLBSA-N -1 1 332.364 -0.022 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2snnc2C2CC2)nc1=O ZINC001038372626 731314595 /nfs/dbraw/zinc/31/45/95/731314595.db2.gz ZFFOBIQATVLQCU-VIFPVBQESA-N -1 1 349.420 -0.158 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@H]2CCc3cncn3C2)nc1=O ZINC001038423840 731323118 /nfs/dbraw/zinc/32/31/18/731323118.db2.gz GDTPIYWWGFIKMG-AAEUAGOBSA-N -1 1 345.407 -0.742 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@@H]2CCCn3nccc32)nc1=O ZINC001038429641 731324312 /nfs/dbraw/zinc/32/43/12/731324312.db2.gz AXWPMVBYQWIQRJ-VXGBXAGGSA-N -1 1 345.407 -0.427 20 0 EBADMM CN(C)c1ccc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001038433305 731324694 /nfs/dbraw/zinc/32/46/94/731324694.db2.gz BWVJPPXDENSETG-LBPRGKRZSA-N -1 1 345.407 -0.426 20 0 EBADMM Cc1nc([C@@H](C)N2CC[C@@H]2CNC(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC001038464870 731330484 /nfs/dbraw/zinc/33/04/84/731330484.db2.gz HIWKDXHUVNLDQS-HTRCEHHLSA-N -1 1 334.340 -0.725 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2onc3c2CCCC3)nc1=O ZINC001038507106 731337141 /nfs/dbraw/zinc/33/71/41/731337141.db2.gz FOOWHWRPCHUHNW-JTQLQIEISA-N -1 1 346.391 -0.021 20 0 EBADMM CC(C)n1cnc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)c1 ZINC001038550543 731342943 /nfs/dbraw/zinc/34/29/43/731342943.db2.gz GEKMKKBAQMAWIH-LLVKDONJSA-N -1 1 333.396 -0.110 20 0 EBADMM COc1ccnc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)c1 ZINC001038595180 731350899 /nfs/dbraw/zinc/35/08/99/731350899.db2.gz ZYNPFXXNBRQUMN-SNVBAGLBSA-N -1 1 332.364 -0.484 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@H]2CCCc3nn[nH]c32)nc1=O ZINC001038647474 731359263 /nfs/dbraw/zinc/35/92/63/731359263.db2.gz SZZGCQAQBFMARG-UWVGGRQHSA-N -1 1 346.395 -0.963 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2ocnc2C2CC2)nc1=O ZINC001038707588 731367913 /nfs/dbraw/zinc/36/79/13/731367913.db2.gz YOBFXIGPYJFOIP-SNVBAGLBSA-N -1 1 332.364 -0.022 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2noc3c2CCCC3)nc1=O ZINC001038741907 731370699 /nfs/dbraw/zinc/37/06/99/731370699.db2.gz YTEJGWMYEYCPDY-JTQLQIEISA-N -1 1 346.391 -0.021 20 0 EBADMM CC(C)Cn1cc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001038854790 731385726 /nfs/dbraw/zinc/38/57/26/731385726.db2.gz NLIJBZUOBIONLN-ZDUSSCGKSA-N -1 1 347.423 -0.035 20 0 EBADMM CC(C)n1cc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)nn1 ZINC001038864623 731386495 /nfs/dbraw/zinc/38/64/95/731386495.db2.gz ILQQIZANGGNYNK-JTQLQIEISA-N -1 1 334.384 -0.715 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@H]2CCc3n[nH]cc3C2)nc1=O ZINC001038913933 731390905 /nfs/dbraw/zinc/39/09/05/731390905.db2.gz NYDFRIPEODOBPJ-JQWIXIFHSA-N -1 1 345.407 -0.673 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCN1Cc1ncccn1 ZINC001038917912 731392434 /nfs/dbraw/zinc/39/24/34/731392434.db2.gz UASVVBLNOKVHRJ-SECBINFHSA-N -1 1 346.347 -0.309 20 0 EBADMM Cc1cnc(CN2CC[C@H]2CNC(=O)c2cc(=O)n3[n-]cnc3n2)o1 ZINC001038919223 731392868 /nfs/dbraw/zinc/39/28/68/731392868.db2.gz CLEFGPIHFQNPIH-JTQLQIEISA-N -1 1 343.347 -0.282 20 0 EBADMM CCc1ncncc1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001039020249 731404801 /nfs/dbraw/zinc/40/48/01/731404801.db2.gz ZEPZRLIYJKNKCX-SNVBAGLBSA-N -1 1 331.380 -0.535 20 0 EBADMM O=C(NC[C@@]1(O)CCN(C(=O)c2ncccc2[O-])C1)c1ncc[nH]1 ZINC001039660543 731478096 /nfs/dbraw/zinc/47/80/96/731478096.db2.gz YNUUGOFMHJYQNQ-HNNXBMFYSA-N -1 1 331.332 -0.483 20 0 EBADMM Cc1c[nH]nc1C(=O)NC[C@@]1(O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001039669958 731484211 /nfs/dbraw/zinc/48/42/11/731484211.db2.gz ODAYBUGPOZHOMI-INIZCTEOSA-N -1 1 345.359 -0.174 20 0 EBADMM O=C(NC[C@@]1(O)CCN(C(=O)c2ncccc2[O-])C1)c1cnco1 ZINC001040389338 731722607 /nfs/dbraw/zinc/72/26/07/731722607.db2.gz XEVZVSDSFJAVRT-HNNXBMFYSA-N -1 1 332.316 -0.218 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(CCN(C(=O)CC4(O)CCC4)C3)C2)nc1=O ZINC001041271293 732059091 /nfs/dbraw/zinc/05/90/91/732059091.db2.gz VIJWFEDBOFULEL-INIZCTEOSA-N -1 1 349.435 -0.162 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)[C@H]3C2)c1 ZINC001042018354 732278195 /nfs/dbraw/zinc/27/81/95/732278195.db2.gz IIMJVJVZRRUDKU-YPMHNXCESA-N -1 1 345.407 -0.422 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)CC4(O)CCC4)C[C@H]32)nc1=O ZINC001042050026 732287684 /nfs/dbraw/zinc/28/76/84/732287684.db2.gz FHNVHHFQECCFBB-QWHCGFSZSA-N -1 1 349.435 -0.164 20 0 EBADMM Cc1c[nH]nc1C(=O)N1CC[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1 ZINC001042053528 732289255 /nfs/dbraw/zinc/28/92/55/732289255.db2.gz ANNDWNCSPQVZIK-RYUDHWBXSA-N -1 1 345.407 -0.123 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CN(c2ncccn2)C[C@H]1C ZINC001042086522 732300428 /nfs/dbraw/zinc/30/04/28/732300428.db2.gz JICNLLDQHYELOU-RKDXNWHRSA-N -1 1 346.347 -0.059 20 0 EBADMM Cc1nn(C)cc1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001042150525 732334406 /nfs/dbraw/zinc/33/44/06/732334406.db2.gz COVOVZJBRMCIIF-UHFFFAOYSA-N -1 1 345.359 -0.554 20 0 EBADMM Cc1ncc(C(=O)N2CC(O)(CNC(=O)c3[nH]nc(C)c3[O-])C2)[nH]1 ZINC001042572332 732483416 /nfs/dbraw/zinc/48/34/16/732483416.db2.gz JLFRZUYIOFTWDD-UHFFFAOYSA-N -1 1 334.336 -0.928 20 0 EBADMM CC(C)OCCC(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042712766 732545974 /nfs/dbraw/zinc/54/59/74/732545974.db2.gz HSQIMZLKXPJIOI-UHFFFAOYSA-N -1 1 337.376 -0.095 20 0 EBADMM CCO[C@H](CC)C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042713109 732546806 /nfs/dbraw/zinc/54/68/06/732546806.db2.gz ONOPPFCFQXBCLP-GFCCVEGCSA-N -1 1 337.376 -0.095 20 0 EBADMM CC[C@H](C)OCC(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042713070 732546875 /nfs/dbraw/zinc/54/68/75/732546875.db2.gz NKDPCEBQIXRLIY-NSHDSACASA-N -1 1 337.376 -0.095 20 0 EBADMM O=C(NCC1(O)CN(C(=O)[C@@H]2CCCOCC2)C1)c1ncccc1[O-] ZINC001042713304 732547274 /nfs/dbraw/zinc/54/72/74/732547274.db2.gz SDEHVTDQMIOVJM-GFCCVEGCSA-N -1 1 349.387 -0.093 20 0 EBADMM CO[C@@H](C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1)C(C)C ZINC001042716670 732548961 /nfs/dbraw/zinc/54/89/61/732548961.db2.gz XGCBVQXUEYFUES-CYBMUJFWSA-N -1 1 337.376 -0.239 20 0 EBADMM C[C@H]1OCC[C@]1(C)C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042719567 732550126 /nfs/dbraw/zinc/55/01/26/732550126.db2.gz GGRRVWCZACVQSZ-BZNIZROVSA-N -1 1 349.387 -0.095 20 0 EBADMM C[C@H](C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1)[C@@H]1CCCO1 ZINC001042719305 732550156 /nfs/dbraw/zinc/55/01/56/732550156.db2.gz ACECFFSXQJUVGS-AAEUAGOBSA-N -1 1 349.387 -0.095 20 0 EBADMM O=C(Cc1cnc[nH]1)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042720217 732551310 /nfs/dbraw/zinc/55/13/10/732551310.db2.gz TYHVHGNKIVVXLG-UHFFFAOYSA-N -1 1 331.332 -0.944 20 0 EBADMM CCn1ccc(CC(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001042751772 732565469 /nfs/dbraw/zinc/56/54/69/732565469.db2.gz SASGMJUTMQTTAS-UHFFFAOYSA-N -1 1 333.396 -0.790 20 0 EBADMM CN(C(=O)c1cc(C2CC2)n(C)n1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042855574 732629835 /nfs/dbraw/zinc/62/98/35/732629835.db2.gz ZAFPXJASRGGMMM-UHFFFAOYSA-N -1 1 345.407 -0.324 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)[C@H]1C[C@@H]1C(F)F ZINC001042865360 732634636 /nfs/dbraw/zinc/63/46/36/732634636.db2.gz OQIGRAYLWZIHLO-IUCAKERBSA-N -1 1 341.314 -0.009 20 0 EBADMM CN(C(=O)c1cccc(C(N)=O)c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043115534 732764903 /nfs/dbraw/zinc/76/49/03/732764903.db2.gz LLDWBDINVMECKI-UHFFFAOYSA-N -1 1 344.375 -0.836 20 0 EBADMM CN(C(=O)[C@@H]1CCn2ccnc2C1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043138662 732783713 /nfs/dbraw/zinc/78/37/13/732783713.db2.gz AXSTXJVYSPCZPY-LLVKDONJSA-N -1 1 345.407 -0.790 20 0 EBADMM COCC[C@@H](C)C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001043167525 732801225 /nfs/dbraw/zinc/80/12/25/732801225.db2.gz WYDIDDFVFKESCT-LLVKDONJSA-N -1 1 337.376 -0.237 20 0 EBADMM CCc1cc(CC(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001043417783 732925220 /nfs/dbraw/zinc/92/52/20/732925220.db2.gz OPQIMVGQZITFTH-UHFFFAOYSA-N -1 1 347.423 -0.710 20 0 EBADMM Cc1n[nH]c(=O)c(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC001043505445 732976751 /nfs/dbraw/zinc/97/67/51/732976751.db2.gz QPAVCVWGLUGHJP-UHFFFAOYSA-N -1 1 347.379 -0.823 20 0 EBADMM CN(C(=O)c1cnc([O-])n(C)c1=O)C1CN(C[C@H]2C[C@@]23CCOC3)C1 ZINC001043509339 732977465 /nfs/dbraw/zinc/97/74/65/732977465.db2.gz CLWBWNXOHVYSEA-PIGZYNQJSA-N -1 1 348.403 -0.331 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)CO1 ZINC001043626075 733030595 /nfs/dbraw/zinc/03/05/95/733030595.db2.gz PDBBHNBJDJVYAH-GHMZBOCLSA-N -1 1 335.360 -0.485 20 0 EBADMM Cc1cc(=O)[nH]cc1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043826299 733137844 /nfs/dbraw/zinc/13/78/44/733137844.db2.gz LSPFBSVAWWVMGZ-UHFFFAOYSA-N -1 1 332.364 -0.526 20 0 EBADMM CN(C(=O)c1conc1C1CC1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043830198 733140023 /nfs/dbraw/zinc/14/00/23/733140023.db2.gz WEDWAZJQVJOPNS-UHFFFAOYSA-N -1 1 332.364 -0.070 20 0 EBADMM CCOC1CC2(C[C@@H]2C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001043857849 733156145 /nfs/dbraw/zinc/15/61/45/733156145.db2.gz ZCZJHKZJOICDSM-UIORMTCPSA-N -1 1 349.435 -0.044 20 0 EBADMM COCC1(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001043865235 733161323 /nfs/dbraw/zinc/16/13/23/733161323.db2.gz FLTANDSFVHFKLU-UHFFFAOYSA-N -1 1 335.360 -0.483 20 0 EBADMM COc1nc(C)cc(N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1 ZINC001043917551 733198061 /nfs/dbraw/zinc/19/80/61/733198061.db2.gz CFYDJLQYOLIIRY-PWSUYJOCSA-N -1 1 345.359 -0.126 20 0 EBADMM O=C(N[C@@H]1CN(c2ncnc3nc[nH]c32)C[C@@H]1O)c1ncccc1[O-] ZINC001043917530 733198317 /nfs/dbraw/zinc/19/83/17/733198317.db2.gz BVAIGFNJZCTHFK-SCZZXKLOSA-N -1 1 341.331 -0.567 20 0 EBADMM COCC1(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)CCC1 ZINC001043920209 733199701 /nfs/dbraw/zinc/19/97/01/733199701.db2.gz HQFQRRJGOKQDQO-UHFFFAOYSA-N -1 1 349.387 -0.093 20 0 EBADMM Cc1nc(CN2CC(N(C)C(=O)c3c[n-]n4c3nccc4=O)C2)n[nH]1 ZINC001044019827 733249047 /nfs/dbraw/zinc/24/90/47/733249047.db2.gz HDSSOMDIHRSURW-UHFFFAOYSA-N -1 1 342.363 -0.594 20 0 EBADMM CN(C(=O)c1cnc2cccnn21)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044019821 733249053 /nfs/dbraw/zinc/24/90/53/733249053.db2.gz GQOWCTWXEOHLNG-UHFFFAOYSA-N -1 1 342.363 -0.893 20 0 EBADMM Cc1n[nH]c(C)c1[C@H](C)C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044060718 733268635 /nfs/dbraw/zinc/26/86/35/733268635.db2.gz FOCWXARRIBVNBN-VIFPVBQESA-N -1 1 347.423 -0.105 20 0 EBADMM CN(C(=O)c1c[nH]nc1C1CC1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044131972 733295612 /nfs/dbraw/zinc/29/56/12/733295612.db2.gz FHEMCQJVGBWSCO-UHFFFAOYSA-N -1 1 331.380 -0.335 20 0 EBADMM O=C(CCn1ccnc1)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001044137455 733297775 /nfs/dbraw/zinc/29/77/75/733297775.db2.gz JPHDOINKWPKWDO-UHFFFAOYSA-N -1 1 345.359 -0.623 20 0 EBADMM COC[C@@H](C)N1CC(N(C)C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC001044181880 733321302 /nfs/dbraw/zinc/32/13/02/733321302.db2.gz KEBXLIOSUZZOMX-MRVPVSSYSA-N -1 1 326.353 -0.313 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(Cc2cnn(C)c2)C1 ZINC001044181662 733321406 /nfs/dbraw/zinc/32/14/06/733321406.db2.gz FGXBAMQTYJWOIN-UHFFFAOYSA-N -1 1 342.363 -0.893 20 0 EBADMM C[C@H]1CC[C@@H](CN2CC(N(C)C(=O)c3cc(=O)n4[n-]cnc4n3)C2)O1 ZINC001044182004 733321800 /nfs/dbraw/zinc/32/18/00/733321800.db2.gz MTGKWKVHLWMPHK-JQWIXIFHSA-N -1 1 346.391 -0.259 20 0 EBADMM CO[C@@H]1CCCC[C@@H]1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044356181 733397357 /nfs/dbraw/zinc/39/73/57/733397357.db2.gz OOHPRIDWGSRQNU-QWHCGFSZSA-N -1 1 337.424 -0.044 20 0 EBADMM C[C@H]1CO[C@@H](C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001044564813 733453307 /nfs/dbraw/zinc/45/33/07/733453307.db2.gz QBMPABDDNCOOIN-ZYHUDNBSSA-N -1 1 335.360 -0.485 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C1CS(=O)(=O)C1 ZINC001044609586 733463751 /nfs/dbraw/zinc/46/37/51/733463751.db2.gz HRTULRSDJKFXTF-PSASIEDQSA-N -1 1 343.409 -0.976 20 0 EBADMM Cc1nonc1CN[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)[C@@H](C)C1 ZINC001044663774 733474845 /nfs/dbraw/zinc/47/48/45/733474845.db2.gz NTZGPGIRRXIDBD-CBAPKCEASA-N -1 1 349.351 -0.243 20 0 EBADMM CO[C@@H](C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1)c1cnn(C)c1 ZINC001045136338 733595883 /nfs/dbraw/zinc/59/58/83/733595883.db2.gz ANFHMPHEUKZTDD-WCQYABFASA-N -1 1 349.395 -0.288 20 0 EBADMM Cn1c(=O)cccc1C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045193961 733618215 /nfs/dbraw/zinc/61/82/15/733618215.db2.gz GBSDLCNZHKPIBA-JTQLQIEISA-N -1 1 332.364 -0.397 20 0 EBADMM COc1cncc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)n1 ZINC001045227740 733627883 /nfs/dbraw/zinc/62/78/83/733627883.db2.gz INJJNAUSXIMTIR-VIFPVBQESA-N -1 1 333.352 -0.297 20 0 EBADMM COc1ncc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)cn1 ZINC001045261262 733639377 /nfs/dbraw/zinc/63/93/77/733639377.db2.gz GIWJTDSGSXNEPV-JTQLQIEISA-N -1 1 333.352 -0.297 20 0 EBADMM Cc1cc(NC(=O)C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)no1 ZINC001045308229 733663872 /nfs/dbraw/zinc/66/38/72/733663872.db2.gz XJZQPMOWLSTEID-VIFPVBQESA-N -1 1 349.351 -0.474 20 0 EBADMM C[C@]1(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)CCCOC1 ZINC001045362892 733677110 /nfs/dbraw/zinc/67/71/10/733677110.db2.gz VQKDEWOSAXZIRH-INIZCTEOSA-N -1 1 349.387 -0.093 20 0 EBADMM Cc1c[nH]nc1C(=O)NC1(C)CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001045434821 733695062 /nfs/dbraw/zinc/69/50/62/733695062.db2.gz YINXLZYBZPIKQQ-UHFFFAOYSA-N -1 1 333.396 -0.076 20 0 EBADMM CC[C@@H](C(N)=O)N1CCC(C)(NC(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001045492350 733705313 /nfs/dbraw/zinc/70/53/13/733705313.db2.gz GUNOXCWMRFGVDO-QMMMGPOBSA-N -1 1 338.368 -0.869 20 0 EBADMM CCn1cc(C(=O)NC2(C)CCN(Cc3nc(=O)n(C)[n-]3)CC2)nn1 ZINC001045600653 733736145 /nfs/dbraw/zinc/73/61/45/733736145.db2.gz FNTZDDFLHIUJQB-UHFFFAOYSA-N -1 1 348.411 -0.496 20 0 EBADMM CO[C@@H](C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1)C(C)C ZINC001045736037 733764411 /nfs/dbraw/zinc/76/44/11/733764411.db2.gz UYAGTFPPBCMBEP-CYBMUJFWSA-N -1 1 337.376 -0.239 20 0 EBADMM Cn1ccc(C(=O)N2CC[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)n1 ZINC001045890529 733782209 /nfs/dbraw/zinc/78/22/09/733782209.db2.gz HYQRUYZCHZXZFP-NEPJUHHUSA-N -1 1 345.407 -0.279 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](N3CCN(C(=O)C4CC4)CC3)C2)nc1=O ZINC001045993016 733789417 /nfs/dbraw/zinc/78/94/17/733789417.db2.gz ROIWIKVBVGHBQP-CYBMUJFWSA-N -1 1 334.424 -0.763 20 0 EBADMM Cc1nnsc1C(=O)N[C@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001046133120 733865783 /nfs/dbraw/zinc/86/57/83/733865783.db2.gz MSTAEGNNRRUDQX-CYBMUJFWSA-N -1 1 337.409 -0.337 20 0 EBADMM Cc1cn(C)nc1C(=O)N[C@@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001046309572 733905920 /nfs/dbraw/zinc/90/59/20/733905920.db2.gz OVMGURAHDASCME-HNNXBMFYSA-N -1 1 333.396 -0.455 20 0 EBADMM COc1cc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)ncn1 ZINC001046320428 733907564 /nfs/dbraw/zinc/90/75/64/733907564.db2.gz UCUOOFODSWTTAI-HNNXBMFYSA-N -1 1 347.379 -0.699 20 0 EBADMM Cc1nc([C@H](C)N2CC[C@@](C)(NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001046451330 733938884 /nfs/dbraw/zinc/93/88/84/733938884.db2.gz AETVXSZZZSOIBX-JKYUHCHBSA-N -1 1 348.367 -0.335 20 0 EBADMM Cc1nc([C@H](C)N2CC[C@](C)(NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001046451333 733939045 /nfs/dbraw/zinc/93/90/45/733939045.db2.gz AETVXSZZZSOIBX-WJWGPLDTSA-N -1 1 348.367 -0.335 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](C)(NC(=O)c3cn(C)ccc3=O)C2)nc1=O ZINC001046475323 733944810 /nfs/dbraw/zinc/94/48/10/733944810.db2.gz YRNDEUMZWRSGAZ-MRXNPFEDSA-N -1 1 346.391 -0.799 20 0 EBADMM COc1nn(C)cc1C(=O)N[C@@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001046537891 733958947 /nfs/dbraw/zinc/95/89/47/733958947.db2.gz FHDNUKQRENYFKB-HNNXBMFYSA-N -1 1 349.395 -0.755 20 0 EBADMM COc1ncccc1C(=O)N[C@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001046589047 733975703 /nfs/dbraw/zinc/97/57/03/733975703.db2.gz XDGCWTXRCNAHOC-MRXNPFEDSA-N -1 1 346.391 -0.094 20 0 EBADMM Cc1ccc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)c(=O)[nH]1 ZINC001046659275 733991104 /nfs/dbraw/zinc/99/11/04/733991104.db2.gz TVFVXWARLOPMNV-MRXNPFEDSA-N -1 1 346.391 -0.088 20 0 EBADMM CNC(=O)[C@H](C)N1CC[C@@](C)(NC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001046684845 734003327 /nfs/dbraw/zinc/00/33/27/734003327.db2.gz SHPKTZWPAOHMFX-MGPLVRAMSA-N -1 1 346.391 -0.649 20 0 EBADMM COc1ncc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001046747210 734018528 /nfs/dbraw/zinc/01/85/28/734018528.db2.gz RIRNEDGFZSECEL-HNNXBMFYSA-N -1 1 347.379 -0.699 20 0 EBADMM COc1ncc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001046747211 734018774 /nfs/dbraw/zinc/01/87/74/734018774.db2.gz RIRNEDGFZSECEL-OAHLLOKOSA-N -1 1 347.379 -0.699 20 0 EBADMM C[C@]1(NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN(Cc2cnon2)C1 ZINC001046768692 734023094 /nfs/dbraw/zinc/02/30/94/734023094.db2.gz CWXWNKNGWIPPKD-AWEZNQCLSA-N -1 1 344.335 -0.805 20 0 EBADMM Cn1cnc(C(=O)N[C@H]2C[C@H]3C[C@@H](C2)N(Cc2n[nH]c(=O)[n-]2)C3)n1 ZINC001047067206 734088446 /nfs/dbraw/zinc/08/84/46/734088446.db2.gz NZPUKKPCNDVLFA-GUBZILKMSA-N -1 1 332.368 -0.578 20 0 EBADMM CN(C(=O)c1ccsc1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047292292 734119256 /nfs/dbraw/zinc/11/92/56/734119256.db2.gz FVAXOMFUFREXJP-QWRGUYRKSA-N -1 1 337.405 -0.513 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(Cc2nncs2)C[C@@H]1O ZINC001047358949 734151961 /nfs/dbraw/zinc/15/19/61/734151961.db2.gz NSTYPCBKYJQAPO-ONGXEEELSA-N -1 1 335.389 -0.044 20 0 EBADMM CN(C(=O)[C@]1(C)CC=CCC1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047392665 734169511 /nfs/dbraw/zinc/16/95/11/734169511.db2.gz DRWIWPPMFZILAF-GDZNZVCISA-N -1 1 349.435 -0.142 20 0 EBADMM C[C@@H](NCc1ncccn1)[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001047896519 734319266 /nfs/dbraw/zinc/31/92/66/734319266.db2.gz VTTKLVDPSQSHDR-NXEZZACHSA-N -1 1 345.363 -0.287 20 0 EBADMM Cc1nnc(CN[C@H](C)[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC001047894550 734319313 /nfs/dbraw/zinc/31/93/13/734319313.db2.gz KPJOAWWHFZXZIU-VXNVDRBHSA-N -1 1 349.351 -0.385 20 0 EBADMM O=C(NC[C@H]1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1)[C@H]1CNC(=O)N1 ZINC001048557690 734443640 /nfs/dbraw/zinc/44/36/40/734443640.db2.gz FJSFDWFLEYYHPZ-LMLFDSFASA-N -1 1 349.395 -0.949 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4cnccn4)C[C@@H]3C2)nc1=O ZINC001048652992 734462088 /nfs/dbraw/zinc/46/20/88/734462088.db2.gz YZVDNSKWICKCPO-PHIMTYICSA-N -1 1 329.364 -0.898 20 0 EBADMM Cn1[nH]c(C[N@H+]2C[C@H]3CN(C(=O)CC(F)(F)F)C[C@H]3C2)nc1=O ZINC001048711994 734477588 /nfs/dbraw/zinc/47/75/88/734477588.db2.gz WKRSOASLHNGMDW-DTORHVGOSA-N -1 1 333.314 -0.049 20 0 EBADMM Cn1nncc1C(=O)N1CCC[C@H]2[C@@H]1CCN2Cc1nc(=O)n(C)[n-]1 ZINC001049451732 734733897 /nfs/dbraw/zinc/73/38/97/734733897.db2.gz UPLAFHWRVQMTTQ-QWRGUYRKSA-N -1 1 346.395 -0.884 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CCC[C@H]2[C@H]1CCN2Cc1n[nH]c(=O)[n-]1 ZINC001049630389 734767879 /nfs/dbraw/zinc/76/78/79/734767879.db2.gz LJHLCLNNRSLJCX-VHSXEESVSA-N -1 1 332.368 -0.184 20 0 EBADMM C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)[C@H]1CCN(C(=O)C2CC2)C1 ZINC001049686750 734779020 /nfs/dbraw/zinc/77/90/20/734779020.db2.gz SGIUBYCGBTZZDI-YPMHNXCESA-N -1 1 348.403 -0.310 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCCN(C(=O)C4=CCOCC4)[C@@H]3C2)nc1=O ZINC001050031515 734827224 /nfs/dbraw/zinc/82/72/24/734827224.db2.gz ACVVQYHGLPALLS-UONOGXRCSA-N -1 1 347.419 -0.122 20 0 EBADMM C[C@H](NC(=O)Cn1cnnn1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050532058 734920033 /nfs/dbraw/zinc/92/00/33/734920033.db2.gz WOQWUGJBUVRYKR-WDEREUQCSA-N -1 1 345.363 -0.559 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)c2cccc(F)c2)nc1=O ZINC001050791609 734960595 /nfs/dbraw/zinc/96/05/95/734960595.db2.gz GWTYJWPXNGQPDX-ZDUSSCGKSA-N -1 1 349.366 -0.122 20 0 EBADMM CNC(=O)NCC(=O)NC[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001062149307 738866000 /nfs/dbraw/zinc/86/60/00/738866000.db2.gz ISCJTZXFGNATES-LLVKDONJSA-N -1 1 349.391 -0.173 20 0 EBADMM Cc1cc[nH]c1C(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001050906137 734997846 /nfs/dbraw/zinc/99/78/46/734997846.db2.gz ZCFMPMBQRVNREQ-NSHDSACASA-N -1 1 334.380 -0.624 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)[C@@H]2CC2(F)F)nc1=O ZINC001050910717 734998454 /nfs/dbraw/zinc/99/84/54/734998454.db2.gz LITKHOHQGMYYIN-IUCAKERBSA-N -1 1 331.323 -0.919 20 0 EBADMM CN1CCCC[C@H]1C(=O)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[n-]1 ZINC001050983307 735024685 /nfs/dbraw/zinc/02/46/85/735024685.db2.gz SWJDPBGWSYTNHF-NEPJUHHUSA-N -1 1 338.412 -0.688 20 0 EBADMM CCc1ccoc1C(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001051093403 735064394 /nfs/dbraw/zinc/06/43/94/735064394.db2.gz MRMRNBFVGUUROH-GFCCVEGCSA-N -1 1 349.391 -0.105 20 0 EBADMM Cc1nc(C)c(C(=O)NC[C@@H]2COCCN2Cc2nc(=O)n(C)[n-]2)[nH]1 ZINC001051117123 735071404 /nfs/dbraw/zinc/07/14/04/735071404.db2.gz SRGYMYNGGAHEFG-LLVKDONJSA-N -1 1 349.395 -0.921 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001051170257 735084917 /nfs/dbraw/zinc/08/49/17/735084917.db2.gz SGBQQFADZFTFRD-NSHDSACASA-N -1 1 349.395 -0.921 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2COCCN2Cc2nc(=O)n(C)[n-]2)ccn1 ZINC001051169959 735084992 /nfs/dbraw/zinc/08/49/92/735084992.db2.gz GIZMRBYSXGESNV-ZDUSSCGKSA-N -1 1 346.391 -0.557 20 0 EBADMM O=C(NC[C@H]1COCCN1CC1CCC1)c1cc(=O)n2[n-]cnc2n1 ZINC001051209786 735099678 /nfs/dbraw/zinc/09/96/78/735099678.db2.gz NIFPGVVDWIADNH-LBPRGKRZSA-N -1 1 346.391 -0.352 20 0 EBADMM CNC(=O)NCC(=O)N1CCCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001062249882 738883825 /nfs/dbraw/zinc/88/38/25/738883825.db2.gz LHLYFYAVNQKQRO-LLVKDONJSA-N -1 1 349.391 -0.173 20 0 EBADMM O=C(CC[C@H]1CCCO1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051456313 735158547 /nfs/dbraw/zinc/15/85/47/735158547.db2.gz XONUVIICGGVWDU-VXGBXAGGSA-N -1 1 339.396 -0.214 20 0 EBADMM O=C(C[C@@H]1CCCO1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051462463 735161766 /nfs/dbraw/zinc/16/17/66/735161766.db2.gz CXOUIQHZTNQCSP-WDEREUQCSA-N -1 1 325.369 -0.604 20 0 EBADMM Cc1ncncc1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051465547 735162977 /nfs/dbraw/zinc/16/29/77/735162977.db2.gz JMBKGOKEQGVGBR-JTQLQIEISA-N -1 1 333.352 -0.760 20 0 EBADMM C[C@@](O)(CC(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001051542548 735225528 /nfs/dbraw/zinc/22/55/28/735225528.db2.gz OFKFFPJEAFCUQO-IAQYHMDHSA-N -1 1 339.396 -0.622 20 0 EBADMM Cn1ncnc1CNC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001051567373 735239059 /nfs/dbraw/zinc/23/90/59/735239059.db2.gz XMRKJODDBLACEJ-LLVKDONJSA-N -1 1 332.364 -0.454 20 0 EBADMM O=C(C1CCC(O)CC1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051582017 735247494 /nfs/dbraw/zinc/24/74/94/735247494.db2.gz UJRYCWDXKFBKGV-HTAVTVPLSA-N -1 1 339.396 -0.622 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)Cn1cncn1 ZINC001052422802 735611614 /nfs/dbraw/zinc/61/16/14/735611614.db2.gz TUPVXSJAPZZKFI-NWDGAFQWSA-N -1 1 344.375 -0.097 20 0 EBADMM Cc1nonc1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001052976931 735733527 /nfs/dbraw/zinc/73/35/27/735733527.db2.gz WFEPNVWHOMUONB-JTQLQIEISA-N -1 1 335.368 -0.416 20 0 EBADMM CCC(=O)NC[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H]1C ZINC001052978676 735734380 /nfs/dbraw/zinc/73/43/80/735734380.db2.gz AMUCJTICWXRZDD-NWDGAFQWSA-N -1 1 336.392 -0.453 20 0 EBADMM CCC(=O)NC[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC001053026789 735739496 /nfs/dbraw/zinc/73/94/96/735739496.db2.gz YGCDHFDLTLPOEN-NXEZZACHSA-N -1 1 332.364 -0.348 20 0 EBADMM CC(C)C(=O)NC[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC001053026397 735739721 /nfs/dbraw/zinc/73/97/21/735739721.db2.gz WNXDKFXPETXVSA-GHMZBOCLSA-N -1 1 346.391 -0.102 20 0 EBADMM CC(C)C(=O)NC[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1C ZINC001053026399 735739735 /nfs/dbraw/zinc/73/97/35/735739735.db2.gz WNXDKFXPETXVSA-QWRGUYRKSA-N -1 1 346.391 -0.102 20 0 EBADMM Cc1[nH]ccc1C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053208324 735778791 /nfs/dbraw/zinc/77/87/91/735778791.db2.gz JPJZUXDIGYCFSS-UHFFFAOYSA-N -1 1 346.391 -0.528 20 0 EBADMM CNC(=O)[C@@H](C)N1CC2(C1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC001053232669 735786186 /nfs/dbraw/zinc/78/61/86/735786186.db2.gz VZVLEPBJKNFUKF-LLVKDONJSA-N -1 1 334.376 -0.552 20 0 EBADMM C[C@@H](C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1)C1CCC1 ZINC001053302738 735825194 /nfs/dbraw/zinc/82/51/94/735825194.db2.gz AJCRXIZCSLNRCZ-GFCCVEGCSA-N -1 1 349.435 -0.042 20 0 EBADMM CC(C)[C@@H](F)C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053381976 735855301 /nfs/dbraw/zinc/85/53/01/735855301.db2.gz CFIYDXAHHFANLR-GFCCVEGCSA-N -1 1 341.387 -0.484 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)[C@@H]2CCC2(C)C)CCO3)nc1=O ZINC001053386481 735857064 /nfs/dbraw/zinc/85/70/64/735857064.db2.gz FIXJQTIESBIOIP-LBPRGKRZSA-N -1 1 349.435 -0.042 20 0 EBADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCOC2(CN(CCCO)C2)C1 ZINC001053460998 735881557 /nfs/dbraw/zinc/88/15/57/735881557.db2.gz LZYKHKGVCCIAAP-UHFFFAOYSA-N -1 1 348.403 -0.031 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)Cc2ccc[nH]2)CCO3)nc1=O ZINC001053536816 735920536 /nfs/dbraw/zinc/92/05/36/735920536.db2.gz JLUPROOEVOSEDX-UHFFFAOYSA-N -1 1 346.391 -0.908 20 0 EBADMM C[C@H](CC(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1)C1CC1 ZINC001053544055 735922696 /nfs/dbraw/zinc/92/26/96/735922696.db2.gz UETHXCFINNNUAS-GFCCVEGCSA-N -1 1 349.435 -0.042 20 0 EBADMM CCC(=O)N[C@@H]1CC[C@@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@H]12 ZINC000979876788 805599994 /nfs/dbraw/zinc/59/99/94/805599994.db2.gz ZSAWWMITOCTNLX-FRRDWIJNSA-N -1 1 348.403 -0.310 20 0 EBADMM Cc1ccoc1C(=O)N[C@@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053737885 735986169 /nfs/dbraw/zinc/98/61/69/735986169.db2.gz YUUIHGURHSPWPA-NSHDSACASA-N -1 1 347.375 -0.217 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)Cc2ccoc2)CO3)nc1=O ZINC001053784803 736014699 /nfs/dbraw/zinc/01/46/99/736014699.db2.gz YFLMANMYLSXMRG-GFCCVEGCSA-N -1 1 347.375 -0.596 20 0 EBADMM Cc1conc1C(=O)N[C@@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053976599 736128186 /nfs/dbraw/zinc/12/81/86/736128186.db2.gz WLGAVYRNVDUDLT-JTQLQIEISA-N -1 1 348.363 -0.822 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)Cc2ccc[nH]2)CO3)nc1=O ZINC001054015030 736152468 /nfs/dbraw/zinc/15/24/68/736152468.db2.gz WUYAKORXBGKPHK-LBPRGKRZSA-N -1 1 346.391 -0.861 20 0 EBADMM C[C@H]1CN(C(=O)CC2OCCCO2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054609173 736217548 /nfs/dbraw/zinc/21/75/48/736217548.db2.gz XGAWDUNCQNPHAP-UWVGGRQHSA-N -1 1 325.369 -0.400 20 0 EBADMM C[C@@H]1CN(C(=O)c2nnn(C(C)(C)C)n2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054670761 736228676 /nfs/dbraw/zinc/22/86/76/736228676.db2.gz IZKXMEVXXIRKSK-RKDXNWHRSA-N -1 1 349.399 -0.498 20 0 EBADMM CCn1ccc(CC(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001054672735 736229455 /nfs/dbraw/zinc/22/94/55/736229455.db2.gz PCHVDKFVPIAALK-ZYHUDNBSSA-N -1 1 333.396 -0.094 20 0 EBADMM C[C@@H]1CN(C(=O)CCc2ccnn2C)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054696037 736232857 /nfs/dbraw/zinc/23/28/57/736232857.db2.gz QMJMEZKHSZPMEN-PWSUYJOCSA-N -1 1 333.396 -0.187 20 0 EBADMM C[C@H]1CN(C(=O)c2cnn3c2CCC3)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054714628 736234767 /nfs/dbraw/zinc/23/47/67/736234767.db2.gz WNPHDKKUSVDAGJ-ONGXEEELSA-N -1 1 331.380 -0.097 20 0 EBADMM C[C@H]1CN(C(=O)c2cnc3n2CCOC3)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054778540 736245092 /nfs/dbraw/zinc/24/50/92/736245092.db2.gz WPHIYKVNTODLIS-VHSXEESVSA-N -1 1 347.379 -0.513 20 0 EBADMM C[C@@H]1CN(C(=O)c2cccc(C(N)=O)c2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054812513 736248679 /nfs/dbraw/zinc/24/86/79/736248679.db2.gz KYEATAMZEDTXTF-BXKDBHETSA-N -1 1 344.375 -0.141 20 0 EBADMM COCc1nn(C)cc1C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001054820112 736250396 /nfs/dbraw/zinc/25/03/96/736250396.db2.gz MVDVAJBRRONYHV-MWLCHTKSSA-N -1 1 349.395 -0.360 20 0 EBADMM C[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@H]1NCc1nccn1C ZINC001054863703 736259275 /nfs/dbraw/zinc/25/92/75/736259275.db2.gz VVLBOQSPZIELKW-IUCAKERBSA-N -1 1 333.352 -0.733 20 0 EBADMM COc1nccc(C(=O)N2C[C@@H](C)[C@@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001054894606 736264777 /nfs/dbraw/zinc/26/47/77/736264777.db2.gz WDJYSJHRUQKGGF-SCZZXKLOSA-N -1 1 333.352 -0.441 20 0 EBADMM C[C@H]1CN(C(=O)c2cnc([O-])n(C)c2=O)C[C@@H]1NCc1ccn(C)n1 ZINC001054967711 736274047 /nfs/dbraw/zinc/27/40/47/736274047.db2.gz XXBACDPHRZBGSH-GWCFXTLKSA-N -1 1 346.391 -0.530 20 0 EBADMM CCC(=O)NC1CCN(CCNC(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001055604338 736402628 /nfs/dbraw/zinc/40/26/28/736402628.db2.gz ZAAPKWRBANHNAY-UHFFFAOYSA-N -1 1 338.368 -0.997 20 0 EBADMM O=C(N[C@]1(CO)CCCN(C(=O)c2ncccc2[O-])C1)c1cn[nH]c1 ZINC001055796959 736483588 /nfs/dbraw/zinc/48/35/88/736483588.db2.gz ULFZLKOMWWUYNR-MRXNPFEDSA-N -1 1 345.359 -0.093 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCN(c2cccc(F)n2)CC1 ZINC001055856418 736524221 /nfs/dbraw/zinc/52/42/21/736524221.db2.gz AMFWTFZWSPRPBZ-UHFFFAOYSA-N -1 1 343.322 -0.086 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H](Nc2cc(F)ncn2)C1 ZINC001056665672 736764906 /nfs/dbraw/zinc/76/49/06/736764906.db2.gz IIGFUFFVDKZPMM-SNVBAGLBSA-N -1 1 348.338 -0.431 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CC[C@@H](Nc2cnc(F)cn2)C1 ZINC001056669471 736766310 /nfs/dbraw/zinc/76/63/10/736766310.db2.gz MBTMVSKRQPPKLF-MRVPVSSYSA-N -1 1 344.310 -0.327 20 0 EBADMM Cc1cc(N[C@@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)ncn1 ZINC001056669454 736766602 /nfs/dbraw/zinc/76/66/02/736766602.db2.gz LFZUDANKDCOAIR-SNVBAGLBSA-N -1 1 340.347 -0.157 20 0 EBADMM Cc1n[nH]c(C(=O)NCCN(CCO)C(=O)[C@H]2CCCN2C)c1[O-] ZINC001057209561 737080626 /nfs/dbraw/zinc/08/06/26/737080626.db2.gz RBIZIOIXWARBKB-LLVKDONJSA-N -1 1 339.396 -0.931 20 0 EBADMM Cc1nnc(CC(=O)NCCN(CCO)C(=O)c2ncccc2[O-])[nH]1 ZINC001057410259 737229920 /nfs/dbraw/zinc/22/99/20/737229920.db2.gz KEACDRAASNVHLB-UHFFFAOYSA-N -1 1 348.363 -0.993 20 0 EBADMM Cc1nc(CC(=O)NCCN(CCO)C(=O)c2ncccc2[O-])n[nH]1 ZINC001057410259 737229930 /nfs/dbraw/zinc/22/99/30/737229930.db2.gz KEACDRAASNVHLB-UHFFFAOYSA-N -1 1 348.363 -0.993 20 0 EBADMM CCn1cc(C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])nn1 ZINC001057410095 737230240 /nfs/dbraw/zinc/23/02/40/737230240.db2.gz BZJOCZGNPRYFER-UHFFFAOYSA-N -1 1 348.363 -0.737 20 0 EBADMM O=C(C[C@@H]1CCCO1)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410340 737230547 /nfs/dbraw/zinc/23/05/47/737230547.db2.gz MXRCCTITOPBHJL-LBPRGKRZSA-N -1 1 337.376 -0.093 20 0 EBADMM O=C(COCC1CC1)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410581 737230781 /nfs/dbraw/zinc/23/07/81/737230781.db2.gz SKZFRIJRZXFYMG-UHFFFAOYSA-N -1 1 337.376 -0.236 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccc1[O-])c1ncccc1O ZINC001057410945 737231326 /nfs/dbraw/zinc/23/13/26/737231326.db2.gz YMAHRVZFYSIPHT-UHFFFAOYSA-N -1 1 346.343 -0.248 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccc1O)c1ncccc1[O-] ZINC001057410945 737231331 /nfs/dbraw/zinc/23/13/31/737231331.db2.gz YMAHRVZFYSIPHT-UHFFFAOYSA-N -1 1 346.343 -0.248 20 0 EBADMM Cc1ncc(CC(=O)NCCN(CCO)C(=O)c2ncccc2[O-])o1 ZINC001057412250 737232847 /nfs/dbraw/zinc/23/28/47/737232847.db2.gz ZFOBECDXDGRIHV-UHFFFAOYSA-N -1 1 348.359 -0.123 20 0 EBADMM O=C(Cn1ccnc1)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057417325 737236919 /nfs/dbraw/zinc/23/69/19/737236919.db2.gz RNCWWAKQBKPVQO-UHFFFAOYSA-N -1 1 333.348 -0.765 20 0 EBADMM CC(C)(O)CC(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057460938 737270178 /nfs/dbraw/zinc/27/01/78/737270178.db2.gz SMNHYENCQJCZRU-UHFFFAOYSA-N -1 1 325.365 -0.501 20 0 EBADMM Cc1ncc(C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])n1C ZINC001058498979 737862380 /nfs/dbraw/zinc/86/23/80/737862380.db2.gz LXSOJNFSDUGMIU-UHFFFAOYSA-N -1 1 347.375 -0.306 20 0 EBADMM Cc1ccn(CC(=O)N(CCO)CCNC(=O)c2ncccc2[O-])n1 ZINC001058864472 738041504 /nfs/dbraw/zinc/04/15/04/738041504.db2.gz WXXNPNKDYHCDQJ-UHFFFAOYSA-N -1 1 347.375 -0.457 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H](Nc2nccnc2F)C1 ZINC001058926783 738076753 /nfs/dbraw/zinc/07/67/53/738076753.db2.gz KRMWQPHYSPSNOP-JTQLQIEISA-N -1 1 348.338 -0.431 20 0 EBADMM Cc1nsc(N[C@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)n1 ZINC001058930023 738078279 /nfs/dbraw/zinc/07/82/79/738078279.db2.gz ZWUANQHAMSJOQD-QMMMGPOBSA-N -1 1 346.376 -0.096 20 0 EBADMM Cc1cc(N[C@H]2C[C@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)ncn1 ZINC001059265339 738141298 /nfs/dbraw/zinc/14/12/98/738141298.db2.gz SQYNALCCQILBEZ-MGCOHNPYSA-N -1 1 340.347 -0.111 20 0 EBADMM NC(=O)NCC(=O)NCC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059514404 738187040 /nfs/dbraw/zinc/18/70/40/738187040.db2.gz CDUBYXIGYXNUQR-SNVBAGLBSA-N -1 1 335.364 -0.576 20 0 EBADMM CNC(=O)NCC(=O)NCC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059517947 738187876 /nfs/dbraw/zinc/18/78/76/738187876.db2.gz FHVWOFVOAUTENE-NSHDSACASA-N -1 1 349.391 -0.315 20 0 EBADMM CCOCC(=O)NCC1(NC(=O)c2cc(=O)n3[n-]cnc3n2)CCC1 ZINC001062447743 738916932 /nfs/dbraw/zinc/91/69/32/738916932.db2.gz QOSXASRYCFPZIU-UHFFFAOYSA-N -1 1 348.363 -0.777 20 0 EBADMM CO[C@H](C)C(=O)NCC1(NC(=O)c2cc(=O)n3[n-]cnc3n2)CCC1 ZINC001062644726 738956314 /nfs/dbraw/zinc/95/63/14/738956314.db2.gz BFYUIEOJRHFFQF-SECBINFHSA-N -1 1 348.363 -0.779 20 0 EBADMM NC(=O)CC(=O)NCC1(NC(=O)c2cnc(C3CC3)[n-]c2=O)CCC1 ZINC001062735517 738976629 /nfs/dbraw/zinc/97/66/29/738976629.db2.gz HFJGTOFOAPOTNB-UHFFFAOYSA-N -1 1 347.375 -0.296 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839018 739002703 /nfs/dbraw/zinc/00/27/03/739002703.db2.gz DQYFFBQDIAODIH-ZJUUUORDSA-N -1 1 332.360 -0.323 20 0 EBADMM C[C@H](NC(N)=O)C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])CC1 ZINC001066079099 739908432 /nfs/dbraw/zinc/90/84/32/739908432.db2.gz UFZCWKKVYHMLDZ-WDEREUQCSA-N -1 1 349.391 -0.045 20 0 EBADMM CN(CCCN(C)C(=O)c1ncccc1[O-])C(=O)Cn1ncnn1 ZINC001067254874 740113761 /nfs/dbraw/zinc/11/37/61/740113761.db2.gz QYXGDYVJRRMGHW-UHFFFAOYSA-N -1 1 333.352 -0.606 20 0 EBADMM CCOCC(=O)N[C@H]1COC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001068674181 740403814 /nfs/dbraw/zinc/40/38/14/740403814.db2.gz IFCZJUYDEXQDRM-LLVKDONJSA-N -1 1 335.360 -0.077 20 0 EBADMM COCCC(=O)N1CC2(C1)C[C@@H](NC(=O)c1ncccc1[O-])CO2 ZINC001068824926 740455858 /nfs/dbraw/zinc/45/58/58/740455858.db2.gz FYTIWWRQZBFOAA-LLVKDONJSA-N -1 1 335.360 -0.077 20 0 EBADMM C[C@H]1C[C@H](Nc2ncccn2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001069120962 740537090 /nfs/dbraw/zinc/53/70/90/740537090.db2.gz GQOSWGRHYYDLGN-UWVGGRQHSA-N -1 1 340.347 -0.077 20 0 EBADMM CC(=O)N1CC[C@H](Oc2cnccc2CNCc2nc(=O)n(C)[n-]2)C1 ZINC001069841000 740586699 /nfs/dbraw/zinc/58/66/99/740586699.db2.gz XLDSCNRQEJJTGA-ZDUSSCGKSA-N -1 1 346.391 -0.207 20 0 EBADMM O=C(NCc1nnc([C@H]2COCC[N@H+]2CC2CC2)[n-]1)c1nnc[n-]1 ZINC001070591551 740888407 /nfs/dbraw/zinc/88/84/07/740888407.db2.gz KGBQGERWDCEQQU-SNVBAGLBSA-N -1 1 332.368 -0.364 20 0 EBADMM CNC(=O)NCCC(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648264 740908840 /nfs/dbraw/zinc/90/88/40/740908840.db2.gz CUVXZFNOCSKYJK-LLVKDONJSA-N -1 1 349.391 -0.173 20 0 EBADMM COCCN1CCNC(=O)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001070958641 740996915 /nfs/dbraw/zinc/99/69/15/740996915.db2.gz LGNGAHCVYQHBIW-UHFFFAOYSA-N -1 1 336.392 -0.302 20 0 EBADMM CNC(=O)CN1CC[C@]2(C1)CN(C(=O)c1ncccc1[O-])C[C@H](C)O2 ZINC001071161667 741072241 /nfs/dbraw/zinc/07/22/41/741072241.db2.gz NSBUHHRVPSIJBE-SJCJKPOMSA-N -1 1 348.403 -0.161 20 0 EBADMM Cc1c(C(=O)N[C@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001071352252 741126331 /nfs/dbraw/zinc/12/63/31/741126331.db2.gz OVCZUDHPWBNHKB-PWSUYJOCSA-N -1 1 347.423 -0.067 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)ncn1 ZINC001071436957 741135996 /nfs/dbraw/zinc/13/59/96/741135996.db2.gz AFBJDIMZKFSNDU-VXGBXAGGSA-N -1 1 345.407 -0.010 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)C2=CCOCC2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071456858 741140063 /nfs/dbraw/zinc/14/00/63/741140063.db2.gz DIIHBEJABPYWRN-AAEUAGOBSA-N -1 1 335.408 -0.076 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2cn(C)nn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071466651 741141863 /nfs/dbraw/zinc/14/18/63/741141863.db2.gz FRAKPPUFTDMXBB-NXEZZACHSA-N -1 1 334.384 -0.980 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)cnn1 ZINC001071470639 741143007 /nfs/dbraw/zinc/14/30/07/741143007.db2.gz MISUBNVBWCXRCJ-AAEUAGOBSA-N -1 1 345.407 -0.010 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2cn[nH]c(=O)c2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071594680 741174298 /nfs/dbraw/zinc/17/42/98/741174298.db2.gz WKIWLGPXQHJACD-KOLCDFICSA-N -1 1 347.379 -0.613 20 0 EBADMM CC(C)C(=O)N1C[C@@H](C)[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001071620182 741178526 /nfs/dbraw/zinc/17/85/26/741178526.db2.gz AYRJKYXOKFNUMQ-VXGBXAGGSA-N -1 1 336.392 -0.454 20 0 EBADMM COCCN1C[C@@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)CC[C@H]1C ZINC001071907607 741242808 /nfs/dbraw/zinc/24/28/08/741242808.db2.gz CYOAVSBFEABEMH-NEPJUHHUSA-N -1 1 348.407 -0.313 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2ncccn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071919649 741245455 /nfs/dbraw/zinc/24/54/55/741245455.db2.gz FRZKQVUBUUGBDO-QWRGUYRKSA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@@H]1CN(C(=O)C2(NC(N)=O)CC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001071925719 741248433 /nfs/dbraw/zinc/24/84/33/741248433.db2.gz NMGVCLFEKDMHOP-NXEZZACHSA-N -1 1 347.375 -0.435 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)Cc2ncc[nH]2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071938011 741253792 /nfs/dbraw/zinc/25/37/92/741253792.db2.gz KWWUYZVFNDSCBZ-WDEREUQCSA-N -1 1 333.396 -0.457 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C(=O)NCC1CC1 ZINC001071951859 741263262 /nfs/dbraw/zinc/26/32/62/741263262.db2.gz DTOQWJLSYLPMSS-CMPLNLGQSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C(C)(C)C(N)=O ZINC001071952227 741263297 /nfs/dbraw/zinc/26/32/97/741263297.db2.gz JMICIOSENDBAFO-NXEZZACHSA-N -1 1 334.376 -0.125 20 0 EBADMM COC(=O)NCC(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001071952656 741263704 /nfs/dbraw/zinc/26/37/04/741263704.db2.gz PKXSQHPUQUVPEJ-UWVGGRQHSA-N -1 1 336.348 -0.280 20 0 EBADMM CNC(=O)C1(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C)CC1 ZINC001071953407 741264263 /nfs/dbraw/zinc/26/42/63/741264263.db2.gz YYZSBANQMMPNFB-GHMZBOCLSA-N -1 1 346.387 -0.110 20 0 EBADMM Cc1nccc(C(=O)N[C@@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001072077995 741301076 /nfs/dbraw/zinc/30/10/76/741301076.db2.gz DQRFWFRXOICUNU-CMPLNLGQSA-N -1 1 345.407 -0.010 20 0 EBADMM C/C=C(\C)C(=O)N[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H]1C ZINC001072394121 741388738 /nfs/dbraw/zinc/38/87/38/741388738.db2.gz WZESOCPYJSZQRE-CLFQDTNISA-N -1 1 348.403 -0.144 20 0 EBADMM Cc1ncc(C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)n1C ZINC001072918143 741520146 /nfs/dbraw/zinc/52/01/46/741520146.db2.gz VHOFVVHISVQUSR-UHFFFAOYSA-N -1 1 345.407 -0.502 20 0 EBADMM CO[C@@H](C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2)C(C)C ZINC001072943395 741525249 /nfs/dbraw/zinc/52/52/49/741525249.db2.gz UYKDZLYHELZBFV-CYBMUJFWSA-N -1 1 337.424 -0.186 20 0 EBADMM C[C@@H]1COCC[C@H]1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072959673 741527444 /nfs/dbraw/zinc/52/74/44/741527444.db2.gz DHRZBYNDTYPFCN-CHWSQXEVSA-N -1 1 349.435 -0.185 20 0 EBADMM C[C@H](C(N)=O)N1CCC2(CN(C(=O)c3c[n-]n4c3nccc4=O)C2)C1 ZINC001073041171 741546838 /nfs/dbraw/zinc/54/68/38/741546838.db2.gz HXWDZANRIQXHFC-SNVBAGLBSA-N -1 1 344.375 -0.956 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)Cc4ncc[nH]4)C3)C2)nc1=O ZINC001073078335 741554545 /nfs/dbraw/zinc/55/45/45/741554545.db2.gz FENQNBSELPFIDP-UHFFFAOYSA-N -1 1 331.380 -0.892 20 0 EBADMM C[C@H](C(N)=O)N1CCC2(CN(C(=O)c3ccc4oc(=O)nc-4[n-]3)C2)C1 ZINC001073124323 741565328 /nfs/dbraw/zinc/56/53/28/741565328.db2.gz HPSLNQSQZJYOKW-SECBINFHSA-N -1 1 345.359 -0.050 20 0 EBADMM CC(=O)N1CC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C(C)(C)C1 ZINC001073431140 741613078 /nfs/dbraw/zinc/61/30/78/741613078.db2.gz RVHUFTLVCXGEEV-GFCCVEGCSA-N -1 1 336.392 -0.310 20 0 EBADMM Cn1ccc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CCCO2)c1 ZINC001073567979 741658552 /nfs/dbraw/zinc/65/85/52/741658552.db2.gz GTIPYPAHUWVGQX-CYBMUJFWSA-N -1 1 348.407 -0.532 20 0 EBADMM Cn1cnnc1CN1CCCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001073582249 741667827 /nfs/dbraw/zinc/66/78/27/741667827.db2.gz GWSAABAUAJYLAH-LBPRGKRZSA-N -1 1 346.391 -0.063 20 0 EBADMM Cn1ncc(CN2CCCO[C@@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC001073583616 741668806 /nfs/dbraw/zinc/66/88/06/741668806.db2.gz AGQJCLYBNLUKHL-ZDUSSCGKSA-N -1 1 346.391 -0.063 20 0 EBADMM Cn1ncnc1CN1CCCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001073583943 741668909 /nfs/dbraw/zinc/66/89/09/741668909.db2.gz JAYTYCQOAMRUBT-GFCCVEGCSA-N -1 1 346.391 -0.063 20 0 EBADMM CNC(=O)[C@H](C)N1CCCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001073584287 741669140 /nfs/dbraw/zinc/66/91/40/741669140.db2.gz QNFIERGPHYGLMI-NWDGAFQWSA-N -1 1 336.392 -0.258 20 0 EBADMM Cn1cc(CN2CCCO[C@H](CNC(=O)c3ncccc3[O-])C2)nn1 ZINC001073584254 741669411 /nfs/dbraw/zinc/66/94/11/741669411.db2.gz QHJZGSXBCJNJTJ-CYBMUJFWSA-N -1 1 346.391 -0.063 20 0 EBADMM CNC(=O)CC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001073901341 741782526 /nfs/dbraw/zinc/78/25/26/741782526.db2.gz VNUDGFVTTWLLRK-WDEREUQCSA-N -1 1 334.376 -0.110 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCCN1C(=O)c1cn[nH]c1 ZINC001073958600 741795553 /nfs/dbraw/zinc/79/55/53/741795553.db2.gz NVWHELMCQBTGFZ-GFCCVEGCSA-N -1 1 333.396 -0.042 20 0 EBADMM CCN1CCO[C@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@@H]21 ZINC001074382981 741921878 /nfs/dbraw/zinc/92/18/78/741921878.db2.gz UBAWVVYTMJEXIC-RYUDHWBXSA-N -1 1 332.364 -0.647 20 0 EBADMM CCCN1CCO[C@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@@H]21 ZINC001074382998 741922055 /nfs/dbraw/zinc/92/20/55/741922055.db2.gz UHBBMDBKISIWNE-STQMWFEESA-N -1 1 346.391 -0.257 20 0 EBADMM CCN1C[C@H](C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)CC1=O ZINC001074675673 742060864 /nfs/dbraw/zinc/06/08/64/742060864.db2.gz FWLMNDZWRFLCRU-AXFHLTTASA-N -1 1 336.396 -0.542 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@H]1CCS(=O)(=O)C1 ZINC001074734075 742093519 /nfs/dbraw/zinc/09/35/19/742093519.db2.gz ZZNTXINNWFYAML-GUBZILKMSA-N -1 1 343.409 -0.976 20 0 EBADMM CNC(=O)NCC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001075027328 742160927 /nfs/dbraw/zinc/16/09/27/742160927.db2.gz NXACGVZNJHGMLU-WDEREUQCSA-N -1 1 349.391 -0.175 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)CCN1C(=O)C1CC1 ZINC001075067154 742172836 /nfs/dbraw/zinc/17/28/36/742172836.db2.gz MDJXZNBQMXLYBV-DGCLKSJQSA-N -1 1 348.403 -0.168 20 0 EBADMM CC(C)CC(=O)NCC[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001075443861 742230009 /nfs/dbraw/zinc/23/00/09/742230009.db2.gz BHLKCMHXNQMGLK-LBPRGKRZSA-N -1 1 338.408 -0.016 20 0 EBADMM Cn1cccc1C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001075557239 742249403 /nfs/dbraw/zinc/24/94/03/742249403.db2.gz OSRDPMPHJGTNBQ-WCQYABFASA-N -1 1 330.392 -0.207 20 0 EBADMM Cc1cc(C(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)nn1C ZINC001075592420 742258503 /nfs/dbraw/zinc/25/85/03/742258503.db2.gz OMQPTLRMXARISN-WCQYABFASA-N -1 1 345.407 -0.503 20 0 EBADMM Cn1nccc1C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001075607518 742260287 /nfs/dbraw/zinc/26/02/87/742260287.db2.gz FBUKGMUCAOHKRW-CMPLNLGQSA-N -1 1 331.380 -0.812 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)[C@]45C[C@H]4COC5)[C@@H]3C2)nc1=O ZINC001075817668 742306291 /nfs/dbraw/zinc/30/62/91/742306291.db2.gz HBTKMUJDLFTIKX-OVZMXSCWSA-N -1 1 333.392 -0.822 20 0 EBADMM C[C@@H](CCNC(=O)c1cocn1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001075924792 742330652 /nfs/dbraw/zinc/33/06/52/742330652.db2.gz IAIIUTRTYFSFDZ-QMMMGPOBSA-N -1 1 345.319 -0.656 20 0 EBADMM Cc1ncoc1CN1C[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)[C@@H]2C1 ZINC001075993284 742353010 /nfs/dbraw/zinc/35/30/10/742353010.db2.gz MOKGOGCGZOWLJT-VHSXEESVSA-N -1 1 346.347 -0.074 20 0 EBADMM COc1nc(C(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)co1 ZINC001076090642 742375704 /nfs/dbraw/zinc/37/57/04/742375704.db2.gz CZXMZJZCHQYCEM-GXSJLCMTSA-N -1 1 348.363 -0.549 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)CC4=CCOCC4)[C@@H]3C2)nc1=O ZINC001076209834 742407371 /nfs/dbraw/zinc/40/73/71/742407371.db2.gz HRRZJMJHZCXYOZ-UONOGXRCSA-N -1 1 347.419 -0.122 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CC(=O)N(C)C1 ZINC001076248676 742419773 /nfs/dbraw/zinc/41/97/73/742419773.db2.gz YFCGMZBTPFNOGU-QWRGUYRKSA-N -1 1 334.376 -0.110 20 0 EBADMM CCn1cc(C(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)nn1 ZINC001076410860 742460889 /nfs/dbraw/zinc/46/08/89/742460889.db2.gz HKTXTXOJJBPNIU-CMPLNLGQSA-N -1 1 346.395 -0.934 20 0 EBADMM C[C@@H](CCNC(=O)[C@@H]1CC(=O)NC(=O)N1)NC(=O)c1ncccc1[O-] ZINC001076541089 742492571 /nfs/dbraw/zinc/49/25/71/742492571.db2.gz MPMZBHMONCQPBO-IUCAKERBSA-N -1 1 349.347 -0.990 20 0 EBADMM Cc1cccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c1 ZINC001076708951 742540219 /nfs/dbraw/zinc/54/02/19/742540219.db2.gz PMINCBJQXJPDBQ-CHWSQXEVSA-N -1 1 331.376 -0.608 20 0 EBADMM Cc1cc(CN2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)nn1C ZINC001076916767 742642180 /nfs/dbraw/zinc/64/21/80/742642180.db2.gz ARANCWBCELIDOE-TZMCWYRMSA-N -1 1 331.376 -0.196 20 0 EBADMM Cc1nnsc1CN1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001076917055 742642668 /nfs/dbraw/zinc/64/26/68/742642668.db2.gz OFUHGYJPZJDYBL-MWLCHTKSSA-N -1 1 335.389 -0.078 20 0 EBADMM Cc1cnc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c(C)c1 ZINC001077423513 742967068 /nfs/dbraw/zinc/96/70/68/742967068.db2.gz AUTBWIYIPOLLNM-VXGBXAGGSA-N -1 1 346.391 -0.905 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)cs1 ZINC001077839970 743291318 /nfs/dbraw/zinc/29/13/18/743291318.db2.gz GTCJYGVFAFGSIX-GHMZBOCLSA-N -1 1 337.405 -0.547 20 0 EBADMM C[C@@H](CCNC(=O)[C@H]1CCN(C)C1=O)NC(=O)c1ncccc1[O-] ZINC001077908704 743342661 /nfs/dbraw/zinc/34/26/61/743342661.db2.gz VXWPRVIRUXVTRZ-WDEREUQCSA-N -1 1 334.376 -0.110 20 0 EBADMM COC[C@@H](C)N1C[C@@H](O)[C@H](NC(=O)c2ccc3oc(=O)nc-3[n-]2)C1 ZINC001077998074 743405112 /nfs/dbraw/zinc/40/51/12/743405112.db2.gz FPKUGSMUHWSGOF-FBIMIBRVSA-N -1 1 336.348 -0.262 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)C34CCC(CC3)CC4)C2)nc1=O ZINC001078212916 743541805 /nfs/dbraw/zinc/54/18/05/743541805.db2.gz VBMJABYDORBPDC-SBUZTJRASA-N -1 1 349.435 -0.260 20 0 EBADMM CS[C@H](C)C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078341437 743591210 /nfs/dbraw/zinc/59/12/10/743591210.db2.gz GREOVQKRHXTZHO-ZJUUUORDSA-N -1 1 329.426 -0.031 20 0 EBADMM CS[C@@H](C)C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078341436 743591402 /nfs/dbraw/zinc/59/14/02/743591402.db2.gz GREOVQKRHXTZHO-VHSXEESVSA-N -1 1 329.426 -0.031 20 0 EBADMM Cn1cnc(C(=O)N2CCCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001078381504 743615950 /nfs/dbraw/zinc/61/59/50/743615950.db2.gz RMJSLIIBNWZYNZ-SNVBAGLBSA-N -1 1 335.368 -0.735 20 0 EBADMM Cc1c[nH]nc1C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078399589 743630280 /nfs/dbraw/zinc/63/02/80/743630280.db2.gz BKFGQEUSUVBTGG-SNVBAGLBSA-N -1 1 335.368 -0.437 20 0 EBADMM C[C@@H](CCNC(=O)[C@]1(C)CCNC1=O)NC(=O)c1ncccc1[O-] ZINC001078451151 743654315 /nfs/dbraw/zinc/65/43/15/743654315.db2.gz QKLKQTWVMIRYQL-QFYYESIMSA-N -1 1 334.376 -0.062 20 0 EBADMM O=C(N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)[C@]1(F)CCOC1 ZINC001078455617 743656875 /nfs/dbraw/zinc/65/68/75/743656875.db2.gz QSOMSJHVWMVEKI-HZMBPMFUSA-N -1 1 343.359 -0.654 20 0 EBADMM O=C(c1ccncn1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078489182 743672305 /nfs/dbraw/zinc/67/23/05/743672305.db2.gz PCCFOYIRLHVODN-SNVBAGLBSA-N -1 1 333.352 -0.679 20 0 EBADMM O=C(N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2)c1ccc(=O)[nH]n1 ZINC001078546413 743691331 /nfs/dbraw/zinc/69/13/31/743691331.db2.gz WPEOZAFQDRQBRL-VHSXEESVSA-N -1 1 345.363 -0.163 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)C1 ZINC001078609640 743707139 /nfs/dbraw/zinc/70/71/39/743707139.db2.gz WOSWQRSTXKIQLI-NEPJUHHUSA-N -1 1 348.407 -0.494 20 0 EBADMM Cc1nn[nH]c1C(=O)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078698964 743721142 /nfs/dbraw/zinc/72/11/42/743721142.db2.gz YJIARUVZUDRYLX-RKDXNWHRSA-N -1 1 332.368 -0.232 20 0 EBADMM C[C@@H](CCNC(=O)[C@@H]1CC12CC2)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001078723428 743724785 /nfs/dbraw/zinc/72/47/85/743724785.db2.gz ASYGJRDRQYJCFR-UWVGGRQHSA-N -1 1 344.375 -0.158 20 0 EBADMM C[C@@H](CCNC(=O)Cc1cnc[nH]1)NC(=O)c1cnc([O-])n(C)c1=O ZINC001078755968 743730069 /nfs/dbraw/zinc/73/00/69/743730069.db2.gz GJNGFOGQBIERSJ-VIFPVBQESA-N -1 1 348.363 -0.924 20 0 EBADMM CCn1cc(C(=O)N[C@@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)nn1 ZINC001078764456 743731853 /nfs/dbraw/zinc/73/18/53/743731853.db2.gz SVGDMSNSFAMLHK-WDEREUQCSA-N -1 1 346.395 -0.048 20 0 EBADMM CN1C[C@H](C(=O)NC[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000979917900 805631999 /nfs/dbraw/zinc/63/19/99/805631999.db2.gz JXSFOGJSJNTSSA-IJLUTSLNSA-N -1 1 346.387 -0.110 20 0 EBADMM O=C(NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)[C@@H]1CCC(=O)NC1 ZINC000980019932 805690727 /nfs/dbraw/zinc/69/07/27/805690727.db2.gz QJZBZMCNTGHPMT-IJLUTSLNSA-N -1 1 346.387 -0.062 20 0 EBADMM Cc1n[nH]c(C)c1CC(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000980375786 805875621 /nfs/dbraw/zinc/87/56/21/805875621.db2.gz YBWPQALETFQION-LBPRGKRZSA-N -1 1 347.423 -0.276 20 0 EBADMM C[C@H]1C[C@@H](CNCc2nnn(C)n2)CN1C(=O)c1ncccc1[O-] ZINC000980526701 805923730 /nfs/dbraw/zinc/92/37/30/805923730.db2.gz UKFFJOFXHAZIGZ-QWRGUYRKSA-N -1 1 331.380 -0.049 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)[C@H]2CC23CCOCC3)C1 ZINC000980565098 805944645 /nfs/dbraw/zinc/94/46/45/805944645.db2.gz VLXZPMVULBBHAW-QWHCGFSZSA-N -1 1 349.435 -0.042 20 0 EBADMM Cc1noc(CNC[C@H]2C[C@@H](C)N(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC000980640839 805971645 /nfs/dbraw/zinc/97/16/45/805971645.db2.gz ABTUAKJXXMOIHQ-VXNVDRBHSA-N -1 1 349.351 -0.385 20 0 EBADMM C[C@H]1C[C@@H](CNCc2cnon2)CN1C(=O)c1cnc([O-])n(C)c1=O ZINC000980669987 805979476 /nfs/dbraw/zinc/97/94/76/805979476.db2.gz CJYPALJUVAHPME-UWVGGRQHSA-N -1 1 348.363 -0.491 20 0 EBADMM Cc1ncncc1C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000980758644 806011432 /nfs/dbraw/zinc/01/14/32/806011432.db2.gz AZLMKPCFPWHQCD-UHFFFAOYSA-N -1 1 331.380 -0.445 20 0 EBADMM Cc1[nH]nc(C(=O)N2CCCN(C[C@H](O)Cn3cccn3)CC2)c1[O-] ZINC000980978711 806052097 /nfs/dbraw/zinc/05/20/97/806052097.db2.gz DHIAGCASICRZGW-ZDUSSCGKSA-N -1 1 348.407 -0.171 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)C3CCC(O)CC3)CC2)nc1=O ZINC000981070478 806074734 /nfs/dbraw/zinc/07/47/34/806074734.db2.gz SOSPRRHJTDQGRE-UHFFFAOYSA-N -1 1 337.424 -0.306 20 0 EBADMM O=C(N[C@@H]1CCC[C@@H](NCc2ncccn2)C1)c1n[nH]c(=O)[n-]c1=O ZINC000981200756 806106362 /nfs/dbraw/zinc/10/63/62/806106362.db2.gz BSRKZQXCWQULSF-NXEZZACHSA-N -1 1 345.363 -0.096 20 0 EBADMM Cn1ncnc1CN[C@H]1CCC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000981201516 806108381 /nfs/dbraw/zinc/10/83/81/806108381.db2.gz LXRLXRCIOWVTGQ-DTWKUNHWSA-N -1 1 348.367 -0.758 20 0 EBADMM CC[C@@H]1CCO[C@@H]1C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000981372132 806154689 /nfs/dbraw/zinc/15/46/89/806154689.db2.gz QKEFIGVAKUNRPB-OCCSQVGLSA-N -1 1 337.424 -0.042 20 0 EBADMM Cn1nccc1CCN1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC000981549759 806198462 /nfs/dbraw/zinc/19/84/62/806198462.db2.gz OSJOQSDKONDJQB-UHFFFAOYSA-N -1 1 347.379 -0.593 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)C[C@H]4CCOC4)C3)C2)nc1=O ZINC000981680952 806235537 /nfs/dbraw/zinc/23/55/37/806235537.db2.gz FOJQBYNGQKUZAL-CYBMUJFWSA-N -1 1 349.435 -0.041 20 0 EBADMM Cc1c[nH]nc1C(=O)N1CC2(C1)CCCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC000981761337 806270848 /nfs/dbraw/zinc/27/08/48/806270848.db2.gz JSIXIPIXEXJXMM-UHFFFAOYSA-N -1 1 345.407 -0.122 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)[C@@]3(F)CCOC3)CC2)nc1=O ZINC000981821596 806291961 /nfs/dbraw/zinc/29/19/61/806291961.db2.gz OIYKHTJCNOHOFZ-CQSZACIVSA-N -1 1 327.360 -0.729 20 0 EBADMM COC1CC(C(=O)N2CC3(C2)CCCN(Cc2nc(=O)n(C)[n-]2)C3)C1 ZINC000981832449 806296179 /nfs/dbraw/zinc/29/61/79/806296179.db2.gz NEBVYMHYDOZJHN-UHFFFAOYSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)CC3=CCOCC3)CC2)nc1=O ZINC000981889259 806319430 /nfs/dbraw/zinc/31/94/30/806319430.db2.gz HNWQVXUPPKFFIG-UHFFFAOYSA-N -1 1 335.408 -0.121 20 0 EBADMM O=C(CCc1c[nH]nn1)N1CC2(C1)CCCN(Cc1n[nH]c(=O)[n-]1)C2 ZINC000982023724 806375371 /nfs/dbraw/zinc/37/53/71/806375371.db2.gz SWQXTGRRXSYKKN-UHFFFAOYSA-N -1 1 346.395 -0.314 20 0 EBADMM O=C(N[C@@H]1CC[C@H](CNC(=O)[C@H]2CNC(=O)N2)C1)c1ncccc1[O-] ZINC000982037508 806379086 /nfs/dbraw/zinc/37/90/86/806379086.db2.gz CDAZPDDQOUSONR-HBNTYKKESA-N -1 1 347.375 -0.517 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCCN(Cc2ccon2)CC1 ZINC000982227617 806427930 /nfs/dbraw/zinc/42/79/30/806427930.db2.gz ZTINIERSGRBWLH-UHFFFAOYSA-N -1 1 347.375 -0.351 20 0 EBADMM CO[C@@H](C)C(=O)N1CC[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000982510718 806535339 /nfs/dbraw/zinc/53/53/39/806535339.db2.gz KMTCELFATROLOF-UWVGGRQHSA-N -1 1 348.363 -0.969 20 0 EBADMM O=C(CN1CCOCC1)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982656883 806609479 /nfs/dbraw/zinc/60/94/79/806609479.db2.gz GJJOQPGZVKMWER-CYBMUJFWSA-N -1 1 348.403 -0.302 20 0 EBADMM O=C(CN1CCOCC1)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982656884 806610171 /nfs/dbraw/zinc/61/01/71/806610171.db2.gz GJJOQPGZVKMWER-ZDUSSCGKSA-N -1 1 348.403 -0.302 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CNC(=O)N1 ZINC000982673462 806630071 /nfs/dbraw/zinc/63/00/71/806630071.db2.gz OXLNGVMCXMQLFW-UWVGGRQHSA-N -1 1 333.348 -0.953 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)[C@@H]2CCCNC2=O)C1)c1ncccc1[O-] ZINC000982884602 806756025 /nfs/dbraw/zinc/75/60/25/806756025.db2.gz QZEDPWWSLCBCQG-VXGBXAGGSA-N -1 1 346.387 -0.108 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)[C@]3(C)CCCOC3)CC2)nc1=O ZINC000983026553 806842375 /nfs/dbraw/zinc/84/23/75/806842375.db2.gz LBRKACZEAHFHSE-MRXNPFEDSA-N -1 1 337.424 -0.041 20 0 EBADMM CN1CC(=O)N(CC(=O)Nc2ccc(OCc3nn[n-]n3)cc2)C1=O ZINC000345460656 803768621 /nfs/dbraw/zinc/76/86/21/803768621.db2.gz IHBMFYLXRSEMTK-UHFFFAOYSA-N -1 1 345.319 -0.389 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)[C@@H]1CCC(=O)NC1 ZINC000976801747 803852862 /nfs/dbraw/zinc/85/28/62/803852862.db2.gz VICOQUIURIQTMR-PUHVVEEASA-N -1 1 344.371 -0.500 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)[C@@H]1CCNC1=O ZINC000976939081 803982953 /nfs/dbraw/zinc/98/29/53/803982953.db2.gz RLWRMKPZFPHXHV-SVDPJWKOSA-N -1 1 330.344 -0.890 20 0 EBADMM NC(=O)[C@@H]1CC[C@@H]1C(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000976950525 804001038 /nfs/dbraw/zinc/00/10/38/804001038.db2.gz XMASKVJQKSHLBX-OQEOFVATSA-N -1 1 344.371 -0.515 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)[C@H]1[C@@H]2COC[C@@H]21 ZINC000976999859 804047077 /nfs/dbraw/zinc/04/70/77/804047077.db2.gz KOINFJSWXHZANW-OBWQANLTSA-N -1 1 329.356 -0.134 20 0 EBADMM O=C(Cc1nc[nH]n1)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000977237964 804249204 /nfs/dbraw/zinc/24/92/04/804249204.db2.gz LDQZQENPAJBLFG-WOFXILAISA-N -1 1 328.332 -0.665 20 0 EBADMM O=C(Cc1nnc[nH]1)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000977237964 804249216 /nfs/dbraw/zinc/24/92/16/804249216.db2.gz LDQZQENPAJBLFG-WOFXILAISA-N -1 1 328.332 -0.665 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)c3cccnn3)C(C)(C)C2)nc1=O ZINC000977375619 804299669 /nfs/dbraw/zinc/29/96/69/804299669.db2.gz DSNMEMWXGKPRKY-NSHDSACASA-N -1 1 331.380 -0.461 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)Cc3ncc[nH]3)C(C)(C)C2)nc1=O ZINC000977386798 804302661 /nfs/dbraw/zinc/30/26/61/804302661.db2.gz GMOODMHLTMBGIK-SNVBAGLBSA-N -1 1 333.396 -0.599 20 0 EBADMM CCn1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)nn1 ZINC000977414253 804316845 /nfs/dbraw/zinc/31/68/45/804316845.db2.gz XGXVVGJUMLRSDI-LLVKDONJSA-N -1 1 348.411 -0.640 20 0 EBADMM CN(C[C@H]1CCN1C(=O)[C@H]1CCNC1=O)C(=O)c1ncccc1[O-] ZINC000977600175 804407823 /nfs/dbraw/zinc/40/78/23/804407823.db2.gz BAAANTURVCKSBK-MNOVXSKESA-N -1 1 332.360 -0.404 20 0 EBADMM CN(C[C@H]1CCN1C(=O)[C@H]1C[C@H]1C(N)=O)C(=O)c1ncccc1[O-] ZINC000977600199 804407965 /nfs/dbraw/zinc/40/79/65/804407965.db2.gz KVCJNFFEOMTJGG-MXWKQRLJSA-N -1 1 332.360 -0.419 20 0 EBADMM Cc1nc[nH]c1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000977663506 804452947 /nfs/dbraw/zinc/45/29/47/804452947.db2.gz PWBIRQKTGYYXRC-JTQLQIEISA-N -1 1 333.396 -0.220 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@@H]1CCC(=O)NC1 ZINC000977967509 804594183 /nfs/dbraw/zinc/59/41/83/804594183.db2.gz HOLKTLMBFUDYDC-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)c1cnn(C)n1 ZINC000978009909 804616942 /nfs/dbraw/zinc/61/69/42/804616942.db2.gz QSPLMUCKDFMQNB-JTQLQIEISA-N -1 1 330.348 -0.098 20 0 EBADMM CCCC(=O)N1CC[C@H]1CN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000978056279 804636428 /nfs/dbraw/zinc/63/64/28/804636428.db2.gz RSCYNNARKLVANM-JTQLQIEISA-N -1 1 332.364 -0.109 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)c1nnn(C)n1 ZINC000978199528 804722383 /nfs/dbraw/zinc/72/23/83/804722383.db2.gz IHVIGNKEEZICRI-VIFPVBQESA-N -1 1 331.336 -0.703 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1CCN(C)C1=O ZINC000978207045 804728278 /nfs/dbraw/zinc/72/82/78/804728278.db2.gz ATKKZAIIDIRLTB-RYUDHWBXSA-N -1 1 346.387 -0.062 20 0 EBADMM O=C(Cn1ncnn1)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000978741241 804952871 /nfs/dbraw/zinc/95/28/71/804952871.db2.gz INAVBEACOUVPMZ-AOOOYVTPSA-N -1 1 331.336 -0.902 20 0 EBADMM Cn1cnc(C(=O)N[C@H]2C[C@@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC000978742910 804953917 /nfs/dbraw/zinc/95/39/17/804953917.db2.gz OZXMEKQRGJJAGE-AOOOYVTPSA-N -1 1 330.348 -0.146 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@H]2C[C@@H](CNC(=O)c3ncccc3[O-])C2)C1 ZINC000978743777 804955327 /nfs/dbraw/zinc/95/53/27/804955327.db2.gz SAHFLDSCXGKTHF-BJHJDKERSA-N -1 1 346.387 -0.110 20 0 EBADMM NC(=O)[C@H]1CC[C@H]1C(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000978751555 804958035 /nfs/dbraw/zinc/95/80/35/804958035.db2.gz DGTGUCNZXAVYCY-WHOHXGKFSA-N -1 1 346.387 -0.077 20 0 EBADMM C[C@@H](C(N)=O)N1C[C@@H]2[C@@H](CNC(=O)c3ccc4oc(=O)nc-4[n-]3)[C@@H]2C1 ZINC000978941099 805055516 /nfs/dbraw/zinc/05/55/16/805055516.db2.gz PDTSPQYWZBVOND-AATLWQCWSA-N -1 1 345.359 -0.290 20 0 EBADMM CN1C[C@@H](C(=O)NC[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)NC1=O ZINC000979101679 805139642 /nfs/dbraw/zinc/13/96/42/805139642.db2.gz HSXRIKSHRUBEHI-AXFHLTTASA-N -1 1 347.375 -0.565 20 0 EBADMM NC(=O)[C@H]1CC[C@@H]1C(=O)NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000979106231 805146329 /nfs/dbraw/zinc/14/63/29/805146329.db2.gz MWQYEMWGSUURHC-USZNOCQGSA-N -1 1 346.387 -0.077 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2cc[n+]([O-])cc2)CCO1 ZINC000979170065 805187733 /nfs/dbraw/zinc/18/77/33/805187733.db2.gz BKWJYJMCHLGVIX-JQWIXIFHSA-N -1 1 348.363 -0.837 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2ccncc2)CCO1 ZINC000979192516 805199022 /nfs/dbraw/zinc/19/90/22/805199022.db2.gz ZEDODOVIBRKHTE-JQWIXIFHSA-N -1 1 332.364 -0.075 20 0 EBADMM Cc1ocnc1C(=O)N1CCO[C@@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979252304 805242990 /nfs/dbraw/zinc/24/29/90/805242990.db2.gz DUWBFPXQIYGLNP-WCBMZHEXSA-N -1 1 336.352 -0.174 20 0 EBADMM Cc1cnn(CC(=O)N2CCO[C@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC000979269518 805250752 /nfs/dbraw/zinc/25/07/52/805250752.db2.gz ZMMIGFHAJGQONW-RYUDHWBXSA-N -1 1 349.395 -0.579 20 0 EBADMM C[C@H](NCc1nnn(C)n1)[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC000979272960 805253227 /nfs/dbraw/zinc/25/32/27/805253227.db2.gz IMMAVMSMLXPHPA-CMPLNLGQSA-N -1 1 347.379 -0.670 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)CCc2ncc[nH]2)CCO1 ZINC000979280688 805258727 /nfs/dbraw/zinc/25/87/27/805258727.db2.gz PYMXKDOSHCTZHK-WDEREUQCSA-N -1 1 349.395 -0.428 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)Cc2cccnc2)CCO1 ZINC000979290276 805267041 /nfs/dbraw/zinc/26/70/41/805267041.db2.gz HVULCXAYJBVILH-WCQYABFASA-N -1 1 346.391 -0.147 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2cnc[nH]c2=O)CCO1 ZINC000979324661 805293497 /nfs/dbraw/zinc/29/34/97/805293497.db2.gz BUEQNWHZKLFHNN-SCZZXKLOSA-N -1 1 349.351 -0.975 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)Cc2ccn(C)n2)CCO1 ZINC000979335210 805301717 /nfs/dbraw/zinc/30/17/17/805301717.db2.gz PJEBACOBXWGEOH-JQWIXIFHSA-N -1 1 349.395 -0.808 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2cc(F)c[nH]2)CCO1 ZINC000979344754 805309468 /nfs/dbraw/zinc/30/94/68/805309468.db2.gz TYXAQTXXVMMRFU-GZMMTYOYSA-N -1 1 338.343 -0.003 20 0 EBADMM CCC(=O)N1C[C@@H]2CC[C@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)[C@@H]2C1 ZINC000979542940 805424267 /nfs/dbraw/zinc/42/42/67/805424267.db2.gz CMIOEMFTDMNHRD-AXFHLTTASA-N -1 1 344.375 -0.206 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CC[C@H]2CN(C(C)=O)C[C@@H]21 ZINC000979544864 805425442 /nfs/dbraw/zinc/42/54/42/805425442.db2.gz BVBOXBDFAKSANR-LPEHRKFASA-N -1 1 336.348 -0.117 20 0 EBADMM O=C(NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)[C@H]1CCC(=O)N1 ZINC000979575243 805439042 /nfs/dbraw/zinc/43/90/42/805439042.db2.gz XFBWTXGQNAMKGE-GMTAPVOTSA-N -1 1 332.360 -0.310 20 0 EBADMM O=C(NC[C@H]1C[C@H](NC(=O)[C@@H]2COCCO2)C1)c1ncccc1[O-] ZINC000979663824 805475647 /nfs/dbraw/zinc/47/56/47/805475647.db2.gz GGOUWAYEUQNKRJ-GVXVVHGQSA-N -1 1 335.360 -0.173 20 0 EBADMM O=C(Cn1nccn1)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000979674596 805482370 /nfs/dbraw/zinc/48/23/70/805482370.db2.gz QMIIHEZALKBFHD-XYPYZODXSA-N -1 1 330.348 -0.297 20 0 EBADMM O=C(NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)[C@H]1COC(=O)N1 ZINC000979699596 805494457 /nfs/dbraw/zinc/49/44/57/805494457.db2.gz FTANXYQGNXDBHZ-OPRDCNLKSA-N -1 1 334.332 -0.480 20 0 EBADMM CN(C(=O)[C@H]1CNC(=O)N1)[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984113592 807167802 /nfs/dbraw/zinc/16/78/02/807167802.db2.gz LDFOMEWEJGHLCU-GHMZBOCLSA-N -1 1 347.375 -0.468 20 0 EBADMM CN(C(=O)c1nnn(C)n1)[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984121832 807171885 /nfs/dbraw/zinc/17/18/85/807171885.db2.gz RHMNJIKLTKRFFY-JTQLQIEISA-N -1 1 345.363 -0.313 20 0 EBADMM CCn1ncnc1CNC[C@H]1C[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000984208008 807215799 /nfs/dbraw/zinc/21/57/99/807215799.db2.gz NTDMGZIBNGJODR-KYZUINATSA-N -1 1 348.367 -0.808 20 0 EBADMM Cc1conc1CNC[C@H]1C[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000984213653 807219152 /nfs/dbraw/zinc/21/91/52/807219152.db2.gz JHRWMQPRZDQKDT-KYZUINATSA-N -1 1 334.336 -0.123 20 0 EBADMM CN(C(=O)c1ccn[nH]1)[C@H]1CCCN(C(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC000984450558 807292613 /nfs/dbraw/zinc/29/26/13/807292613.db2.gz JDNINDBNGLELGF-VIFPVBQESA-N -1 1 349.351 -0.824 20 0 EBADMM CN(C(=O)c1cnc(C2CC2)[n-]c1=O)[C@H]1CCCN(C(=O)C(N)=O)C1 ZINC000984574344 807341638 /nfs/dbraw/zinc/34/16/38/807341638.db2.gz CAKCHYAWLSJRIJ-JTQLQIEISA-N -1 1 347.375 -0.392 20 0 EBADMM Cc1nnc(CC(=O)N2CCC(N(C)Cc3n[nH]c(=O)[n-]3)CC2)o1 ZINC000985414731 807507558 /nfs/dbraw/zinc/50/75/58/807507558.db2.gz KLULFIFJIMOFDX-UHFFFAOYSA-N -1 1 335.368 -0.133 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)[C@H]2CCCC(=O)N2)CC1 ZINC000985489679 807520068 /nfs/dbraw/zinc/52/00/68/807520068.db2.gz UJWFPBAPLIIXGY-LLVKDONJSA-N -1 1 336.396 -0.398 20 0 EBADMM CN(Cc1ccn(C)n1)C1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC000985519942 807526495 /nfs/dbraw/zinc/52/64/95/807526495.db2.gz CXSQQVCBKUCWPG-UHFFFAOYSA-N -1 1 347.379 -0.247 20 0 EBADMM Cc1cccn(CC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)c1=O ZINC000986267321 807660528 /nfs/dbraw/zinc/66/05/28/807660528.db2.gz CMTAERCOQBAFQY-VXGBXAGGSA-N -1 1 346.391 -0.240 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1nnn(C(C)(C)C)n1 ZINC000986293422 807669015 /nfs/dbraw/zinc/66/90/15/807669015.db2.gz JKGDGHVMQPSARZ-DTWKUNHWSA-N -1 1 349.399 -0.355 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CN1CCCCC1=O ZINC000986472203 807710512 /nfs/dbraw/zinc/71/05/12/807710512.db2.gz IWDPFMRHZCQCFE-GHMZBOCLSA-N -1 1 336.396 -0.398 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnn2c1OCCC2 ZINC000986476464 807711984 /nfs/dbraw/zinc/71/19/84/807711984.db2.gz QLDPGAGFVLCWHB-GXSJLCMTSA-N -1 1 347.379 -0.118 20 0 EBADMM Cc1cc(CN[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)[C@H]2C)nn1C ZINC000986488004 807718888 /nfs/dbraw/zinc/71/88/88/807718888.db2.gz NIHZBKLKVMFBHF-GXSJLCMTSA-N -1 1 347.379 -0.282 20 0 EBADMM COCCn1ccc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)n1 ZINC000986540324 807730185 /nfs/dbraw/zinc/73/01/85/807730185.db2.gz LDFZQXRKJLISPS-WDEREUQCSA-N -1 1 349.395 -0.254 20 0 EBADMM COc1cc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)nn1C ZINC000986543613 807731095 /nfs/dbraw/zinc/73/10/95/807731095.db2.gz ZTSISFARCFHSHW-BDAKNGLRSA-N -1 1 335.368 -0.355 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cc2n(n1)CCCO2 ZINC000986551261 807732736 /nfs/dbraw/zinc/73/27/36/807732736.db2.gz VPVKEOXAJGMHBZ-ZJUUUORDSA-N -1 1 347.379 -0.118 20 0 EBADMM C[C@@H]1[C@@H](NCc2cnnn2C)CCN1C(=O)c1cnc([O-])n(C)c1=O ZINC000986653683 807756790 /nfs/dbraw/zinc/75/67/90/807756790.db2.gz ZKIPWWNVCLMCBA-SKDRFNHKSA-N -1 1 347.379 -0.993 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCc1cnn(C)n1 ZINC000986964498 807808741 /nfs/dbraw/zinc/80/87/41/807808741.db2.gz ZJGAJUGVDAVQRC-MWLCHTKSSA-N -1 1 334.384 -0.649 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnc2cccnn21 ZINC000987135414 807852451 /nfs/dbraw/zinc/85/24/51/807852451.db2.gz GUPHVGBIIZGAKY-NXEZZACHSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@@H]1[C@@H](NCc2cnon2)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000987196719 807867207 /nfs/dbraw/zinc/86/72/07/807867207.db2.gz MJJUFCAWBGMUID-PWSUYJOCSA-N -1 1 348.363 -0.911 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1nc2ncccn2n1 ZINC000987209722 807869808 /nfs/dbraw/zinc/86/98/08/807869808.db2.gz CSMMRSBIHIKZDZ-BDAKNGLRSA-N -1 1 343.351 -0.659 20 0 EBADMM Cc1cc(=O)c(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)c[nH]1 ZINC000987297238 807885087 /nfs/dbraw/zinc/88/50/87/807885087.db2.gz VJNPISSWMIYZGZ-GXSJLCMTSA-N -1 1 332.364 -0.100 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCc1cncn1C ZINC000987433695 807918519 /nfs/dbraw/zinc/91/85/19/807918519.db2.gz NOUNOSAYWDOYOS-CMPLNLGQSA-N -1 1 333.396 -0.044 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCc1cnn(C)c1 ZINC000987567667 807959130 /nfs/dbraw/zinc/95/91/30/807959130.db2.gz GAMPOISHAYLIRI-PWSUYJOCSA-N -1 1 333.396 -0.044 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1cnnn1C ZINC000987683214 807990206 /nfs/dbraw/zinc/99/02/06/807990206.db2.gz IALWYXNUQKFOJT-ZJUUUORDSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@H]1CCN(C)C1=O ZINC000987686623 807991142 /nfs/dbraw/zinc/99/11/42/807991142.db2.gz ATMZAPNGJMXWEV-WOPDTQHZSA-N -1 1 346.387 -0.015 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@H]1COC(=O)N1 ZINC000987685209 807991487 /nfs/dbraw/zinc/99/14/87/807991487.db2.gz PQGSFIUTSKLEOZ-KXUCPTDWSA-N -1 1 334.332 -0.385 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)[C@@H]2COC(=O)N2)CCN1C(=O)c1ncccc1[O-] ZINC000987790008 808016861 /nfs/dbraw/zinc/01/68/61/808016861.db2.gz VWHLQLRSOAKRDL-UTLUCORTSA-N -1 1 334.332 -0.385 20 0 EBADMM CN(C[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C(=O)C1CC1 ZINC000988140108 808127464 /nfs/dbraw/zinc/12/74/64/808127464.db2.gz IXQGMLGAOBSTFR-SNVBAGLBSA-N -1 1 344.375 -0.252 20 0 EBADMM CN(C[C@H]1CCN(C(=O)[C@H]2CCNC2=O)C1)C(=O)c1ncccc1[O-] ZINC000988180795 808140116 /nfs/dbraw/zinc/14/01/16/808140116.db2.gz BVCMIDBGPWMSQS-NEPJUHHUSA-N -1 1 346.387 -0.156 20 0 EBADMM CC(=O)N(C)CC1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000988577263 808242357 /nfs/dbraw/zinc/24/23/57/808242357.db2.gz CPLASVGZGGOUJB-UHFFFAOYSA-N -1 1 332.364 -0.252 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CC1OCCCO1 ZINC000988710019 808269661 /nfs/dbraw/zinc/26/96/61/808269661.db2.gz ZBCVAGBJZQNZFD-NXEZZACHSA-N -1 1 325.369 -0.258 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CC1OCCCO1 ZINC000988710021 808269741 /nfs/dbraw/zinc/26/97/41/808269741.db2.gz ZBCVAGBJZQNZFD-VHSXEESVSA-N -1 1 325.369 -0.258 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnc(N(C)C)cn1 ZINC000988845612 808300184 /nfs/dbraw/zinc/30/01/84/808300184.db2.gz TXXYKUOONBBSSX-UWVGGRQHSA-N -1 1 346.395 -0.241 20 0 EBADMM COc1cc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)ncn1 ZINC000988848745 808300704 /nfs/dbraw/zinc/30/07/04/808300704.db2.gz HYHPHJZZMNTADX-DTWKUNHWSA-N -1 1 333.352 -0.298 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnn2ccncc12 ZINC000988957290 808335427 /nfs/dbraw/zinc/33/54/27/808335427.db2.gz XUUABIHDPKGONW-UWVGGRQHSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cnn(C)n2)CCN1C(=O)c1ncccc1[O-] ZINC000988958511 808336951 /nfs/dbraw/zinc/33/69/51/808336951.db2.gz USKWMHWGJHNACI-ZJUUUORDSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cc2n(n1)CCO2 ZINC000988957518 808337200 /nfs/dbraw/zinc/33/72/00/808337200.db2.gz ZHRRPRVTJVPJEL-DTWKUNHWSA-N -1 1 333.352 -0.508 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnc2n1CCOC2 ZINC000988982511 808342635 /nfs/dbraw/zinc/34/26/35/808342635.db2.gz WJVVGQXPKIINQG-NXEZZACHSA-N -1 1 347.379 -0.370 20 0 EBADMM CCn1ccc(CN[C@H]2C[C@@H](C)N(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC000989108803 808375855 /nfs/dbraw/zinc/37/58/55/808375855.db2.gz CLOHKHQZJVOQNJ-KOLCDFICSA-N -1 1 347.379 -0.108 20 0 EBADMM Cc1cnc(CN[C@@H]2C[C@H](C)N(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)cn1 ZINC000989108947 808376140 /nfs/dbraw/zinc/37/61/40/808376140.db2.gz DQGJAFMXXJPAGM-VHSXEESVSA-N -1 1 345.363 -0.226 20 0 EBADMM Cc1ncoc1CN[C@H]1C[C@@H](C)N(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000989113998 808378550 /nfs/dbraw/zinc/37/85/50/808378550.db2.gz WJSPDIXHLWQVLL-APPZFPTMSA-N -1 1 334.336 -0.028 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cccc2ncnn21 ZINC000989115136 808379945 /nfs/dbraw/zinc/37/99/45/808379945.db2.gz RZMMBRRXAWMMMB-VHSXEESVSA-N -1 1 342.363 -0.054 20 0 EBADMM COc1cnc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)nc1 ZINC000989164976 808392325 /nfs/dbraw/zinc/39/23/25/808392325.db2.gz XLIRZLANUAIVLC-BDAKNGLRSA-N -1 1 333.352 -0.298 20 0 EBADMM CCn1cc(CC(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)nn1 ZINC000989223441 808407155 /nfs/dbraw/zinc/40/71/55/808407155.db2.gz SIUNQHIAPMUESL-UWVGGRQHSA-N -1 1 334.384 -0.557 20 0 EBADMM C[C@@H]1C[C@@H](NCc2ccn(C)n2)CN1C(=O)c1cnc([O-])n(C)c1=O ZINC000989286159 808427068 /nfs/dbraw/zinc/42/70/68/808427068.db2.gz XTWCBVVYAQNFGJ-ZYHUDNBSSA-N -1 1 346.391 -0.388 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnc2cccnn21 ZINC000989537247 808498952 /nfs/dbraw/zinc/49/89/52/808498952.db2.gz SOOJEKIYEWOAGT-UWVGGRQHSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc2nncn2c1 ZINC000989550158 808503682 /nfs/dbraw/zinc/50/36/82/808503682.db2.gz NOBLQURTBBXUFI-ONGXEEELSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@@H]1C[C@H](NCc2ccon2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000989562346 808507914 /nfs/dbraw/zinc/50/79/14/808507914.db2.gz PFDBYEMKJTUOSJ-YPMHNXCESA-N -1 1 347.375 -0.306 20 0 EBADMM CCn1nc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)ccc1=O ZINC000989569338 808513507 /nfs/dbraw/zinc/51/35/07/808513507.db2.gz SJTHALQZCRDLPJ-NXEZZACHSA-N -1 1 347.379 -0.520 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)[C@@H]2CNC(=O)N2)CCN1C(=O)c1ncccc1[O-] ZINC000989571514 808515220 /nfs/dbraw/zinc/51/52/20/808515220.db2.gz MGJJAPYXZKTONG-UTLUCORTSA-N -1 1 333.348 -0.812 20 0 EBADMM C[C@H]1C[C@H](NCc2cnon2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000989671604 808552614 /nfs/dbraw/zinc/55/26/14/808552614.db2.gz CPDBFBXYIRUUCW-IUCAKERBSA-N -1 1 344.335 -0.807 20 0 EBADMM C[C@@H]1C[C@H](NCc2ccn(C)n2)CN1C(=O)Cn1c(=O)[n-][nH]c1=O ZINC000989721610 808566950 /nfs/dbraw/zinc/56/69/50/808566950.db2.gz CQHZCPXLEHKKPW-KOLCDFICSA-N -1 1 335.368 -0.798 20 0 EBADMM C[C@@H]1C[C@@H](NCc2ccn(C)n2)CN1C(=O)Cn1c(=O)[n-][nH]c1=O ZINC000989721611 808567061 /nfs/dbraw/zinc/56/70/61/808567061.db2.gz CQHZCPXLEHKKPW-MWLCHTKSSA-N -1 1 335.368 -0.798 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnn2cc[nH]c12 ZINC000989724649 808570330 /nfs/dbraw/zinc/57/03/30/808570330.db2.gz BEXHZYYVKJTHGB-RKDXNWHRSA-N -1 1 330.352 -0.121 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1c[nH]c(C(N)=O)c1 ZINC000989803303 808598164 /nfs/dbraw/zinc/59/81/64/808598164.db2.gz QYNOJIVMVQLFEV-VXNVDRBHSA-N -1 1 333.352 -0.670 20 0 EBADMM CC(F)(F)C(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000990053562 808645511 /nfs/dbraw/zinc/64/55/11/808645511.db2.gz FTXRVTGPSRUMRS-UHFFFAOYSA-N -1 1 326.263 -0.987 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)Cc4cc[nH]n4)CCC[C@H]23)nc1=O ZINC000990109067 808656398 /nfs/dbraw/zinc/65/63/98/808656398.db2.gz PSPCXVWSEFDJIQ-BLLLJJGKSA-N -1 1 345.407 -0.313 20 0 EBADMM O=C(NC1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1)C1=COCCO1 ZINC000990143708 808666551 /nfs/dbraw/zinc/66/65/51/808666551.db2.gz CYRDNPFHIKGHEG-UHFFFAOYSA-N -1 1 346.343 -0.112 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)[C@H]2CCCO2)C1 ZINC000990160991 808677578 /nfs/dbraw/zinc/67/75/78/808677578.db2.gz MTGNBLQPRRZHCA-MRVPVSSYSA-N -1 1 338.320 -0.984 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1ccsc1 ZINC000990176160 808682183 /nfs/dbraw/zinc/68/21/83/808682183.db2.gz PQHKLAVIYBQOJK-UHFFFAOYSA-N -1 1 344.356 -0.267 20 0 EBADMM Cn1cnc(C(=O)N[C@@]23CCC[C@H]2N(Cc2nc(=O)n(C)[n-]2)CC3)n1 ZINC000990543840 808833948 /nfs/dbraw/zinc/83/39/48/808833948.db2.gz LQTUZQITLFBPSQ-MEBBXXQBSA-N -1 1 346.395 -0.836 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC=CC1 ZINC000990577159 808848920 /nfs/dbraw/zinc/84/89/20/808848920.db2.gz ZXYTUFAFAACODQ-UHFFFAOYSA-N -1 1 328.332 -0.676 20 0 EBADMM O=C(C[C@@H]1CCC(=O)NC1)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990969109 809018102 /nfs/dbraw/zinc/01/81/02/809018102.db2.gz AEHHYFAWIYQWIO-JTQLQIEISA-N -1 1 332.360 -0.356 20 0 EBADMM CCC(=O)N1CC[C@H](C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC000990971778 809019859 /nfs/dbraw/zinc/01/98/59/809019859.db2.gz JCMKYDWLNBCHSJ-NSHDSACASA-N -1 1 346.387 -0.014 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990973916 809021099 /nfs/dbraw/zinc/02/10/99/809021099.db2.gz DEOPEDVWBCXPSJ-UHFFFAOYSA-N -1 1 329.316 -0.154 20 0 EBADMM COc1c(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)cnn1C ZINC000990973228 809021128 /nfs/dbraw/zinc/02/11/28/809021128.db2.gz XVVLTEQNYQYIBP-UHFFFAOYSA-N -1 1 331.332 -0.216 20 0 EBADMM CN1C[C@@H](C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)CCC1=O ZINC000990975674 809021992 /nfs/dbraw/zinc/02/19/92/809021992.db2.gz ADLRWISKQBDXFV-JTQLQIEISA-N -1 1 332.360 -0.404 20 0 EBADMM CO[C@H](C(=O)N1CC(NC(=O)c2ncccc2[O-])C1)[C@@H]1CCOC1 ZINC000990976114 809023640 /nfs/dbraw/zinc/02/36/40/809023640.db2.gz VXZKZJWAVCODKG-YGRLFVJLSA-N -1 1 335.360 -0.221 20 0 EBADMM CCCN1C[C@H](C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000990979143 809026843 /nfs/dbraw/zinc/02/68/43/809026843.db2.gz SZNQIRQPOATXHI-LLVKDONJSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(Cn1cccn1)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000991284553 809237124 /nfs/dbraw/zinc/23/71/24/809237124.db2.gz NLAUORLNNWMZDO-AAEUAGOBSA-N -1 1 345.359 -0.625 20 0 EBADMM Cc1ncc(C(=O)N2CC[C@@H](NC(=O)c3[nH]nc(C)c3[O-])[C@H](O)C2)[nH]1 ZINC000991396637 809406856 /nfs/dbraw/zinc/40/68/56/809406856.db2.gz WMRFQQHCTLFRDX-MWLCHTKSSA-N -1 1 348.363 -0.539 20 0 EBADMM O=C(Cn1cccn1)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991407746 809425964 /nfs/dbraw/zinc/42/59/64/809425964.db2.gz KCAFIKDDGQSRMG-WCQYABFASA-N -1 1 345.359 -0.625 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncc[nH]2)C[C@@H]1O)c1ncccc1[O-] ZINC000991425328 809449483 /nfs/dbraw/zinc/44/94/83/809449483.db2.gz LBGZLFANMJASIL-KOLCDFICSA-N -1 1 331.332 -0.484 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cocn2)C[C@H]1O)c1ncccc1[O-] ZINC000991425389 809449594 /nfs/dbraw/zinc/44/95/94/809449594.db2.gz LKHQGULDQVCJAN-JOYOIKCWSA-N -1 1 332.316 -0.219 20 0 EBADMM Cc1n[nH]cc1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991426113 809451390 /nfs/dbraw/zinc/45/13/90/809451390.db2.gz MKLSCNNWQZKRMT-AAEUAGOBSA-N -1 1 345.359 -0.176 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccn2)C[C@H]1O)c1ncccc1[O-] ZINC000991426704 809452503 /nfs/dbraw/zinc/45/25/03/809452503.db2.gz NKKDGJUVHSYGSG-ZYHUDNBSSA-N -1 1 343.343 -0.417 20 0 EBADMM Cc1nc[nH]c1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991427001 809453672 /nfs/dbraw/zinc/45/36/72/809453672.db2.gz NPGXMQQHEAURPW-JQWIXIFHSA-N -1 1 345.359 -0.176 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)c1ncc[nH]1 ZINC000991459648 809507166 /nfs/dbraw/zinc/50/71/66/809507166.db2.gz RYDAHAILLUMMAO-GXSJLCMTSA-N -1 1 331.332 -0.484 20 0 EBADMM Cc1c[nH]nc1C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000991468522 809524004 /nfs/dbraw/zinc/52/40/04/809524004.db2.gz HVLXDXWBCGBFFL-PWSUYJOCSA-N -1 1 345.359 -0.176 20 0 EBADMM Cc1c[nH]nc1C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC000991468524 809524205 /nfs/dbraw/zinc/52/42/05/809524205.db2.gz HVLXDXWBCGBFFL-ZYHUDNBSSA-N -1 1 345.359 -0.176 20 0 EBADMM O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)[C@H]1CCNC1=O)c1ncccc1[O-] ZINC001002200156 809687818 /nfs/dbraw/zinc/68/78/18/809687818.db2.gz UOIVLWATEBIELK-KXNHARMFSA-N -1 1 344.371 -0.215 20 0 EBADMM CN(C[C@@H]1CCCN1C(=O)[C@H]1COC(=O)N1)C(=O)c1ncccc1[O-] ZINC001002456189 809716000 /nfs/dbraw/zinc/71/60/00/809716000.db2.gz LTNFEEVCQRRSDS-WDEREUQCSA-N -1 1 348.359 -0.041 20 0 EBADMM O=C(C[NH+]1CCC(NC(=O)c2ncccc2[O-])CC1)N1CCOCC1 ZINC001002665221 809760397 /nfs/dbraw/zinc/76/03/97/809760397.db2.gz RUDPAQQQTHCPDF-UHFFFAOYSA-N -1 1 348.403 -0.160 20 0 EBADMM NC(=O)[C@@H]1CC[C@H]1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001003548781 809801009 /nfs/dbraw/zinc/80/10/09/809801009.db2.gz LLEZGMPAWPAJCR-GHMZBOCLSA-N -1 1 332.360 -0.513 20 0 EBADMM COc1ncccc1C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001003550486 809802570 /nfs/dbraw/zinc/80/25/70/809802570.db2.gz OUADTHMAZZQVPB-UHFFFAOYSA-N -1 1 346.391 -0.094 20 0 EBADMM Cc1ncncc1C(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005152388 809841663 /nfs/dbraw/zinc/84/16/63/809841663.db2.gz MCJMBBGYBWPSKQ-UHFFFAOYSA-N -1 1 345.407 -0.057 20 0 EBADMM Cc1c[nH]nc1C(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005365913 809853053 /nfs/dbraw/zinc/85/30/53/809853053.db2.gz PADSKYCHOUJDKM-UHFFFAOYSA-N -1 1 333.396 -0.123 20 0 EBADMM CCc1n[nH]cc1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001007760054 810015086 /nfs/dbraw/zinc/01/50/86/810015086.db2.gz YQHADBJNQIBMMX-SNVBAGLBSA-N -1 1 333.396 -0.212 20 0 EBADMM CCC(=O)N1C[C@@H]2CCC[C@]2(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001007838884 810027261 /nfs/dbraw/zinc/02/72/61/810027261.db2.gz AOUSYFHZDXIKDO-QFYYESIMSA-N -1 1 344.375 -0.062 20 0 EBADMM CC1(C)CN(C(=O)Cn2ncnn2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001008068165 810072208 /nfs/dbraw/zinc/07/22/08/810072208.db2.gz APSNCODLRSTUFL-NSHDSACASA-N -1 1 345.363 -0.559 20 0 EBADMM CC1(C)CN(C(=O)[C@@H]2CNC(=O)N2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001008081875 810073943 /nfs/dbraw/zinc/07/39/43/810073943.db2.gz HYDCMAAKILAYME-ONGXEEELSA-N -1 1 347.375 -0.565 20 0 EBADMM CC1(C)CN(C(=O)[C@H]2CNC(=O)N2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001008081869 810074136 /nfs/dbraw/zinc/07/41/36/810074136.db2.gz HYDCMAAKILAYME-KOLCDFICSA-N -1 1 347.375 -0.565 20 0 EBADMM Cc1ccn(CC(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001008571661 810196710 /nfs/dbraw/zinc/19/67/10/810196710.db2.gz SKYILJHYDXRVQW-GFCCVEGCSA-N -1 1 333.396 -0.606 20 0 EBADMM Cn1cncc1CC(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001009206077 810234736 /nfs/dbraw/zinc/23/47/36/810234736.db2.gz CVLBBZIIYVAWPP-NSHDSACASA-N -1 1 333.396 -0.835 20 0 EBADMM COc1ncc(-n2nc3ncnc(N)c3n2)cc1[N-]S(C)(=O)=O ZINC001216152246 810362084 /nfs/dbraw/zinc/36/20/84/810362084.db2.gz RARHNRSBLSJNGY-UHFFFAOYSA-N -1 1 336.337 -0.650 20 0 EBADMM C[C@@H]1CN(C(=O)CCCC(=O)N(C)C)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001216431630 810373068 /nfs/dbraw/zinc/37/30/68/810373068.db2.gz GKZNLXAFVLYMNR-GHMZBOCLSA-N -1 1 338.412 -0.295 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cccc4n[nH]nc43)C2)nc1=O ZINC001014529424 810437372 /nfs/dbraw/zinc/43/73/72/810437372.db2.gz QFBZEYGDLVOIKB-VIFPVBQESA-N -1 1 342.363 -0.616 20 0 EBADMM Cn1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c(C2CC2)n1 ZINC001014579504 810438631 /nfs/dbraw/zinc/43/86/31/810438631.db2.gz KDWYFTDTGNVYJC-LLVKDONJSA-N -1 1 345.407 -0.276 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCCN1C(=O)c1nnn(C)n1 ZINC001014893366 810453029 /nfs/dbraw/zinc/45/30/29/810453029.db2.gz LRRQXWZKOOCHIT-VHSXEESVSA-N -1 1 345.363 -0.266 20 0 EBADMM O=C(Nc1cccc2c(=O)cc(-c3nn[n-]n3)oc12)[C@@H]1COCCN1 ZINC001218925605 810546756 /nfs/dbraw/zinc/54/67/56/810546756.db2.gz CDDLCFOFYYSLKI-JTQLQIEISA-N -1 1 342.315 -0.100 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@@H]3C[C@H]3c3cccnc3)C2)nc1=O ZINC001015737188 810574299 /nfs/dbraw/zinc/57/42/99/810574299.db2.gz XNUALMXLZVENIS-HZSPNIEDSA-N -1 1 342.403 -0.002 20 0 EBADMM Cn1cc(C(C)(C)C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001015921962 810619830 /nfs/dbraw/zinc/61/98/30/810619830.db2.gz LGZAMQWPOUPCMW-LBPRGKRZSA-N -1 1 347.423 -0.490 20 0 EBADMM Cc1cc(=O)c(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c[nH]1 ZINC001015938969 810620725 /nfs/dbraw/zinc/62/07/25/810620725.db2.gz QQDZZFVUBOLDKU-SNVBAGLBSA-N -1 1 332.364 -0.891 20 0 EBADMM Cc1nc(CN2CC[C@@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)co1 ZINC001015991450 810643907 /nfs/dbraw/zinc/64/39/07/810643907.db2.gz DGDAUJMLRZCGAP-SNVBAGLBSA-N -1 1 343.347 -0.282 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3[nH]nc4ccccc43)C2)nc1=O ZINC001016161685 810682092 /nfs/dbraw/zinc/68/20/92/810682092.db2.gz WIQCYXXDYVCISX-JTQLQIEISA-N -1 1 341.375 -0.011 20 0 EBADMM CN(C(=O)CC1CC1)C1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001016168878 810683393 /nfs/dbraw/zinc/68/33/93/810683393.db2.gz PMSYFSLGRFZOMU-UHFFFAOYSA-N -1 1 344.375 -0.063 20 0 EBADMM CC(C)CNC(=O)CN1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001219497138 810721799 /nfs/dbraw/zinc/72/17/99/810721799.db2.gz DRKIZLWKHUYROM-YPMHNXCESA-N -1 1 336.392 -0.666 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC001016432464 810726349 /nfs/dbraw/zinc/72/63/49/810726349.db2.gz WKYYNPQVTIGZQT-LXKPXOPUSA-N -1 1 332.360 -0.372 20 0 EBADMM CN(C(=O)[C@]1(C)CCCOC1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033544747 810778922 /nfs/dbraw/zinc/77/89/22/810778922.db2.gz MCXXNBWEXXMLCT-MLGOLLRUSA-N -1 1 337.424 -0.042 20 0 EBADMM CN(C(=O)[C@@]1(C)CCCOC1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033544746 810779410 /nfs/dbraw/zinc/77/94/10/810779410.db2.gz MCXXNBWEXXMLCT-LRDDRELGSA-N -1 1 337.424 -0.042 20 0 EBADMM O=C(NC[C@H]1CN(Cc2n[nH]c(=O)[n-]2)CCO1)c1[nH]nc2c1CCC2 ZINC001035429060 810792690 /nfs/dbraw/zinc/79/26/90/810792690.db2.gz KCGJSKMPVIVLOK-VIFPVBQESA-N -1 1 347.379 -0.647 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)[C@]34C[C@H]3CCC4)C2)nc1=O ZINC001035456330 810812638 /nfs/dbraw/zinc/81/26/38/810812638.db2.gz OCHUFJHHEYBSHY-BFQNTYOBSA-N -1 1 335.408 -0.384 20 0 EBADMM C[C@@H](C(N)=O)N1CCO[C@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001035536844 810883429 /nfs/dbraw/zinc/88/34/29/810883429.db2.gz YMKACIWUHXOSGP-GXSJLCMTSA-N -1 1 349.391 -0.636 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)CCC(C)(F)F)[C@@H](O)C2)nc1=O ZINC001219899286 810901264 /nfs/dbraw/zinc/90/12/64/810901264.db2.gz ZAHRHPAFIGBUKL-BDAKNGLRSA-N -1 1 333.339 -0.795 20 0 EBADMM C[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC[C@@H]1NCc1ncnn1C ZINC001036091833 811094809 /nfs/dbraw/zinc/09/48/09/811094809.db2.gz FSZUOWXGHJRMFS-BDAKNGLRSA-N -1 1 348.367 -0.948 20 0 EBADMM C[C@H]1CCCN(C(=O)CCn2cncn2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036508988 811166783 /nfs/dbraw/zinc/16/67/83/811166783.db2.gz VJCXZYNCVZDCLG-RYUDHWBXSA-N -1 1 348.411 -0.091 20 0 EBADMM Cn1ncc(C(=O)N2CC[C@@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)c1N ZINC001036694287 811211250 /nfs/dbraw/zinc/21/12/50/811211250.db2.gz JQPDSNAWUFKHAA-GXSJLCMTSA-N -1 1 346.395 -0.437 20 0 EBADMM Cn1cc(C(=O)N2CC[C@@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)nn1 ZINC001036704957 811217127 /nfs/dbraw/zinc/21/71/27/811217127.db2.gz AYKJXFLQUFAEDO-MWLCHTKSSA-N -1 1 332.368 -0.625 20 0 EBADMM CC(C)CC(C)(C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001220717731 811217484 /nfs/dbraw/zinc/21/74/84/811217484.db2.gz QQXNAZJDOUCQIK-NEPJUHHUSA-N -1 1 339.440 -0.158 20 0 EBADMM Cn1ccc(CC(=O)N2CC[C@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001036711526 811223072 /nfs/dbraw/zinc/22/30/72/811223072.db2.gz DBRUFSPAFBAKSK-YPMHNXCESA-N -1 1 345.407 -0.091 20 0 EBADMM Cn1cncc1C(=O)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036762552 811246267 /nfs/dbraw/zinc/24/62/67/811246267.db2.gz KYKZGHUHFACWJA-MNOVXSKESA-N -1 1 331.380 -0.020 20 0 EBADMM NC(=O)C1(C(=O)N2CC[C@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001036808578 811270056 /nfs/dbraw/zinc/27/00/56/811270056.db2.gz WMGWYDRVYTZPBJ-ZJUUUORDSA-N -1 1 334.380 -0.801 20 0 EBADMM Cn1nnc(CNC[C@@H]2CCC[C@@H]2NC(=O)c2ncccc2[O-])n1 ZINC001036991693 811327527 /nfs/dbraw/zinc/32/75/27/811327527.db2.gz YMZCSCCUYRSSSV-QWRGUYRKSA-N -1 1 331.380 -0.001 20 0 EBADMM CCN(C(=O)CS(C)(=O)=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079419144 811533410 /nfs/dbraw/zinc/53/34/10/811533410.db2.gz CJNVJEIVXAWLAE-UHFFFAOYSA-N -1 1 341.389 -0.495 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cc1cnn(C)c1 ZINC001079473099 811554350 /nfs/dbraw/zinc/55/43/50/811554350.db2.gz YKTJRXLAOCFXPV-ZYHUDNBSSA-N -1 1 333.396 -0.979 20 0 EBADMM COC[C@@H](C)CC(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001221907786 811559773 /nfs/dbraw/zinc/55/97/73/811559773.db2.gz OFEXYXYWNCZMJJ-YNEHKIRRSA-N -1 1 337.424 -0.186 20 0 EBADMM CCn1nccc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001079615982 811610625 /nfs/dbraw/zinc/61/06/25/811610625.db2.gz WNOHQQYHUWYEEH-GHMZBOCLSA-N -1 1 333.396 -0.425 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1coc(C(N)=O)c1 ZINC001080190808 811932051 /nfs/dbraw/zinc/93/20/51/811932051.db2.gz IGRLMCIEZFLLON-PSASIEDQSA-N -1 1 348.363 -0.949 20 0 EBADMM Cc1nn(C)c(C)c1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001080224849 811951425 /nfs/dbraw/zinc/95/14/25/811951425.db2.gz VVZYPJVWQVAYEJ-BXKDBHETSA-N -1 1 347.423 -0.291 20 0 EBADMM C[C@@H]1CN(Cc2cnn(C)c2)C[C@H]1NC(=O)c1cnc([O-])n(C)c1=O ZINC001080249916 811961974 /nfs/dbraw/zinc/96/19/74/811961974.db2.gz IOQKPYVJKKPTHF-ZWNOBZJWSA-N -1 1 346.391 -0.530 20 0 EBADMM O=C(NC1CCN(CCOCC(F)F)CC1)c1n[nH]c(=O)[n-]c1=O ZINC001228169446 811966710 /nfs/dbraw/zinc/96/67/10/811966710.db2.gz VGMALRSRUVRXIW-UHFFFAOYSA-N -1 1 347.322 -0.241 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C)c1cncnc1 ZINC001080320572 811979222 /nfs/dbraw/zinc/97/92/22/811979222.db2.gz FHEZAGXNCBHWIS-NTZNESFSSA-N -1 1 345.407 -0.361 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1CCN(Cc2cnon2)CC1 ZINC001229625838 812044137 /nfs/dbraw/zinc/04/41/37/812044137.db2.gz MQJKEQXKGYAXSQ-UHFFFAOYSA-N -1 1 348.363 -0.910 20 0 EBADMM COC[C@H](C)CC(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001229839255 812058016 /nfs/dbraw/zinc/05/80/16/812058016.db2.gz FYFVKRVDDPARSA-LLVKDONJSA-N -1 1 325.413 -0.138 20 0 EBADMM COc1c(O[C@@H]2CO[C@H]3[C@@H]2OC[C@@H]3OC(C)=O)nc(C)[n-]c1=O ZINC001230027381 812069959 /nfs/dbraw/zinc/06/99/59/812069959.db2.gz WRQQTOCXBJAFTL-LNFKQOIKSA-N -1 1 326.305 -0.024 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)CCCn3ccnc3)CC2)nc1=O ZINC001230431442 812133453 /nfs/dbraw/zinc/13/34/53/812133453.db2.gz DQTLFNBNUWNNST-UHFFFAOYSA-N -1 1 347.423 -0.134 20 0 EBADMM CC(C)C(=O)Nc1nc2nc[nH]c2c(OC(=O)[C@H](O)CC(=O)[O-])n1 ZINC001230443578 812138942 /nfs/dbraw/zinc/13/89/42/812138942.db2.gz AUVFFOPWQLVIDT-ZCFIWIBFSA-N -1 1 337.292 -0.312 20 0 EBADMM CCNC(=O)CCC(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001231208276 812279060 /nfs/dbraw/zinc/27/90/60/812279060.db2.gz GXWWWABXDWLBPM-NSHDSACASA-N -1 1 338.412 -0.943 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cnn2cc[nH]c12 ZINC001080847571 812281476 /nfs/dbraw/zinc/28/14/76/812281476.db2.gz AXKPSOYEHGQBJG-MWLCHTKSSA-N -1 1 344.379 -0.666 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)C[C@@H]1COC(=O)C1 ZINC001233654128 812325536 /nfs/dbraw/zinc/32/55/36/812325536.db2.gz OTEPPUKOSWEXSN-QWRGUYRKSA-N -1 1 337.380 -0.906 20 0 EBADMM COC(=O)c1nc[n-]c(=O)c1OC[C@@H](COC(C)=O)OC(C)=O ZINC001233756898 812339876 /nfs/dbraw/zinc/33/98/76/812339876.db2.gz UCQVZWDQWIEJSY-SECBINFHSA-N -1 1 328.277 -0.158 20 0 EBADMM O=C(NCCCn1cncn1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001233884885 812363412 /nfs/dbraw/zinc/36/34/12/812363412.db2.gz ZRZBGNXLWODGHU-UHFFFAOYSA-N -1 1 333.352 -0.264 20 0 EBADMM CCO[C@@H](C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001234334964 812412840 /nfs/dbraw/zinc/41/28/40/812412840.db2.gz SXJPXJLQBSQCSV-GXTWGEPZSA-N -1 1 337.424 -0.044 20 0 EBADMM CC(F)(F)C(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001081184274 812535345 /nfs/dbraw/zinc/53/53/45/812535345.db2.gz RHFKOBDAFNKAIQ-PSASIEDQSA-N -1 1 329.303 -0.009 20 0 EBADMM O=C([O-])Cn1cc(-c2nc3c(c(N4CCOCC4)n2)CNC3)cn1 ZINC001242181283 812555146 /nfs/dbraw/zinc/55/51/46/812555146.db2.gz ABFDNDYTHHYTPI-UHFFFAOYSA-N -1 1 330.348 -0.136 20 0 EBADMM CN(C)c1nc(NC[C@H](O)CN2CCOCC2)c(N=O)c(=O)[n-]1 ZINC001251005914 812612841 /nfs/dbraw/zinc/61/28/41/812612841.db2.gz FXDVVCGKIIFQJY-VIFPVBQESA-N -1 1 326.357 -0.249 20 0 EBADMM CC(C)S(=O)(=O)c1ccc(CC(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC001254410509 812704001 /nfs/dbraw/zinc/70/40/01/812704001.db2.gz YFKQUQHKDFIODO-UHFFFAOYSA-N -1 1 339.373 -0.006 20 0 EBADMM CSCC[C@@H](NC(=O)c1ccco1)C(=O)NN1CC(=O)[N-]C1=O ZINC001255933236 812723928 /nfs/dbraw/zinc/72/39/28/812723928.db2.gz HWAKHUYZYXTTHC-MRVPVSSYSA-N -1 1 340.361 -0.286 20 0 EBADMM CCOC(=O)N1CCC(N[C@@H]2C(=O)N(S(=O)(=O)[O-])[C@H]2C)CC1 ZINC001256232439 812728092 /nfs/dbraw/zinc/72/80/92/812728092.db2.gz TUQAQDQWHCEUMZ-WPRPVWTQSA-N -1 1 335.382 -0.401 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C[C@H]1C=CCC1 ZINC001081331455 812748440 /nfs/dbraw/zinc/74/84/40/812748440.db2.gz HEFGGYWJMSYCSR-YNEHKIRRSA-N -1 1 335.408 -0.220 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cc(C)oc1C ZINC001081345707 812773636 /nfs/dbraw/zinc/77/36/36/812773636.db2.gz WQEZSPJSDMISQF-CHWSQXEVSA-N -1 1 349.391 -0.053 20 0 EBADMM CC(C)(C)C(=O)C(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001081348965 812781507 /nfs/dbraw/zinc/78/15/07/812781507.db2.gz KIKYPUICEFCFDR-CMPLNLGQSA-N -1 1 349.387 -0.049 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@H]1CC=CCC1 ZINC001081350603 812784933 /nfs/dbraw/zinc/78/49/33/812784933.db2.gz NRRRZLZCPINXSW-YNEHKIRRSA-N -1 1 335.408 -0.220 20 0 EBADMM CC1(C)[C@H]2CC[C@]1(CS(=O)(=O)[N-]C(CO)(CO)CO)C(=O)C2 ZINC001258974861 812799689 /nfs/dbraw/zinc/79/96/89/812799689.db2.gz DKLOTUJBEOOLCV-HZMBPMFUSA-N -1 1 335.422 -0.983 20 0 EBADMM COC(=O)CS(=O)(=O)[N-]c1ccc(N2CCN(C)CC2)cn1 ZINC001259017850 812801668 /nfs/dbraw/zinc/80/16/68/812801668.db2.gz GGTLBKFLNLNQDY-UHFFFAOYSA-N -1 1 328.394 -0.252 20 0 EBADMM O=C1[N-]C(=O)[C@H](NS(=O)(=O)c2nc3nc(Cl)ccn3n2)S1 ZINC001260177930 812828006 /nfs/dbraw/zinc/82/80/06/812828006.db2.gz QFHPTRDGECVRMC-RXMQYKEDSA-N -1 1 348.753 -0.635 20 0 EBADMM CCn1nc(C)c(CNC(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)n1 ZINC001261444329 812907184 /nfs/dbraw/zinc/90/71/84/812907184.db2.gz SXZHWMVAGROSNM-UHFFFAOYSA-N -1 1 342.363 -0.483 20 0 EBADMM NS(=O)(=O)CCCCCC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001261511402 812921873 /nfs/dbraw/zinc/92/18/73/812921873.db2.gz FMAHRXFERBLZFJ-JTQLQIEISA-N -1 1 330.414 -0.245 20 0 EBADMM COCCOc1ccc(CNC(=O)CCn2cc[n-]c(=O)c2=O)nn1 ZINC001261550256 812935806 /nfs/dbraw/zinc/93/58/06/812935806.db2.gz SIUROZMQXJXHDA-UHFFFAOYSA-N -1 1 349.347 -0.942 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H]1CNC(=O)c1ccc[nH]1 ZINC001262915479 813104927 /nfs/dbraw/zinc/10/49/27/813104927.db2.gz MUSVOKHYGZTQTD-NSHDSACASA-N -1 1 345.359 -0.714 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2CCN(c3ccc(F)cc3)CC2)CNCCO1 ZINC001263092450 813107097 /nfs/dbraw/zinc/10/70/97/813107097.db2.gz SWPNHYWSDZWWEQ-MRXNPFEDSA-N -1 1 337.351 -0.083 20 0 EBADMM CO[C@@H]1CN(Cc2cn(C)nn2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001081578791 813227217 /nfs/dbraw/zinc/22/72/17/813227217.db2.gz WYKLFZBFKGTNFR-DGCLKSJQSA-N -1 1 332.364 -0.455 20 0 EBADMM Cc1nc(CN(C)CCCNC(=O)CCn2cc[n-]c(=O)c2=O)co1 ZINC001265047879 813228996 /nfs/dbraw/zinc/22/89/96/813228996.db2.gz AIEKHSDINQZBEL-UHFFFAOYSA-N -1 1 349.391 -0.139 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCCN(C)Cc1ncccn1 ZINC001265952190 813424412 /nfs/dbraw/zinc/42/44/12/813424412.db2.gz DKCNYMYUJQUDPQ-UHFFFAOYSA-N -1 1 348.363 -0.062 20 0 EBADMM CN(CCNC(=O)CC(F)(F)C(F)F)Cc1nc(=O)n(C)[n-]1 ZINC001266326869 813531541 /nfs/dbraw/zinc/53/15/41/813531541.db2.gz ONCAIRJZJBNMIG-UHFFFAOYSA-N -1 1 327.282 -0.053 20 0 EBADMM CN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)Cc1cscn1 ZINC001266340469 813538256 /nfs/dbraw/zinc/53/82/56/813538256.db2.gz ZRPLMMDQIXMFNT-UHFFFAOYSA-N -1 1 337.405 -0.369 20 0 EBADMM O=C(NC[C@@H](NCc1n[nH]c(=O)[n-]1)C1CC1)c1cnc2cccnn21 ZINC001266434213 813567887 /nfs/dbraw/zinc/56/78/87/813567887.db2.gz UGFPPORBEYXOPY-SNVBAGLBSA-N -1 1 342.363 -0.149 20 0 EBADMM O=C(Cc1c[nH]cn1)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001081800403 813608293 /nfs/dbraw/zinc/60/82/93/813608293.db2.gz OPHASPDNCNOBAY-MFKMUULPSA-N -1 1 345.359 -0.698 20 0 EBADMM Cn1[n-]c(CN(CCNC(=O)c2cnn3cc[nH]c23)C2CC2)nc1=O ZINC001266617242 813624154 /nfs/dbraw/zinc/62/41/54/813624154.db2.gz AXBQERRLMRBDFZ-UHFFFAOYSA-N -1 1 344.379 -0.521 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)CCNCc1nncs1 ZINC001266980842 813719650 /nfs/dbraw/zinc/71/96/50/813719650.db2.gz YIVDXYSXEUKUMZ-UHFFFAOYSA-N -1 1 340.365 -0.390 20 0 EBADMM C[C@H](CNC(=O)c1ccnc(-n2ccnc2)c1)NCc1n[nH]c(=O)[n-]1 ZINC001266995685 813724515 /nfs/dbraw/zinc/72/45/15/813724515.db2.gz FAPVEDMMAKEHOU-SNVBAGLBSA-N -1 1 342.363 -0.001 20 0 EBADMM CN(CCNC(=O)c1cccn2nccc12)Cc1nc(=O)n(C)[n-]1 ZINC001267205695 813786737 /nfs/dbraw/zinc/78/67/37/813786737.db2.gz VNGHYOUYJFITQM-UHFFFAOYSA-N -1 1 329.364 -0.382 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCc2cnn(C)c2C1 ZINC001267418947 813886419 /nfs/dbraw/zinc/88/64/19/813886419.db2.gz NWFGYMBQUVMDEB-SNVBAGLBSA-N -1 1 333.396 -0.403 20 0 EBADMM Cc1cc(C(=O)N(C)CCNCc2n[nH]c(=O)[n-]2)c2cnn(C)c2n1 ZINC001267447190 813898603 /nfs/dbraw/zinc/89/86/03/813898603.db2.gz LOLWQDDRCAAOFI-UHFFFAOYSA-N -1 1 344.379 -0.038 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)Cn1ccc(C(F)(F)F)n1 ZINC001267466855 813905078 /nfs/dbraw/zinc/90/50/78/813905078.db2.gz UUYVZPXLLJPQCX-UHFFFAOYSA-N -1 1 347.301 -0.026 20 0 EBADMM CC(C)N(CCCNC(=O)[C@H]1CC[C@H]1C(N)=O)Cc1n[nH]c(=O)[n-]1 ZINC001267506046 813918037 /nfs/dbraw/zinc/91/80/37/813918037.db2.gz UTXCUNIMTYTSSI-MNOVXSKESA-N -1 1 338.412 -0.262 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1CN(CCCCCF)C1 ZINC001267662202 813977595 /nfs/dbraw/zinc/97/75/95/813977595.db2.gz RBABXJPFZLJPSD-UHFFFAOYSA-N -1 1 326.372 -0.133 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2C[C@H]2c2cnn(C)c2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082755926 814006684 /nfs/dbraw/zinc/00/66/84/814006684.db2.gz JAAYIKHKXBTRON-GWNIPJSYSA-N -1 1 345.407 -0.016 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)CCOCC(F)F)C2)nc1=O ZINC001267937767 814072412 /nfs/dbraw/zinc/07/24/12/814072412.db2.gz WEFFMGBMOHSKBI-SNVBAGLBSA-N -1 1 347.366 -0.139 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@@H]32)co1 ZINC001083061642 814100302 /nfs/dbraw/zinc/10/03/02/814100302.db2.gz IUXAHTLLBFTAOV-QWHCGFSZSA-N -1 1 347.375 -0.265 20 0 EBADMM O=C(c1c[n-]n2c1nccc2=O)N1CCO[C@@H]2CN(CCCF)C[C@@H]21 ZINC001083217307 814207703 /nfs/dbraw/zinc/20/77/03/814207703.db2.gz RUFAKHHDSYZNLO-QWHCGFSZSA-N -1 1 349.366 -0.093 20 0 EBADMM Cc1ncc(CN2CC(NC(=O)CCn3cc[n-]c(=O)c3=O)C2)cn1 ZINC001268462938 814252133 /nfs/dbraw/zinc/25/21/33/814252133.db2.gz JWACNOQACBPTLV-UHFFFAOYSA-N -1 1 344.375 -0.974 20 0 EBADMM Cc1cccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c1 ZINC001083322067 814281960 /nfs/dbraw/zinc/28/19/60/814281960.db2.gz PMINCBJQXJPDBQ-OLZOCXBDSA-N -1 1 331.376 -0.608 20 0 EBADMM Cc1nc(CN(C)C[C@@H](C)NC(=O)c2c[n-]n3c2nccc3=O)n[nH]1 ZINC001268748703 814374372 /nfs/dbraw/zinc/37/43/72/814374372.db2.gz IXGZYVWFEXQSFU-SECBINFHSA-N -1 1 344.379 -0.301 20 0 EBADMM C[C@H](C(=O)NC1CC1)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001083422310 814401962 /nfs/dbraw/zinc/40/19/62/814401962.db2.gz PZDPMOKCFPTGPY-XWIASGKRSA-N -1 1 334.376 -0.771 20 0 EBADMM O=C(CN1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1)N1CCCC1 ZINC001083422063 814402228 /nfs/dbraw/zinc/40/22/28/814402228.db2.gz FLGVBQIYXXUTMP-YPMHNXCESA-N -1 1 334.376 -0.816 20 0 EBADMM CN1CCC[C@@H](N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)C1=O ZINC001083423235 814403300 /nfs/dbraw/zinc/40/33/00/814403300.db2.gz LUCVBCMSCNBDJE-WZRBSPASSA-N -1 1 334.376 -0.817 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@@]2(F)C(=O)N(C3COC3)C[C@@]2(F)C1 ZINC001268845277 814410455 /nfs/dbraw/zinc/41/04/55/814410455.db2.gz JOQJBURWSRDQIK-LSDHHAIUSA-N -1 1 339.298 -0.099 20 0 EBADMM CC(=O)[C@H](C)N1C(=O)COCC12CN(C(=O)c1ncccc1[O-])C2 ZINC001268842671 814410728 /nfs/dbraw/zinc/41/07/28/814410728.db2.gz NRPKEKQMMMBLSU-JTQLQIEISA-N -1 1 333.344 -0.182 20 0 EBADMM Cc1ccc(CC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)cc1 ZINC001083615322 814624913 /nfs/dbraw/zinc/62/49/13/814624913.db2.gz OJYXOEHGNXWAHZ-KGLIPLIRSA-N -1 1 345.403 -0.679 20 0 EBADMM Cc1cc(CN2CC[C@@H]2CNC(=O)CCn2cc[n-]c(=O)c2=O)no1 ZINC001269320425 814635403 /nfs/dbraw/zinc/63/54/03/814635403.db2.gz CJUYUNKSBNEFJT-CYBMUJFWSA-N -1 1 347.375 -0.386 20 0 EBADMM CN(C)C(=O)CNC(=O)[C@@H]1CC12CN(C(=O)c1ncccc1[O-])C2 ZINC001269345605 814650162 /nfs/dbraw/zinc/65/01/62/814650162.db2.gz DAPPPDYJCINPKC-JTQLQIEISA-N -1 1 332.360 -0.546 20 0 EBADMM O=C(c1ccc([O-])cn1)N1CCOC[C@]2(CC(=O)N(C3COC3)C2)C1 ZINC001269354179 814658326 /nfs/dbraw/zinc/65/83/26/814658326.db2.gz BSHMKEFGCQPISP-QGZVFWFLSA-N -1 1 347.371 -0.123 20 0 EBADMM CC[C@@H](CNC(=O)c1cc2ncccn2n1)NCc1n[nH]c(=O)[n-]1 ZINC001269485910 814709213 /nfs/dbraw/zinc/70/92/13/814709213.db2.gz DRDJHZKCFJKPPY-VIFPVBQESA-N -1 1 330.352 -0.149 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC[C@]12CCN(CC(F)(F)F)C2=O ZINC001269575965 814732853 /nfs/dbraw/zinc/73/28/53/814732853.db2.gz CVJXEAOCTTYMPT-LLVKDONJSA-N -1 1 332.286 -0.102 20 0 EBADMM CC(=O)[C@@H](C)N1C[C@@]2(CC1=O)CCCCN2C(=O)Cc1nn[n-]n1 ZINC001269576756 814734395 /nfs/dbraw/zinc/73/43/95/814734395.db2.gz VOYIQCJDMWMXQJ-BMIGLBTASA-N -1 1 334.380 -0.297 20 0 EBADMM CCOCCN1C[C@]2(CC1=O)CCCCN2C(=O)Cc1nn[n-]n1 ZINC001269576536 814734472 /nfs/dbraw/zinc/73/44/72/814734472.db2.gz OILWZBRSNANYDG-OAHLLOKOSA-N -1 1 336.396 -0.238 20 0 EBADMM CC(C)CN1C(=O)C[C@]2(CCCN(C(=O)Cc3nn[n-]n3)C2)C1=O ZINC001269577471 814735559 /nfs/dbraw/zinc/73/55/59/814735559.db2.gz JSITZEJPIWWBCV-HNNXBMFYSA-N -1 1 334.380 -0.234 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC2(C1)CN(CC1CCCC1)C(=O)CO2 ZINC001269578297 814737107 /nfs/dbraw/zinc/73/71/07/814737107.db2.gz ZZXFTRFAFVVCNM-UHFFFAOYSA-N -1 1 334.380 -0.628 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C3CCC(F)CC3)[C@@H](O)C2)nc1=O ZINC001083753638 814756991 /nfs/dbraw/zinc/75/69/91/814756991.db2.gz CBXMBABTEZAIEW-HCWSGVFWSA-N -1 1 341.387 -0.702 20 0 EBADMM Cc1ncc(CO)c(C(=O)N2Cc3ccnn3CC[C@@H]2C(N)=O)c1[O-] ZINC001269979075 815654882 /nfs/dbraw/zinc/65/48/82/815654882.db2.gz BSYFXBHGZHBYLJ-GFCCVEGCSA-N -1 1 345.359 -0.316 20 0 EBADMM CCCCN1C[C@@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)[C@@H](O)C1 ZINC001083869929 815663670 /nfs/dbraw/zinc/66/36/70/815663670.db2.gz HPFFRIVKTUYAJY-NEPJUHHUSA-N -1 1 334.380 -0.967 20 0 EBADMM O=C(N[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@@H]1O)c1cccc2nc[nH]c21 ZINC001083881480 815677641 /nfs/dbraw/zinc/67/76/41/815677641.db2.gz DIDKNUPZYOMNMW-MNOVXSKESA-N -1 1 343.347 -0.638 20 0 EBADMM Cc1cc(CN2CC3(C2)CCCN(C(=O)Cc2nn[n-]n2)C3)n(C)n1 ZINC001270182649 815712404 /nfs/dbraw/zinc/71/24/04/815712404.db2.gz JCRBOISGKXIZRY-UHFFFAOYSA-N -1 1 344.423 -0.091 20 0 EBADMM Cc1cc(CN2CC3(C2)CCN(C(=O)Cc2nn[n-]n2)CC3)n(C)n1 ZINC001270182703 815712846 /nfs/dbraw/zinc/71/28/46/815712846.db2.gz KTZFVNTYNKCGPQ-UHFFFAOYSA-N -1 1 344.423 -0.091 20 0 EBADMM O=C(Cc1nn[n-]n1)N1C[C@@H]2OCCN(CCCC(F)(F)F)[C@H]2C1 ZINC001270182727 815713099 /nfs/dbraw/zinc/71/30/99/815713099.db2.gz LTJKVKBECOVBSK-UWVGGRQHSA-N -1 1 348.329 -0.004 20 0 EBADMM O=C(Cc1nn[n-]n1)N1C[C@@H]2C[C@H]1CN(C(=O)Nc1cccnc1)C2 ZINC001270186303 815715736 /nfs/dbraw/zinc/71/57/36/815715736.db2.gz UREAMBTZYUZFCK-PWSUYJOCSA-N -1 1 342.363 -0.098 20 0 EBADMM Cc1n[nH]c(C(C)C)c1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@@H]1O ZINC001083931361 815718151 /nfs/dbraw/zinc/71/81/51/815718151.db2.gz SQWOXMRFOFCSHY-ZJUUUORDSA-N -1 1 349.395 -0.360 20 0 EBADMM CN(C)S(=O)(=O)N1C[C@H]2C[C@@H](C1)N(C(=O)c1ncccc1[O-])C2 ZINC001270258370 815736366 /nfs/dbraw/zinc/73/63/66/815736366.db2.gz QVYACBXAAUTYIZ-MNOVXSKESA-N -1 1 340.405 -0.260 20 0 EBADMM Cn1ncnc1C(=O)N1CCC12CN(C(=O)c1ncccc1[O-])C2 ZINC001270258007 815736435 /nfs/dbraw/zinc/73/64/35/815736435.db2.gz JRADVZOLSFPXRU-UHFFFAOYSA-N -1 1 328.332 -0.344 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O)c1ccccc1 ZINC001083971748 815751273 /nfs/dbraw/zinc/75/12/73/815751273.db2.gz YFAPXISQSMESSL-BNOWGMLFSA-N -1 1 345.403 -0.427 20 0 EBADMM CSc1ncc2c(n1)[n-]cc(C(=O)N1CC3(C[C@@H]3C(N)=O)C1)c2=O ZINC001270397203 815764970 /nfs/dbraw/zinc/76/49/70/815764970.db2.gz WZOWTSMKWFCLLU-SECBINFHSA-N -1 1 345.384 -0.061 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C3CCC=CCC3)[C@@H](O)C2)nc1=O ZINC001084066775 815811262 /nfs/dbraw/zinc/81/12/62/815811262.db2.gz UMJUYQOXBGOMPS-OLZOCXBDSA-N -1 1 335.408 -0.484 20 0 EBADMM CCN1CCCC[C@H]1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@@H]1O ZINC001084091608 815828680 /nfs/dbraw/zinc/82/86/80/815828680.db2.gz GDOOMLWFWXFGPN-WOPDTQHZSA-N -1 1 338.412 -0.954 20 0 EBADMM C=C/C(C)=C/CC(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001270674090 815852665 /nfs/dbraw/zinc/85/26/65/815852665.db2.gz KNERXTXQCDGKKZ-YQJFHSMKSA-N -1 1 335.408 -0.052 20 0 EBADMM Cc1cc(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)n(C)n1 ZINC001084214785 815866050 /nfs/dbraw/zinc/86/60/50/815866050.db2.gz ASSLHNASACMBRO-DGCLKSJQSA-N -1 1 345.407 -0.503 20 0 EBADMM CC(C)(C)NC(=O)[C@@H]1CCSC12CN(C(=O)Cc1nn[n-]n1)C2 ZINC001270785073 815889011 /nfs/dbraw/zinc/88/90/11/815889011.db2.gz RFEGICODZUDFOL-VIFPVBQESA-N -1 1 338.437 -0.009 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC2(C[C@@H]2C(=O)Nc2cn[nH]c2)CC1 ZINC001270785300 815889318 /nfs/dbraw/zinc/88/93/18/815889318.db2.gz SGUXMFLUAMMSFN-SNVBAGLBSA-N -1 1 330.352 -0.267 20 0 EBADMM O=C(Cc1nn[n-]n1)NC12CC(C(=O)NCCc3ccccn3)(C1)C2 ZINC001270785794 815889503 /nfs/dbraw/zinc/88/95/03/815889503.db2.gz VNRSFWQITOXZGR-UHFFFAOYSA-N -1 1 341.375 -0.465 20 0 EBADMM CC(C)(C)NC(=O)C[C@@H]1C[C@@H]2CN(C(=O)Cc3nn[n-]n3)C[C@@H]2O1 ZINC001270785422 815889565 /nfs/dbraw/zinc/88/95/65/815889565.db2.gz UGMDSZDATJBNEK-VWYCJHECSA-N -1 1 336.396 -0.337 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@@H]2C[C@@]21C(=O)N1CC(F)(C2CC2)C1 ZINC001270788316 815891045 /nfs/dbraw/zinc/89/10/45/815891045.db2.gz DUVGDFDOFSBGCB-BMIGLBTASA-N -1 1 334.355 -0.306 20 0 EBADMM CN(C(=O)[C@@H]1CC12CN(C(=O)Cc1nn[n-]n1)C2)C1CC(F)(F)C1 ZINC001270788995 815891496 /nfs/dbraw/zinc/89/14/96/815891496.db2.gz LGIALZYOVOBVRD-VIFPVBQESA-N -1 1 340.334 -0.153 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC2(C[C@H]2C(=O)NCc2c[nH]cn2)CC1 ZINC001270788671 815892753 /nfs/dbraw/zinc/89/27/53/815892753.db2.gz ISMLBSCWAFDBCY-NSHDSACASA-N -1 1 344.379 -0.590 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)CC4(O)CCC4)[C@@H]3C2)nc1=O ZINC001084331682 815896791 /nfs/dbraw/zinc/89/67/91/815896791.db2.gz CGFPIXGOFKLBNK-VXGBXAGGSA-N -1 1 335.408 -0.554 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)[C@@]4(F)CCOC4)[C@@H]3C2)nc1=O ZINC001084606506 815947390 /nfs/dbraw/zinc/94/73/90/815947390.db2.gz XIFLJMZESLFJGM-UEKVPHQBSA-N -1 1 339.371 -0.730 20 0 EBADMM Cn1[n-]c(CN2CC[C@](O)(CNC(=O)[C@]3(C)CC=CCC3)C2)nc1=O ZINC001271152169 816006231 /nfs/dbraw/zinc/00/62/31/816006231.db2.gz JYDKQNGRJNUHPK-SJORKVTESA-N -1 1 349.435 -0.092 20 0 EBADMM C[C@]1(C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)CCNC1=O ZINC001085329929 816042048 /nfs/dbraw/zinc/04/20/48/816042048.db2.gz LJXIKOXRXKTNDI-FCHSOHFDSA-N -1 1 336.396 -0.447 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1ccc(F)nc1 ZINC001085518065 816060645 /nfs/dbraw/zinc/06/06/45/816060645.db2.gz JKEMIQZJMGORRF-NSHDSACASA-N -1 1 334.355 -0.011 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1ccc(F)cn1 ZINC001085557229 816071744 /nfs/dbraw/zinc/07/17/44/816071744.db2.gz NCEBAKPHZRTFLB-NSHDSACASA-N -1 1 334.355 -0.011 20 0 EBADMM Cc1cn(C)nc1C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085592914 816083484 /nfs/dbraw/zinc/08/34/84/816083484.db2.gz WNMBWJVCOHGNKD-LLVKDONJSA-N -1 1 333.396 -0.503 20 0 EBADMM COc1cc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)ccn1 ZINC001085688438 816111356 /nfs/dbraw/zinc/11/13/56/816111356.db2.gz JELPNXFISMJMCH-LBPRGKRZSA-N -1 1 346.391 -0.142 20 0 EBADMM Cc1nc([C@H](C)N2CC[C@H]2CN(C)C(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC001085700552 816116297 /nfs/dbraw/zinc/11/62/97/816116297.db2.gz RGUBDWGJPAZNDC-CBAPKCEASA-N -1 1 348.367 -0.383 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC001085731351 816126301 /nfs/dbraw/zinc/12/63/01/816126301.db2.gz YJSFZRUYFJJZIV-PWNZVWSESA-N -1 1 349.435 -0.044 20 0 EBADMM CN(C[C@@H]1CCN1CC(N)=O)C(=O)c1n[n-]c2ccccc2c1=O ZINC001085849706 816161833 /nfs/dbraw/zinc/16/18/33/816161833.db2.gz DWOLIVMSDAWJBA-JTQLQIEISA-N -1 1 329.360 -0.033 20 0 EBADMM COc1cncc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001085857719 816163954 /nfs/dbraw/zinc/16/39/54/816163954.db2.gz GHVIHSFQNQNTQQ-SNVBAGLBSA-N -1 1 347.379 -0.747 20 0 EBADMM CCc1cc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)n(C)n1 ZINC001085892519 816172191 /nfs/dbraw/zinc/17/21/91/816172191.db2.gz BHOLYYXLHDYDBE-LBPRGKRZSA-N -1 1 347.423 -0.249 20 0 EBADMM NC(=O)c1nnc2n1CCN(C(=O)CCC[N-]C(=O)C(F)(F)F)C2 ZINC001271836999 816224457 /nfs/dbraw/zinc/22/44/57/816224457.db2.gz ABWOOIZHTCSXHL-UHFFFAOYSA-N -1 1 348.285 -0.822 20 0 EBADMM Cc1nnc([C@@H](C)N[C@H]2C[C@@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC001086138656 816245040 /nfs/dbraw/zinc/24/50/40/816245040.db2.gz UUSSTBNTSJQQKU-VDAHYXPESA-N -1 1 348.367 -0.431 20 0 EBADMM Cc1cc(CN[C@H]2C[C@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)on1 ZINC001086418391 816327570 /nfs/dbraw/zinc/32/75/70/816327570.db2.gz PPOBGWIRMTWLGR-KYZUINATSA-N -1 1 334.336 -0.123 20 0 EBADMM CC1(C)CO[C@@H](CC(=O)N(CCO)CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001272208323 816353801 /nfs/dbraw/zinc/35/38/01/816353801.db2.gz SKMSXZJAWKKMEK-NSHDSACASA-N -1 1 341.412 -0.374 20 0 EBADMM C[C@]1(C(=O)N2CC[C@H](CCNCc3n[nH]c(=O)[n-]3)C2)CCNC1=O ZINC001272564203 816477029 /nfs/dbraw/zinc/47/70/29/816477029.db2.gz GCJLGGGCTQXBSK-BONVTDFDSA-N -1 1 336.396 -0.635 20 0 EBADMM Cn1ncnc1C(=O)N1C[C@H]2C[C@@H](C1)N(C(=O)c1cncc([O-])c1)C2 ZINC001272673646 816505758 /nfs/dbraw/zinc/50/57/58/816505758.db2.gz QQEFKFIWSVIFIB-PWSUYJOCSA-N -1 1 342.359 -0.098 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2cc[n+]([O-])cc2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087493915 816524280 /nfs/dbraw/zinc/52/42/80/816524280.db2.gz RZMNEXZAVCXEHO-QWHCGFSZSA-N -1 1 346.391 -0.475 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2cc[nH]c(=O)c2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087535458 816528017 /nfs/dbraw/zinc/52/80/17/816528017.db2.gz LIKVGIDGZXGZIY-NWDGAFQWSA-N -1 1 346.391 -0.008 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)[C@H](C)n2cncn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087546757 816530072 /nfs/dbraw/zinc/53/00/72/816530072.db2.gz DHZFMWOUIRWHGN-SDDRHHMPSA-N -1 1 348.411 -0.570 20 0 EBADMM CN(C)C(=O)CCN1CC2(CN(Cc3ccncc3[O-])C2)OCC1=O ZINC001273081284 816582939 /nfs/dbraw/zinc/58/29/39/816582939.db2.gz SEDMJWAHWQJACN-UHFFFAOYSA-N -1 1 348.403 -0.321 20 0 EBADMM O=C(Cn1cnnn1)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088259131 816656027 /nfs/dbraw/zinc/65/60/27/816656027.db2.gz AIXBDCMRLBWZLA-JTQLQIEISA-N -1 1 335.372 -0.942 20 0 EBADMM Cn1ncc(C(=O)N2CCCCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001088398834 816676922 /nfs/dbraw/zinc/67/69/22/816676922.db2.gz UIQMORBUOVHFFT-SNVBAGLBSA-N -1 1 334.384 -0.187 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cc[n+]([O-])cc2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088455899 816684385 /nfs/dbraw/zinc/68/43/85/816684385.db2.gz PAQFLKJBVOBXAT-PWSUYJOCSA-N -1 1 332.364 -0.865 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)C[C@@H]2COC(=O)C2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088522938 816695180 /nfs/dbraw/zinc/69/51/80/816695180.db2.gz KKQHBWPVOFELJV-VWYCJHECSA-N -1 1 337.380 -0.859 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ccc(C(N)=O)o2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088729483 816732828 /nfs/dbraw/zinc/73/28/28/816732828.db2.gz ZYWSXDPHBPXWRI-BDAKNGLRSA-N -1 1 348.363 -0.807 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)CC2=CCOCC2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088837474 816752081 /nfs/dbraw/zinc/75/20/81/816752081.db2.gz HLQLYBTUPWSQOG-YPMHNXCESA-N -1 1 335.408 -0.076 20 0 EBADMM CO[C@H](C)C(=O)N1CCCC[C@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001089131405 816806499 /nfs/dbraw/zinc/80/64/99/816806499.db2.gz RQPOCVYEIGJPNF-NEPJUHHUSA-N -1 1 325.413 -0.044 20 0 EBADMM CC1(C)C[C@@H](NC(=O)Cc2nc[nH]n2)CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001089537451 816860578 /nfs/dbraw/zinc/86/05/78/816860578.db2.gz MMIYQKUIIRMHQK-JTQLQIEISA-N -1 1 348.411 -0.022 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ccsc3)[C@H](O)C2)nc1=O ZINC001090047039 816983316 /nfs/dbraw/zinc/98/33/16/816983316.db2.gz QVDHXGGPVCQYPL-WDEREUQCSA-N -1 1 337.405 -0.465 20 0 EBADMM O=C(c1c[nH]c(=O)c(=O)[n-]1)N1C[C@H]2CC[C@@H](C1)N2CCn1ccnc1 ZINC001274501851 816985789 /nfs/dbraw/zinc/98/57/89/816985789.db2.gz KRAADEAXIGRPSC-TXEJJXNPSA-N -1 1 344.375 -0.751 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c(C)o1 ZINC001090065464 817005330 /nfs/dbraw/zinc/00/53/30/817005330.db2.gz RMMNSZOAOUUYMO-QWHCGFSZSA-N -1 1 349.391 -0.317 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)cn1 ZINC001090075928 817008906 /nfs/dbraw/zinc/00/89/06/817008906.db2.gz LGYKKRRKCYYDOH-OLZOCXBDSA-N -1 1 346.391 -0.823 20 0 EBADMM Cc1ccncc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001090157989 817078281 /nfs/dbraw/zinc/07/82/81/817078281.db2.gz DISOJGIFDPZKDE-OLZOCXBDSA-N -1 1 346.391 -0.823 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)oc1C ZINC001090197678 817111234 /nfs/dbraw/zinc/11/12/34/817111234.db2.gz OEZRRKWVIUETEI-VXGBXAGGSA-N -1 1 349.391 -0.317 20 0 EBADMM Cn1cnnc1CN1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001090216383 817135056 /nfs/dbraw/zinc/13/50/56/817135056.db2.gz MLPSRKPEQNGCNI-PWSUYJOCSA-N -1 1 332.364 -0.719 20 0 EBADMM Cn1ccc(CN2CC[C@H](NC(=O)c3ncccc3[O-])[C@H](O)C2)n1 ZINC001090216693 817135203 /nfs/dbraw/zinc/13/52/03/817135203.db2.gz OGPBUFJOFHHWBV-GXTWGEPZSA-N -1 1 331.376 -0.114 20 0 EBADMM Cc1nnc(CN2CC[C@@H](NC(=O)c3ncccc3[O-])[C@H](O)C2)o1 ZINC001090217395 817136589 /nfs/dbraw/zinc/13/65/89/817136589.db2.gz QQRXAJXUGCLEFP-ZYHUDNBSSA-N -1 1 333.348 -0.156 20 0 EBADMM CN(C)C(=O)[C@H]1CCC2(CN(C(=O)c3c[nH]c(=S)[n-]c3=O)C2)O1 ZINC001275246789 817175997 /nfs/dbraw/zinc/17/59/97/817175997.db2.gz BWBJLKMCYCXMNO-SECBINFHSA-N -1 1 338.389 -0.067 20 0 EBADMM C[C@@H](NC(=O)c1cnn2ccncc12)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001275415523 817212465 /nfs/dbraw/zinc/21/24/65/817212465.db2.gz PYAHHWPXSJZLSP-DTWKUNHWSA-N -1 1 330.352 -0.150 20 0 EBADMM Cn1[n-]c(C(=O)N2CC[C@H]3C[C@]32C(=O)NCc2cnc[nH]2)cc1=O ZINC001275610068 817259947 /nfs/dbraw/zinc/25/99/47/817259947.db2.gz MKHSOBBHWJYSEP-BJOHPYRUSA-N -1 1 330.348 -0.230 20 0 EBADMM O=C(NCC1COC1)C1=NO[C@@H]2CN(C(=O)c3cncc([O-])c3)C[C@H]12 ZINC001275624368 817263681 /nfs/dbraw/zinc/26/36/81/817263681.db2.gz WZEOIIOMBHZUOO-QWHCGFSZSA-N -1 1 346.343 -0.623 20 0 EBADMM O=C(Cn1cccnc1=O)N1C[C@H]2C[C@@H](C1)N2Cc1ccncc1[O-] ZINC001275996913 817334966 /nfs/dbraw/zinc/33/49/66/817334966.db2.gz PEXDOLNEORCUMY-OKILXGFUSA-N -1 1 341.371 -0.171 20 0 EBADMM COCCN(C)C(=O)c1[nH]nc2c1CN(C(=O)c1cc(=O)[nH][n-]1)CC2 ZINC001276025600 817343902 /nfs/dbraw/zinc/34/39/02/817343902.db2.gz QZHPBMNQUASGRC-UHFFFAOYSA-N -1 1 348.363 -0.245 20 0 EBADMM O=C(NC1(CNCc2n[nH]c(=O)[n-]2)CCCCC1)[C@@H]1CCNC1=O ZINC001276219482 817373712 /nfs/dbraw/zinc/37/37/12/817373712.db2.gz WTDSPYBELJAEKP-SNVBAGLBSA-N -1 1 336.396 -0.445 20 0 EBADMM Cn1nnnc1NC(=O)[C@]12C[C@H]1CCN2C(=O)c1ccc(F)c(=O)[n-]1 ZINC001276297047 817381969 /nfs/dbraw/zinc/38/19/69/817381969.db2.gz IEFJNNLKAHZBSC-UOWDBTKRSA-N -1 1 347.310 -0.307 20 0 EBADMM CSc1ncc(C(=O)N2CC3(C2)CCOC[C@@H]3C(N)=O)c(=O)[n-]1 ZINC001276545680 817421931 /nfs/dbraw/zinc/42/19/31/817421931.db2.gz ZBHHDNPSQGFRSM-SECBINFHSA-N -1 1 338.389 -0.132 20 0 EBADMM Cc1ncccc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001090531204 817511377 /nfs/dbraw/zinc/51/13/77/817511377.db2.gz JEXFEQSCVPXLSF-CHWSQXEVSA-N -1 1 346.391 -0.823 20 0 EBADMM C[C@@H]1Cc2nnc(C(=O)N=c3nn[n-]n3C)n2CC[N@H+]1CC1CC1 ZINC001277238535 817536724 /nfs/dbraw/zinc/53/67/24/817536724.db2.gz GACBLIPOHIXVDJ-SECBINFHSA-N -1 1 331.384 -0.868 20 0 EBADMM C[C@@H]1Cc2nnc(C(=O)N=c3nn[n-]n3C)n2CCN1CC1CC1 ZINC001277238535 817536732 /nfs/dbraw/zinc/53/67/32/817536732.db2.gz GACBLIPOHIXVDJ-SECBINFHSA-N -1 1 331.384 -0.868 20 0 EBADMM COCCCOCC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001278307978 817739419 /nfs/dbraw/zinc/73/94/19/817739419.db2.gz JZRTUEOTXAAMLB-WDEREUQCSA-N -1 1 327.385 -0.358 20 0 EBADMM CC1=CC[C@@](C)(C(=O)NCC2(O)CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001278931481 817894635 /nfs/dbraw/zinc/89/46/35/817894635.db2.gz BBLPONUBOQEYLZ-MRXNPFEDSA-N -1 1 349.435 -0.092 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)C[C@H]4CCCO4)C[C@]3(C)C2)nc1=O ZINC001091509073 818001284 /nfs/dbraw/zinc/00/12/84/818001284.db2.gz FYFMAHHFNRLOBT-XNJGSVPQSA-N -1 1 349.435 -0.042 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)N1CCC(OC2CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001093503208 818035242 /nfs/dbraw/zinc/03/52/42/818035242.db2.gz VQUYCHGJDXHXNW-RISCZKNCSA-N -1 1 349.435 -0.044 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CCC(OC2CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001093503203 818035678 /nfs/dbraw/zinc/03/56/78/818035678.db2.gz VQUYCHGJDXHXNW-BXUZGUMPSA-N -1 1 349.435 -0.044 20 0 EBADMM CC(C)C(=O)N1CC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)[C@H]1C ZINC001281129652 818044646 /nfs/dbraw/zinc/04/46/46/818044646.db2.gz XGHWMXLVMYUPEG-NEPJUHHUSA-N -1 1 336.392 -0.312 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CC[C@H](CNC(=O)C2CC2)C1 ZINC001281236710 818084235 /nfs/dbraw/zinc/08/42/35/818084235.db2.gz YGVLVEIHJVSBQG-AAEUAGOBSA-N -1 1 348.403 -0.262 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CC[C@@H](CNC(=O)C2CC2)C1 ZINC001281236713 818084419 /nfs/dbraw/zinc/08/44/19/818084419.db2.gz YGVLVEIHJVSBQG-YPMHNXCESA-N -1 1 348.403 -0.262 20 0 EBADMM CCc1cc(NCCNC(=O)CCn2cc[n-]c(=O)c2=O)nc(C)n1 ZINC001093739069 818092181 /nfs/dbraw/zinc/09/21/81/818092181.db2.gz ODBLDUVADSTXDK-UHFFFAOYSA-N -1 1 346.391 -0.184 20 0 EBADMM CCc1ncnc(NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)c1F ZINC001093761571 818097698 /nfs/dbraw/zinc/09/76/98/818097698.db2.gz MWBKMVOLYAPTNV-UHFFFAOYSA-N -1 1 346.326 -0.249 20 0 EBADMM O=C(NCCNc1ncc(Cl)cn1)c1cc(=O)n2[n-]cnc2n1 ZINC001093761515 818097724 /nfs/dbraw/zinc/09/77/24/818097724.db2.gz KJWOZMMMOQGARG-UHFFFAOYSA-N -1 1 334.727 -0.297 20 0 EBADMM CN(C(=O)C(F)F)C1CC(NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001281337694 818149056 /nfs/dbraw/zinc/14/90/56/818149056.db2.gz NOIDWOKOSVZVGA-UHFFFAOYSA-N -1 1 344.318 -0.703 20 0 EBADMM CC(C)C(=O)N(C)C[C@H]1CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001282022615 818334244 /nfs/dbraw/zinc/33/42/44/818334244.db2.gz METZKJHMYHAMLT-GFCCVEGCSA-N -1 1 336.392 -0.358 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)c1cccc2ncnn21 ZINC001282161120 818370487 /nfs/dbraw/zinc/37/04/87/818370487.db2.gz ONWFYQOTRVAIIG-IUCAKERBSA-N -1 1 330.352 -0.150 20 0 EBADMM C[C@H](O)CN1CC(N2C[C@@H](NC(=O)c3ncccc3[O-])CC2=O)C1 ZINC001094730581 818380592 /nfs/dbraw/zinc/38/05/92/818380592.db2.gz FKAYOUROJUZJNT-QWRGUYRKSA-N -1 1 334.376 -0.817 20 0 EBADMM Cn1[n-]c(CN2CC(CCO)(NC(=O)C[C@H]3C=CCCC3)C2)nc1=O ZINC001282524492 818446876 /nfs/dbraw/zinc/44/68/76/818446876.db2.gz ZFGOVURESQYXGL-ZDUSSCGKSA-N -1 1 349.435 -0.092 20 0 EBADMM Cc1cccc(C(=O)NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001282915674 818527941 /nfs/dbraw/zinc/52/79/41/818527941.db2.gz HHEOBPYNBLMWQU-UHFFFAOYSA-N -1 1 341.331 -0.719 20 0 EBADMM CC[C@@H](C)OCC(=O)NCCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001282974990 818557261 /nfs/dbraw/zinc/55/72/61/818557261.db2.gz CWDVEORYPIEJGO-SECBINFHSA-N -1 1 336.352 -0.921 20 0 EBADMM Cn1cccc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001095183898 818579956 /nfs/dbraw/zinc/57/99/56/818579956.db2.gz WDELNSCJYWPNPC-WOPDTQHZSA-N -1 1 330.392 -0.018 20 0 EBADMM O=C(NCCNC(=O)[C@H]1CC12CCC2)c1cc(=O)n2[n-]cnc2n1 ZINC001283017083 818585431 /nfs/dbraw/zinc/58/54/31/818585431.db2.gz DMNAAQRXZFLNFN-SECBINFHSA-N -1 1 330.348 -0.546 20 0 EBADMM Cc1cc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)ncn1 ZINC001095323518 818601436 /nfs/dbraw/zinc/60/14/36/818601436.db2.gz PJOYDWYYYMPPCT-MDZLAQPJSA-N -1 1 343.391 -0.258 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2cncnc2)C3)nc1=O ZINC001095343156 818607566 /nfs/dbraw/zinc/60/75/66/818607566.db2.gz OUSZLXNDRMTJMH-WOPDTQHZSA-N -1 1 329.364 -0.566 20 0 EBADMM Cc1ncc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)n1C ZINC001095922320 818708577 /nfs/dbraw/zinc/70/85/77/818708577.db2.gz GAXVZNUUGLKRIE-WOPDTQHZSA-N -1 1 345.407 -0.314 20 0 EBADMM O=C(NCCCNC(=O)[C@@H]1CCCS1(=O)=O)c1ncccc1[O-] ZINC001283231970 818709656 /nfs/dbraw/zinc/70/96/56/818709656.db2.gz CHJZXBCXPCZKKD-NSHDSACASA-N -1 1 341.389 -0.400 20 0 EBADMM CN1CC(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[n-]2)=NC1=O ZINC001095970687 818716561 /nfs/dbraw/zinc/71/65/61/818716561.db2.gz UFSUKJJMFWKFHW-WEDXCCLWSA-N -1 1 333.352 -0.515 20 0 EBADMM CCn1cc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)nn1 ZINC001096257378 818758802 /nfs/dbraw/zinc/75/88/02/818758802.db2.gz WVAIHHWHLGUDPP-SCVCMEIPSA-N -1 1 346.395 -0.745 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)C2=COCCO2)C3)nc1=O ZINC001096752710 818880597 /nfs/dbraw/zinc/88/05/97/818880597.db2.gz CDBPHACMXHMCAA-MXWKQRLJSA-N -1 1 335.364 -0.782 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@@H]2CCCOC2)C3)nc1=O ZINC001096871197 818897971 /nfs/dbraw/zinc/89/79/71/818897971.db2.gz YXOITWZSQPBGBQ-LPWJVIDDSA-N -1 1 335.408 -0.244 20 0 EBADMM CN(CCCNC(=O)[C@H]1CC12CC2)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001283670047 818903491 /nfs/dbraw/zinc/90/34/91/818903491.db2.gz GPAHPNBCWTVCNW-GFCCVEGCSA-N -1 1 348.403 -0.309 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)C2CCC(O)CC2)C3)nc1=O ZINC001097011489 818924474 /nfs/dbraw/zinc/92/44/74/818924474.db2.gz RBENXUSBEVVVRD-ZFKITPQFSA-N -1 1 349.435 -0.119 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1C[C@H](Nc2nccnc2F)C1 ZINC001097045873 818933415 /nfs/dbraw/zinc/93/34/15/818933415.db2.gz OJVAXZYFLLUSOW-MGCOHNPYSA-N -1 1 348.338 -0.385 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1C[C@H](Nc2ncccn2)C1 ZINC001097045474 818933423 /nfs/dbraw/zinc/93/34/23/818933423.db2.gz HSHRNTJIDKRVCW-XYPYZODXSA-N -1 1 330.348 -0.524 20 0 EBADMM Cc1cc(N[C@H]2C[C@@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)ncn1 ZINC001097201227 818954455 /nfs/dbraw/zinc/95/44/55/818954455.db2.gz CFIUUWMUFAYRTG-TXEJJXNPSA-N -1 1 344.375 -0.216 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001097273064 818968332 /nfs/dbraw/zinc/96/83/32/818968332.db2.gz DYUOCBJANDKXTL-BBBLOLIVSA-N -1 1 347.379 -0.619 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCN(C(=O)[C@H]2CC23CC3)C1 ZINC001283875616 819012159 /nfs/dbraw/zinc/01/21/59/819012159.db2.gz FSIWVPAIGQPHMV-VXGBXAGGSA-N -1 1 346.387 -0.556 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CCN(C(=O)C2=CCCC2)C1 ZINC001283877217 819014301 /nfs/dbraw/zinc/01/43/01/819014301.db2.gz SVYUUDPDGLLDAF-ZDUSSCGKSA-N -1 1 346.387 -0.246 20 0 EBADMM Cc1nsc(N[C@@H](C)CNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001097950093 819062008 /nfs/dbraw/zinc/06/20/08/819062008.db2.gz IUQFKFWWRBPOAZ-LURJTMIESA-N -1 1 334.365 -0.192 20 0 EBADMM Cc1ccnc(N[C@H](C)CNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001097954063 819064465 /nfs/dbraw/zinc/06/44/65/819064465.db2.gz PQGYDZSKIPTSTJ-SECBINFHSA-N -1 1 328.336 -0.254 20 0 EBADMM Cc1c[nH]nc1C(=O)N1CCC(N(CCO)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001098365773 819152459 /nfs/dbraw/zinc/15/24/59/819152459.db2.gz ZHZMKZXVOCIKQN-UHFFFAOYSA-N -1 1 349.395 -0.359 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)Cn2ccnc2)C3)nc1=O ZINC001098491078 819215594 /nfs/dbraw/zinc/21/55/94/819215594.db2.gz XIHOADCOJSTPRK-UTUOFQBUSA-N -1 1 331.380 -0.773 20 0 EBADMM CC(C(=O)N(C)C[C@H](O)CN(C)Cc1nc(=O)n(C)[n-]1)=C1CCC1 ZINC001284491345 819253734 /nfs/dbraw/zinc/25/37/34/819253734.db2.gz APVMCXVFTYKIOA-CYBMUJFWSA-N -1 1 337.424 -0.140 20 0 EBADMM Cc1cc(C(=O)N[C@]23CCC[C@H]2CN(Cc2nc(=O)n(C)[n-]2)C3)[nH]n1 ZINC001098744555 819350735 /nfs/dbraw/zinc/35/07/35/819350735.db2.gz CQEFVQXSJZCGTC-ZBEGNZNMSA-N -1 1 345.407 -0.076 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCC[C@]3(NC(=O)c3n[nH]cc3F)C2)nc1=O ZINC001099013985 819406123 /nfs/dbraw/zinc/40/61/23/819406123.db2.gz FSUQODWWHMQPGY-VFZGTOFNSA-N -1 1 349.370 -0.245 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1CN(C(=O)[C@H]2C[C@H]3C[C@H]3C2)C1 ZINC001284923223 819411218 /nfs/dbraw/zinc/41/12/18/819411218.db2.gz JGFMRLUAHQIRKU-GDNZZTSVSA-N -1 1 346.387 -0.700 20 0 EBADMM CC/C=C(\C)C(=O)N1CC(CNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001284978495 819440527 /nfs/dbraw/zinc/44/05/27/819440527.db2.gz AKBCIOMVBTXOQE-UUILKARUSA-N -1 1 348.403 -0.142 20 0 EBADMM C[C@H](CCNC(=O)Cn1c(=O)[n-][nH]c1=O)Nc1ncnc2[nH]cnc21 ZINC001099361980 819457312 /nfs/dbraw/zinc/45/73/12/819457312.db2.gz OYOZQYIOEOBQFY-SSDOTTSWSA-N -1 1 347.339 -0.638 20 0 EBADMM C[C@@H](NC(=O)C(F)F)[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285270335 819530753 /nfs/dbraw/zinc/53/07/53/819530753.db2.gz TVBMEQAVTVFXQK-JGVFFNPUSA-N -1 1 332.307 -0.799 20 0 EBADMM CN(CCN(C)C(=O)[C@@H]1CCN(C)C1=O)C(=O)c1ncccc1[O-] ZINC001285364754 819556220 /nfs/dbraw/zinc/55/62/20/819556220.db2.gz DWJQCEXRJXBYTI-LLVKDONJSA-N -1 1 334.376 -0.204 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)NC/C=C/CNC(=O)[C@@H]1C[N@H+](C)CCO1 ZINC001285511408 819635644 /nfs/dbraw/zinc/63/56/44/819635644.db2.gz KWYZMOURECSTDQ-PCAWENJQSA-N -1 1 349.391 -0.777 20 0 EBADMM CC1CC(C(=O)NC/C=C/CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001285516700 819638755 /nfs/dbraw/zinc/63/87/55/819638755.db2.gz BAKVILQHKORCCR-NSCUHMNNSA-N -1 1 344.375 -0.134 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)/C=C/C(C)(C)C)[C@H](O)C2)nc1=O ZINC001099750710 819655062 /nfs/dbraw/zinc/65/50/62/819655062.db2.gz SEYSPPMTQSGEIF-GAEWNOSXSA-N -1 1 337.424 -0.238 20 0 EBADMM C[C@H](CNC(=O)CC1CC1)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001285570619 819668894 /nfs/dbraw/zinc/66/88/94/819668894.db2.gz SITMKMOAEQKIQC-LLVKDONJSA-N -1 1 336.392 -0.310 20 0 EBADMM CC(C)CC(=O)NC[C@H](C)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001285579729 819675563 /nfs/dbraw/zinc/67/55/63/819675563.db2.gz WDMBNUYFNUOWEG-LBPRGKRZSA-N -1 1 338.408 -0.064 20 0 EBADMM CC(C)CC(=O)NC[C@@H](C)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001285579727 819675716 /nfs/dbraw/zinc/67/57/16/819675716.db2.gz WDMBNUYFNUOWEG-GFCCVEGCSA-N -1 1 338.408 -0.064 20 0 EBADMM COCCOCCN1CC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])[C@@H](O)C1 ZINC001099773422 819683483 /nfs/dbraw/zinc/68/34/83/819683483.db2.gz SWDLEPVGXUGBAP-NEPJUHHUSA-N -1 1 342.396 -0.748 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)CCC3CCC3)[C@@H](O)C2)nc1=O ZINC001099776555 819685831 /nfs/dbraw/zinc/68/58/31/819685831.db2.gz UOZSKKYLVSXJMQ-STQMWFEESA-N -1 1 337.424 -0.260 20 0 EBADMM CC[C@@H](C)C(=O)NC[C@H](C)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001285598108 819688063 /nfs/dbraw/zinc/68/80/63/819688063.db2.gz JJAZWRAAJONBBS-NEPJUHHUSA-N -1 1 338.408 -0.064 20 0 EBADMM C[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)c1cscn1 ZINC001285625692 819698439 /nfs/dbraw/zinc/69/84/39/819698439.db2.gz BNPNASSKPSZRRY-SSDOTTSWSA-N -1 1 347.360 -0.578 20 0 EBADMM C[C@H](CNC(=O)C1CC(=O)NC(=O)C1)NC(=O)c1ncccc1[O-] ZINC001285635299 819702130 /nfs/dbraw/zinc/70/21/30/819702130.db2.gz MHCHSDZBPQCEJL-MRVPVSSYSA-N -1 1 334.332 -0.926 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)c1cccn2nnnc12 ZINC001285736132 819744961 /nfs/dbraw/zinc/74/49/61/819744961.db2.gz TWSOPSDBAVLOIH-VIFPVBQESA-N -1 1 341.331 -0.227 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)N(C)C(=O)[C@@H]1CC12CC2 ZINC001285749805 819753720 /nfs/dbraw/zinc/75/37/20/819753720.db2.gz NARSWBMIDMHMDQ-NEPJUHHUSA-N -1 1 348.403 -0.310 20 0 EBADMM CCCOCC(=O)NC[C@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001285838453 819783507 /nfs/dbraw/zinc/78/35/07/819783507.db2.gz JYWGQUOWHIHVOR-SNVBAGLBSA-N -1 1 348.363 -0.825 20 0 EBADMM CC[C@H](F)C(=O)N1CC[C@@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285874716 819801741 /nfs/dbraw/zinc/80/17/41/819801741.db2.gz NKDYNEUUOGIOJG-MNOVXSKESA-N -1 1 340.355 -0.608 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)CCC(F)F)[C@@H](O)C2)nc1=O ZINC001099918332 819915042 /nfs/dbraw/zinc/91/50/42/819915042.db2.gz KCGPNHUDONZAPP-IUCAKERBSA-N -1 1 333.339 -0.795 20 0 EBADMM C=C/C(C)=C\CC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001099953556 819961822 /nfs/dbraw/zinc/96/18/22/819961822.db2.gz BUXUBYPBRJMUKD-JUPNIWIKSA-N -1 1 335.408 -0.318 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ccon1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001287570528 820075148 /nfs/dbraw/zinc/07/51/48/820075148.db2.gz QFTTZVZZBKGERG-QMMMGPOBSA-N -1 1 345.319 -0.704 20 0 EBADMM CCN(CCNC(=O)c1cc(=O)n2[n-]cnc2n1)c1cc(C)ncn1 ZINC001100567212 820476308 /nfs/dbraw/zinc/47/63/08/820476308.db2.gz BHLVCRMCDDICOH-UHFFFAOYSA-N -1 1 342.363 -0.228 20 0 EBADMM CCCN1C[C@H](C(=O)NCCNC(=O)c2ncccc2[O-])CC1=O ZINC001292666682 820581533 /nfs/dbraw/zinc/58/15/33/820581533.db2.gz UQHHBQULTGLFCY-LLVKDONJSA-N -1 1 334.376 -0.108 20 0 EBADMM O=C(Cc1nc2ncccn2n1)NCCNC(=O)c1ncccc1[O-] ZINC001292666885 820581713 /nfs/dbraw/zinc/58/17/13/820581713.db2.gz YKMDXQDMSQOSFA-UHFFFAOYSA-N -1 1 341.331 -0.686 20 0 EBADMM C[C@@H]1CC[C@@H](C(=O)NCCNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001292736663 820598720 /nfs/dbraw/zinc/59/87/20/820598720.db2.gz CPYKOJJEXWYRAJ-VXGBXAGGSA-N -1 1 336.392 -0.405 20 0 EBADMM CCNC(=O)CN1C[C@@H]2CN(C(=O)c3n[nH]c(C)c3[O-])C[C@]2(C)C1 ZINC001101196493 820717929 /nfs/dbraw/zinc/71/79/29/820717929.db2.gz KTSPVFHTTYJJRG-BZNIZROVSA-N -1 1 335.408 -0.046 20 0 EBADMM CNC(=O)C1(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC001293590945 820793596 /nfs/dbraw/zinc/79/35/96/820793596.db2.gz OPKGEQUBPFXXGX-SNVBAGLBSA-N -1 1 332.360 -0.356 20 0 EBADMM CN(CCNc1cc(F)ncn1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001101699321 820883578 /nfs/dbraw/zinc/88/35/78/820883578.db2.gz UGAQREHEOBUUPC-UHFFFAOYSA-N -1 1 332.299 -0.469 20 0 EBADMM Cc1ccnc(NCCN(C)C(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001101699221 820884517 /nfs/dbraw/zinc/88/45/17/820884517.db2.gz PDKQDIAGXWANNR-UHFFFAOYSA-N -1 1 328.336 -0.300 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CCN(C(=O)c2ccoc2)C1 ZINC001295000882 821034819 /nfs/dbraw/zinc/03/48/19/821034819.db2.gz GKPCTADHGGLWCU-LBPRGKRZSA-N -1 1 346.343 -0.449 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)[C@@H]1CC1(F)F ZINC001295569503 821126524 /nfs/dbraw/zinc/12/65/24/821126524.db2.gz NENXQOXGQXGUHB-BDAKNGLRSA-N -1 1 344.318 -0.797 20 0 EBADMM C[C@H](CNC(=O)[C@H]1C[C@H]2C[C@H]2C1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001295617241 821136149 /nfs/dbraw/zinc/13/61/49/821136149.db2.gz ZLKYIRKTDBIFJP-NDBYEHHHSA-N -1 1 348.403 -0.406 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)c1ccc(=O)[nH]n1 ZINC001102649876 821180022 /nfs/dbraw/zinc/18/00/22/821180022.db2.gz WMWYLFSNBXIUQV-NXEZZACHSA-N -1 1 347.379 -0.106 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)[C@H]1CCC(=O)N1 ZINC001102777305 821209920 /nfs/dbraw/zinc/20/99/20/821209920.db2.gz FBXKTUUIADZFGZ-GMTAPVOTSA-N -1 1 336.396 -0.637 20 0 EBADMM C[C@@H](C(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C)n1cncn1 ZINC001102879810 821225131 /nfs/dbraw/zinc/22/51/31/821225131.db2.gz OLJBZNDOTCVWLA-GRYCIOLGSA-N -1 1 348.411 -0.063 20 0 EBADMM O=C(c1ccc2n[nH]c(=O)n2c1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC001296689273 821277654 /nfs/dbraw/zinc/27/76/54/821277654.db2.gz JELZYASIDGYFFH-MRVPVSSYSA-N -1 1 332.349 -0.122 20 0 EBADMM O=C(CC(=O)N1CCSC[C@H]1c1nn[n-]n1)NCC(F)(F)F ZINC001296690974 821278045 /nfs/dbraw/zinc/27/80/45/821278045.db2.gz SDDWIOMDKIURRI-LURJTMIESA-N -1 1 338.315 -0.115 20 0 EBADMM CC[C@@H](CNC(=O)CC(C)C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001297349616 821361765 /nfs/dbraw/zinc/36/17/65/821361765.db2.gz RXYKSSNUUPKNDW-LBPRGKRZSA-N -1 1 338.408 -0.016 20 0 EBADMM C[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)CNc1cc(F)ncn1 ZINC001104037221 821391570 /nfs/dbraw/zinc/39/15/70/821391570.db2.gz QUYPEQNMZIYIFX-QMMMGPOBSA-N -1 1 346.326 -0.175 20 0 EBADMM Cc1ccnc(NC[C@@H](C)CNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001104037243 821391819 /nfs/dbraw/zinc/39/18/19/821391819.db2.gz RDENTUPKUDCTMC-VIFPVBQESA-N -1 1 342.363 -0.006 20 0 EBADMM C[C@@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)CNc1nccnc1F ZINC001104036648 821391879 /nfs/dbraw/zinc/39/18/79/821391879.db2.gz LAIIFDWLCBAPAZ-MRVPVSSYSA-N -1 1 346.326 -0.175 20 0 EBADMM Cc1[nH]nc(C(=O)N2C[C@@H](C)[C@H](CCNCc3nnnn3C)C2)c1[O-] ZINC001104076252 821398507 /nfs/dbraw/zinc/39/85/07/821398507.db2.gz JPODDLAXXSKZFP-MWLCHTKSSA-N -1 1 348.411 -0.165 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)CNC(=O)C1CCC1 ZINC001297709544 821405304 /nfs/dbraw/zinc/40/53/04/821405304.db2.gz BLIGQILGZRACRC-NSHDSACASA-N -1 1 336.392 -0.405 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)CNC(=O)C1CCC1 ZINC001297709543 821405416 /nfs/dbraw/zinc/40/54/16/821405416.db2.gz BLIGQILGZRACRC-LLVKDONJSA-N -1 1 336.392 -0.405 20 0 EBADMM C[C@]1(C(=O)NC/C=C/CNC(=O)c2ncccc2[O-])CNC(=O)C1 ZINC001298357345 821515764 /nfs/dbraw/zinc/51/57/64/821515764.db2.gz UPFITBXZUDQORH-WOMSROEHSA-N -1 1 332.360 -0.284 20 0 EBADMM CC1(CC(=O)NC/C=C\CNC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001298476039 821555516 /nfs/dbraw/zinc/55/55/16/821555516.db2.gz VUAFKYBLKDXPPW-IHWYPQMZSA-N -1 1 348.403 -0.095 20 0 EBADMM CC1(CC(=O)NC/C=C/CNC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001298476040 821556112 /nfs/dbraw/zinc/55/61/12/821556112.db2.gz VUAFKYBLKDXPPW-NSCUHMNNSA-N -1 1 348.403 -0.095 20 0 EBADMM C[C@H](CNC(=O)CC(C)(F)F)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001298650814 821581450 /nfs/dbraw/zinc/58/14/50/821581450.db2.gz LYYOEGFQUQCZSF-SECBINFHSA-N -1 1 346.334 -0.407 20 0 EBADMM CCCCC(=O)NC[C@@H]1CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001298978609 821619366 /nfs/dbraw/zinc/61/93/66/821619366.db2.gz ZVEFKKTWKOTMDI-LBPRGKRZSA-N -1 1 336.392 -0.166 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC[C@@H]1CNC(=O)CC(N)=O ZINC001299001820 821624317 /nfs/dbraw/zinc/62/43/17/821624317.db2.gz VBXYODVEYUTHAC-SNVBAGLBSA-N -1 1 349.391 -0.180 20 0 EBADMM C/C=C(\C)C(=O)N1CC[C@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001299063764 821640148 /nfs/dbraw/zinc/64/01/48/821640148.db2.gz ANACXICYYDHCFB-JPOQUURFSA-N -1 1 334.376 -0.390 20 0 EBADMM C/C=C(/C)C(=O)N1CC[C@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001299063765 821640193 /nfs/dbraw/zinc/64/01/93/821640193.db2.gz ANACXICYYDHCFB-LCKPPEIMSA-N -1 1 334.376 -0.390 20 0 EBADMM C[C@@]1(NC(=O)CC2CC2)CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001299501260 821700189 /nfs/dbraw/zinc/70/01/89/821700189.db2.gz OESYIBAHJPVEFL-QGZVFWFLSA-N -1 1 348.403 -0.166 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1COCCC1(OC)OC ZINC001301156422 821745552 /nfs/dbraw/zinc/74/55/52/821745552.db2.gz IBDUKTYZLGHHQU-SSDOTTSWSA-N -1 1 329.309 -0.596 20 0 EBADMM CN(CCN(C)c1ncccn1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001105428044 821747552 /nfs/dbraw/zinc/74/75/52/821747552.db2.gz QSMFUHOXLOCFAD-UHFFFAOYSA-N -1 1 332.364 -0.689 20 0 EBADMM O=C(Cn1nnc2ccccc2c1=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001302936335 821753087 /nfs/dbraw/zinc/75/30/87/821753087.db2.gz HIPYOESVKDZFSB-VIFPVBQESA-N -1 1 326.320 -0.679 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1C[C@@H](C)[C@H](CS(N)(=O)=O)C1 ZINC001304203351 821763342 /nfs/dbraw/zinc/76/33/42/821763342.db2.gz PULNZLPBGQLVIA-SVRRBLITSA-N -1 1 346.434 -0.224 20 0 EBADMM Cc1nc(CNC(=O)c2cc(=O)n(-c3nc(C)cc(C)n3)[n-]2)n[nH]1 ZINC001304620186 821764333 /nfs/dbraw/zinc/76/43/33/821764333.db2.gz ASTLIGGOJGDVJM-UHFFFAOYSA-N -1 1 328.336 -0.071 20 0 EBADMM COC(=O)CNC(=O)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC001309445125 821777811 /nfs/dbraw/zinc/77/78/11/821777811.db2.gz RCABMOSDOJSOLV-UHFFFAOYSA-N -1 1 339.732 -0.558 20 0 EBADMM O=C(N[C@@H]1CCCN(CCOCC2CC2)C1)c1n[nH]c(=O)[n-]c1=O ZINC001316944531 821927397 /nfs/dbraw/zinc/92/73/97/821927397.db2.gz LIXPRWUTCNBNDX-LLVKDONJSA-N -1 1 337.380 -0.096 20 0 EBADMM CNC(=O)NCC(=O)NCCC[C@@H]1CCCN1Cc1n[nH]c(=O)[n-]1 ZINC001317424725 822106343 /nfs/dbraw/zinc/10/63/43/822106343.db2.gz WHGYIZUIVBWBRL-SNVBAGLBSA-N -1 1 339.400 -0.700 20 0 EBADMM C[C@H](c1ncccn1)N1CC(NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001318070662 822253127 /nfs/dbraw/zinc/25/31/27/822253127.db2.gz DKXVVRJRAFLUGI-LLVKDONJSA-N -1 1 344.375 -0.722 20 0 EBADMM CS(=O)(=O)Nc1cccc(CC(=O)NN2CC(=O)[N-]C2=O)c1 ZINC001318372735 822301661 /nfs/dbraw/zinc/30/16/61/822301661.db2.gz KVMYKIWVSXKGCF-UHFFFAOYSA-N -1 1 326.334 -0.816 20 0 EBADMM CS(=O)(=O)[C@@H]1CCC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001318664457 822349666 /nfs/dbraw/zinc/34/96/66/822349666.db2.gz FLNKEPQNKCCKFJ-WDEREUQCSA-N -1 1 343.405 -0.601 20 0 EBADMM Cc1ccc(NC/C=C\CNC(=O)CCn2cc[n-]c(=O)c2=O)nn1 ZINC001107258239 823830439 /nfs/dbraw/zinc/83/04/39/823830439.db2.gz ZQYZBHWADOKOBS-IHWYPQMZSA-N -1 1 344.375 -0.190 20 0 EBADMM CS[C@@H](C)C(=O)NC[C@@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107764177 823946930 /nfs/dbraw/zinc/94/69/30/823946930.db2.gz SUSOXHBOTWHWMF-HZMBPMFUSA-N -1 1 343.453 -0.433 20 0 EBADMM Cc1cc(CC(=O)NC[C@@]2(C)CN(Cc3n[nH]c(=O)[n-]3)CCO2)[nH]n1 ZINC001107860185 824001861 /nfs/dbraw/zinc/00/18/61/824001861.db2.gz MIVLPBPNQIJCCW-HNNXBMFYSA-N -1 1 349.395 -0.508 20 0 EBADMM C[C@]1(CNC(=O)c2ncccc2[O-])CN(CC(=O)NC2CC2)CCO1 ZINC001107890584 824021193 /nfs/dbraw/zinc/02/11/93/824021193.db2.gz QCGFZDISPYLUTB-KRWDZBQOSA-N -1 1 348.403 -0.114 20 0 EBADMM C[C@]1(CNC(=O)c2ncccc2[O-])CN([C@H]2CCNC2=O)CCO1 ZINC001107890521 824021397 /nfs/dbraw/zinc/02/13/97/824021397.db2.gz ORUHPUVHAYPVGO-ZBEGNZNMSA-N -1 1 334.376 -0.504 20 0 EBADMM Cn1[n-]c(CN2CCO[C@](C)(CNC(=O)[C@H]3CC34CC4)C2)nc1=O ZINC001108240511 824177386 /nfs/dbraw/zinc/17/73/86/824177386.db2.gz JVEMUYXTIXNELS-IAQYHMDHSA-N -1 1 335.408 -0.384 20 0 EBADMM Cn1[n-]c(CN2CCO[C@](C)(CNC(=O)[C@@H]3C[C@H]3C3CC3)C2)nc1=O ZINC001108268966 824188036 /nfs/dbraw/zinc/18/80/36/824188036.db2.gz BMSVHCQJTXHRKI-OGHNNQOOSA-N -1 1 349.435 -0.138 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@]1(C)CN(CCF)CCO1 ZINC001108340585 824227825 /nfs/dbraw/zinc/22/78/25/824227825.db2.gz FCYBRPVBMHCRTH-CQSZACIVSA-N -1 1 344.343 -0.313 20 0 EBADMM Cc1cc(NCCCN(C)C(=O)CCn2cc[n-]c(=O)c2=O)ncn1 ZINC001109480374 824549349 /nfs/dbraw/zinc/54/93/49/824549349.db2.gz HTJZQABHOBQAEE-UHFFFAOYSA-N -1 1 346.391 -0.014 20 0 EBADMM O=C(NCC1(Nc2nccn3nnnc23)CC1)c1ncccc1[O-] ZINC001110097769 824654829 /nfs/dbraw/zinc/65/48/29/824654829.db2.gz FUCWRQXYEJLQID-UHFFFAOYSA-N -1 1 326.320 -0.006 20 0 EBADMM CNC(=O)NC(=O)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncccc1[O-])C2 ZINC001110468030 824736352 /nfs/dbraw/zinc/73/63/52/824736352.db2.gz UMTGBCKWHYOUJX-MXWKQRLJSA-N -1 1 347.375 -0.422 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)CCc2ccon2)C3)nc1=O ZINC001110808437 824795434 /nfs/dbraw/zinc/79/54/34/824795434.db2.gz GKFIOKCHULFBFI-UPJWGTAASA-N -1 1 346.391 -0.049 20 0 EBADMM CN(CCCN(C)c1ncccn1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001112102832 825387019 /nfs/dbraw/zinc/38/70/19/825387019.db2.gz CBPYZSLTSJPAPS-UHFFFAOYSA-N -1 1 346.391 -0.298 20 0 EBADMM CCCCOCCN1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001113534754 825911338 /nfs/dbraw/zinc/91/13/38/825911338.db2.gz RCKMAARNAIKOQM-UHFFFAOYSA-N -1 1 348.407 -0.008 20 0 EBADMM Cc1nsc(N(C)[C@H](C)CNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001113657843 825968045 /nfs/dbraw/zinc/96/80/45/825968045.db2.gz YJDXCQJCIJFTOT-SSDOTTSWSA-N -1 1 348.392 -0.168 20 0 EBADMM COCCC(=O)N[C@@H]1[C@H]2CN(Cc3cc(=O)n4[n-]ccc4n3)C[C@H]21 ZINC001113762710 826013814 /nfs/dbraw/zinc/01/38/14/826013814.db2.gz XXHTUVGUSGEGRY-ATCWAGBWSA-N -1 1 331.376 -0.395 20 0 EBADMM COCCCC(=O)N[C@@H]1[C@H]2CN(Cc3cc(=O)n4[n-]ccc4n3)C[C@H]21 ZINC001113934224 826080412 /nfs/dbraw/zinc/08/04/12/826080412.db2.gz CVOGVJJTVTUUQA-LAQFHYBYSA-N -1 1 345.403 -0.004 20 0 EBADMM CC[C@@H](OC)C(=O)N[C@@H]1[C@H]2CN(Cc3cc(=O)n4[n-]ccc4n3)C[C@H]21 ZINC001114009644 826112422 /nfs/dbraw/zinc/11/24/22/826112422.db2.gz PCYRYUJSXGPQBG-IATRGZMQSA-N -1 1 345.403 -0.006 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C(NC(=O)/C=C/c4ccc[nH]4)[C@@H]3C2)nc1=O ZINC001114183976 826167014 /nfs/dbraw/zinc/16/70/14/826167014.db2.gz IGVMAMSGINXRAF-FVGQLSLCSA-N -1 1 328.376 -0.304 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CCc2cn[nH]c2)nc1=O ZINC001114949935 826379965 /nfs/dbraw/zinc/37/99/65/826379965.db2.gz RXKRDLKDPWNRAU-YABSGUDNSA-N -1 1 331.380 -0.989 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)COc2ccsc2)nc1=O ZINC001115128583 826429069 /nfs/dbraw/zinc/42/90/69/826429069.db2.gz OMZNSOAVVWNKTE-YABSGUDNSA-N -1 1 349.416 -0.205 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CCCn2cccc2)nc1=O ZINC001115277607 826491930 /nfs/dbraw/zinc/49/19/30/826491930.db2.gz DJSMKMGFYOGIDR-VIKVFOODSA-N -1 1 344.419 -0.063 20 0 EBADMM C[C@H](CN(C)C(=O)c1cc(=O)n2[n-]cnc2n1)Nc1ncccn1 ZINC001115778165 826584507 /nfs/dbraw/zinc/58/45/07/826584507.db2.gz SLPRWTYSSYTEOW-SECBINFHSA-N -1 1 328.336 -0.220 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@H]1CCCCS1(=O)=O ZINC001116549937 826626183 /nfs/dbraw/zinc/62/61/83/826626183.db2.gz JZLXSIUNIRMWLL-SNVBAGLBSA-N -1 1 329.378 -0.990 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC001116566032 826627091 /nfs/dbraw/zinc/62/70/91/826627091.db2.gz MLWIXADSQWQQBG-SECBINFHSA-N -1 1 333.348 -0.010 20 0 EBADMM Cn1c(=O)ccc2ccc(NC(=O)C(=O)NCc3nn[n-]n3)cc21 ZINC001116845321 826645915 /nfs/dbraw/zinc/64/59/15/826645915.db2.gz PPNBNUJBNXOPAZ-UHFFFAOYSA-N -1 1 327.304 -0.694 20 0 EBADMM C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C1(S(C)(=O)=O)CC1 ZINC001117259356 826705368 /nfs/dbraw/zinc/70/53/68/826705368.db2.gz RKGZGLACSCIHDV-SECBINFHSA-N -1 1 329.378 -0.991 20 0 EBADMM O=C([N-]CCNC(=O)[C@@H]1COCCO1)C(F)(F)Br ZINC001181004199 833128035 /nfs/dbraw/zinc/12/80/35/833128035.db2.gz QZWXHDHJZLEOBC-LURJTMIESA-N -1 1 331.113 -0.378 20 0 EBADMM CN(C(=O)C(=O)NCCc1c(F)cc([O-])cc1F)[C@@H]1CCNC1=O ZINC001119857360 827153906 /nfs/dbraw/zinc/15/39/06/827153906.db2.gz SGBLZDAMRZOFCB-GFCCVEGCSA-N -1 1 341.314 -0.324 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)NCC[N-]C(=O)C(F)(F)Br ZINC001182393921 833230092 /nfs/dbraw/zinc/23/00/92/833230092.db2.gz XONHNXMQKKMTBD-UHNVWZDZSA-N -1 1 328.113 -0.672 20 0 EBADMM Cn1c(-c2noc(=O)[n-]2)nnc1N1CCN(c2ccccn2)CC1 ZINC001122957938 827673939 /nfs/dbraw/zinc/67/39/39/827673939.db2.gz WTFCHEOYWBUNJJ-UHFFFAOYSA-N -1 1 328.336 -0.120 20 0 EBADMM Cc1n[nH]c(C(=O)NCCNCC(=O)Nc2nncs2)c1[O-] ZINC001125734701 828129231 /nfs/dbraw/zinc/12/92/31/828129231.db2.gz WZDFMZRYIZBQMU-UHFFFAOYSA-N -1 1 325.354 -0.767 20 0 EBADMM O=C(COCCOc1ccccc1)NCCNCc1n[nH]c(=O)[n-]1 ZINC001130688689 828914496 /nfs/dbraw/zinc/91/44/96/828914496.db2.gz WVHOOLUQNMAKHA-UHFFFAOYSA-N -1 1 335.364 -0.188 20 0 EBADMM COc1ccc(COCC(=O)NCCNCc2n[nH]c(=O)[n-]2)cc1 ZINC001130718144 828921421 /nfs/dbraw/zinc/92/14/21/828921421.db2.gz GFMKDMCLJXMHPS-UHFFFAOYSA-N -1 1 335.364 -0.059 20 0 EBADMM Cc1cnc([C@H](C)NCCNC(=O)CCc2n[nH]c(=O)[n-]c2=O)cn1 ZINC001130757098 828930061 /nfs/dbraw/zinc/93/00/61/828930061.db2.gz QLSGSLMOMQPLEV-JTQLQIEISA-N -1 1 347.379 -0.219 20 0 EBADMM CON(C)S(=O)(=O)c1cccc(C(=O)N(C)c2nn[n-]n2)c1 ZINC001130969342 829005041 /nfs/dbraw/zinc/00/50/41/829005041.db2.gz KIHUTKBNHVDQDI-UHFFFAOYSA-N -1 1 326.338 -0.342 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cc(=O)c2ccccc2[nH]1 ZINC001130991085 829011844 /nfs/dbraw/zinc/01/18/44/829011844.db2.gz UHBMZUNDTFVRAF-UHFFFAOYSA-N -1 1 328.332 -0.129 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1ccc2c(c1)CCC(=O)N2 ZINC001131083285 829038966 /nfs/dbraw/zinc/03/89/66/829038966.db2.gz RYNWDYQALBAVEA-UHFFFAOYSA-N -1 1 330.348 -0.085 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)[C@@H]1CCCN1c1nccs1 ZINC001131805878 829223806 /nfs/dbraw/zinc/22/38/06/829223806.db2.gz SHOGBJYPTVZZLL-VIFPVBQESA-N -1 1 337.409 -0.158 20 0 EBADMM CNC(=O)NC(=O)CN1C[C@@H](NC(=O)c2ncccc2[O-])CC[C@H]1C ZINC001131972225 829286160 /nfs/dbraw/zinc/28/61/60/829286160.db2.gz QRUZQVWGXGKYNZ-MNOVXSKESA-N -1 1 349.391 -0.175 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2ncccc2[O-])CN1CC(=O)NC(N)=O ZINC001131971990 829286486 /nfs/dbraw/zinc/28/64/86/829286486.db2.gz OCGKSYSCUOTXCE-NXEZZACHSA-N -1 1 335.364 -0.435 20 0 EBADMM C[C@@H]1O[C@@H](OCCNC(=O)c2ccc([O-])c(F)c2)[C@@H](O)[C@H](O)[C@@H]1O ZINC001186242355 833393134 /nfs/dbraw/zinc/39/31/34/833393134.db2.gz IQKYHXKJUHIBRH-BBOGJPIGSA-N -1 1 345.323 -0.895 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)CCn2ccnc2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001132221381 829383701 /nfs/dbraw/zinc/38/37/01/829383701.db2.gz GSADZQNLZQFJLS-CHWSQXEVSA-N -1 1 347.423 -0.136 20 0 EBADMM COc1cccc(CNCCNC(=O)c2cnc([O-])n(C)c2=O)n1 ZINC001132242661 829392781 /nfs/dbraw/zinc/39/27/81/829392781.db2.gz XOTZJRDJXUCVFB-UHFFFAOYSA-N -1 1 333.348 -0.591 20 0 EBADMM C[C@H](C[C@H](C)NCc1ncnn1C)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001134864283 829861145 /nfs/dbraw/zinc/86/11/45/829861145.db2.gz UOTYMIIHQIAKHN-JGVFFNPUSA-N -1 1 336.356 -0.902 20 0 EBADMM Cc1nc(N(C)C)sc1C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001134869387 829862263 /nfs/dbraw/zinc/86/22/63/829862263.db2.gz SEONCHLJEFSQHB-UHFFFAOYSA-N -1 1 325.398 -0.139 20 0 EBADMM Cc1noc([C@H](C)NCCNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001135391068 829962252 /nfs/dbraw/zinc/96/22/52/829962252.db2.gz RZUDMHDPOKQBPT-VIFPVBQESA-N -1 1 336.352 -0.915 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1[nH]ncc1Br ZINC001135462126 829969727 /nfs/dbraw/zinc/96/97/27/829969727.db2.gz CZFIMOWQSNCSOA-UHFFFAOYSA-N -1 1 330.146 -0.485 20 0 EBADMM COC(=O)[C@H]1CCS(=O)(=O)C12CN(Cc1ccncc1[O-])C2 ZINC001140281026 830106830 /nfs/dbraw/zinc/10/68/30/830106830.db2.gz UDXCSXRNMAEVEI-LLVKDONJSA-N -1 1 326.374 -0.051 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C2CS(=O)(=O)C2)c1 ZINC001141758700 830114593 /nfs/dbraw/zinc/11/45/93/830114593.db2.gz MJNDOHXJMUPJNU-UHFFFAOYSA-N -1 1 334.375 -0.717 20 0 EBADMM O=C(CCNC(=O)C(=O)c1ccco1)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001142287677 830125750 /nfs/dbraw/zinc/12/57/50/830125750.db2.gz PKSMUENPOMTMAD-JTQLQIEISA-N -1 1 348.315 -0.496 20 0 EBADMM NC(=O)[C@@H]1CCCN1C(=O)[C@H](Cc1cnc[nH]1)[N-]C(=O)C(F)(F)F ZINC001142770610 830135683 /nfs/dbraw/zinc/13/56/83/830135683.db2.gz HVFLUAZOAFOPMQ-IUCAKERBSA-N -1 1 347.297 -0.524 20 0 EBADMM CC(C)(C)OC(=O)NCCNC(=O)C=CC(=O)NCc1nn[n-]n1 ZINC001146364871 830194722 /nfs/dbraw/zinc/19/47/22/830194722.db2.gz AJZRQWGMXLZITJ-SNAWJCMRSA-N -1 1 339.356 -0.987 20 0 EBADMM Cc1ncc(C(=O)NCCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])[nH]1 ZINC001146876529 830235520 /nfs/dbraw/zinc/23/55/20/830235520.db2.gz NCRXEWDMGRPKCY-JTQLQIEISA-N -1 1 334.336 -0.627 20 0 EBADMM CS(=O)(=O)CCOCCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC001147081641 830282980 /nfs/dbraw/zinc/28/29/80/830282980.db2.gz HAAQRRHVXWDMAK-UHFFFAOYSA-N -1 1 344.418 -0.191 20 0 EBADMM Cn1ccc(C(=O)NCCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])n1 ZINC001147358564 830326243 /nfs/dbraw/zinc/32/62/43/830326243.db2.gz PPNGXENXEADGFS-NSHDSACASA-N -1 1 334.336 -0.925 20 0 EBADMM CCc1nnc([C@@H](C)NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)o1 ZINC001147726492 830402492 /nfs/dbraw/zinc/40/24/92/830402492.db2.gz WIXBFFBMHAYXNH-MRVPVSSYSA-N -1 1 346.351 -0.556 20 0 EBADMM CCc1nnc([C@H](C)NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)o1 ZINC001147726493 830402516 /nfs/dbraw/zinc/40/25/16/830402516.db2.gz WIXBFFBMHAYXNH-QMMMGPOBSA-N -1 1 346.351 -0.556 20 0 EBADMM Cc1ncc(CO)c(C(=O)NC2CC3(C2)CS(=O)(=O)C3)c1[O-] ZINC001147843235 830420168 /nfs/dbraw/zinc/42/01/68/830420168.db2.gz CCAWSQSOOFHCAU-UHFFFAOYSA-N -1 1 326.374 -0.105 20 0 EBADMM C[C@H](C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1)C1CC1 ZINC001149472165 830677139 /nfs/dbraw/zinc/67/71/39/830677139.db2.gz CMUTYKFEPAJAHR-WCQYABFASA-N -1 1 337.424 -0.138 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@@H](CNC(=O)C=C3CCC3)C2)nc1=O ZINC001149558615 830696171 /nfs/dbraw/zinc/69/61/71/830696171.db2.gz HHRUITJSHKNJAP-ZDUSSCGKSA-N -1 1 335.408 -0.074 20 0 EBADMM O=C(CN1CCCC1)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)CCCO1 ZINC001150715380 830968557 /nfs/dbraw/zinc/96/85/57/830968557.db2.gz KFSZEZWRRBYSKP-GFCCVEGCSA-N -1 1 338.412 -0.687 20 0 EBADMM C[C@H]1Oc2ccccc2O[C@H]1C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001150733981 830974292 /nfs/dbraw/zinc/97/42/92/830974292.db2.gz QUAOVYKLWLXSFM-NOZJJQNGSA-N -1 1 333.348 -0.055 20 0 EBADMM COCCOc1ncccc1C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001152334614 831153342 /nfs/dbraw/zinc/15/33/42/831153342.db2.gz KPARFDVERYVEMA-UHFFFAOYSA-N -1 1 336.352 -0.550 20 0 EBADMM O=C(Cn1nnc2ccccc2c1=O)N1CCC(c2nn[n-]n2)CC1 ZINC001152485820 831166928 /nfs/dbraw/zinc/16/69/28/831166928.db2.gz BTJPICRPWOMKSR-UHFFFAOYSA-N -1 1 340.347 -0.289 20 0 EBADMM C[C@H](CC(=O)NCCNCc1n[nH]c(=O)[n-]1)NC(=O)C1CCCC1 ZINC001153641117 831252309 /nfs/dbraw/zinc/25/23/09/831252309.db2.gz BTNMQPBSDHSWDR-SNVBAGLBSA-N -1 1 338.412 -0.199 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1ccccc1-n1ccnn1 ZINC001153741143 831264483 /nfs/dbraw/zinc/26/44/83/831264483.db2.gz DRSYQUNBDNIWJX-UHFFFAOYSA-N -1 1 328.336 -0.389 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N(C)Cc1nnc2n1CCNC2=O ZINC001155174113 831389811 /nfs/dbraw/zinc/38/98/11/831389811.db2.gz JYHJWFHUDQCIMK-UHFFFAOYSA-N -1 1 349.376 -0.614 20 0 EBADMM Cn1cc(C(=O)NCCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)cn1 ZINC001159244425 831727531 /nfs/dbraw/zinc/72/75/31/831727531.db2.gz GDSPRHUUJLDBAG-UHFFFAOYSA-N -1 1 345.407 -0.206 20 0 EBADMM Cc1nnc(CNCCCNC(=O)c2n[nH]c(=O)[n-]c2=O)n1C1CC1 ZINC001161872805 831998823 /nfs/dbraw/zinc/99/88/23/831998823.db2.gz OAELEMYJQGEFBV-UHFFFAOYSA-N -1 1 348.367 -0.573 20 0 EBADMM CC(C)Cn1[n-]c(CC(=O)NCCCNCc2nncn2C)cc1=O ZINC001161940925 832004516 /nfs/dbraw/zinc/00/45/16/832004516.db2.gz FFCDSXLNCBAPPQ-UHFFFAOYSA-N -1 1 349.439 -0.201 20 0 EBADMM O=C(NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)[C@H]1COCCO1 ZINC001163516135 832118682 /nfs/dbraw/zinc/11/86/82/832118682.db2.gz VFEIQDCDYXFZCG-SNVBAGLBSA-N -1 1 325.369 -0.698 20 0 EBADMM CN(C(=O)CC(N)=O)[C@@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001350688885 832185609 /nfs/dbraw/zinc/18/56/09/832185609.db2.gz OPDVGXGDFHSFIA-SNVBAGLBSA-N -1 1 347.375 -0.392 20 0 EBADMM C[C@]1(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)CNC(=O)C1 ZINC001166341533 832257210 /nfs/dbraw/zinc/25/72/10/832257210.db2.gz GCFKSWLXVVSIOU-CQSZACIVSA-N -1 1 336.396 -0.587 20 0 EBADMM Cn1cc(CNCCCNC(=O)c2c[n-]n3c2nccc3=O)nn1 ZINC001167783499 832372979 /nfs/dbraw/zinc/37/29/79/832372979.db2.gz GARSORLBDGZOPA-UHFFFAOYSA-N -1 1 330.352 -0.939 20 0 EBADMM CCOCCOCC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001168360853 832416293 /nfs/dbraw/zinc/41/62/93/832416293.db2.gz HAFSSJBWOITADJ-UHFFFAOYSA-N -1 1 341.412 -0.061 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N[C@H]1C[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001353703110 832441551 /nfs/dbraw/zinc/44/15/51/832441551.db2.gz HMJGPSXTWHVJCX-WISYIIOYSA-N -1 1 334.376 -0.654 20 0 EBADMM NS(=O)(=O)c1ccc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)nc1F ZINC001170216391 832493276 /nfs/dbraw/zinc/49/32/76/832493276.db2.gz KMDMMHYBVIYAKF-UHFFFAOYSA-N -1 1 341.284 -0.359 20 0 EBADMM C[C@@H]1CN(C(=O)[C@]2(C(=O)[O-])CNCCO2)CC[C@H](C(F)(F)F)O1 ZINC001354580539 832504399 /nfs/dbraw/zinc/50/43/99/832504399.db2.gz JHGLMDWDERVWTP-LNLATYFQSA-N -1 1 340.298 -0.002 20 0 EBADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)[C@]1(C)CCNC1=O ZINC001356032616 832595645 /nfs/dbraw/zinc/59/56/45/832595645.db2.gz KJNQTCHTQPTSMD-MRXNPFEDSA-N -1 1 334.376 -0.108 20 0 EBADMM O=C(CN1C(=O)c2ccccc2C1=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001175553198 832629860 /nfs/dbraw/zinc/62/98/60/832629860.db2.gz UIQGCIDXAYLJBT-VIFPVBQESA-N -1 1 326.316 -0.188 20 0 EBADMM CC(C)CN(Cc1nn[n-]n1)C(=O)CCN1C(=O)CCNC1=S ZINC001176593138 832680277 /nfs/dbraw/zinc/68/02/77/832680277.db2.gz CJAFAZUYQIKGFY-UHFFFAOYSA-N -1 1 339.425 -0.319 20 0 EBADMM C[C@]1(C2CC2)NC(=O)N(CC(=O)NC2(c3nn[n-]n3)CCC2)C1=O ZINC001176726065 832687745 /nfs/dbraw/zinc/68/77/45/832687745.db2.gz ONASUKHJBBJEMD-CYBMUJFWSA-N -1 1 333.352 -0.584 20 0 EBADMM CN(C[C@H]1CN(C(=O)OC(C)(C)C)CCO1)C(=O)Cc1nn[n-]n1 ZINC001176839639 832693515 /nfs/dbraw/zinc/69/35/15/832693515.db2.gz AQNAHXTYXHMNCZ-JTQLQIEISA-N -1 1 340.384 -0.164 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC2(C[C@H](c3cccnc3)C(=O)N2)CC1 ZINC001176839644 832693549 /nfs/dbraw/zinc/69/35/49/832693549.db2.gz AINIYDGZFKKZTI-GFCCVEGCSA-N -1 1 341.375 -0.198 20 0 EBADMM O=C(Cc1nn[n-]n1)N1C[C@@H](C(=O)N2CCCC2)C[C@H]2OCC[C@H]21 ZINC001176840236 832694123 /nfs/dbraw/zinc/69/41/23/832694123.db2.gz MGABISUWOUJVNI-QJPTWQEYSA-N -1 1 334.380 -0.629 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC(N2CCCCS2(=O)=O)CC1 ZINC001176842764 832694483 /nfs/dbraw/zinc/69/44/83/832694483.db2.gz RMBPITRAYPYRAX-UHFFFAOYSA-N -1 1 328.398 -0.841 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@H]2O[C@@H](C(=O)N3CCCO3)CC[C@H]21 ZINC001176840505 832694497 /nfs/dbraw/zinc/69/44/97/832694497.db2.gz QNPVKOOYFWOLIP-GMTAPVOTSA-N -1 1 336.352 -0.945 20 0 EBADMM Cn1cc(N2C[C@@]3(CCN(C(=O)Cc4nn[n-]n4)C3)CC2=O)cn1 ZINC001176841249 832694531 /nfs/dbraw/zinc/69/45/31/832694531.db2.gz VDRYPJSRQMTJHP-AWEZNQCLSA-N -1 1 330.352 -0.869 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCc2ncc(Cn3cccn3)n2CC1 ZINC001176840334 832694568 /nfs/dbraw/zinc/69/45/68/832694568.db2.gz IONHGHSGYZRQAP-UHFFFAOYSA-N -1 1 327.352 -0.732 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@H]2[C@H]1CC(=O)N2Cc1cccnc1 ZINC001176840615 832694609 /nfs/dbraw/zinc/69/46/09/832694609.db2.gz UHILRMPWGKGWBC-NWDGAFQWSA-N -1 1 327.348 -0.461 20 0 EBADMM Cn1cc(N2C[C@]3(CCN(C(=O)Cc4nn[n-]n4)C3)CC2=O)cn1 ZINC001176841252 832694834 /nfs/dbraw/zinc/69/48/34/832694834.db2.gz VDRYPJSRQMTJHP-CQSZACIVSA-N -1 1 330.352 -0.869 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC(N2CCN(c3ccccn3)CC2)C1 ZINC001176839977 832694865 /nfs/dbraw/zinc/69/48/65/832694865.db2.gz FLZONTXEPBAKNH-UHFFFAOYSA-N -1 1 328.380 -0.830 20 0 EBADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)[C@@H]1CC(=O)N(c2nccs2)C1 ZINC001177325441 832774561 /nfs/dbraw/zinc/77/45/61/832774561.db2.gz KNZOVPCPBMNPEF-RXMQYKEDSA-N -1 1 336.333 -0.082 20 0 EBADMM COC[C@@H](NC(=O)Cn1c2ccccc2n(C)c1=O)c1nn[n-]n1 ZINC001177823891 832803730 /nfs/dbraw/zinc/80/37/30/832803730.db2.gz YFWVCQMFNHLKRX-SECBINFHSA-N -1 1 331.336 -0.643 20 0 EBADMM O=C([O-])CN1CCCn2nc(C(=O)NCc3cnc[nH]3)cc2C1=O ZINC001178366101 832855058 /nfs/dbraw/zinc/85/50/58/832855058.db2.gz PDBZKQPSFJYXKA-UHFFFAOYSA-N -1 1 332.320 -0.533 20 0 EBADMM O=S(=O)([N-]CCOCCOCCOCCO)c1nccs1 ZINC001187911022 833980643 /nfs/dbraw/zinc/98/06/43/833980643.db2.gz LQIAICMVKCIBSG-UHFFFAOYSA-N -1 1 340.423 -0.537 20 0 EBADMM CNC(=O)[C@H](CCCC[N-]S(=O)(=O)c1nccs1)NC(C)=O ZINC001187920279 833983625 /nfs/dbraw/zinc/98/36/25/833983625.db2.gz HXKWGQKWUYPWRZ-JTQLQIEISA-N -1 1 348.450 -0.158 20 0 EBADMM CCOC(=O)C(=C[N-]S(=O)(=O)CCC(=O)OC)C(=O)OCC ZINC001188401086 834044048 /nfs/dbraw/zinc/04/40/48/834044048.db2.gz IJBUXUKDDFHLMC-UHFFFAOYSA-N -1 1 337.350 -0.521 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCCO[C@@H]2CCCCO2)c(=O)[nH]c1=O ZINC001189965380 834214951 /nfs/dbraw/zinc/21/49/51/834214951.db2.gz VAARNICBYISMSR-LLVKDONJSA-N -1 1 347.393 -0.303 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)CCOCC2CC2)C1 ZINC001190145413 834234375 /nfs/dbraw/zinc/23/43/75/834234375.db2.gz DUHGVSUKKMDQBN-ZDUSSCGKSA-N -1 1 337.424 -0.042 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2c[nH]c(=O)n2C)c1 ZINC001190992001 834394057 /nfs/dbraw/zinc/39/40/57/834394057.db2.gz JIWNYNNYILPMCB-UHFFFAOYSA-N -1 1 326.334 -0.008 20 0 EBADMM COC(=O)[C@H]1CN(C(=O)c2cnc(SC)[n-]c2=O)CCN1C ZINC001191430786 834475907 /nfs/dbraw/zinc/47/59/07/834475907.db2.gz KOFUBIBOUKPVID-SECBINFHSA-N -1 1 326.378 -0.167 20 0 EBADMM O=C([N-]CCNC(=O)c1ncc[nH]c1=O)C(F)(F)Br ZINC001191519860 834491820 /nfs/dbraw/zinc/49/18/20/834491820.db2.gz WHYFXOFWHPLZRS-UHFFFAOYSA-N -1 1 339.096 -0.396 20 0 EBADMM Cc1cnncc1C(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001192946472 834769879 /nfs/dbraw/zinc/76/98/79/834769879.db2.gz NHZRTQSJSPFMJM-LBPRGKRZSA-N -1 1 346.347 -0.560 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCC[C@H]1CCOC1=O ZINC001194390877 835095714 /nfs/dbraw/zinc/09/57/14/835095714.db2.gz NESUAGJWKZMYFZ-QMMMGPOBSA-N -1 1 331.350 -0.182 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCC[C@@H]1CCOC1=O ZINC001194390876 835096721 /nfs/dbraw/zinc/09/67/21/835096721.db2.gz NESUAGJWKZMYFZ-MRVPVSSYSA-N -1 1 331.350 -0.182 20 0 EBADMM Cc1cnn(C)c1C(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001195523799 835237510 /nfs/dbraw/zinc/23/75/10/835237510.db2.gz QJRGZJGYVLPCAL-NSHDSACASA-N -1 1 348.363 -0.616 20 0 EBADMM COC(=O)[C@@]12COC[C@@H]1CN(C(=O)c1c[nH]c(=S)[n-]c1=O)C2 ZINC001196013491 835326969 /nfs/dbraw/zinc/32/69/69/835326969.db2.gz DIFGUCUSBUPCFJ-CPFSXVBKSA-N -1 1 325.346 -0.268 20 0 EBADMM CC(C)OCCN1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001196423479 835414251 /nfs/dbraw/zinc/41/42/51/835414251.db2.gz LOXFOHUBEHHWOF-UHFFFAOYSA-N -1 1 325.369 -0.144 20 0 EBADMM CS(=O)(=O)c1ccc(C(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)nc1 ZINC001196701521 835449408 /nfs/dbraw/zinc/44/94/08/835449408.db2.gz ZGOWOFWZKOPADH-UHFFFAOYSA-N -1 1 325.306 -0.479 20 0 EBADMM CCC[C@H](CC)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001197264222 835527276 /nfs/dbraw/zinc/52/72/76/835527276.db2.gz CCSXWNLHRREDIC-QJPTWQEYSA-N -1 1 325.413 -0.404 20 0 EBADMM NC(=O)C([N-]S(=O)(=O)Cc1cccc(Cl)c1Cl)C(N)=O ZINC001197761883 835605816 /nfs/dbraw/zinc/60/58/16/835605816.db2.gz IKBDWXKPGWOGEX-UHFFFAOYSA-N -1 1 340.188 -0.248 20 0 EBADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1cnn(-c2ncccn2)c1 ZINC001197837646 835615430 /nfs/dbraw/zinc/61/54/30/835615430.db2.gz FJPMCHDVIRUQNC-UHFFFAOYSA-N -1 1 339.275 -0.056 20 0 EBADMM Cn1c2ccc(C(=O)Nc3c(N)[nH]c(=O)[n-]c3=O)cc2n(C)c1=O ZINC001198484960 835687306 /nfs/dbraw/zinc/68/73/06/835687306.db2.gz UUYNIKLCAYDDET-UHFFFAOYSA-N -1 1 330.304 -0.087 20 0 EBADMM O=C(COCC1CC1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001199949367 835883555 /nfs/dbraw/zinc/88/35/55/835883555.db2.gz LCBBLMPBRMWWIM-LBPRGKRZSA-N -1 1 339.396 -0.356 20 0 EBADMM CO[C@@H](C)CCC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001206894356 836695753 /nfs/dbraw/zinc/69/57/53/836695753.db2.gz HBFSAHVLAYRPRO-GRYCIOLGSA-N -1 1 325.413 -0.140 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CCc1ncc[nH]1 ZINC001206921275 836712356 /nfs/dbraw/zinc/71/23/56/836712356.db2.gz IHLMZKIPWYVXJS-GHMZBOCLSA-N -1 1 333.396 -0.599 20 0 EBADMM Cc1noc(CCC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)n1 ZINC001208260522 836962987 /nfs/dbraw/zinc/96/29/87/836962987.db2.gz UMHMINAXCKDXMY-MWLCHTKSSA-N -1 1 349.395 -0.631 20 0 EBADMM CCC[C@H](OC)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001208288811 836985592 /nfs/dbraw/zinc/98/55/92/836985592.db2.gz DSHSCXFZDNJVAG-UTUOFQBUSA-N -1 1 325.413 -0.140 20 0 EBADMM CO[C@@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C)C(C)C ZINC001208500306 837067509 /nfs/dbraw/zinc/06/75/09/837067509.db2.gz MVJOKYMJXXLLCM-NQBHXWOUSA-N -1 1 325.413 -0.284 20 0 EBADMM C[C@@H](CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C)n1cccn1 ZINC001210098561 837237525 /nfs/dbraw/zinc/23/75/25/837237525.db2.gz PRJWMKKTBYAPTK-FRRDWIJNSA-N -1 1 347.423 -0.107 20 0 EBADMM O=C(CC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)NCC1CC1 ZINC001210576828 837300257 /nfs/dbraw/zinc/30/02/57/837300257.db2.gz VBBYWJHKDVTJLV-NSHDSACASA-N -1 1 336.396 -0.493 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C[C@@H](C)C1CC1 ZINC001213943741 837909048 /nfs/dbraw/zinc/90/90/48/837909048.db2.gz GYIJCISOWZBDIF-RAIGVLPGSA-N -1 1 337.424 -0.140 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)[C@@]3(C)C=CCC3)C2)nc1=O ZINC001319318302 838108318 /nfs/dbraw/zinc/10/83/18/838108318.db2.gz NMOZFLQLACWJFG-LRDDRELGSA-N -1 1 335.408 -0.218 20 0 EBADMM COc1ccc(NC(=O)C(=O)NC[C@H](C)O)cc1[N-]S(C)(=O)=O ZINC001319787566 838179286 /nfs/dbraw/zinc/17/92/86/838179286.db2.gz QSYIRJNRTHOJQF-QMMMGPOBSA-N -1 1 345.377 -0.498 20 0 EBADMM CCS(=O)(=O)c1cccc(CC(=O)NN2CC(=O)[N-]C2=O)c1 ZINC001320230195 838717381 /nfs/dbraw/zinc/71/73/81/838717381.db2.gz CJNJTWFIUNOPHM-UHFFFAOYSA-N -1 1 325.346 -0.394 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)CNC(=O)c1ccccc1 ZINC001320901399 838857134 /nfs/dbraw/zinc/85/71/34/838857134.db2.gz KLXACIRAOHCOLG-UHFFFAOYSA-N -1 1 346.391 -0.122 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1cnc2c(cnn2C)c1 ZINC001321696933 839056290 /nfs/dbraw/zinc/05/62/90/839056290.db2.gz OQRRLHGREQRATM-MRVPVSSYSA-N -1 1 330.352 -0.300 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1cnc2c(cnn2C)c1 ZINC001322032889 839128041 /nfs/dbraw/zinc/12/80/41/839128041.db2.gz OQRRLHGREQRATM-QMMMGPOBSA-N -1 1 330.352 -0.300 20 0 EBADMM Cc1ccnc2nc(C(=O)[N-]c3ncn(CC(=O)N(C)C)n3)nn21 ZINC001322064041 839132938 /nfs/dbraw/zinc/13/29/38/839132938.db2.gz VIWWQXZNPQMSAX-UHFFFAOYSA-N -1 1 329.324 -0.635 20 0 EBADMM Cc1cnc(CN(C)C[C@@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)o1 ZINC001322269550 839165368 /nfs/dbraw/zinc/16/53/68/839165368.db2.gz ONOZMSWSXWOOIU-LLVKDONJSA-N -1 1 349.391 -0.140 20 0 EBADMM O=C(NN1CC(=O)[N-]C1=O)c1ccc(N2CCCS2(=O)=O)cc1 ZINC001322697051 839237381 /nfs/dbraw/zinc/23/73/81/839237381.db2.gz OWWPDKAJQLNZDS-UHFFFAOYSA-N -1 1 338.345 -0.577 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NC[C@H](O)COCC3CC3)ccnc1-2 ZINC001322969784 839309719 /nfs/dbraw/zinc/30/97/19/839309719.db2.gz XJBQKXDDSFYLOD-NSHDSACASA-N -1 1 347.375 -0.816 20 0 EBADMM O=C(Nc1ccn(Cc2ccccc2)n1)C(=O)NN1CC(=O)[N-]C1=O ZINC001322980185 839313144 /nfs/dbraw/zinc/31/31/44/839313144.db2.gz CWPCLZHVCAFRLV-UHFFFAOYSA-N -1 1 342.315 -0.547 20 0 EBADMM Cc1ccc(NC(=O)C(=O)NCc2nn[n-]n2)nc1Br ZINC001323378271 839429637 /nfs/dbraw/zinc/42/96/37/839429637.db2.gz JGNMZCUWLNHRNB-UHFFFAOYSA-N -1 1 340.141 -0.079 20 0 EBADMM CCOC(=O)Cc1cccc(NC(=O)C(=O)NN2CC(=O)[N-]C2=O)c1 ZINC001323709769 839505379 /nfs/dbraw/zinc/50/53/79/839505379.db2.gz CDNRPJCATUOIAM-UHFFFAOYSA-N -1 1 348.315 -0.686 20 0 EBADMM O=C(CCOC[C@@H]1CCCO1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001324332114 839631510 /nfs/dbraw/zinc/63/15/10/839631510.db2.gz QICLPFVRCBOYJY-NSHDSACASA-N -1 1 325.369 -0.405 20 0 EBADMM Cc1c(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)nnn1-c1ccn(C)n1 ZINC001324502241 839667457 /nfs/dbraw/zinc/66/74/57/839667457.db2.gz JKUUZAJATMBMGQ-SECBINFHSA-N -1 1 344.339 -0.964 20 0 EBADMM CC(=O)NCC[C@@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001324767319 839711370 /nfs/dbraw/zinc/71/13/70/839711370.db2.gz HOORYRLKGSIQMS-ZDUSSCGKSA-N -1 1 336.392 -0.309 20 0 EBADMM CC/C(C)=C/C(=O)N[C@@]1(CO)CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001325837715 839952748 /nfs/dbraw/zinc/95/27/48/839952748.db2.gz RFVHNBBKZAFONX-RCLKMUNOSA-N -1 1 337.424 -0.092 20 0 EBADMM C[C@@H]1COCC[C@@H]1C(=O)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001326090893 840030289 /nfs/dbraw/zinc/03/02/89/840030289.db2.gz HNEFJCZAZNKUOP-MNOVXSKESA-N -1 1 327.385 -0.907 20 0 EBADMM O=C(c1c[nH]cc1C1CC1)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001326123322 840040837 /nfs/dbraw/zinc/04/08/37/840040837.db2.gz YUEHBEKNTSMYPS-UHFFFAOYSA-N -1 1 334.380 -0.060 20 0 EBADMM CCc1ccc(S(C)(=O)=O)cc1C(=O)NN1CC(=O)[N-]C1=O ZINC001326466587 840112892 /nfs/dbraw/zinc/11/28/92/840112892.db2.gz QGOBTGVABPLJPZ-UHFFFAOYSA-N -1 1 325.346 -0.151 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCCN3CCCC3=O)ccnc1-2 ZINC001326526313 840126562 /nfs/dbraw/zinc/12/65/62/840126562.db2.gz APEKAAUMIJJAET-UHFFFAOYSA-N -1 1 330.348 -0.981 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCCCS(C)(=O)=O)co1 ZINC001326616339 840152455 /nfs/dbraw/zinc/15/24/55/840152455.db2.gz GPXHSTDATIYZCQ-UHFFFAOYSA-N -1 1 338.407 -0.258 20 0 EBADMM CN(C[C@@H]1COCCO1)C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001327294039 840354610 /nfs/dbraw/zinc/35/46/10/840354610.db2.gz CMZHTUNNSCDRKT-SNVBAGLBSA-N -1 1 333.348 -0.846 20 0 EBADMM O=C(C(=O)N1CCO[C@@]2(CCS(=O)(=O)C2)C1)c1ccc([O-])cc1 ZINC001328569340 840708459 /nfs/dbraw/zinc/70/84/59/840708459.db2.gz SNDMEIDTNBDRNS-HNNXBMFYSA-N -1 1 339.369 -0.009 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NOCCN2CCCC2=O)o1 ZINC001330967064 841303769 /nfs/dbraw/zinc/30/37/69/841303769.db2.gz WGIBJEQQDBQJTE-UHFFFAOYSA-N -1 1 331.350 -0.529 20 0 EBADMM CO[C@H](CS(=O)(=O)NNc1nc(=O)c2cnn(C)c2[n-]1)C(C)C ZINC001332317667 841613772 /nfs/dbraw/zinc/61/37/72/841613772.db2.gz HTIRPNCQMIEQFB-SECBINFHSA-N -1 1 344.397 -0.014 20 0 EBADMM CN(C)C(=O)CNC(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC001332628392 841696133 /nfs/dbraw/zinc/69/61/33/841696133.db2.gz PUNAGOOQABGQLZ-UHFFFAOYSA-N -1 1 329.303 -0.467 20 0 EBADMM Cc1cnn(C)c1C(=O)N(C)CCOCCNCc1n[nH]c(=O)[n-]1 ZINC001333247575 841833135 /nfs/dbraw/zinc/83/31/35/841833135.db2.gz WAFBMCHIHMWVBR-UHFFFAOYSA-N -1 1 337.384 -0.569 20 0 EBADMM CO[N-]C(=O)CNC(=O)C(=O)N1CCN(CCC(C)(C)C)CC1 ZINC001333776140 841942791 /nfs/dbraw/zinc/94/27/91/841942791.db2.gz WKAZYXNQYNVMMU-UHFFFAOYSA-N -1 1 328.413 -0.639 20 0 EBADMM Cc1[nH]c2ccnn2c(=O)c1CC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001338243494 842770690 /nfs/dbraw/zinc/77/06/90/842770690.db2.gz KSLAZKCJCVCWSV-JTQLQIEISA-N -1 1 342.363 -0.207 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2CCN(Cc3ccccn3)CC2)CNCCO1 ZINC001340240360 842984154 /nfs/dbraw/zinc/98/41/54/842984154.db2.gz HCTJFLYLXDVCRZ-INIZCTEOSA-N -1 1 334.376 -0.831 20 0 EBADMM CO[N-]C(=O)CNC(=O)C(=O)NC[C@H](c1cccs1)N(C)C ZINC001340431400 842995759 /nfs/dbraw/zinc/99/57/59/842995759.db2.gz FYDXHUKEHDXUCE-SECBINFHSA-N -1 1 328.394 -0.739 20 0 EBADMM C[C@@H]1CN(C(=O)[C@]2(C(=O)[O-])CNCCO2)C[C@@H](C(F)(F)F)O1 ZINC001340735448 843026852 /nfs/dbraw/zinc/02/68/52/843026852.db2.gz KCNUGLVMZCOLJN-FYBVGQRMSA-N -1 1 326.271 -0.392 20 0 EBADMM CC(C)(C)CC(=O)N1CCN(C(=O)[C@@]2(C(=O)[O-])CNCCO2)CC1 ZINC001342106717 843139709 /nfs/dbraw/zinc/13/97/09/843139709.db2.gz LAYZJPMBASLQPC-MRXNPFEDSA-N -1 1 341.408 -0.463 20 0 EBADMM COc1ccccc1OCCN(C)C(=O)[C@@]1(C(=O)[O-])CNCCO1 ZINC001343148885 843200864 /nfs/dbraw/zinc/20/08/64/843200864.db2.gz CBIWMDGXJGRVJE-MRXNPFEDSA-N -1 1 338.360 -0.024 20 0 EBADMM Cn1c(-c2nnn[n-]2)nnc1N1CCN(C(=O)c2ccccn2)CC1 ZINC001343649725 843251843 /nfs/dbraw/zinc/25/18/43/843251843.db2.gz BKGTVZNTGVSBCO-UHFFFAOYSA-N -1 1 340.351 -0.647 20 0 EBADMM Cn1c(-c2nn[n-]n2)nnc1N1CCN(C(=O)c2ccccn2)CC1 ZINC001343649725 843251853 /nfs/dbraw/zinc/25/18/53/843251853.db2.gz BKGTVZNTGVSBCO-UHFFFAOYSA-N -1 1 340.351 -0.647 20 0 EBADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)C3(C(N)=O)CC3)CC2)n1 ZINC001343833155 843261792 /nfs/dbraw/zinc/26/17/92/843261792.db2.gz ZFQZEWLQUOVUOT-UHFFFAOYSA-N -1 1 335.364 -0.047 20 0 EBADMM O=C(CC1CC1)NC[C@H]1C[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001344190326 843294499 /nfs/dbraw/zinc/29/44/99/843294499.db2.gz MNQCINOLKSXKID-BETUJISGSA-N -1 1 348.403 -0.262 20 0 EBADMM O=C([O-])[C@]1(C(=O)NC[C@H]2CCCN2CC(F)(F)F)CNCCO1 ZINC001344892059 843342987 /nfs/dbraw/zinc/34/29/87/843342987.db2.gz YJNRUYNAQSUAHL-BXKDBHETSA-N -1 1 339.314 -0.428 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCN1C(=O)CSC1=O ZINC001346081884 843476770 /nfs/dbraw/zinc/47/67/70/843476770.db2.gz KHVRDJGVFOVWQZ-UHFFFAOYSA-N -1 1 348.362 -0.830 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCCCC(=O)NC3CC3)ccnc1-2 ZINC001346469533 843521899 /nfs/dbraw/zinc/52/18/99/843521899.db2.gz VNKJTCHQIHGSED-UHFFFAOYSA-N -1 1 344.375 -0.545 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2C[C@@H]3C[C@H]2CN3c2ccccc2)CNCCO1 ZINC001346515874 843524688 /nfs/dbraw/zinc/52/46/88/843524688.db2.gz NVWXGQAXRRELLD-GRDNDAEWSA-N -1 1 331.372 -0.081 20 0 EBADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCN2CCOC[C@@]2(CO)C1 ZINC001348442865 843697942 /nfs/dbraw/zinc/69/79/42/843697942.db2.gz VPQAHKGZTPFSSO-HNNXBMFYSA-N -1 1 349.416 -0.463 20 0 EBADMM Cc1cc(NC(=O)C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)ccn1 ZINC001348575894 843710941 /nfs/dbraw/zinc/71/09/41/843710941.db2.gz CJZJCTMWJIURQE-UHFFFAOYSA-N -1 1 344.293 -0.113 20 0 EBADMM CN(CCC(=O)[O-])C(=O)C(=O)N1CCC[C@@H](CN2CCOCC2)C1 ZINC001610920443 970788655 /nfs/dbraw/zinc/78/86/55/970788655.db2.gz FFNIJPKDSQWNCM-ZDUSSCGKSA-N -1 1 341.408 -0.510 20 0 EBADMM COCCN1CCC[C@@H]1CNC(=O)C(=O)N1CC[C@@H](C(=O)[O-])C1 ZINC001611012170 970847951 /nfs/dbraw/zinc/84/79/51/970847951.db2.gz TXHNMAAWURESBO-VXGBXAGGSA-N -1 1 327.381 -0.854 20 0 EBADMM CC(=O)NC(C)(C)C(=O)NCCN(C)c1cncc(-c2nnn[n-]2)n1 ZINC001573464981 945972710 /nfs/dbraw/zinc/97/27/10/945972710.db2.gz TYZXTFDDKHZTNG-UHFFFAOYSA-N -1 1 347.383 -0.876 20 0 EBADMM CC(=O)NC(C)(C)C(=O)NCCN(C)c1cncc(-c2nn[n-]n2)n1 ZINC001573464981 945972723 /nfs/dbraw/zinc/97/27/23/945972723.db2.gz TYZXTFDDKHZTNG-UHFFFAOYSA-N -1 1 347.383 -0.876 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)C[C@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001573467787 945987092 /nfs/dbraw/zinc/98/70/92/945987092.db2.gz IBAYPFOLSRZPBS-IUCAKERBSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)C[C@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001573467787 945987094 /nfs/dbraw/zinc/98/70/94/945987094.db2.gz IBAYPFOLSRZPBS-IUCAKERBSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)C[C@@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001573469237 946003855 /nfs/dbraw/zinc/00/38/55/946003855.db2.gz TZOJHZDRMBEIGR-RKDXNWHRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)C[C@@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001573469237 946003864 /nfs/dbraw/zinc/00/38/64/946003864.db2.gz TZOJHZDRMBEIGR-RKDXNWHRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)[C@@H](C)CNc1cncc(-c2nnn[n-]2)n1 ZINC001573469772 946010198 /nfs/dbraw/zinc/01/01/98/946010198.db2.gz XJGLRSZGNIMVDJ-DTWKUNHWSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)[C@@H](C)CNc1cncc(-c2nn[n-]n2)n1 ZINC001573469772 946010208 /nfs/dbraw/zinc/01/02/08/946010208.db2.gz XJGLRSZGNIMVDJ-DTWKUNHWSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@H](C)N(C)c1nccnc1-c1nnn[n-]1 ZINC001573475984 946109861 /nfs/dbraw/zinc/10/98/61/946109861.db2.gz BUMPKZFMDRZUSE-IUCAKERBSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@H](C)N(C)c1nccnc1-c1nn[n-]n1 ZINC001573475984 946109866 /nfs/dbraw/zinc/10/98/66/946109866.db2.gz BUMPKZFMDRZUSE-IUCAKERBSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@H](C)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001573476847 946125150 /nfs/dbraw/zinc/12/51/50/946125150.db2.gz JUXFBJKDPONSTK-IUCAKERBSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@H](C)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001573477322 946132442 /nfs/dbraw/zinc/13/24/42/946132442.db2.gz OOZCJHJXEWOZIK-DTWKUNHWSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@H](C)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001573477322 946132447 /nfs/dbraw/zinc/13/24/47/946132447.db2.gz OOZCJHJXEWOZIK-DTWKUNHWSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@@H](C)CN(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001573477612 946140887 /nfs/dbraw/zinc/14/08/87/946140887.db2.gz SSENPEQWQAMCGE-DTWKUNHWSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@@H](C)CN(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001573477612 946140891 /nfs/dbraw/zinc/14/08/91/946140891.db2.gz SSENPEQWQAMCGE-DTWKUNHWSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@H](C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001573478786 946152227 /nfs/dbraw/zinc/15/22/27/946152227.db2.gz YQYHGNPQBCLNBW-YUMQZZPRSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@H](C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001573478786 946152232 /nfs/dbraw/zinc/15/22/32/946152232.db2.gz YQYHGNPQBCLNBW-YUMQZZPRSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NCC[C@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001573482460 946196594 /nfs/dbraw/zinc/19/65/94/946196594.db2.gz WTCQDHHLVVYPMI-DTWKUNHWSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NCC[C@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001573482460 946196600 /nfs/dbraw/zinc/19/66/00/946196600.db2.gz WTCQDHHLVVYPMI-DTWKUNHWSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@H](C)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001573482841 946204540 /nfs/dbraw/zinc/20/45/40/946204540.db2.gz ANSCFCKZMSSNBA-SFYZADRCSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@H](C)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001573482841 946204550 /nfs/dbraw/zinc/20/45/50/946204550.db2.gz ANSCFCKZMSSNBA-SFYZADRCSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NCCCN(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001573483992 946212297 /nfs/dbraw/zinc/21/22/97/946212297.db2.gz JBCHUGCQZYJVNB-SECBINFHSA-N -1 1 347.383 -0.876 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@@H](C)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001573483782 946212646 /nfs/dbraw/zinc/21/26/46/946212646.db2.gz HXTXXSJTOPNCCB-YUMQZZPRSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@@H](C)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001573483782 946212654 /nfs/dbraw/zinc/21/26/54/946212654.db2.gz HXTXXSJTOPNCCB-YUMQZZPRSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@@H](C)CNc1nc(C)cc(-c2nnn[n-]2)n1 ZINC001573485369 946223306 /nfs/dbraw/zinc/22/33/06/946223306.db2.gz RJPRMPWDVVGVGG-DTWKUNHWSA-N -1 1 347.383 -0.594 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@@H](C)CNc1nc(C)cc(-c2nn[n-]n2)n1 ZINC001573485369 946223319 /nfs/dbraw/zinc/22/33/19/946223319.db2.gz RJPRMPWDVVGVGG-DTWKUNHWSA-N -1 1 347.383 -0.594 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@H]1C[C@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001573488182 946268074 /nfs/dbraw/zinc/26/80/74/946268074.db2.gz ZVRULEGCEMBOLH-SZEHBUNVSA-N -1 1 345.367 -0.760 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@H]1C[C@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001573488182 946268082 /nfs/dbraw/zinc/26/80/82/946268082.db2.gz ZVRULEGCEMBOLH-SZEHBUNVSA-N -1 1 345.367 -0.760 20 0 EBADMM CC(=O)NCC(=O)N1C[C@@H](Nc2nccnc2-c2nnn[n-]2)C[C@H]1C ZINC001573502525 946387973 /nfs/dbraw/zinc/38/79/73/946387973.db2.gz WVTGEALWEMUWLI-SCZZXKLOSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N1C[C@@H](Nc2nccnc2-c2nn[n-]n2)C[C@H]1C ZINC001573502525 946387984 /nfs/dbraw/zinc/38/79/84/946387984.db2.gz WVTGEALWEMUWLI-SCZZXKLOSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@@H](Nc2cncc(-c3nnn[n-]3)n2)[C@H]1C ZINC001573503829 946400109 /nfs/dbraw/zinc/40/01/09/946400109.db2.gz BVXZNWZRJMBTQX-PSASIEDQSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@@H](Nc2cncc(-c3nn[n-]n3)n2)[C@H]1C ZINC001573503829 946400118 /nfs/dbraw/zinc/40/01/18/946400118.db2.gz BVXZNWZRJMBTQX-PSASIEDQSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N1CCC[C@@H](Nc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001573509181 946459058 /nfs/dbraw/zinc/45/90/58/946459058.db2.gz FTRKLFHAMMRJJG-SNVBAGLBSA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)NCC(=O)N1CCC[C@@H](Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001573509181 946459066 /nfs/dbraw/zinc/45/90/66/946459066.db2.gz FTRKLFHAMMRJJG-SNVBAGLBSA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)[C@@H](C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001573510348 946475173 /nfs/dbraw/zinc/47/51/73/946475173.db2.gz FSKFZVZPKLXTNK-HTQZYQBOSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)[C@@H](C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001573510348 946475185 /nfs/dbraw/zinc/47/51/85/946475185.db2.gz FSKFZVZPKLXTNK-HTQZYQBOSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)NCC(=O)NC[C@H](C)N(C)c1nc(C)cc(-c2nnn[n-]2)n1 ZINC001573515306 946542985 /nfs/dbraw/zinc/54/29/85/946542985.db2.gz KIXPAVUKIBYUOJ-VIFPVBQESA-N -1 1 347.383 -0.958 20 0 EBADMM CC(=O)NCC(=O)NC[C@H](C)N(C)c1nc(C)cc(-c2nn[n-]n2)n1 ZINC001573515306 946542994 /nfs/dbraw/zinc/54/29/94/946542994.db2.gz KIXPAVUKIBYUOJ-VIFPVBQESA-N -1 1 347.383 -0.958 20 0 EBADMM CC(=O)NCC(=O)NCC1CC(Nc2nccnc2-c2nnn[n-]2)C1 ZINC001573517542 946577673 /nfs/dbraw/zinc/57/76/73/946577673.db2.gz MULFUKSWLWKDTJ-UHFFFAOYSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)NCC1CC(Nc2nccnc2-c2nn[n-]n2)C1 ZINC001573517542 946577679 /nfs/dbraw/zinc/57/76/79/946577679.db2.gz MULFUKSWLWKDTJ-UHFFFAOYSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)N[C@H]1C[C@H](CNc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001573521820 946613656 /nfs/dbraw/zinc/61/36/56/946613656.db2.gz IMCWYRLTVQCFMV-MGCOHNPYSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)N[C@H]1C[C@H](CNc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001573521820 946613663 /nfs/dbraw/zinc/61/36/63/946613663.db2.gz IMCWYRLTVQCFMV-MGCOHNPYSA-N -1 1 345.367 -0.901 20 0 EBADMM O=C([O-])[C@@H](Cc1cnc[nH]1)NC(=O)c1ccc(-n2cnnn2)cc1 ZINC000326182617 971273003 /nfs/dbraw/zinc/27/30/03/971273003.db2.gz JIDXRGSVTRJONO-GFCCVEGCSA-N -1 1 327.304 -0.189 20 0 EBADMM C[C@@H](C(=O)N(C)Cc1cccc(=O)[nH]1)n1cnc(-c2nn[n-]n2)n1 ZINC001573602893 947474143 /nfs/dbraw/zinc/47/41/43/947474143.db2.gz BBSODOIMQONDGG-QMMMGPOBSA-N -1 1 329.324 -0.222 20 0 EBADMM C[C@@H](C(=O)N(C)Cc1nnc2n1CCC2)n1cnc(-c2nn[n-]n2)n1 ZINC001573603649 947494529 /nfs/dbraw/zinc/49/45/29/947494529.db2.gz IYDWJOUKGAWBOE-QMMMGPOBSA-N -1 1 343.355 -0.785 20 0 EBADMM C[C@@H](C(=O)N(CCO)CCNc1ccnc(-c2nn[n-]n2)n1)C1CC1 ZINC001573607818 947553848 /nfs/dbraw/zinc/55/38/48/947553848.db2.gz RVRCTSIBLJIXLG-SNVBAGLBSA-N -1 1 346.395 -0.643 20 0 EBADMM C[C@@H](C(=O)N1CC[C@@H](OC(=O)N(C)C)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573622594 947681499 /nfs/dbraw/zinc/68/14/99/947681499.db2.gz BDLOTFPJKGTACD-DTWKUNHWSA-N -1 1 349.355 -0.682 20 0 EBADMM C[C@H](C(=O)N1CC[C@@H](c2ncon2)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573623380 947685124 /nfs/dbraw/zinc/68/51/24/947685124.db2.gz DYHCRXUFXKSFTB-HTQZYQBOSA-N -1 1 330.312 -0.582 20 0 EBADMM C[C@@H](C(=O)N1CC[C@@H](c2ncon2)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573623381 947685842 /nfs/dbraw/zinc/68/58/42/947685842.db2.gz DYHCRXUFXKSFTB-JGVFFNPUSA-N -1 1 330.312 -0.582 20 0 EBADMM CC(=O)CCCC(=O)N1CC[C@@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001573376329 947834485 /nfs/dbraw/zinc/83/44/85/947834485.db2.gz YVBFYLUEHFWCEB-LLVKDONJSA-N -1 1 344.379 -0.149 20 0 EBADMM CC(=O)CCCC(=O)NC[C@H](O)CNc1cncc(-c2nnn[n-]2)n1 ZINC001573380915 947866500 /nfs/dbraw/zinc/86/65/00/947866500.db2.gz JVMWWJURRVHCLG-SNVBAGLBSA-N -1 1 348.367 -0.695 20 0 EBADMM CC(=O)CCCC(=O)NC[C@H](O)CNc1cncc(-c2nn[n-]n2)n1 ZINC001573380915 947866505 /nfs/dbraw/zinc/86/65/05/947866505.db2.gz JVMWWJURRVHCLG-SNVBAGLBSA-N -1 1 348.367 -0.695 20 0 EBADMM C[C@H](C(=O)N1CCNC(=O)CC1(C)C)n1cnc(-c2nn[n-]n2)n1 ZINC001573644230 947869868 /nfs/dbraw/zinc/86/98/68/947869868.db2.gz ZSJNCVHDZRWVHN-MRVPVSSYSA-N -1 1 333.356 -0.854 20 0 EBADMM C[C@H](C(=O)N1CCc2c(=O)[nH]cnc2C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573647298 947889649 /nfs/dbraw/zinc/88/96/49/947889649.db2.gz HFTWPUYFNZXFPI-SSDOTTSWSA-N -1 1 342.323 -0.900 20 0 EBADMM C[C@@H](C(=O)N1CCc2ccnc(N)c2C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573647778 947902166 /nfs/dbraw/zinc/90/21/66/947902166.db2.gz TVVFAFCBWKCZPI-QMMMGPOBSA-N -1 1 340.351 -0.419 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)CCNc1ccc(-c2nnn[n-]2)nn1 ZINC001573398377 947979661 /nfs/dbraw/zinc/97/96/61/947979661.db2.gz KMIQJKGTDIQBEC-SECBINFHSA-N -1 1 347.383 -0.558 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)CCNc1ccc(-c2nn[n-]n2)nn1 ZINC001573398377 947979667 /nfs/dbraw/zinc/97/96/67/947979667.db2.gz KMIQJKGTDIQBEC-SECBINFHSA-N -1 1 347.383 -0.558 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)CN(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001573398952 947987805 /nfs/dbraw/zinc/98/78/05/947987805.db2.gz RICLAJXZRGHSFJ-SECBINFHSA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@@H](C)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001573404493 948025752 /nfs/dbraw/zinc/02/57/52/948025752.db2.gz SZUSFBKQCVWYDW-VIFPVBQESA-N -1 1 347.383 -0.701 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@@H](C)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001573404493 948025761 /nfs/dbraw/zinc/02/57/61/948025761.db2.gz SZUSFBKQCVWYDW-VIFPVBQESA-N -1 1 347.383 -0.701 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H]1C[C@H](Nc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001573408495 948068199 /nfs/dbraw/zinc/06/81/99/948068199.db2.gz ICHOERXGPATSCB-MGCOHNPYSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H]1C[C@H](Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001573408495 948068209 /nfs/dbraw/zinc/06/82/09/948068209.db2.gz ICHOERXGPATSCB-MGCOHNPYSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](C(=O)N[C@H]1CCC2(C1)OCCO2)n1cnc(-c2nn[n-]n2)n1 ZINC001573667468 948099832 /nfs/dbraw/zinc/09/98/32/948099832.db2.gz ZXQLGLNUBMIXHG-BDAKNGLRSA-N -1 1 334.340 -0.569 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CCOC12CCOCC2)n1cnc(-c2nn[n-]n2)n1 ZINC001573672814 948111521 /nfs/dbraw/zinc/11/15/21/948111521.db2.gz JLNWLHOESLQWSL-NXEZZACHSA-N -1 1 348.367 -0.527 20 0 EBADMM CC(=O)N1CC(C(=O)NC[C@@H](C)Nc2cncc(-c3nnn[n-]3)n2)C1 ZINC001573419103 948121854 /nfs/dbraw/zinc/12/18/54/948121854.db2.gz JYPLTWRVWIOVFM-MRVPVSSYSA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)N1CC(C(=O)NC[C@@H](C)Nc2cncc(-c3nn[n-]n3)n2)C1 ZINC001573419103 948121856 /nfs/dbraw/zinc/12/18/56/948121856.db2.gz JYPLTWRVWIOVFM-MRVPVSSYSA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)N1CC(C(=O)NC[C@H](C)Nc2nccnc2-c2nnn[n-]2)C1 ZINC001573419870 948130967 /nfs/dbraw/zinc/13/09/67/948130967.db2.gz UROGKNJUNYKVJP-QMMMGPOBSA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)N1CC(C(=O)NC[C@H](C)Nc2nccnc2-c2nn[n-]n2)C1 ZINC001573419870 948130969 /nfs/dbraw/zinc/13/09/69/948130969.db2.gz UROGKNJUNYKVJP-QMMMGPOBSA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@@H](C)CNc2nccnc2-c2nnn[n-]2)C1 ZINC001573421082 948136756 /nfs/dbraw/zinc/13/67/56/948136756.db2.gz CBNLHEUEBXDHGU-QMMMGPOBSA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@@H](C)CNc2nccnc2-c2nn[n-]n2)C1 ZINC001573421082 948136757 /nfs/dbraw/zinc/13/67/57/948136757.db2.gz CBNLHEUEBXDHGU-QMMMGPOBSA-N -1 1 345.367 -0.948 20 0 EBADMM C[C@@H](C(=O)NC[C@@H](CO)Nc1nccnc1-c1nnn[n-]1)C1CC1 ZINC001573678556 948151325 /nfs/dbraw/zinc/15/13/25/948151325.db2.gz FCSLJXDUEVDYLI-SCZZXKLOSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@@H](C(=O)NC[C@@H](CO)Nc1nccnc1-c1nn[n-]n1)C1CC1 ZINC001573678556 948151333 /nfs/dbraw/zinc/15/13/33/948151333.db2.gz FCSLJXDUEVDYLI-SCZZXKLOSA-N -1 1 332.368 -0.408 20 0 EBADMM CC(=O)NCCN(C(=O)C1CN([C@@H]2CCOC2)C1)[C@@H](C)C(=O)[O-] ZINC001602753914 971463071 /nfs/dbraw/zinc/46/30/71/971463071.db2.gz VOCXBHUKBJOJIV-GXFFZTMASA-N -1 1 327.381 -0.855 20 0 EBADMM C[C@H](C(=O)NC[C@H](CO)Nc1ccnc(-c2nn[n-]n2)n1)C1CCC1 ZINC001573681916 948215934 /nfs/dbraw/zinc/21/59/34/948215934.db2.gz YYJPSNZOYKEXHB-GXSJLCMTSA-N -1 1 346.395 -0.596 20 0 EBADMM CC(=O)N1CC[C@]2(C1)CN(c1cncc(-c3nnn[n-]3)n1)CCO2 ZINC001573438670 948237402 /nfs/dbraw/zinc/23/74/02/948237402.db2.gz DWOLRKPQNRCVSD-AWEZNQCLSA-N -1 1 330.352 -0.516 20 0 EBADMM CC(=O)N1CC[C@]2(C1)CN(c1cncc(-c3nn[n-]n3)n1)CCO2 ZINC001573438670 948237411 /nfs/dbraw/zinc/23/74/11/948237411.db2.gz DWOLRKPQNRCVSD-AWEZNQCLSA-N -1 1 330.352 -0.516 20 0 EBADMM C[C@H](C(=O)NC[C@@H](O)CNc1cnc(-c2nnn[n-]2)cn1)C1CC1 ZINC001573694494 948296295 /nfs/dbraw/zinc/29/62/95/948296295.db2.gz WHQJNTICTSJKLL-WPRPVWTQSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@H](C(=O)NC[C@@H](O)CNc1cnc(-c2nn[n-]n2)cn1)C1CC1 ZINC001573694494 948296305 /nfs/dbraw/zinc/29/63/05/948296305.db2.gz WHQJNTICTSJKLL-WPRPVWTQSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@H](C(=O)NC[C@H](O)CNc1ccnc(-c2nn[n-]n2)n1)C1CCC1 ZINC001573695040 948299591 /nfs/dbraw/zinc/29/95/91/948299591.db2.gz WQTLGRQPSIDBHJ-GXSJLCMTSA-N -1 1 346.395 -0.596 20 0 EBADMM C[C@H](C(=O)NCCN(C)c1ccnc(-c2nn[n-]n2)n1)n1cccn1 ZINC001573718683 948517731 /nfs/dbraw/zinc/51/77/31/948517731.db2.gz JGEOEUBYTDORGN-SNVBAGLBSA-N -1 1 342.367 -0.333 20 0 EBADMM C[C@H](C(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)n1cccc1 ZINC001573718771 948520048 /nfs/dbraw/zinc/52/00/48/948520048.db2.gz SLJVSJXKPQCZGP-SECBINFHSA-N -1 1 344.335 -0.692 20 0 EBADMM C[C@@H](C(=O)NCc1cc(C2CC2)nn1C)n1cnc(-c2nn[n-]n2)n1 ZINC001573728368 948561554 /nfs/dbraw/zinc/56/15/54/948561554.db2.gz DSQDRVUTYWRJGN-QMMMGPOBSA-N -1 1 342.367 -0.054 20 0 EBADMM CS(=O)(=O)NC1CC(NC(=O)c2coc(-c3nnn[n-]3)c2)C1 ZINC001570925306 948667823 /nfs/dbraw/zinc/66/78/23/948667823.db2.gz FYBPKMMZHPEVOL-UHFFFAOYSA-N -1 1 326.338 -0.730 20 0 EBADMM CS(=O)(=O)NC1CC(NC(=O)c2coc(-c3nn[n-]n3)c2)C1 ZINC001570925306 948667828 /nfs/dbraw/zinc/66/78/28/948667828.db2.gz FYBPKMMZHPEVOL-UHFFFAOYSA-N -1 1 326.338 -0.730 20 0 EBADMM O=C(Nc1cn[nH]c1)[C@H]1CCN(c2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570925568 948672499 /nfs/dbraw/zinc/67/24/99/948672499.db2.gz MQEGLDQBJXWEHP-QMMMGPOBSA-N -1 1 326.324 -0.155 20 0 EBADMM O=C(Nc1cn[nH]c1)[C@@H]1CCN(c2cncc(-c3nnn[n-]3)n2)C1 ZINC001570925962 948680555 /nfs/dbraw/zinc/68/05/55/948680555.db2.gz UGALNNFWYWODFK-MRVPVSSYSA-N -1 1 326.324 -0.155 20 0 EBADMM O=C(Nc1cn[nH]c1)[C@@H]1CCN(c2cncc(-c3nn[n-]n3)n2)C1 ZINC001570925962 948680560 /nfs/dbraw/zinc/68/05/60/948680560.db2.gz UGALNNFWYWODFK-MRVPVSSYSA-N -1 1 326.324 -0.155 20 0 EBADMM O=C(N[C@@H]1CCN(c2cnc(-c3nnn[n-]3)cn2)C1)c1cnon1 ZINC001570926538 948692634 /nfs/dbraw/zinc/69/26/34/948692634.db2.gz CVUKOTUGMBETHH-SSDOTTSWSA-N -1 1 328.296 -0.952 20 0 EBADMM O=C(N[C@@H]1CCN(c2cnc(-c3nn[n-]n3)cn2)C1)c1cnon1 ZINC001570926538 948692639 /nfs/dbraw/zinc/69/26/39/948692639.db2.gz CVUKOTUGMBETHH-SSDOTTSWSA-N -1 1 328.296 -0.952 20 0 EBADMM O=C(c1ccon1)N1CC[C@H](Nc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001570927136 948703966 /nfs/dbraw/zinc/70/39/66/948703966.db2.gz LFFFSQVZKSOPBR-QMMMGPOBSA-N -1 1 327.308 -0.029 20 0 EBADMM O=C(c1ccon1)N1CC[C@H](Nc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001570927136 948703968 /nfs/dbraw/zinc/70/39/68/948703968.db2.gz LFFFSQVZKSOPBR-QMMMGPOBSA-N -1 1 327.308 -0.029 20 0 EBADMM C[C@@H](NC(=O)Nc1cccc(-c2nnn[n-]2)n1)C1N=NC(=O)N1C ZINC001570928071 948725638 /nfs/dbraw/zinc/72/56/38/948725638.db2.gz LHIMOSZYEURHKF-ZCFIWIBFSA-N -1 1 330.312 -0.022 20 0 EBADMM C[C@@H](NC(=O)Nc1cccc(-c2nn[n-]n2)n1)C1N=NC(=O)N1C ZINC001570928071 948725646 /nfs/dbraw/zinc/72/56/46/948725646.db2.gz LHIMOSZYEURHKF-ZCFIWIBFSA-N -1 1 330.312 -0.022 20 0 EBADMM COc1ncc(NC(=O)N2CCCNC(=O)C2)cc1-c1nn[n-]n1 ZINC001570928852 948744635 /nfs/dbraw/zinc/74/46/35/948744635.db2.gz KLDUGROXKLNLJH-UHFFFAOYSA-N -1 1 332.324 -0.376 20 0 EBADMM C[C@@H](CCNc1cnc(-c2nnn[n-]2)cn1)NC(=O)Cc1cc[nH]n1 ZINC001574678684 948756310 /nfs/dbraw/zinc/75/63/10/948756310.db2.gz IAVQVRCUZRAGEU-VIFPVBQESA-N -1 1 342.367 -0.071 20 0 EBADMM C[C@@H](CCNc1cnc(-c2nn[n-]n2)cn1)NC(=O)Cc1cc[nH]n1 ZINC001574678684 948756320 /nfs/dbraw/zinc/75/63/20/948756320.db2.gz IAVQVRCUZRAGEU-VIFPVBQESA-N -1 1 342.367 -0.071 20 0 EBADMM COc1ccc(Oc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)cn1 ZINC001570929700 948780814 /nfs/dbraw/zinc/78/08/14/948780814.db2.gz RTAGGQJPKPSTKR-UHFFFAOYSA-N -1 1 331.292 -0.540 20 0 EBADMM C[C@H](CCNc1cnc(-c2nnn[n-]2)cn1)NC(=O)c1cnnn1C ZINC001574679532 948783918 /nfs/dbraw/zinc/78/39/18/948783918.db2.gz XIGJJJUDIUVYAX-MRVPVSSYSA-N -1 1 343.355 -0.594 20 0 EBADMM C[C@H](CCNc1cnc(-c2nn[n-]n2)cn1)NC(=O)c1cnnn1C ZINC001574679532 948783932 /nfs/dbraw/zinc/78/39/32/948783932.db2.gz XIGJJJUDIUVYAX-MRVPVSSYSA-N -1 1 343.355 -0.594 20 0 EBADMM COC(=O)c1cc(Cn2nc(C)c(C)c(-c3nn[n-]n3)c2=O)on1 ZINC001570929823 948785602 /nfs/dbraw/zinc/78/56/02/948785602.db2.gz VBELVQZIMTVPQC-UHFFFAOYSA-N -1 1 331.292 -0.137 20 0 EBADMM C[C@@H](C(=O)Nc1ncc2c(n1)COC2)n1cnc(-c2nn[n-]n2)n1 ZINC001573755887 948786135 /nfs/dbraw/zinc/78/61/35/948786135.db2.gz UPEMUCYPYBRRRR-LURJTMIESA-N -1 1 328.296 -0.522 20 0 EBADMM C[C@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)Cn1cncn1 ZINC001574679932 948797665 /nfs/dbraw/zinc/79/76/65/948797665.db2.gz CDBRTPXLVMVBDS-SECBINFHSA-N -1 1 343.355 -0.745 20 0 EBADMM C[C@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)Cn1cncn1 ZINC001574679932 948797681 /nfs/dbraw/zinc/79/76/81/948797681.db2.gz CDBRTPXLVMVBDS-SECBINFHSA-N -1 1 343.355 -0.745 20 0 EBADMM CCc1nc(-c2nnn[n-]2)cc(N2CC[C@@H](NC(C)=O)[C@H](O)C2)n1 ZINC001570930065 948798887 /nfs/dbraw/zinc/79/88/87/948798887.db2.gz AEYRBHPQDHOUHF-MWLCHTKSSA-N -1 1 332.368 -0.705 20 0 EBADMM CCc1nc(-c2nn[n-]n2)cc(N2CC[C@@H](NC(C)=O)[C@H](O)C2)n1 ZINC001570930065 948798895 /nfs/dbraw/zinc/79/88/95/948798895.db2.gz AEYRBHPQDHOUHF-MWLCHTKSSA-N -1 1 332.368 -0.705 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)[C@]12C[C@H]1COC2 ZINC001574682207 948827261 /nfs/dbraw/zinc/82/72/61/948827261.db2.gz PWEVHZGZQMMCKZ-YHAQOWFVSA-N -1 1 344.379 0.000 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)[C@]12C[C@H]1COC2 ZINC001574682207 948827274 /nfs/dbraw/zinc/82/72/74/948827274.db2.gz PWEVHZGZQMMCKZ-YHAQOWFVSA-N -1 1 344.379 0.000 20 0 EBADMM C[C@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)[C@H]1CCC(=O)N1 ZINC001574683097 948842689 /nfs/dbraw/zinc/84/26/89/948842689.db2.gz ULGYFTLFBWOAIN-RKDXNWHRSA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)[C@H]1CCC(=O)N1 ZINC001574683097 948842706 /nfs/dbraw/zinc/84/27/06/948842706.db2.gz ULGYFTLFBWOAIN-RKDXNWHRSA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)CCCC(N)=O ZINC001574683350 948848695 /nfs/dbraw/zinc/84/86/95/948848695.db2.gz YDFSIXJUCRELBR-SECBINFHSA-N -1 1 347.383 -0.381 20 0 EBADMM C[C@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)CCCC(N)=O ZINC001574683350 948848707 /nfs/dbraw/zinc/84/87/07/948848707.db2.gz YDFSIXJUCRELBR-SECBINFHSA-N -1 1 347.383 -0.381 20 0 EBADMM Cn1c(=O)[nH]c(N2CCC[C@@H](CCO)CC2)c(-c2nn[n-]n2)c1=O ZINC001570933509 948893256 /nfs/dbraw/zinc/89/32/56/948893256.db2.gz OVQVXOYXAAXMLW-SECBINFHSA-N -1 1 335.368 -0.757 20 0 EBADMM COC(C)(C)[C@@H](C)NC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570933734 948906582 /nfs/dbraw/zinc/90/65/82/948906582.db2.gz DFYGIUXNDFIQHI-SSDOTTSWSA-N -1 1 337.340 -0.941 20 0 EBADMM C[C@H](CNC(=O)CCn1cccc1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574923301 948943410 /nfs/dbraw/zinc/94/34/10/948943410.db2.gz JGFOLLZHJMOIIU-LLVKDONJSA-N -1 1 341.379 -0.113 20 0 EBADMM COc1ncc(NC(=O)N(CCO)C[C@H](C)O)cc1-c1nn[n-]n1 ZINC001570934607 948944147 /nfs/dbraw/zinc/94/41/47/948944147.db2.gz LUBXYRFVBCAMJT-QMMMGPOBSA-N -1 1 337.340 -0.523 20 0 EBADMM C[C@H](CNC(=O)CCn1cccn1)Nc1nccnc1-c1nnn[n-]1 ZINC001574923466 948949544 /nfs/dbraw/zinc/94/95/44/948949544.db2.gz NHJNIDOQMZEQPL-SNVBAGLBSA-N -1 1 342.367 -0.140 20 0 EBADMM C[C@H](CNC(=O)CCn1cccn1)Nc1nccnc1-c1nn[n-]n1 ZINC001574923466 948949560 /nfs/dbraw/zinc/94/95/60/948949560.db2.gz NHJNIDOQMZEQPL-SNVBAGLBSA-N -1 1 342.367 -0.140 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)N1CCO[C@H]([C@H](C)O)C1 ZINC001570935110 948965977 /nfs/dbraw/zinc/96/59/77/948965977.db2.gz PXMRDOWTQSOLAC-WPRPVWTQSA-N -1 1 334.336 -0.502 20 0 EBADMM C[C@@H](CNC(=O)CN1CCCC1=O)Nc1nccnc1-c1nnn[n-]1 ZINC001574925796 948976304 /nfs/dbraw/zinc/97/63/04/948976304.db2.gz DOVNTDRSZBIXHH-VIFPVBQESA-N -1 1 345.367 -0.804 20 0 EBADMM C[C@@H](CNC(=O)CN1CCCC1=O)Nc1nccnc1-c1nn[n-]n1 ZINC001574925796 948976314 /nfs/dbraw/zinc/97/63/14/948976314.db2.gz DOVNTDRSZBIXHH-VIFPVBQESA-N -1 1 345.367 -0.804 20 0 EBADMM CCOC(=O)[C@H](COC)NC(=O)Cc1ccc(-c2nnn[n-]2)nc1 ZINC001570935392 948980401 /nfs/dbraw/zinc/98/04/01/948980401.db2.gz RWWVVQSNDMVJQW-NSHDSACASA-N -1 1 334.336 -0.502 20 0 EBADMM CCOC(=O)[C@H](COC)NC(=O)Cc1ccc(-c2nn[n-]n2)nc1 ZINC001570935392 948980414 /nfs/dbraw/zinc/98/04/14/948980414.db2.gz RWWVVQSNDMVJQW-NSHDSACASA-N -1 1 334.336 -0.502 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)C(C)(C)C(N)=O ZINC001574689933 948992992 /nfs/dbraw/zinc/99/29/92/948992992.db2.gz MFWSMOVEHQRTOO-MRVPVSSYSA-N -1 1 347.383 -0.525 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)C(C)(C)C(N)=O ZINC001574689933 948993000 /nfs/dbraw/zinc/99/30/00/948993000.db2.gz MFWSMOVEHQRTOO-MRVPVSSYSA-N -1 1 347.383 -0.525 20 0 EBADMM COC(=O)[C@H](C)NC(=O)[C@H](C)NCc1nc(-c2nnn[n-]2)cs1 ZINC001570935934 949003809 /nfs/dbraw/zinc/00/38/09/949003809.db2.gz HZNPILCODDZBLO-BQBZGAKWSA-N -1 1 339.381 -0.521 20 0 EBADMM COC(=O)[C@H](C)NC(=O)[C@H](C)NCc1nc(-c2nn[n-]n2)cs1 ZINC001570935934 949003823 /nfs/dbraw/zinc/00/38/23/949003823.db2.gz HZNPILCODDZBLO-BQBZGAKWSA-N -1 1 339.381 -0.521 20 0 EBADMM CCOC(=O)C1CCN(C(=O)Cn2cc(-c3nn[n-]n3)nn2)CC1 ZINC001570936097 949012620 /nfs/dbraw/zinc/01/26/20/949012620.db2.gz YKCGVDPTBXAKID-UHFFFAOYSA-N -1 1 334.340 -0.740 20 0 EBADMM O=C(N[C@@H]1CCN(c2cnc(-c3nnn[n-]3)cn2)C1)c1ccncn1 ZINC001570936356 949028458 /nfs/dbraw/zinc/02/84/58/949028458.db2.gz WBASBCJBNCFFCC-SECBINFHSA-N -1 1 338.335 -0.545 20 0 EBADMM O=C(N[C@@H]1CCN(c2cnc(-c3nn[n-]n3)cn2)C1)c1ccncn1 ZINC001570936356 949028477 /nfs/dbraw/zinc/02/84/77/949028477.db2.gz WBASBCJBNCFFCC-SECBINFHSA-N -1 1 338.335 -0.545 20 0 EBADMM O=C(NC1CCN(c2cncc(-c3nnn[n-]3)n2)CC1)c1cn[nH]c1 ZINC001570937384 949075542 /nfs/dbraw/zinc/07/55/42/949075542.db2.gz DFLJEGSQPNWNOQ-UHFFFAOYSA-N -1 1 340.351 -0.221 20 0 EBADMM O=C(NC1CCN(c2cncc(-c3nn[n-]n3)n2)CC1)c1cn[nH]c1 ZINC001570937384 949075564 /nfs/dbraw/zinc/07/55/64/949075564.db2.gz DFLJEGSQPNWNOQ-UHFFFAOYSA-N -1 1 340.351 -0.221 20 0 EBADMM O=C(Cn1ccnc1)N[C@H]1CCN(c2cnc(-c3nn[n-]n3)cn2)C1 ZINC001570938036 949087684 /nfs/dbraw/zinc/08/76/84/949087684.db2.gz MFMOCQSGBYXOHN-JTQLQIEISA-N -1 1 340.351 -0.752 20 0 EBADMM C[C@H](CNC(=O)CO[C@H]1CCOC1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574929300 949090481 /nfs/dbraw/zinc/09/04/81/949090481.db2.gz CLZWCEVMGBSQTR-ZJUUUORDSA-N -1 1 348.367 -0.621 20 0 EBADMM C[C@H](CNC(=O)CO[C@H]1CCOC1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574929300 949090503 /nfs/dbraw/zinc/09/05/03/949090503.db2.gz CLZWCEVMGBSQTR-ZJUUUORDSA-N -1 1 348.367 -0.621 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nnn[n-]2)C[C@H]1NC(=O)c1cn[nH]c1 ZINC001570938056 949094054 /nfs/dbraw/zinc/09/40/54/949094054.db2.gz NAHRMCUTNPXJJK-PSASIEDQSA-N -1 1 340.351 -0.366 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nn[n-]n2)C[C@H]1NC(=O)c1cn[nH]c1 ZINC001570938056 949094072 /nfs/dbraw/zinc/09/40/72/949094072.db2.gz NAHRMCUTNPXJJK-PSASIEDQSA-N -1 1 340.351 -0.366 20 0 EBADMM Cn1cnc(C(=O)N[C@@H]2CCN(c3nccnc3-c3nnn[n-]3)C2)c1 ZINC001570938556 949104319 /nfs/dbraw/zinc/10/43/19/949104319.db2.gz UBVRRKUTIXASSR-SECBINFHSA-N -1 1 340.351 -0.601 20 0 EBADMM Cn1cnc(C(=O)N[C@@H]2CCN(c3nccnc3-c3nn[n-]n3)C2)c1 ZINC001570938556 949104330 /nfs/dbraw/zinc/10/43/30/949104330.db2.gz UBVRRKUTIXASSR-SECBINFHSA-N -1 1 340.351 -0.601 20 0 EBADMM C[C@@H](CNC(=O)CO[C@H]1CCOC1)Nc1nccnc1-c1nnn[n-]1 ZINC001574930767 949108759 /nfs/dbraw/zinc/10/87/59/949108759.db2.gz MIXUNSIGGDYKTP-UWVGGRQHSA-N -1 1 348.367 -0.621 20 0 EBADMM C[C@@H](CNC(=O)CO[C@H]1CCOC1)Nc1nccnc1-c1nn[n-]n1 ZINC001574930767 949108773 /nfs/dbraw/zinc/10/87/73/949108773.db2.gz MIXUNSIGGDYKTP-UWVGGRQHSA-N -1 1 348.367 -0.621 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)Cn1cncn1 ZINC001574695597 949117556 /nfs/dbraw/zinc/11/75/56/949117556.db2.gz IRIOJKZERCUJNT-SECBINFHSA-N -1 1 343.355 -0.745 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)Cn1cncn1 ZINC001574695597 949117566 /nfs/dbraw/zinc/11/75/66/949117566.db2.gz IRIOJKZERCUJNT-SECBINFHSA-N -1 1 343.355 -0.745 20 0 EBADMM C[C@H](CNC(=O)COCC(F)F)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574932374 949140397 /nfs/dbraw/zinc/14/03/97/949140397.db2.gz YNXMXOITOGYOMX-SSDOTTSWSA-N -1 1 342.310 -0.145 20 0 EBADMM C[C@H](CNC(=O)COCC(F)F)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574932374 949140404 /nfs/dbraw/zinc/14/04/04/949140404.db2.gz YNXMXOITOGYOMX-SSDOTTSWSA-N -1 1 342.310 -0.145 20 0 EBADMM O=C(Cc1ccon1)N[C@H]1C[C@@H](Nc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001570939970 949161235 /nfs/dbraw/zinc/16/12/35/949161235.db2.gz IRFMAQHSIFLHGE-AOOOYVTPSA-N -1 1 341.335 -0.054 20 0 EBADMM O=C(Cc1ccon1)N[C@H]1C[C@@H](Nc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001570939970 949161245 /nfs/dbraw/zinc/16/12/45/949161245.db2.gz IRFMAQHSIFLHGE-AOOOYVTPSA-N -1 1 341.335 -0.054 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H](C)CC(N)=O)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574698372 949188606 /nfs/dbraw/zinc/18/86/06/949188606.db2.gz JUVLEHOUZLRGKP-DTWKUNHWSA-N -1 1 347.383 -0.573 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H](C)CC(N)=O)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574698372 949188616 /nfs/dbraw/zinc/18/86/16/949188616.db2.gz JUVLEHOUZLRGKP-DTWKUNHWSA-N -1 1 347.383 -0.573 20 0 EBADMM CNC(=O)[C@H]1CCN(S(=O)(=O)c2ccc(-c3nnn[n-]3)s2)C1 ZINC001570940751 949190196 /nfs/dbraw/zinc/19/01/96/949190196.db2.gz OWEATOSAKNKTBQ-ZETCQYMHSA-N -1 1 342.406 -0.315 20 0 EBADMM CNC(=O)[C@H]1CCN(S(=O)(=O)c2ccc(-c3nn[n-]n3)s2)C1 ZINC001570940751 949190205 /nfs/dbraw/zinc/19/02/05/949190205.db2.gz OWEATOSAKNKTBQ-ZETCQYMHSA-N -1 1 342.406 -0.315 20 0 EBADMM C[C@@H]1CCC[C@@H](CNC(=O)Cn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)O1 ZINC001576151646 949234176 /nfs/dbraw/zinc/23/41/76/949234176.db2.gz HUVWTOKSSIZCHW-BDAKNGLRSA-N -1 1 349.351 -0.797 20 0 EBADMM COc1ncc(NC(=O)CCNS(C)(=O)=O)cc1-c1nn[n-]n1 ZINC001570941721 949242139 /nfs/dbraw/zinc/24/21/39/949242139.db2.gz ZHMWVGFRDOUMJS-UHFFFAOYSA-N -1 1 341.353 -0.852 20 0 EBADMM Cn1c(=O)[nH]c(N[C@H]2Cc3cccc(O)c3C2)c(-c2nn[n-]n2)c1=O ZINC001570942536 949291651 /nfs/dbraw/zinc/29/16/51/949291651.db2.gz QOUJSFCMQDLYHH-QMMMGPOBSA-N -1 1 341.331 -0.049 20 0 EBADMM CC(C)n1cc(CNS(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)nn1 ZINC001574455162 949297955 /nfs/dbraw/zinc/29/79/55/949297955.db2.gz LYPIBDOOEHAATK-UHFFFAOYSA-N -1 1 349.380 -0.087 20 0 EBADMM CC(C)n1cc(CNS(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)nn1 ZINC001574455162 949297979 /nfs/dbraw/zinc/29/79/79/949297979.db2.gz LYPIBDOOEHAATK-UHFFFAOYSA-N -1 1 349.380 -0.087 20 0 EBADMM O=C(c1cncs1)N1CC[C@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570942774 949307978 /nfs/dbraw/zinc/30/79/78/949307978.db2.gz AEMZEOPNCAWCSI-QMMMGPOBSA-N -1 1 343.376 -0.138 20 0 EBADMM CN(c1cnc(-c2nnn[n-]2)cn1)[C@H]1CCN(C(=O)c2cocn2)C1 ZINC001570942749 949310042 /nfs/dbraw/zinc/31/00/42/949310042.db2.gz VTHFVJHJPBEICV-VIFPVBQESA-N -1 1 341.335 -0.004 20 0 EBADMM CN(c1cnc(-c2nn[n-]n2)cn1)[C@H]1CCN(C(=O)c2cocn2)C1 ZINC001570942749 949310064 /nfs/dbraw/zinc/31/00/64/949310064.db2.gz VTHFVJHJPBEICV-VIFPVBQESA-N -1 1 341.335 -0.004 20 0 EBADMM C[C@H](CNC(=O)Cc1cc[nH]n1)Nc1nccnc1-c1nnn[n-]1 ZINC001574941702 949314602 /nfs/dbraw/zinc/31/46/02/949314602.db2.gz GIPUYISCJFXHGJ-MRVPVSSYSA-N -1 1 328.340 -0.461 20 0 EBADMM C[C@H](CNC(=O)Cc1cc[nH]n1)Nc1nccnc1-c1nn[n-]n1 ZINC001574941702 949314625 /nfs/dbraw/zinc/31/46/25/949314625.db2.gz GIPUYISCJFXHGJ-MRVPVSSYSA-N -1 1 328.340 -0.461 20 0 EBADMM Cc1ccc(Cn2c(=O)c(-c3nn[n-]n3)cn(CCO)c2=O)c(C)n1 ZINC001570942874 949317189 /nfs/dbraw/zinc/31/71/89/949317189.db2.gz SEQJKUGVZVVWPV-UHFFFAOYSA-N -1 1 343.347 -0.757 20 0 EBADMM C[C@@H](CNC(=O)Cc1cc[nH]n1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574942006 949326200 /nfs/dbraw/zinc/32/62/00/949326200.db2.gz ILYOTUCFQPSIAY-QMMMGPOBSA-N -1 1 328.340 -0.461 20 0 EBADMM C[C@@H](CNC(=O)Cc1cc[nH]n1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574942006 949326222 /nfs/dbraw/zinc/32/62/22/949326222.db2.gz ILYOTUCFQPSIAY-QMMMGPOBSA-N -1 1 328.340 -0.461 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N[C@H]2CCc3c(nnn3C)C2)n1 ZINC001570943168 949336594 /nfs/dbraw/zinc/33/65/94/949336594.db2.gz WISCLAXRPDIMSZ-ZETCQYMHSA-N -1 1 343.355 -0.592 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N[C@H]2CCc3c(nnn3C)C2)n1 ZINC001570943168 949336609 /nfs/dbraw/zinc/33/66/09/949336609.db2.gz WISCLAXRPDIMSZ-ZETCQYMHSA-N -1 1 343.355 -0.592 20 0 EBADMM O=C(c1nccs1)N1CC[C@@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570943300 949344681 /nfs/dbraw/zinc/34/46/81/949344681.db2.gz GQHCLNMAPKKMSZ-MRVPVSSYSA-N -1 1 343.376 -0.138 20 0 EBADMM C[C@H](CNC(=O)Cc1c[nH]cn1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001574942240 949345969 /nfs/dbraw/zinc/34/59/69/949345969.db2.gz LNQMBBWIVAPUNI-VIFPVBQESA-N -1 1 342.367 -0.791 20 0 EBADMM C[C@@H](CN(C)C(=O)C1=COCCO1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574704726 949404273 /nfs/dbraw/zinc/40/42/73/949404273.db2.gz PXMGTYPJCCHEKB-VIFPVBQESA-N -1 1 346.351 -0.774 20 0 EBADMM C[C@H](CNC(=O)Cc1cnoc1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574946203 949430588 /nfs/dbraw/zinc/43/05/88/949430588.db2.gz SROHCUCSBHUXQG-MRVPVSSYSA-N -1 1 329.324 -0.774 20 0 EBADMM C[C@H](CNC(=O)Cn1cccn1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001574948831 949474096 /nfs/dbraw/zinc/47/40/96/949474096.db2.gz NBTJZKJMYGQNLI-JTQLQIEISA-N -1 1 342.367 -0.861 20 0 EBADMM NC(=O)N1CCC[C@H](CNC(=O)Cc2ccc(-c3nnn[n-]3)nc2)C1 ZINC001570945521 949482832 /nfs/dbraw/zinc/48/28/32/949482832.db2.gz CHBGUJIYYQEZKV-LLVKDONJSA-N -1 1 344.379 -0.289 20 0 EBADMM NC(=O)N1CCC[C@H](CNC(=O)Cc2ccc(-c3nn[n-]n3)nc2)C1 ZINC001570945521 949482847 /nfs/dbraw/zinc/48/28/47/949482847.db2.gz CHBGUJIYYQEZKV-LLVKDONJSA-N -1 1 344.379 -0.289 20 0 EBADMM CC(C)(C)C(=O)N[C@@H]1CN(c2ccnc(-c3nn[n-]n3)n2)C[C@@H]1O ZINC001573806218 949484971 /nfs/dbraw/zinc/48/49/71/949484971.db2.gz LNBBYFBAKUUEMH-BDAKNGLRSA-N -1 1 332.368 -0.632 20 0 EBADMM O=C(CC1CCC1)N[C@@H]1CN(c2ccc(-c3nnn[n-]3)nn2)C[C@H]1O ZINC001570945928 949509790 /nfs/dbraw/zinc/50/97/90/949509790.db2.gz LFYPFKVKQLCNQV-VXGBXAGGSA-N -1 1 344.379 -0.487 20 0 EBADMM O=C(CC1CCC1)N[C@@H]1CN(c2ccc(-c3nn[n-]n3)nn2)C[C@H]1O ZINC001570945928 949509805 /nfs/dbraw/zinc/50/98/05/949509805.db2.gz LFYPFKVKQLCNQV-VXGBXAGGSA-N -1 1 344.379 -0.487 20 0 EBADMM C[C@@H](CNC(=O)NCc1nc(-c2nnn[n-]2)cs1)S(C)(=O)=O ZINC001574949952 949520904 /nfs/dbraw/zinc/52/09/04/949520904.db2.gz HZRCAVBNTVESJL-LURJTMIESA-N -1 1 345.410 -0.445 20 0 EBADMM C[C@@H](CNC(=O)NCc1nc(-c2nn[n-]n2)cs1)S(C)(=O)=O ZINC001574949952 949520921 /nfs/dbraw/zinc/52/09/21/949520921.db2.gz HZRCAVBNTVESJL-LURJTMIESA-N -1 1 345.410 -0.445 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nnn[n-]2)C[C@H]1NC(=O)[C@H]1CCOC1 ZINC001570946255 949522574 /nfs/dbraw/zinc/52/25/74/949522574.db2.gz OPKWAZBNKQADBC-OUAUKWLOSA-N -1 1 344.379 -0.366 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nn[n-]n2)C[C@H]1NC(=O)[C@H]1CCOC1 ZINC001570946255 949522592 /nfs/dbraw/zinc/52/25/92/949522592.db2.gz OPKWAZBNKQADBC-OUAUKWLOSA-N -1 1 344.379 -0.366 20 0 EBADMM COC(=O)[C@]1(CNC(=O)Cc2ccc(-c3nnn[n-]3)nc2)CCOC1 ZINC001570946349 949529010 /nfs/dbraw/zinc/52/90/10/949529010.db2.gz MAXUNPUNDMKFPP-HNNXBMFYSA-N -1 1 346.347 -0.500 20 0 EBADMM COC(=O)[C@]1(CNC(=O)Cc2ccc(-c3nn[n-]n3)nc2)CCOC1 ZINC001570946349 949529029 /nfs/dbraw/zinc/52/90/29/949529029.db2.gz MAXUNPUNDMKFPP-HNNXBMFYSA-N -1 1 346.347 -0.500 20 0 EBADMM C[C@@H](CNC(=O)NCc1ccc(-c2nn[n-]n2)s1)S(N)(=O)=O ZINC001574950716 949541612 /nfs/dbraw/zinc/54/16/12/949541612.db2.gz SQEHIHOCULRGNK-LURJTMIESA-N -1 1 345.410 -0.596 20 0 EBADMM O=C(N[C@@H]1CCCN(c2cnc(-c3nnn[n-]3)cn2)C1)[C@@H]1CCOC1 ZINC001570946948 949552594 /nfs/dbraw/zinc/55/25/94/949552594.db2.gz UMADOQGRYHGOKK-GHMZBOCLSA-N -1 1 344.379 -0.222 20 0 EBADMM O=C(N[C@@H]1CCCN(c2cnc(-c3nn[n-]n3)cn2)C1)[C@@H]1CCOC1 ZINC001570946948 949552620 /nfs/dbraw/zinc/55/26/20/949552620.db2.gz UMADOQGRYHGOKK-GHMZBOCLSA-N -1 1 344.379 -0.222 20 0 EBADMM O=C([C@H]1COCCO1)N1CC[C@@H](Nc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001570947447 949571087 /nfs/dbraw/zinc/57/10/87/949571087.db2.gz VSJPJTURCACXBO-MWLCHTKSSA-N -1 1 346.351 -0.915 20 0 EBADMM O=C([C@H]1COCCO1)N1CC[C@@H](Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001570947447 949571101 /nfs/dbraw/zinc/57/11/01/949571101.db2.gz VSJPJTURCACXBO-MWLCHTKSSA-N -1 1 346.351 -0.915 20 0 EBADMM C[C@H](NC(=O)CCCC(N)=O)[C@H](C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575271267 949615851 /nfs/dbraw/zinc/61/58/51/949615851.db2.gz JBYFOHYRMKJEJR-IUCAKERBSA-N -1 1 347.383 -0.383 20 0 EBADMM C[C@H](NC(=O)CCCC(N)=O)[C@H](C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575271267 949615864 /nfs/dbraw/zinc/61/58/64/949615864.db2.gz JBYFOHYRMKJEJR-IUCAKERBSA-N -1 1 347.383 -0.383 20 0 EBADMM C[C@H]1[C@H](NC(=O)CC(N)=O)CCCN1c1cnc(-c2nnn[n-]2)cn1 ZINC001575875100 949624812 /nfs/dbraw/zinc/62/48/12/949624812.db2.gz UVSVSFPTWNCOBL-DTWKUNHWSA-N -1 1 345.367 -0.994 20 0 EBADMM C[C@H]1[C@H](NC(=O)CC(N)=O)CCCN1c1cnc(-c2nn[n-]n2)cn1 ZINC001575875100 949624825 /nfs/dbraw/zinc/62/48/25/949624825.db2.gz UVSVSFPTWNCOBL-DTWKUNHWSA-N -1 1 345.367 -0.994 20 0 EBADMM CO[C@H]1CCCC[C@@H]1NC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570950678 949692518 /nfs/dbraw/zinc/69/25/18/949692518.db2.gz QHJXNOIRQHKWBG-UWVGGRQHSA-N -1 1 349.351 -0.797 20 0 EBADMM COc1ncc(NC(=O)NCC2(O)CCOCC2)cc1-c1nn[n-]n1 ZINC001570950727 949697088 /nfs/dbraw/zinc/69/70/88/949697088.db2.gz RXZZHJBNKVSADC-UHFFFAOYSA-N -1 1 349.351 -0.067 20 0 EBADMM C[C@@H](CNC(=O)c1[nH]c2ccccc2c1-c1nn[n-]n1)S(N)(=O)=O ZINC001570950689 949700437 /nfs/dbraw/zinc/70/04/37/949700437.db2.gz QOHVDTHFRHWTOK-ZETCQYMHSA-N -1 1 349.376 -0.245 20 0 EBADMM C[C@H](NC(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)C1CCC1 ZINC001575277367 949751422 /nfs/dbraw/zinc/75/14/22/949751422.db2.gz QEAWYNZWNWJMOA-QMMMGPOBSA-N -1 1 333.352 -0.176 20 0 EBADMM CC(C)[C@@H](O)C(=O)NC[C@@H](C)CNc1cncc(-c2nnn[n-]2)n1 ZINC001574964089 949763980 /nfs/dbraw/zinc/76/39/80/949763980.db2.gz PTDXXTNDSJFCBB-JOYOIKCWSA-N -1 1 334.384 -0.162 20 0 EBADMM CC(C)[C@@H](O)C(=O)NC[C@@H](C)CNc1cncc(-c2nn[n-]n2)n1 ZINC001574964089 949763994 /nfs/dbraw/zinc/76/39/94/949763994.db2.gz PTDXXTNDSJFCBB-JOYOIKCWSA-N -1 1 334.384 -0.162 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CCC(=O)N1)CNc1nccnc1-c1nnn[n-]1 ZINC001574964514 949783541 /nfs/dbraw/zinc/78/35/41/949783541.db2.gz XOWDGMSSBULVGF-RKDXNWHRSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CCC(=O)N1)CNc1nccnc1-c1nn[n-]n1 ZINC001574964514 949783553 /nfs/dbraw/zinc/78/35/53/949783553.db2.gz XOWDGMSSBULVGF-RKDXNWHRSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CCC(=O)N1C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574964655 949785360 /nfs/dbraw/zinc/78/53/60/949785360.db2.gz ZGJZOCOAHCHZHX-WCBMZHEXSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CCC(=O)N1C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574964655 949785376 /nfs/dbraw/zinc/78/53/76/949785376.db2.gz ZGJZOCOAHCHZHX-WCBMZHEXSA-N -1 1 345.367 -0.806 20 0 EBADMM CC1(C(=O)N[C@@H]2CCN(c3cnc(-c4nnn[n-]4)cn3)C[C@H]2O)CC1 ZINC001575569834 949928380 /nfs/dbraw/zinc/92/83/80/949928380.db2.gz OSAPSVGIGISWOP-MWLCHTKSSA-N -1 1 344.379 -0.487 20 0 EBADMM CC1(C(=O)N[C@@H]2CCN(c3cnc(-c4nn[n-]n4)cn3)C[C@H]2O)CC1 ZINC001575569834 949928395 /nfs/dbraw/zinc/92/83/95/949928395.db2.gz OSAPSVGIGISWOP-MWLCHTKSSA-N -1 1 344.379 -0.487 20 0 EBADMM C[C@@]1(C(=O)N[C@@H]2CCN(c3cnc(-c4nnn[n-]4)cn3)C2)CCOC1 ZINC001575571140 949946931 /nfs/dbraw/zinc/94/69/31/949946931.db2.gz XJXMEVDQIWWONG-MEBBXXQBSA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@@]1(C(=O)N[C@@H]2CCN(c3cnc(-c4nn[n-]n4)cn3)C2)CCOC1 ZINC001575571140 949946948 /nfs/dbraw/zinc/94/69/48/949946948.db2.gz XJXMEVDQIWWONG-MEBBXXQBSA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@H](CN(C)C(=O)Cn1cccn1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574730793 950035978 /nfs/dbraw/zinc/03/59/78/950035978.db2.gz BLEHSJSSTLHTMU-SNVBAGLBSA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@H](CN(C)C(=O)Cn1cccn1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574730793 950036001 /nfs/dbraw/zinc/03/60/01/950036001.db2.gz BLEHSJSSTLHTMU-SNVBAGLBSA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@@H](CC(=O)NC[C@H](CO)Nc1cncc(-c2nnn[n-]2)n1)C1CC1 ZINC001574492634 950099483 /nfs/dbraw/zinc/09/94/83/950099483.db2.gz CHAQTCXNVVAJNJ-GXSJLCMTSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](CC(=O)NC[C@H](CO)Nc1cncc(-c2nn[n-]n2)n1)C1CC1 ZINC001574492634 950099502 /nfs/dbraw/zinc/09/95/02/950099502.db2.gz CHAQTCXNVVAJNJ-GXSJLCMTSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](CN(C)C(=O)Cn1ccnc1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574733281 950104794 /nfs/dbraw/zinc/10/47/94/950104794.db2.gz XOVIFHDIVLQPSL-SNVBAGLBSA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@H](CN(C)C(=O)Cn1ccnc1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574733281 950104805 /nfs/dbraw/zinc/10/48/05/950104805.db2.gz XOVIFHDIVLQPSL-SNVBAGLBSA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@]12C[C@H]1COC2)Nc1nccnc1-c1nnn[n-]1 ZINC001574733691 950120357 /nfs/dbraw/zinc/12/03/57/950120357.db2.gz GAZBPAPDZZXXQI-YHAQOWFVSA-N -1 1 344.379 -0.048 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@]12C[C@H]1COC2)Nc1nccnc1-c1nn[n-]n1 ZINC001574733691 950120373 /nfs/dbraw/zinc/12/03/73/950120373.db2.gz GAZBPAPDZZXXQI-YHAQOWFVSA-N -1 1 344.379 -0.048 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1CCC(=O)N1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574734704 950137099 /nfs/dbraw/zinc/13/70/99/950137099.db2.gz OMAGOBGUIFZBRR-WCBMZHEXSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1CCC(=O)N1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574734704 950137117 /nfs/dbraw/zinc/13/71/17/950137117.db2.gz OMAGOBGUIFZBRR-WCBMZHEXSA-N -1 1 345.367 -0.806 20 0 EBADMM CC1(C(=O)NC[C@@]2(O)CCN(c3ccnc(-c4nn[n-]n4)n3)C2)CC1 ZINC001575583113 950139192 /nfs/dbraw/zinc/13/91/92/950139192.db2.gz OKAXRQPMAXDMOP-HNNXBMFYSA-N -1 1 344.379 -0.486 20 0 EBADMM C[C@H](CC(=O)NC[C@@H](CO)Nc1nccnc1-c1nnn[n-]1)C1CC1 ZINC001574494311 950159394 /nfs/dbraw/zinc/15/93/94/950159394.db2.gz KCCKLJJZOUBHLZ-KOLCDFICSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](CC(=O)NC[C@@H](CO)Nc1nccnc1-c1nn[n-]n1)C1CC1 ZINC001574494311 950159404 /nfs/dbraw/zinc/15/94/04/950159404.db2.gz KCCKLJJZOUBHLZ-KOLCDFICSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](CC(=O)NC[C@H](CO)Nc1nccnc1-c1nnn[n-]1)C1CC1 ZINC001574494310 950162355 /nfs/dbraw/zinc/16/23/55/950162355.db2.gz KCCKLJJZOUBHLZ-GXSJLCMTSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](CC(=O)NC[C@H](CO)Nc1nccnc1-c1nn[n-]n1)C1CC1 ZINC001574494310 950162368 /nfs/dbraw/zinc/16/23/68/950162368.db2.gz KCCKLJJZOUBHLZ-GXSJLCMTSA-N -1 1 346.395 -0.018 20 0 EBADMM CC1(C(=O)NC[C@]2(O)CCN(c3cncc(-c4nnn[n-]4)n3)C2)CC1 ZINC001575584635 950173907 /nfs/dbraw/zinc/17/39/07/950173907.db2.gz ZGKFHTXZMQSAAI-OAHLLOKOSA-N -1 1 344.379 -0.486 20 0 EBADMM CC1(C(=O)NC[C@]2(O)CCN(c3cncc(-c4nn[n-]n4)n3)C2)CC1 ZINC001575584635 950173914 /nfs/dbraw/zinc/17/39/14/950173914.db2.gz ZGKFHTXZMQSAAI-OAHLLOKOSA-N -1 1 344.379 -0.486 20 0 EBADMM C[C@@H](CC(=O)NC[C@H](O)CNc1cncc(-c2nnn[n-]2)n1)C1CC1 ZINC001574497867 950248063 /nfs/dbraw/zinc/24/80/63/950248063.db2.gz FJXHZDJMCOBBLP-GXSJLCMTSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](CC(=O)NC[C@H](O)CNc1cncc(-c2nn[n-]n2)n1)C1CC1 ZINC001574497867 950248079 /nfs/dbraw/zinc/24/80/79/950248079.db2.gz FJXHZDJMCOBBLP-GXSJLCMTSA-N -1 1 346.395 -0.018 20 0 EBADMM CC1(C(=O)NC[C@@H]2CN(c3cnc(-c4nnn[n-]4)cn3)CCO2)CC1 ZINC001575594506 950332958 /nfs/dbraw/zinc/33/29/58/950332958.db2.gz KKYOAQOEFXCMOL-SNVBAGLBSA-N -1 1 344.379 -0.222 20 0 EBADMM CC1(C(=O)NC[C@@H]2CN(c3cnc(-c4nn[n-]n4)cn3)CCO2)CC1 ZINC001575594506 950332978 /nfs/dbraw/zinc/33/29/78/950332978.db2.gz KKYOAQOEFXCMOL-SNVBAGLBSA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@]1(C(=O)NCCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)CCCOC1 ZINC001575595095 950345900 /nfs/dbraw/zinc/34/59/00/950345900.db2.gz XOCFOAYDUCHWMN-AWEZNQCLSA-N -1 1 349.351 -0.938 20 0 EBADMM C[C@]1(C(=O)Nc2[nH]nc(N3CCOCC3)c2-c2nnn[n-]2)CCOC1 ZINC001575614446 950532440 /nfs/dbraw/zinc/53/24/40/950532440.db2.gz CKZFPHDUOFEJLI-AWEZNQCLSA-N -1 1 348.367 -0.209 20 0 EBADMM C[C@]1(C(=O)Nc2[nH]nc(N3CCOCC3)c2-c2nn[n-]n2)CCOC1 ZINC001575614446 950532455 /nfs/dbraw/zinc/53/24/55/950532455.db2.gz CKZFPHDUOFEJLI-AWEZNQCLSA-N -1 1 348.367 -0.209 20 0 EBADMM CC1(C(=O)Nc2[nH]nc(N3CCOCC3)c2-c2nnn[n-]2)COC1 ZINC001575614811 950551964 /nfs/dbraw/zinc/55/19/64/950551964.db2.gz QPQYJTQIWQRIBV-UHFFFAOYSA-N -1 1 334.340 -0.599 20 0 EBADMM CC1(C(=O)Nc2[nH]nc(N3CCOCC3)c2-c2nn[n-]n2)COC1 ZINC001575614811 950551983 /nfs/dbraw/zinc/55/19/83/950551983.db2.gz QPQYJTQIWQRIBV-UHFFFAOYSA-N -1 1 334.340 -0.599 20 0 EBADMM C[C@H]1[C@@H](Nc2ccc(-c3nnn[n-]3)nn2)CCN1C(=O)c1cnon1 ZINC001575921500 950640388 /nfs/dbraw/zinc/64/03/88/950640388.db2.gz NZIWDGQSCGUNNA-YUMQZZPRSA-N -1 1 342.323 -0.245 20 0 EBADMM C[C@H]1[C@@H](Nc2ccc(-c3nn[n-]n3)nn2)CCN1C(=O)c1cnon1 ZINC001575921500 950640402 /nfs/dbraw/zinc/64/04/02/950640402.db2.gz NZIWDGQSCGUNNA-YUMQZZPRSA-N -1 1 342.323 -0.245 20 0 EBADMM C[C@@H](C(=O)N1Cc2cncnc2[C@H](C)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001576216640 950741661 /nfs/dbraw/zinc/74/16/61/950741661.db2.gz FCKQTGSEIAKRJJ-BDAKNGLRSA-N -1 1 340.351 -0.045 20 0 EBADMM C[C@H]1[C@@H](Nc2cncc(-c3nnn[n-]3)n2)CCN1C(=O)c1cn[nH]n1 ZINC001575934112 950852171 /nfs/dbraw/zinc/85/21/71/950852171.db2.gz QIAKUACNWZVNCT-YUMQZZPRSA-N -1 1 341.339 -0.510 20 0 EBADMM C[C@H]1[C@@H](Nc2cncc(-c3nn[n-]n3)n2)CCN1C(=O)c1cn[nH]n1 ZINC001575934112 950852195 /nfs/dbraw/zinc/85/21/95/950852195.db2.gz QIAKUACNWZVNCT-YUMQZZPRSA-N -1 1 341.339 -0.510 20 0 EBADMM CC1(C)C[C@H](CNC(=O)NCCn2cnc(-c3nn[n-]n3)n2)CCO1 ZINC001575639058 950860615 /nfs/dbraw/zinc/86/06/15/950860615.db2.gz OFYPGIVCVAYTLO-SNVBAGLBSA-N -1 1 349.399 -0.037 20 0 EBADMM C[C@@H]1[C@@H](Nc2cncc(-c3nnn[n-]3)n2)CCN1C(=O)c1cnon1 ZINC001575934798 950866104 /nfs/dbraw/zinc/86/61/04/950866104.db2.gz TYIKNWLGBHBELO-SFYZADRCSA-N -1 1 342.323 -0.245 20 0 EBADMM C[C@@H]1[C@@H](Nc2cncc(-c3nn[n-]n3)n2)CCN1C(=O)c1cnon1 ZINC001575934798 950866119 /nfs/dbraw/zinc/86/61/19/950866119.db2.gz TYIKNWLGBHBELO-SFYZADRCSA-N -1 1 342.323 -0.245 20 0 EBADMM CC1(C)C[C@H](O)CCN(C(=O)NCCn2cnc(-c3nn[n-]n3)n2)C1 ZINC001575640674 950900664 /nfs/dbraw/zinc/90/06/64/950900664.db2.gz JOYYMLDJJXPERH-SNVBAGLBSA-N -1 1 349.399 -0.349 20 0 EBADMM C[C@@H](CNC(=O)c1ccc[nH]1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575027556 951159694 /nfs/dbraw/zinc/15/96/94/951159694.db2.gz RYGPPTPDQRWKGO-SECBINFHSA-N -1 1 327.352 -0.115 20 0 EBADMM C[C@@H](CNC(=O)c1cccnn1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575032442 951223289 /nfs/dbraw/zinc/22/32/89/951223289.db2.gz XOLGPBKCQBNXQJ-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@@H](CNC(=O)c1cccnn1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575032442 951223298 /nfs/dbraw/zinc/22/32/98/951223298.db2.gz XOLGPBKCQBNXQJ-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1ccnnc1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575033924 951232521 /nfs/dbraw/zinc/23/25/21/951232521.db2.gz DXSRCLKTRCVLRA-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@H](CNC(=O)c1ccnnc1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575033924 951232527 /nfs/dbraw/zinc/23/25/27/951232527.db2.gz DXSRCLKTRCVLRA-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1ccnnc1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575034185 951241535 /nfs/dbraw/zinc/24/15/35/951241535.db2.gz IYMPLRMIZGUCIP-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1ccnnc1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575034185 951241548 /nfs/dbraw/zinc/24/15/48/951241548.db2.gz IYMPLRMIZGUCIP-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1ccnnc1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575034338 951243413 /nfs/dbraw/zinc/24/34/13/951243413.db2.gz MJYPGSOJKFQKPS-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1ccn(C)n1)Nc1nccnc1-c1nnn[n-]1 ZINC001575036019 951290275 /nfs/dbraw/zinc/29/02/75/951290275.db2.gz OQXHUBCRBDRYAT-QMMMGPOBSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@@H](CNC(=O)c1ccn(C)n1)Nc1nccnc1-c1nn[n-]n1 ZINC001575036019 951290288 /nfs/dbraw/zinc/29/02/88/951290288.db2.gz OQXHUBCRBDRYAT-QMMMGPOBSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)cn1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001575041745 951349675 /nfs/dbraw/zinc/34/96/75/951349675.db2.gz AUKPRCXEWLRVGM-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)cn1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575041745 951349687 /nfs/dbraw/zinc/34/96/87/951349687.db2.gz AUKPRCXEWLRVGM-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)nn1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001575042028 951355957 /nfs/dbraw/zinc/35/59/57/951355957.db2.gz FZLCYFHJANTBLO-MRVPVSSYSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)nn1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575042028 951355969 /nfs/dbraw/zinc/35/59/69/951355969.db2.gz FZLCYFHJANTBLO-MRVPVSSYSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@@H](CNC(=O)c1cn[nH]c(=O)c1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001575044011 951408536 /nfs/dbraw/zinc/40/85/36/951408536.db2.gz NUIIAKRQUFKSAO-ZETCQYMHSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@@H](CNC(=O)c1cn[nH]c(=O)c1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575044011 951408547 /nfs/dbraw/zinc/40/85/47/951408547.db2.gz NUIIAKRQUFKSAO-ZETCQYMHSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@H](CNC(=O)c1cn[nH]c1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001575044652 951418295 /nfs/dbraw/zinc/41/82/95/951418295.db2.gz UNDURIFKJNGMIH-MRVPVSSYSA-N -1 1 328.340 -0.366 20 0 EBADMM C[C@H](CNC(=O)c1cn[nH]c1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575044652 951418302 /nfs/dbraw/zinc/41/83/02/951418302.db2.gz UNDURIFKJNGMIH-MRVPVSSYSA-N -1 1 328.340 -0.366 20 0 EBADMM C[C@H](CNC(=O)c1cncn1C)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001575045926 951434608 /nfs/dbraw/zinc/43/46/08/951434608.db2.gz JPBGPVGBCOOZNW-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1cncn1C)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575045926 951434618 /nfs/dbraw/zinc/43/46/18/951434618.db2.gz JPBGPVGBCOOZNW-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1cncnc1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575045992 951436010 /nfs/dbraw/zinc/43/60/10/951436010.db2.gz KLWXZMLUSRIBPC-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@H](CNC(=O)c1cncnc1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575045992 951436019 /nfs/dbraw/zinc/43/60/19/951436019.db2.gz KLWXZMLUSRIBPC-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1cnccn1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575046700 951444823 /nfs/dbraw/zinc/44/48/23/951444823.db2.gz REIUAGXDPKSLLC-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1cnccn1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575046700 951444825 /nfs/dbraw/zinc/44/48/25/951444825.db2.gz REIUAGXDPKSLLC-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1cncn1C)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575047027 951452176 /nfs/dbraw/zinc/45/21/76/951452176.db2.gz XNGSFQNUHHKTIR-SECBINFHSA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@@H](CNC(=O)c1cncn1C)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575047027 951452180 /nfs/dbraw/zinc/45/21/80/951452180.db2.gz XNGSFQNUHHKTIR-SECBINFHSA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)c1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575052580 951470197 /nfs/dbraw/zinc/47/01/97/951470197.db2.gz MJAPWAOCJULVQZ-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1cnns1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575053746 951484830 /nfs/dbraw/zinc/48/48/30/951484830.db2.gz DMUXFSZKLCNQQO-ZETCQYMHSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@H](CNC(=O)c1cnns1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575053746 951484837 /nfs/dbraw/zinc/48/48/37/951484837.db2.gz DMUXFSZKLCNQQO-ZETCQYMHSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@H](CNC(=O)c1cnon1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001575054267 951491101 /nfs/dbraw/zinc/49/11/01/951491101.db2.gz HIFOQECXMDQREF-SSDOTTSWSA-N -1 1 330.312 -0.706 20 0 EBADMM C[C@H](CNC(=O)c1cnon1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575054267 951491108 /nfs/dbraw/zinc/49/11/08/951491108.db2.gz HIFOQECXMDQREF-SSDOTTSWSA-N -1 1 330.312 -0.706 20 0 EBADMM C[C@@H](CNC(=O)c1cnnn1C)Nc1nccnc1-c1nnn[n-]1 ZINC001575055551 951510197 /nfs/dbraw/zinc/51/01/97/951510197.db2.gz XBVCHLMHIRRSEX-ZETCQYMHSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@@H](CNC(=O)c1cnnn1C)Nc1nccnc1-c1nn[n-]n1 ZINC001575055551 951510203 /nfs/dbraw/zinc/51/02/03/951510203.db2.gz XBVCHLMHIRRSEX-ZETCQYMHSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@@H](CNC(=O)c1cnns1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001575055644 951512063 /nfs/dbraw/zinc/51/20/63/951512063.db2.gz YTVPFGVRYBBCKH-SSDOTTSWSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@@H](CNC(=O)c1cnns1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575055644 951512072 /nfs/dbraw/zinc/51/20/72/951512072.db2.gz YTVPFGVRYBBCKH-SSDOTTSWSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@@H](CNC(=O)c1cnon1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575055678 951512868 /nfs/dbraw/zinc/51/28/68/951512868.db2.gz ZUEUIAVXVGVPGO-SSDOTTSWSA-N -1 1 330.312 -0.482 20 0 EBADMM C[C@@H](CNC(=O)c1cnon1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575055678 951512875 /nfs/dbraw/zinc/51/28/75/951512875.db2.gz ZUEUIAVXVGVPGO-SSDOTTSWSA-N -1 1 330.312 -0.482 20 0 EBADMM C[C@H](CNC(=O)c1cocn1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575056102 951516808 /nfs/dbraw/zinc/51/68/08/951516808.db2.gz FJOCEWMHCNBFIE-MRVPVSSYSA-N -1 1 329.324 -0.101 20 0 EBADMM C[C@H](CNC(=O)c1cocn1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575056102 951516816 /nfs/dbraw/zinc/51/68/16/951516816.db2.gz FJOCEWMHCNBFIE-MRVPVSSYSA-N -1 1 329.324 -0.101 20 0 EBADMM C[C@@H](CNC(=O)c1cocn1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001575059267 951539737 /nfs/dbraw/zinc/53/97/37/951539737.db2.gz YPOSUSBCYBMUFT-QMMMGPOBSA-N -1 1 329.324 -0.101 20 0 EBADMM C[C@@H](CNC(=O)c1cocn1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575059267 951539743 /nfs/dbraw/zinc/53/97/43/951539743.db2.gz YPOSUSBCYBMUFT-QMMMGPOBSA-N -1 1 329.324 -0.101 20 0 EBADMM C[C@@H](CNC(=O)c1n[nH]cc1F)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575062568 951571990 /nfs/dbraw/zinc/57/19/90/951571990.db2.gz XTLKRZYHULKLQW-ZETCQYMHSA-N -1 1 346.330 -0.226 20 0 EBADMM C[C@@H](CNC(=O)c1ncccn1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575066096 951591283 /nfs/dbraw/zinc/59/12/83/951591283.db2.gz FFGIJRGAZXKACT-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@@H](CNC(=O)c1ncccn1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575066096 951591291 /nfs/dbraw/zinc/59/12/91/951591291.db2.gz FFGIJRGAZXKACT-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1ncn(C)n1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575067874 951625411 /nfs/dbraw/zinc/62/54/11/951625411.db2.gz QELCMVARPLPZPL-MRVPVSSYSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@H](CNC(=O)c1ncn(C)n1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575067874 951625417 /nfs/dbraw/zinc/62/54/17/951625417.db2.gz QELCMVARPLPZPL-MRVPVSSYSA-N -1 1 343.355 -0.960 20 0 EBADMM O=C([O-])CN1CCC(NC(=O)NC[C@H]2CCCS2(=O)=O)CC1 ZINC001595076528 951646135 /nfs/dbraw/zinc/64/61/35/951646135.db2.gz BLYOSEPXRREQHG-LLVKDONJSA-N -1 1 333.410 -0.588 20 0 EBADMM C[C@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)[C@H]1COC(=O)N1 ZINC001575132290 952092681 /nfs/dbraw/zinc/09/26/81/952092681.db2.gz FBUVQMGJAFUEIB-VXNVDRBHSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)[C@H]1COC(=O)N1 ZINC001575132290 952092691 /nfs/dbraw/zinc/09/26/91/952092691.db2.gz FBUVQMGJAFUEIB-VXNVDRBHSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)c1cnon1 ZINC001575134364 952110473 /nfs/dbraw/zinc/11/04/73/952110473.db2.gz HFNOQJRMYRTZOT-SSDOTTSWSA-N -1 1 330.312 -0.388 20 0 EBADMM C[C@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)c1cnon1 ZINC001575134364 952110479 /nfs/dbraw/zinc/11/04/79/952110479.db2.gz HFNOQJRMYRTZOT-SSDOTTSWSA-N -1 1 330.312 -0.388 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)[C@@H]1CCCO1 ZINC001575143783 952157632 /nfs/dbraw/zinc/15/76/32/952157632.db2.gz RSTXABLBOSZWSY-UWVGGRQHSA-N -1 1 332.368 -0.484 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1cnsn1 ZINC001575144270 952163969 /nfs/dbraw/zinc/16/39/69/952163969.db2.gz HNMXKGPEWKHZIE-SSDOTTSWSA-N -1 1 346.380 -0.497 20 0 EBADMM O=C([O-])[C@@H]1CCCN1S(=O)(=O)NC[C@@H]1CCN1C1CCOCC1 ZINC001595169096 952217123 /nfs/dbraw/zinc/21/71/23/952217123.db2.gz IEWKTFBFFVJXAL-STQMWFEESA-N -1 1 347.437 -0.377 20 0 EBADMM C[C@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)c1cnn(C)n1 ZINC001575156999 952260881 /nfs/dbraw/zinc/26/08/81/952260881.db2.gz KNTAKJVQRKXJKF-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)c1cnn(C)n1 ZINC001575156999 952260885 /nfs/dbraw/zinc/26/08/85/952260885.db2.gz KNTAKJVQRKXJKF-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)c1cn[nH]c1 ZINC001575157980 952267870 /nfs/dbraw/zinc/26/78/70/952267870.db2.gz QMAZWMMABDPKKH-QMMMGPOBSA-N -1 1 328.340 -0.048 20 0 EBADMM C[C@@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)c1cn[nH]c1 ZINC001575157980 952267874 /nfs/dbraw/zinc/26/78/74/952267874.db2.gz QMAZWMMABDPKKH-QMMMGPOBSA-N -1 1 328.340 -0.048 20 0 EBADMM C[C@@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)[C@@H]1COC(=O)N1 ZINC001575158077 952269721 /nfs/dbraw/zinc/26/97/21/952269721.db2.gz RUHULUFMRWDSNP-CBAPKCEASA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)[C@@H]1COC(=O)N1 ZINC001575158077 952269724 /nfs/dbraw/zinc/26/97/24/952269724.db2.gz RUHULUFMRWDSNP-CBAPKCEASA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)[C@@H]1COC(=O)N1 ZINC001575158075 952269823 /nfs/dbraw/zinc/26/98/23/952269823.db2.gz RUHULUFMRWDSNP-APPZFPTMSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)[C@@H]1COC(=O)N1 ZINC001575158075 952269828 /nfs/dbraw/zinc/26/98/28/952269828.db2.gz RUHULUFMRWDSNP-APPZFPTMSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)c1cnon1 ZINC001575158660 952273883 /nfs/dbraw/zinc/27/38/83/952273883.db2.gz WXJQLENNNQFGCA-ZETCQYMHSA-N -1 1 330.312 -0.388 20 0 EBADMM C[C@@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)c1cnon1 ZINC001575158660 952273885 /nfs/dbraw/zinc/27/38/85/952273885.db2.gz WXJQLENNNQFGCA-ZETCQYMHSA-N -1 1 330.312 -0.388 20 0 EBADMM C[C@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)Cc1ncc[nH]1 ZINC001575177142 952399943 /nfs/dbraw/zinc/39/99/43/952399943.db2.gz GTEBRMFJGMVVAI-SECBINFHSA-N -1 1 342.367 -0.119 20 0 EBADMM C[C@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)Cc1ncc[nH]1 ZINC001575177142 952399947 /nfs/dbraw/zinc/39/99/47/952399947.db2.gz GTEBRMFJGMVVAI-SECBINFHSA-N -1 1 342.367 -0.119 20 0 EBADMM C[C@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)[C@H]1C[C@H]1C(N)=O ZINC001575178138 952405280 /nfs/dbraw/zinc/40/52/80/952405280.db2.gz KSIGCRHUUOBOFY-HLTSFMKQSA-N -1 1 345.367 -0.963 20 0 EBADMM C[C@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)[C@H]1C[C@H]1C(N)=O ZINC001575178138 952405283 /nfs/dbraw/zinc/40/52/83/952405283.db2.gz KSIGCRHUUOBOFY-HLTSFMKQSA-N -1 1 345.367 -0.963 20 0 EBADMM C[C@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)Cn1cncn1 ZINC001575180566 952417997 /nfs/dbraw/zinc/41/79/97/952417997.db2.gz WHFMQLJQVXRFRK-SECBINFHSA-N -1 1 343.355 -0.793 20 0 EBADMM C[C@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)Cn1cncn1 ZINC001575180566 952418000 /nfs/dbraw/zinc/41/80/00/952418000.db2.gz WHFMQLJQVXRFRK-SECBINFHSA-N -1 1 343.355 -0.793 20 0 EBADMM O=C([O-])[C@H]1[C@H](NC(=O)C(F)(F)F)CCCN1C(=O)Cc1nnc[nH]1 ZINC001594888364 954484921 /nfs/dbraw/zinc/48/49/21/954484921.db2.gz CCOVJRZSPDPWOQ-HZGVNTEJSA-N -1 1 349.269 -0.530 20 0 EBADMM C[C@@H]1CS(=O)(=O)CCCN1C(=O)NC1CCN(CC(=O)[O-])CC1 ZINC001589408283 954485392 /nfs/dbraw/zinc/48/53/92/954485392.db2.gz CLMRCRRFAFOBQC-LLVKDONJSA-N -1 1 347.437 -0.246 20 0 EBADMM O=C([O-])[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1CCn1cnnn1 ZINC001594894840 954558285 /nfs/dbraw/zinc/55/82/85/954558285.db2.gz AFZFHIWQOKQOGU-SFYZADRCSA-N -1 1 336.274 -0.731 20 0 EBADMM O=C([O-])[C@@H]1CC(=O)N(C2CCN(C(=O)CCc3nn[nH]n3)CC2)C1 ZINC001594894924 954559179 /nfs/dbraw/zinc/55/91/79/954559179.db2.gz CAVSNWKHBTXMRK-SECBINFHSA-N -1 1 336.352 -0.944 20 0 EBADMM CN1C[C@H](O)C[C@@]1(C)C(=O)NC[C@H]1CCC2(CC(C(=O)[O-])C2)O1 ZINC001593773355 954561427 /nfs/dbraw/zinc/56/14/27/954561427.db2.gz CVVFUMSWJQNYBL-SYSTVLIUSA-N -1 1 326.393 -0.030 20 0 EBADMM CN1CC[C@@H]2CN(C(=O)C3(C(=O)[O-])CCS(=O)(=O)CC3)C[C@@H]2C1 ZINC001593787555 954726840 /nfs/dbraw/zinc/72/68/40/954726840.db2.gz OVXAKNIFCXPVHK-NEPJUHHUSA-N -1 1 344.433 -0.324 20 0 EBADMM CNC(=O)Cc1nc(CN2C[C@H]3COC[C@@]3(C(=O)[O-])C2)cs1 ZINC001593793807 954788393 /nfs/dbraw/zinc/78/83/93/954788393.db2.gz ZMNZCDBVEKYODK-XPTSAGLGSA-N -1 1 325.390 -0.035 20 0 EBADMM O=C([O-])[C@@H]1CN(CN2C[C@@]3(CN4CCC3CC4)OC2=O)CCO1 ZINC001594951546 954956292 /nfs/dbraw/zinc/95/62/92/954956292.db2.gz MAWIWHDLQBTWJK-SWLSCSKDSA-N -1 1 325.365 -0.354 20 0 EBADMM CC(C)CN1CCO[C@@H](CNC(=O)c2cn(CC(=O)[O-])nn2)C1 ZINC001603028852 972087285 /nfs/dbraw/zinc/08/72/85/972087285.db2.gz BTIWPADUYOYRMX-NSHDSACASA-N -1 1 325.369 -0.551 20 0 EBADMM Cn1cc([C@@H]2C[C@H](C(=O)[O-])CN(CCNS(C)(=O)=O)C2)cn1 ZINC001594534751 955561497 /nfs/dbraw/zinc/56/14/97/955561497.db2.gz GSCMDOPIINDAPN-MNOVXSKESA-N -1 1 330.410 -0.541 20 0 EBADMM Cn1cc([C@@H]2C[C@@H](C(=O)[O-])CN(CCNS(C)(=O)=O)C2)cn1 ZINC001594534748 955561674 /nfs/dbraw/zinc/56/16/74/955561674.db2.gz GSCMDOPIINDAPN-GHMZBOCLSA-N -1 1 330.410 -0.541 20 0 EBADMM Cn1cc([C@H]2C[C@@H](C(=O)[O-])CN(CCNS(C)(=O)=O)C2)cn1 ZINC001594534755 955561700 /nfs/dbraw/zinc/56/17/00/955561700.db2.gz GSCMDOPIINDAPN-WDEREUQCSA-N -1 1 330.410 -0.541 20 0 EBADMM Cn1cc(CC[N@H+]2CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@@H]2C(=O)[O-])nn1 ZINC001594541745 955659756 /nfs/dbraw/zinc/65/97/56/955659756.db2.gz LLCOVDYQGDBEOR-NXEZZACHSA-N -1 1 349.313 -0.046 20 0 EBADMM CO[C@@](C)(C(=O)[O-])C(=O)N1CCC(O)(CN2CCOCC2)CC1 ZINC001593919756 956063678 /nfs/dbraw/zinc/06/36/78/956063678.db2.gz VAWWDSVILRBDLC-CQSZACIVSA-N -1 1 330.381 -0.838 20 0 EBADMM C[C@H](N[C@@H]1CCN(CC(=O)[O-])C1=O)c1cccc(S(N)(=O)=O)c1 ZINC001589124366 956156165 /nfs/dbraw/zinc/15/61/65/956156165.db2.gz VKVBJJJXOVVZBD-JOYOIKCWSA-N -1 1 341.389 -0.330 20 0 EBADMM COCCN(CCC(=O)[O-])C(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC001594004717 956952492 /nfs/dbraw/zinc/95/24/92/956952492.db2.gz RWNPLAHIXTULEJ-STQMWFEESA-N -1 1 330.429 -0.247 20 0 EBADMM C[C@@H](CNC(=O)C(=O)NC[C@@H]1CCN1C1CCOCC1)C(=O)[O-] ZINC001603166711 972264269 /nfs/dbraw/zinc/26/42/69/972264269.db2.gz SJRLFSCVLCQAHW-JQWIXIFHSA-N -1 1 327.381 -0.807 20 0 EBADMM COCc1nc(CNC(=O)N2CC[C@@](COC)(C(=O)[O-])C2)n[nH]1 ZINC001594031092 957759591 /nfs/dbraw/zinc/75/95/91/957759591.db2.gz JAHLXLICVLEYTO-CYBMUJFWSA-N -1 1 327.341 -0.416 20 0 EBADMM C[C@@H]1CC[C@H](C(=O)[O-])N1S(=O)(=O)CCCN1CCN(C)CC1 ZINC001594220353 959367811 /nfs/dbraw/zinc/36/78/11/959367811.db2.gz DVNNYLSHUOTYLT-CHWSQXEVSA-N -1 1 333.454 -0.109 20 0 EBADMM C[C@@H]1CN(C2CCOCC2)CCN1C(=O)c1cn(CC(=O)[O-])nn1 ZINC001594221348 959378302 /nfs/dbraw/zinc/37/83/02/959378302.db2.gz WSISSWKYRKHBLH-LLVKDONJSA-N -1 1 337.380 -0.312 20 0 EBADMM O=C([O-])[C@@H]1CC(C(=O)NCCN2CC[C@@H](O)C2)=NN1c1ccccc1 ZINC001574570695 962052859 /nfs/dbraw/zinc/05/28/59/962052859.db2.gz CXNDMRYVNHDKFG-HIFRSBDPSA-N -1 1 346.387 -0.111 20 0 EBADMM C[C@H]1C[C@H](Nc2ccnc(C(=O)[O-])n2)CN1C(=O)Cc1ccn[nH]1 ZINC001571193679 962293789 /nfs/dbraw/zinc/29/37/89/962293789.db2.gz YICDUOZLBKDOHP-ONGXEEELSA-N -1 1 330.348 -0.036 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)[C@@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC001571200024 962353797 /nfs/dbraw/zinc/35/37/97/962353797.db2.gz DYVLNFIFAXKRCX-KCJUWKMLSA-N -1 1 332.320 -0.702 20 0 EBADMM CCN1CCN(C2CN(S(=O)(=O)C3(C(=O)[O-])CCC3)C2)CC1 ZINC001571203044 962385831 /nfs/dbraw/zinc/38/58/31/962385831.db2.gz UOTPKWNUHYQTBC-UHFFFAOYSA-N -1 1 331.438 -0.355 20 0 EBADMM O=C([O-])[C@H]1C[C@@H]1C(=O)NC1CCN(C(=O)CCc2c[nH]nn2)CC1 ZINC001571222943 962558762 /nfs/dbraw/zinc/55/87/62/962558762.db2.gz AHAHJYNARPGMJS-RYUDHWBXSA-N -1 1 335.364 -0.435 20 0 EBADMM O=C([O-])[C@H]1C[C@@H]1C(=O)NC1CCN(C(=O)CCc2cnn[nH]2)CC1 ZINC001571222943 962558771 /nfs/dbraw/zinc/55/87/71/962558771.db2.gz AHAHJYNARPGMJS-RYUDHWBXSA-N -1 1 335.364 -0.435 20 0 EBADMM Cc1nc(CC(=O)NC2CCN(C(=O)[C@H]3C[C@H]3C(=O)[O-])CC2)n[nH]1 ZINC001571223641 962564158 /nfs/dbraw/zinc/56/41/58/962564158.db2.gz ISQJPLADVNDXEK-WDEREUQCSA-N -1 1 335.364 -0.516 20 0 EBADMM O=C([O-])CC[C@H]1C[C@@H](C(=O)N2CCC(O)(c3nn[nH]n3)CC2)CO1 ZINC001574680690 962583406 /nfs/dbraw/zinc/58/34/06/962583406.db2.gz CXLSTIXNHFDEFL-ZJUUUORDSA-N -1 1 339.352 -0.720 20 0 EBADMM O=C([O-])C1(C(=O)N2CC[C@H](c3nc[nH]n3)C2)CCS(=O)(=O)CC1 ZINC001605912223 972780734 /nfs/dbraw/zinc/78/07/34/972780734.db2.gz KHVWVNBIRGAEFY-VIFPVBQESA-N -1 1 342.377 -0.600 20 0 EBADMM CO[C@@H](C(=O)[O-])C(=O)NCC1CCN(CC(=O)NC(C)(C)C)CC1 ZINC001604432559 973222760 /nfs/dbraw/zinc/22/27/60/973222760.db2.gz RZTNMWJOKVYGOH-CYBMUJFWSA-N -1 1 343.424 -0.171 20 0 EBADMM O=C([O-])CN1CC[C@@H](N2CC[C@@H](C(=O)NCC(F)(F)F)C2)C1=O ZINC001606232373 973498977 /nfs/dbraw/zinc/49/89/77/973498977.db2.gz SUEXOCYYOOFLIG-RKDXNWHRSA-N -1 1 337.298 -0.328 20 0 EBADMM Cn1cc(CN2CCC[C@@H](NC(=O)C(F)(F)F)[C@H]2C(=O)[O-])nn1 ZINC001605496369 973659299 /nfs/dbraw/zinc/65/92/99/973659299.db2.gz BGHVJGIGYSDBPJ-BDAKNGLRSA-N -1 1 335.286 -0.089 20 0 EBADMM COc1cc([C@H](C)NCC(=O)NCC(=O)[O-])ccc1OCCO ZINC001604692534 973890824 /nfs/dbraw/zinc/89/08/24/973890824.db2.gz YNJMWVTYGBVJBQ-JTQLQIEISA-N -1 1 326.349 -0.082 20 0 EBADMM C[C@@H](CN1CCOCC1)C(=O)N[C@H](CCC1OCCO1)C(=O)[O-] ZINC001592624369 978597854 /nfs/dbraw/zinc/59/78/54/978597854.db2.gz NYDWAIXAQSYZMQ-NWDGAFQWSA-N -1 1 330.381 -0.323 20 0 EBADMM C[C@@H](CNS(=O)(=O)[C@H]1C[C@@H](C(=O)[O-])C1)CN1CCN(C)CC1 ZINC001592716432 979217892 /nfs/dbraw/zinc/21/78/92/979217892.db2.gz VRCPKSBJJNXWOE-RWMBFGLXSA-N -1 1 333.454 -0.348 20 0 EBADMM C[C@H](Cc1ccc(NC(=O)C(=O)NC[C@@H]2COCCN2)cc1)C(=O)[O-] ZINC001592852825 979638623 /nfs/dbraw/zinc/63/86/23/979638623.db2.gz FTDOSKYEYOLZJL-BXUZGUMPSA-N -1 1 349.387 -0.007 20 0 EBADMM C[C@H](Cc1cnc[nH]1)C(=O)N1CCN([C@]2(C(=O)[O-])CCOC2)CC1 ZINC001592907981 979860213 /nfs/dbraw/zinc/86/02/13/979860213.db2.gz VKWGHIBGJQBZJP-MLGOLLRUSA-N -1 1 336.392 -0.024 20 0 EBADMM CC[C@@](COC)(NS(=O)(=O)CC(C)(C)C(=O)[O-])C(=O)OC ZINC001595199457 979941197 /nfs/dbraw/zinc/94/11/97/979941197.db2.gz HJSNDXXTSPDGAI-LBPRGKRZSA-N -1 1 325.383 -0.015 20 0 EBADMM C[C@@H](NC(=O)CN[C@@](C)(CC(=O)[O-])c1ccncc1)C(=O)N(C)C ZINC001593029606 980301298 /nfs/dbraw/zinc/30/12/98/980301298.db2.gz VIXKXZNUTRZHEE-BZNIZROVSA-N -1 1 336.392 -0.046 20 0 EBADMM CCN(CCNc1ccnc(C(=O)[O-])n1)C(=O)CCc1c[nH]nn1 ZINC001596339520 983784315 /nfs/dbraw/zinc/78/43/15/983784315.db2.gz MLCAHWCTJNQGEF-UHFFFAOYSA-N -1 1 333.352 -0.392 20 0 EBADMM CCN(CCNc1ccnc(C(=O)[O-])n1)C(=O)CCc1cnn[nH]1 ZINC001596339520 983784320 /nfs/dbraw/zinc/78/43/20/983784320.db2.gz MLCAHWCTJNQGEF-UHFFFAOYSA-N -1 1 333.352 -0.392 20 0 EBADMM CCOC(=O)[C@@H]1CCCN(C(=O)CN2CC[C@](O)(C(=O)[O-])C2)C1 ZINC001596575071 984475343 /nfs/dbraw/zinc/47/53/43/984475343.db2.gz VABBJYZAQBJRAL-IAQYHMDHSA-N -1 1 328.365 -0.691 20 0 EBADMM CCOC(=O)[C@@H]1CNCCN1CC(=O)N[C@@H](C(=O)[O-])[C@@H](C)CC ZINC001596585373 984510171 /nfs/dbraw/zinc/51/01/71/984510171.db2.gz NHRRWZHILYWMOX-GMXVVIOVSA-N -1 1 329.397 -0.561 20 0 EBADMM C[C@H](NC(=O)[C@H](C)NS(=O)(=O)c1cc(O)cc(F)c1)C(=O)[O-] ZINC001599900495 984813130 /nfs/dbraw/zinc/81/31/30/984813130.db2.gz UJUPMOJOXNFPBM-BQBZGAKWSA-N -1 1 334.325 -0.213 20 0 EBADMM CC(=O)N[C@@H]1CCCN(C(=O)CN[C@H](C[C@H]2CCCO2)C(=O)[O-])C1 ZINC001589119891 985382113 /nfs/dbraw/zinc/38/21/13/985382113.db2.gz JVHVYGVLTPPEKU-MGPQQGTHSA-N -1 1 341.408 -0.275 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NCc1nc2ncccn2n1 ZINC001594519099 985941738 /nfs/dbraw/zinc/94/17/38/985941738.db2.gz KFPDAWWGJZBLHT-NSHDSACASA-N -1 1 347.379 -0.185 20 0 EBADMM C[C@H]1CN(CCCNS(=O)(=O)c2cc(C(=O)[O-])[nH]n2)CCCO1 ZINC001594527963 986092473 /nfs/dbraw/zinc/09/24/73/986092473.db2.gz QGXHAASWCWTBBT-JTQLQIEISA-N -1 1 346.409 -0.113 20 0 EBADMM CCOc1ccc(N2CCN(CCC(=O)NCC(=O)[O-])CC2)nn1 ZINC001597111251 986763135 /nfs/dbraw/zinc/76/31/35/986763135.db2.gz JUCDUANUEKITCK-UHFFFAOYSA-N -1 1 337.380 -0.412 20 0 EBADMM CC(C)(C(=O)[O-])N1CCN(C(=O)C(=O)NCC[C@H]2CCCO2)CC1 ZINC001589620522 987497526 /nfs/dbraw/zinc/49/75/26/987497526.db2.gz IQIWOZZYULNOKG-GFCCVEGCSA-N -1 1 341.408 -0.321 20 0 EBADMM CCc1nn(C)cc1C(=O)N(CCN1CCN(C)CC1)CC(=O)[O-] ZINC001597719047 988999711 /nfs/dbraw/zinc/99/97/11/988999711.db2.gz ZJSMSRDGICUYOD-UHFFFAOYSA-N -1 1 337.424 -0.243 20 0 EBADMM CN(C(=O)Cc1ncn[nH]1)C1CC(Nc2ncc(C(=O)[O-])cn2)C1 ZINC001598040374 990269067 /nfs/dbraw/zinc/26/90/67/990269067.db2.gz MQECYVZHVMRITE-UHFFFAOYSA-N -1 1 331.336 -0.063 20 0 EBADMM CN(C)[C@@H](CNC(=O)C(=O)NC[C@H](F)C(=O)[O-])c1ccccc1 ZINC001598169184 990687037 /nfs/dbraw/zinc/68/70/37/990687037.db2.gz CEGJYWDDMWMKSS-RYUDHWBXSA-N -1 1 325.340 -0.056 20 0 EBADMM CN(CC(=O)N1CCNCC1)S(=O)(=O)c1ccc(C(=O)[O-])o1 ZINC001598380523 991582785 /nfs/dbraw/zinc/58/27/85/991582785.db2.gz HVPWMRGVSVLKMZ-UHFFFAOYSA-N -1 1 331.350 -0.970 20 0 EBADMM CN(CC(=O)N[C@@H](CCC1OCCO1)C(=O)[O-])[C@@H]1CCC[C@H]1O ZINC001598387757 991623368 /nfs/dbraw/zinc/62/33/68/991623368.db2.gz UXPBPAVUFBKSRZ-QJPTWQEYSA-N -1 1 330.381 -0.446 20 0 EBADMM CN(CC(=O)N[C@@H](C[C@@H]1CCCO1)C(=O)[O-])c1ncnc2[nH]cnc21 ZINC001598387847 991625194 /nfs/dbraw/zinc/62/51/94/991625194.db2.gz XEENROMYGXNBKM-UWVGGRQHSA-N -1 1 348.363 -0.072 20 0 EBADMM CS(=O)(=O)Nc1ccc(C(=O)N[C@]2(CC(=O)[O-])CCOC2)cn1 ZINC001599761277 992125521 /nfs/dbraw/zinc/12/55/21/992125521.db2.gz UZXKLCWXBNHQRI-ZDUSSCGKSA-N -1 1 343.361 -0.183 20 0 EBADMM CN(CCN(C)C(=O)[C@H]1C[C@@H]1C(=O)[O-])CCN1C(=O)CCC1=O ZINC001598486972 992218468 /nfs/dbraw/zinc/21/84/68/992218468.db2.gz YBZJAIUIFJKEEU-QWRGUYRKSA-N -1 1 325.365 -0.754 20 0 EBADMM CS(=O)(=O)c1cccnc1C(=O)N[C@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001599773625 992275475 /nfs/dbraw/zinc/27/54/75/992275475.db2.gz RDUDALHVYXNQKO-SECBINFHSA-N -1 1 338.345 -0.366 20 0 EBADMM CS(C)(=O)=NS(=O)(=O)CCNC[C@H]1[C@H](C(=O)[O-])C1(F)F ZINC001599781725 992373051 /nfs/dbraw/zinc/37/30/51/992373051.db2.gz SZYVVZOOTOUYBK-NKWVEPMBSA-N -1 1 334.366 -0.401 20 0 EBADMM CC(C)Cn1[nH]c(CC(=O)NCCC(=O)NCCC(=O)[O-])cc1=O ZINC001591504877 992648593 /nfs/dbraw/zinc/64/85/93/992648593.db2.gz BQCIJNKEUITCHG-UHFFFAOYSA-N -1 1 340.380 -0.528 20 0 EBADMM CN(Cc1nnc[nH]1)C(=O)C(=O)N1Cc2ccccc2[C@H](C(=O)[O-])C1 ZINC001598541919 992912479 /nfs/dbraw/zinc/91/24/79/992912479.db2.gz XIBPHZCMRVQBAE-GFCCVEGCSA-N -1 1 343.343 -0.026 20 0 EBADMM CN1CC[C@H](N2CCC(NC(=O)[C@H]3CC[C@@H](C(=O)[O-])O3)CC2)C1=O ZINC001598585762 993380735 /nfs/dbraw/zinc/38/07/35/993380735.db2.gz MZZBHJWOLQBYIP-XQQFMLRXSA-N -1 1 339.392 -0.570 20 0 EBADMM CN1CCC(O)(CNS(=O)(=O)c2cncc(C(=O)[O-])c2)CC1 ZINC001598585949 993382906 /nfs/dbraw/zinc/38/29/06/993382906.db2.gz MLAOIGYRPDZGMD-UHFFFAOYSA-N -1 1 329.378 -0.485 20 0 EBADMM CN1CCCC[C@H]1C(=O)N[C@@H]1CN(c2ccnc(C(=O)[O-])n2)C[C@H]1O ZINC001598600535 993567303 /nfs/dbraw/zinc/56/73/03/993567303.db2.gz MQFTUTJBLGCJNI-GRYCIOLGSA-N -1 1 349.391 -0.675 20 0 EBADMM C[C@@H](NC(=O)NC[C@H]1CCO[C@H]1C(=O)[O-])[C@H]1CN(C)CCN1C ZINC001593100020 993732330 /nfs/dbraw/zinc/73/23/30/993732330.db2.gz YLXLZNJPMYFOSI-FDYHWXHSSA-N -1 1 328.413 -0.590 20 0 EBADMM CN1CCN(C)[C@@H](CNC(=O)N2CC(c3cc(C(=O)[O-])n[nH]3)C2)C1 ZINC001598612041 993795454 /nfs/dbraw/zinc/79/54/54/993795454.db2.gz PBZPDLOINGHAAD-NSHDSACASA-N -1 1 336.396 -0.538 20 0 EBADMM CN(C)c1ccnc(C(=O)N(CCN2CCN(C)CC2)CC(=O)[O-])c1 ZINC001598615375 993868837 /nfs/dbraw/zinc/86/88/37/993868837.db2.gz CECCHQYNLGLPSK-UHFFFAOYSA-N -1 1 349.435 -0.078 20 0 EBADMM CN(CCCN1CCN(C)CC1)S(=O)(=O)C1(C(=O)[O-])CCC1 ZINC001598617199 993908882 /nfs/dbraw/zinc/90/88/82/993908882.db2.gz SYQVQWISIHUZNL-UHFFFAOYSA-N -1 1 333.454 -0.107 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)[C@@H]2C[C@H]3CC(=O)[C@@H]2C3)CC1 ZINC001598617663 993922025 /nfs/dbraw/zinc/92/20/25/993922025.db2.gz BCEXKRMKDPSETB-BFHYXJOUSA-N -1 1 337.420 -0.238 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)[C@H]2CSCCS2)CC1 ZINC001598617884 993926912 /nfs/dbraw/zinc/92/69/12/993926912.db2.gz KBKPAIXAKDZUKK-GFCCVEGCSA-N -1 1 347.506 -0.004 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)[C@@H]2CCn3ccnc3C2)CC1 ZINC001598617976 993928672 /nfs/dbraw/zinc/92/86/72/993928672.db2.gz NSUNKBZAKZCAOD-CQSZACIVSA-N -1 1 349.435 -0.394 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)[C@H](O)c2ccccc2)CC1 ZINC001598618088 993931610 /nfs/dbraw/zinc/93/16/10/993931610.db2.gz RMUYIHHKKAXCMS-MRXNPFEDSA-N -1 1 335.404 -0.119 20 0 EBADMM CN1CCN(CCCS(=O)(=O)N[C@H]2C[C@@H](C(=O)[O-])[C@@H]3C[C@@H]32)CC1 ZINC001598618076 993932002 /nfs/dbraw/zinc/93/20/02/993932002.db2.gz RFSHMAAYBYIMBK-RQJABVFESA-N -1 1 345.465 -0.348 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)C2SCCS2)CC1 ZINC001598618160 993933145 /nfs/dbraw/zinc/93/31/45/993933145.db2.gz UWLYFBJBPBOFFY-UHFFFAOYSA-N -1 1 333.479 -0.047 20 0 EBADMM CN1CCN(c2cccc(C(=O)N3CCC[C@@H](O)[C@@H]3C(=O)[O-])n2)CC1 ZINC001598624995 994072656 /nfs/dbraw/zinc/07/26/56/994072656.db2.gz WEEHFFSVHMASIC-UKRRQHHQSA-N -1 1 348.403 -0.117 20 0 EBADMM C[C@H](N[C@@H](C(=O)[O-])C1CC1)C(=O)N1CCN(c2ncccn2)CC1 ZINC001593190037 994117807 /nfs/dbraw/zinc/11/78/07/994117807.db2.gz KETLEIYILUKYIL-WCQYABFASA-N -1 1 333.392 -0.034 20 0 EBADMM CNC(=O)CCCC(=O)N(CCN1CCN(C)CC1)CC(=O)[O-] ZINC001598640591 994311967 /nfs/dbraw/zinc/31/19/67/994311967.db2.gz KXRULPJZCGQDEO-UHFFFAOYSA-N -1 1 328.413 -0.937 20 0 EBADMM CNC(=O)[C@H](Cc1cnc[nH]1)NS(=O)(=O)CC1(CC(=O)[O-])CC1 ZINC001598655754 994518902 /nfs/dbraw/zinc/51/89/02/994518902.db2.gz MQUWYGSNQYBXFX-JTQLQIEISA-N -1 1 344.393 -0.759 20 0 EBADMM COC(=O)[C@H]1CC[C@H](C(=O)[O-])N(C(=O)CN(C)[C@@H]2CCC[C@H]2O)C1 ZINC001598780059 995973548 /nfs/dbraw/zinc/97/35/48/995973548.db2.gz YIBSPZUGVAMQIV-UMSGYPCISA-N -1 1 342.392 -0.304 20 0 EBADMM COC(=O)[C@H]1CCN(CCN2CCCS2(=O)=O)[C@H](C(=O)[O-])C1 ZINC001598801680 996143258 /nfs/dbraw/zinc/14/32/58/996143258.db2.gz SNVDOHUTQHBSAD-QWRGUYRKSA-N -1 1 334.394 -0.640 20 0 EBADMM COC(=O)[C@@H]1CN(CC(=O)N2CCOC(C)(C)C2)C[C@@H]1C(=O)[O-] ZINC001598820160 996316481 /nfs/dbraw/zinc/31/64/81/996316481.db2.gz GUYQAIHJDYPTNE-WDEREUQCSA-N -1 1 328.365 -0.571 20 0 EBADMM COC(=O)CC[C@H](C(=O)[O-])N1CCN([C@H](C)C(=O)NC2CC2)CC1 ZINC001598848813 996586874 /nfs/dbraw/zinc/58/68/74/996586874.db2.gz SLRWZYUTMDVCSV-DGCLKSJQSA-N -1 1 341.408 -0.323 20 0 EBADMM COC(=O)CCNC(=O)CN1CCC(n2cc(C(=O)[O-])nn2)CC1 ZINC001598854630 996627426 /nfs/dbraw/zinc/62/74/26/996627426.db2.gz LMUQOZYTIYIVKC-UHFFFAOYSA-N -1 1 339.352 -0.708 20 0 EBADMM CO[C@]1(C(=O)[O-])CCN(CC(=O)NCCCN2CCCC2=O)C1 ZINC001599077085 996897118 /nfs/dbraw/zinc/89/71/18/996897118.db2.gz VABXNPBDOAZDSK-OAHLLOKOSA-N -1 1 327.381 -0.709 20 0 EBADMM COC[C@@H]1CCCN1C(=O)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC001599204004 997370899 /nfs/dbraw/zinc/37/08/99/997370899.db2.gz SAFLQBHHOBXXSH-LBPRGKRZSA-N -1 1 341.408 -0.369 20 0 EBADMM COCCC[N@@H+](CCC(=O)NCC(=O)[O-])[C@@H]1CCS(=O)(=O)C1 ZINC001599221970 997686970 /nfs/dbraw/zinc/68/69/70/997686970.db2.gz KBMLFVSGJRXGEF-LLVKDONJSA-N -1 1 336.410 -0.897 20 0 EBADMM COCCCN(CCC(=O)NCC(=O)[O-])[C@@H]1CCS(=O)(=O)C1 ZINC001599221970 997686978 /nfs/dbraw/zinc/68/69/78/997686978.db2.gz KBMLFVSGJRXGEF-LLVKDONJSA-N -1 1 336.410 -0.897 20 0 EBADMM COCC[N@@H+](C)CCNC(=O)C(=O)N1Cc2ccc(C(=O)[O-])cc2C1 ZINC001599230727 997846193 /nfs/dbraw/zinc/84/61/93/997846193.db2.gz FHHIYRNQMSKCOG-UHFFFAOYSA-N -1 1 349.387 -0.079 20 0 EBADMM COCCN(C)CCNC(=O)C(=O)N1Cc2ccc(C(=O)[O-])cc2C1 ZINC001599230727 997846207 /nfs/dbraw/zinc/84/62/07/997846207.db2.gz FHHIYRNQMSKCOG-UHFFFAOYSA-N -1 1 349.387 -0.079 20 0 EBADMM COCCN(C)S(=O)(=O)[C@H]1CCCN(C[C@](C)(O)C(=O)[O-])C1 ZINC001599231953 997876268 /nfs/dbraw/zinc/87/62/68/997876268.db2.gz IQVSAPOTHPMISJ-AAEUAGOBSA-N -1 1 338.426 -0.806 20 0 EBADMM COCCNC(=O)C1CCN([C@H]2CCN(CCC(=O)[O-])C2=O)CC1 ZINC001599242686 998075055 /nfs/dbraw/zinc/07/50/55/998075055.db2.gz SQLVXGJQYKZAHQ-ZDUSSCGKSA-N -1 1 341.408 -0.463 20 0 EBADMM C[C@H]1[C@@H](Nc2ncc(C(=O)[O-])cn2)CCN1C(=O)Cc1ncn[nH]1 ZINC001594192304 998752260 /nfs/dbraw/zinc/75/22/60/998752260.db2.gz JJMOAKUZNSCELS-WPRPVWTQSA-N -1 1 331.336 -0.063 20 0 EBADMM C[C@@H]1[C@@H](Nc2ccnc(C(=O)[O-])n2)CCN1C(=O)Cc1ccn[nH]1 ZINC001594195142 998758473 /nfs/dbraw/zinc/75/84/73/998758473.db2.gz ZRRSSPWWZQIKOY-KOLCDFICSA-N -1 1 330.348 -0.036 20 0 EBADMM C[C@@H]1C[C@H](C(=O)N(CCN2CCN(C)CC2)CC(=O)[O-])[C@H](C)O1 ZINC001594201032 998776337 /nfs/dbraw/zinc/77/63/37/998776337.db2.gz VJFCCIANHRKARG-RDBSUJKOSA-N -1 1 327.425 -0.040 20 0 EBADMM COC(CN(CCC(=O)[O-])C(=O)C1CN([C@H]2CCOC2)C1)OC ZINC001599047925 999164398 /nfs/dbraw/zinc/16/43/98/999164398.db2.gz XYYZZAYRDGFKTO-LBPRGKRZSA-N -1 1 330.381 -0.371 20 0 EBADMM C[C@@H]1CN(C(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)CC[C@@H]1O ZINC001594454688 999656921 /nfs/dbraw/zinc/65/69/21/999656921.db2.gz OZTUYBAXWSOXNP-NEPJUHHUSA-N -1 1 341.408 -0.777 20 0 EBADMM C[C@H]1CN(C(=O)CCCn2c(=O)[n-][nH]c2=O)CC[N@H+]1CCC(=O)[O-] ZINC001594464647 999680069 /nfs/dbraw/zinc/68/00/69/999680069.db2.gz BXWDZNGEYKTVPS-JTQLQIEISA-N -1 1 341.368 -0.523 20 0 EBADMM C[C@H]1CN(C(=O)Cc2nnn(C(C)(C)C)n2)CCN1CCC(=O)[O-] ZINC001594471415 999704595 /nfs/dbraw/zinc/70/45/95/999704595.db2.gz WRKNZBRREQZOPP-NSHDSACASA-N -1 1 338.412 -0.022 20 0 EBADMM CC(C)Cn1nccc1C(=O)NCCN(C)Cc1nc(=O)n(C)[n-]1 ZINC001480868491 1125792455 /nfs/dbraw/zinc/79/24/55/1125792455.db2.gz BPNVOXGGABSSEZ-UHFFFAOYSA-N -1 1 335.412 -0.177 20 0 EBADMM Cn1cc(CN2CCC[C@H]2CNC(=O)Cn2c(=O)[n-][nH]c2=O)cn1 ZINC001481067573 1125916435 /nfs/dbraw/zinc/91/64/35/1125916435.db2.gz UXLUDDPYECPHMZ-NSHDSACASA-N -1 1 335.368 -0.796 20 0 EBADMM Cn1[n-]c(CN(CCNC(=O)c2cccc(=O)n2C)C2CC2)nc1=O ZINC001481185691 1125935122 /nfs/dbraw/zinc/93/51/22/1125935122.db2.gz IIHLSQLEYLSMBZ-UHFFFAOYSA-N -1 1 346.391 -0.799 20 0 EBADMM CCc1cc(C(=O)NCCN(Cc2nc(=O)n(C)[n-]2)C2CC2)nn1C ZINC001481200529 1125937837 /nfs/dbraw/zinc/93/78/37/1125937837.db2.gz QVHMIPABKQECBI-UHFFFAOYSA-N -1 1 347.423 -0.201 20 0 EBADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)[C@@H]1CN(C)CCO1 ZINC001408734663 1125938770 /nfs/dbraw/zinc/93/87/70/1125938770.db2.gz DNOMMZYRFYCVCI-ZDUSSCGKSA-N -1 1 336.392 -0.304 20 0 EBADMM CC(C)C(=O)NC[C@H]1CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001408812817 1125952651 /nfs/dbraw/zinc/95/26/51/1125952651.db2.gz UUMAPRBSBKQSPC-SNVBAGLBSA-N -1 1 332.364 -0.206 20 0 EBADMM CCCN(CCNC(=O)c1ncccc1[O-])C(=O)[C@H](C)NC(N)=O ZINC001408864767 1125964345 /nfs/dbraw/zinc/96/43/45/1125964345.db2.gz IIAHQWJDGILDKS-JTQLQIEISA-N -1 1 337.380 -0.188 20 0 EBADMM C[C@H]1C[C@H]1C(=O)N(C)CCN1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001481465962 1125995900 /nfs/dbraw/zinc/99/59/00/1125995900.db2.gz VGKPGIMSUYBKKU-QWHCGFSZSA-N -1 1 336.440 -0.660 20 0 EBADMM COC(=O)NCC(=O)N1CC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001481478012 1126000137 /nfs/dbraw/zinc/00/01/37/1126000137.db2.gz FXJRTXGUCOKOJR-SECBINFHSA-N -1 1 326.357 -0.853 20 0 EBADMM CC(=O)NCCCCCC(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001481599641 1126020954 /nfs/dbraw/zinc/02/09/54/1126020954.db2.gz NWPFNSOLDSNCTO-UHFFFAOYSA-N -1 1 338.412 -0.895 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)COCc3cccnc3)C2)nc1=O ZINC001481656802 1126032299 /nfs/dbraw/zinc/03/22/99/1126032299.db2.gz ABIXTBDBQAPDOF-UHFFFAOYSA-N -1 1 346.391 -0.732 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)CCc3cscn3)C2)nc1=O ZINC001481661855 1126033578 /nfs/dbraw/zinc/03/35/78/1126033578.db2.gz KVHLWTZKLFFJRT-UHFFFAOYSA-N -1 1 336.421 -0.254 20 0 EBADMM CC[C@@H](CNC(=O)c1cnc2n1CCOC2)NCc1n[nH]c(=O)[n-]1 ZINC001481821021 1126059128 /nfs/dbraw/zinc/05/91/28/1126059128.db2.gz LMKXUEWQJNGGCS-VIFPVBQESA-N -1 1 335.368 -0.465 20 0 EBADMM O=S(=O)(N=S1(=O)CCCC1)[N-]C[C@@H](CO)[C@H]1CCOC1 ZINC001414253635 1126107139 /nfs/dbraw/zinc/10/71/39/1126107139.db2.gz FRMWWSIJVULFQC-QWRGUYRKSA-N -1 1 326.440 -0.272 20 0 EBADMM COCCN([C@@H](C)C(N)=O)S(=O)(=O)c1cc(OC)ccc1[O-] ZINC001414213509 1126118260 /nfs/dbraw/zinc/11/82/60/1126118260.db2.gz PBYQWLJGELQRGW-VIFPVBQESA-N -1 1 332.378 -0.088 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)c1cccc(C(N)=O)c1 ZINC001482299904 1126122704 /nfs/dbraw/zinc/12/27/04/1126122704.db2.gz VRRNLDLUDUGZPC-VIFPVBQESA-N -1 1 332.364 -0.141 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)c1cccc(C(N)=O)c1 ZINC001482299902 1126122794 /nfs/dbraw/zinc/12/27/94/1126122794.db2.gz VRRNLDLUDUGZPC-SECBINFHSA-N -1 1 332.364 -0.141 20 0 EBADMM CC[C@@H](C)C(=O)N1CC[C@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001358622511 1131234124 /nfs/dbraw/zinc/23/41/24/1131234124.db2.gz LEKVMVYQPFAEMC-NEPJUHHUSA-N -1 1 336.392 -0.310 20 0 EBADMM CC(C)C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CCC(=O)N1C ZINC001482390949 1126143758 /nfs/dbraw/zinc/14/37/58/1126143758.db2.gz KCLFWPHHMRTXMA-GHMZBOCLSA-N -1 1 338.412 -0.248 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@@H]1CCc2nncn2C1 ZINC001482369678 1126140389 /nfs/dbraw/zinc/14/03/89/1126140389.db2.gz ZIQSRMQZWYFOGL-NXEZZACHSA-N -1 1 334.384 -0.699 20 0 EBADMM CC(C)[C@@H](CNC(=O)[C@@H]1CCN(C)C(=O)C1)NCc1n[nH]c(=O)[n-]1 ZINC001482540388 1126194310 /nfs/dbraw/zinc/19/43/10/1126194310.db2.gz XGYSPOCMJOYCQW-GHMZBOCLSA-N -1 1 338.412 -0.391 20 0 EBADMM CCN1C[C@@H](C(=O)NC[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)C)CC1=O ZINC001482531087 1126190810 /nfs/dbraw/zinc/19/08/10/1126190810.db2.gz CFEWLRSKUHJFAJ-WDEREUQCSA-N -1 1 338.412 -0.391 20 0 EBADMM CC(C)[C@@H](CNC(=O)c1ccc(=O)n(C)n1)NCc1n[nH]c(=O)[n-]1 ZINC001482569303 1126199282 /nfs/dbraw/zinc/19/92/82/1126199282.db2.gz WXWLEFYSGWZZIQ-SNVBAGLBSA-N -1 1 335.368 -0.852 20 0 EBADMM Cc1cc(=O)oc(C)c1C(=O)N(C)CCN(C)Cc1nc(=O)n(C)[n-]1 ZINC001482612483 1126237667 /nfs/dbraw/zinc/23/76/67/1126237667.db2.gz FBDFEAYYMZSEDV-UHFFFAOYSA-N -1 1 349.391 -0.118 20 0 EBADMM O=C(NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1)[C@H]1CCCc2cccnc21 ZINC001482761136 1126279896 /nfs/dbraw/zinc/27/98/96/1126279896.db2.gz HDYOTSQQYROAQT-RYUDHWBXSA-N -1 1 346.391 -0.408 20 0 EBADMM CCc1cnc(CNCC[C@H](C)NC(=O)Cn2c(=O)[n-][nH]c2=O)o1 ZINC001482890640 1126300876 /nfs/dbraw/zinc/30/08/76/1126300876.db2.gz MVSJQOCBJAXKLU-VIFPVBQESA-N -1 1 338.368 -0.076 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1cc2c([nH]c1=O)CCOC2 ZINC001691549028 1126321147 /nfs/dbraw/zinc/32/11/47/1126321147.db2.gz HJRVUVBAPMSXTR-UHFFFAOYSA-N -1 1 348.363 -0.455 20 0 EBADMM C[C@@H](NC(=O)C1CCS(=O)(=O)CC1)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001409367750 1126359463 /nfs/dbraw/zinc/35/94/63/1126359463.db2.gz JSOLQLDETCXDHB-DTWKUNHWSA-N -1 1 345.425 -0.682 20 0 EBADMM C[C@@H](CNC(=O)C[C@@H]1CCC(=O)N1)CNC(=O)c1ncccc1[O-] ZINC001409677514 1126390957 /nfs/dbraw/zinc/39/09/57/1126390957.db2.gz CUHBVXBJKBSDJQ-QWRGUYRKSA-N -1 1 334.376 -0.062 20 0 EBADMM Cn1[n-]c(CN2CC(CCO)(NC(=O)CCn3cccc3)C2)nc1=O ZINC001409752879 1126407086 /nfs/dbraw/zinc/40/70/86/1126407086.db2.gz CNNJMDSGAICDSI-UHFFFAOYSA-N -1 1 348.407 -0.947 20 0 EBADMM C[C@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)CC1OCCCO1 ZINC001483332444 1126416940 /nfs/dbraw/zinc/41/69/40/1126416940.db2.gz MIHOUPFCNFHZPS-SNVBAGLBSA-N -1 1 327.385 -0.802 20 0 EBADMM COCC1(CC(=O)N[C@H](C)CN(C)Cc2nc(=O)n(C)[n-]2)CC1 ZINC001483338226 1126417209 /nfs/dbraw/zinc/41/72/09/1126417209.db2.gz WEBAKFLMHZRLTG-LLVKDONJSA-N -1 1 325.413 -0.138 20 0 EBADMM CCc1cnc(CNCCCN(C)C(=O)Cn2c(=O)[n-][nH]c2=O)o1 ZINC001483507250 1126431961 /nfs/dbraw/zinc/43/19/61/1126431961.db2.gz XFDLJPQYDWECTI-UHFFFAOYSA-N -1 1 338.368 -0.122 20 0 EBADMM CC(C)S(=O)(=O)CC(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001483608003 1126439783 /nfs/dbraw/zinc/43/97/83/1126439783.db2.gz RWJFTIQGTCFXET-SNVBAGLBSA-N -1 1 345.425 -0.682 20 0 EBADMM O=S(=O)([N-]C[C@@H](O)[C@H]1CCCO1)c1nc[nH]c1Br ZINC001365721425 1126471328 /nfs/dbraw/zinc/47/13/28/1126471328.db2.gz GAHHDQUNNHFTSC-RNFRBKRXSA-N -1 1 340.199 -0.010 20 0 EBADMM CN(C(=O)COc1ccccc1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001483888526 1126488019 /nfs/dbraw/zinc/48/80/19/1126488019.db2.gz AYWTZWWESIGJSD-UHFFFAOYSA-N -1 1 331.376 -0.170 20 0 EBADMM Cc1ccn(C[C@@H](C)C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001483864098 1126484250 /nfs/dbraw/zinc/48/42/50/1126484250.db2.gz FLZBHWZLFQPMOH-LLVKDONJSA-N -1 1 347.423 -0.408 20 0 EBADMM C[C@@]1(CNC(=O)C(N)=O)CCC[N@@H+](Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC001484167544 1126510852 /nfs/dbraw/zinc/51/08/52/1126510852.db2.gz SBZCRALJSVVSQH-INIZCTEOSA-N -1 1 346.391 -0.774 20 0 EBADMM CCC[C@@H](C)C(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001484588522 1126606217 /nfs/dbraw/zinc/60/62/17/1126606217.db2.gz FOABTDJJWBXSPG-VXGBXAGGSA-N -1 1 325.413 -0.138 20 0 EBADMM CC(C)N1CC2(C1)C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)CO2 ZINC001484806296 1126637263 /nfs/dbraw/zinc/63/72/63/1126637263.db2.gz ZJQFCVPLSNLOHN-GFCCVEGCSA-N -1 1 336.392 -0.705 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)NC1(CO)CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001485024420 1126666675 /nfs/dbraw/zinc/66/66/75/1126666675.db2.gz YICPZNHCCMPQDX-VXGBXAGGSA-N -1 1 337.424 -0.402 20 0 EBADMM C[C@@H](NCc1ccn(C)n1)[C@@H](C)NC(=O)CCc1n[nH]c(=O)[n-]c1=O ZINC001485169994 1126677895 /nfs/dbraw/zinc/67/78/95/1126677895.db2.gz RBGBDZCOAZDDQJ-NXEZZACHSA-N -1 1 349.395 -0.368 20 0 EBADMM C[C@H](NCc1ccn(C)n1)[C@H](C)NC(=O)CCc1n[nH]c(=O)[n-]c1=O ZINC001485169996 1126677922 /nfs/dbraw/zinc/67/79/22/1126677922.db2.gz RBGBDZCOAZDDQJ-UWVGGRQHSA-N -1 1 349.395 -0.368 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)CCCC(=O)N(C)C ZINC001485215895 1126681078 /nfs/dbraw/zinc/68/10/78/1126681078.db2.gz OBEGOLQNBMXOIG-UWVGGRQHSA-N -1 1 326.401 -0.248 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)C1(S(C)(=O)=O)CCC1 ZINC001485211614 1126681847 /nfs/dbraw/zinc/68/18/47/1126681847.db2.gz ZNPAROVHZZILIA-BDAKNGLRSA-N -1 1 345.425 -0.540 20 0 EBADMM C[C@H](CNC(=O)Cc1ccc(F)cn1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001485319750 1126688288 /nfs/dbraw/zinc/68/82/88/1126688288.db2.gz KWSNIGJBUNOEOD-SNVBAGLBSA-N -1 1 336.371 -0.178 20 0 EBADMM COCCn1c(Cc2nnn[n-]2)nnc1N1C[C@H]2[C@@H](C1)C2(F)F ZINC001649112892 1126876742 /nfs/dbraw/zinc/87/67/42/1126876742.db2.gz BBZPSPPUBLVHQM-OCAPTIKFSA-N -1 1 326.311 -0.270 20 0 EBADMM COCCn1c(Cc2nn[n-]n2)nnc1N1C[C@H]2[C@@H](C1)C2(F)F ZINC001649112892 1126876748 /nfs/dbraw/zinc/87/67/48/1126876748.db2.gz BBZPSPPUBLVHQM-OCAPTIKFSA-N -1 1 326.311 -0.270 20 0 EBADMM COCc1nn(C)cc1C(=O)N(C)C[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001485893428 1126910237 /nfs/dbraw/zinc/91/02/37/1126910237.db2.gz KGBWVGBBNASTQQ-VIFPVBQESA-N -1 1 337.384 -0.360 20 0 EBADMM O=C(CC(F)(F)F)NC[C@@H](CO)NCc1cc(=O)n2[n-]ccc2n1 ZINC001485981657 1126946783 /nfs/dbraw/zinc/94/67/83/1126946783.db2.gz ZJJZCZBKVRJKLC-VIFPVBQESA-N -1 1 347.297 -0.458 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)COCc1ccnn1C ZINC001486082449 1127028051 /nfs/dbraw/zinc/02/80/51/1127028051.db2.gz CLAQIMJIEAHOBZ-CMPLNLGQSA-N -1 1 349.395 -0.460 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)CCn2cccn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001486164207 1127052352 /nfs/dbraw/zinc/05/23/52/1127052352.db2.gz QNHFXWKGLAVSNQ-OLZOCXBDSA-N -1 1 347.423 -0.136 20 0 EBADMM CN(C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)CCc1cncs1 ZINC001486309763 1127114436 /nfs/dbraw/zinc/11/44/36/1127114436.db2.gz GZGCYBFPNNJWLU-VIFPVBQESA-N -1 1 340.409 -0.492 20 0 EBADMM CCC(C)(C)C(=O)N(C)C[C@@H](O)CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001486346951 1127158841 /nfs/dbraw/zinc/15/88/41/1127158841.db2.gz JXFXBZZBJQCXCV-NSHDSACASA-N -1 1 327.429 -0.204 20 0 EBADMM CC(C)(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CCS(C)(=O)=O ZINC001486402527 1127168527 /nfs/dbraw/zinc/16/85/27/1127168527.db2.gz GATOAUXBYHWEGF-SECBINFHSA-N -1 1 347.441 -0.435 20 0 EBADMM CCC(=O)N1CCCC[C@H]1CNC(=O)CCNCc1n[nH]c(=O)[n-]1 ZINC001486485087 1127185530 /nfs/dbraw/zinc/18/55/30/1127185530.db2.gz ZVWJSEFYWZZFPE-NSHDSACASA-N -1 1 338.412 -0.103 20 0 EBADMM COC(=O)NCC(=O)N(C)C1CCC(NC(=O)c2cnn[nH]2)CC1 ZINC001486737526 1127249784 /nfs/dbraw/zinc/24/97/84/1127249784.db2.gz ULCVSEZYWSLNKA-UHFFFAOYSA-N -1 1 338.368 -0.340 20 0 EBADMM C[C@@]1(C(=O)N2CC(CCO)(NC(=O)c3ncccc3[O-])C2)CCOC1 ZINC001487030548 1127373512 /nfs/dbraw/zinc/37/35/12/1127373512.db2.gz OJGVXLBHIOYZER-MRXNPFEDSA-N -1 1 349.387 -0.093 20 0 EBADMM O=C(C[C@H]1CCOC1)NC[C@@H](CO)NCc1cc(=O)n2[n-]ccc2n1 ZINC001410719304 1127838223 /nfs/dbraw/zinc/83/82/23/1127838223.db2.gz ABMVLRFQWJKWON-YPMHNXCESA-N -1 1 349.391 -0.984 20 0 EBADMM Cc1ccc(C(=O)N(C)C[C@@H](O)CN(C)Cc2nc(=O)n(C)[n-]2)o1 ZINC001411158715 1128055927 /nfs/dbraw/zinc/05/59/27/1128055927.db2.gz GVLCGFKLTLAPBG-NSHDSACASA-N -1 1 337.380 -0.425 20 0 EBADMM CC(C)(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CO[C@@H]1CCOC1 ZINC001411206102 1128091741 /nfs/dbraw/zinc/09/17/41/1128091741.db2.gz BNAHKLFHGBJZIW-GHMZBOCLSA-N -1 1 341.412 -0.064 20 0 EBADMM C[C@@H](CCCNC(=O)Cn1cnnn1)NC(=O)c1ncccc1[O-] ZINC001487493691 1128143492 /nfs/dbraw/zinc/14/34/92/1128143492.db2.gz XJYNQAGKHRNJRL-JTQLQIEISA-N -1 1 333.352 -0.511 20 0 EBADMM COc1cc(C(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C)nn1C ZINC001092810724 1128278009 /nfs/dbraw/zinc/27/80/09/1128278009.db2.gz CJKMHYQJSYABTF-NXEZZACHSA-N -1 1 349.395 -0.250 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)c1cnn2cc[nH]c12 ZINC001093160233 1128298250 /nfs/dbraw/zinc/29/82/50/1128298250.db2.gz SCACVHSZNQHOMJ-NXEZZACHSA-N -1 1 344.379 -0.016 20 0 EBADMM CC(=O)NCC(=O)N(C)C[C@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001487698208 1128398081 /nfs/dbraw/zinc/39/80/81/1128398081.db2.gz YVKYAFOXSNIFIH-VIFPVBQESA-N -1 1 349.391 -0.228 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1CC12CC2)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001487922410 1128453452 /nfs/dbraw/zinc/45/34/52/1128453452.db2.gz XYUYKNGNOVLYGP-UWVGGRQHSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(N[C@H](CO)CNC(=O)c1ncccc1[O-])c1ccncc1F ZINC001487977704 1128473641 /nfs/dbraw/zinc/47/36/41/1128473641.db2.gz NQIJMKUYOMNKGV-VIFPVBQESA-N -1 1 334.307 -0.158 20 0 EBADMM CSC[C@@H](C)C(=O)NC[C@H](CO)NC(=O)c1ncccc1[O-] ZINC001487964502 1128499521 /nfs/dbraw/zinc/49/95/21/1128499521.db2.gz UNFJKNBEIAGOFN-NXEZZACHSA-N -1 1 327.406 -0.007 20 0 EBADMM CCOCC(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@H]1C ZINC001488115732 1128626341 /nfs/dbraw/zinc/62/63/41/1128626341.db2.gz SHDVNALIKVSEMV-NXEZZACHSA-N -1 1 348.363 -0.827 20 0 EBADMM C/C=C(/C)C(=O)N1CC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)[C@H]1C ZINC001488125727 1128628949 /nfs/dbraw/zinc/62/89/49/1128628949.db2.gz STYIRKYPOCMSMY-GKADRNHJSA-N -1 1 348.403 -0.002 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)CN1C(=O)CC1CC1 ZINC001488155095 1128637717 /nfs/dbraw/zinc/63/77/17/1128637717.db2.gz AVTAFEZEZBDDQR-YPMHNXCESA-N -1 1 348.403 -0.168 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)CCn2cnnn2)CN1C(=O)c1ncccc1[O-] ZINC001488176608 1128638547 /nfs/dbraw/zinc/63/85/47/1128638547.db2.gz CWFQUENPQKCNKQ-WDEREUQCSA-N -1 1 345.363 -0.417 20 0 EBADMM NC(=O)NCC(=O)N1CC[C@]2(NC(=O)c3ncccc3[O-])CCC[C@H]12 ZINC001488228884 1128658752 /nfs/dbraw/zinc/65/87/52/1128658752.db2.gz SEBOZFCLSYQNNU-MEDUHNTESA-N -1 1 347.375 -0.291 20 0 EBADMM Cc1ncncc1C(=O)NC[C@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001488350321 1128688279 /nfs/dbraw/zinc/68/82/79/1128688279.db2.gz CTGUPEICNJKBFU-NSHDSACASA-N -1 1 345.359 -0.251 20 0 EBADMM Cc1c(C(=O)NC[C@H](O)CN(C)C(=O)c2ncccc2[O-])nnn1C ZINC001488350524 1128688339 /nfs/dbraw/zinc/68/83/39/1128688339.db2.gz HVGNKMRQMXLQGC-JTQLQIEISA-N -1 1 348.363 -0.913 20 0 EBADMM CC(C)N(CCN(C)C(=O)c1ncccc1[O-])C(=O)Cn1ncnn1 ZINC001488456376 1128776424 /nfs/dbraw/zinc/77/64/24/1128776424.db2.gz AQQLCNFINGDHMS-UHFFFAOYSA-N -1 1 347.379 -0.217 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)NC[C@H]1CC[C@@H](NCc2ncccn2)C1 ZINC001488966175 1128891809 /nfs/dbraw/zinc/89/18/09/1128891809.db2.gz OTOXWOHEWFUVPZ-WDEREUQCSA-N -1 1 347.379 -0.446 20 0 EBADMM CN(CCOCCN(C)C(=O)c1cncnc1)Cc1nc(=O)n(C)[n-]1 ZINC001489211309 1128932402 /nfs/dbraw/zinc/93/24/02/1128932402.db2.gz DXFIOTBMOYNZQI-UHFFFAOYSA-N -1 1 349.395 -0.881 20 0 EBADMM COC(=O)NCC(=O)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001489646387 1129037177 /nfs/dbraw/zinc/03/71/77/1129037177.db2.gz VIAMYHVDEUIZAW-GHMZBOCLSA-N -1 1 348.359 -0.184 20 0 EBADMM CCCC(=O)N[C@H]1C[C@@H](CNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001489834434 1129078610 /nfs/dbraw/zinc/07/86/10/1129078610.db2.gz PCHHFHJFGYTGMW-TXEJJXNPSA-N -1 1 336.392 -0.262 20 0 EBADMM CCN(CCCNC(=O)c1n[nH]c(=O)[n-]c1=O)Cc1cncn1C ZINC001490336870 1129166257 /nfs/dbraw/zinc/16/62/57/1129166257.db2.gz XZZNPPPTUABYEL-UHFFFAOYSA-N -1 1 335.368 -0.342 20 0 EBADMM CNC(=O)NCC(=O)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncccc1[O-])C2 ZINC001490592852 1129232147 /nfs/dbraw/zinc/23/21/47/1129232147.db2.gz BHPXVJZTIFJWIR-VWYCJHECSA-N -1 1 347.375 -0.422 20 0 EBADMM CN(C)c1cnc(C(=O)NC[C@H](NCc2n[nH]c(=O)[n-]2)C2CC2)cn1 ZINC001490664037 1129242379 /nfs/dbraw/zinc/24/23/79/1129242379.db2.gz CKNVKXBWSDOCRR-JTQLQIEISA-N -1 1 346.395 -0.336 20 0 EBADMM COCC(=O)N[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1(C)C ZINC001412033230 1129432029 /nfs/dbraw/zinc/43/20/29/1129432029.db2.gz PJUIZUQVLWLADF-SNVBAGLBSA-N -1 1 348.363 -0.969 20 0 EBADMM O=C(C1CC2(C1)CS(=O)(=O)C2)N1CCSC[C@@H]1c1nn[n-]n1 ZINC001412045795 1129434640 /nfs/dbraw/zinc/43/46/40/1129434640.db2.gz PHLKQTQSOYNWDB-SECBINFHSA-N -1 1 343.434 -0.359 20 0 EBADMM CCn1cc([C@@H]2C[S@@](=O)CCN2C(=O)CCc2nn[n-]n2)cn1 ZINC001412096133 1129445809 /nfs/dbraw/zinc/44/58/09/1129445809.db2.gz KIWCJDYZBNOSKT-RULNZOCKSA-N -1 1 337.409 -0.319 20 0 EBADMM CCn1cc([C@H]2C[S@@](=O)CCN2C(=O)CCc2nn[n-]n2)cn1 ZINC001412096093 1129445955 /nfs/dbraw/zinc/44/59/55/1129445955.db2.gz KIWCJDYZBNOSKT-BGJPBQGDSA-N -1 1 337.409 -0.319 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCS(=O)(=O)[C@H]2CCC[C@H]21 ZINC001412139270 1129460044 /nfs/dbraw/zinc/46/00/44/1129460044.db2.gz NKECBMSHFKKWBA-SFYZADRCSA-N -1 1 343.361 -0.312 20 0 EBADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C[C@H]2CCS(=O)(=O)C2)n[n-]1 ZINC001412328409 1129501153 /nfs/dbraw/zinc/50/11/53/1129501153.db2.gz VHRBYQLPBBJKJI-RKDXNWHRSA-N -1 1 344.393 -0.017 20 0 EBADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C[C@H]2CCS(=O)(=O)C2)[n-]1 ZINC001412328409 1129501156 /nfs/dbraw/zinc/50/11/56/1129501156.db2.gz VHRBYQLPBBJKJI-RKDXNWHRSA-N -1 1 344.393 -0.017 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C[C@H]2CCS(=O)(=O)C2)n1 ZINC001412328409 1129501157 /nfs/dbraw/zinc/50/11/57/1129501157.db2.gz VHRBYQLPBBJKJI-RKDXNWHRSA-N -1 1 344.393 -0.017 20 0 EBADMM CCOC(=O)N(C)CCCC(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001412426845 1129526439 /nfs/dbraw/zinc/52/64/39/1129526439.db2.gz MOGQBMXXFWIFLF-SNVBAGLBSA-N -1 1 326.357 -0.032 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC(OCC(N)=O)CC2)co1 ZINC001412590278 1129569895 /nfs/dbraw/zinc/56/98/95/1129569895.db2.gz KRDDFLGNPIQKAE-UHFFFAOYSA-N -1 1 345.377 -0.706 20 0 EBADMM COC[C@@H](NC(=O)Cc1c(Br)cnn1C)c1nn[n-]n1 ZINC001412600785 1129572114 /nfs/dbraw/zinc/57/21/14/1129572114.db2.gz FTUXOANKZFYQKL-SSDOTTSWSA-N -1 1 344.173 -0.258 20 0 EBADMM COC[C@@H](NC(=O)CNC(=O)OCC(F)(F)F)c1nn[n-]n1 ZINC001412600492 1129572172 /nfs/dbraw/zinc/57/21/72/1129572172.db2.gz DPSBVSOBTGNVJU-RXMQYKEDSA-N -1 1 326.235 -0.708 20 0 EBADMM CC(C)(CNC(=O)CNC(=O)c1ncccc1[O-])S(C)(=O)=O ZINC001412614878 1129577451 /nfs/dbraw/zinc/57/74/51/1129577451.db2.gz BYDBJFORXLXVSV-UHFFFAOYSA-N -1 1 329.378 -0.544 20 0 EBADMM COCCn1nc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)ccc1=O ZINC001412739493 1129607456 /nfs/dbraw/zinc/60/74/56/1129607456.db2.gz ZPSBNCKWTTYRNU-SNVBAGLBSA-N -1 1 333.352 -0.577 20 0 EBADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@@H](O)CS(C)(=O)=O ZINC001412749523 1129612484 /nfs/dbraw/zinc/61/24/84/1129612484.db2.gz ZWCIOPUVHWITIJ-SECBINFHSA-N -1 1 345.421 -0.077 20 0 EBADMM Cn1cc([C@H]2C[S@](=O)CCN2C(=O)CCCc2nn[n-]n2)cn1 ZINC001412830723 1129689195 /nfs/dbraw/zinc/68/91/95/1129689195.db2.gz RYXWKNHCGGHRKU-QVQLBTGDSA-N -1 1 337.409 -0.412 20 0 EBADMM Cn1nnc2c1C[C@H](C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)CC2 ZINC001412839630 1129715611 /nfs/dbraw/zinc/71/56/11/1129715611.db2.gz NFKXFLSFGCIQPS-ZCFIWIBFSA-N -1 1 325.354 -0.920 20 0 EBADMM Cn1nnc2c1C[C@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)CC2 ZINC001412839630 1129715613 /nfs/dbraw/zinc/71/56/13/1129715613.db2.gz NFKXFLSFGCIQPS-ZCFIWIBFSA-N -1 1 325.354 -0.920 20 0 EBADMM CCC(CC)(NC(=O)c1cn(C(F)(F)CO)nn1)c1nn[n-]n1 ZINC001412939405 1129794466 /nfs/dbraw/zinc/79/44/66/1129794466.db2.gz YEIYEYQJVATLKU-UHFFFAOYSA-N -1 1 330.299 -0.222 20 0 EBADMM O=C(NCc1ccc(S(=O)(=O)CCO)cc1)c1cnncc1[O-] ZINC001412934533 1129796801 /nfs/dbraw/zinc/79/68/01/1129796801.db2.gz ZJMQCEKMAOBMLD-UHFFFAOYSA-N -1 1 337.357 -0.122 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCc2nc[nH]c2C12CCOCC2 ZINC001413185453 1129944354 /nfs/dbraw/zinc/94/43/54/1129944354.db2.gz QWOKIPGXCLBGSJ-UHFFFAOYSA-N -1 1 332.320 -0.290 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2[C@H](C)C(=O)N[C@@H](C)[C@@H]2C)o1 ZINC001413220013 1129952568 /nfs/dbraw/zinc/95/25/68/1129952568.db2.gz HGTFCBOQAVQQAR-XHNCKOQMSA-N -1 1 329.378 -0.075 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)c1cnc(SC)[n-]c1=O ZINC001413268207 1129980647 /nfs/dbraw/zinc/98/06/47/1129980647.db2.gz WTRXTXPEEYNGON-JGVFFNPUSA-N -1 1 333.391 -0.554 20 0 EBADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H](C)CS(C)(=O)=O)n[n-]1 ZINC001413274989 1129983895 /nfs/dbraw/zinc/98/38/95/1129983895.db2.gz VXOZEIQGXXMJSI-JGVFFNPUSA-N -1 1 332.382 -0.161 20 0 EBADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@H](C)CS(C)(=O)=O)[n-]1 ZINC001413274989 1129983896 /nfs/dbraw/zinc/98/38/96/1129983896.db2.gz VXOZEIQGXXMJSI-JGVFFNPUSA-N -1 1 332.382 -0.161 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H](C)CS(C)(=O)=O)n1 ZINC001413274989 1129983901 /nfs/dbraw/zinc/98/39/01/1129983901.db2.gz VXOZEIQGXXMJSI-JGVFFNPUSA-N -1 1 332.382 -0.161 20 0 EBADMM CCN(CCS(C)(=O)=O)S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001413324122 1130016355 /nfs/dbraw/zinc/01/63/55/1130016355.db2.gz XHWCGCWBTWDGCZ-UHFFFAOYSA-N -1 1 339.395 -0.749 20 0 EBADMM CCN(CCS(C)(=O)=O)S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001413324122 1130016362 /nfs/dbraw/zinc/01/63/62/1130016362.db2.gz XHWCGCWBTWDGCZ-UHFFFAOYSA-N -1 1 339.395 -0.749 20 0 EBADMM COc1cccnc1C[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001413379683 1130061680 /nfs/dbraw/zinc/06/16/80/1130061680.db2.gz YBVQOCYHNGVXIO-UHFFFAOYSA-N -1 1 326.334 -0.632 20 0 EBADMM COC(=O)c1nscc1S(=O)(=O)[N-]C[C@H](F)C(=O)OC ZINC001413437762 1130088954 /nfs/dbraw/zinc/08/89/54/1130088954.db2.gz NVIATLLAVAZLON-YFKPBYRVSA-N -1 1 326.327 -0.281 20 0 EBADMM CSCc1nc(C[N-]S(=O)(=O)N=[S@](C)(=O)N(C)C)n[nH]1 ZINC001413446445 1130091171 /nfs/dbraw/zinc/09/11/71/1130091171.db2.gz SEHPXVLKZGCWPX-IBGZPJMESA-N -1 1 342.472 -0.424 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@](C)(Cn2cccn2)C(N)=O)nc1Cl ZINC001413472142 1130100738 /nfs/dbraw/zinc/10/07/38/1130100738.db2.gz WSMZKGTXUUPGQV-NSHDSACASA-N -1 1 346.800 -0.508 20 0 EBADMM CN(C)S(=O)(=O)c1cc(C(=O)NC2(c3nn[n-]n3)CCC2)co1 ZINC001413508700 1130116145 /nfs/dbraw/zinc/11/61/45/1130116145.db2.gz KCZGKAXYMJFLRG-UHFFFAOYSA-N -1 1 340.365 -0.148 20 0 EBADMM C[C@H](C[C@@H](C)NC(=O)c1ncccc1[O-])NC(=O)Cn1cnnn1 ZINC001413660483 1130212909 /nfs/dbraw/zinc/21/29/09/1130212909.db2.gz HHUOCHOHMUTGJH-NXEZZACHSA-N -1 1 333.352 -0.513 20 0 EBADMM Cc1n[nH]c(C(=O)NCCNC(=O)[C@@H]2CN(C(C)C)CCO2)c1[O-] ZINC001491229631 1130365390 /nfs/dbraw/zinc/36/53/90/1130365390.db2.gz ABGUUQBWDFLMEZ-NSHDSACASA-N -1 1 339.396 -0.621 20 0 EBADMM O=C(NCCNC(=O)[C@H]1C[C@@H]1C(F)F)c1cc(=O)n2[n-]cnc2n1 ZINC001491261868 1130376767 /nfs/dbraw/zinc/37/67/67/1130376767.db2.gz CCAVSWCBQWHYQL-BQBZGAKWSA-N -1 1 340.290 -0.835 20 0 EBADMM C[C@@H](CNC(=O)Cc1ccc2c(c1)NC(=O)C2)NCc1n[nH]c(=O)[n-]1 ZINC001491485220 1130465519 /nfs/dbraw/zinc/46/55/19/1130465519.db2.gz LXNHUGJZDQUIML-VIFPVBQESA-N -1 1 344.375 -0.158 20 0 EBADMM C[C@@H](CNC(=O)[C@H](C)N(C)CC(F)(F)F)NCc1n[nH]c(=O)[n-]1 ZINC001491557625 1130528387 /nfs/dbraw/zinc/52/83/87/1130528387.db2.gz HCGRNNPULUVXPT-YUMQZZPRSA-N -1 1 338.334 -0.013 20 0 EBADMM C[C@H](C(=O)NC[C@H](C)NCc1n[nH]c(=O)[n-]1)N(C)CC(F)(F)F ZINC001491557611 1130528584 /nfs/dbraw/zinc/52/85/84/1130528584.db2.gz HCGRNNPULUVXPT-JGVFFNPUSA-N -1 1 338.334 -0.013 20 0 EBADMM CN(CCNC(=O)c1ccc(N(C)C)nc1)Cc1nc(=O)n(C)[n-]1 ZINC001492315760 1130624516 /nfs/dbraw/zinc/62/45/16/1130624516.db2.gz FPAGKMLWBDWVPB-UHFFFAOYSA-N -1 1 333.396 -0.569 20 0 EBADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)[C@H]1CN(C)CCO1 ZINC001492330343 1130629544 /nfs/dbraw/zinc/62/95/44/1130629544.db2.gz KZEQSBIEZJAUGC-CYBMUJFWSA-N -1 1 336.392 -0.304 20 0 EBADMM CN(CCNC(=O)C1(c2cccnc2)CC1)Cc1nc(=O)n(C)[n-]1 ZINC001492383367 1130648243 /nfs/dbraw/zinc/64/82/43/1130648243.db2.gz BIHHMWNWTUAIIC-UHFFFAOYSA-N -1 1 330.392 -0.217 20 0 EBADMM CC[C@H](C)C(=O)N(C)CCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001492433938 1130667439 /nfs/dbraw/zinc/66/74/39/1130667439.db2.gz LVADYTQCCCOYQX-LBPRGKRZSA-N -1 1 338.408 -0.063 20 0 EBADMM CC(=O)N1CCS(=O)(=O)[C@@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001414085015 1130727194 /nfs/dbraw/zinc/72/71/94/1130727194.db2.gz JSXBWXHOGCKYML-NSHDSACASA-N -1 1 341.389 -0.447 20 0 EBADMM COCC(=O)N(C)C[C@@H]1CCN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001414098663 1130753817 /nfs/dbraw/zinc/75/38/17/1130753817.db2.gz OOPZYPHKRXFOPC-QMMMGPOBSA-N -1 1 340.336 -0.784 20 0 EBADMM C[C@H](CNC(=O)[C@@H]1CCc2ncncc2C1)NCc1n[nH]c(=O)[n-]1 ZINC001480474056 1130817652 /nfs/dbraw/zinc/81/76/52/1130817652.db2.gz GUVMTXDOATYZGM-NXEZZACHSA-N -1 1 331.380 -0.300 20 0 EBADMM C[C@]1(C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)CCNC1=O ZINC001356834357 1130966644 /nfs/dbraw/zinc/96/66/44/1130966644.db2.gz CJWQMRUKEOBTJU-INIZCTEOSA-N -1 1 332.360 -0.498 20 0 EBADMM CN(CCN(C)C(=O)C(C)(C)C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001357263973 1131063423 /nfs/dbraw/zinc/06/34/23/1131063423.db2.gz OJUIGPHVVDGMKP-UHFFFAOYSA-N -1 1 338.408 -0.110 20 0 EBADMM CCC(C)(C)C(=O)N[C@@H](C)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001358538120 1131208764 /nfs/dbraw/zinc/20/87/64/1131208764.db2.gz XRDJSRIUKTWIEK-NSHDSACASA-N -1 1 338.408 -0.016 20 0 EBADMM Cc1cc(=O)n2[n-]c(NC(=O)C[C@H]3CCS(=O)(=O)C3)nc2n1 ZINC001361583924 1131424313 /nfs/dbraw/zinc/42/43/13/1131424313.db2.gz LMWFUBHCULVNGK-MRVPVSSYSA-N -1 1 325.350 -0.511 20 0 EBADMM NC(=O)[C@@H](c1ccccc1)N1CCN(C(=O)CCc2nn[n-]n2)CC1 ZINC001361616380 1131428727 /nfs/dbraw/zinc/42/87/27/1131428727.db2.gz ZHGGYZYODCVPJD-OAHLLOKOSA-N -1 1 343.391 -0.497 20 0 EBADMM CCN(C(=O)c1[nH]c(=O)[n-]c(=O)c1OC)[C@H]1CCS(=O)(=O)C1 ZINC001361626528 1131430468 /nfs/dbraw/zinc/43/04/68/1131430468.db2.gz QUNRSKVEVVKWRA-ZETCQYMHSA-N -1 1 331.350 -0.454 20 0 EBADMM CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc(F)c([O-])c1 ZINC001361649240 1131434682 /nfs/dbraw/zinc/43/46/82/1131434682.db2.gz GFGCNQRPDLQLIU-SNVBAGLBSA-N -1 1 344.364 -0.093 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCN1CCOCC1(C)C ZINC001361751390 1131449338 /nfs/dbraw/zinc/44/93/38/1131449338.db2.gz BSSSWWAFVYTFIM-UHFFFAOYSA-N -1 1 326.353 -0.263 20 0 EBADMM CO[C@H]1CCn2cc(C(=O)N3CCO[C@H](c4nn[n-]n4)C3)nc2C1 ZINC001361782874 1131454818 /nfs/dbraw/zinc/45/48/18/1131454818.db2.gz IXTWLMCRPBZBNA-ONGXEEELSA-N -1 1 333.352 -0.429 20 0 EBADMM O=C(CNC(=O)c1c(F)ccc([O-])c1F)NCCN1CCOCC1 ZINC001361915996 1131478515 /nfs/dbraw/zinc/47/85/15/1131478515.db2.gz WSWZCEUXXKFRHX-UHFFFAOYSA-N -1 1 343.330 -0.151 20 0 EBADMM O=C(N[C@H]1CC(=O)N(CCN2CCOCC2)C1)c1ccc([O-])cn1 ZINC001362001631 1131495302 /nfs/dbraw/zinc/49/53/02/1131495302.db2.gz BSNOOLZMORVPBK-LBPRGKRZSA-N -1 1 334.376 -0.550 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@@H](N2CCNC2=O)C1 ZINC001362011135 1131496564 /nfs/dbraw/zinc/49/65/64/1131496564.db2.gz UHUFISXIBYAAIN-MRVPVSSYSA-N -1 1 337.336 -0.474 20 0 EBADMM CC(C)(C)OC(=O)NC[C@H]1OCC[C@@H]1C(=O)NCc1nn[n-]n1 ZINC001362062851 1131507489 /nfs/dbraw/zinc/50/74/89/1131507489.db2.gz XXRGCUDSGCZVAC-DTWKUNHWSA-N -1 1 326.357 -0.254 20 0 EBADMM CS(=O)(=O)c1ccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)o1 ZINC001362067019 1131508574 /nfs/dbraw/zinc/50/85/74/1131508574.db2.gz YNOYWHDUTFHKIR-QMMMGPOBSA-N -1 1 327.322 -0.590 20 0 EBADMM CS(=O)(=O)c1ccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)o1 ZINC001362066998 1131508802 /nfs/dbraw/zinc/50/88/02/1131508802.db2.gz YNOYWHDUTFHKIR-MRVPVSSYSA-N -1 1 327.322 -0.590 20 0 EBADMM COC[C@@](C)(CC(=O)OC)NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001362158918 1131527784 /nfs/dbraw/zinc/52/77/84/1131527784.db2.gz PKDZBUIJKQQNPG-CYBMUJFWSA-N -1 1 329.309 -0.406 20 0 EBADMM C[C@H]1C[C@H](NC(=O)CCCc2nn[n-]n2)C(=O)N1c1cnn(C)c1 ZINC001362167295 1131529112 /nfs/dbraw/zinc/52/91/12/1131529112.db2.gz BPXUVUJJYCWOCT-ONGXEEELSA-N -1 1 332.368 -0.434 20 0 EBADMM O=C(CCCc1nn[n-]n1)NC1CCN(S(=O)(=O)C2CC2)CC1 ZINC001362231537 1131541100 /nfs/dbraw/zinc/54/11/00/1131541100.db2.gz MPCHQCCXJMQYMW-UHFFFAOYSA-N -1 1 342.425 -0.405 20 0 EBADMM C[C@H](NC(=O)c1c[nH]c2nc(=O)[n-]c(=O)c-2c1)[C@H]1CN(C)CCN1C ZINC001362324310 1131560956 /nfs/dbraw/zinc/56/09/56/1131560956.db2.gz ZCDDHFLWUQCOHI-JOYOIKCWSA-N -1 1 346.391 -0.200 20 0 EBADMM O=C(c1ccc2c(n1)OCCO2)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362386425 1131573612 /nfs/dbraw/zinc/57/36/12/1131573612.db2.gz IRTSVEMGKPWGGY-UHFFFAOYSA-N -1 1 332.320 -0.510 20 0 EBADMM C[C@@H](O)CN1CCN(C(=O)CCCn2c(=O)[n-][nH]c2=O)[C@@H](C)C1 ZINC001362411528 1131578079 /nfs/dbraw/zinc/57/80/79/1131578079.db2.gz WRCXGTAQXVMJPN-WDEREUQCSA-N -1 1 327.385 -0.617 20 0 EBADMM Cn1cc(C(=O)NC[C@H]2CCCN2C(=O)c2cnncc2[O-])cn1 ZINC001362460729 1131588307 /nfs/dbraw/zinc/58/83/07/1131588307.db2.gz YZRLBYMOPTZREI-LLVKDONJSA-N -1 1 330.348 -0.050 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@](CO)(COC)C2)o1 ZINC001362464184 1131588693 /nfs/dbraw/zinc/58/86/93/1131588693.db2.gz GQLZPJGYRUBOSS-ZDUSSCGKSA-N -1 1 332.378 -0.341 20 0 EBADMM COCCn1cc(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)ccc1=O ZINC001362560458 1131610731 /nfs/dbraw/zinc/61/07/31/1131610731.db2.gz HRTVGSTUTBWAIY-UHFFFAOYSA-N -1 1 348.363 -0.868 20 0 EBADMM COC(=O)[C@H]1CC[C@@H](C(=O)OC)N(C(=O)CCCc2nn[n-]n2)C1 ZINC001362670485 1131638017 /nfs/dbraw/zinc/63/80/17/1131638017.db2.gz IRFGUISMWRSNKT-UWVGGRQHSA-N -1 1 339.352 -0.524 20 0 EBADMM CSCC[C@H](NC(=O)CCS(=O)(=O)N(C)C)c1nn[n-]n1 ZINC001362690736 1131642544 /nfs/dbraw/zinc/64/25/44/1131642544.db2.gz VRRKHHSDKKWGFA-QMMMGPOBSA-N -1 1 336.443 -0.608 20 0 EBADMM CNC(=O)NC[C@@H]1CN(C(=O)c2cnc(SC)[n-]c2=O)CCO1 ZINC001362712867 1131649398 /nfs/dbraw/zinc/64/93/98/1131649398.db2.gz BFVHOAGNKUUYJH-MRVPVSSYSA-N -1 1 341.393 -0.326 20 0 EBADMM O=C(c1cnc2n(c1=O)CCS2)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362713379 1131650295 /nfs/dbraw/zinc/65/02/95/1131650295.db2.gz YPLMUCFMXGSYEF-QMMMGPOBSA-N -1 1 333.377 -0.118 20 0 EBADMM CC(C)(C)OC(=O)N1CC[C@H](NC(=O)CCc2nn[n-]n2)[C@@H](O)C1 ZINC001362792862 1131675627 /nfs/dbraw/zinc/67/56/27/1131675627.db2.gz JSSBCRCIQBLXSY-UWVGGRQHSA-N -1 1 340.384 -0.381 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@H]2CCN(C(N)=O)C2)co1 ZINC001362813617 1131683613 /nfs/dbraw/zinc/68/36/13/1131683613.db2.gz VJLWDEXIFMTGJZ-MRVPVSSYSA-N -1 1 330.366 -0.682 20 0 EBADMM O=C(CNC(=O)c1cncc([O-])c1)NCC(=O)NCc1ccccc1 ZINC001362876887 1131702636 /nfs/dbraw/zinc/70/26/36/1131702636.db2.gz FBGRTMPFTFJLMG-UHFFFAOYSA-N -1 1 342.355 -0.050 20 0 EBADMM COC[C@H](NC(=O)[C@H]1CCC(=O)N(c2cnn(C)c2)C1)c1nn[n-]n1 ZINC001362903475 1131708814 /nfs/dbraw/zinc/70/88/14/1131708814.db2.gz UWMZSTMLHDYLNP-ONGXEEELSA-N -1 1 348.367 -0.820 20 0 EBADMM COC[C@@H](NC(=O)[C@@]1(c2ccccc2)CCC(=O)NC1)c1nn[n-]n1 ZINC001362904322 1131709320 /nfs/dbraw/zinc/70/93/20/1131709320.db2.gz APPQUOAKLINSPS-WBMJQRKESA-N -1 1 344.375 -0.149 20 0 EBADMM COC[C@@H](NC(=O)CC1CCN(S(C)(=O)=O)CC1)c1nn[n-]n1 ZINC001362912264 1131711852 /nfs/dbraw/zinc/71/18/52/1131711852.db2.gz WGNXTRJPISZEAN-SNVBAGLBSA-N -1 1 346.413 -0.935 20 0 EBADMM CC[C@@H](CN1CCOCC1)NC(=O)CNC(=O)c1ncccc1[O-] ZINC001362936023 1131717902 /nfs/dbraw/zinc/71/79/02/1131717902.db2.gz WVQDNKZBONXFOB-LBPRGKRZSA-N -1 1 336.392 -0.256 20 0 EBADMM COC(=O)[C@@]1(N2CCN(C(=O)c3ccc([O-])cn3)CC2)CCOC1 ZINC001362993293 1131736715 /nfs/dbraw/zinc/73/67/15/1131736715.db2.gz YQBFSPVTKUSONP-MRXNPFEDSA-N -1 1 335.360 -0.123 20 0 EBADMM CC(C)(C)OC(=O)N1C[C@@H](NC(=O)CCc2nn[n-]n2)[C@@H](O)C1 ZINC001363042738 1131759084 /nfs/dbraw/zinc/75/90/84/1131759084.db2.gz XPANSYXKRGNAOU-BDAKNGLRSA-N -1 1 326.357 -0.771 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC(NS(N)(=O)=O)C1 ZINC001363083786 1131776743 /nfs/dbraw/zinc/77/67/43/1131776743.db2.gz SFIJEACZKCULIY-UHFFFAOYSA-N -1 1 329.382 -0.768 20 0 EBADMM O=C(CCCc1nn[n-]n1)NC[C@@H]1CN(Cc2ccncc2)CCO1 ZINC001363098619 1131783872 /nfs/dbraw/zinc/78/38/72/1131783872.db2.gz KJKDGJRMPLLXLH-CQSZACIVSA-N -1 1 345.407 -0.065 20 0 EBADMM CSCC[C@H](NC(=O)Cc1ccc(C(N)=O)nc1)c1nn[n-]n1 ZINC001363099960 1131784800 /nfs/dbraw/zinc/78/48/00/1131784800.db2.gz OEDRNHRAUUNDKC-JTQLQIEISA-N -1 1 335.393 -0.153 20 0 EBADMM COC(=O)c1c(NC(=O)C[C@@H]2COC(=O)C2)n[n-]c1OCCO ZINC001363118093 1131790966 /nfs/dbraw/zinc/79/09/66/1131790966.db2.gz GGMSCCTWAXLVRT-ZETCQYMHSA-N -1 1 327.293 -0.541 20 0 EBADMM COC(=O)c1c(OCCO)n[n-]c1NC(=O)C[C@@H]1COC(=O)C1 ZINC001363118093 1131790974 /nfs/dbraw/zinc/79/09/74/1131790974.db2.gz GGMSCCTWAXLVRT-ZETCQYMHSA-N -1 1 327.293 -0.541 20 0 EBADMM O=C(c1ccc(CN2CCOCC2)o1)N1CCOC[C@H]1c1nn[n-]n1 ZINC001363204110 1131829647 /nfs/dbraw/zinc/82/96/47/1131829647.db2.gz NQRSUYXCRGRKMZ-LBPRGKRZSA-N -1 1 348.363 -0.161 20 0 EBADMM O=C([N-]CC1CN(C(=O)C2=C3CCCN=C3NN2)C1)C(F)(F)F ZINC001363210555 1131832559 /nfs/dbraw/zinc/83/25/59/1131832559.db2.gz BBINEFRQKYEEGT-UHFFFAOYSA-N -1 1 331.298 -0.323 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H]1CC(=O)N(CC2CC2)C1 ZINC001363238156 1131844177 /nfs/dbraw/zinc/84/41/77/1131844177.db2.gz JKJWCUWLEJYQDQ-LLVKDONJSA-N -1 1 332.360 -0.356 20 0 EBADMM CCOC(=O)N1CCC(C(=O)N[C@@H](COC)c2nn[n-]n2)CC1 ZINC001363408082 1131937771 /nfs/dbraw/zinc/93/77/71/1131937771.db2.gz BFQLRHCHPRUSJM-JTQLQIEISA-N -1 1 326.357 -0.128 20 0 EBADMM O=C(c1cnc(OCC2CC2)cn1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001363410717 1131937863 /nfs/dbraw/zinc/93/78/63/1131937863.db2.gz MYSFVWUHUGQXLZ-LLVKDONJSA-N -1 1 331.336 -0.008 20 0 EBADMM CCOC(=O)[C@H]1CCCN(CC(=O)N[C@H](COC)c2nn[n-]n2)C1 ZINC001363415713 1131940707 /nfs/dbraw/zinc/94/07/07/1131940707.db2.gz OAWVDXSBPYWQHR-WDEREUQCSA-N -1 1 340.384 -0.722 20 0 EBADMM CCOC(=O)N1CCC[C@H](C(=O)N[C@H](COC)c2nn[n-]n2)C1 ZINC001363437202 1131949467 /nfs/dbraw/zinc/94/94/67/1131949467.db2.gz PQHMAEIHWKCRSR-VHSXEESVSA-N -1 1 326.357 -0.128 20 0 EBADMM COC[C@H](NC(=O)[C@H]1CN(C(=O)C(C)(C)C)CCO1)c1nn[n-]n1 ZINC001363439541 1131950557 /nfs/dbraw/zinc/95/05/57/1131950557.db2.gz WXJWHMJWVNGJSF-VHSXEESVSA-N -1 1 340.384 -0.723 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H](CO)C[C@@H]2CCCO2)co1 ZINC001363441816 1131951595 /nfs/dbraw/zinc/95/15/95/1131951595.db2.gz UJAKPYVUPHFQHF-QWRGUYRKSA-N -1 1 332.378 -0.153 20 0 EBADMM O=C(CCCn1c(=O)[n-][nH]c1=O)N1CCC(N2CCOCC2)CC1 ZINC001363496644 1131975411 /nfs/dbraw/zinc/97/54/11/1131975411.db2.gz QVWMTDWLKPDULE-UHFFFAOYSA-N -1 1 339.396 -0.207 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC2(C)CN(C(=O)OC)C2)o1 ZINC001363504472 1131980292 /nfs/dbraw/zinc/98/02/92/1131980292.db2.gz JMHODYWGCGOHPM-UHFFFAOYSA-N -1 1 331.350 -0.242 20 0 EBADMM O=C(c1cc(N2CCOCC2)ccn1)N1CCOC[C@H]1c1nn[n-]n1 ZINC001363513432 1131984624 /nfs/dbraw/zinc/98/46/24/1131984624.db2.gz QJBMIAUUHKUCJY-ZDUSSCGKSA-N -1 1 345.363 -0.355 20 0 EBADMM CCOC(=O)c1ccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)nc1 ZINC001363514250 1131984914 /nfs/dbraw/zinc/98/49/14/1131984914.db2.gz SOMDDUFVCUGCDQ-NSHDSACASA-N -1 1 332.320 -0.015 20 0 EBADMM COC[C@@H]1CC[C@H]([C@@H]2COCCN2C(=O)CCc2nn[n-]n2)O1 ZINC001363626135 1132036894 /nfs/dbraw/zinc/03/68/94/1132036894.db2.gz UIDYLGHHAFTGCO-SDDRHHMPSA-N -1 1 325.369 -0.446 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@H](CCO)[C@H](CO)C2)co1 ZINC001363711766 1132065529 /nfs/dbraw/zinc/06/55/29/1132065529.db2.gz PSQMIBZFQJQGCO-ONGXEEELSA-N -1 1 332.378 -0.749 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H](CO)c2cccc(F)c2)c(=O)[nH]c1=O ZINC001363786477 1132088242 /nfs/dbraw/zinc/08/82/42/1132088242.db2.gz RKFSHYPTCIHANJ-SNVBAGLBSA-N -1 1 343.336 -0.363 20 0 EBADMM COC[C@H](CCO)[N-]S(=O)(=O)c1nc[nH]c1Br ZINC001363833725 1132105885 /nfs/dbraw/zinc/10/58/85/1132105885.db2.gz NMHVICABLNNIIJ-LURJTMIESA-N -1 1 328.188 -0.152 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H](CO)CC(F)(F)F)c(=O)[nH]c1=O ZINC001363839445 1132107516 /nfs/dbraw/zinc/10/75/16/1132107516.db2.gz XAUAAIZCKQSERB-YFKPBYRVSA-N -1 1 331.272 -0.923 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2CCO[C@]3(CCOC3)C2)c(=O)[nH]c1=O ZINC001363844782 1132110110 /nfs/dbraw/zinc/11/01/10/1132110110.db2.gz ZIRKKRODWRFQJG-TVQRCGJNSA-N -1 1 345.377 -0.898 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](CCO)C1CCOCC1 ZINC001363853753 1132112066 /nfs/dbraw/zinc/11/20/66/1132112066.db2.gz QJBYXZWHHTYXPX-NSHDSACASA-N -1 1 347.393 -0.348 20 0 EBADMM COC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)c1cnc(SC)[n-]c1=O ZINC001363862030 1132114069 /nfs/dbraw/zinc/11/40/69/1132114069.db2.gz CZMHUNUQAUZDIX-QMMMGPOBSA-N -1 1 340.361 -0.640 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H](C)[S@@](=O)CC2)[n-]n1 ZINC001363865503 1132114980 /nfs/dbraw/zinc/11/49/80/1132114980.db2.gz IFWQGMCBCBROPD-FHZGZLOMSA-N -1 1 335.407 -0.272 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H](C)[S@@](=O)CC2)n[n-]1 ZINC001363865503 1132114984 /nfs/dbraw/zinc/11/49/84/1132114984.db2.gz IFWQGMCBCBROPD-FHZGZLOMSA-N -1 1 335.407 -0.272 20 0 EBADMM CC[C@@H]1COCCN1CCNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001363898736 1132123911 /nfs/dbraw/zinc/12/39/11/1132123911.db2.gz MNPOBTYMRASIBH-SECBINFHSA-N -1 1 326.353 -0.263 20 0 EBADMM CSc1ncc(C(=O)N[C@@](C)(Cn2cccn2)C(N)=O)c(=O)[n-]1 ZINC001363904927 1132126098 /nfs/dbraw/zinc/12/60/98/1132126098.db2.gz ZOYKYXCMIBRBRG-ZDUSSCGKSA-N -1 1 336.377 -0.225 20 0 EBADMM C[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)[C@H](O)c1ccccc1 ZINC001363924114 1132130593 /nfs/dbraw/zinc/13/05/93/1132130593.db2.gz CVZFPSLQJPAGEE-CABZTGNLSA-N -1 1 339.373 -0.114 20 0 EBADMM C[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)[C@H](O)c1ccccc1 ZINC001363924119 1132131437 /nfs/dbraw/zinc/13/14/37/1132131437.db2.gz CVZFPSLQJPAGEE-SKDRFNHKSA-N -1 1 339.373 -0.114 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)CC2(O)CCOCC2)[n-]n1 ZINC001363934477 1132134849 /nfs/dbraw/zinc/13/48/49/1132134849.db2.gz IMAPVPVZGIRVST-UHFFFAOYSA-N -1 1 333.366 -0.642 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)CC2(O)CCOCC2)n[n-]1 ZINC001363934477 1132134851 /nfs/dbraw/zinc/13/48/51/1132134851.db2.gz IMAPVPVZGIRVST-UHFFFAOYSA-N -1 1 333.366 -0.642 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@H]2CCOC[C@H]2OC)[n-]n1 ZINC001363982191 1132153466 /nfs/dbraw/zinc/15/34/66/1132153466.db2.gz CVWZGFDZMOYWFO-PSASIEDQSA-N -1 1 333.366 -0.474 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@H]2CCOC[C@H]2OC)n[n-]1 ZINC001363982191 1132153468 /nfs/dbraw/zinc/15/34/68/1132153468.db2.gz CVWZGFDZMOYWFO-PSASIEDQSA-N -1 1 333.366 -0.474 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](CO)[C@@H]1CCCOC1 ZINC001364005750 1132162554 /nfs/dbraw/zinc/16/25/54/1132162554.db2.gz PUNUBWLSXZEJDO-PSASIEDQSA-N -1 1 333.366 -0.738 20 0 EBADMM COC[C@@H](NC(=O)c1cccc(CS(C)(=O)=O)c1)c1nn[n-]n1 ZINC001364024638 1132170930 /nfs/dbraw/zinc/17/09/30/1132170930.db2.gz JOVUBBORWFGRQD-LLVKDONJSA-N -1 1 339.377 -0.138 20 0 EBADMM COc1cc(S(=O)(=O)[N-]CCn2ccc(=O)[nH]c2=O)sn1 ZINC001364028863 1132173025 /nfs/dbraw/zinc/17/30/25/1132173025.db2.gz LEYCPPBITCXINE-UHFFFAOYSA-N -1 1 332.363 -0.608 20 0 EBADMM COC[C@@H](NC(=O)CCNC(=O)c1ccc(F)cc1)c1nn[n-]n1 ZINC001364035355 1132175567 /nfs/dbraw/zinc/17/55/67/1132175567.db2.gz QJGUIWOZNLWPCK-LLVKDONJSA-N -1 1 336.327 -0.037 20 0 EBADMM Cc1ncc(S(=O)(=O)NCc2nc3c(c(=O)[n-]2)COCC3)n1C ZINC001364043234 1132180295 /nfs/dbraw/zinc/18/02/95/1132180295.db2.gz NUQWLGOCDOSGBQ-UHFFFAOYSA-N -1 1 339.377 -0.225 20 0 EBADMM COC(=O)[C@@H](CC1OCCO1)[N-]S(=O)(=O)CC[C@H]1CCOC1 ZINC001364066695 1132193432 /nfs/dbraw/zinc/19/34/32/1132193432.db2.gz AAEZHWHOLMFCOP-GHMZBOCLSA-N -1 1 337.394 -0.363 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)C[C@@H]2CCCS2(=O)=O)n[n-]1 ZINC001364068486 1132194212 /nfs/dbraw/zinc/19/42/12/1132194212.db2.gz YFJYLLCPLNLYSJ-QMMMGPOBSA-N -1 1 330.366 -0.435 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)C[C@@H]2CCCS2(=O)=O)n1 ZINC001364068486 1132194215 /nfs/dbraw/zinc/19/42/15/1132194215.db2.gz YFJYLLCPLNLYSJ-QMMMGPOBSA-N -1 1 330.366 -0.435 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2[C@H](C)C(=O)N(C)[C@H](C)[C@@H]2C)[n-]n1 ZINC001364069519 1132194803 /nfs/dbraw/zinc/19/48/03/1132194803.db2.gz HLSDMESSALEBQE-HRDYMLBCSA-N -1 1 344.393 -0.176 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2[C@H](C)C(=O)N(C)[C@H](C)[C@@H]2C)n[n-]1 ZINC001364069519 1132194806 /nfs/dbraw/zinc/19/48/06/1132194806.db2.gz HLSDMESSALEBQE-HRDYMLBCSA-N -1 1 344.393 -0.176 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1cn(C)nc1OC ZINC001364153224 1132220748 /nfs/dbraw/zinc/22/07/48/1132220748.db2.gz RSAYXRBSANANQB-UHFFFAOYSA-N -1 1 343.365 -0.193 20 0 EBADMM Cc1cccnc1[C@@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC001364162615 1132223622 /nfs/dbraw/zinc/22/36/22/1132223622.db2.gz VLKNPUUKGLJJGC-SNVBAGLBSA-N -1 1 338.389 -0.173 20 0 EBADMM COC(=O)C1(CS(=O)(=O)[N-]C2(C(=O)OC)CC(CO)C2)CCC1 ZINC001364186424 1132229519 /nfs/dbraw/zinc/22/95/19/1132229519.db2.gz PPQKRMLHCNVSAU-UHFFFAOYSA-N -1 1 349.405 -0.437 20 0 EBADMM CN(C(=O)[C@@](C)(O)Cn1cc(C(F)(F)F)ccc1=O)c1nn[n-]n1 ZINC001364200785 1132233890 /nfs/dbraw/zinc/23/38/90/1132233890.db2.gz RZMRFQXBBGEWJQ-NSHDSACASA-N -1 1 346.269 -0.206 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cc(C(=O)OC)nn2C)o1 ZINC001364288725 1132263866 /nfs/dbraw/zinc/26/38/66/1132263866.db2.gz OPROYLIMEDFFSU-UHFFFAOYSA-N -1 1 342.333 -0.040 20 0 EBADMM COc1cnc([C@@H]2CCCN2C(=O)c2cn(C)c(=O)[nH]c2=O)[n-]c1=O ZINC001364415720 1132308215 /nfs/dbraw/zinc/30/82/15/1132308215.db2.gz KEIOJAOPXTXFOH-VIFPVBQESA-N -1 1 347.331 -0.033 20 0 EBADMM CO[C@@H](C(=O)NCc1nc2c(c(=O)[n-]1)COCC2)c1cnn(C)c1 ZINC001364551000 1132359766 /nfs/dbraw/zinc/35/97/66/1132359766.db2.gz YPWUWACFWFVKQJ-CYBMUJFWSA-N -1 1 333.348 -0.008 20 0 EBADMM C[C@@H](NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)c1ncn(C)n1 ZINC001364595522 1132370829 /nfs/dbraw/zinc/37/08/29/1132370829.db2.gz CVGQCMVNZDCELQ-MRVPVSSYSA-N -1 1 333.352 -0.056 20 0 EBADMM CC[C@H](C)[C@H](NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C(N)=O ZINC001364719746 1132403168 /nfs/dbraw/zinc/40/31/68/1132403168.db2.gz CEMDRHGCCCRHMG-UFBFGSQYSA-N -1 1 337.380 -0.046 20 0 EBADMM COC(=O)[C@H](NCc1nc2c(c(=O)[n-]1)COCC2)c1ccnn1C ZINC001364729759 1132406011 /nfs/dbraw/zinc/40/60/11/1132406011.db2.gz WZIAWCNSMTXEFZ-CYBMUJFWSA-N -1 1 333.348 -0.008 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H]2C[C@@H]3COC[C@@H]3O2)co1 ZINC001364732429 1132406763 /nfs/dbraw/zinc/40/67/63/1132406763.db2.gz SXGUVGFTCRRQBB-MIMYLULJSA-N -1 1 330.362 -0.279 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@H]2CCS(=O)(=O)C2)[n-]n1 ZINC001364750856 1132413120 /nfs/dbraw/zinc/41/31/20/1132413120.db2.gz PDCBHLIJRRMGCH-ZETCQYMHSA-N -1 1 337.379 -0.996 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@H]2CCS(=O)(=O)C2)n[n-]1 ZINC001364750856 1132413124 /nfs/dbraw/zinc/41/31/24/1132413124.db2.gz PDCBHLIJRRMGCH-ZETCQYMHSA-N -1 1 337.379 -0.996 20 0 EBADMM CCCN(CC(=O)N(C)C)S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001364762360 1132418310 /nfs/dbraw/zinc/41/83/10/1132418310.db2.gz WAKPFLYGJPTUOO-UHFFFAOYSA-N -1 1 332.382 -0.315 20 0 EBADMM CCCN(CC(=O)N(C)C)S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001364762360 1132418314 /nfs/dbraw/zinc/41/83/14/1132418314.db2.gz WAKPFLYGJPTUOO-UHFFFAOYSA-N -1 1 332.382 -0.315 20 0 EBADMM CN(C)[C@@H](C[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)c1ccco1 ZINC001364771433 1132421278 /nfs/dbraw/zinc/42/12/78/1132421278.db2.gz JILSRLKPXYOBBX-VIFPVBQESA-N -1 1 342.377 -0.340 20 0 EBADMM COC[C@](C)(CC(=O)OC)[N-]S(=O)(=O)c1n[nH]cc1C(=O)OC ZINC001364788265 1132426809 /nfs/dbraw/zinc/42/68/09/1132426809.db2.gz KLLXGODLRWVAFG-LBPRGKRZSA-N -1 1 349.365 -0.557 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@@H](CC(N)=O)C2)[n-]n1 ZINC001364795562 1132429589 /nfs/dbraw/zinc/42/95/89/1132429589.db2.gz JSVKXHHMUOCCPK-QMMMGPOBSA-N -1 1 330.366 -0.528 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@@H](CC(N)=O)C2)n[n-]1 ZINC001364795562 1132429591 /nfs/dbraw/zinc/42/95/91/1132429591.db2.gz JSVKXHHMUOCCPK-QMMMGPOBSA-N -1 1 330.366 -0.528 20 0 EBADMM CCOC(=O)[C@H](CO)[N-]S(=O)(=O)c1nc[nH]c1Br ZINC001364807990 1132434411 /nfs/dbraw/zinc/43/44/11/1132434411.db2.gz FACQYOCBBKRERU-YFKPBYRVSA-N -1 1 342.171 -0.626 20 0 EBADMM COC[C@@H](NC(=O)[C@@H]1CC12CCS(=O)(=O)CC2)c1nn[n-]n1 ZINC001364812625 1132435278 /nfs/dbraw/zinc/43/52/78/1132435278.db2.gz FBPYAMALWYCRSJ-DTWKUNHWSA-N -1 1 329.382 -0.782 20 0 EBADMM CCOc1ccc(C(=O)NCC(=O)N[C@@H](COC)c2nn[n-]n2)cc1 ZINC001364813107 1132436024 /nfs/dbraw/zinc/43/60/24/1132436024.db2.gz HADBZMVLGGCQDS-LBPRGKRZSA-N -1 1 348.363 -0.168 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCN(C[C@H](C)O)C[C@@H]2C)[n-]n1 ZINC001364819957 1132439585 /nfs/dbraw/zinc/43/95/85/1132439585.db2.gz BFUWHKVGDJESHN-UWVGGRQHSA-N -1 1 346.409 -0.728 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCN(C[C@H](C)O)C[C@@H]2C)n[n-]1 ZINC001364819957 1132439586 /nfs/dbraw/zinc/43/95/86/1132439586.db2.gz BFUWHKVGDJESHN-UWVGGRQHSA-N -1 1 346.409 -0.728 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCc3nc[nH]c(=O)c3C2)[n-]n1 ZINC001364822094 1132440511 /nfs/dbraw/zinc/44/05/11/1132440511.db2.gz PRMJIVJGWBYZFN-UHFFFAOYSA-N -1 1 339.333 -0.561 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCc3nc[nH]c(=O)c3C2)n[n-]1 ZINC001364822094 1132440514 /nfs/dbraw/zinc/44/05/14/1132440514.db2.gz PRMJIVJGWBYZFN-UHFFFAOYSA-N -1 1 339.333 -0.561 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCOC[C@H]2C[C@H](C)O)[n-]n1 ZINC001364838836 1132448595 /nfs/dbraw/zinc/44/85/95/1132448595.db2.gz RWTFBGYXVXHOOO-DTWKUNHWSA-N -1 1 333.366 -0.643 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCOC[C@H]2C[C@H](C)O)n[n-]1 ZINC001364838836 1132448600 /nfs/dbraw/zinc/44/86/00/1132448600.db2.gz RWTFBGYXVXHOOO-DTWKUNHWSA-N -1 1 333.366 -0.643 20 0 EBADMM CCCC[C@H]([N-]S(=O)(=O)CCS(=O)(=O)N(C)C)C(=O)OC ZINC001364839162 1132448890 /nfs/dbraw/zinc/44/88/90/1132448890.db2.gz PRYJYEUTPJGUTB-JTQLQIEISA-N -1 1 344.455 -0.471 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[S@](=O)CC2(C)C)[n-]n1 ZINC001364848261 1132451830 /nfs/dbraw/zinc/45/18/30/1132451830.db2.gz CPVJYGWMENMSAA-FQEVSTJZSA-N -1 1 335.407 -0.272 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[S@](=O)CC2(C)C)n[n-]1 ZINC001364848261 1132451835 /nfs/dbraw/zinc/45/18/35/1132451835.db2.gz CPVJYGWMENMSAA-FQEVSTJZSA-N -1 1 335.407 -0.272 20 0 EBADMM COCC[C@@H]([N-]S(=O)(=O)c1n[nH]cc1C(=O)OC)C(=O)OC ZINC001364858783 1132456507 /nfs/dbraw/zinc/45/65/07/1132456507.db2.gz VXSMRNQDZAMKKA-MRVPVSSYSA-N -1 1 335.338 -0.947 20 0 EBADMM CC[C@H]([N-]S(=O)(=O)c1nc[nH]c1Br)C(=O)NC ZINC001364869278 1132461235 /nfs/dbraw/zinc/46/12/35/1132461235.db2.gz ZGEGIOTWOLAWHO-YFKPBYRVSA-N -1 1 325.188 -0.025 20 0 EBADMM CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@@]1(C)CCOC1=O ZINC001364875844 1132464503 /nfs/dbraw/zinc/46/45/03/1132464503.db2.gz ZYANLBLXEDIBGV-LBPRGKRZSA-N -1 1 331.350 -0.121 20 0 EBADMM CO[C@H](CS(=O)(=O)[N-]Cc1nc(C(N)=O)co1)[C@@H]1CCOC1 ZINC001364879756 1132466559 /nfs/dbraw/zinc/46/65/59/1132466559.db2.gz DUSKKFBOPZNLDH-PSASIEDQSA-N -1 1 333.366 -0.756 20 0 EBADMM COCC1CCC([N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)CC1 ZINC001364883563 1132468528 /nfs/dbraw/zinc/46/85/28/1132468528.db2.gz WPRTVGLGYKQZGC-UHFFFAOYSA-N -1 1 331.394 -0.031 20 0 EBADMM CC[C@H](COCC1CC1)[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001364907983 1132479713 /nfs/dbraw/zinc/47/97/13/1132479713.db2.gz MRBAANJDSRRDBS-SNVBAGLBSA-N -1 1 331.394 -0.031 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@@H]1[N-]S(=O)(=O)c1cc(C)ns1 ZINC001364909324 1132479736 /nfs/dbraw/zinc/47/97/36/1132479736.db2.gz UZZWDNFEJQGFLU-JGVFFNPUSA-N -1 1 326.421 -0.458 20 0 EBADMM COC(=O)[C@@H](CC1OCCO1)[N-]S(=O)(=O)C[C@@H]1CCCOC1 ZINC001364991531 1132513310 /nfs/dbraw/zinc/51/33/10/1132513310.db2.gz JPJJNNMOMOQHON-GHMZBOCLSA-N -1 1 337.394 -0.363 20 0 EBADMM COCCn1ncc(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)c1C ZINC001365186773 1132574264 /nfs/dbraw/zinc/57/42/64/1132574264.db2.gz VBOGUECZCNJHMQ-UHFFFAOYSA-N -1 1 328.354 -0.388 20 0 EBADMM COCCn1ncc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)c1C ZINC001365186773 1132574257 /nfs/dbraw/zinc/57/42/57/1132574257.db2.gz VBOGUECZCNJHMQ-UHFFFAOYSA-N -1 1 328.354 -0.388 20 0 EBADMM COC(=O)[C@H](CC(F)F)[N-]S(=O)(=O)CCN1CCOC1=O ZINC001365371189 1132636819 /nfs/dbraw/zinc/63/68/19/1132636819.db2.gz ALKBJLAOZNCGGE-ZETCQYMHSA-N -1 1 330.309 -0.445 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(CC(F)F)C[C@H](C)O)[n-]n1 ZINC001365399269 1132651580 /nfs/dbraw/zinc/65/15/80/1132651580.db2.gz OPPAOLVONVKDPR-LURJTMIESA-N -1 1 327.309 -0.167 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(CC(F)F)C[C@H](C)O)n[n-]1 ZINC001365399269 1132651586 /nfs/dbraw/zinc/65/15/86/1132651586.db2.gz OPPAOLVONVKDPR-LURJTMIESA-N -1 1 327.309 -0.167 20 0 EBADMM C[C@H]([N-]S(=O)(=O)[C@@H]1COC[C@H]1O)C(=O)OCc1ccccc1 ZINC001365429229 1132668596 /nfs/dbraw/zinc/66/85/96/1132668596.db2.gz UWKHZFSPLUDWTE-CYZMBNFOSA-N -1 1 329.374 -0.203 20 0 EBADMM CCOC(=O)C1(C[N-]S(=O)(=O)c2n[nH]cc2C(=O)OC)CC1 ZINC001365457565 1132689692 /nfs/dbraw/zinc/68/96/92/1132689692.db2.gz CFDIPVGFCQXCOC-UHFFFAOYSA-N -1 1 331.350 -0.182 20 0 EBADMM CCC1(CC)CNC(=O)[C@@H]1[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001365462652 1132694377 /nfs/dbraw/zinc/69/43/77/1132694377.db2.gz KDOKNWQUJYAFJV-VIFPVBQESA-N -1 1 344.393 -0.931 20 0 EBADMM CO[C@]1(C[N-]S(=O)(=O)c2ccns2)CCS(=O)(=O)C1 ZINC001365463375 1132694482 /nfs/dbraw/zinc/69/44/82/1132694482.db2.gz FFERNGHQPSOFFG-VIFPVBQESA-N -1 1 326.421 -0.375 20 0 EBADMM COC(=O)C(F)(F)C[N-]S(=O)(=O)c1cnn2c1OCCC2 ZINC001365467704 1132696731 /nfs/dbraw/zinc/69/67/31/1132696731.db2.gz YDFXKCMADZIVJK-UHFFFAOYSA-N -1 1 325.293 -0.248 20 0 EBADMM Cc1cccc([C@H](O)C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)c1 ZINC001365681694 1132761089 /nfs/dbraw/zinc/76/10/89/1132761089.db2.gz UXGWTKSGJZHUGS-LLVKDONJSA-N -1 1 339.373 -0.194 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H](CO)CC2CCCC2)c(=O)[nH]c1=O ZINC001365703757 1132768617 /nfs/dbraw/zinc/76/86/17/1132768617.db2.gz OADSNIUTTLBPSX-NSHDSACASA-N -1 1 345.421 -0.047 20 0 EBADMM CCn1cccc(CNS(=O)(=O)c2cc(C(=O)OC)[n-]n2)c1=O ZINC001365704076 1132768757 /nfs/dbraw/zinc/76/87/57/1132768757.db2.gz ATGSWXAYBFVJJX-UHFFFAOYSA-N -1 1 340.361 -0.144 20 0 EBADMM COCC(COC)S(=O)(=O)[N-][C@H](Cc1ccncc1)C(=O)OC ZINC001365708998 1132771236 /nfs/dbraw/zinc/77/12/36/1132771236.db2.gz CGOAHYRORAZWFR-CYBMUJFWSA-N -1 1 346.405 -0.254 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H](CO)[C@@H]2CCCOC2)[n-]n1 ZINC001365714491 1132773026 /nfs/dbraw/zinc/77/30/26/1132773026.db2.gz LXYRNJURAJZTKM-PSASIEDQSA-N -1 1 333.366 -0.738 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H](CO)[C@@H]2CCCOC2)n[n-]1 ZINC001365714491 1132773033 /nfs/dbraw/zinc/77/30/33/1132773033.db2.gz LXYRNJURAJZTKM-PSASIEDQSA-N -1 1 333.366 -0.738 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)N2CCC(=O)NCC23COC3)c1 ZINC001365728166 1132778775 /nfs/dbraw/zinc/77/87/75/1132778775.db2.gz HBYFQIGGUQAKKL-UHFFFAOYSA-N -1 1 342.373 -0.320 20 0 EBADMM Cc1ncc(C(=O)N2CCC[C@H](N(CCO)Cc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC001365875758 1132852800 /nfs/dbraw/zinc/85/28/00/1132852800.db2.gz LLAUJUYEPVNILM-NSHDSACASA-N -1 1 349.395 -0.359 20 0 EBADMM Cc1ccc(C(=O)NCCN2CCN(Cc3nc(=O)n(C)[n-]3)CC2)o1 ZINC001365954145 1132912893 /nfs/dbraw/zinc/91/28/93/1132912893.db2.gz ODVNMLSLHLNAEL-UHFFFAOYSA-N -1 1 348.407 -0.443 20 0 EBADMM CCNC(=O)NC(=O)[C@H](C)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001365996432 1132957012 /nfs/dbraw/zinc/95/70/12/1132957012.db2.gz YIVUPBHXRZCTPV-WDEREUQCSA-N -1 1 349.391 -0.175 20 0 EBADMM Cn1cncc1CC(=O)NC[C@@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001366210140 1133057777 /nfs/dbraw/zinc/05/77/77/1133057777.db2.gz HUZGFYZHPQPRDF-LBPRGKRZSA-N -1 1 347.423 -0.445 20 0 EBADMM C[C@H](CNC(=O)[C@@H]1CCCc2nn(C)cc21)NCc1n[nH]c(=O)[n-]1 ZINC001366319720 1133097333 /nfs/dbraw/zinc/09/73/33/1133097333.db2.gz FPALALMCZUDGOB-NXEZZACHSA-N -1 1 333.396 -0.042 20 0 EBADMM Cn1[n-]c(CN(CCNC(=O)[C@]2(F)CCOC2)C2CC2)nc1=O ZINC001366755456 1133284713 /nfs/dbraw/zinc/28/47/13/1133284713.db2.gz WZFWHWQVBVSYBQ-AWEZNQCLSA-N -1 1 327.360 -0.682 20 0 EBADMM C[C@H](C(=O)NCCN(Cc1nc(=O)n(C)[n-]1)C1CC1)c1cnn(C)c1 ZINC001366766597 1133288596 /nfs/dbraw/zinc/28/85/96/1133288596.db2.gz YIWOMIUEMGZYCW-NSHDSACASA-N -1 1 347.423 -0.274 20 0 EBADMM COC[C@@H](C)CC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001366800519 1133300549 /nfs/dbraw/zinc/30/05/49/1133300549.db2.gz PSJUVANMLWDEET-RWMBFGLXSA-N -1 1 337.424 -0.044 20 0 EBADMM COC[C@@H](C)OC[C@@H](O)CN1CC(NC(=O)c2ncccc2[O-])C1 ZINC001366917547 1133361665 /nfs/dbraw/zinc/36/16/65/1133361665.db2.gz QWPMUWDXYXEXJM-YPMHNXCESA-N -1 1 339.392 -0.386 20 0 EBADMM C[C@@H](CC(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1)[C@@H]1CCCO1 ZINC001366993700 1133407988 /nfs/dbraw/zinc/40/79/88/1133407988.db2.gz VTHNKYWSTRMCLH-AAEUAGOBSA-N -1 1 337.424 -0.138 20 0 EBADMM C[C@H](CC(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1)[C@H]1CCCO1 ZINC001366993707 1133408116 /nfs/dbraw/zinc/40/81/16/1133408116.db2.gz VTHNKYWSTRMCLH-DGCLKSJQSA-N -1 1 337.424 -0.138 20 0 EBADMM CC[C@H](CNC(=O)c1n[nH]c(=O)[n-]c1=O)NCc1cc2n(n1)CCC2 ZINC001367098760 1133474710 /nfs/dbraw/zinc/47/47/10/1133474710.db2.gz DLYBOBMUIFFOBJ-SECBINFHSA-N -1 1 347.379 -0.276 20 0 EBADMM COc1nccc(C(=O)N[C@@](C)(CNCc2n[nH]c(=O)[n-]2)C2CC2)n1 ZINC001367184739 1133496508 /nfs/dbraw/zinc/49/65/08/1133496508.db2.gz TTWPYXPXQSIGLS-HNNXBMFYSA-N -1 1 347.379 -0.003 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)NC[C@@H](O)CNC/C(Cl)=C/Cl ZINC001367629634 1133717731 /nfs/dbraw/zinc/71/77/31/1133717731.db2.gz WSARMFDTVUKYIA-YGUHCDKUSA-N -1 1 340.167 -0.925 20 0 EBADMM C[C@@H](CN(C)[C@@H](C)C(N)=O)NC(=O)C(C)(C)[N-]C(=O)C(F)(F)F ZINC001367860513 1133818730 /nfs/dbraw/zinc/81/87/30/1133818730.db2.gz JLELYXAHFFFFOO-YUMQZZPRSA-N -1 1 340.346 -0.246 20 0 EBADMM CN1CC[C@@H](CC(=O)N[C@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)C1=O ZINC001367908932 1133834748 /nfs/dbraw/zinc/83/47/48/1133834748.db2.gz QAISNCPHCAOWNO-WDEREUQCSA-N -1 1 336.396 -0.637 20 0 EBADMM Cc1cc(OCC(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)no1 ZINC001367950296 1133858226 /nfs/dbraw/zinc/85/82/26/1133858226.db2.gz VNSFJVQNMRQSIZ-SNVBAGLBSA-N -1 1 336.352 -0.826 20 0 EBADMM Cc1ccn(C[C@@H](C)C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001367957141 1133862958 /nfs/dbraw/zinc/86/29/58/1133862958.db2.gz XQVGDRJRPJNBCP-YPMHNXCESA-N -1 1 347.423 -0.360 20 0 EBADMM CCC(=O)N[C@H](C)C(=O)N[C@@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001368147065 1133960843 /nfs/dbraw/zinc/96/08/43/1133960843.db2.gz BKAUOXRONZHCDF-BMIGLBTASA-N -1 1 338.412 -0.896 20 0 EBADMM Cc1ncoc1C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCOCC1 ZINC001368221652 1133995949 /nfs/dbraw/zinc/99/59/49/1133995949.db2.gz BUUVEJJDKQPAIL-UHFFFAOYSA-N -1 1 336.352 -0.124 20 0 EBADMM Cc1cccc(C(=O)NCC2(O)CN(Cc3nc(=O)n(C)[n-]3)C2)c1F ZINC001368294339 1134112771 /nfs/dbraw/zinc/11/27/71/1134112771.db2.gz HQCVMTSBRIGVKU-UHFFFAOYSA-N -1 1 349.366 -0.467 20 0 EBADMM Cc1noc(CCC(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001368407315 1134210306 /nfs/dbraw/zinc/21/03/06/1134210306.db2.gz UDVSUGNOAXPLIK-LLVKDONJSA-N -1 1 349.395 -0.535 20 0 EBADMM CCC[C@H](OC)C(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001368437558 1134225944 /nfs/dbraw/zinc/22/59/44/1134225944.db2.gz LQAFSQXZKUZFTO-NEPJUHHUSA-N -1 1 341.412 -0.760 20 0 EBADMM C[C@@H](CS(C)(=O)=O)C(=O)NC[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001368543901 1134285256 /nfs/dbraw/zinc/28/52/56/1134285256.db2.gz XRNNCGMRBMMLNT-LPEHRKFASA-N -1 1 345.425 -0.825 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)NC[C@H]1c2ccccc2CCN1CCO ZINC001368750751 1134416995 /nfs/dbraw/zinc/41/69/95/1134416995.db2.gz AFBGYAANNLFEHE-ZDUSSCGKSA-N -1 1 347.375 -0.603 20 0 EBADMM CN1CCC[C@@H]1C(=O)NC1(CO)CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001368769537 1134430602 /nfs/dbraw/zinc/43/06/02/1134430602.db2.gz PVWVBUTYRXFJDS-LLVKDONJSA-N -1 1 338.412 -0.952 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)COCC1CC1 ZINC001368790027 1134441906 /nfs/dbraw/zinc/44/19/06/1134441906.db2.gz YNESPQCTXFILAE-ZDUSSCGKSA-N -1 1 337.424 -0.042 20 0 EBADMM CO[C@@H](C)CC(=O)N1CCC[C@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001368795111 1134443871 /nfs/dbraw/zinc/44/38/71/1134443871.db2.gz XLQWBIVPHUJYCF-RYUDHWBXSA-N -1 1 325.413 -0.044 20 0 EBADMM CCC(=O)NCC(=O)NC[C@@H](C)N(C)Cc1cc(=O)n2[n-]ccc2n1 ZINC001368937209 1134489649 /nfs/dbraw/zinc/48/96/49/1134489649.db2.gz UUGXTHHSZBJYMB-LLVKDONJSA-N -1 1 348.407 -0.515 20 0 EBADMM CCc1nnsc1C(=O)NC[C@H](C)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001368968872 1134502601 /nfs/dbraw/zinc/50/26/01/1134502601.db2.gz MXSKTHXXXDXSSS-QMMMGPOBSA-N -1 1 339.425 -0.227 20 0 EBADMM Cn1[n-]c(CN2CC(CCO)(NC(=O)C[C@@H]3CC[C@H]4C[C@H]43)C2)nc1=O ZINC001369020738 1134526498 /nfs/dbraw/zinc/52/64/98/1134526498.db2.gz KWGCQBMYLXSJLZ-RWMBFGLXSA-N -1 1 349.435 -0.402 20 0 EBADMM CO[C@H](C(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1)C(C)C ZINC001369092123 1134560569 /nfs/dbraw/zinc/56/05/69/1134560569.db2.gz SMZSFOAYOHLJLR-GWCFXTLKSA-N -1 1 325.413 -0.284 20 0 EBADMM CO[C@H](C)CC(=O)N1CC2(C1)CCCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001369147336 1134592199 /nfs/dbraw/zinc/59/21/99/1134592199.db2.gz KNXDUFZAWKUFBI-GFCCVEGCSA-N -1 1 337.424 -0.042 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cnc(N(C)C)cn1)NCc1n[nH]c(=O)[n-]1 ZINC001369242859 1134627711 /nfs/dbraw/zinc/62/77/11/1134627711.db2.gz VLHVHCFTPSBRLZ-VIFPVBQESA-N -1 1 334.384 -0.383 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H](CO)N[C@@H](C)C(=O)N(C)C(C)C)c1[O-] ZINC001369321364 1134661866 /nfs/dbraw/zinc/66/18/66/1134661866.db2.gz LAOPKLNKBKTWPO-QWRGUYRKSA-N -1 1 341.412 -0.641 20 0 EBADMM Cn1nc(C2CC2)nc1CN[C@H](CO)CNC(=O)c1ncccc1[O-] ZINC001369324599 1134665969 /nfs/dbraw/zinc/66/59/69/1134665969.db2.gz NUCUGEJDPOUDLU-NSHDSACASA-N -1 1 346.391 -0.326 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCCC(=O)N(C)C ZINC001369407961 1134765368 /nfs/dbraw/zinc/76/53/68/1134765368.db2.gz UJNBULDHCXWCSG-WDEREUQCSA-N -1 1 338.412 -0.152 20 0 EBADMM CN(C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)c1ccn(C(F)F)n1 ZINC001369462572 1134805404 /nfs/dbraw/zinc/80/54/04/1134805404.db2.gz KSTXQMIIUMOXCY-ZETCQYMHSA-N -1 1 345.310 -0.675 20 0 EBADMM CN(C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)C1Cc2ccccc2C1 ZINC001369478074 1134839784 /nfs/dbraw/zinc/83/97/84/1134839784.db2.gz XNOUANBSLAFBIA-AWEZNQCLSA-N -1 1 345.403 -0.166 20 0 EBADMM CC(C)N(CCN(C)Cc1nc(=O)n(C)[n-]1)C(=O)c1cn(C)cn1 ZINC001369525722 1134920464 /nfs/dbraw/zinc/92/04/64/1134920464.db2.gz WKZDGZYBWFHRJY-UHFFFAOYSA-N -1 1 335.412 -0.176 20 0 EBADMM COCCN(CCNC(=O)[C@H]1CCC1(F)F)Cc1nc(=O)n(C)[n-]1 ZINC001369557381 1134938729 /nfs/dbraw/zinc/93/87/29/1134938729.db2.gz IPXIDKFVHSMSAB-SNVBAGLBSA-N -1 1 347.366 -0.282 20 0 EBADMM C[C@@H](NC(=O)c1cn(C)c(=O)n1C)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001369676597 1134984461 /nfs/dbraw/zinc/98/44/61/1134984461.db2.gz BXXPDXBEZVEZKI-NXEZZACHSA-N -1 1 347.375 -0.239 20 0 EBADMM C[C@H](CNC(=O)C1CCC1)N(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001369763166 1135010048 /nfs/dbraw/zinc/01/00/48/1135010048.db2.gz CTHIQRUOHQJIRI-SECBINFHSA-N -1 1 332.364 -0.206 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)c1cc(=O)n(C)cn1 ZINC001369822360 1135033577 /nfs/dbraw/zinc/03/35/77/1135033577.db2.gz ITLBQHUOLAKBMY-SNVBAGLBSA-N -1 1 345.359 -0.229 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)c1cc(=O)n(C)cn1 ZINC001369822351 1135033945 /nfs/dbraw/zinc/03/39/45/1135033945.db2.gz ITLBQHUOLAKBMY-JTQLQIEISA-N -1 1 345.359 -0.229 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)C1CS(=O)(=O)C1 ZINC001369834264 1135039956 /nfs/dbraw/zinc/03/99/56/1135039956.db2.gz OLRGQVBUQBRWKR-VIFPVBQESA-N -1 1 341.389 -0.592 20 0 EBADMM COc1cncc(C(=O)NC[C@H](NCc2n[nH]c(=O)[n-]2)C2CC2)n1 ZINC001370350956 1135314613 /nfs/dbraw/zinc/31/46/13/1135314613.db2.gz USKWCCRAXBWKPE-VIFPVBQESA-N -1 1 333.352 -0.393 20 0 EBADMM COc1cncc(C(=O)NC[C@@H](NCc2n[nH]c(=O)[n-]2)C2CC2)n1 ZINC001370350955 1135314729 /nfs/dbraw/zinc/31/47/29/1135314729.db2.gz USKWCCRAXBWKPE-SECBINFHSA-N -1 1 333.352 -0.393 20 0 EBADMM CCS(=O)(=O)CC(=O)N(C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001370522182 1135389370 /nfs/dbraw/zinc/38/93/70/1135389370.db2.gz RUXXDMZJTTUNSZ-JTQLQIEISA-N -1 1 343.405 -0.201 20 0 EBADMM Cc1cc(CC(=O)NC[C@@H](CO)NC(=O)c2ncccc2[O-])no1 ZINC001370668523 1135472879 /nfs/dbraw/zinc/47/28/79/1135472879.db2.gz NTGKTZRBMGQBCN-NSHDSACASA-N -1 1 334.332 -0.467 20 0 EBADMM O=C(NC[C@@H](CO)NC(=O)[C@@H]1CCCOCC1)c1ncccc1[O-] ZINC001370670830 1135476222 /nfs/dbraw/zinc/47/62/22/1135476222.db2.gz BCZCAXYTEVHAKX-NEPJUHHUSA-N -1 1 337.376 -0.189 20 0 EBADMM CCc1nn(C)cc1C(=O)NC[C@@H](CO)NC(=O)c1ncccc1[O-] ZINC001370731216 1135560107 /nfs/dbraw/zinc/56/01/07/1135560107.db2.gz JMTMAOIJIIWUGW-JTQLQIEISA-N -1 1 347.375 -0.396 20 0 EBADMM C[C@H]1C[C@H](NC(=O)CS(C)(=O)=O)CN1C(=O)c1ncccc1[O-] ZINC001370855544 1135672664 /nfs/dbraw/zinc/67/26/64/1135672664.db2.gz DPJNFIYCQLAOQG-UWVGGRQHSA-N -1 1 341.389 -0.449 20 0 EBADMM Cc1nc(C)c(C(=O)NC[C@@H](O)CN(C)C(=O)c2ncccc2[O-])[nH]1 ZINC001370987946 1135738627 /nfs/dbraw/zinc/73/86/27/1135738627.db2.gz HUAVCPXDXRQZIN-LLVKDONJSA-N -1 1 347.375 -0.010 20 0 EBADMM Cc1cnc(C(=O)NC[C@@H](O)CN(C)C(=O)c2ncccc2[O-])cn1 ZINC001370987352 1135738657 /nfs/dbraw/zinc/73/86/57/1135738657.db2.gz HLJNROCRYYKASS-LLVKDONJSA-N -1 1 345.359 -0.251 20 0 EBADMM Cc1cc(C(=O)NC[C@@H](O)CN(C)C(=O)c2ncccc2[O-])cnn1 ZINC001370990917 1135743324 /nfs/dbraw/zinc/74/33/24/1135743324.db2.gz RJSLLRJEHZWLGJ-GFCCVEGCSA-N -1 1 345.359 -0.251 20 0 EBADMM C[C@@H](CNC(=O)[C@H](C)NC(=O)C1CCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001371201871 1135863811 /nfs/dbraw/zinc/86/38/11/1135863811.db2.gz XCHOCVXQQSKYBA-UWVGGRQHSA-N -1 1 338.412 -0.201 20 0 EBADMM C[C@H](CC(N)=O)C(=O)N(C)C[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001371646098 1135991778 /nfs/dbraw/zinc/99/17/78/1135991778.db2.gz WXWGVMPOINYPOX-GHMZBOCLSA-N -1 1 334.376 -0.028 20 0 EBADMM C[C@H](CCNC(=O)[C@H](C)CS(C)(=O)=O)NCc1n[nH]c(=O)[n-]1 ZINC001371889184 1136048577 /nfs/dbraw/zinc/04/85/77/1136048577.db2.gz REGQHRVMIGMMHN-RKDXNWHRSA-N -1 1 333.414 -0.825 20 0 EBADMM CNC(=O)NCC(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001372178639 1136129186 /nfs/dbraw/zinc/12/91/86/1136129186.db2.gz XGHGQWNQXLDFOS-JTQLQIEISA-N -1 1 335.364 -0.563 20 0 EBADMM CCN(CCNC(=O)[C@@H]1CCCOCC1)Cc1nc(=O)n(C)[n-]1 ZINC001372317434 1136172762 /nfs/dbraw/zinc/17/27/62/1136172762.db2.gz ACCVMNWWXRGBTA-GFCCVEGCSA-N -1 1 325.413 -0.137 20 0 EBADMM Cn1ccc(CC(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001372505733 1136217274 /nfs/dbraw/zinc/21/72/74/1136217274.db2.gz LHKGVCNGFYUSMG-GFCCVEGCSA-N -1 1 333.396 -0.835 20 0 EBADMM CC[C@@H](OC)C(=O)N[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001372544675 1136227630 /nfs/dbraw/zinc/22/76/30/1136227630.db2.gz OCWVMJZTNHAOIX-FXPVBKGRSA-N -1 1 348.363 -0.780 20 0 EBADMM C[C@@H](O)CN(CCNC(=O)Cn1[n-]cc2c(=O)ncnc1-2)C1CC1 ZINC001372884159 1136314112 /nfs/dbraw/zinc/31/41/12/1136314112.db2.gz KCLUNDKGEWVJRJ-SNVBAGLBSA-N -1 1 334.380 -0.967 20 0 EBADMM Cn1[n-]c(CN(CCNC(=O)C(C)(C)c2c[nH]cn2)C2CC2)nc1=O ZINC001372901895 1136319519 /nfs/dbraw/zinc/31/95/19/1136319519.db2.gz ZBGLRSKTGRVEEE-UHFFFAOYSA-N -1 1 347.423 -0.110 20 0 EBADMM C[C@@H](NC(=O)C1CCCC1)C(=O)NC[C@H](C)NC(=O)c1cnn[nH]1 ZINC001372907616 1136321465 /nfs/dbraw/zinc/32/14/65/1136321465.db2.gz JUPCAAQWGUGJRK-VHSXEESVSA-N -1 1 336.396 -0.266 20 0 EBADMM CCO[C@@H](C)C(=O)NCCN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001373365161 1136435732 /nfs/dbraw/zinc/43/57/32/1136435732.db2.gz UYOQNLWTKVDJLK-VIFPVBQESA-N -1 1 336.352 -0.969 20 0 EBADMM COc1cc(CCC(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)on1 ZINC001373431581 1136454437 /nfs/dbraw/zinc/45/44/37/1136454437.db2.gz IFGSTYMYVUMUHI-UHFFFAOYSA-N -1 1 336.352 -0.962 20 0 EBADMM Cc1ccn(C[C@H](C)C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001373517268 1136482327 /nfs/dbraw/zinc/48/23/27/1136482327.db2.gz XBAGCDCKLMKUDI-NSHDSACASA-N -1 1 347.423 -0.502 20 0 EBADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)[C@@H](C)S(C)(=O)=O ZINC001373829248 1136568588 /nfs/dbraw/zinc/56/85/88/1136568588.db2.gz YPSUYAQDZAGPOE-SNVBAGLBSA-N -1 1 343.405 -0.201 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@H]1CCc2nccn2C1 ZINC001374241565 1136656019 /nfs/dbraw/zinc/65/60/19/1136656019.db2.gz FDNBWVDHGNHNFR-MNOVXSKESA-N -1 1 333.396 -0.094 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)CC[C@@]1(C)CCC(=O)N1 ZINC001374336417 1136676321 /nfs/dbraw/zinc/67/63/21/1136676321.db2.gz HFJOUTMWKNDFTD-MEBBXXQBSA-N -1 1 338.412 -0.104 20 0 EBADMM CN(CCN(C)C(=O)[C@@H]1CCn2cncc2C1)Cc1nc(=O)n(C)[n-]1 ZINC001374505332 1136704587 /nfs/dbraw/zinc/70/45/87/1136704587.db2.gz NTKWPWTXRSIANR-GFCCVEGCSA-N -1 1 347.423 -0.542 20 0 EBADMM NC(=O)NC1(C(=O)N2CCC[C@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC001374520831 1136708220 /nfs/dbraw/zinc/70/82/20/1136708220.db2.gz YHLGFNBAZPYHOR-JTQLQIEISA-N -1 1 347.375 -0.291 20 0 EBADMM Cc1cncc(CCC(=O)NC[C@H](O)CNCc2n[nH]c(=O)[n-]2)c1 ZINC001374626866 1136758595 /nfs/dbraw/zinc/75/85/95/1136758595.db2.gz QXIVIXNRLRCYTF-GFCCVEGCSA-N -1 1 334.380 -0.587 20 0 EBADMM O=C(C[C@H]1CCCC1(F)F)NC[C@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001374634592 1136764586 /nfs/dbraw/zinc/76/45/86/1136764586.db2.gz DZXYYIKPSRRNRV-RKDXNWHRSA-N -1 1 333.339 -0.098 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1cnc(OCC2CC2)cn1 ZINC001374833548 1136845763 /nfs/dbraw/zinc/84/57/63/1136845763.db2.gz PILCXMVKTQPQML-SECBINFHSA-N -1 1 347.379 -0.003 20 0 EBADMM CC[C@H](CNC(=O)C(F)F)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001374973477 1136887038 /nfs/dbraw/zinc/88/70/38/1136887038.db2.gz PWXMYJSIPBZEQI-ZCFIWIBFSA-N -1 1 328.279 -0.693 20 0 EBADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C[C@@H]1CCNC1=O ZINC001375062157 1136904593 /nfs/dbraw/zinc/90/45/93/1136904593.db2.gz XAJAZLHZFIKFCZ-QWRGUYRKSA-N -1 1 334.376 -0.062 20 0 EBADMM Cc1cc(C)n(CC(=O)N[C@@H](C)CN(C)Cc2nc(=O)n(C)[n-]2)n1 ZINC001375073920 1136908035 /nfs/dbraw/zinc/90/80/35/1136908035.db2.gz QBKZSSRMTWQICB-NSHDSACASA-N -1 1 335.412 -0.442 20 0 EBADMM COC(=O)[C@@H](C)CS(=O)(=O)[N-][C@@]1(C(=O)OC)CCSC1 ZINC000340071384 291226639 /nfs/dbraw/zinc/22/66/39/291226639.db2.gz RVZPZQRDWGEHJD-KWQFWETISA-N -1 1 325.408 -0.236 20 0 EBADMM CCNC(=O)NCC[N-]S(=O)(=O)c1n[nH]cc1C(=O)OCC ZINC000320324851 375891680 /nfs/dbraw/zinc/89/16/80/375891680.db2.gz FUPQWSWJMNKLHF-UHFFFAOYSA-N -1 1 333.370 -0.816 20 0 EBADMM Cn1nnc(C[N-]S(=O)(=O)c2cccnc2OCC(F)F)n1 ZINC000625161684 375990286 /nfs/dbraw/zinc/99/02/86/375990286.db2.gz RLVRVMFWGXJJOZ-UHFFFAOYSA-N -1 1 334.308 -0.273 20 0 EBADMM COC(=O)CNC(=O)C[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000557209242 376164975 /nfs/dbraw/zinc/16/49/75/376164975.db2.gz MYNLSVCXJBFSMA-UHFFFAOYSA-N -1 1 340.279 -0.329 20 0 EBADMM O=C(c1cccc(-n2ccnn2)c1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000363441999 376268122 /nfs/dbraw/zinc/26/81/22/376268122.db2.gz LLUYVQLGDKROGW-LBPRGKRZSA-N -1 1 326.320 -0.006 20 0 EBADMM CCc1cc(=O)n2[n-]c(NC(=O)c3cc(C)nc(NC)n3)nc2n1 ZINC000622993438 376606349 /nfs/dbraw/zinc/60/63/49/376606349.db2.gz MPHNLPPHEHQVLV-UHFFFAOYSA-N -1 1 328.336 -0.784 20 0 EBADMM CCCC(=O)N1CSC[C@H]1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370852497 376587024 /nfs/dbraw/zinc/58/70/24/376587024.db2.gz NZNRCJIXGUKDNJ-UWVGGRQHSA-N -1 1 340.409 -0.199 20 0 EBADMM CCNC(=O)NC1(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)CCCC1 ZINC000370858410 376589215 /nfs/dbraw/zinc/58/92/15/376589215.db2.gz RETUPVKOZQTALM-JTQLQIEISA-N -1 1 337.384 -0.269 20 0 EBADMM Cc1ccc(CNC(=O)CNC(=O)c2ncccc2[O-])c(=O)n1C ZINC000427600021 376850113 /nfs/dbraw/zinc/85/01/13/376850113.db2.gz DGRPHGUXBNNIKG-UHFFFAOYSA-N -1 1 330.344 -0.159 20 0 EBADMM COC(=O)c1cc(NC(=O)C(=O)NCc2nn[n-]n2)cc2[nH]ccc21 ZINC000589029348 377265941 /nfs/dbraw/zinc/26/59/41/377265941.db2.gz QQUDFYJPXQKICO-UHFFFAOYSA-N -1 1 343.303 -0.278 20 0 EBADMM CC(=O)N1CC([N-]S(=O)(=O)c2cn(C)nc2C(F)(F)F)C1 ZINC000581199247 377547077 /nfs/dbraw/zinc/54/70/77/377547077.db2.gz CJBFQCYSNDWQHE-UHFFFAOYSA-N -1 1 326.300 -0.052 20 0 EBADMM COC(=O)[C@](C)(CCF)[N-]S(=O)(=O)C[C@@H]1CN(C)CCO1 ZINC000601466604 377591359 /nfs/dbraw/zinc/59/13/59/377591359.db2.gz JZOVRZITQZQINU-JQWIXIFHSA-N -1 1 326.390 -0.472 20 0 EBADMM COC(=O)[C@@H]([N-]S(=O)(=O)[C@H](C)C(=O)N(C)C)c1ccnn1C ZINC000592096253 377790772 /nfs/dbraw/zinc/79/07/72/377790772.db2.gz JPNHUGMBKDHFNE-SCZZXKLOSA-N -1 1 332.382 -0.970 20 0 EBADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1cn(C)c(C)n1)c1ccnn1C ZINC000592090574 377791676 /nfs/dbraw/zinc/79/16/76/377791676.db2.gz CJUCTXNUZTXQDL-NSHDSACASA-N -1 1 327.366 -0.345 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@H](O)Cn2ccnn2)cc1C ZINC000595337010 377993280 /nfs/dbraw/zinc/99/32/80/377993280.db2.gz KCLLBJCPSJXTLZ-VIFPVBQESA-N -1 1 344.349 -0.694 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-]CCC(=O)NC(N)=O)cc1C ZINC000595321587 377996889 /nfs/dbraw/zinc/99/68/89/377996889.db2.gz WYYFLPRTOSINEZ-UHFFFAOYSA-N -1 1 333.322 -0.762 20 0 EBADMM COCCNS(=O)(=O)c1cccc(C(=O)NCc2nn[n-]n2)c1 ZINC000599335072 378231208 /nfs/dbraw/zinc/23/12/08/378231208.db2.gz ZGYYNEAFXWPAPJ-UHFFFAOYSA-N -1 1 340.365 -0.946 20 0 EBADMM C[C@H]1C[C@@]2(CC(C)(C)C1)NC(=O)N(CC(=O)NCc1nn[n-]n1)C2=O ZINC000599334966 378231452 /nfs/dbraw/zinc/23/14/52/378231452.db2.gz VVFUUWPNUDZWOJ-PSLIRLAXSA-N -1 1 349.395 -0.047 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@H]2COCCN2C)cc1C ZINC000599453490 378248635 /nfs/dbraw/zinc/24/86/35/378248635.db2.gz SDHZBCHDLLHRTH-JTQLQIEISA-N -1 1 332.378 -0.016 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H](C)C(=O)N2CCCC2)o1 ZINC000337109478 378615871 /nfs/dbraw/zinc/61/58/71/378615871.db2.gz CQLVSVXONJFMCP-VIFPVBQESA-N -1 1 329.378 -0.072 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@@H](Cn3ccnn3)C2)o1 ZINC000617264806 378692710 /nfs/dbraw/zinc/69/27/10/378692710.db2.gz POKJUIVBALWUDF-SNVBAGLBSA-N -1 1 339.377 -0.059 20 0 EBADMM CC1(C)SC[C@@H]1NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000338740056 378810791 /nfs/dbraw/zinc/81/07/91/378810791.db2.gz FPDKGYZJVWLKJS-QMMMGPOBSA-N -1 1 347.418 -0.333 20 0 EBADMM CC(C)NC(=O)N1CCC[C@H]1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000366182343 378824722 /nfs/dbraw/zinc/82/47/22/378824722.db2.gz MGBHBJVOVMRJJZ-WDEREUQCSA-N -1 1 337.384 -0.318 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@@H](C(N)=O)CC[C@H]2C)co1 ZINC000339201352 378860253 /nfs/dbraw/zinc/86/02/53/378860253.db2.gz GBWJLJKNUYFYOV-BDAKNGLRSA-N -1 1 329.378 -0.086 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CCC[C@H](C(N)=O)C2)co1 ZINC000339224228 378869183 /nfs/dbraw/zinc/86/91/83/378869183.db2.gz ZGKFGDAOEUKSIG-WCBMZHEXSA-N -1 1 329.378 -0.038 20 0 EBADMM CC[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)[C@H]1CCCO1 ZINC000339455349 378922998 /nfs/dbraw/zinc/92/29/98/378922998.db2.gz KDHUXCFJIUNKTM-VHSXEESVSA-N -1 1 331.394 -0.680 20 0 EBADMM O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2cncc([O-])c2)CC1 ZINC000339811808 379040852 /nfs/dbraw/zinc/04/08/52/379040852.db2.gz FCFOUTFSAOOUCT-UHFFFAOYSA-N -1 1 346.343 -0.779 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)c2cnc3c(c2)nnn3C)n[n-]1 ZINC000615920106 379052772 /nfs/dbraw/zinc/05/27/72/379052772.db2.gz QIBPZLWZUHZCGV-UHFFFAOYSA-N -1 1 330.308 -0.412 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cnc3c(c2)nnn3C)n1 ZINC000615920106 379052780 /nfs/dbraw/zinc/05/27/80/379052780.db2.gz QIBPZLWZUHZCGV-UHFFFAOYSA-N -1 1 330.308 -0.412 20 0 EBADMM CCOC(=O)c1nc([C@H](CC(C)C)NC(=O)Cn2cnnn2)n[n-]1 ZINC000619253998 379178327 /nfs/dbraw/zinc/17/83/27/379178327.db2.gz CVLJUNOCRRTETG-VIFPVBQESA-N -1 1 336.356 -0.129 20 0 EBADMM CCOC(=O)c1nnc([C@H](CC(C)C)NC(=O)Cn2cnnn2)[n-]1 ZINC000619253998 379178337 /nfs/dbraw/zinc/17/83/37/379178337.db2.gz CVLJUNOCRRTETG-VIFPVBQESA-N -1 1 336.356 -0.129 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)Cn2cnnn2)n1 ZINC000619253998 379178343 /nfs/dbraw/zinc/17/83/43/379178343.db2.gz CVLJUNOCRRTETG-VIFPVBQESA-N -1 1 336.356 -0.129 20 0 EBADMM COC(=O)[C@H]1COCCN1C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000267775158 379270701 /nfs/dbraw/zinc/27/07/01/379270701.db2.gz SSUJGJOQZLBSMD-GFCCVEGCSA-N -1 1 348.359 -0.418 20 0 EBADMM COCC(=O)N[C@H](C(=O)Nc1nc(SCCO)n[nH]1)C(C)C ZINC000268762054 379326410 /nfs/dbraw/zinc/32/64/10/379326410.db2.gz VVQGOKKWNIBIBE-VIFPVBQESA-N -1 1 331.398 -0.385 20 0 EBADMM CC(C)(C)OC(=O)N1CCO[C@H](C(=O)NN2CC(=O)[N-]C2=O)C1 ZINC000268996385 379338912 /nfs/dbraw/zinc/33/89/12/379338912.db2.gz WOSQIKJJNMGAFZ-QMMMGPOBSA-N -1 1 328.325 -0.795 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@@H]2CC(=O)N[C@H]3CCCC[C@H]23)n1 ZINC000621792364 379351860 /nfs/dbraw/zinc/35/18/60/379351860.db2.gz BZLKZAYJGJEHRT-HLTSFMKQSA-N -1 1 341.393 -0.158 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)[C@@H]2CC(=O)N[C@H]3CCCC[C@H]23)[n-]1 ZINC000621792364 379351873 /nfs/dbraw/zinc/35/18/73/379351873.db2.gz BZLKZAYJGJEHRT-HLTSFMKQSA-N -1 1 341.393 -0.158 20 0 EBADMM CCOCCN1CCN(C(=O)c2coc(S(=O)(=O)[N-]C)c2)CC1 ZINC000270053437 379430665 /nfs/dbraw/zinc/43/06/65/379430665.db2.gz MWVIDTSJZBAISK-UHFFFAOYSA-N -1 1 345.421 -0.018 20 0 EBADMM CCC(=O)N1CC[C@@H](NC(=O)c2coc(S(=O)(=O)[N-]C)c2)C1 ZINC000270216979 379438848 /nfs/dbraw/zinc/43/88/48/379438848.db2.gz MEFADCHVZOTFMA-SNVBAGLBSA-N -1 1 329.378 -0.072 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@]1(C(=O)OC)CCOC1 ZINC000269886250 379415112 /nfs/dbraw/zinc/41/51/12/379415112.db2.gz XAXBNZWTZRZJDW-LBPRGKRZSA-N -1 1 347.349 -0.803 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H]2CC[C@H](C(N)=O)O2)c1 ZINC000270040096 379426611 /nfs/dbraw/zinc/42/66/11/379426611.db2.gz RUNBQULJWXMARB-RKDXNWHRSA-N -1 1 332.334 -0.623 20 0 EBADMM COc1ccc(S(=O)(=O)N2CC(NC(=O)c3cnn[nH]3)C2)cc1 ZINC000271015963 379493950 /nfs/dbraw/zinc/49/39/50/379493950.db2.gz HGWUMQLHMLDBFN-UHFFFAOYSA-N -1 1 337.361 -0.384 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CC[C@@H](F)C2)o1 ZINC000488883516 379528111 /nfs/dbraw/zinc/52/81/11/379528111.db2.gz WPILPYBIYKJMJL-HTQZYQBOSA-N -1 1 333.341 -0.336 20 0 EBADMM CO[C@@H]1[C@H](C)[C@@H]([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)C1(C)C ZINC000271750695 379543673 /nfs/dbraw/zinc/54/36/73/379543673.db2.gz BSHKWKGGELMNFD-FBIMIBRVSA-N -1 1 345.421 -0.578 20 0 EBADMM COc1ccc(NC(=O)NC(C)(CO)CO)cc1[N-]S(C)(=O)=O ZINC000271324297 379515857 /nfs/dbraw/zinc/51/58/57/379515857.db2.gz KJTJQSCDYVXXTI-UHFFFAOYSA-N -1 1 347.393 -0.069 20 0 EBADMM C[C@H]1C[C@H](C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCO1 ZINC000271310007 379516456 /nfs/dbraw/zinc/51/64/56/379516456.db2.gz YMIAPOQEFLHMIW-VHSXEESVSA-N -1 1 331.394 -0.823 20 0 EBADMM CCN1CCN(C(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)CC1=O ZINC000273325478 379640406 /nfs/dbraw/zinc/64/04/06/379640406.db2.gz RWGPITWIXCHTFY-UHFFFAOYSA-N -1 1 331.376 -0.127 20 0 EBADMM C[C@@H](CN(C)C(=O)CCCN1C(=O)NC(C)(C)C1=O)c1nn[n-]n1 ZINC000273492040 379647426 /nfs/dbraw/zinc/64/74/26/379647426.db2.gz KWTWUGMZFUNUOE-VIFPVBQESA-N -1 1 337.384 -0.128 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)c1c(C)nn(C)c1Cl)[C@@H](C)O ZINC000274397040 379688343 /nfs/dbraw/zinc/68/83/43/379688343.db2.gz XAJNQJDUIUMCSJ-RNFRBKRXSA-N -1 1 325.774 -0.417 20 0 EBADMM C[C@H](NC(=O)c1c([O-])cccc1F)C(=O)N1CCS(=O)(=O)CC1 ZINC000274853722 379718097 /nfs/dbraw/zinc/71/80/97/379718097.db2.gz IBCAUJPDGQMYGM-VIFPVBQESA-N -1 1 344.364 -0.093 20 0 EBADMM CC(C)(C)OC(=O)NC[C@H]1CC(C(=O)NN2CC(=O)[N-]C2=O)=NO1 ZINC000495721764 379722136 /nfs/dbraw/zinc/72/21/36/379722136.db2.gz RKRIEMKEUQXVAI-SSDOTTSWSA-N -1 1 341.324 -0.761 20 0 EBADMM COC(=O)[C@@H](CO)[N-]S(=O)(=O)CCc1ccc2c(c1)CCO2 ZINC000275926622 379794250 /nfs/dbraw/zinc/79/42/50/379794250.db2.gz NIZKGMNSUZQGOS-GFCCVEGCSA-N -1 1 329.374 -0.383 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@H](F)C2)o1 ZINC000517384626 379779803 /nfs/dbraw/zinc/77/98/03/379779803.db2.gz HNEQQJMKPKSVOL-QMMMGPOBSA-N -1 1 333.341 -0.383 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCc2csc(=O)[nH]2)co1 ZINC000276557315 379848138 /nfs/dbraw/zinc/84/81/38/379848138.db2.gz JOQDLSRLJXCGLN-UHFFFAOYSA-N -1 1 331.375 -0.090 20 0 EBADMM CN1CCO[C@@H](CS(=O)(=O)[N-][C@H]2CN(c3ccccc3)C2=O)C1 ZINC000632198529 379826950 /nfs/dbraw/zinc/82/69/50/379826950.db2.gz GSCGCQPGNQFBOK-KGLIPLIRSA-N -1 1 339.417 -0.348 20 0 EBADMM CC(C)(C)c1nc(S(=O)(=O)CCCN2C(=O)CNC2=O)n[n-]1 ZINC000278157545 379915659 /nfs/dbraw/zinc/91/56/59/379915659.db2.gz IMANTNBTVGJQGO-UHFFFAOYSA-N -1 1 329.382 -0.182 20 0 EBADMM CN(C)c1nc(N)nc(CS(=O)(=O)c2nnc(C(C)(C)C)[n-]2)n1 ZINC000278287168 379919801 /nfs/dbraw/zinc/91/98/01/379919801.db2.gz ZJYOZGBSBSEHCY-UHFFFAOYSA-N -1 1 340.413 -0.091 20 0 EBADMM CN(C)c1nc(N)nc(CS(=O)(=O)c2nc(C(C)(C)C)n[n-]2)n1 ZINC000278287168 379919809 /nfs/dbraw/zinc/91/98/09/379919809.db2.gz ZJYOZGBSBSEHCY-UHFFFAOYSA-N -1 1 340.413 -0.091 20 0 EBADMM CN(C)c1nc(N)nc(CS(=O)(=O)c2n[n-]c(C(C)(C)C)n2)n1 ZINC000278287168 379919816 /nfs/dbraw/zinc/91/98/16/379919816.db2.gz ZJYOZGBSBSEHCY-UHFFFAOYSA-N -1 1 340.413 -0.091 20 0 EBADMM CCS(=O)(=O)N[C@@H](C)C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614389298 379925123 /nfs/dbraw/zinc/92/51/23/379925123.db2.gz KYJNSFFQSCCMIH-DTWKUNHWSA-N -1 1 332.382 -0.191 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@H]1[N-]S(=O)(=O)CC1(OC)CCCC1 ZINC000632552615 379879532 /nfs/dbraw/zinc/87/95/32/379879532.db2.gz WAEQPAZFKYLDHD-GHMZBOCLSA-N -1 1 341.451 -0.323 20 0 EBADMM CC1(C)NC(=O)N(CC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)C1=O ZINC000614474516 379932576 /nfs/dbraw/zinc/93/25/76/379932576.db2.gz VIFOZHPCHDGLTJ-UHFFFAOYSA-N -1 1 337.336 -0.188 20 0 EBADMM CCOC(=O)CCS(=O)(=O)[N-][C@@]1(C(=O)OC)CCSC1 ZINC000340070339 380003286 /nfs/dbraw/zinc/00/32/86/380003286.db2.gz YTCALTVNBMGUCT-NSHDSACASA-N -1 1 325.408 -0.092 20 0 EBADMM COC[C@@H](C(N)=O)N(C)C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000354588435 380059286 /nfs/dbraw/zinc/05/92/86/380059286.db2.gz XDJVJDOKZXZIJC-NSHDSACASA-N -1 1 335.364 -0.447 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCN1CCSCC1 ZINC000269674337 380064497 /nfs/dbraw/zinc/06/44/97/380064497.db2.gz PVSLFMNUWUHESX-UHFFFAOYSA-N -1 1 348.450 -0.087 20 0 EBADMM Cn1[n-]c(CN2CCN(CC(=O)NCc3ccccc3)CC2)nc1=O ZINC000543706969 380066377 /nfs/dbraw/zinc/06/63/77/380066377.db2.gz OBRVYOHNABJSQO-UHFFFAOYSA-N -1 1 344.419 -0.458 20 0 EBADMM CC1(C)CN(S(=O)(=O)N[C@H](Cc2cnc[nH]2)C(=O)[O-])CCO1 ZINC000547547074 380143866 /nfs/dbraw/zinc/14/38/66/380143866.db2.gz KCYRQTUNZQKBHT-SNVBAGLBSA-N -1 1 332.382 -0.649 20 0 EBADMM C[C@@H](NS(=O)(=O)c1ccccc1)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000354761158 380093948 /nfs/dbraw/zinc/09/39/48/380093948.db2.gz BBRUGHKFQUZJHQ-SECBINFHSA-N -1 1 338.393 -0.082 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@@H](C)O[C@@H](C(=O)OC)C2)co1 ZINC000283251530 380113186 /nfs/dbraw/zinc/11/31/86/380113186.db2.gz QGXKITYYXBCBQD-PSASIEDQSA-N -1 1 346.361 -0.410 20 0 EBADMM CCOC(=O)CCS(=O)(=O)N[C@@H](CN1CCCCC1)C(=O)[O-] ZINC000548211251 380190760 /nfs/dbraw/zinc/19/07/60/380190760.db2.gz AZBBEOVDIMVHOW-NSHDSACASA-N -1 1 336.410 -0.202 20 0 EBADMM CC[N@H+]1CC[C@H]2OCCN(C(=O)CNC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000355376246 380191392 /nfs/dbraw/zinc/19/13/92/380191392.db2.gz XECYDJGZPOQCLQ-TZMCWYRMSA-N -1 1 348.403 -0.161 20 0 EBADMM COC(=O)C1(CS(=O)(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])CCC1 ZINC000564637811 380377465 /nfs/dbraw/zinc/37/74/65/380377465.db2.gz XGHDVSDAPYTGGK-JTQLQIEISA-N -1 1 345.377 -0.332 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2nc(N(C)C)no2)co1 ZINC000362320822 380393386 /nfs/dbraw/zinc/39/33/86/380393386.db2.gz QTXXJGFHDWKVNX-UHFFFAOYSA-N -1 1 329.338 -0.433 20 0 EBADMM COCCN(CCOC)C(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287535872 380361760 /nfs/dbraw/zinc/36/17/60/380361760.db2.gz SWCBJIACJKHMJL-PDGQHHTCSA-N -1 1 335.364 -0.598 20 0 EBADMM CO[C@@H]1COC[C@H]1n1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)nn1 ZINC000362815460 380434336 /nfs/dbraw/zinc/43/43/36/380434336.db2.gz VLBXVPRPRMVUNK-GHMZBOCLSA-N -1 1 348.367 -0.423 20 0 EBADMM C[C@@H](CN(C)C(=O)CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)c1nn[n-]n1 ZINC000566025075 380443223 /nfs/dbraw/zinc/44/32/23/380443223.db2.gz STRODXGXLCKVRB-GARJFASQSA-N -1 1 332.364 -0.287 20 0 EBADMM CCC[C@H](NC(=O)Cc1cc(S(N)(=O)=O)cn1C)c1nn[n-]n1 ZINC000362949593 380446213 /nfs/dbraw/zinc/44/62/13/380446213.db2.gz QLCAYNDEJHDHEY-JTQLQIEISA-N -1 1 341.397 -0.614 20 0 EBADMM CCOCC(C)(C)C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000288377801 380406527 /nfs/dbraw/zinc/40/65/27/380406527.db2.gz KHJWETFPXBEWHR-UHFFFAOYSA-N -1 1 333.410 -0.575 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H]3CCC[C@@H]3C2)o1 ZINC000362589157 380412895 /nfs/dbraw/zinc/41/28/95/380412895.db2.gz OGPQIQXVVNGCIP-NXEZZACHSA-N -1 1 341.389 -0.085 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H](C2CC2)C(F)(F)F)c(=O)n(C)c1=O ZINC000288619332 380413629 /nfs/dbraw/zinc/41/36/29/380413629.db2.gz MAEAAVOOVMJDAR-QMMMGPOBSA-N -1 1 341.311 -0.297 20 0 EBADMM Cn1[n-]cc2/c(=N\C(=O)C(=O)N3CCOCC(F)(F)C3)ccnc1-2 ZINC000288808944 380420911 /nfs/dbraw/zinc/42/09/11/380420911.db2.gz NXQIVBFALWFWNP-GRSHGNNSSA-N -1 1 339.302 -0.226 20 0 EBADMM O=C([O-])c1ccc(N2C(=O)C[C@@H](NCCN3CCOCC3)C2=O)cc1 ZINC000565488738 380421786 /nfs/dbraw/zinc/42/17/86/380421786.db2.gz SARCPJQSIRUFRH-CQSZACIVSA-N -1 1 347.371 -0.062 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCN3CCOC[C@@H]3C2)co1 ZINC000368221410 380499998 /nfs/dbraw/zinc/49/99/98/380499998.db2.gz NUFLEEAHDSWMQC-NSHDSACASA-N -1 1 329.378 -0.656 20 0 EBADMM O=C(CNC(=O)c1ccc(Br)o1)NCc1nn[n-]n1 ZINC000044929140 380566105 /nfs/dbraw/zinc/56/61/05/380566105.db2.gz DEHKFFNBVBFMHN-UHFFFAOYSA-N -1 1 329.114 -0.399 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](CO)[C@@H]1CCCOC1 ZINC000568263716 380589672 /nfs/dbraw/zinc/58/96/72/380589672.db2.gz KEVUQWDLSMNIAY-MWLCHTKSSA-N -1 1 347.393 -0.348 20 0 EBADMM CC(=O)N1CC[C@@H](NC(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000159158105 380598135 /nfs/dbraw/zinc/59/81/35/380598135.db2.gz OJYOLQNGPPDUIV-GFCCVEGCSA-N -1 1 331.376 -0.081 20 0 EBADMM CN(CCF)S(=O)(=O)CCCS(=O)(=O)[N-]c1c[nH]cn1 ZINC000574218906 380705692 /nfs/dbraw/zinc/70/56/92/380705692.db2.gz KFEUOMCVJBYDGB-UHFFFAOYSA-N -1 1 328.391 -0.227 20 0 EBADMM C[C@@H]1CO[C@@H](C)CN1S(=O)(=O)[N-]c1ccn(CCC(N)=O)n1 ZINC000340958177 380650770 /nfs/dbraw/zinc/65/07/70/380650770.db2.gz OCINEFLRXKUSQJ-ZJUUUORDSA-N -1 1 331.398 -0.476 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NS(=O)(=O)c1cn2c(n1)CCCC2 ZINC000366719221 380654633 /nfs/dbraw/zinc/65/46/33/380654633.db2.gz HENYCRUEXAPGCT-JTQLQIEISA-N -1 1 339.377 -0.083 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCOC[C@@H]1c1nc[nH]n1 ZINC000342611690 380761896 /nfs/dbraw/zinc/76/18/96/380761896.db2.gz FMRHSFUNWSUSIY-SECBINFHSA-N -1 1 332.320 -0.765 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCOC[C@@H]1c1nnc[nH]1 ZINC000342611690 380761906 /nfs/dbraw/zinc/76/19/06/380761906.db2.gz FMRHSFUNWSUSIY-SECBINFHSA-N -1 1 332.320 -0.765 20 0 EBADMM Cc1[nH]c(=O)sc1S(=O)(=O)[N-]CCNC(=O)c1cncn1C ZINC000575368809 380737141 /nfs/dbraw/zinc/73/71/41/380737141.db2.gz UEDMPALJPFHYCK-UHFFFAOYSA-N -1 1 345.406 -0.401 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H](C(N)=O)CC[C@@H]2C)o1 ZINC000341867532 380743350 /nfs/dbraw/zinc/74/33/50/380743350.db2.gz KSNRLZQBLCOAFC-DTWKUNHWSA-N -1 1 329.378 -0.086 20 0 EBADMM COCC1CCC([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000416595173 380790682 /nfs/dbraw/zinc/79/06/82/380790682.db2.gz PXCGPKQJDGJAOS-UHFFFAOYSA-N -1 1 345.421 -0.433 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@@H]3CCC[C@H]3[C@@H]2C(N)=O)co1 ZINC000375495929 380848612 /nfs/dbraw/zinc/84/86/12/380848612.db2.gz OKGJZWLQLNHMRF-MKPLZMMCSA-N -1 1 341.389 -0.086 20 0 EBADMM Cc1ncsc1C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000104745735 380977673 /nfs/dbraw/zinc/97/76/73/380977673.db2.gz BGAPMDMPSRQNIN-UHFFFAOYSA-N -1 1 330.391 -0.673 20 0 EBADMM NS(=O)(=O)c1ccc(C(=O)Nc2nnn[n-]2)cc1Br ZINC000344771665 381029845 /nfs/dbraw/zinc/02/98/45/381029845.db2.gz JERCGBMJSBLTCS-UHFFFAOYSA-N -1 1 347.154 -0.138 20 0 EBADMM NS(=O)(=O)c1ccc(C(=O)Nc2nn[n-]n2)cc1Br ZINC000344771665 381029852 /nfs/dbraw/zinc/02/98/52/381029852.db2.gz JERCGBMJSBLTCS-UHFFFAOYSA-N -1 1 347.154 -0.138 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC[C@](C)(O)COC ZINC000584362179 381047299 /nfs/dbraw/zinc/04/72/99/381047299.db2.gz PRLDSJCXFQLJDL-LBPRGKRZSA-N -1 1 335.382 -0.348 20 0 EBADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N2CC(O)(CO)C2)o1 ZINC000615426370 381080539 /nfs/dbraw/zinc/08/05/39/381080539.db2.gz MDZINPSUFYFEHS-UHFFFAOYSA-N -1 1 332.378 -0.464 20 0 EBADMM NC(=O)CNC(=O)c1ccc(CNC(=O)c2cncc([O-])c2)cc1 ZINC000346571684 381079337 /nfs/dbraw/zinc/07/93/37/381079337.db2.gz DYFDERQROLIFIS-UHFFFAOYSA-N -1 1 328.328 -0.068 20 0 EBADMM C[C@@]1(F)CCN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C1 ZINC000347321441 381208596 /nfs/dbraw/zinc/20/85/96/381208596.db2.gz OLNSTIHUCZYNFO-GFCCVEGCSA-N -1 1 333.341 -0.383 20 0 EBADMM CO[C@H](C)[C@@H](C)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000347382128 381210198 /nfs/dbraw/zinc/21/01/98/381210198.db2.gz UKVRCQZHLBZJDT-HTQZYQBOSA-N -1 1 333.366 -0.804 20 0 EBADMM CCS(=O)(=O)N(C)CCCNC(=O)NN=c1nc(OC)cc[n-]1 ZINC000046982272 381221275 /nfs/dbraw/zinc/22/12/75/381221275.db2.gz BWTAZFIUFOOGCS-UHFFFAOYSA-N -1 1 346.413 -0.217 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCN1c1nccn2cnnc12 ZINC000347496816 381224279 /nfs/dbraw/zinc/22/42/79/381224279.db2.gz GHKWBOXFUFZEAL-SNVBAGLBSA-N -1 1 329.368 -0.743 20 0 EBADMM COCCNS(=O)(=O)c1cccc(C(=O)Nc2nnn[n-]2)c1 ZINC000060380387 381242096 /nfs/dbraw/zinc/24/20/96/381242096.db2.gz GQJMTPUGICKOHK-UHFFFAOYSA-N -1 1 326.338 -0.623 20 0 EBADMM COCCNS(=O)(=O)c1cccc(C(=O)Nc2nn[n-]n2)c1 ZINC000060380387 381242107 /nfs/dbraw/zinc/24/21/07/381242107.db2.gz GQJMTPUGICKOHK-UHFFFAOYSA-N -1 1 326.338 -0.623 20 0 EBADMM C[C@H]1CN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C[C@H](C)O1 ZINC000347907538 381288449 /nfs/dbraw/zinc/28/84/49/381288449.db2.gz ZZGYUKHMGNCDQE-IUCAKERBSA-N -1 1 345.377 -0.707 20 0 EBADMM COC(=O)C1(CS(=O)(=O)[N-][C@H]2CC(=O)N(C(C)C)C2=O)CCC1 ZINC000331944513 381734030 /nfs/dbraw/zinc/73/40/30/381734030.db2.gz HYMBAFPDERQPRX-JTQLQIEISA-N -1 1 346.405 -0.215 20 0 EBADMM CCC[C@@H](NC(=O)CNS(=O)(=O)c1cccnc1)c1nn[n-]n1 ZINC000612059835 381776704 /nfs/dbraw/zinc/77/67/04/381776704.db2.gz FQQVRYBKQZUWAL-SNVBAGLBSA-N -1 1 339.381 -0.469 20 0 EBADMM CCC[C@@H](NC(=O)c1nc(S(C)(=O)=O)ncc1C)c1nn[n-]n1 ZINC000612062182 381776890 /nfs/dbraw/zinc/77/68/90/381776890.db2.gz UBOJVFOIKHHSOD-MRVPVSSYSA-N -1 1 339.381 -0.027 20 0 EBADMM C[C@@H]1OCC[C@]12CN(C(=O)CNC(=O)c1ncccc1[O-])CCO2 ZINC000352298690 381961400 /nfs/dbraw/zinc/96/14/00/381961400.db2.gz AGTYPDIGIVAZDF-ZBEGNZNMSA-N -1 1 335.360 -0.077 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2ccc3cc[nH]c3n2)c(=O)n(C)c1=O ZINC000352530772 381997947 /nfs/dbraw/zinc/99/79/47/381997947.db2.gz NIWKZLIFJLVORU-UHFFFAOYSA-N -1 1 349.372 -0.561 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC[C@@]1(O)CCOC1 ZINC000403196558 382016143 /nfs/dbraw/zinc/01/61/43/382016143.db2.gz YBYPVKFRSJEGHY-GFCCVEGCSA-N -1 1 333.366 -0.594 20 0 EBADMM NC(=O)[C@@H](CO)[N-]S(=O)(=O)c1cc(Br)ccc1F ZINC000352596267 382008559 /nfs/dbraw/zinc/00/85/59/382008559.db2.gz JSLRIAPKUVERLQ-SSDOTTSWSA-N -1 1 341.158 -0.287 20 0 EBADMM CN(C)S(=O)(=O)N1CC(NC(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000629530132 382137495 /nfs/dbraw/zinc/13/74/95/382137495.db2.gz WHZVCZLKKFXUKO-UHFFFAOYSA-N -1 1 327.362 -0.818 20 0 EBADMM CN(C)S(=O)(=O)c1ccc(C(=O)NC(C)(C)c2nn[n-]n2)cn1 ZINC000353387609 382142495 /nfs/dbraw/zinc/14/24/95/382142495.db2.gz YBGIPHWMFCUTHB-UHFFFAOYSA-N -1 1 339.381 -0.490 20 0 EBADMM CCOC(=O)CC1(S(=O)(=O)[N-][C@@](C)(COC)C(=O)OC)CC1 ZINC000451313333 382227022 /nfs/dbraw/zinc/22/70/22/382227022.db2.gz VSPNVEVWCMORCT-LBPRGKRZSA-N -1 1 337.394 -0.030 20 0 EBADMM COCCC(C)(C)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000354323687 382231020 /nfs/dbraw/zinc/23/10/20/382231020.db2.gz HNBDOCVEIAULHQ-UHFFFAOYSA-N -1 1 347.393 -0.412 20 0 EBADMM CCC[C@@H](CCO)C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000320180288 155181573 /nfs/dbraw/zinc/18/15/73/155181573.db2.gz LCSUVICSFAISMY-JTQLQIEISA-N -1 1 333.410 -0.839 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](S(=O)(=O)NC3CCCC3)C2)nc1=O ZINC000329656235 155235925 /nfs/dbraw/zinc/23/59/25/155235925.db2.gz GEIWESHEBODHEV-GFCCVEGCSA-N -1 1 343.453 -0.065 20 0 EBADMM Cc1cc(CS(=O)(=O)[N-][C@H]2CCN(c3cnn(C)c3)C2=O)on1 ZINC000330132669 155257448 /nfs/dbraw/zinc/25/74/48/155257448.db2.gz LPGDWRKQINMTFT-LBPRGKRZSA-N -1 1 339.377 -0.059 20 0 EBADMM O=C(Cn1cnc2ccccc2c1=O)NCCCc1nc(=O)[n-][nH]1 ZINC000176759686 154112305 /nfs/dbraw/zinc/11/23/05/154112305.db2.gz PERCTHFMOQPVAW-UHFFFAOYSA-N -1 1 328.332 -0.443 20 0 EBADMM Cc1cc(C(=O)NCCN2CC[NH2+]CC2)[n-]c2nc(=O)[n-]c(=O)c1-2 ZINC000262521300 154235516 /nfs/dbraw/zinc/23/55/16/154235516.db2.gz ZLIMMWLBPHMBAY-UHFFFAOYSA-N -1 1 332.364 -0.621 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CC(=O)N(C(C)C)C2)o1 ZINC000263781644 154263581 /nfs/dbraw/zinc/26/35/81/154263581.db2.gz YMWHWEBHLYJJHQ-VIFPVBQESA-N -1 1 329.378 -0.073 20 0 EBADMM CC/C=C(\C)C(=O)N1CSC[C@H]1C(=O)NN1CC(=O)[N-]C1=O ZINC000263934634 154269169 /nfs/dbraw/zinc/26/91/69/154269169.db2.gz DXBYJSSFACIYSA-SGDMMICCSA-N -1 1 326.378 -0.173 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCCN2C(=O)CNC2=O)o1 ZINC000264463229 154282771 /nfs/dbraw/zinc/28/27/71/154282771.db2.gz HDOJZXCVLQDHHR-UHFFFAOYSA-N -1 1 345.333 -0.714 20 0 EBADMM CO[C@]1(C)C[C@H]([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)C1(C)C ZINC000266731776 154350884 /nfs/dbraw/zinc/35/08/84/154350884.db2.gz LYXDYVAWRXFCBJ-IINYFYTJSA-N -1 1 345.421 -0.434 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCOC[C@@H]2C(=O)OC)o1 ZINC000267760274 154390421 /nfs/dbraw/zinc/39/04/21/154390421.db2.gz JMHHDUSXPHSSAD-MRVPVSSYSA-N -1 1 332.334 -0.798 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CC(=O)N(C3CC3)C2)o1 ZINC000267989106 154398170 /nfs/dbraw/zinc/39/81/70/154398170.db2.gz VRCFMUOGXZSIDE-QMMMGPOBSA-N -1 1 327.362 -0.319 20 0 EBADMM C[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)[C@H]1CCCCO1 ZINC000272102915 154545550 /nfs/dbraw/zinc/54/55/50/154545550.db2.gz XETSMPOUWJQBDH-VHSXEESVSA-N -1 1 331.394 -0.680 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCN(c2cnn(C)c2)C1=O ZINC000290645730 155006492 /nfs/dbraw/zinc/00/64/92/155006492.db2.gz DPIFINCBBRAPDY-VIFPVBQESA-N -1 1 340.365 -0.617 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H]1CCC[C@@]12NC(=O)NC2=O ZINC000290875782 155012423 /nfs/dbraw/zinc/01/24/23/155012423.db2.gz YJRHHGUQGAQHRL-JVXZTZIISA-N -1 1 343.365 -0.778 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C2=NN(C)C(=O)CC2)c1 ZINC000291876526 155024522 /nfs/dbraw/zinc/02/45/22/155024522.db2.gz GQQFHKZXSOTISD-UHFFFAOYSA-N -1 1 340.361 -0.153 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CN(C)C(=O)OC)c1 ZINC000292226712 155030105 /nfs/dbraw/zinc/03/01/05/155030105.db2.gz MQKCADWTFXGYOF-UHFFFAOYSA-N -1 1 331.350 -0.063 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CN(C)CCO2)c1 ZINC000292246461 155030905 /nfs/dbraw/zinc/03/09/05/155030905.db2.gz OHMNYZLZXBKSJP-LBPRGKRZSA-N -1 1 329.378 -0.431 20 0 EBADMM COC(=O)[C@H](C)CS(=O)(=O)N1CCC(c2nc(=O)[n-][nH]2)CC1 ZINC000294409323 155061886 /nfs/dbraw/zinc/06/18/86/155061886.db2.gz CVPZCRQQCKXBKP-MRVPVSSYSA-N -1 1 332.382 -0.584 20 0 EBADMM CC[C@H](C)[C@@H](C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)OC ZINC000295394327 155067665 /nfs/dbraw/zinc/06/76/65/155067665.db2.gz VBDWGQZGJNJBCZ-VHSXEESVSA-N -1 1 333.410 -0.577 20 0 EBADMM CCOC(=O)COCC[N-]S(=O)(=O)c1n[nH]cc1C(=O)OCC ZINC000295514747 155069188 /nfs/dbraw/zinc/06/91/88/155069188.db2.gz OWVXVCNALJVRAN-UHFFFAOYSA-N -1 1 349.365 -0.556 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@]1(CCO)CCOC1 ZINC000340349412 155856075 /nfs/dbraw/zinc/85/60/75/155856075.db2.gz GTMOSSNAEZQJKC-CYBMUJFWSA-N -1 1 347.393 -0.346 20 0 EBADMM C[C@H]1C[C@@H](N2CCOCC2)CN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000342279467 156022780 /nfs/dbraw/zinc/02/27/80/156022780.db2.gz GEXSUKLQHLTTEK-QWHCGFSZSA-N -1 1 348.403 -0.161 20 0 EBADMM CCOC(=O)[C@@](C)(O)C[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000342519653 156039823 /nfs/dbraw/zinc/03/98/23/156039823.db2.gz XXCXEQSNOKGHCW-LBPRGKRZSA-N -1 1 335.334 -0.341 20 0 EBADMM CN1CCO[C@H]2CCN(C(=O)CNC(=O)c3ncccc3[O-])C[C@H]21 ZINC000342545322 156041935 /nfs/dbraw/zinc/04/19/35/156041935.db2.gz MGCCMAHUEHAKNQ-YPMHNXCESA-N -1 1 334.376 -0.552 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccc(F)cn2)o1 ZINC000342724550 156055568 /nfs/dbraw/zinc/05/55/68/156055568.db2.gz RNXSVPICFJONMZ-UHFFFAOYSA-N -1 1 342.308 -0.170 20 0 EBADMM O=C(CCNS(=O)(=O)c1ccc(F)c(F)c1)Nc1nnn[n-]1 ZINC000342856795 156064379 /nfs/dbraw/zinc/06/43/79/156064379.db2.gz HMXPQGBQUPGVAJ-UHFFFAOYSA-N -1 1 332.292 -0.215 20 0 EBADMM O=C(CCNS(=O)(=O)c1ccc(F)c(F)c1)Nc1nn[n-]n1 ZINC000342856795 156064381 /nfs/dbraw/zinc/06/43/81/156064381.db2.gz HMXPQGBQUPGVAJ-UHFFFAOYSA-N -1 1 332.292 -0.215 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000343129897 156101747 /nfs/dbraw/zinc/10/17/47/156101747.db2.gz MRZXYOYAGGWMKO-GXSJLCMTSA-N -1 1 339.373 -0.838 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC(N2CCNC2=O)CC1 ZINC000343215301 156113726 /nfs/dbraw/zinc/11/37/26/156113726.db2.gz ZNHBHNAKWJDOEV-UHFFFAOYSA-N -1 1 347.375 -0.467 20 0 EBADMM CC(=O)NC[C@H]1CCCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000343364950 156132277 /nfs/dbraw/zinc/13/22/77/156132277.db2.gz MMAHWHZFPNWZFW-GFCCVEGCSA-N -1 1 334.376 -0.108 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@H]1C(=O)NC1CC1 ZINC000343668381 156153031 /nfs/dbraw/zinc/15/30/31/156153031.db2.gz MBAZWHXXNUUDHD-NSHDSACASA-N -1 1 332.360 -0.213 20 0 EBADMM CS(=O)(=O)C[C@@H]1CCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000344092628 156201719 /nfs/dbraw/zinc/20/17/19/156201719.db2.gz QPZXNHGHRYMLAE-SNVBAGLBSA-N -1 1 341.389 -0.590 20 0 EBADMM C[C@@H](CN(C)C)NS(=O)(=O)c1c[nH]c2nc(=O)[n-]c(=O)c-2c1 ZINC000344897284 156278571 /nfs/dbraw/zinc/27/85/71/156278571.db2.gz YJRNCDUETNIZRU-ZETCQYMHSA-N -1 1 327.366 -0.336 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@@H](C3CC3)C2)o1 ZINC000345050393 156298357 /nfs/dbraw/zinc/29/83/57/156298357.db2.gz LLNVASWMJOKEOX-SNVBAGLBSA-N -1 1 341.389 -0.085 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NC[C@H]1CCCCS1(=O)=O ZINC000345151951 156309235 /nfs/dbraw/zinc/30/92/35/156309235.db2.gz PITGNJPQMHMQNB-SNVBAGLBSA-N -1 1 341.389 -0.400 20 0 EBADMM CCO[C@@H]1C[C@H]1C(=O)NCC[N-]S(=O)(=O)c1sc(=O)[nH]c1C ZINC000345482975 156337189 /nfs/dbraw/zinc/33/71/89/156337189.db2.gz KLCHJUZLDNXINR-RKDXNWHRSA-N -1 1 349.434 -0.023 20 0 EBADMM CC[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C(F)(F)F ZINC000345616951 156351819 /nfs/dbraw/zinc/35/18/19/156351819.db2.gz XMCISBMGDSMKHT-ZETCQYMHSA-N -1 1 329.300 -0.297 20 0 EBADMM CN(CC(=O)NC1(c2nn[n-]n2)CCCC1)c1ncnc2nc[nH]c21 ZINC000346337705 156397666 /nfs/dbraw/zinc/39/76/66/156397666.db2.gz AGLFGPZXYLOCMX-UHFFFAOYSA-N -1 1 342.367 -0.112 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@H]1CN1CCOCC1 ZINC000357373274 157138627 /nfs/dbraw/zinc/13/86/27/157138627.db2.gz JUEXMDCVTSTRMI-JTQLQIEISA-N -1 1 338.364 -0.167 20 0 EBADMM Cn1ncc2c1[n-]cc(C(=O)NCCNC(=O)c1ccn[nH]1)c2=O ZINC000358410501 157241480 /nfs/dbraw/zinc/24/14/80/157241480.db2.gz KVPQYRUUJXCKHD-UHFFFAOYSA-N -1 1 329.320 -0.443 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C[C@@H]1NC(=O)NC1=O ZINC000358411520 157242495 /nfs/dbraw/zinc/24/24/95/157242495.db2.gz WCGQAVFAQSNNBY-VIFPVBQESA-N -1 1 332.320 -0.734 20 0 EBADMM CCOC(=O)[C@@](C)(O)CNC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000358529852 157254840 /nfs/dbraw/zinc/25/48/40/157254840.db2.gz ZZUKWPNZWGDQIX-LBPRGKRZSA-N -1 1 334.350 -0.768 20 0 EBADMM CC[C@@H](NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)C(C)(C)O ZINC000358571456 157261214 /nfs/dbraw/zinc/26/12/14/157261214.db2.gz VEWAJLGGFQCVAW-SECBINFHSA-N -1 1 347.393 -0.678 20 0 EBADMM COc1cc(NC(=O)NC[C@H](O)CO)ccc1[N-]S(C)(=O)=O ZINC000358886564 157285050 /nfs/dbraw/zinc/28/50/50/157285050.db2.gz TYJQLILIPAKILQ-VIFPVBQESA-N -1 1 333.366 -0.459 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCN3C(=O)N=NC3C2)co1 ZINC000358912162 157289031 /nfs/dbraw/zinc/28/90/31/157289031.db2.gz YJFYCFLXHXBCTM-UHFFFAOYSA-N -1 1 327.322 -0.859 20 0 EBADMM C[C@@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])C[C@H](C)S1(=O)=O ZINC000359572810 157332914 /nfs/dbraw/zinc/33/29/14/157332914.db2.gz SVLNKXLIGFARCY-AOOOYVTPSA-N -1 1 341.389 -0.449 20 0 EBADMM Cc1ccc(CC[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)o1 ZINC000030443860 419086784 /nfs/dbraw/zinc/08/67/84/419086784.db2.gz NOAPZLWXYIILSF-UHFFFAOYSA-N -1 1 327.362 -0.494 20 0 EBADMM COC(=O)[C@@H](CO)[N-]S(=O)(=O)CC[C@H]1CCCCN1C(C)=O ZINC000489708375 419077089 /nfs/dbraw/zinc/07/70/89/419077089.db2.gz BNJFCXJCCYBBFN-VXGBXAGGSA-N -1 1 336.410 -0.769 20 0 EBADMM CCC[C@@H](NC(=O)C[C@@H]1CS(=O)(=O)CCN1C)c1nn[n-]n1 ZINC000644383175 419155459 /nfs/dbraw/zinc/15/54/59/419155459.db2.gz KIHFKQQNBLXQIN-NXEZZACHSA-N -1 1 330.414 -0.724 20 0 EBADMM CC(C)([N-]C(=O)C(F)(F)F)C(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000365269737 419247567 /nfs/dbraw/zinc/24/75/67/419247567.db2.gz WTUSBCVULOXWAI-LURJTMIESA-N -1 1 336.274 -0.443 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NC[C@@H](CO)Cc1cccnc1 ZINC000412311088 419299403 /nfs/dbraw/zinc/29/94/03/419299403.db2.gz WVRVAFGLDYQXPB-ZDUSSCGKSA-N -1 1 344.371 -0.121 20 0 EBADMM C[C@H](O)C(=O)N1CCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CC1 ZINC000287708722 419340248 /nfs/dbraw/zinc/34/02/48/419340248.db2.gz RXPSKKBVQVBKAW-ORHXRLAQSA-N -1 1 332.364 -0.601 20 0 EBADMM C[C@@H]1COCC[C@@H]1C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000285733803 419336631 /nfs/dbraw/zinc/33/66/31/419336631.db2.gz VKLWDJUIZRBHMR-NXEZZACHSA-N -1 1 331.394 -0.965 20 0 EBADMM C[C@@H](CN1CCN(C)CC1)NC(=O)c1c[nH]c2nc(=O)[n-]c(=O)c-2c1 ZINC000519318926 419520854 /nfs/dbraw/zinc/52/08/54/419520854.db2.gz ORDVPIFBXBFBFK-JTQLQIEISA-N -1 1 346.391 -0.198 20 0 EBADMM NC(=O)COCC[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645605519 419768604 /nfs/dbraw/zinc/76/86/04/419768604.db2.gz YTOWSOAAZHVOPP-UHFFFAOYSA-N -1 1 327.284 -0.119 20 0 EBADMM C[C@H](CCN1CCN(C)CC1)NS(=O)(=O)[N-]CC(F)(F)F ZINC000195458687 420525559 /nfs/dbraw/zinc/52/55/59/420525559.db2.gz ZCXFKKOQWVOPKS-SNVBAGLBSA-N -1 1 332.392 -0.001 20 0 EBADMM COc1ccc2[nH]nc(NC(=O)c3cc(=O)n4[n-]cnc4n3)c2c1 ZINC000353874529 420548284 /nfs/dbraw/zinc/54/82/84/420548284.db2.gz YJDCBIFEEHTZBF-UHFFFAOYSA-N -1 1 325.288 0.555 20 0 EBADMM CS(=O)(=O)c1nc(NC(=O)c2ccc(OC(F)F)cn2)n[n-]1 ZINC000361480664 420578628 /nfs/dbraw/zinc/57/86/28/420578628.db2.gz DOIAWHOTYSAHRJ-UHFFFAOYSA-N -1 1 333.276 0.457 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2ccc(OC(F)F)cn2)n1 ZINC000361480664 420578634 /nfs/dbraw/zinc/57/86/34/420578634.db2.gz DOIAWHOTYSAHRJ-UHFFFAOYSA-N -1 1 333.276 0.457 20 0 EBADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)CCN2CCOC2=O)c1 ZINC000494526177 420592196 /nfs/dbraw/zinc/59/21/96/420592196.db2.gz WQTGLVQHOMQTLZ-UHFFFAOYSA-N -1 1 329.334 -0.180 20 0 EBADMM COC(=O)N1CCN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1 ZINC000496863282 420650039 /nfs/dbraw/zinc/65/00/39/420650039.db2.gz HHMPUGREMGLGMI-UHFFFAOYSA-N -1 1 346.347 -0.809 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H]1CC[C@H](C(=O)N(C)C)O1 ZINC000574002864 420659365 /nfs/dbraw/zinc/65/93/65/420659365.db2.gz JIZLXVANIJXMNO-RKDXNWHRSA-N -1 1 332.382 -0.521 20 0 EBADMM C[C@@H]1SCC[C@@H]1NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000649175707 420789736 /nfs/dbraw/zinc/78/97/36/420789736.db2.gz XZVWFZVVZZRUET-YUMQZZPRSA-N -1 1 347.418 -0.333 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@@H]([C@@H](C)[NH3+])C2)[n-]c2nc(=O)[n-]c(=O)c1-2 ZINC000649274652 420795312 /nfs/dbraw/zinc/79/53/12/420795312.db2.gz SYLPUNMRYZGDPE-PSASIEDQSA-N -1 1 333.348 -0.067 20 0 EBADMM COC1CC(CC[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)C1 ZINC000657036396 420912176 /nfs/dbraw/zinc/91/21/76/420912176.db2.gz GNTCODCPESYEMF-UHFFFAOYSA-N -1 1 331.394 -0.823 20 0 EBADMM NS(=O)(=O)c1ccc(C(=O)NC2(c3nn[n-]n3)CC2)cc1Cl ZINC000655016194 420848469 /nfs/dbraw/zinc/84/84/69/420848469.db2.gz VXZFRJNWDVTKNI-UHFFFAOYSA-N -1 1 342.768 -0.080 20 0 EBADMM COCCN1CCC[C@@H]1CNC(=O)C(=O)NC[C@H](C(=O)[O-])C(C)C ZINC000655984100 420862256 /nfs/dbraw/zinc/86/22/56/420862256.db2.gz KHDAIVZXWIGNDA-OLZOCXBDSA-N -1 1 343.424 -0.314 20 0 EBADMM Cn1nnc(CS(=O)(=O)c2nnc(Cc3cccs3)[n-]2)n1 ZINC000663249468 421128794 /nfs/dbraw/zinc/12/87/94/421128794.db2.gz YNEZHVAMSUCIEH-UHFFFAOYSA-N -1 1 325.379 -0.046 20 0 EBADMM Cn1nnc(CS(=O)(=O)c2n[n-]c(Cc3cccs3)n2)n1 ZINC000663249468 421128800 /nfs/dbraw/zinc/12/88/00/421128800.db2.gz YNEZHVAMSUCIEH-UHFFFAOYSA-N -1 1 325.379 -0.046 20 0 EBADMM Cc1cc(NC(=O)CN(C)C(=O)CNC(=O)c2ncccc2[O-])no1 ZINC000337950161 421249246 /nfs/dbraw/zinc/24/92/46/421249246.db2.gz PUXUJPZRSAQVSL-UHFFFAOYSA-N -1 1 347.331 -0.089 20 0 EBADMM Cc1cc(NC(=O)CSCC(=O)NC(C)(C)c2nn[n-]n2)no1 ZINC000359795175 421257024 /nfs/dbraw/zinc/25/70/24/421257024.db2.gz MCBIIOOPMDBEBW-UHFFFAOYSA-N -1 1 339.381 0.219 20 0 EBADMM COC(=O)CNC(=O)[C@@H]1CCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000416321669 264798045 /nfs/dbraw/zinc/79/80/45/264798045.db2.gz LLWINKAZLQVJFI-LBPRGKRZSA-N -1 1 334.328 -0.145 20 0 EBADMM CCCCN(CC)C(=O)CN1Cc2n[nH]c(=O)n2C[C@@H]1C(=O)[O-] ZINC000424266417 265055859 /nfs/dbraw/zinc/05/58/59/265055859.db2.gz KYUCRQYQWRMAOS-SNVBAGLBSA-N -1 1 325.369 -0.099 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H]1CCN(C)C(=O)C1 ZINC000425186018 265085258 /nfs/dbraw/zinc/08/52/58/265085258.db2.gz SMPOYVYWXMWPBZ-VIFPVBQESA-N -1 1 344.393 -0.267 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](CO)CC(F)F ZINC000428388003 265122379 /nfs/dbraw/zinc/12/23/79/265122379.db2.gz HSVLTBSQWLHKAJ-ZCFIWIBFSA-N -1 1 327.309 -0.119 20 0 EBADMM CCC[C@@H](NC(=O)Cn1cnc2c(cnn2C)c1=O)c1nn[n-]n1 ZINC000434786225 265214358 /nfs/dbraw/zinc/21/43/58/265214358.db2.gz HKVRQTVDSQJOJQ-SECBINFHSA-N -1 1 331.340 -0.699 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCN2C(=O)N=NC2C1 ZINC000438439012 265256374 /nfs/dbraw/zinc/25/63/74/265256374.db2.gz OOGONTAMPOKIMF-UHFFFAOYSA-N -1 1 343.347 -0.066 20 0 EBADMM C[C@](O)(CNC(=O)c1nc2ccccc2c(=O)[n-]1)CN1CCOCC1 ZINC000440791016 265295339 /nfs/dbraw/zinc/29/53/39/265295339.db2.gz HPPWBGPCRGRZNM-KRWDZBQOSA-N -1 1 346.387 -0.264 20 0 EBADMM CN(C)CCN(CCOCCO)S(=O)(=O)[N-]CC(F)(F)F ZINC000442958164 265333636 /nfs/dbraw/zinc/33/36/36/265333636.db2.gz WAICDQGTUSVSSC-UHFFFAOYSA-N -1 1 337.364 -0.744 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H](O)[C@@H]1CCOC1 ZINC000443271872 265344945 /nfs/dbraw/zinc/34/49/45/265344945.db2.gz JPKMSRXMNPFTLM-PSASIEDQSA-N -1 1 333.366 -0.738 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C1 ZINC000444468181 265362840 /nfs/dbraw/zinc/36/28/40/265362840.db2.gz PVYBKGDBCCRKAW-BDAKNGLRSA-N -1 1 329.378 -0.038 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@H](O)[C@@H]2C(=O)OC)o1 ZINC000444442785 265363087 /nfs/dbraw/zinc/36/30/87/265363087.db2.gz WTIUFROQJIRFSM-GZMMTYOYSA-N -1 1 346.361 -0.674 20 0 EBADMM O=C(CS(=O)(=O)c1nc[n-]n1)NC[C@@H]1COc2ccccc2O1 ZINC000444403693 265363144 /nfs/dbraw/zinc/36/31/44/265363144.db2.gz IWRRMADZNQBHAQ-SECBINFHSA-N -1 1 338.345 -0.465 20 0 EBADMM CCOC(C)(C)CNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000444518014 265364785 /nfs/dbraw/zinc/36/47/85/265364785.db2.gz HIOONUFPVIWVKU-UHFFFAOYSA-N -1 1 347.393 -0.412 20 0 EBADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)CNC(=O)NC)CC2)n1 ZINC000444751603 272845339 /nfs/dbraw/zinc/84/53/39/272845339.db2.gz BODUBNCTNKVGIQ-UHFFFAOYSA-N -1 1 338.368 -0.384 20 0 EBADMM CC(C)(O)C(C)(C)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000347747671 278637144 /nfs/dbraw/zinc/63/71/44/278637144.db2.gz XUYXJZWIRDEHQF-UHFFFAOYSA-N -1 1 347.393 -0.678 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2[C@H]3CCO[C@H]3C2(C)C)c(=O)n(C)c1=O ZINC000266030228 294271995 /nfs/dbraw/zinc/27/19/95/294271995.db2.gz SAENBNSVUREBQQ-FBIMIBRVSA-N -1 1 343.405 -0.824 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N2C3CCC2CC3)o1 ZINC000530565264 545653057 /nfs/dbraw/zinc/65/30/57/545653057.db2.gz YDBOCUNRSOXRBI-UHFFFAOYSA-N -1 1 327.362 -0.190 20 0 EBADMM CC(C)(NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)C(F)F ZINC000684340589 545981782 /nfs/dbraw/zinc/98/17/82/545981782.db2.gz OLVNWBVQQJXMQP-UHFFFAOYSA-N -1 1 339.320 -0.183 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1cnn(-c2ccccc2F)c1 ZINC000676096348 546194714 /nfs/dbraw/zinc/19/47/14/546194714.db2.gz WSSAOIDOVXLVBJ-UHFFFAOYSA-N -1 1 330.283 -0.221 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCN(C(C)(C)CO)CC2)o1 ZINC000677646962 546233550 /nfs/dbraw/zinc/23/35/50/546233550.db2.gz DUWAWVATVJHVEY-UHFFFAOYSA-N -1 1 345.421 -0.284 20 0 EBADMM NC(=O)c1cc([N-]S(=O)(=O)c2ccccc2Cn2cncn2)n[nH]1 ZINC000680501382 546288973 /nfs/dbraw/zinc/28/89/73/546288973.db2.gz NIIQAJXQEKHBCC-UHFFFAOYSA-N -1 1 347.360 -0.051 20 0 EBADMM CS(=O)(=O)N1CCN(CCNC(=O)c2c([O-])cccc2F)CC1 ZINC000681228041 546301731 /nfs/dbraw/zinc/30/17/31/546301731.db2.gz RSIGHSDOHGXWBP-UHFFFAOYSA-N -1 1 345.396 -0.162 20 0 EBADMM Cc1oc(C)c(S(N)(=O)=O)c1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000681353676 546303747 /nfs/dbraw/zinc/30/37/47/546303747.db2.gz SGJAICVKDWXUST-LURJTMIESA-N -1 1 342.381 -0.067 20 0 EBADMM O=C(NC[C@@H](CO)NC(=O)c1ncccc1[O-])c1ncccc1O ZINC000681745475 546309777 /nfs/dbraw/zinc/30/97/77/546309777.db2.gz AYKSCSQGNVDOCO-VIFPVBQESA-N -1 1 332.316 -0.592 20 0 EBADMM O=C(NC[C@@H](CO)NC(=O)c1ncccc1O)c1ncccc1[O-] ZINC000681745475 546309779 /nfs/dbraw/zinc/30/97/79/546309779.db2.gz AYKSCSQGNVDOCO-VIFPVBQESA-N -1 1 332.316 -0.592 20 0 EBADMM Cn1cc([C@@H]2[C@@H](C(=O)NCc3nn[n-]n3)CC(=O)N2C(C)(C)C)cn1 ZINC000684736427 546376639 /nfs/dbraw/zinc/37/66/39/546376639.db2.gz RXWWUCYLFRBZDW-GXFFZTMASA-N -1 1 346.395 -0.062 20 0 EBADMM CC(C)[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)N1CCOCC1 ZINC000726865591 582623156 /nfs/dbraw/zinc/62/31/56/582623156.db2.gz CFPFDNLIBKFQIV-CYBMUJFWSA-N -1 1 338.408 -0.600 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNC(=O)c1ccc(O)cc1 ZINC000726871039 582623585 /nfs/dbraw/zinc/62/35/85/582623585.db2.gz HQOCVYLNXSLAJD-UHFFFAOYSA-N -1 1 346.343 -0.822 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC(=O)NCc1ccccc1 ZINC000726872046 582623637 /nfs/dbraw/zinc/62/36/37/582623637.db2.gz USWKNRZYZMWWPI-UHFFFAOYSA-N -1 1 330.344 -0.641 20 0 EBADMM CC[C@](C)(CC(=O)OC)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000748655932 582635614 /nfs/dbraw/zinc/63/56/14/582635614.db2.gz AAWCSBACWNLGAK-CYBMUJFWSA-N -1 1 347.393 -0.906 20 0 EBADMM O=C(NCCCN1C(=O)CCC1=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000738065091 582636562 /nfs/dbraw/zinc/63/65/62/582636562.db2.gz GLCMCCWGOVYZTI-UHFFFAOYSA-N -1 1 329.320 -0.469 20 0 EBADMM O=C(NCCCN1C(=O)CCC1=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000738065091 582636564 /nfs/dbraw/zinc/63/65/64/582636564.db2.gz GLCMCCWGOVYZTI-UHFFFAOYSA-N -1 1 329.320 -0.469 20 0 EBADMM Cc1ncc2c(n1)CC[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C2 ZINC000727847956 582659706 /nfs/dbraw/zinc/65/97/06/582659706.db2.gz DDYUIYIYEFAFLW-GFCCVEGCSA-N -1 1 329.360 -0.301 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCc1ccc(-n2ccnc2)nc1 ZINC000727848612 582660144 /nfs/dbraw/zinc/66/01/44/582660144.db2.gz NNSWOWZXPHARDB-UHFFFAOYSA-N -1 1 340.343 -0.176 20 0 EBADMM Cc1cccc(OC[C@H](O)CNC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000727851682 582661439 /nfs/dbraw/zinc/66/14/39/582661439.db2.gz JFPPHOCEEVCPHJ-CYBMUJFWSA-N -1 1 347.371 -0.209 20 0 EBADMM Cn1c2ccc(NC(=O)CCn3cc[n-]c(=O)c3=O)cc2n(C)c1=O ZINC000727854080 582661789 /nfs/dbraw/zinc/66/17/89/582661789.db2.gz WFYJJZKYWSGUQY-UHFFFAOYSA-N -1 1 343.343 -0.244 20 0 EBADMM C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)N1CCCC[C@H]1C ZINC000727855257 582662230 /nfs/dbraw/zinc/66/22/30/582662230.db2.gz GPBLWHBVKIFGDT-VXGBXAGGSA-N -1 1 336.392 -0.168 20 0 EBADMM COCCOc1ncccc1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000728082180 582670818 /nfs/dbraw/zinc/67/08/18/582670818.db2.gz PQVHWOPKROWOME-UHFFFAOYSA-N -1 1 334.332 -0.014 20 0 EBADMM CCOC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)C[C@@H]1CN(C)CCO1 ZINC000866912580 582675658 /nfs/dbraw/zinc/67/56/58/582675658.db2.gz KHNKQRSOKHYCCW-QWHCGFSZSA-N -1 1 334.438 -0.032 20 0 EBADMM Cc1ccc([C@]2(C)NC(=O)N(CC(=O)NCc3nn[n-]n3)C2=O)cc1 ZINC000731887116 582842040 /nfs/dbraw/zinc/84/20/40/582842040.db2.gz HVALXMYWAVBNOY-HNNXBMFYSA-N -1 1 343.347 -0.408 20 0 EBADMM O=C(C[C@@H]1Oc2ccccc2NC1=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000732091491 582844679 /nfs/dbraw/zinc/84/46/79/582844679.db2.gz GMMNCBCOVFEJMY-RYUDHWBXSA-N -1 1 344.331 -0.111 20 0 EBADMM CC(C)NS(=O)(=O)c1ccc(F)c(C(=O)NCc2nn[n-]n2)c1 ZINC000732157984 582845292 /nfs/dbraw/zinc/84/52/92/582845292.db2.gz NYTMJJHOHPFZCV-UHFFFAOYSA-N -1 1 342.356 -0.045 20 0 EBADMM CC(=O)N1CCN(C(=O)CCNc2nccnc2-c2nnn[n-]2)CC1 ZINC000735466709 582895382 /nfs/dbraw/zinc/89/53/82/582895382.db2.gz XKPRUINAMWHURI-UHFFFAOYSA-N -1 1 345.367 -0.851 20 0 EBADMM CC(=O)N1CCN(C(=O)CCNc2nccnc2-c2nn[n-]n2)CC1 ZINC000735466709 582895384 /nfs/dbraw/zinc/89/53/84/582895384.db2.gz XKPRUINAMWHURI-UHFFFAOYSA-N -1 1 345.367 -0.851 20 0 EBADMM CCCC(=O)N1CSC[C@H]1C(=O)n1ncc(-c2nn[n-]n2)c1N ZINC000736414639 582933364 /nfs/dbraw/zinc/93/33/64/582933364.db2.gz ZAJUWCRMDJBJKP-QMMMGPOBSA-N -1 1 336.381 -0.013 20 0 EBADMM CCNC(=O)CN1CCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000736572904 582942798 /nfs/dbraw/zinc/94/27/98/582942798.db2.gz FKGDVNYYJPNPHQ-UHFFFAOYSA-N -1 1 344.379 -0.844 20 0 EBADMM CCNC(=O)CN1CCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)CC1 ZINC000736572904 582942800 /nfs/dbraw/zinc/94/28/00/582942800.db2.gz FKGDVNYYJPNPHQ-UHFFFAOYSA-N -1 1 344.379 -0.844 20 0 EBADMM C[C@@H](CN1CCN(C)CC1)NS(=O)(=O)c1ccc(C(=O)[O-])o1 ZINC000736586496 582944597 /nfs/dbraw/zinc/94/45/97/582944597.db2.gz NIDUEYUHYQLIGH-JTQLQIEISA-N -1 1 331.394 -0.108 20 0 EBADMM CCOC(=O)CCCNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736592687 582944933 /nfs/dbraw/zinc/94/49/33/582944933.db2.gz SRTCKXHVDZHLNZ-UHFFFAOYSA-N -1 1 340.365 -0.117 20 0 EBADMM CCOC(=O)CCCNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736592687 582944935 /nfs/dbraw/zinc/94/49/35/582944935.db2.gz SRTCKXHVDZHLNZ-UHFFFAOYSA-N -1 1 340.365 -0.117 20 0 EBADMM C[C@@H](CNS(=O)(=O)c1ccc(C(=O)[O-])o1)N1CCN(C)CC1 ZINC000736607097 582947346 /nfs/dbraw/zinc/94/73/46/582947346.db2.gz PCDYAIWSAVXYAF-JTQLQIEISA-N -1 1 331.394 -0.108 20 0 EBADMM C[C@H](O)CN1CCN(CC(=O)N2CCC(C(=O)[O-])CC2)C[C@@H]1C ZINC000736675156 582950123 /nfs/dbraw/zinc/95/01/23/582950123.db2.gz BXJVTLSHVFBYEG-STQMWFEESA-N -1 1 327.425 -0.304 20 0 EBADMM C[N@H+](CCOc1cccc(-c2nn[nH]n2)c1)CCS(N)(=O)=O ZINC000736800928 582957809 /nfs/dbraw/zinc/95/78/09/582957809.db2.gz GDTWBJIDNHWTHX-UHFFFAOYSA-N -1 1 326.382 -0.534 20 0 EBADMM C[N@@H+](CCOc1cccc(-c2nn[nH]n2)c1)CCS(N)(=O)=O ZINC000736800928 582957812 /nfs/dbraw/zinc/95/78/12/582957812.db2.gz GDTWBJIDNHWTHX-UHFFFAOYSA-N -1 1 326.382 -0.534 20 0 EBADMM CC[C@H](C)[C@@H](NC(=O)CN1CCN(CC(C)(C)O)CC1)C(=O)[O-] ZINC000736888636 582970240 /nfs/dbraw/zinc/97/02/40/582970240.db2.gz VLYYAQLIQYDPDA-GXTWGEPZSA-N -1 1 329.441 -0.010 20 0 EBADMM CCC[C@](C)(NCC(=O)N1CCN(CC(=O)N(C)C)CC1)C(=O)[O-] ZINC000736959640 582977420 /nfs/dbraw/zinc/97/74/20/582977420.db2.gz JDAXFMBWBRESOJ-INIZCTEOSA-N -1 1 342.440 -0.548 20 0 EBADMM COCCOCCCNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737007264 582983370 /nfs/dbraw/zinc/98/33/70/582983370.db2.gz YEQSDFQIZCZHDF-UHFFFAOYSA-N -1 1 342.381 -0.407 20 0 EBADMM COCCOCCCNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737007264 582983371 /nfs/dbraw/zinc/98/33/71/582983371.db2.gz YEQSDFQIZCZHDF-UHFFFAOYSA-N -1 1 342.381 -0.407 20 0 EBADMM CN(CC(=O)[O-])C(=O)CCN1CCN(c2ccc3nncn3n2)CC1 ZINC000737400828 583007052 /nfs/dbraw/zinc/00/70/52/583007052.db2.gz CYGCYFOYZYVWDI-UHFFFAOYSA-N -1 1 347.379 -0.821 20 0 EBADMM Cc1nccc(CNS(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)n1 ZINC000737502299 583010485 /nfs/dbraw/zinc/01/04/85/583010485.db2.gz LWMYRVGHYJTHSD-UHFFFAOYSA-N -1 1 332.349 -0.161 20 0 EBADMM Cc1nccc(CNS(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)n1 ZINC000737502299 583010488 /nfs/dbraw/zinc/01/04/88/583010488.db2.gz LWMYRVGHYJTHSD-UHFFFAOYSA-N -1 1 332.349 -0.161 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N[C@@H]2[C@H]3CCO[C@@H]3C2(C)C)n(C)c1=O ZINC000737597097 583018827 /nfs/dbraw/zinc/01/88/27/583018827.db2.gz PSPMCIDQEXSDLN-QNSHHTMESA-N -1 1 347.379 -0.511 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NC[C@@H]2CCCC[C@H]2O)n(C)c1=O ZINC000737598000 583018947 /nfs/dbraw/zinc/01/89/47/583018947.db2.gz WBCQJQQGBGETLA-DTWKUNHWSA-N -1 1 335.368 -0.773 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N[C@@H]2CCCC[C@@H]2CO)n(C)c1=O ZINC000737595685 583019029 /nfs/dbraw/zinc/01/90/29/583019029.db2.gz JTHVHFOJAMPOGI-RKDXNWHRSA-N -1 1 335.368 -0.773 20 0 EBADMM Nc1n[nH]c(CCCNc2ccc3nccnc3n2)c1-c1nnn[n-]1 ZINC000737769224 583027069 /nfs/dbraw/zinc/02/70/69/583027069.db2.gz HVZSQPJKMVPFFD-UHFFFAOYSA-N -1 1 337.351 -0.072 20 0 EBADMM Nc1n[nH]c(CCCNc2ccc3nccnc3n2)c1-c1nn[n-]n1 ZINC000737769224 583027073 /nfs/dbraw/zinc/02/70/73/583027073.db2.gz HVZSQPJKMVPFFD-UHFFFAOYSA-N -1 1 337.351 -0.072 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1(O)Cc2ccccc2C1 ZINC000737984197 583036712 /nfs/dbraw/zinc/03/67/12/583036712.db2.gz KBJIZJKTJHOXAP-UHFFFAOYSA-N -1 1 329.356 -0.427 20 0 EBADMM O=C(NCCN1CCCS1(=O)=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000738074742 583041374 /nfs/dbraw/zinc/04/13/74/583041374.db2.gz ITFICZXULCYEMY-UHFFFAOYSA-N -1 1 337.365 -0.973 20 0 EBADMM O=C(NCCN1CCCS1(=O)=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000738074742 583041378 /nfs/dbraw/zinc/04/13/78/583041378.db2.gz ITFICZXULCYEMY-UHFFFAOYSA-N -1 1 337.365 -0.973 20 0 EBADMM O=C1NCCCC[C@H]1NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000738314598 583049897 /nfs/dbraw/zinc/04/98/97/583049897.db2.gz BXOGWHMWMRCNDU-SNVBAGLBSA-N -1 1 337.365 -0.791 20 0 EBADMM O=C1NCCCC[C@H]1NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000738314598 583049899 /nfs/dbraw/zinc/04/98/99/583049899.db2.gz BXOGWHMWMRCNDU-SNVBAGLBSA-N -1 1 337.365 -0.791 20 0 EBADMM O=C([C@H]1CC(=O)N(c2cn[nH]c2)C1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000788147878 583067397 /nfs/dbraw/zinc/06/73/97/583067397.db2.gz JXJLVVTZBCCOOU-WCBMZHEXSA-N -1 1 348.392 -0.408 20 0 EBADMM Cn1cc(N2CCC[C@@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)cn1 ZINC000741723770 583074949 /nfs/dbraw/zinc/07/49/49/583074949.db2.gz OSKWIQBAFJEEQM-GFCCVEGCSA-N -1 1 346.391 -0.555 20 0 EBADMM COc1ccc([C@@H]2[C@H](C(=O)NN3CC(=O)[N-]C3=O)CC(=O)N2C)cc1 ZINC000743291459 583084607 /nfs/dbraw/zinc/08/46/07/583084607.db2.gz SFINQQRCXAPKBX-BXUZGUMPSA-N -1 1 346.343 -0.202 20 0 EBADMM O=C(COC(=O)Cn1ccc(=O)[nH]c1=O)[N-]C(=O)c1ccccc1 ZINC000744585703 583091898 /nfs/dbraw/zinc/09/18/98/583091898.db2.gz FHZQFIUSOIHVIL-UHFFFAOYSA-N -1 1 331.284 -0.551 20 0 EBADMM C[C@@H](O)[C@@H](NC(=O)C1CC1)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000745736703 583099874 /nfs/dbraw/zinc/09/98/74/583099874.db2.gz CDOVDLQSEVXUPG-QMTHXVAHSA-N -1 1 348.355 -0.238 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NN=c2nc(OC)cc[n-]2)cn1C ZINC000745977074 583102578 /nfs/dbraw/zinc/10/25/78/583102578.db2.gz PXEIMVYDJMNTSG-UHFFFAOYSA-N -1 1 341.349 -0.084 20 0 EBADMM O=C([C@H]1COc2ccccc2O1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000753089291 583158334 /nfs/dbraw/zinc/15/83/34/583158334.db2.gz YLTHSEJNXKEMNH-GFCCVEGCSA-N -1 1 331.332 -0.150 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCc1ccc2c(c1)C(=O)NCC2 ZINC000756276414 583182317 /nfs/dbraw/zinc/18/23/17/583182317.db2.gz HQQIRUSJTGWUJU-UHFFFAOYSA-N -1 1 342.355 -0.471 20 0 EBADMM COC(=O)[C@]1(NC(=O)CCn2cc[n-]c(=O)c2=O)CCSC1 ZINC000759919823 583219602 /nfs/dbraw/zinc/21/96/02/583219602.db2.gz JAFCDFZUHCDYCU-ZDUSSCGKSA-N -1 1 327.362 -0.908 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCOC[C@H]1[C@H]1CCCCC1=O ZINC000759984541 583220029 /nfs/dbraw/zinc/22/00/29/583220029.db2.gz CVJWWSNIXMJVMA-OLZOCXBDSA-N -1 1 349.387 -0.087 20 0 EBADMM Cn1[n-]c(COC(=O)Cn2c(=O)[nH]c(=O)c3ccccc32)nc1=O ZINC000765409216 583281166 /nfs/dbraw/zinc/28/11/66/583281166.db2.gz MVMPZDUYLDRHLA-UHFFFAOYSA-N -1 1 331.288 -0.733 20 0 EBADMM Cn1[n-]c(COC(=O)c2ccc(CN3C(=O)CNC3=O)cc2)nc1=O ZINC000765419023 583281923 /nfs/dbraw/zinc/28/19/23/583281923.db2.gz NBJVCGZRJCELAY-UHFFFAOYSA-N -1 1 345.315 -0.483 20 0 EBADMM C[C@@H](NC(=O)c1[nH]nc2ccccc21)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765462328 583283057 /nfs/dbraw/zinc/28/30/57/583283057.db2.gz APTLNEMKOOBAHV-MRVPVSSYSA-N -1 1 344.331 -0.154 20 0 EBADMM CCn1c(=O)[nH]c2cc(C(=O)OCc3nc(=O)n(C)[n-]3)ccc2c1=O ZINC000765460806 583283076 /nfs/dbraw/zinc/28/30/76/583283076.db2.gz INJVVSDUXYCQEP-UHFFFAOYSA-N -1 1 345.315 -0.099 20 0 EBADMM COC(=O)[C@@H](CC(F)(F)F)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000765841344 583287787 /nfs/dbraw/zinc/28/77/87/583287787.db2.gz OOFMIPBUFZFSSO-SSDOTTSWSA-N -1 1 337.254 -0.463 20 0 EBADMM CCNC(=O)COc1ccc(NC(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000770143861 583333351 /nfs/dbraw/zinc/33/33/51/583333351.db2.gz DFOVMERGGCJBDG-UHFFFAOYSA-N -1 1 335.320 -0.210 20 0 EBADMM CCc1nn(C)c(OC)c1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC000771804231 583350874 /nfs/dbraw/zinc/35/08/74/583350874.db2.gz ZEARYOLPUADEMD-UHFFFAOYSA-N -1 1 335.364 -0.452 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)CN2CCN3C(=O)N=NC3C2)cc1 ZINC000771813632 583351511 /nfs/dbraw/zinc/35/15/11/583351511.db2.gz VJJKHKCIEORTKU-UHFFFAOYSA-N -1 1 331.332 -0.236 20 0 EBADMM CC(C)(NS(C)(=O)=O)C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774965990 583392968 /nfs/dbraw/zinc/39/29/68/583392968.db2.gz CHXKSEMZAZNLSI-UHFFFAOYSA-N -1 1 334.316 -0.074 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC(=O)CCC(=O)OC)o1 ZINC000782793783 583474230 /nfs/dbraw/zinc/47/42/30/583474230.db2.gz UMMMPTUGKVHPDT-UHFFFAOYSA-N -1 1 333.318 -0.133 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@@H]2NC(=O)O[C@H]2C)cc1 ZINC000786075682 583505459 /nfs/dbraw/zinc/50/54/59/583505459.db2.gz ZNQFKZGMLPTVFV-QPUJVOFHSA-N -1 1 336.300 -0.008 20 0 EBADMM CC(C)C(=O)COC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000786197633 583507112 /nfs/dbraw/zinc/50/71/12/583507112.db2.gz NAZDZJMRZYTUSM-UHFFFAOYSA-N -1 1 332.334 -0.575 20 0 EBADMM CCOCCO[N-]C(=O)C(=O)NC[C@@H](C(C)C)N1CCN(C)CC1 ZINC000786627529 583512240 /nfs/dbraw/zinc/51/22/40/583512240.db2.gz LHHAJGWOJFXDKN-AWEZNQCLSA-N -1 1 344.456 -0.541 20 0 EBADMM COCCO[N-]C(=O)C(=O)NC[C@@H](C(C)C)N1CCN(C)CC1 ZINC000786647614 583512289 /nfs/dbraw/zinc/51/22/89/583512289.db2.gz DDYSVZOGGZFYLV-ZDUSSCGKSA-N -1 1 330.429 -0.931 20 0 EBADMM Cc1cc(O)cc(=O)n1CC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000787902807 583524282 /nfs/dbraw/zinc/52/42/82/583524282.db2.gz PXNLXOJMTNPIOM-SNVBAGLBSA-N -1 1 336.377 -0.308 20 0 EBADMM CCOCCOC[C@H](O)C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000790947404 583548424 /nfs/dbraw/zinc/54/84/24/583548424.db2.gz YZSLNNCHBSNTII-SECBINFHSA-N -1 1 341.817 -0.234 20 0 EBADMM C[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)CC2(CCOCC2)O1 ZINC000791075921 583549471 /nfs/dbraw/zinc/54/94/71/583549471.db2.gz ZZAFRSWYSQPEEO-GFCCVEGCSA-N -1 1 337.376 -0.277 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@H]2CC(c3cccnc3)=NO2)n1 ZINC000792297324 583558322 /nfs/dbraw/zinc/55/83/22/583558322.db2.gz PVTRUVAXNHSAJY-SECBINFHSA-N -1 1 336.333 -0.265 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)[C@H]2CC(c3cccnc3)=NO2)[n-]1 ZINC000792297324 583558324 /nfs/dbraw/zinc/55/83/24/583558324.db2.gz PVTRUVAXNHSAJY-SECBINFHSA-N -1 1 336.333 -0.265 20 0 EBADMM COC(=O)c1ccc(C(=O)OCC(=O)N[C@H](C)C(=O)N(C)C)[n-]1 ZINC000796363668 583595676 /nfs/dbraw/zinc/59/56/76/583595676.db2.gz VOJROGSIZKUCCW-MRVPVSSYSA-N -1 1 325.321 -0.449 20 0 EBADMM C[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@]2(CCCOC2)O1 ZINC000796502898 583596053 /nfs/dbraw/zinc/59/60/53/583596053.db2.gz CLENFRPANOJQFG-MLGOLLRUSA-N -1 1 337.376 -0.277 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@H](CC(=O)OC)C(=O)OC)co1 ZINC000796937109 583597796 /nfs/dbraw/zinc/59/77/96/583597796.db2.gz VLIPGEDIUSEFJA-MRVPVSSYSA-N -1 1 349.317 -0.551 20 0 EBADMM CS(=O)(=O)[N-]C(=O)CCNC(=O)c1n[nH]nc1-c1ccccc1 ZINC000800551487 583615958 /nfs/dbraw/zinc/61/59/58/583615958.db2.gz HQRKXXYLLSGGBJ-UHFFFAOYSA-N -1 1 337.361 -0.333 20 0 EBADMM CS(=O)(=O)[N-]C(=O)CCNC(=O)c1[nH]nc2c1CCCCC2 ZINC000800552443 583616128 /nfs/dbraw/zinc/61/61/28/583616128.db2.gz XDJLRTIPKBTOFO-UHFFFAOYSA-N -1 1 328.394 -0.126 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2nc(N(C)C)no2)o1 ZINC000801226386 583618841 /nfs/dbraw/zinc/61/88/41/583618841.db2.gz LVDIPGZGOJCIII-UHFFFAOYSA-N -1 1 330.322 -0.006 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@H]3C[C@H]32)o1 ZINC000804299077 583643552 /nfs/dbraw/zinc/64/35/52/583643552.db2.gz PGNSUXZREJLIFZ-DTWKUNHWSA-N -1 1 327.362 -0.332 20 0 EBADMM COCCN1CCC[C@H]1CNC(=O)C(=O)[N-]OC1CCOCC1 ZINC000804355169 583643999 /nfs/dbraw/zinc/64/39/99/583643999.db2.gz VDXPUOYXQSQDBO-LBPRGKRZSA-N -1 1 329.397 -0.560 20 0 EBADMM CC[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H](C)[S@@]1=O ZINC000804437867 583644527 /nfs/dbraw/zinc/64/45/27/583644527.db2.gz MHBHEPVKQCXPST-RUYXUOGBSA-N -1 1 327.406 -0.315 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)N(CCO)CCN3CCOCC3)ccnc1-2 ZINC000806489690 583650343 /nfs/dbraw/zinc/65/03/43/583650343.db2.gz ALGBGWQTRPFXAR-UHFFFAOYSA-N -1 1 348.407 -0.500 20 0 EBADMM CC(C)(C)OC(=O)CS(=O)(=O)CCS(=O)(=O)c1nc[n-]n1 ZINC000806648124 583651841 /nfs/dbraw/zinc/65/18/41/583651841.db2.gz QKPKCHPXJIKQOU-UHFFFAOYSA-N -1 1 339.395 -0.665 20 0 EBADMM C[C@@H](Cn1cccn1)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806835170 583654714 /nfs/dbraw/zinc/65/47/14/583654714.db2.gz ANICSEJEIDCVJX-JTQLQIEISA-N -1 1 327.348 -0.318 20 0 EBADMM COCCCOCCN(C)C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806962920 583656159 /nfs/dbraw/zinc/65/61/59/583656159.db2.gz ZGMYZFMUIYTPTL-UHFFFAOYSA-N -1 1 349.391 -0.208 20 0 EBADMM CCOC(=O)c1ccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)nc1 ZINC000815520402 583711034 /nfs/dbraw/zinc/71/10/34/583711034.db2.gz YTRIZQWJWDYJTE-LLVKDONJSA-N -1 1 332.320 -0.015 20 0 EBADMM O=C(CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000815519507 583711083 /nfs/dbraw/zinc/71/10/83/583711083.db2.gz ZURMDCVOQPQXQL-AXFHLTTASA-N -1 1 346.347 -0.949 20 0 EBADMM Cc1nc(C(=O)N(C)CC(=O)[O-])nn1-c1nnc(C(F)(F)F)[nH]1 ZINC000818985544 583753934 /nfs/dbraw/zinc/75/39/34/583753934.db2.gz LAUUPYULNSCZTM-UHFFFAOYSA-N -1 1 333.230 -0.131 20 0 EBADMM O=C([O-])[C@@H]1CN(C(=O)Cn2c(=O)c3ccccc3[nH]c2=S)CCO1 ZINC000819307215 583757200 /nfs/dbraw/zinc/75/72/00/583757200.db2.gz NWHJJSIJACWJHM-NSHDSACASA-N -1 1 349.368 -0.003 20 0 EBADMM CC(=O)N1CCC(CNC(=O)Nc2nn(C)cc2-c2nnn[n-]2)CC1 ZINC000819789349 583761145 /nfs/dbraw/zinc/76/11/45/583761145.db2.gz LTXYWYBFNSWRLH-UHFFFAOYSA-N -1 1 347.383 -0.020 20 0 EBADMM CC(=O)N1CCC(CNC(=O)Nc2nn(C)cc2-c2nn[n-]n2)CC1 ZINC000819789349 583761146 /nfs/dbraw/zinc/76/11/46/583761146.db2.gz LTXYWYBFNSWRLH-UHFFFAOYSA-N -1 1 347.383 -0.020 20 0 EBADMM CC(=O)NC[C@@H]1CN(C(=O)c2ccc(-c3nnn[n-]3)s2)CCO1 ZINC000819810286 583761413 /nfs/dbraw/zinc/76/14/13/583761413.db2.gz FMTOSCILRZDRQA-SECBINFHSA-N -1 1 336.377 -0.095 20 0 EBADMM CC(=O)NC[C@@H]1CN(C(=O)c2ccc(-c3nn[n-]n3)s2)CCO1 ZINC000819810286 583761415 /nfs/dbraw/zinc/76/14/15/583761415.db2.gz FMTOSCILRZDRQA-SECBINFHSA-N -1 1 336.377 -0.095 20 0 EBADMM CC(C)(C)[C@@H](Cn1ccnc1)NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000819898934 583762836 /nfs/dbraw/zinc/76/28/36/583762836.db2.gz KZZWCJLCUJJNNY-SNVBAGLBSA-N -1 1 344.383 -0.114 20 0 EBADMM CC(C)N1C(=O)C[C@@H](NC(=O)c2ccc(-c3nnn[n-]3)nc2)C1=O ZINC000820120963 583766796 /nfs/dbraw/zinc/76/67/96/583766796.db2.gz MYWFQLCZHYWAOW-SNVBAGLBSA-N -1 1 329.320 -0.473 20 0 EBADMM CC(C)N1C(=O)C[C@@H](NC(=O)c2ccc(-c3nn[n-]n3)nc2)C1=O ZINC000820120963 583766799 /nfs/dbraw/zinc/76/67/99/583766799.db2.gz MYWFQLCZHYWAOW-SNVBAGLBSA-N -1 1 329.320 -0.473 20 0 EBADMM CC(C)OCCOC[C@H](O)CN(C)c1nccnc1-c1nnn[n-]1 ZINC000820146596 583767156 /nfs/dbraw/zinc/76/71/56/583767156.db2.gz OUEZULWOADFPDI-LLVKDONJSA-N -1 1 337.384 -0.105 20 0 EBADMM CC(C)OCCOC[C@H](O)CN(C)c1nccnc1-c1nn[n-]n1 ZINC000820146596 583767157 /nfs/dbraw/zinc/76/71/57/583767157.db2.gz OUEZULWOADFPDI-LLVKDONJSA-N -1 1 337.384 -0.105 20 0 EBADMM CC(C)NC(=O)CN1CCN(c2nccnc2-c2nnn[n-]2)C[C@H]1C ZINC000820132892 583767187 /nfs/dbraw/zinc/76/71/87/583767187.db2.gz MVAUXIMGJGZINB-LLVKDONJSA-N -1 1 345.411 -0.308 20 0 EBADMM CC(C)NC(=O)CN1CCN(c2nccnc2-c2nn[n-]n2)C[C@H]1C ZINC000820132892 583767188 /nfs/dbraw/zinc/76/71/88/583767188.db2.gz MVAUXIMGJGZINB-LLVKDONJSA-N -1 1 345.411 -0.308 20 0 EBADMM C[C@@H](CC(=O)c1ccco1)NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000820225829 583768690 /nfs/dbraw/zinc/76/86/90/583768690.db2.gz QBZZDPRBWFTTAI-QMMMGPOBSA-N -1 1 330.308 -0.171 20 0 EBADMM C[C@@H](CCNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)[S@@](C)=O ZINC000820242738 583768919 /nfs/dbraw/zinc/76/89/19/583768919.db2.gz QHTUSLNFCCBWSQ-HXNGOWOSSA-N -1 1 344.422 -0.303 20 0 EBADMM C[C@@H](CCNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)[S@@](C)=O ZINC000820242738 583768921 /nfs/dbraw/zinc/76/89/21/583768921.db2.gz QHTUSLNFCCBWSQ-HXNGOWOSSA-N -1 1 344.422 -0.303 20 0 EBADMM C[C@H](CCNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)[S@](C)=O ZINC000820242741 583768987 /nfs/dbraw/zinc/76/89/87/583768987.db2.gz QHTUSLNFCCBWSQ-ZEDNOMKYSA-N -1 1 344.422 -0.303 20 0 EBADMM C[C@H](CCNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)[S@](C)=O ZINC000820242741 583768988 /nfs/dbraw/zinc/76/89/88/583768988.db2.gz QHTUSLNFCCBWSQ-ZEDNOMKYSA-N -1 1 344.422 -0.303 20 0 EBADMM C[C@H](CNC(=O)Cn1cnc(-c2nn[n-]n2)n1)CC1(C)OCCO1 ZINC000820261685 583769687 /nfs/dbraw/zinc/76/96/87/583769687.db2.gz OGAAJZYQLYBGFB-VIFPVBQESA-N -1 1 336.356 -0.636 20 0 EBADMM C[C@@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)C(=O)Nc1ccccc1 ZINC000820333374 583771550 /nfs/dbraw/zinc/77/15/50/583771550.db2.gz AEUVTFDSTPNFAQ-SECBINFHSA-N -1 1 341.335 -0.398 20 0 EBADMM C[C@H](O)c1ccc(CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)cc1 ZINC000820480755 583773854 /nfs/dbraw/zinc/77/38/54/583773854.db2.gz OKWPPGRFWRLNEN-VIFPVBQESA-N -1 1 328.336 -0.172 20 0 EBADMM CC(C)[C@@H](C[N-]S(=O)(=O)N=S(C)(C)=O)N1CCOCC1 ZINC000820783320 583779760 /nfs/dbraw/zinc/77/97/60/583779760.db2.gz UMZJMAOOANDCHR-LLVKDONJSA-N -1 1 327.472 -0.095 20 0 EBADMM CC[C@@H]1CO[C@@H](C)CN1c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000821000778 583783777 /nfs/dbraw/zinc/78/37/77/583783777.db2.gz JGJXXXYKCVMGJP-DTWKUNHWSA-N -1 1 335.368 -0.732 20 0 EBADMM CCOCC(=O)N1CCN(c2nccnc2-c2nnn[n-]2)C[C@H]1C ZINC000821367909 583790015 /nfs/dbraw/zinc/79/00/15/583790015.db2.gz OOGKJLIAIPHIIR-SNVBAGLBSA-N -1 1 332.368 -0.270 20 0 EBADMM CCOCC(=O)N1CCN(c2nccnc2-c2nn[n-]n2)C[C@H]1C ZINC000821367909 583790016 /nfs/dbraw/zinc/79/00/16/583790016.db2.gz OOGKJLIAIPHIIR-SNVBAGLBSA-N -1 1 332.368 -0.270 20 0 EBADMM CN(C)C(=O)CN(C)S(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000821575628 583793687 /nfs/dbraw/zinc/79/36/87/583793687.db2.gz JJWADGWXXQRYHG-UHFFFAOYSA-N -1 1 342.356 -0.286 20 0 EBADMM CN(C)C(=O)N1CCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)CC1 ZINC000821578359 583793726 /nfs/dbraw/zinc/79/37/26/583793726.db2.gz ZWNPKXXSHJHXAG-UHFFFAOYSA-N -1 1 348.371 -0.569 20 0 EBADMM CN(C)C(=O)N1CCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)CC1 ZINC000821578359 583793727 /nfs/dbraw/zinc/79/37/27/583793727.db2.gz ZWNPKXXSHJHXAG-UHFFFAOYSA-N -1 1 348.371 -0.569 20 0 EBADMM CN(CC(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-])S(C)(=O)=O ZINC000821594109 583794583 /nfs/dbraw/zinc/79/45/83/583794583.db2.gz YYGOCUWGTSUMFL-UHFFFAOYSA-N -1 1 326.338 -0.598 20 0 EBADMM CN(CC(N)=O)S(=O)(=O)c1ccc(Cl)cc1-c1nn[n-]n1 ZINC000821595439 583794643 /nfs/dbraw/zinc/79/46/43/583794643.db2.gz XHXNZZQNGFUSBS-UHFFFAOYSA-N -1 1 330.757 -0.374 20 0 EBADMM CN(CCC(=O)OC(C)(C)C)C(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821599467 583794845 /nfs/dbraw/zinc/79/48/45/583794845.db2.gz VZXQPWGIDMJDMC-UHFFFAOYSA-N -1 1 336.356 -0.352 20 0 EBADMM CN(CCNC(=O)Cn1cnc(-c2nn[n-]n2)n1)c1ccccc1 ZINC000821602684 583795366 /nfs/dbraw/zinc/79/53/66/583795366.db2.gz OGCHWBDSULEMJH-UHFFFAOYSA-N -1 1 327.352 -0.289 20 0 EBADMM CN1C(=O)N[C@@]2(CCC[C@@H]2CNc2nccnc2-c2nnn[n-]2)C1=O ZINC000821620551 583795681 /nfs/dbraw/zinc/79/56/81/583795681.db2.gz OOYVVUDTDFVQPU-XLKFXECMSA-N -1 1 343.351 -0.211 20 0 EBADMM CN1C(=O)N[C@@]2(CCC[C@@H]2CNc2nccnc2-c2nn[n-]n2)C1=O ZINC000821620551 583795683 /nfs/dbraw/zinc/79/56/83/583795683.db2.gz OOYVVUDTDFVQPU-XLKFXECMSA-N -1 1 343.351 -0.211 20 0 EBADMM COC(=O)C[C@@H]1CN(C(=O)c2ccc(-c3nnn[n-]3)nc2)CCO1 ZINC000821660471 583799576 /nfs/dbraw/zinc/79/95/76/583799576.db2.gz SMLABYBYOGKKSX-SNVBAGLBSA-N -1 1 332.320 -0.334 20 0 EBADMM COC(=O)C[C@@H]1CN(C(=O)c2ccc(-c3nn[n-]n3)nc2)CCO1 ZINC000821660471 583799579 /nfs/dbraw/zinc/79/95/79/583799579.db2.gz SMLABYBYOGKKSX-SNVBAGLBSA-N -1 1 332.320 -0.334 20 0 EBADMM CO[C@@H](CNc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C)C(C)(C)C ZINC000821676690 583800342 /nfs/dbraw/zinc/80/03/42/583800342.db2.gz FAOGICMDPBPQOK-QMMMGPOBSA-N -1 1 337.384 -0.263 20 0 EBADMM COC[C@H]1CN(S(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)CCO1 ZINC000821685125 583801374 /nfs/dbraw/zinc/80/13/74/583801374.db2.gz UZJBTUKBJGWQCI-SECBINFHSA-N -1 1 340.365 -0.702 20 0 EBADMM COC[C@H]1CN(S(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)CCO1 ZINC000821685125 583801377 /nfs/dbraw/zinc/80/13/77/583801377.db2.gz UZJBTUKBJGWQCI-SECBINFHSA-N -1 1 340.365 -0.702 20 0 EBADMM COc1ccc(OC)c(CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)c1 ZINC000821841076 583804735 /nfs/dbraw/zinc/80/47/35/583804735.db2.gz AZZIONGJFAHXJF-UHFFFAOYSA-N -1 1 344.335 -0.208 20 0 EBADMM CS(=O)(=O)N[C@@H]1CCN(C(=O)c2ccc(-c3nnn[n-]3)s2)C1 ZINC000821932754 583806287 /nfs/dbraw/zinc/80/62/87/583806287.db2.gz QTHCBSXTIVCSRM-SSDOTTSWSA-N -1 1 342.406 -0.308 20 0 EBADMM CS(=O)(=O)N[C@@H]1CCN(C(=O)c2ccc(-c3nn[n-]n3)s2)C1 ZINC000821932754 583806290 /nfs/dbraw/zinc/80/62/90/583806290.db2.gz QTHCBSXTIVCSRM-SSDOTTSWSA-N -1 1 342.406 -0.308 20 0 EBADMM CS(=O)(=O)N1CCC[C@H]1C(=O)Nc1ncc(-c2nnn[n-]2)s1 ZINC000821931641 583806350 /nfs/dbraw/zinc/80/63/50/583806350.db2.gz FEPWXIJYHACFRA-LURJTMIESA-N -1 1 343.394 -0.314 20 0 EBADMM CS(=O)(=O)N1CCC[C@H]1C(=O)Nc1ncc(-c2nn[n-]n2)s1 ZINC000821931641 583806351 /nfs/dbraw/zinc/80/63/51/583806351.db2.gz FEPWXIJYHACFRA-LURJTMIESA-N -1 1 343.394 -0.314 20 0 EBADMM Cc1ccccc1[C@@H](O)CNC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000822350478 583809892 /nfs/dbraw/zinc/80/98/92/583809892.db2.gz DHZIUIVMAIYDAE-NSHDSACASA-N -1 1 328.336 -0.384 20 0 EBADMM CC1N=NC(=S)N1CCNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000822514579 583811637 /nfs/dbraw/zinc/81/16/37/583811637.db2.gz FRUXSGRHVBPMFK-UHFFFAOYSA-N -1 1 331.365 -0.120 20 0 EBADMM CC1N=NC(=S)N1CCNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000822514579 583811639 /nfs/dbraw/zinc/81/16/39/583811639.db2.gz FRUXSGRHVBPMFK-UHFFFAOYSA-N -1 1 331.365 -0.120 20 0 EBADMM Cc1nnc2c(NCCCc3[nH]nc(N)c3-c3nnn[n-]3)nccn12 ZINC000822515928 583811752 /nfs/dbraw/zinc/81/17/52/583811752.db2.gz RJHPPSDVHGWPSF-UHFFFAOYSA-N -1 1 340.355 -0.037 20 0 EBADMM Cc1nnc2c(NCCCc3[nH]nc(N)c3-c3nn[n-]n3)nccn12 ZINC000822515928 583811754 /nfs/dbraw/zinc/81/17/54/583811754.db2.gz RJHPPSDVHGWPSF-UHFFFAOYSA-N -1 1 340.355 -0.037 20 0 EBADMM Cn1c(=O)[nH]cc(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])c1=O ZINC000822589941 583812883 /nfs/dbraw/zinc/81/28/83/583812883.db2.gz FKDXBAAVOQWCBV-UHFFFAOYSA-N -1 1 329.276 -0.376 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N[C@@H]2CCC[C@@H](C(N)=O)C2)n1 ZINC000822613204 583814223 /nfs/dbraw/zinc/81/42/23/583814223.db2.gz CCHAYYRHPDJZLI-HTQZYQBOSA-N -1 1 333.356 -0.234 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N[C@@H]2CCC[C@@H](C(N)=O)C2)n1 ZINC000822613204 583814225 /nfs/dbraw/zinc/81/42/25/583814225.db2.gz CCHAYYRHPDJZLI-HTQZYQBOSA-N -1 1 333.356 -0.234 20 0 EBADMM NC(=O)C1(NS(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)CCCC1 ZINC000822860332 583819411 /nfs/dbraw/zinc/81/94/11/583819411.db2.gz RTVVONRSACWLEZ-UHFFFAOYSA-N -1 1 337.365 -0.662 20 0 EBADMM NC(=O)C1(NS(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)CCCC1 ZINC000822860332 583819414 /nfs/dbraw/zinc/81/94/14/583819414.db2.gz RTVVONRSACWLEZ-UHFFFAOYSA-N -1 1 337.365 -0.662 20 0 EBADMM NC(=O)C[C@@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)C1CCCCC1 ZINC000822864874 583819738 /nfs/dbraw/zinc/81/97/38/583819738.db2.gz NVXAZWWEYMFCNM-SNVBAGLBSA-N -1 1 347.383 -0.601 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NC[C@H]1CSCCS1 ZINC000823125541 583824669 /nfs/dbraw/zinc/82/46/69/583824669.db2.gz AFYUGFRJUPAQPP-ZETCQYMHSA-N -1 1 326.411 -0.577 20 0 EBADMM O=C(CNC(=O)Cn1cnc(-c2nn[n-]n2)n1)NC1CCCCC1 ZINC000823126031 583824676 /nfs/dbraw/zinc/82/46/76/583824676.db2.gz FRPMHEKHGHQKFF-UHFFFAOYSA-N -1 1 333.356 -0.977 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NC[C@H](CO)Cc1ccccc1 ZINC000823127306 583825080 /nfs/dbraw/zinc/82/50/80/583825080.db2.gz ZKPQUAJQPDMEPZ-GFCCVEGCSA-N -1 1 342.363 -0.574 20 0 EBADMM O=C(NCc1nn[n-]n1)[C@@H]1COCCN1C(=O)OCc1ccccc1 ZINC000823256571 583827355 /nfs/dbraw/zinc/82/73/55/583827355.db2.gz HJMVIWDPIUUCCH-LBPRGKRZSA-N -1 1 346.347 -0.147 20 0 EBADMM O=S(=O)(c1ccc(-c2nnn[n-]2)nc1)N1CC(OCC(F)F)C1 ZINC000823601045 583834693 /nfs/dbraw/zinc/83/46/93/583834693.db2.gz OSCQMBBLZWRFRC-UHFFFAOYSA-N -1 1 346.319 -0.084 20 0 EBADMM O=S(=O)(c1ccc(-c2nn[n-]n2)nc1)N1CC(OCC(F)F)C1 ZINC000823601045 583834694 /nfs/dbraw/zinc/83/46/94/583834694.db2.gz OSCQMBBLZWRFRC-UHFFFAOYSA-N -1 1 346.319 -0.084 20 0 EBADMM C[C@H](C(=O)N1CCC[C@@H](C(N)=O)C1)N1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000823661721 583835255 /nfs/dbraw/zinc/83/52/55/583835255.db2.gz JVBFTSDWSIMZLF-IJLUTSLNSA-N -1 1 326.397 -0.217 20 0 EBADMM CC(C)(C)n1cc(-c2nnn[n-]2)c(NC(=O)C(=O)N[C@@H]2CCOC2)n1 ZINC000824147151 583841723 /nfs/dbraw/zinc/84/17/23/583841723.db2.gz AIDRCDAQSUZZRO-MRVPVSSYSA-N -1 1 348.367 -0.338 20 0 EBADMM CC(C)(C)n1cc(-c2nn[n-]n2)c(NC(=O)C(=O)N[C@@H]2CCOC2)n1 ZINC000824147151 583841727 /nfs/dbraw/zinc/84/17/27/583841727.db2.gz AIDRCDAQSUZZRO-MRVPVSSYSA-N -1 1 348.367 -0.338 20 0 EBADMM C[C@@H](C[C@H](O)c1ccco1)NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000824390582 583844718 /nfs/dbraw/zinc/84/47/18/583844718.db2.gz BOVQWOJSLHUUER-IUCAKERBSA-N -1 1 332.324 -0.320 20 0 EBADMM C[C@H](NS(=O)(=O)c1cccc(Cl)c1-c1nnn[n-]1)C(N)=O ZINC000824641157 583848063 /nfs/dbraw/zinc/84/80/63/583848063.db2.gz SGKMACRQYVWBQZ-YFKPBYRVSA-N -1 1 330.757 -0.328 20 0 EBADMM C[C@H](NS(=O)(=O)c1cccc(Cl)c1-c1nn[n-]n1)C(N)=O ZINC000824641157 583848064 /nfs/dbraw/zinc/84/80/64/583848064.db2.gz SGKMACRQYVWBQZ-YFKPBYRVSA-N -1 1 330.757 -0.328 20 0 EBADMM C[C@@H](NS(C)(=O)=O)C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000824648540 583848242 /nfs/dbraw/zinc/84/82/42/583848242.db2.gz GVMSKZGYJXCIOG-SSDOTTSWSA-N -1 1 326.338 -0.757 20 0 EBADMM C[C@@H](NS(C)(=O)=O)C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000824648540 583848243 /nfs/dbraw/zinc/84/82/43/583848243.db2.gz GVMSKZGYJXCIOG-SSDOTTSWSA-N -1 1 326.338 -0.757 20 0 EBADMM CC1(C)NC(=O)N(CC(=O)OCc2ccnc(-c3nnn[n-]3)c2)C1=O ZINC000824813245 583850411 /nfs/dbraw/zinc/85/04/11/583850411.db2.gz WRXCAOCSSSYPRA-UHFFFAOYSA-N -1 1 345.319 -0.365 20 0 EBADMM CC1(C)NC(=O)N(CC(=O)OCc2ccnc(-c3nn[n-]n3)c2)C1=O ZINC000824813245 583850413 /nfs/dbraw/zinc/85/04/13/583850413.db2.gz WRXCAOCSSSYPRA-UHFFFAOYSA-N -1 1 345.319 -0.365 20 0 EBADMM C[C@H](CN(C)C(=O)[O-])C(=O)N1CCO[C@H](CN2CCN(C)CC2)C1 ZINC000824840056 583850938 /nfs/dbraw/zinc/85/09/38/583850938.db2.gz IFYCCUBZLBDVRI-ZIAGYGMSSA-N -1 1 342.440 -0.293 20 0 EBADMM C[C@H](CN(C)C(=O)[O-])C(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC000824849497 583851086 /nfs/dbraw/zinc/85/10/86/583851086.db2.gz SSYXPLLMEPPGPD-ZIAGYGMSSA-N -1 1 342.440 -0.245 20 0 EBADMM C[C@H](CN(C)C(=O)[O-])C(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC000824849493 583851134 /nfs/dbraw/zinc/85/11/34/583851134.db2.gz SSYXPLLMEPPGPD-KGLIPLIRSA-N -1 1 342.440 -0.245 20 0 EBADMM C[C@@H]1CC(Nc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)C[C@@H](C)O1 ZINC000824891899 583851805 /nfs/dbraw/zinc/85/18/05/583851805.db2.gz CBVQDPMWCPHIGT-HTQZYQBOSA-N -1 1 335.368 -0.368 20 0 EBADMM C[C@@H]1OCC[C@@H]1C(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000825012972 583854300 /nfs/dbraw/zinc/85/43/00/583854300.db2.gz MTTIDOUDFKBDSP-IUCAKERBSA-N -1 1 334.384 -0.012 20 0 EBADMM C[C@@H]1OCC[C@@H]1C(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000825012972 583854303 /nfs/dbraw/zinc/85/43/03/583854303.db2.gz MTTIDOUDFKBDSP-IUCAKERBSA-N -1 1 334.384 -0.012 20 0 EBADMM CC[C@@H](C)[C@H](O)CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000825059652 583854513 /nfs/dbraw/zinc/85/45/13/583854513.db2.gz IGJSXLCFSYMZQJ-LDYMZIIASA-N -1 1 326.382 -0.053 20 0 EBADMM CC[C@@H](C)[C@H](O)CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000825059652 583854516 /nfs/dbraw/zinc/85/45/16/583854516.db2.gz IGJSXLCFSYMZQJ-LDYMZIIASA-N -1 1 326.382 -0.053 20 0 EBADMM CC[C@@H](C)[C@H](O)C(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000825059514 583854605 /nfs/dbraw/zinc/85/46/05/583854605.db2.gz GERGNURHLHDLKG-KCJUWKMLSA-N -1 1 336.400 -0.030 20 0 EBADMM CC[C@@H](C)[C@H](O)C(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000825059514 583854607 /nfs/dbraw/zinc/85/46/07/583854607.db2.gz GERGNURHLHDLKG-KCJUWKMLSA-N -1 1 336.400 -0.030 20 0 EBADMM CCN(CCCNc1ccc(-c2nnn[n-]2)nn1)S(C)(=O)=O ZINC000825419692 583860378 /nfs/dbraw/zinc/86/03/78/583860378.db2.gz SKKQZZABIZJBPR-UHFFFAOYSA-N -1 1 326.386 -0.260 20 0 EBADMM CCN(CCCNc1ccc(-c2nn[n-]n2)nn1)S(C)(=O)=O ZINC000825419692 583860379 /nfs/dbraw/zinc/86/03/79/583860379.db2.gz SKKQZZABIZJBPR-UHFFFAOYSA-N -1 1 326.386 -0.260 20 0 EBADMM CCOC(=O)CNS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000825517646 583862610 /nfs/dbraw/zinc/86/26/10/583862610.db2.gz IYXCADPHLVMFOH-UHFFFAOYSA-N -1 1 329.313 -0.153 20 0 EBADMM CCOC(=O)CNS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000825517646 583862611 /nfs/dbraw/zinc/86/26/11/583862611.db2.gz IYXCADPHLVMFOH-UHFFFAOYSA-N -1 1 329.313 -0.153 20 0 EBADMM CCOC(=O)c1cnn(CCCS(C)(=O)=O)c1-c1nn[n-]n1 ZINC000825570978 583863296 /nfs/dbraw/zinc/86/32/96/583863296.db2.gz QDPQDEHDJGCLJC-UHFFFAOYSA-N -1 1 328.354 -0.325 20 0 EBADMM CCc1c(C(=O)n2ncc(-c3nn[n-]n3)c2N)[nH]c(C)c1C(N)=O ZINC000825688364 583865487 /nfs/dbraw/zinc/86/54/87/583865487.db2.gz WJZHYUHEZMDNNF-UHFFFAOYSA-N -1 1 329.324 -0.368 20 0 EBADMM CC(=O)N1CCN(C(=O)[C@@H](C)N2CCSC[C@@H]2CC(=O)[O-])CC1 ZINC000825839743 583867930 /nfs/dbraw/zinc/86/79/30/583867930.db2.gz GNGPRNGKRVJXIG-YPMHNXCESA-N -1 1 343.449 -0.042 20 0 EBADMM CN(C)c1nccc(CNC(=O)Nc2nn(C)cc2-c2nnn[n-]2)n1 ZINC000825888027 583869801 /nfs/dbraw/zinc/86/98/01/583869801.db2.gz MXPBSSLJXRWALQ-UHFFFAOYSA-N -1 1 343.355 -0.222 20 0 EBADMM CN(C)c1nccc(CNC(=O)Nc2nn(C)cc2-c2nn[n-]n2)n1 ZINC000825888027 583869803 /nfs/dbraw/zinc/86/98/03/583869803.db2.gz MXPBSSLJXRWALQ-UHFFFAOYSA-N -1 1 343.355 -0.222 20 0 EBADMM CN(C[C@@H]1CCCC[C@H]1O)c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000825908369 583870693 /nfs/dbraw/zinc/87/06/93/583870693.db2.gz HBZTUOIBAHNMSI-VHSXEESVSA-N -1 1 349.395 -0.749 20 0 EBADMM C[C@H](C(=O)N1CCC(C(N)=O)CC1)N1CCSC[C@H]1CC(=O)[O-] ZINC000825982358 583872656 /nfs/dbraw/zinc/87/26/56/583872656.db2.gz BYCIDJKGOMXVJB-ZYHUDNBSSA-N -1 1 343.449 -0.009 20 0 EBADMM CNC(=O)[C@@H](NC(=O)Cn1ccnc1-c1nnn[n-]1)c1ccccc1 ZINC000826050812 583875598 /nfs/dbraw/zinc/87/55/98/583875598.db2.gz DYDGDIYAHSVWJV-LBPRGKRZSA-N -1 1 340.347 -0.333 20 0 EBADMM CNC(=O)[C@@H](NC(=O)Cn1ccnc1-c1nn[n-]n1)c1ccccc1 ZINC000826050812 583875599 /nfs/dbraw/zinc/87/55/99/583875599.db2.gz DYDGDIYAHSVWJV-LBPRGKRZSA-N -1 1 340.347 -0.333 20 0 EBADMM COC(=O)C1=NO[C@H](Cn2nc(C)c(C)c(-c3nn[n-]n3)c2=O)C1 ZINC000826129012 583881335 /nfs/dbraw/zinc/88/13/35/583881335.db2.gz JKHAYVGQNVGHDR-QMMMGPOBSA-N -1 1 333.308 -0.642 20 0 EBADMM COCC[C@](C)(O)CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000826154255 583885608 /nfs/dbraw/zinc/88/56/08/583885608.db2.gz LIGYWUWTJLMBSP-LBPRGKRZSA-N -1 1 342.381 -0.673 20 0 EBADMM COCC[C@](C)(O)CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000826154255 583885609 /nfs/dbraw/zinc/88/56/09/583885609.db2.gz LIGYWUWTJLMBSP-LBPRGKRZSA-N -1 1 342.381 -0.673 20 0 EBADMM COCCN1CCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)CC1=O ZINC000826159658 583885923 /nfs/dbraw/zinc/88/59/23/583885923.db2.gz NANQJTFKFVTQTF-UHFFFAOYSA-N -1 1 331.336 -0.808 20 0 EBADMM COCCN1CCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)CC1=O ZINC000826159658 583885924 /nfs/dbraw/zinc/88/59/24/583885924.db2.gz NANQJTFKFVTQTF-UHFFFAOYSA-N -1 1 331.336 -0.808 20 0 EBADMM COCc1cccc(Cn2c(=O)c(-c3nn[n-]n3)cn(C)c2=O)c1 ZINC000826164934 583886211 /nfs/dbraw/zinc/88/62/11/583886211.db2.gz XTTYMCPDXRXSPX-UHFFFAOYSA-N -1 1 328.332 -0.078 20 0 EBADMM CSC[C@@](C)(O)CNC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000826227101 583888924 /nfs/dbraw/zinc/88/89/24/583888924.db2.gz LQFORHOBYRKIKA-LBPRGKRZSA-N -1 1 326.382 -0.064 20 0 EBADMM CSC[C@@](C)(O)CNC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000826227101 583888927 /nfs/dbraw/zinc/88/89/27/583888927.db2.gz LQFORHOBYRKIKA-LBPRGKRZSA-N -1 1 326.382 -0.064 20 0 EBADMM CSC[C@@H](CCO)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000826228679 583889112 /nfs/dbraw/zinc/88/91/12/583889112.db2.gz XDMFCDYMHSRQAD-MRVPVSSYSA-N -1 1 344.422 -0.346 20 0 EBADMM CSC[C@@H](CCO)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000826228679 583889114 /nfs/dbraw/zinc/88/91/14/583889114.db2.gz XDMFCDYMHSRQAD-MRVPVSSYSA-N -1 1 344.422 -0.346 20 0 EBADMM Cc1cc(CNS(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)ncn1 ZINC000826267052 583889905 /nfs/dbraw/zinc/88/99/05/583889905.db2.gz NDVWAEVEHYQJFP-UHFFFAOYSA-N -1 1 332.349 -0.161 20 0 EBADMM Cc1cc(CNS(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)ncn1 ZINC000826267052 583889906 /nfs/dbraw/zinc/88/99/06/583889906.db2.gz NDVWAEVEHYQJFP-UHFFFAOYSA-N -1 1 332.349 -0.161 20 0 EBADMM Cc1cc(N2CC[C@@H](Nc3ccc(-c4nnn[n-]4)nn3)C2=O)n(C)n1 ZINC000826269783 583890028 /nfs/dbraw/zinc/89/00/28/583890028.db2.gz XFEYLAFJEQTTLO-SNVBAGLBSA-N -1 1 340.351 -0.084 20 0 EBADMM Cc1cc(N2CC[C@@H](Nc3ccc(-c4nn[n-]n4)nn3)C2=O)n(C)n1 ZINC000826269783 583890030 /nfs/dbraw/zinc/89/00/30/583890030.db2.gz XFEYLAFJEQTTLO-SNVBAGLBSA-N -1 1 340.351 -0.084 20 0 EBADMM Cc1cc(NC(=O)NCCCc2[nH]nc(N)c2-c2nnn[n-]2)nn1C ZINC000826270132 583890479 /nfs/dbraw/zinc/89/04/79/583890479.db2.gz MLNWXOBHVCXNDR-UHFFFAOYSA-N -1 1 345.371 -0.032 20 0 EBADMM Cc1cc(NC(=O)NCCCc2[nH]nc(N)c2-c2nn[n-]n2)nn1C ZINC000826270132 583890480 /nfs/dbraw/zinc/89/04/80/583890480.db2.gz MLNWXOBHVCXNDR-UHFFFAOYSA-N -1 1 345.371 -0.032 20 0 EBADMM Cc1onc(CC(=O)N(C)CCN2CCCC2=O)c1-c1nnn[n-]1 ZINC000826343053 583892050 /nfs/dbraw/zinc/89/20/50/583892050.db2.gz KMBWDUQGFQWOHO-UHFFFAOYSA-N -1 1 333.352 -0.214 20 0 EBADMM Cc1onc(CC(=O)N(C)CCN2CCCC2=O)c1-c1nn[n-]n1 ZINC000826343053 583892051 /nfs/dbraw/zinc/89/20/51/583892051.db2.gz KMBWDUQGFQWOHO-UHFFFAOYSA-N -1 1 333.352 -0.214 20 0 EBADMM Cc1onc(CC(=O)N(C2CC2)[C@@H]2CC(=O)NC2=O)c1-c1nnn[n-]1 ZINC000826343128 583892063 /nfs/dbraw/zinc/89/20/63/583892063.db2.gz NFQWHPRKYFKTKH-SECBINFHSA-N -1 1 345.319 -0.888 20 0 EBADMM Cc1onc(CC(=O)N(C2CC2)[C@@H]2CC(=O)NC2=O)c1-c1nn[n-]n1 ZINC000826343128 583892064 /nfs/dbraw/zinc/89/20/64/583892064.db2.gz NFQWHPRKYFKTKH-SECBINFHSA-N -1 1 345.319 -0.888 20 0 EBADMM Cc1onc(CC(=O)N2CC(=O)N[C@@H]3CCCC[C@@H]32)c1-c1nnn[n-]1 ZINC000826343670 583892191 /nfs/dbraw/zinc/89/21/91/583892191.db2.gz JQGPRFLPYNSHFI-KOLCDFICSA-N -1 1 345.363 -0.025 20 0 EBADMM Cc1onc(CC(=O)N2CC(=O)N[C@@H]3CCCC[C@@H]32)c1-c1nn[n-]n1 ZINC000826343670 583892193 /nfs/dbraw/zinc/89/21/93/583892193.db2.gz JQGPRFLPYNSHFI-KOLCDFICSA-N -1 1 345.363 -0.025 20 0 EBADMM Cc1onc(CC(=O)N2CCN3C(=O)CC[C@H]3C2)c1-c1nnn[n-]1 ZINC000826344502 583892483 /nfs/dbraw/zinc/89/24/83/583892483.db2.gz JXTCPXPYIRKQEG-VIFPVBQESA-N -1 1 331.336 -0.461 20 0 EBADMM Cc1onc(CC(=O)N2CCN3C(=O)CC[C@H]3C2)c1-c1nn[n-]n1 ZINC000826344502 583892486 /nfs/dbraw/zinc/89/24/86/583892486.db2.gz JXTCPXPYIRKQEG-VIFPVBQESA-N -1 1 331.336 -0.461 20 0 EBADMM Cc1onc(CC(=O)NCCCN2CCOC2=O)c1-c1nnn[n-]1 ZINC000826347002 583892831 /nfs/dbraw/zinc/89/28/31/583892831.db2.gz ASSYPKWQLLCIJQ-UHFFFAOYSA-N -1 1 335.324 -0.336 20 0 EBADMM Cc1onc(CC(=O)NCCCN2CCOC2=O)c1-c1nn[n-]n1 ZINC000826347002 583892832 /nfs/dbraw/zinc/89/28/32/583892832.db2.gz ASSYPKWQLLCIJQ-UHFFFAOYSA-N -1 1 335.324 -0.336 20 0 EBADMM Cc1onc(CC(=O)N[C@@H]2CC(=O)N(C(C)C)C2=O)c1-c1nnn[n-]1 ZINC000826346422 583892987 /nfs/dbraw/zinc/89/29/87/583892987.db2.gz LVLGTIKXIBVFSW-SECBINFHSA-N -1 1 347.335 -0.642 20 0 EBADMM Cc1onc(CC(=O)N[C@@H]2CC(=O)N(C(C)C)C2=O)c1-c1nn[n-]n1 ZINC000826346422 583892989 /nfs/dbraw/zinc/89/29/89/583892989.db2.gz LVLGTIKXIBVFSW-SECBINFHSA-N -1 1 347.335 -0.642 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(=O)n(Cc2ccc3c(c2)COC3)c1=O ZINC000826356990 583893759 /nfs/dbraw/zinc/89/37/59/583893759.db2.gz NAGHLMCOYVVBGS-UHFFFAOYSA-N -1 1 326.316 -0.194 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(=O)n(CCOc2cccc(F)c2)c1=O ZINC000826356946 583893920 /nfs/dbraw/zinc/89/39/20/583893920.db2.gz LSCRFWZTHGTQLA-UHFFFAOYSA-N -1 1 332.295 -0.055 20 0 EBADMM NS(=O)(=O)c1ccc(CCNc2ccc(-c3nnn[n-]3)nn2)cc1 ZINC000826394503 583898350 /nfs/dbraw/zinc/89/83/50/583898350.db2.gz MJQCOWMEJNKGBX-UHFFFAOYSA-N -1 1 346.376 -0.041 20 0 EBADMM NS(=O)(=O)c1ccc(CCNc2ccc(-c3nn[n-]n3)nn2)cc1 ZINC000826394503 583898352 /nfs/dbraw/zinc/89/83/52/583898352.db2.gz MJQCOWMEJNKGBX-UHFFFAOYSA-N -1 1 346.376 -0.041 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCCN(CC(F)F)CC1 ZINC000826424732 583900779 /nfs/dbraw/zinc/90/07/79/583900779.db2.gz AKBRMHKWLVKSIG-UHFFFAOYSA-N -1 1 341.326 -0.742 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCC[C@H](OC(F)F)C1 ZINC000826424777 583900818 /nfs/dbraw/zinc/90/08/18/583900818.db2.gz CPSQYVIORKTIKK-ZETCQYMHSA-N -1 1 328.283 -0.312 20 0 EBADMM O=C(Cn1ccnc1-c1nnn[n-]1)NC1CCC2(CC1)OCCO2 ZINC000826425039 583900845 /nfs/dbraw/zinc/90/08/45/583900845.db2.gz NUENAOOTSFEGGF-UHFFFAOYSA-N -1 1 333.352 -0.135 20 0 EBADMM O=C(Cn1ccnc1-c1nn[n-]n1)NC1CCC2(CC1)OCCO2 ZINC000826425039 583900846 /nfs/dbraw/zinc/90/08/46/583900846.db2.gz NUENAOOTSFEGGF-UHFFFAOYSA-N -1 1 333.352 -0.135 20 0 EBADMM O=C1NC[C@@H]2CN(Cc3ccc(-c4nn[n-]n4)s3)CCN2C1=O ZINC000826482681 583904691 /nfs/dbraw/zinc/90/46/91/583904691.db2.gz NLGXJEANGQOONP-MRVPVSSYSA-N -1 1 333.377 -0.929 20 0 EBADMM O=S(=O)(NC[C@@]1(O)CCOC1)c1cccc(F)c1-c1nnn[n-]1 ZINC000826489841 583905234 /nfs/dbraw/zinc/90/52/34/583905234.db2.gz BHEVTOYLEJSNNW-LBPRGKRZSA-N -1 1 343.340 -0.565 20 0 EBADMM O=S(=O)(NC[C@@]1(O)CCOC1)c1cccc(F)c1-c1nn[n-]n1 ZINC000826489841 583905236 /nfs/dbraw/zinc/90/52/36/583905236.db2.gz BHEVTOYLEJSNNW-LBPRGKRZSA-N -1 1 343.340 -0.565 20 0 EBADMM O=S(=O)(NC[C@H](O)c1ccco1)c1ccc(-c2nnn[n-]2)nc1 ZINC000826489110 583905265 /nfs/dbraw/zinc/90/52/65/583905265.db2.gz IATYGHAZWRHRKP-JTQLQIEISA-N -1 1 336.333 -0.133 20 0 EBADMM O=S(=O)(NC[C@H](O)c1ccco1)c1ccc(-c2nn[n-]n2)nc1 ZINC000826489110 583905268 /nfs/dbraw/zinc/90/52/68/583905268.db2.gz IATYGHAZWRHRKP-JTQLQIEISA-N -1 1 336.333 -0.133 20 0 EBADMM CC[C@@H]1CN(C(=O)CN(CCOC)C(=O)[O-])CCN1C[C@@H](C)O ZINC000826682941 583909661 /nfs/dbraw/zinc/90/96/61/583909661.db2.gz QMHWNCGGHYMNLA-CHWSQXEVSA-N -1 1 331.413 -0.084 20 0 EBADMM CCOC(=O)[C@H](CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1)C(C)=O ZINC000826834609 583911081 /nfs/dbraw/zinc/91/10/81/583911081.db2.gz UWQQJYWGTVQELD-NXEZZACHSA-N -1 1 325.325 -0.742 20 0 EBADMM O=C([C@H]1CC(=O)N[C@H]2CCCC[C@@H]21)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000826834765 583911420 /nfs/dbraw/zinc/91/14/20/583911420.db2.gz WLQBSCMHYBWLGR-RHYQMDGZSA-N -1 1 334.380 -0.206 20 0 EBADMM C[C@H](C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1)N1C(=O)CCC1=O ZINC000827356348 583919614 /nfs/dbraw/zinc/91/96/14/583919614.db2.gz QOKJOQPDARQKAD-WDEREUQCSA-N -1 1 325.365 -0.469 20 0 EBADMM C[C@H](CC(=O)[O-])[NH+]1CCN(C(=O)Cn2[n-]cc3c(=O)ncnc2-3)CC1 ZINC000827368511 583920154 /nfs/dbraw/zinc/92/01/54/583920154.db2.gz DRPSDIKERGEVCP-SNVBAGLBSA-N -1 1 348.363 -0.921 20 0 EBADMM CN(C)CC(=O)N1CCN(C(=O)C[C@@H]2CCCN2C(=O)[O-])CC1 ZINC000828010375 583931515 /nfs/dbraw/zinc/93/15/15/583931515.db2.gz RSTJRJHNQBCGRJ-LBPRGKRZSA-N -1 1 326.397 -0.249 20 0 EBADMM CN(CC(=O)N(C)[C@H]1CCN(C(=O)[O-])C1)c1ncnc2[nH]cnc21 ZINC000828085347 583933122 /nfs/dbraw/zinc/93/31/22/583933122.db2.gz BDEWFZPKDQMQAJ-VIFPVBQESA-N -1 1 333.352 0.000 20 0 EBADMM CN(CC(=O)N(C)[C@@H]1CCN(C(=O)[O-])C1)c1ncnc2[nH]cnc21 ZINC000828085346 583933208 /nfs/dbraw/zinc/93/32/08/583933208.db2.gz BDEWFZPKDQMQAJ-SECBINFHSA-N -1 1 333.352 0.000 20 0 EBADMM COC(=O)[C@H]1CN(CN2CC3(CN(C(=O)[O-])C3)CC2=O)C[C@@H]1C ZINC000828638360 583943945 /nfs/dbraw/zinc/94/39/45/583943945.db2.gz ACRHQFWTDWKMCG-QWRGUYRKSA-N -1 1 325.365 -0.103 20 0 EBADMM CC[C@H](C)[C@H](NC(=O)CN1CCN(C(C)(C)CO)CC1)C(=O)[O-] ZINC000828716061 583946312 /nfs/dbraw/zinc/94/63/12/583946312.db2.gz FHLSTFONAPOZLQ-JSGCOSHPSA-N -1 1 329.441 -0.010 20 0 EBADMM CC[C@H](CO)N1CCN(CC(=O)N2CCC(C(=O)[O-])CC2)CC1 ZINC000828890401 583948195 /nfs/dbraw/zinc/94/81/95/583948195.db2.gz DYASRPXHYMABLY-CQSZACIVSA-N -1 1 327.425 -0.302 20 0 EBADMM COCCN(CC(=O)N1CCO[C@H](c2nnc(C)[nH]2)C1)C(=O)[O-] ZINC000828971482 583949357 /nfs/dbraw/zinc/94/93/57/583949357.db2.gz XPSGPADXGCMCQV-JTQLQIEISA-N -1 1 327.341 -0.361 20 0 EBADMM COCCN1CC[C@H](NC(=O)CO[C@H]2CCCN(C(=O)[O-])C2)C1 ZINC000828984561 583949537 /nfs/dbraw/zinc/94/95/37/583949537.db2.gz FZGDAGMKENDBPV-STQMWFEESA-N -1 1 329.397 -0.018 20 0 EBADMM CC[C@H](O)CN1CCN(CC(=O)N[C@H](CC(C)C)C(=O)[O-])CC1 ZINC000829004591 583949872 /nfs/dbraw/zinc/94/98/72/583949872.db2.gz MOTUCWPHQYMYKU-UONOGXRCSA-N -1 1 329.441 -0.010 20 0 EBADMM CN1CC[C@@H](C(=O)OCCC[N-]C(=O)C(F)(F)F)S1(=O)=O ZINC000830158961 583964726 /nfs/dbraw/zinc/96/47/26/583964726.db2.gz UYWXPRSWUIRIDO-ZETCQYMHSA-N -1 1 332.300 -0.368 20 0 EBADMM CN(C)[C@]1(CNS(=O)(=O)N(C)CCC(=O)[O-])CCSC1 ZINC000830591499 583968371 /nfs/dbraw/zinc/96/83/71/583968371.db2.gz JTXZCKDCJYHLPB-NSHDSACASA-N -1 1 325.456 -0.335 20 0 EBADMM Cc1nnc(SCC(=O)N2CCN(C)C[C@H]2CNC(=O)[O-])[nH]1 ZINC000830676797 583969457 /nfs/dbraw/zinc/96/94/57/583969457.db2.gz ADYZABCSVJRJBZ-SECBINFHSA-N -1 1 328.398 -0.385 20 0 EBADMM CS(=O)(=O)N1CCC[C@@H]1C[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000830935498 583972447 /nfs/dbraw/zinc/97/24/47/583972447.db2.gz FZMSNZZHJLVAFW-SSDOTTSWSA-N -1 1 342.830 -0.235 20 0 EBADMM CN1CCN(C)[C@@H](CNC(=O)C(=O)Nc2cc(C(=O)[O-])cs2)C1 ZINC000830950737 583972854 /nfs/dbraw/zinc/97/28/54/583972854.db2.gz NBKCBCBVJMLJKZ-JTQLQIEISA-N -1 1 340.405 -0.253 20 0 EBADMM O=C(CC1CN(C(=O)[O-])C1)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC000831354838 583981855 /nfs/dbraw/zinc/98/18/55/583981855.db2.gz CTPHRDVVZBIUAO-CQSZACIVSA-N -1 1 340.424 -0.491 20 0 EBADMM O=C([O-])N1CCO[C@H](CC(=O)N2CCN([C@@H]3CCC[C@@H]3O)CC2)C1 ZINC000832060284 583995473 /nfs/dbraw/zinc/99/54/73/583995473.db2.gz ISDGCGBSXUNEHM-MCIONIFRSA-N -1 1 341.408 -0.187 20 0 EBADMM COC(=O)c1c(F)ccc(S(=O)(=O)[N-][C@@H]2COC[C@H]2O)c1F ZINC000832462235 583998485 /nfs/dbraw/zinc/99/84/85/583998485.db2.gz BOAMHDNLKLLNHH-HTQZYQBOSA-N -1 1 337.300 -0.211 20 0 EBADMM O=C([O-])NCc1nnnn1CC1=Nc2ccccc2S(=O)(=O)N1 ZINC000832739719 584001772 /nfs/dbraw/zinc/00/17/72/584001772.db2.gz ZFTWXHDCZGQFKI-UHFFFAOYSA-N -1 1 337.321 -0.537 20 0 EBADMM Cc1nc([C@H]2CN(C(=O)Nc3ccn(CC(=O)[O-])n3)CCO2)n[nH]1 ZINC000832991049 584002803 /nfs/dbraw/zinc/00/28/03/584002803.db2.gz JKLFJKIIMGBNSX-SECBINFHSA-N -1 1 335.324 0.000 20 0 EBADMM Cc1nnc(SCCC(=O)N2CCNC(=O)[C@H]2CC(=O)[O-])[nH]1 ZINC000833021162 584003048 /nfs/dbraw/zinc/00/30/48/584003048.db2.gz JDXRKDRDRICXNU-MRVPVSSYSA-N -1 1 327.366 -0.603 20 0 EBADMM CC(=O)N1CSC[C@H]1C(=O)N1CCN(CCC(=O)[O-])C[C@H]1C ZINC000833391507 584009643 /nfs/dbraw/zinc/00/96/43/584009643.db2.gz LHESJTJWPRHPCX-PWSUYJOCSA-N -1 1 329.422 -0.085 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NC[C@@H]1CC[C@@H](C(N)=O)O1 ZINC000833621999 584012516 /nfs/dbraw/zinc/01/25/16/584012516.db2.gz DCUSHCXACCBFOW-WOPDTQHZSA-N -1 1 342.396 -0.790 20 0 EBADMM CNC(=O)[C@H](NC(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C)C(C)C ZINC000833698928 584014144 /nfs/dbraw/zinc/01/41/44/584014144.db2.gz APYNKVMDRPLCLD-WCQYABFASA-N -1 1 328.413 -0.053 20 0 EBADMM COCCN1CC[C@H](NC(=O)N2CCC([C@H](O)C(=O)[O-])CC2)C1 ZINC000833724387 584015564 /nfs/dbraw/zinc/01/55/64/584015564.db2.gz LBYROCNIVVPCQC-STQMWFEESA-N -1 1 329.397 -0.426 20 0 EBADMM CCN(CC(=O)N(C)CC(=O)N1CCN(C(=O)[O-])CC1)C1CC1 ZINC000833857147 584017348 /nfs/dbraw/zinc/01/73/48/584017348.db2.gz ZVNPYKXLEOSPGW-UHFFFAOYSA-N -1 1 326.397 -0.249 20 0 EBADMM Cn1c(=O)cc(C[N@H+]2C[C@@H]3CCC[C@@H](NC(=O)[O-])[C@H]3C2)n(C)c1=O ZINC000833941456 584020082 /nfs/dbraw/zinc/02/00/82/584020082.db2.gz MFWWOFILGZHIPF-WCFLWFBJSA-N -1 1 336.392 -0.048 20 0 EBADMM NC(=O)C[C@H](NC(=O)[O-])C(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000833983656 584022426 /nfs/dbraw/zinc/02/24/26/584022426.db2.gz VSLLJHXLAJRCRM-VIFPVBQESA-N -1 1 332.320 -0.401 20 0 EBADMM NC(=O)C[C@H](NC(=O)[O-])C(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000833983656 584022428 /nfs/dbraw/zinc/02/24/28/584022428.db2.gz VSLLJHXLAJRCRM-VIFPVBQESA-N -1 1 332.320 -0.401 20 0 EBADMM O=C([O-])N1CC(CNC(=O)N2CCN([C@H]3CCC[C@H]3O)CC2)C1 ZINC000834065863 584025630 /nfs/dbraw/zinc/02/56/30/584025630.db2.gz CGXXUKJLAIXAAQ-QWHCGFSZSA-N -1 1 326.397 -0.163 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCCO[C@@H]1CCCCO1 ZINC000834830919 584039392 /nfs/dbraw/zinc/03/93/92/584039392.db2.gz HMFOAPKMWSXALW-CYBMUJFWSA-N -1 1 325.365 -0.024 20 0 EBADMM CN(CCNC(=O)OC(C)(C)C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000837272571 584047711 /nfs/dbraw/zinc/04/77/11/584047711.db2.gz PSZOFMFAIGQLIX-UHFFFAOYSA-N -1 1 340.380 -0.090 20 0 EBADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-]C(C)(C)c1cn(C)nn1 ZINC000842824554 584067650 /nfs/dbraw/zinc/06/76/50/584067650.db2.gz AXUBKNMQPLUCBV-UHFFFAOYSA-N -1 1 342.381 -0.153 20 0 EBADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@H]1CCCN(CCCO)C1 ZINC000867327125 584078340 /nfs/dbraw/zinc/07/83/40/584078340.db2.gz LQBNAENIAAQORY-WLRWDXFRSA-N -1 1 327.472 -0.215 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)N[C@H]3CCN(S(C)(=O)=O)C3)ccnc1-2 ZINC000844651062 584095354 /nfs/dbraw/zinc/09/53/54/584095354.db2.gz SDDNMIMTODVNBA-VIFPVBQESA-N -1 1 338.393 -0.503 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC(=O)c2cn(C)nn2)co1 ZINC000845140808 584100919 /nfs/dbraw/zinc/10/09/19/584100919.db2.gz AOQBMVCRDRDCKD-UHFFFAOYSA-N -1 1 328.306 -0.644 20 0 EBADMM CC(C)(C)OC(=O)N1C[C@@H]2COC[C@]2(C(=O)NCc2nn[n-]n2)C1 ZINC000845487469 584108780 /nfs/dbraw/zinc/10/87/80/584108780.db2.gz UKQGSTBRWNJRGY-YMTOWFKASA-N -1 1 338.368 -0.301 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@]1(CO)CCc2ccccc21 ZINC000846346045 584125086 /nfs/dbraw/zinc/12/50/86/584125086.db2.gz CZMYKFTZCWWKKU-SFHVURJKSA-N -1 1 343.383 -0.081 20 0 EBADMM CC1=C(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)[C@@H](C)n2nnnc2N1C ZINC000847724977 584145708 /nfs/dbraw/zinc/14/57/08/584145708.db2.gz HSPDQTDIGHCMQL-VXNVDRBHSA-N -1 1 332.372 -0.267 20 0 EBADMM CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@@H]1C(=O)NCC1(C)C ZINC000851816246 584203241 /nfs/dbraw/zinc/20/32/41/584203241.db2.gz DSDUOLMIJIUIOT-SECBINFHSA-N -1 1 344.393 -0.302 20 0 EBADMM CS(=O)(=O)CCN1CCC[C@H](NC(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC000852736781 584215252 /nfs/dbraw/zinc/21/52/52/584215252.db2.gz OJRYSHZEZPWHIL-JGVFFNPUSA-N -1 1 346.327 -0.373 20 0 EBADMM COC[C@@H](CS(=O)(=O)[N-]C(=O)CCCCc1cn[nH]n1)OC ZINC000853206122 584224576 /nfs/dbraw/zinc/22/45/76/584224576.db2.gz MQELWQCKCFEWRI-NSHDSACASA-N -1 1 334.398 -0.375 20 0 EBADMM COC(=O)[C@H](F)C(Nc1cccnc1)=C1C(=O)[N-]C(=S)NC1=O ZINC000009874424 584253890 /nfs/dbraw/zinc/25/38/90/584253890.db2.gz QNPKACBEOFSBQM-MRVPVSSYSA-N -1 1 338.320 -0.210 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N(CCOCCO)C1CCC1 ZINC000855140694 584255847 /nfs/dbraw/zinc/25/58/47/584255847.db2.gz OYOZHCUXXVRQFC-UHFFFAOYSA-N -1 1 325.365 -0.683 20 0 EBADMM C[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1ccns1 ZINC000859401402 584301929 /nfs/dbraw/zinc/30/19/29/584301929.db2.gz BHRQXAQLWMQISJ-ZETCQYMHSA-N -1 1 330.391 -0.420 20 0 EBADMM CC(C)(C)OC(=O)N1C[C@@H]2CCO[C@]2(C(=O)NCc2nn[n-]n2)C1 ZINC000860837898 584322884 /nfs/dbraw/zinc/32/28/84/584322884.db2.gz INSFOORCQGVHMF-LKFCYVNXSA-N -1 1 338.368 -0.158 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCOC(=O)N2)c1 ZINC000861775928 584338497 /nfs/dbraw/zinc/33/84/97/584338497.db2.gz DIABLZZQHCXJOO-QMMMGPOBSA-N -1 1 329.334 -0.263 20 0 EBADMM CC(=O)N1CCN(CC[N-]S(=O)(=O)c2ccc(F)nc2F)CC1 ZINC000866495140 584401815 /nfs/dbraw/zinc/40/18/15/584401815.db2.gz WVCNZJCFCSJNIW-UHFFFAOYSA-N -1 1 348.375 -0.198 20 0 EBADMM C[C@@H]1COCCN1CC[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000866735378 584404731 /nfs/dbraw/zinc/40/47/31/584404731.db2.gz NQLBZOCRTZYBGM-LLVKDONJSA-N -1 1 325.456 -0.197 20 0 EBADMM C[NH+](C)CCCS(=O)(=O)N1CC(CNC(=O)C(F)(F)F)C1 ZINC000867900318 584420276 /nfs/dbraw/zinc/42/02/76/584420276.db2.gz LBYNVAQYKSZCED-UHFFFAOYSA-N -1 1 331.360 -0.122 20 0 EBADMM O=C([N-]CC1CCN(C(=O)C2CS(=O)(=O)C2)CC1)C(F)(F)F ZINC000868014680 584421091 /nfs/dbraw/zinc/42/10/91/584421091.db2.gz DKHZJVCBGLKVLV-UHFFFAOYSA-N -1 1 342.339 -0.052 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2C[C@H]3CCC[C@@H]3O2)c(=O)n(C)c1=O ZINC000872559048 584463179 /nfs/dbraw/zinc/46/31/79/584463179.db2.gz QIWZXBQQLXAWEF-VWYCJHECSA-N -1 1 343.405 -0.680 20 0 EBADMM COC(=O)NCCNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875650353 584503959 /nfs/dbraw/zinc/50/39/59/584503959.db2.gz BWFVRDRYYSPIHY-UHFFFAOYSA-N -1 1 326.275 -0.338 20 0 EBADMM CCN(OC)C(=O)CNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875688436 584504484 /nfs/dbraw/zinc/50/44/84/584504484.db2.gz ZPWMNGAPRNJLRA-UHFFFAOYSA-N -1 1 340.302 -0.284 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC(N3CCCC3=O)C2)o1 ZINC000888762313 584546047 /nfs/dbraw/zinc/54/60/47/584546047.db2.gz MZFSHLMBPHDFHX-UHFFFAOYSA-N -1 1 327.362 -0.366 20 0 EBADMM COC(=O)c1ccn(CC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000881448622 584573978 /nfs/dbraw/zinc/57/39/78/584573978.db2.gz UGPSOGWMTMXZMV-UHFFFAOYSA-N -1 1 348.281 -0.193 20 0 EBADMM CO[C@H](C[N-]S(=O)(=O)CCS(=O)(=O)N(C)C)C(F)(F)F ZINC000881892023 584579070 /nfs/dbraw/zinc/57/90/70/584579070.db2.gz GSSRJPNENVCJTI-SSDOTTSWSA-N -1 1 342.361 -0.626 20 0 EBADMM CN(C)[S@@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCCC(F)(F)[C@H]1O ZINC000882264568 584587046 /nfs/dbraw/zinc/58/70/46/584587046.db2.gz LEAJFKHJLIDNSR-GLGDLZNWSA-N -1 1 335.398 -0.056 20 0 EBADMM Cn1c(=O)ccn(CC(=O)NCCc2c(F)cc([O-])cc2F)c1=O ZINC000886262858 584663254 /nfs/dbraw/zinc/66/32/54/584663254.db2.gz CQITWMWIYWYYMA-UHFFFAOYSA-N -1 1 339.298 -0.110 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCOC[C@H]1C[C@H]1CCCO1 ZINC000887837721 584683134 /nfs/dbraw/zinc/68/31/34/584683134.db2.gz MMNIKTSUDTVVRS-CHWSQXEVSA-N -1 1 337.376 -0.277 20 0 EBADMM Cc1nc(CN2CCC[C@H](N(C)[C@@H](C)C(=O)NCC(=O)[O-])C2)no1 ZINC000738856676 599963018 /nfs/dbraw/zinc/96/30/18/599963018.db2.gz AYEYRHFZSXMPJL-JQWIXIFHSA-N -1 1 339.396 -0.136 20 0 EBADMM O=C([O-])CSCCC(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC000739846160 600622607 /nfs/dbraw/zinc/62/26/07/600622607.db2.gz PBBKFQMOFWKEPP-CYBMUJFWSA-N -1 1 345.465 -0.283 20 0 EBADMM O=C([O-])c1cc(S(=O)(=O)NC[C@@H]2CN3CCC[C@H]3CO2)c[nH]1 ZINC000317833875 600729429 /nfs/dbraw/zinc/72/94/29/600729429.db2.gz WRCIRBQEUHYQSU-VHSXEESVSA-N -1 1 329.378 -0.146 20 0 EBADMM CN(CCC(=O)[O-])S(=O)(=O)N1CCC(CN2CCOCC2)CC1 ZINC000321871345 600993103 /nfs/dbraw/zinc/99/31/03/600993103.db2.gz HLGJHCGKGNKDTO-UHFFFAOYSA-N -1 1 349.453 -0.318 20 0 EBADMM O=C([O-])NC[C@H]1CCN(CC(=O)NC(=O)NCC(F)(F)F)C1 ZINC000740640344 601954031 /nfs/dbraw/zinc/95/40/31/601954031.db2.gz ADZCYMYGOHFKBP-SSDOTTSWSA-N -1 1 326.275 -0.036 20 0 EBADMM CCC[C@@H](NC(=O)[O-])C(=O)N1CCO[C@H](CN2CCN(C)CC2)C1 ZINC000739313010 602422344 /nfs/dbraw/zinc/42/23/44/602422344.db2.gz QPCWQWKINZOTLK-ZIAGYGMSSA-N -1 1 342.440 -0.103 20 0 EBADMM O=C([O-])N[C@H](Cc1ccccc1)C(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000740538787 602500975 /nfs/dbraw/zinc/50/09/75/602500975.db2.gz YXQUMEDVBCHLJM-NEPJUHHUSA-N -1 1 346.347 -0.022 20 0 EBADMM O=C([O-])NCCCC(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC000739963268 602771014 /nfs/dbraw/zinc/77/10/14/602771014.db2.gz JHPULWPCNAZWDI-CYBMUJFWSA-N -1 1 328.413 -0.443 20 0 EBADMM C[C@H](CNC(=O)CN(C[C@@H]1CCCO1)C(=O)[O-])N1CCN(C)CC1 ZINC000738915878 602904985 /nfs/dbraw/zinc/90/49/85/602904985.db2.gz JDVWDTCORNXBSL-KGLIPLIRSA-N -1 1 342.440 -0.103 20 0 EBADMM O=C([O-])N1CC[C@@H](CN2CCN(CC(=O)N3CCOCC3)CC2)C1 ZINC000740502166 602975912 /nfs/dbraw/zinc/97/59/12/602975912.db2.gz FCVUVLWYRILDGH-AWEZNQCLSA-N -1 1 340.424 -0.537 20 0 EBADMM C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)CN1CCN(C(=O)[O-])CC1=O ZINC000739200235 603007131 /nfs/dbraw/zinc/00/71/31/603007131.db2.gz TUOBSNYVRWGMFE-CHWSQXEVSA-N -1 1 338.408 -0.106 20 0 EBADMM C[C@@H](CN1CCN(C)CC1)NC(=O)N[C@@H](CNC(=O)[O-])C1CC1 ZINC000738911128 603088396 /nfs/dbraw/zinc/08/83/96/603088396.db2.gz LBWCZROJBZBMAU-AAEUAGOBSA-N -1 1 327.429 -0.032 20 0 EBADMM COC1(C(=O)NC[C@H](C)N2CCN(C)CC2)CCN(C(=O)[O-])CC1 ZINC000739799845 603118365 /nfs/dbraw/zinc/11/83/65/603118365.db2.gz VUVXDEBLFJQSDS-ZDUSSCGKSA-N -1 1 342.440 -0.103 20 0 EBADMM COC(=O)[C@@H]1CN([C@H](C)CCN2CCO[C@H](C(=O)[O-])C2)CCO1 ZINC000831115371 604435798 /nfs/dbraw/zinc/43/57/98/604435798.db2.gz ZEWLBECNXZQZFF-AGIUHOORSA-N -1 1 330.381 -0.576 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H](C)C(=O)N2CCOCC2)o1 ZINC000046315034 649946298 /nfs/dbraw/zinc/94/62/98/649946298.db2.gz NZSMPVIVMXZUJJ-SECBINFHSA-N -1 1 346.361 -0.408 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H](C)C(=O)N2CCNC2=O)o1 ZINC000046315388 649946415 /nfs/dbraw/zinc/94/64/15/649946415.db2.gz WRVZRQCYOSMHLW-SSDOTTSWSA-N -1 1 345.333 -0.715 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H](C(=O)NC(N)=O)C(C)C)o1 ZINC000046315425 649946663 /nfs/dbraw/zinc/94/66/63/649946663.db2.gz SIJPZYIOBJWGPN-SECBINFHSA-N -1 1 347.349 -0.436 20 0 EBADMM COC(=O)N(C)CC(=O)OCC(=O)N[N-]C(=O)c1cccs1 ZINC000061423240 649962275 /nfs/dbraw/zinc/96/22/75/649962275.db2.gz AKIVBLZSKOXQGZ-UHFFFAOYSA-N -1 1 329.334 -0.250 20 0 EBADMM CN(CC(=O)NNC(=O)c1cc(Cl)ccc1[O-])S(C)(=O)=O ZINC000068411405 649966315 /nfs/dbraw/zinc/96/63/15/649966315.db2.gz BKDXOBKWLYOAQK-UHFFFAOYSA-N -1 1 335.769 -0.302 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-][C@H]2C[C@H](S(C)(=O)=O)C2)c1Cl ZINC000714252421 650036210 /nfs/dbraw/zinc/03/62/10/650036210.db2.gz NRDOHAHGTLOIMF-LJGSYFOKSA-N -1 1 327.815 -0.073 20 0 EBADMM O=C(CN1CCN(C2CC2)C1=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907481448 650083298 /nfs/dbraw/zinc/08/32/98/650083298.db2.gz HYACCEQFFVZBED-SNVBAGLBSA-N -1 1 337.409 -0.284 20 0 EBADMM O=C(C1CN(CC(F)(F)F)C1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907944306 650092098 /nfs/dbraw/zinc/09/20/98/650092098.db2.gz SWERMDAUTSMNIF-UHFFFAOYSA-N -1 1 334.302 -0.496 20 0 EBADMM O=C(CN1CSCCSC1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000907939150 650092183 /nfs/dbraw/zinc/09/21/83/650092183.db2.gz BEBWCDAKEAKLSG-UHFFFAOYSA-N -1 1 344.466 -0.293 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCc2nc(C)nn2C1 ZINC000912537757 650206767 /nfs/dbraw/zinc/20/67/67/650206767.db2.gz LFSHKSAYHMELKA-MRVPVSSYSA-N -1 1 340.365 -0.610 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCOC[C@@H]1CCOC1 ZINC000912544608 650207427 /nfs/dbraw/zinc/20/74/27/650207427.db2.gz PYOGWRHDOKGZIT-SECBINFHSA-N -1 1 333.366 -0.472 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCN(C(C)C)C1=O ZINC000912541030 650207459 /nfs/dbraw/zinc/20/74/59/650207459.db2.gz CVXSGYHYSFXJGC-SECBINFHSA-N -1 1 330.366 -0.516 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1cn(C(C)C)nn1 ZINC000912557070 650208768 /nfs/dbraw/zinc/20/87/68/650208768.db2.gz QVVGHIMXOIEXDT-UHFFFAOYSA-N -1 1 328.354 -0.153 20 0 EBADMM CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@@](C)(CO)C(=O)OC ZINC000912556295 650208857 /nfs/dbraw/zinc/20/88/57/650208857.db2.gz KSJWPJMLKHBJRW-LBPRGKRZSA-N -1 1 349.365 -0.903 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C(C)(C)c1cn(C)nn1 ZINC000912562325 650209168 /nfs/dbraw/zinc/20/91/68/650209168.db2.gz GQRUDYPJJRSLQA-UHFFFAOYSA-N -1 1 328.354 -0.462 20 0 EBADMM CN1CCO[C@@H](C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)C1=O ZINC000913780008 650246020 /nfs/dbraw/zinc/24/60/20/650246020.db2.gz OPVPGFSSIJTPOQ-PELKAZGASA-N -1 1 337.298 -0.487 20 0 EBADMM CCOC(=O)[C@H](CSC)[N-]S(=O)(=O)[C@H]1CC(=O)N(CC)C1 ZINC000921378321 650318714 /nfs/dbraw/zinc/31/87/14/650318714.db2.gz VAWSTGKMRRKXSB-UWVGGRQHSA-N -1 1 338.451 -0.179 20 0 EBADMM CCOC(=O)[C@H](CSC)[N-]S(=O)(=O)C[C@@H]1CN(C)CCO1 ZINC000921376968 650318765 /nfs/dbraw/zinc/31/87/65/650318765.db2.gz HBQRFKPPRFNXID-QWRGUYRKSA-N -1 1 340.467 -0.469 20 0 EBADMM CCOC(=O)[C@H](CSC)[N-]S(=O)(=O)C[C@H]1CN(C)CCO1 ZINC000921376967 650319109 /nfs/dbraw/zinc/31/91/09/650319109.db2.gz HBQRFKPPRFNXID-MNOVXSKESA-N -1 1 340.467 -0.469 20 0 EBADMM CO[C@@]1(C[N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C)CCSC1 ZINC000921401863 650319867 /nfs/dbraw/zinc/31/98/67/650319867.db2.gz KHQSENFOORTAES-DYBLOJMWSA-N -1 1 331.485 -0.083 20 0 EBADMM COc1cccc(S([O-])=CC(=O)NC[C@H]2C[C@H](O)CN2C)c1 ZINC000924976603 650369553 /nfs/dbraw/zinc/36/95/53/650369553.db2.gz MXOUWIWFOTXTIF-HIMNYSJASA-N -1 1 326.418 -0.016 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@H]2COCCN2C)C1 ZINC000937193191 651668720 /nfs/dbraw/zinc/66/87/20/651668720.db2.gz FYOISOPHGJURIK-QWHCGFSZSA-N -1 1 348.403 -0.209 20 0 EBADMM CN(C(=O)[C@H]1COCCO1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937211198 651679899 /nfs/dbraw/zinc/67/98/99/651679899.db2.gz ITGLUMRHWRTNQE-DGCLKSJQSA-N -1 1 335.360 -0.125 20 0 EBADMM CN(C(=O)C[C@H]1CCNC1=O)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937217871 651686098 /nfs/dbraw/zinc/68/60/98/651686098.db2.gz YTVAILXNJGLQIW-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)[C@@H]1CCC(=O)N1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937220080 651687130 /nfs/dbraw/zinc/68/71/30/651687130.db2.gz LPXVLUVOTXERKQ-QWRGUYRKSA-N -1 1 332.360 -0.261 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1CC[C@H](CN2CCOCC2)O1)c1nn[n-]n1 ZINC000935365430 651735593 /nfs/dbraw/zinc/73/55/93/651735593.db2.gz HKZAKNXHBPZPJP-UPJWGTAASA-N -1 1 338.412 -0.359 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000936201775 651746081 /nfs/dbraw/zinc/74/60/81/651746081.db2.gz BLQJCPKRYRYGQK-GMTAPVOTSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(CN1CCOCC1)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937861877 651958056 /nfs/dbraw/zinc/95/80/56/651958056.db2.gz WGBONMCVBMQDKL-LBPRGKRZSA-N -1 1 334.376 -0.550 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cnc[nH]c2=O)C1)c1ncccc1[O-] ZINC000937866105 651959763 /nfs/dbraw/zinc/95/97/63/651959763.db2.gz UCIUPHUNQIEGJM-VIFPVBQESA-N -1 1 329.316 -0.073 20 0 EBADMM NC(=O)[C@@H]1CC[C@H](C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)O1 ZINC000937864430 651959977 /nfs/dbraw/zinc/95/99/77/651959977.db2.gz QDBDQICYVXIODC-ZMLRMANQSA-N -1 1 348.359 -0.849 20 0 EBADMM O=C(CN1C(=O)CCC1=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937864947 651960100 /nfs/dbraw/zinc/96/01/00/651960100.db2.gz AIVDEWVJUBNGFN-JTQLQIEISA-N -1 1 346.343 -0.733 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000937875803 651963624 /nfs/dbraw/zinc/96/36/24/651963624.db2.gz XBXHOVVNFIVTNO-LBPRGKRZSA-N -1 1 332.360 -0.404 20 0 EBADMM Cc1cc(C(=O)N2CC[C@@H](N(C)C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC000936779856 652125617 /nfs/dbraw/zinc/12/56/17/652125617.db2.gz DLMSCOCQEBVDML-MRVPVSSYSA-N -1 1 347.335 -0.699 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)C[C@H]2CCNC2=O)C1 ZINC000938642270 652648180 /nfs/dbraw/zinc/64/81/80/652648180.db2.gz YQCZIACXJNADCR-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM CC[C@H](C)C(=O)N1CCN(C2CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC000941320309 652661143 /nfs/dbraw/zinc/66/11/43/652661143.db2.gz ZJBVMJISPVNCQM-LBPRGKRZSA-N -1 1 336.440 -0.517 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@@H]1CCOC1 ZINC000941320763 652662100 /nfs/dbraw/zinc/66/21/00/652662100.db2.gz DOYYOIILPFVFKP-NQBHXWOUSA-N -1 1 335.360 -0.221 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)C(C)(C)C(N)=O)C1 ZINC000938689725 652670387 /nfs/dbraw/zinc/67/03/87/652670387.db2.gz OLSBDDLFQBQLHW-JTQLQIEISA-N -1 1 334.376 -0.028 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)Cc1ccon1 ZINC000941393631 652712982 /nfs/dbraw/zinc/71/29/82/652712982.db2.gz BVQKXZGLUHUGTF-DGCLKSJQSA-N -1 1 346.343 -0.027 20 0 EBADMM CN1CC[C@@H](C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC000941415793 652724730 /nfs/dbraw/zinc/72/47/30/652724730.db2.gz LVWNEFPEYGFMEH-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@H]1CCCN1C(=O)C(N)=O ZINC000944248183 652729323 /nfs/dbraw/zinc/72/93/23/652729323.db2.gz OBUZNDZQJPNLAL-SNVBAGLBSA-N -1 1 349.391 -0.180 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000944297709 652738762 /nfs/dbraw/zinc/73/87/62/652738762.db2.gz PPYGZSLAJDNITP-MXWKQRLJSA-N -1 1 332.360 -0.371 20 0 EBADMM NC(=O)C(=O)N1CCC2(C1)CCN(C(=O)c1ncccc1[O-])CC2 ZINC000944377355 652751509 /nfs/dbraw/zinc/75/15/09/652751509.db2.gz HLIXWZHMQRVDTB-UHFFFAOYSA-N -1 1 332.360 -0.273 20 0 EBADMM C[C@H]1CCN(C(=O)C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000938893893 652762761 /nfs/dbraw/zinc/76/27/61/652762761.db2.gz WRXZWBCABHOLIP-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncn(C)n2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941602539 652827175 /nfs/dbraw/zinc/82/71/75/652827175.db2.gz DMXWUBWHVIXNCN-MWLCHTKSSA-N -1 1 346.347 -0.815 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H](NC(=O)C(C)(F)F)C1 ZINC000939088833 652827538 /nfs/dbraw/zinc/82/75/38/652827538.db2.gz ASBQQYWKEPJBQZ-ZCFIWIBFSA-N -1 1 346.290 -0.118 20 0 EBADMM CO[C@@H]1CN(C(=O)c2csnn2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941609647 652831459 /nfs/dbraw/zinc/83/14/59/652831459.db2.gz ZVNDTHBPIKFHEB-LDYMZIIASA-N -1 1 349.372 -0.092 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1ccco1 ZINC000939144319 652843067 /nfs/dbraw/zinc/84/30/67/652843067.db2.gz QBEPMXCRSGMWBZ-SECBINFHSA-N -1 1 342.315 -0.345 20 0 EBADMM Cn1cc(C(=O)N2CCO[C@@H](CNC(=O)c3ncccc3[O-])C2)cn1 ZINC000944561874 652861479 /nfs/dbraw/zinc/86/14/79/652861479.db2.gz VXHXURVWPANSJW-LBPRGKRZSA-N -1 1 345.359 -0.208 20 0 EBADMM O=C(CN1CCCC1=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000939335674 652900451 /nfs/dbraw/zinc/90/04/51/652900451.db2.gz OAENAUMSPRWQJQ-LLVKDONJSA-N -1 1 332.360 -0.260 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1cnn(C)c1 ZINC000941795084 652914159 /nfs/dbraw/zinc/91/41/59/652914159.db2.gz QOJHYLWVQKMCMO-ZYHUDNBSSA-N -1 1 333.396 -0.518 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)C2(C(N)=O)CC2)C1 ZINC000939382221 652919180 /nfs/dbraw/zinc/91/91/80/652919180.db2.gz PPCHQBGRCWFDEK-SNVBAGLBSA-N -1 1 332.360 -0.274 20 0 EBADMM C[C@@H]1CN(C(=O)c2cc(C(N)=O)c[nH]2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939416929 652928529 /nfs/dbraw/zinc/92/85/29/652928529.db2.gz DYADJPFVEIHFSX-GMSGAONNSA-N -1 1 333.352 -0.812 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)Cc1ccon1 ZINC000941886665 652940678 /nfs/dbraw/zinc/94/06/78/652940678.db2.gz QTHVJYFTUPCZQK-JQWIXIFHSA-N -1 1 334.380 -0.334 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1cccc(=O)[nH]1 ZINC000941927770 652953554 /nfs/dbraw/zinc/95/35/54/652953554.db2.gz XIQQUCIHSCOBBH-GHMZBOCLSA-N -1 1 346.391 -0.151 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1)c1cn[nH]n1 ZINC000944670510 652956655 /nfs/dbraw/zinc/95/66/55/652956655.db2.gz REPULEAMXOHYHP-VIFPVBQESA-N -1 1 332.320 -0.824 20 0 EBADMM Cc1ccc(=O)n(CC(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000939579265 653007597 /nfs/dbraw/zinc/00/75/97/653007597.db2.gz CXPPRRIGWAQIKV-MWLCHTKSSA-N -1 1 347.379 -0.988 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@@H]2C)c1[O-] ZINC000941964252 653008589 /nfs/dbraw/zinc/00/85/89/653008589.db2.gz PZLKYQSGAHHHRW-CBAPKCEASA-N -1 1 335.368 -0.112 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@@H]2C)c1[O-] ZINC000941964252 653008591 /nfs/dbraw/zinc/00/85/91/653008591.db2.gz PZLKYQSGAHHHRW-CBAPKCEASA-N -1 1 335.368 -0.112 20 0 EBADMM Cc1cc(C(=O)N2CC[C@@H](NC(=O)c3cnc([O-])n(C)c3=O)C2)n[nH]1 ZINC000941981223 653011987 /nfs/dbraw/zinc/01/19/87/653011987.db2.gz YMXRVUWHWYLMLX-SECBINFHSA-N -1 1 346.347 -0.838 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)C1=CCOCC1 ZINC000941984833 653012546 /nfs/dbraw/zinc/01/25/46/653012546.db2.gz OSOFQZVBHJYWRB-DGCLKSJQSA-N -1 1 335.408 -0.218 20 0 EBADMM CCn1ccnc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1C ZINC000942010141 653019608 /nfs/dbraw/zinc/01/96/08/653019608.db2.gz UZPQRJZTPCMWSI-RYUDHWBXSA-N -1 1 347.423 -0.035 20 0 EBADMM Cc1ccnc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)n1 ZINC000942010618 653020182 /nfs/dbraw/zinc/02/01/82/653020182.db2.gz XTHYDEDXYUNOBF-ZYHUDNBSSA-N -1 1 345.407 -0.153 20 0 EBADMM Cc1nnc(CC(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)o1 ZINC000942024748 653023751 /nfs/dbraw/zinc/02/37/51/653023751.db2.gz MWQTVDJMEFGETG-MWLCHTKSSA-N -1 1 349.395 -0.631 20 0 EBADMM Cc1nc(CC(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[n-]3)C[C@@H]2C)n[nH]1 ZINC000942033854 653025080 /nfs/dbraw/zinc/02/50/80/653025080.db2.gz QEGMUHBLQKTXKC-WCBMZHEXSA-N -1 1 334.384 -0.494 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)Cc1ccn(C)n1 ZINC000942039541 653025552 /nfs/dbraw/zinc/02/55/52/653025552.db2.gz CCXBIEUYJSBELM-DGCLKSJQSA-N -1 1 347.423 -0.589 20 0 EBADMM Cc1ncc(CC(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)o1 ZINC000942122178 653039974 /nfs/dbraw/zinc/03/99/74/653039974.db2.gz LCKDWSPXTOZHSN-ZWNOBZJWSA-N -1 1 348.407 -0.026 20 0 EBADMM CN1C(=O)CC[C@H]1C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000942165235 653051029 /nfs/dbraw/zinc/05/10/29/653051029.db2.gz KRHLMEDBYNIZPZ-MNOVXSKESA-N -1 1 332.360 -0.261 20 0 EBADMM C[C@@H]1CN(C(=O)c2cccc(C(N)=O)n2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939831390 653054926 /nfs/dbraw/zinc/05/49/26/653054926.db2.gz MNNOCESKIDDOFF-LDYMZIIASA-N -1 1 345.363 -0.746 20 0 EBADMM CCn1nc(C)c(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000939869154 653060502 /nfs/dbraw/zinc/06/05/02/653060502.db2.gz OKEFEBCHYIFRHK-PSASIEDQSA-N -1 1 334.384 -0.320 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCCN(C(=O)CC2CC2)CC1 ZINC000939981568 653071778 /nfs/dbraw/zinc/07/17/78/653071778.db2.gz GTRUHQYYDIFOPF-UHFFFAOYSA-N -1 1 348.403 -0.212 20 0 EBADMM Cn1c(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)c[nH]c1=O ZINC000940130493 653084343 /nfs/dbraw/zinc/08/43/43/653084343.db2.gz OKCGCPCOPRTBJS-SECBINFHSA-N -1 1 331.332 -0.129 20 0 EBADMM O=C(CO[C@@H]1CCOC1)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940130559 653084625 /nfs/dbraw/zinc/08/46/25/653084625.db2.gz RLGCDRBTBUQJQN-VXGBXAGGSA-N -1 1 335.360 -0.077 20 0 EBADMM O=C(CN1CCCNC1=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940132212 653084733 /nfs/dbraw/zinc/08/47/33/653084733.db2.gz QWWJKJSODDITRK-LLVKDONJSA-N -1 1 347.375 -0.467 20 0 EBADMM Cc1n[nH]cc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC000942545596 653137864 /nfs/dbraw/zinc/13/78/64/653137864.db2.gz MKDVLFLNQWOPNG-BXKDBHETSA-N -1 1 333.396 -0.220 20 0 EBADMM O=C(Cn1cncn1)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000946538638 653146963 /nfs/dbraw/zinc/14/69/63/653146963.db2.gz KRZPLHGTEPJGAH-UHFFFAOYSA-N -1 1 330.348 -0.200 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1)[C@@H]1CCC(=O)N1 ZINC000944695746 653163341 /nfs/dbraw/zinc/16/33/41/653163341.db2.gz VYRBGCBJJWZTRS-QWRGUYRKSA-N -1 1 348.359 -0.977 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ccn[nH]2)C1)c1c[n-]n2c1nccc2=O ZINC000940495860 653188589 /nfs/dbraw/zinc/18/85/89/653188589.db2.gz RBMMTNZQGGNABK-SECBINFHSA-N -1 1 341.331 -0.610 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@@H]2CNC(=O)N2)C1 ZINC000942759683 653198176 /nfs/dbraw/zinc/19/81/76/653198176.db2.gz ZUNLVQWSNUYCBE-MNOVXSKESA-N -1 1 347.375 -0.468 20 0 EBADMM CCN(C(=O)[C@H]1COC(=O)N1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000942766602 653200729 /nfs/dbraw/zinc/20/07/29/653200729.db2.gz BVSIPUGJNBOITG-GHMZBOCLSA-N -1 1 348.359 -0.041 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1)c1ccncn1 ZINC000944735255 653211184 /nfs/dbraw/zinc/21/11/84/653211184.db2.gz WEPXXVHZZIIKDF-LLVKDONJSA-N -1 1 343.343 -0.152 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1ccnnc1 ZINC000942980271 653277961 /nfs/dbraw/zinc/27/79/61/653277961.db2.gz VDNNFHXLWLZLLP-PWSUYJOCSA-N -1 1 331.380 -0.461 20 0 EBADMM O=C(Cn1cnnn1)N[C@@H]1CCCCN(C(=O)c2ncccc2[O-])C1 ZINC000943069323 653283724 /nfs/dbraw/zinc/28/37/24/653283724.db2.gz ADNQGDKICUDMGX-LLVKDONJSA-N -1 1 345.363 -0.415 20 0 EBADMM O=C(c1ncccc1[O-])N1CCCN(C(=O)[C@H]2CCC(=O)NC2)CC1 ZINC000940831685 653296907 /nfs/dbraw/zinc/29/69/07/653296907.db2.gz JUCIKGDUYOOKIE-LBPRGKRZSA-N -1 1 346.387 -0.012 20 0 EBADMM C[C@]1(C(=O)N2CCCN(C(=O)c3ncccc3[O-])CC2)CCNC1=O ZINC000940832985 653298200 /nfs/dbraw/zinc/29/82/00/653298200.db2.gz TYRMOOKQMARUGD-KRWDZBQOSA-N -1 1 346.387 -0.012 20 0 EBADMM CN1C[C@H](C(=O)N2CCCN(C(=O)c3ncccc3[O-])CC2)CC1=O ZINC000940832978 653298483 /nfs/dbraw/zinc/29/84/83/653298483.db2.gz SWLGBEHRBIALPR-GFCCVEGCSA-N -1 1 346.387 -0.060 20 0 EBADMM O=C(Cc1nnc[nH]1)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940832260 653298645 /nfs/dbraw/zinc/29/86/45/653298645.db2.gz BABVVBDLXVXQRS-UHFFFAOYSA-N -1 1 330.348 -0.178 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CCN(C(=O)C(F)F)CC1 ZINC000943199395 653309312 /nfs/dbraw/zinc/30/93/12/653309312.db2.gz LDIINDQLAPAOHT-UHFFFAOYSA-N -1 1 346.290 -0.118 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2cnns2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947098817 653312887 /nfs/dbraw/zinc/31/28/87/653312887.db2.gz KAMIODLYUNAIDR-IUCAKERBSA-N -1 1 337.409 -0.257 20 0 EBADMM Cc1nccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)n1 ZINC000945005097 653314604 /nfs/dbraw/zinc/31/46/04/653314604.db2.gz ADGKBVPNGHLXQG-PWSUYJOCSA-N -1 1 345.407 -0.153 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H](C)C2)ncn1 ZINC000947210820 653335122 /nfs/dbraw/zinc/33/51/22/653335122.db2.gz JURJWIXTMAULNJ-RYUDHWBXSA-N -1 1 345.407 -0.010 20 0 EBADMM COCC(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H](C)C1 ZINC000945115829 653345359 /nfs/dbraw/zinc/34/53/59/653345359.db2.gz LHCGBPOJROZNIO-VHSXEESVSA-N -1 1 348.363 -0.969 20 0 EBADMM COCC(=O)N1CCCC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000943380858 653369199 /nfs/dbraw/zinc/36/91/99/653369199.db2.gz GTNRAPAAGOWTIK-JTQLQIEISA-N -1 1 348.363 -0.825 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ccncn3)C(C)(C)C2)nc1=O ZINC000941107018 653414924 /nfs/dbraw/zinc/41/49/24/653414924.db2.gz IECNYVMURGNTDV-LBPRGKRZSA-N -1 1 345.407 -0.071 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CCN1Cc1cncn1C ZINC000947506153 653418413 /nfs/dbraw/zinc/41/84/13/653418413.db2.gz KSXSUSYWVINLRW-VHSXEESVSA-N -1 1 347.379 -0.201 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CCN1Cc1cnn(C)c1 ZINC000947505783 653418796 /nfs/dbraw/zinc/41/87/96/653418796.db2.gz FGBVWVNVJDHPAH-GXSJLCMTSA-N -1 1 347.379 -0.201 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CCN1Cc1cncn1C ZINC000947506152 653418973 /nfs/dbraw/zinc/41/89/73/653418973.db2.gz KSXSUSYWVINLRW-UWVGGRQHSA-N -1 1 347.379 -0.201 20 0 EBADMM CCCC(=O)N1CCC(NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000943615774 653435804 /nfs/dbraw/zinc/43/58/04/653435804.db2.gz KFHXBOOQNOOQPS-UHFFFAOYSA-N -1 1 332.364 -0.062 20 0 EBADMM Cc1ncc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H](C)C2)n1C ZINC000947652309 653448803 /nfs/dbraw/zinc/44/88/03/653448803.db2.gz AKNDJUZIJVLAJJ-JQWIXIFHSA-N -1 1 347.423 -0.067 20 0 EBADMM O=C(N[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])CC1)[C@@H]1CNC(=O)N1 ZINC000943684348 653448934 /nfs/dbraw/zinc/44/89/34/653448934.db2.gz FHMBTWGGXNWVLH-DCAQKATOSA-N -1 1 347.375 -0.374 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCCN1C(=O)C(F)F ZINC000943803226 653476038 /nfs/dbraw/zinc/47/60/38/653476038.db2.gz CZQTYHVWSVKKBO-ZCFIWIBFSA-N -1 1 346.290 -0.118 20 0 EBADMM O=C(CC1CC1)N1CCC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000943811572 653476412 /nfs/dbraw/zinc/47/64/12/653476412.db2.gz GFLNYAVQFCRHPC-LLVKDONJSA-N -1 1 344.375 -0.062 20 0 EBADMM Cc1nonc1CC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1C ZINC000943818630 653477395 /nfs/dbraw/zinc/47/73/95/653477395.db2.gz XREYOEMZSUYFMC-GXSJLCMTSA-N -1 1 349.395 -0.631 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2cccnn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947841174 653491418 /nfs/dbraw/zinc/49/14/18/653491418.db2.gz JAIPYGKKVICXBE-QWRGUYRKSA-N -1 1 331.380 -0.319 20 0 EBADMM Cn1nnc(C(=O)N2CCC(NC(=O)c3ncccc3[O-])CC2)n1 ZINC000947852309 653492822 /nfs/dbraw/zinc/49/28/22/653492822.db2.gz GBCHQUHCBKTXCZ-UHFFFAOYSA-N -1 1 331.336 -0.655 20 0 EBADMM CC(=O)N[C@H](C)[C@@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000945653739 653494588 /nfs/dbraw/zinc/49/45/88/653494588.db2.gz YITYHQFQCWFOMG-MWLCHTKSSA-N -1 1 332.364 -0.206 20 0 EBADMM O=C(NC[C@H]1CCCN1C(=O)c1ncccc1[O-])[C@H]1CNC(=O)N1 ZINC000943976493 653500839 /nfs/dbraw/zinc/50/08/39/653500839.db2.gz LSVHYASXSTZGDK-NXEZZACHSA-N -1 1 333.348 -0.811 20 0 EBADMM C[C@@H]1CN(C(=O)CC(N)=O)C[C@H]1NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000948051802 653516369 /nfs/dbraw/zinc/51/63/69/653516369.db2.gz HNNLEQBJXKJLBZ-LDYMZIIASA-N -1 1 347.375 -0.488 20 0 EBADMM NC(=O)CC(=O)N1CC[C@@H]2[C@H](CCN2C(=O)c2ncccc2[O-])C1 ZINC000945913244 653518201 /nfs/dbraw/zinc/51/82/01/653518201.db2.gz KYOWIFKPMRRXSN-GHMZBOCLSA-N -1 1 332.360 -0.274 20 0 EBADMM NC(=O)CC(=O)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])CC[C@H]21 ZINC000945934054 653519673 /nfs/dbraw/zinc/51/96/73/653519673.db2.gz XZXKGNVBHVZFHE-WDEREUQCSA-N -1 1 332.360 -0.274 20 0 EBADMM C[C@@H]1CN(C(=O)Cc2ccn[nH]2)C[C@H]1NC(=O)c1cnc[nH]c1=O ZINC000948087734 653521543 /nfs/dbraw/zinc/52/15/43/653521543.db2.gz WKMJUZDQMHSHPA-BXKDBHETSA-N -1 1 330.348 -0.275 20 0 EBADMM CCC(=O)N[C@@H]1CCC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000946055861 653539812 /nfs/dbraw/zinc/53/98/12/653539812.db2.gz FZHKMLNFTVSCTN-VHSXEESVSA-N -1 1 332.364 -0.158 20 0 EBADMM O=C(N[C@H]1CCC[C@H]1CNC(=O)C1CC1)c1cc(=O)n2[n-]cnc2n1 ZINC000946256411 653556447 /nfs/dbraw/zinc/55/64/47/653556447.db2.gz XNPODZFHOCLLCH-QWRGUYRKSA-N -1 1 344.375 -0.158 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H]1CNC(=O)C1CCC1 ZINC000948616560 653562864 /nfs/dbraw/zinc/56/28/64/653562864.db2.gz MDDQVMNGJIZELR-LBPRGKRZSA-N -1 1 334.376 -0.556 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1)C1CCC1 ZINC000948617629 653562930 /nfs/dbraw/zinc/56/29/30/653562930.db2.gz VYTZJJYWYXOLJZ-JTQLQIEISA-N -1 1 330.348 -0.452 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@H]1CN(C)CCO1 ZINC000948618703 653562972 /nfs/dbraw/zinc/56/29/72/653562972.db2.gz BYQYXBLCMRNGTD-YRGRVCCFSA-N -1 1 348.403 -0.306 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1)C1CCCC1 ZINC000948627545 653563906 /nfs/dbraw/zinc/56/39/06/653563906.db2.gz LIRYLIYYNZEQDW-NSHDSACASA-N -1 1 344.375 -0.062 20 0 EBADMM COc1nc(C)ncc1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000948664942 653565894 /nfs/dbraw/zinc/56/58/94/653565894.db2.gz MOYLVELBIJUQKT-UHFFFAOYSA-N -1 1 347.379 -0.827 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H]1CNC(=O)c1cn[nH]c1 ZINC000948916869 653582096 /nfs/dbraw/zinc/58/20/96/653582096.db2.gz GKYLSIYEGIBLBN-MRVPVSSYSA-N -1 1 348.319 -0.736 20 0 EBADMM CO[C@@H](CN1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC1)C1CCC1 ZINC000949111017 653595467 /nfs/dbraw/zinc/59/54/67/653595467.db2.gz CKASRIMGOAAJSO-NSHDSACASA-N -1 1 337.380 -0.144 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCOC2(CCN(CCF)CC2)C1 ZINC000949132893 653807522 /nfs/dbraw/zinc/80/75/22/653807522.db2.gz UJNYNTFQEDKBNN-UHFFFAOYSA-N -1 1 341.343 -0.441 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)[C@@H]3Cc4ccccc4O3)CC2)nc1=O ZINC000949175704 653822041 /nfs/dbraw/zinc/82/20/41/653822041.db2.gz HDCMYDZXUGJIOM-AWEZNQCLSA-N -1 1 343.387 -0.244 20 0 EBADMM O=C(NCC1CCN(C(=O)[C@@H]2CCNC2=O)CC1)c1ncccc1[O-] ZINC000949231674 653837785 /nfs/dbraw/zinc/83/77/85/653837785.db2.gz WEWDUTJVSNBYIN-GFCCVEGCSA-N -1 1 346.387 -0.108 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cnc(Cl)n3C)CC2)nc1=O ZINC000949285872 653854601 /nfs/dbraw/zinc/85/46/01/653854601.db2.gz CRWFJQNOILJBSS-UHFFFAOYSA-N -1 1 339.787 -0.547 20 0 EBADMM NC(=O)CN1CCOC2(CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC000949349150 653868414 /nfs/dbraw/zinc/86/84/14/653868414.db2.gz SWHVTLOTZMHKPI-UHFFFAOYSA-N -1 1 334.376 -0.421 20 0 EBADMM C[C@@H](C(N)=O)N1CCOC2(CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC000949349090 653868479 /nfs/dbraw/zinc/86/84/79/653868479.db2.gz RNOPYJMQIYATTM-LBPRGKRZSA-N -1 1 348.403 -0.032 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)[C@@H]3OC[C@@H]4CCC[C@@H]43)CC2)nc1=O ZINC000949358058 653871545 /nfs/dbraw/zinc/87/15/45/653871545.db2.gz YTSSDPPNIQTAPV-SGMGOOAPSA-N -1 1 335.408 -0.432 20 0 EBADMM CN1CCO[C@@H](C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])C1 ZINC000949535431 653922155 /nfs/dbraw/zinc/92/21/55/653922155.db2.gz UTYJPJFNAWBEFV-WCQYABFASA-N -1 1 334.376 -0.552 20 0 EBADMM O=C(CCc1nnc[nH]1)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949535235 653922224 /nfs/dbraw/zinc/92/22/24/653922224.db2.gz QEBADLYURYOSHM-JTQLQIEISA-N -1 1 330.348 -0.131 20 0 EBADMM O=C(CCc1nc[nH]n1)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949535235 653922226 /nfs/dbraw/zinc/92/22/26/653922226.db2.gz QEBADLYURYOSHM-JTQLQIEISA-N -1 1 330.348 -0.131 20 0 EBADMM CC(=O)N1CC[C@H](C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])C1 ZINC000949537814 653922560 /nfs/dbraw/zinc/92/25/60/653922560.db2.gz CCSUFFZDVWXXPZ-STQMWFEESA-N -1 1 346.387 -0.014 20 0 EBADMM NC(=O)[C@@H]1CC[C@H](C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])O1 ZINC000949538339 653922942 /nfs/dbraw/zinc/92/29/42/653922942.db2.gz IELHHRMNMFUUHS-ADEWGFFLSA-N -1 1 348.359 -0.849 20 0 EBADMM C[C@@]1(C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])CCC(=O)N1 ZINC000949539118 653923642 /nfs/dbraw/zinc/92/36/42/653923642.db2.gz YICIIYCJRDFEFK-HWPZZCPQSA-N -1 1 332.360 -0.213 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)[C@@H]1CCC(=O)NC1)c1ncccc1[O-] ZINC000949541460 653923726 /nfs/dbraw/zinc/92/37/26/653923726.db2.gz IDKQTKDIMYTBLB-GHMZBOCLSA-N -1 1 332.360 -0.356 20 0 EBADMM CN1CC[C@H](C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])C1=O ZINC000949541772 653924471 /nfs/dbraw/zinc/92/44/71/653924471.db2.gz PGCFEXBYJPZSHG-QWRGUYRKSA-N -1 1 332.360 -0.404 20 0 EBADMM CN1C(=O)CC[C@H]1C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949542213 653924586 /nfs/dbraw/zinc/92/45/86/653924586.db2.gz ZDHJAKTVBBOTHT-MNOVXSKESA-N -1 1 332.360 -0.261 20 0 EBADMM CCN(C(=O)c1cnn(CC)n1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950023238 654021164 /nfs/dbraw/zinc/02/11/64/654021164.db2.gz DSQGFDYRBUUGCM-UHFFFAOYSA-N -1 1 334.384 -0.934 20 0 EBADMM CCN(C(=O)c1nn(C)cc1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950055935 654026347 /nfs/dbraw/zinc/02/63/47/654026347.db2.gz REGLWMMOHHSQIW-UHFFFAOYSA-N -1 1 333.396 -0.503 20 0 EBADMM O=C(N[C@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CCC1 ZINC000951408097 654117603 /nfs/dbraw/zinc/11/76/03/654117603.db2.gz DKKGCCKIUPXYPL-NSHDSACASA-N -1 1 344.375 -0.062 20 0 EBADMM O=C(N[C@@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C(F)F ZINC000951408030 654117745 /nfs/dbraw/zinc/11/77/45/654117745.db2.gz BUEOYQXVIJMJMY-SSDOTTSWSA-N -1 1 340.290 -0.597 20 0 EBADMM Cc1ncc(C(=O)N2CC[C@H]2CNC(=O)c2cnc([O-])n(C)c2=O)[nH]1 ZINC000951451341 654135308 /nfs/dbraw/zinc/13/53/08/654135308.db2.gz VPYHDMHESAXTNT-VIFPVBQESA-N -1 1 346.347 -0.838 20 0 EBADMM CN1C[C@H](C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])CCC1=O ZINC000950445208 654135538 /nfs/dbraw/zinc/13/55/38/654135538.db2.gz GYYVBJLDHSBZNG-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM CCN(C(=O)c1cnn2c1CCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950469896 654142749 /nfs/dbraw/zinc/14/27/49/654142749.db2.gz SEJBLHXKYMYUTB-UHFFFAOYSA-N -1 1 345.407 -0.402 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1ncccc1[O-])[C@H]1CCCNC1=O ZINC000950492701 654146287 /nfs/dbraw/zinc/14/62/87/654146287.db2.gz TVCJDEGALAUIOQ-QWRGUYRKSA-N -1 1 332.360 -0.356 20 0 EBADMM CC(=O)N1CC[C@H](C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])C1 ZINC000951524549 654172546 /nfs/dbraw/zinc/17/25/46/654172546.db2.gz OVZBKVZUEGTWRX-STQMWFEESA-N -1 1 346.387 -0.014 20 0 EBADMM CN1CCOC[C@@H]1C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950542818 654173108 /nfs/dbraw/zinc/17/31/08/654173108.db2.gz KEQXBEMOMVWTOQ-QWHCGFSZSA-N -1 1 348.403 -0.161 20 0 EBADMM O=C(CN1CCOCC1)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950542647 654173352 /nfs/dbraw/zinc/17/33/52/654173352.db2.gz GIUDLGWTVLOGMN-CYBMUJFWSA-N -1 1 348.403 -0.160 20 0 EBADMM O=C(N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CNC(=O)N1 ZINC000950542596 654173450 /nfs/dbraw/zinc/17/34/50/654173450.db2.gz FKGGZNTTYSORSR-ZJUUUORDSA-N -1 1 333.348 -0.811 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950543205 654173547 /nfs/dbraw/zinc/17/35/47/654173547.db2.gz TXLRNTFOYJXEIG-MXWKQRLJSA-N -1 1 332.360 -0.371 20 0 EBADMM CN1C[C@H](C(=O)N[C@H]2CCCN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC000950547152 654175135 /nfs/dbraw/zinc/17/51/35/654175135.db2.gz YLHJJMIAMGATAN-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3nccc4[nH]ccc43)CC2)nc1=O ZINC000950570960 654182669 /nfs/dbraw/zinc/18/26/69/654182669.db2.gz UWVKEGWBXVQQCV-UHFFFAOYSA-N -1 1 341.375 -0.057 20 0 EBADMM CCN(C(=O)[C@H]1CCC[C@@H]1OC)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950667425 654216397 /nfs/dbraw/zinc/21/63/97/654216397.db2.gz NTPMHSTWZXPABK-STQMWFEESA-N -1 1 337.424 -0.044 20 0 EBADMM CN1CC[C@H](C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])C1=O ZINC000951654714 654232033 /nfs/dbraw/zinc/23/20/33/654232033.db2.gz HSPUTAHYGGICLZ-GHMZBOCLSA-N -1 1 332.360 -0.404 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CCC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000951661657 654236458 /nfs/dbraw/zinc/23/64/58/654236458.db2.gz RNYIHRBDZWXIBE-DCAQKATOSA-N -1 1 344.375 -0.206 20 0 EBADMM CCN(C(=O)c1n[nH]c(=O)[n-]c1=O)C1CN([C@H](C)c2n[nH]c(C)n2)C1 ZINC000950766817 654255196 /nfs/dbraw/zinc/25/51/96/654255196.db2.gz ZIDPHFFLDTXFFH-SSDOTTSWSA-N -1 1 348.367 -0.383 20 0 EBADMM O=C(N[C@H]1CCCN(C(=O)c2c[nH]c(=O)cn2)C1)c1ncccc1[O-] ZINC000951831574 654300347 /nfs/dbraw/zinc/30/03/47/654300347.db2.gz XZBVBPRCCBARLN-JTQLQIEISA-N -1 1 343.343 -0.095 20 0 EBADMM CCN(C(=O)c1coc(C(N)=O)c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950976087 654333685 /nfs/dbraw/zinc/33/36/85/654333685.db2.gz YDASWGMCSIGBOB-UHFFFAOYSA-N -1 1 348.363 -0.853 20 0 EBADMM CN1C[C@@H](C(=O)N2CCC[C@H](NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000951070798 654369720 /nfs/dbraw/zinc/36/97/20/654369720.db2.gz VCINIKVKJYXSDF-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1ncccc1[O-])C1CC(=O)NC(=O)C1 ZINC000951089234 654379165 /nfs/dbraw/zinc/37/91/65/654379165.db2.gz KQFKWMDECPQBLX-SNVBAGLBSA-N -1 1 346.343 -0.829 20 0 EBADMM CCN(C(=O)c1c(C)cnn1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000951193330 654415275 /nfs/dbraw/zinc/41/52/75/654415275.db2.gz UORFNYUQOXUCGL-UHFFFAOYSA-N -1 1 333.396 -0.503 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)[C@@H]1CC12CC2)c1cc(=O)n2[n-]cnc2n1 ZINC000951224654 654425448 /nfs/dbraw/zinc/42/54/48/654425448.db2.gz KENKMTDEHMWBMS-ZJUUUORDSA-N -1 1 342.359 -0.452 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)C1(CF)CC1)c1cc(=O)n2[n-]cnc2n1 ZINC000951224883 654425982 /nfs/dbraw/zinc/42/59/82/654425982.db2.gz PQZQBLZQEAGVTN-SECBINFHSA-N -1 1 348.338 -0.502 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)C1CCC1)c1cc(=O)n2[n-]cnc2n1 ZINC000951224877 654426008 /nfs/dbraw/zinc/42/60/08/654426008.db2.gz PODAHYFJSVHUSK-JTQLQIEISA-N -1 1 330.348 -0.452 20 0 EBADMM CC1(C(=O)N2CC[C@@H]2CNC(=O)c2cc(=O)n3[n-]cnc3n2)CCC1 ZINC000951225184 654426092 /nfs/dbraw/zinc/42/60/92/654426092.db2.gz WOVOMJIGOSMQBT-SNVBAGLBSA-N -1 1 344.375 -0.062 20 0 EBADMM O=C(NCC1CCN(C(=O)c2ncccc2[O-])CC1)[C@H]1COC(=O)N1 ZINC000952260328 654431796 /nfs/dbraw/zinc/43/17/96/654431796.db2.gz ZCCIWCKNMHSKAA-LLVKDONJSA-N -1 1 348.359 -0.136 20 0 EBADMM CC(C)C(=O)N[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1C ZINC000952369301 654449151 /nfs/dbraw/zinc/44/91/51/654449151.db2.gz BZVFTLNOAZNPIJ-VXGBXAGGSA-N -1 1 336.392 -0.454 20 0 EBADMM CCC(=O)N1CC[C@@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)[C@H]2C1 ZINC000953222698 654545101 /nfs/dbraw/zinc/54/51/01/654545101.db2.gz DCRLMWYLZYAPBD-PWSUYJOCSA-N -1 1 344.375 -0.109 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H]2CCN(C(C)=O)C[C@H]21 ZINC000953245411 654546360 /nfs/dbraw/zinc/54/63/60/654546360.db2.gz YDYZSIHJIDRXJG-VHSXEESVSA-N -1 1 336.348 -0.021 20 0 EBADMM CC(=O)N1CC[C@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]21 ZINC000953377016 654568897 /nfs/dbraw/zinc/56/88/97/654568897.db2.gz MMQVHLNXDZYVFP-ZYHUDNBSSA-N -1 1 330.348 -0.500 20 0 EBADMM CCc1cc(C(=O)N(C)C2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC000953769485 654621389 /nfs/dbraw/zinc/62/13/89/654621389.db2.gz JSMOSDZOAMFDIV-UHFFFAOYSA-N -1 1 347.335 -0.835 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(N(C)C(=O)c2cn[nH]c2)C1 ZINC000953795445 654629370 /nfs/dbraw/zinc/62/93/70/654629370.db2.gz PZKQEPWYWHBAFL-UHFFFAOYSA-N -1 1 348.319 -0.784 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(N(C)C(=O)c2cocn2)C1 ZINC000953889107 654648271 /nfs/dbraw/zinc/64/82/71/654648271.db2.gz KJTOBBYGVDBMHI-UHFFFAOYSA-N -1 1 349.303 -0.519 20 0 EBADMM CN(C(=O)C=C1CCC1)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000954023375 654670300 /nfs/dbraw/zinc/67/03/00/654670300.db2.gz YFILHYHGALOMDY-UHFFFAOYSA-N -1 1 342.359 -0.189 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1cccc(=O)[nH]1 ZINC000965845629 724530705 /nfs/dbraw/zinc/53/07/05/724530705.db2.gz NNMRMYHKRVSZCG-JQWIXIFHSA-N -1 1 346.391 -0.151 20 0 EBADMM C[C@H]1CCN(Cc2nnnn2C)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000965942342 724543909 /nfs/dbraw/zinc/54/39/09/724543909.db2.gz XXCRZRMOSZBLHW-QWRGUYRKSA-N -1 1 331.380 -0.049 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)nn1 ZINC000966049810 724562114 /nfs/dbraw/zinc/56/21/14/724562114.db2.gz SXVGXEIYMOYHAF-GXFFZTMASA-N -1 1 345.407 -0.153 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CN(C(=O)C(F)F)C[C@@H]1C ZINC000966016448 724559925 /nfs/dbraw/zinc/55/99/25/724559925.db2.gz DWPKIVJLIISSCR-NTSWFWBYSA-N -1 1 346.290 -0.262 20 0 EBADMM C[C@H]1CN(C(=O)C2CC2)C[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000966032055 724560592 /nfs/dbraw/zinc/56/05/92/724560592.db2.gz YTGAHHNLXHDTLP-GZMMTYOYSA-N -1 1 330.348 -0.596 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)CCn2cncn2)C1 ZINC000954124142 719569511 /nfs/dbraw/zinc/56/95/11/719569511.db2.gz MYFLZCYWYBAOQR-UHFFFAOYSA-N -1 1 330.348 -0.248 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)c2c[nH]c(=O)cn2)C1 ZINC000954125625 719569916 /nfs/dbraw/zinc/56/99/16/719569916.db2.gz VYTCYJDAXXBVRC-UHFFFAOYSA-N -1 1 329.316 -0.533 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC000954127963 719570674 /nfs/dbraw/zinc/57/06/74/719570674.db2.gz MZLJNWNEOYHGHI-SNVBAGLBSA-N -1 1 332.360 -0.452 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CC[C@H]2C(N)=O)C1 ZINC000954128245 719571334 /nfs/dbraw/zinc/57/13/34/719571334.db2.gz YLWUPKZHZCVIBA-MNOVXSKESA-N -1 1 332.360 -0.419 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@]2(C)CCC(=O)NC2)C1 ZINC000954128194 719571353 /nfs/dbraw/zinc/57/13/53/719571353.db2.gz WOWFCPUFJPOWJP-QGZVFWFLSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)CN1CCOCC1 ZINC000966341643 724618160 /nfs/dbraw/zinc/61/81/60/724618160.db2.gz ATAGJFQEXFFMOF-STQMWFEESA-N -1 1 348.403 -0.304 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)CN1CCOCC1 ZINC000966341642 724618315 /nfs/dbraw/zinc/61/83/15/724618315.db2.gz ATAGJFQEXFFMOF-QWHCGFSZSA-N -1 1 348.403 -0.304 20 0 EBADMM C[C@H]1CN(C(=O)c2cnn(C)c2N)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966349259 724619605 /nfs/dbraw/zinc/61/96/05/724619605.db2.gz MSPSBSWZMYCCAT-ONGXEEELSA-N -1 1 344.375 -0.007 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C1CN(C(=O)CC2CC2)C1 ZINC000954829085 719924387 /nfs/dbraw/zinc/92/43/87/719924387.db2.gz UYGUGYIDUATJBC-UHFFFAOYSA-N -1 1 336.348 -0.021 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)c2ccco2)C1 ZINC000954831753 719925504 /nfs/dbraw/zinc/92/55/04/719925504.db2.gz LDGQBBRASRDLOC-UHFFFAOYSA-N -1 1 342.315 -0.393 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CCN1C(=O)c1ccn[nH]1 ZINC000955575334 720194366 /nfs/dbraw/zinc/19/43/66/720194366.db2.gz YLBRKPKFZVUTNR-YUMQZZPRSA-N -1 1 347.335 -0.571 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)Cn2ncnn2)CCN1C(=O)c1ncccc1[O-] ZINC000955648931 720209843 /nfs/dbraw/zinc/20/98/43/720209843.db2.gz HIZAVRNDUBEHLM-QWRGUYRKSA-N -1 1 345.363 -0.417 20 0 EBADMM CN1CCO[C@H](C(=O)N2CCC[C@H]2CNC(=O)c2ncccc2[O-])C1 ZINC000955958385 720306747 /nfs/dbraw/zinc/30/67/47/720306747.db2.gz TZBMGERRORWNKT-JSGCOSHPSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CCC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000956154793 720380412 /nfs/dbraw/zinc/38/04/12/720380412.db2.gz NSGLOCSHLHLOAS-DCAQKATOSA-N -1 1 344.375 -0.206 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@@H]1CCCN1C(=O)C(N)=O ZINC000956187199 720393903 /nfs/dbraw/zinc/39/39/03/720393903.db2.gz OBUZNDZQJPNLAL-JTQLQIEISA-N -1 1 349.391 -0.180 20 0 EBADMM CCC(=O)N1CCC(C)(NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000956427378 720425948 /nfs/dbraw/zinc/42/59/48/720425948.db2.gz XNZXPFWFHQDRCO-UHFFFAOYSA-N -1 1 332.364 -0.062 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H](C)[C@H](NC(=O)C2CC2)C1 ZINC000966607607 724696835 /nfs/dbraw/zinc/69/68/35/724696835.db2.gz FAJLGDHMRGNSIO-IONNQARKSA-N -1 1 336.348 -0.117 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1C[C@H](NC(=O)C(N)=O)[C@@H](C)C1 ZINC000966659622 724709435 /nfs/dbraw/zinc/70/94/35/724709435.db2.gz QYNOAUDHDZOFGL-WPRPVWTQSA-N -1 1 349.391 -0.324 20 0 EBADMM C[C@H]1CN(C(=O)c2c[nH]c(=O)cn2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966742376 724735369 /nfs/dbraw/zinc/73/53/69/724735369.db2.gz QWHZWEPLRYDGJR-GXSJLCMTSA-N -1 1 343.343 -0.239 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1C ZINC000966885229 724775230 /nfs/dbraw/zinc/77/52/30/724775230.db2.gz YZHKHZCHBGRQEB-PSASIEDQSA-N -1 1 349.395 -0.514 20 0 EBADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)[C@@H]2CCNC2=O)C1 ZINC000956852216 722119749 /nfs/dbraw/zinc/11/97/49/722119749.db2.gz TXQZLKXHCXFOAY-HWPZZCPQSA-N -1 1 332.360 -0.356 20 0 EBADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)[C@@H]2CCC(=O)N2)C1 ZINC000956850561 722119757 /nfs/dbraw/zinc/11/97/57/722119757.db2.gz OLXFINXRQMQPMO-QFYYESIMSA-N -1 1 332.360 -0.213 20 0 EBADMM Cc1cc(=O)c(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c[nH]1 ZINC000957052756 722147670 /nfs/dbraw/zinc/14/76/70/722147670.db2.gz MEHYKBPDRXOLHQ-UHFFFAOYSA-N -1 1 332.364 -0.937 20 0 EBADMM CN1C[C@H](C(=O)N[C@@]2(C)CCN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC000957063755 722149350 /nfs/dbraw/zinc/14/93/50/722149350.db2.gz UKJMRHMVESWTSO-DIFFPNOSSA-N -1 1 346.387 -0.014 20 0 EBADMM CN1C[C@@H](C(=O)N[C@]2(C)CCN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC000957063754 722149412 /nfs/dbraw/zinc/14/94/12/722149412.db2.gz UKJMRHMVESWTSO-APPDUMDISA-N -1 1 346.387 -0.014 20 0 EBADMM CCN(C(=O)c1[nH]c(=O)[n-]c(=O)c1OC)C1CN(CCOC)C1 ZINC000957131999 722161565 /nfs/dbraw/zinc/16/15/65/722161565.db2.gz GTCLNVNTGNVWBD-UHFFFAOYSA-N -1 1 326.353 -0.311 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N[C@@]1(C)CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000957327147 722197102 /nfs/dbraw/zinc/19/71/02/722197102.db2.gz UITWTNYLDQATLH-PRXAMGSTSA-N -1 1 348.403 -0.310 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)COc3ccsc3)CC2)nc1=O ZINC000957335071 722197700 /nfs/dbraw/zinc/19/77/00/722197700.db2.gz HWIZRGBPFZHDBQ-UHFFFAOYSA-N -1 1 337.405 -0.107 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N1CCN(Cc2n[nH]c(C3CC3)n2)CC1 ZINC000957473857 722215616 /nfs/dbraw/zinc/21/56/16/722215616.db2.gz HVERXEGYYSJZOS-UHFFFAOYSA-N -1 1 348.367 -0.971 20 0 EBADMM Cn1[n-]c(CN2C[C@H](O)[C@H](CNC(=O)c3ccccc3F)C2)nc1=O ZINC000957813119 722244632 /nfs/dbraw/zinc/24/46/32/722244632.db2.gz WZOPBXSZNSALMG-MFKMUULPSA-N -1 1 349.366 -0.530 20 0 EBADMM CO[C@H]1CCCC[C@@H]1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000957866015 722254361 /nfs/dbraw/zinc/25/43/61/722254361.db2.gz QWELFLGBUATLOE-STQMWFEESA-N -1 1 337.424 -0.042 20 0 EBADMM CN(C)c1ccnc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c1 ZINC000957876824 722255709 /nfs/dbraw/zinc/25/57/09/722255709.db2.gz XCQCDXUTKJMGBG-UHFFFAOYSA-N -1 1 345.407 -0.473 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)oc1C ZINC000957978773 722280757 /nfs/dbraw/zinc/28/07/57/722280757.db2.gz IPHANNOQJSWYFY-NEPJUHHUSA-N -1 1 349.391 -0.459 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2CN(Cc3nccnc3C)C[C@H]2O)c1[O-] ZINC000957985936 722282895 /nfs/dbraw/zinc/28/28/95/722282895.db2.gz LBGSBMLWRZAXHH-WCQYABFASA-N -1 1 346.391 -0.255 20 0 EBADMM CCc1nc(CN2C[C@H](O)[C@H](CNC(=O)c3ncccc3[O-])C2)no1 ZINC000957989391 722283303 /nfs/dbraw/zinc/28/33/03/722283303.db2.gz CLEQQOVXSZFFRV-PWSUYJOCSA-N -1 1 347.375 -0.045 20 0 EBADMM Cn1ncc(CN2C[C@@H](CNC(=O)c3ncccc3[O-])[C@H](O)C2)n1 ZINC000957990660 722283822 /nfs/dbraw/zinc/28/38/22/722283822.db2.gz OAYOYGJVHJNKKH-ZWNOBZJWSA-N -1 1 332.364 -0.862 20 0 EBADMM O=C(NC[C@H]1CN(Cc2cnsn2)C[C@H]1O)c1ncccc1[O-] ZINC000957991925 722284326 /nfs/dbraw/zinc/28/43/26/722284326.db2.gz UTUMQRUTCIINRA-JOYOIKCWSA-N -1 1 335.389 -0.139 20 0 EBADMM Cc1coc(C)c1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC000958042473 722295981 /nfs/dbraw/zinc/29/59/81/722295981.db2.gz VKYJKMBETCKPMD-NEPJUHHUSA-N -1 1 349.391 -0.459 20 0 EBADMM CCc1[nH]ccc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC000958047105 722296735 /nfs/dbraw/zinc/29/67/35/722296735.db2.gz PLHRRNWNIAOJLJ-ZWNOBZJWSA-N -1 1 348.407 -0.778 20 0 EBADMM CCc1ccc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)o1 ZINC000958186658 722320971 /nfs/dbraw/zinc/32/09/71/722320971.db2.gz FHMSUUQKUQKQLL-ZYHUDNBSSA-N -1 1 349.391 -0.514 20 0 EBADMM Cn1[n-]c(CN2C[C@H](O)[C@@H](CNC(=O)C3CCC=CCC3)C2)nc1=O ZINC000958682716 722416963 /nfs/dbraw/zinc/41/69/63/722416963.db2.gz BWDHFPSYGFBXTJ-KBPBESRZSA-N -1 1 349.435 -0.236 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H]2CCCOCC2)nc1=O ZINC000958723554 722419971 /nfs/dbraw/zinc/41/99/71/722419971.db2.gz NQOPGNIPVIMCSO-NMKXLXIOSA-N -1 1 335.408 -0.529 20 0 EBADMM Cc1nc(C(=O)N2C[C@H](O)[C@@H](N(C)C(=O)c3ncccc3[O-])C2)c[nH]1 ZINC000958830327 722604145 /nfs/dbraw/zinc/60/41/45/722604145.db2.gz PZQPAPRZJMFPJP-AAEUAGOBSA-N -1 1 345.359 -0.224 20 0 EBADMM Cc1n[nH]cc1C(=O)N1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000958830250 722604173 /nfs/dbraw/zinc/60/41/73/722604173.db2.gz MPQYIQBHGAFURJ-AAEUAGOBSA-N -1 1 345.359 -0.224 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)c2ccn(C)n2)C[C@@H]1O ZINC000958830784 722604755 /nfs/dbraw/zinc/60/47/55/722604755.db2.gz KDJZTQCVXPMACW-AAEUAGOBSA-N -1 1 345.359 -0.522 20 0 EBADMM CN(C(=O)Cn1ccnc1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958881313 722634918 /nfs/dbraw/zinc/63/49/18/722634918.db2.gz QYICUUVYRMKAJO-AAEUAGOBSA-N -1 1 345.359 -0.672 20 0 EBADMM O=C(c1cnc2n[nH]nc2c1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001018976992 728760883 /nfs/dbraw/zinc/76/08/83/728760883.db2.gz LVGAVLDWIPZATQ-QMMMGPOBSA-N -1 1 329.324 -0.819 20 0 EBADMM CC(C)(C(N)=O)C(=O)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001018962493 728756882 /nfs/dbraw/zinc/75/68/82/728756882.db2.gz RPZFZTLOAKGCHB-UHFFFAOYSA-N -1 1 346.387 -0.027 20 0 EBADMM Cn1ccc(CC(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)n1 ZINC000960004717 722782278 /nfs/dbraw/zinc/78/22/78/722782278.db2.gz PLPRWWGYKTUOCQ-LBPRGKRZSA-N -1 1 333.396 -0.043 20 0 EBADMM Cn1cnc(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)cc1=O ZINC000960028338 722784354 /nfs/dbraw/zinc/78/43/54/722784354.db2.gz SRYAEHKDPJEOHW-JTQLQIEISA-N -1 1 347.379 -0.612 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)c(C)nn1 ZINC000960078509 722789370 /nfs/dbraw/zinc/78/93/70/722789370.db2.gz JZFZYLAXZXWJEO-IMRBUKKESA-N -1 1 343.391 -0.625 20 0 EBADMM CC(C)n1nccc1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000960089080 722791094 /nfs/dbraw/zinc/79/10/94/722791094.db2.gz UBPBMJYIZAOFRD-YABSGUDNSA-N -1 1 345.407 -0.254 20 0 EBADMM Cn1c(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)c[nH]c1=O ZINC000960088814 722791131 /nfs/dbraw/zinc/79/11/31/722791131.db2.gz YUZMYNCSVLIGOW-VIFPVBQESA-N -1 1 335.368 -0.266 20 0 EBADMM Cc1cc(C(=O)N2CCC[C@H]2CN(C)Cc2nc(=O)n(C)[n-]2)cnn1 ZINC000960583608 722841481 /nfs/dbraw/zinc/84/14/81/722841481.db2.gz XNXZQNYWNIFRCZ-ZDUSSCGKSA-N -1 1 345.407 -0.057 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)[C@]12C[C@H]1COC2 ZINC000960642048 722847886 /nfs/dbraw/zinc/84/78/86/722847886.db2.gz GSEGNWPUJSKHEK-OZVIIMIRSA-N -1 1 335.408 -0.432 20 0 EBADMM COC1CC(C(=O)N2CCC[C@@H]2CN(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000960698617 722854430 /nfs/dbraw/zinc/85/44/30/722854430.db2.gz FQTKCDITCCYJCU-OTTFEQOBSA-N -1 1 337.424 -0.044 20 0 EBADMM Cc1ncsc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC000960838967 722871741 /nfs/dbraw/zinc/87/17/41/722871741.db2.gz QGSOIIVCNDYGCZ-MBTKJCJQSA-N -1 1 348.388 -0.092 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)c1ccncn1 ZINC000960924009 722879379 /nfs/dbraw/zinc/87/93/79/722879379.db2.gz NBTPMKSGQWWSRF-LLVKDONJSA-N -1 1 331.380 -0.365 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H]2OC[C@@H]3CCC[C@@H]32)nc1=O ZINC000961235200 722921375 /nfs/dbraw/zinc/92/13/75/722921375.db2.gz ILIVRBLGPWAXQX-WKKWAXIPSA-N -1 1 347.419 -0.530 20 0 EBADMM NC(=O)C(=O)N1C[C@H]2CN(C(=O)c3cnc(C4CC4)[n-]c3=O)C[C@H]2C1 ZINC000961588522 723041571 /nfs/dbraw/zinc/04/15/71/723041571.db2.gz ISWNEAAVUYSTBH-AOOOYVTPSA-N -1 1 345.359 -0.925 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]21)[C@H]1CCCc2n[nH]nc21 ZINC000961613767 723045821 /nfs/dbraw/zinc/04/58/21/723045821.db2.gz BUQGDFCVVDDMTD-APOZVJGGSA-N -1 1 344.379 -0.705 20 0 EBADMM O=C(Cn1nccn1)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001019054143 728784279 /nfs/dbraw/zinc/78/42/79/728784279.db2.gz ABLNYAVUSQYDOJ-UHFFFAOYSA-N -1 1 342.359 -0.247 20 0 EBADMM CN(C)Cc1cc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)no1 ZINC001019069680 728789039 /nfs/dbraw/zinc/78/90/39/728789039.db2.gz CALXOYFQDBKUAW-VIFPVBQESA-N -1 1 335.368 -0.436 20 0 EBADMM CCn1ccc(CN[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001019094722 728794511 /nfs/dbraw/zinc/79/45/11/728794511.db2.gz JJPBCMIDJAGHRV-JTQLQIEISA-N -1 1 333.352 -0.497 20 0 EBADMM COc1cc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)on1 ZINC000962396087 723239655 /nfs/dbraw/zinc/23/96/55/723239655.db2.gz MXFZMJHATALUQP-SCZZXKLOSA-N -1 1 348.315 -0.601 20 0 EBADMM CS[C@H](C)C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962434805 723252016 /nfs/dbraw/zinc/25/20/16/723252016.db2.gz LMQIUTBKSSYYCC-KKZNHRDASA-N -1 1 325.390 -0.160 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)C2CCOCC2)C[C@@H]1O)c1ncccc1[O-] ZINC000962435927 723252491 /nfs/dbraw/zinc/25/24/91/723252491.db2.gz YDHOGLAFNSLMMW-YPMHNXCESA-N -1 1 335.360 -0.485 20 0 EBADMM O=C(C[C@@H]1CCOC1)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962435752 723252580 /nfs/dbraw/zinc/25/25/80/723252580.db2.gz TUWUUNLTBFFOBF-LOWVWBTDSA-N -1 1 335.360 -0.485 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ccsn2)C[C@@H]1O)c1ncccc1[O-] ZINC000962436950 723252864 /nfs/dbraw/zinc/25/28/64/723252864.db2.gz MBSIETBPVUHPEN-KOLCDFICSA-N -1 1 334.357 -0.141 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)[C@]23C[C@H]2COC3)C[C@@H]1O)c1ncccc1[O-] ZINC000962439805 723253837 /nfs/dbraw/zinc/25/38/37/723253837.db2.gz CCRSNQGXUKHVEI-FXZYXXQUSA-N -1 1 333.344 -0.875 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H]2CC23CCOCC3)nc1=O ZINC000963147177 723385095 /nfs/dbraw/zinc/38/50/95/723385095.db2.gz WXXQLCNFUZGVGV-KZVDOYCCSA-N -1 1 347.419 -0.529 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1cn[nH]n1)c1ncccc1[O-] ZINC000964155839 723841672 /nfs/dbraw/zinc/84/16/72/723841672.db2.gz SDPNJMXBJZRJLU-SECBINFHSA-N -1 1 332.320 -0.824 20 0 EBADMM Cc1cc(C(=O)N2CCOC[C@H]2CNC(=O)c2[nH]nc(C)c2[O-])n[nH]1 ZINC000964155854 723841770 /nfs/dbraw/zinc/84/17/70/723841770.db2.gz OHLSQJFLNYEDIO-SNVBAGLBSA-N -1 1 348.363 -0.274 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)C1=COCCO1)c1ncccc1[O-] ZINC000964158874 723844692 /nfs/dbraw/zinc/84/46/92/723844692.db2.gz PLZVNKKUWPOKMU-LLVKDONJSA-N -1 1 349.343 -0.367 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1ncccc1[O-])c1cncnc1 ZINC000964165288 723849231 /nfs/dbraw/zinc/84/92/31/723849231.db2.gz PNOGLYSBODRVRF-LBPRGKRZSA-N -1 1 343.343 -0.152 20 0 EBADMM Cn1ccc(C(=O)NC[C@H]2COCCN2C(=O)c2ncccc2[O-])n1 ZINC000964188576 723869609 /nfs/dbraw/zinc/86/96/09/723869609.db2.gz DWXYWYKDAZYZLY-NSHDSACASA-N -1 1 345.359 -0.208 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1ncccc1[O-])[C@]12C[C@H]1COC2 ZINC000964212156 723884205 /nfs/dbraw/zinc/88/42/05/723884205.db2.gz CILPXIPWHZQMQD-JKDFXYPNSA-N -1 1 347.371 -0.219 20 0 EBADMM O=C(N[C@H]1CCCN(C(=O)[C@H]2CNC(=O)N2)CC1)c1ncccc1[O-] ZINC000964855427 724066778 /nfs/dbraw/zinc/06/67/78/724066778.db2.gz OJTZLFTZVBZXOG-WDEREUQCSA-N -1 1 347.375 -0.421 20 0 EBADMM Cn1cc(CC(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c(Cl)n1 ZINC001019379873 728875098 /nfs/dbraw/zinc/87/50/98/728875098.db2.gz TXNCSHYQCHTEAS-VIFPVBQESA-N -1 1 339.787 -0.170 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cn1cccn1 ZINC000965146607 724136085 /nfs/dbraw/zinc/13/60/85/724136085.db2.gz CROUDUVNMCGJIJ-VXGBXAGGSA-N -1 1 333.396 -0.668 20 0 EBADMM O=C(c1cn[nH]c1)N1CC2(C1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC000965362565 724198332 /nfs/dbraw/zinc/19/83/32/724198332.db2.gz CYZFJRFOJDVLRW-UHFFFAOYSA-N -1 1 343.343 -0.123 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cc1cnn(C)c1 ZINC000965527679 724285231 /nfs/dbraw/zinc/28/52/31/724285231.db2.gz OTFATXNKIJVQFE-WCQYABFASA-N -1 1 347.423 -0.589 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)Cc1ccon1 ZINC000965558346 724298009 /nfs/dbraw/zinc/29/80/09/724298009.db2.gz MTFBHDJQCGSDIH-JQWIXIFHSA-N -1 1 334.380 -0.334 20 0 EBADMM Cc1nn(C)cc1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1C ZINC000965596106 724312134 /nfs/dbraw/zinc/31/21/34/724312134.db2.gz LIQAHMUNFLOJFG-GWCFXTLKSA-N -1 1 347.423 -0.209 20 0 EBADMM O=C(N[C@@H]1COC2(CN(C(=O)c3ncccc3[O-])C2)C1)c1ccn[nH]1 ZINC000965633889 724328210 /nfs/dbraw/zinc/32/82/10/724328210.db2.gz ALLQAUFRUCAJTC-JTQLQIEISA-N -1 1 343.343 -0.076 20 0 EBADMM Cc1cc(CC(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)no1 ZINC000965649808 724342075 /nfs/dbraw/zinc/34/20/75/724342075.db2.gz CBTXCEKWEOYYKY-GWCFXTLKSA-N -1 1 348.407 -0.026 20 0 EBADMM CC(=O)N1CC[C@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]2CC1 ZINC000967293045 724862357 /nfs/dbraw/zinc/86/23/57/724862357.db2.gz IPUVHPPHSAYLII-TXEJJXNPSA-N -1 1 344.375 -0.252 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1csnn1 ZINC000967493990 724877181 /nfs/dbraw/zinc/87/71/81/724877181.db2.gz HITUXLGIJZOTRN-RKDXNWHRSA-N -1 1 337.409 -0.400 20 0 EBADMM Cc1n[nH]cc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1C ZINC000967629615 724887522 /nfs/dbraw/zinc/88/75/22/724887522.db2.gz QPWJSYQTVOWPOG-BXKDBHETSA-N -1 1 333.396 -0.220 20 0 EBADMM Cc1n[nH]cc1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1C ZINC000967629621 724887568 /nfs/dbraw/zinc/88/75/68/724887568.db2.gz QPWJSYQTVOWPOG-SKDRFNHKSA-N -1 1 333.396 -0.220 20 0 EBADMM NC(=O)C(=O)N1CCC2(CC1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC000967690213 724894286 /nfs/dbraw/zinc/89/42/86/724894286.db2.gz CGLITYAHQJSAOQ-UHFFFAOYSA-N -1 1 348.359 -0.894 20 0 EBADMM Cc1nn[nH]c1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1C ZINC000967700669 724896661 /nfs/dbraw/zinc/89/66/61/724896661.db2.gz JAWVGMPZCKRNBD-PSASIEDQSA-N -1 1 334.384 -0.825 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)C1CC1 ZINC000967967150 724940588 /nfs/dbraw/zinc/94/05/88/724940588.db2.gz CWJYRTPMXWSWQN-BETUJISGSA-N -1 1 346.387 -0.462 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H](NCc2ncccn2)C1 ZINC001019523038 728907955 /nfs/dbraw/zinc/90/79/55/728907955.db2.gz PRVMHVKUTBEMMU-LBPRGKRZSA-N -1 1 344.375 -0.893 20 0 EBADMM CCN(C(=O)c1[nH]c(=O)[n-]c(=O)c1OC)C1CN(C(=O)COC)C1 ZINC000968162002 724971232 /nfs/dbraw/zinc/97/12/32/724971232.db2.gz LIHILZFJCKFEEL-UHFFFAOYSA-N -1 1 340.336 -0.784 20 0 EBADMM COCCN1CC[C@H](C)[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC000968432090 725063397 /nfs/dbraw/zinc/06/33/97/725063397.db2.gz VIHVOXPASGRWIB-WCQYABFASA-N -1 1 348.407 -0.456 20 0 EBADMM O=C([C@@H]1CCc2ncncc2C1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000968890006 725126118 /nfs/dbraw/zinc/12/61/18/725126118.db2.gz VGARFVHXNMDRNY-ZYHUDNBSSA-N -1 1 343.391 -0.204 20 0 EBADMM O=C(c1cn2c(n1)COCC2)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969089319 725150154 /nfs/dbraw/zinc/15/01/54/725150154.db2.gz WYYVPQKHXWTOPY-SECBINFHSA-N -1 1 333.352 -0.759 20 0 EBADMM O=C([C@H]1CCc2nccn2C1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969138315 725155276 /nfs/dbraw/zinc/15/52/76/725155276.db2.gz RJNCPKVAHSXRMA-WDEREUQCSA-N -1 1 331.380 -0.340 20 0 EBADMM CCn1cc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC000969405278 725176993 /nfs/dbraw/zinc/17/69/93/725176993.db2.gz ZNTPPAIJHBIMGL-JTQLQIEISA-N -1 1 333.396 -0.425 20 0 EBADMM CCc1ocnc1C(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969411483 725177764 /nfs/dbraw/zinc/17/77/64/725177764.db2.gz LPICJLKBTYXAMT-SECBINFHSA-N -1 1 334.380 -0.091 20 0 EBADMM Cc1nc2ccnn2cc1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019584973 728930857 /nfs/dbraw/zinc/93/08/57/728930857.db2.gz RBTKPTJIBZQJKJ-JTQLQIEISA-N -1 1 342.363 -0.134 20 0 EBADMM O=C(c1c[nH]nc1[C@@H]1CCOC1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969485960 725185163 /nfs/dbraw/zinc/18/51/63/725185163.db2.gz YUSGRSKNDQVRQT-NXEZZACHSA-N -1 1 347.379 -0.258 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(CC(=O)N2CCOCC2)C1 ZINC000969546908 725191684 /nfs/dbraw/zinc/19/16/84/725191684.db2.gz VYPBGUPINIXFMG-LBPRGKRZSA-N -1 1 348.403 -0.304 20 0 EBADMM Cc1cc(CN[C@@H]2CCN(C(=O)c3cnc([O-])n(C)c3=O)C2)on1 ZINC000969553674 725192346 /nfs/dbraw/zinc/19/23/46/725192346.db2.gz VKAZKXLQKTYGIM-SNVBAGLBSA-N -1 1 333.348 -0.213 20 0 EBADMM Cn1ccc(CN[C@@H]2CCN(C(=O)c3cnc([O-])n(C)c3=O)C2)n1 ZINC000969554161 725192379 /nfs/dbraw/zinc/19/23/79/725192379.db2.gz CELXMYHXDZNILU-LLVKDONJSA-N -1 1 332.364 -0.776 20 0 EBADMM C[C@@H](NC(=O)[C@H]1C[C@@H]1C(F)F)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969579353 725194455 /nfs/dbraw/zinc/19/44/55/725194455.db2.gz NUCDBUGDOGJMLR-JEZHCXPESA-N -1 1 329.351 -0.054 20 0 EBADMM Cc1cn(C)nc1C(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969654620 725200512 /nfs/dbraw/zinc/20/05/12/725200512.db2.gz SBXVIBREUYOGBZ-JTQLQIEISA-N -1 1 333.396 -0.599 20 0 EBADMM CC(C)N1C(=O)CC[C@H]1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969702179 725204187 /nfs/dbraw/zinc/20/41/87/725204187.db2.gz SCIQSOLTIIUPEJ-MNOVXSKESA-N -1 1 336.396 -0.400 20 0 EBADMM C[C@H](NC(=O)c1cnn2c1CCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969801856 725218559 /nfs/dbraw/zinc/21/85/59/725218559.db2.gz XHQCJBOSPQTSRT-JTQLQIEISA-N -1 1 345.407 -0.499 20 0 EBADMM COc1cc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)ccn1 ZINC000970032141 725241165 /nfs/dbraw/zinc/24/11/65/725241165.db2.gz OUUMXYUARDBHGX-JTQLQIEISA-N -1 1 346.391 -0.238 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CC[C@@H](NCc2ccon2)C1 ZINC000970474804 725294994 /nfs/dbraw/zinc/29/49/94/725294994.db2.gz GAHYBFDERAZKNW-SNVBAGLBSA-N -1 1 329.320 -0.590 20 0 EBADMM CCCn1cc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC000970663227 725313525 /nfs/dbraw/zinc/31/35/25/725313525.db2.gz QTANOPUROFTQMF-SNVBAGLBSA-N -1 1 348.411 -0.640 20 0 EBADMM Cc1ccn(CC(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)n1 ZINC000970686208 725317029 /nfs/dbraw/zinc/31/70/29/725317029.db2.gz XBTWRCFSSCWYED-YPMHNXCESA-N -1 1 345.359 -0.706 20 0 EBADMM O=C(Cc1c[nH]cn1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000970951789 725344901 /nfs/dbraw/zinc/34/49/01/725344901.db2.gz YBYJSGLUEFUJQB-PWSUYJOCSA-N -1 1 331.332 -0.946 20 0 EBADMM Cc1cc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC000971153512 725352275 /nfs/dbraw/zinc/35/22/75/725352275.db2.gz KTLPCOXDISLVKP-NSHDSACASA-N -1 1 333.396 -0.503 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)c2ccnc(F)c2)C1 ZINC000971187951 725353336 /nfs/dbraw/zinc/35/33/36/725353336.db2.gz UNSOCAZTFGTPDV-LLVKDONJSA-N -1 1 334.355 -0.011 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)Cc2cccnc2)C1 ZINC000971345067 725359919 /nfs/dbraw/zinc/35/99/19/725359919.db2.gz BDMXLFQQPSPZKM-ZDUSSCGKSA-N -1 1 330.392 -0.221 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)CC2OCCCO2)C1 ZINC000971403394 725363344 /nfs/dbraw/zinc/36/33/44/725363344.db2.gz HYQKPKFSWYVIIO-NSHDSACASA-N -1 1 339.396 -0.706 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)CCc2ncc[nH]2)C1 ZINC000971428557 725365286 /nfs/dbraw/zinc/36/52/86/725365286.db2.gz AFOPKVISIVXZGM-NSHDSACASA-N -1 1 333.396 -0.503 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)Cc2ccn(C)n2)C1 ZINC000971517173 725370277 /nfs/dbraw/zinc/37/02/77/725370277.db2.gz NNYKWWSXJPROGK-LBPRGKRZSA-N -1 1 333.396 -0.883 20 0 EBADMM O=C(c1ncccc1[O-])N1CC[C@@H]2CN(C(=O)[C@H]3CCC(=O)N3)[C@@H]2C1 ZINC000971556103 725420950 /nfs/dbraw/zinc/42/09/50/725420950.db2.gz CWDANOIIMOXTSY-IJLUTSLNSA-N -1 1 344.371 -0.261 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)CCc2ccn(C)n2)C1 ZINC000971622126 725425618 /nfs/dbraw/zinc/42/56/18/725425618.db2.gz RKPWBNZWHNCNCA-CYBMUJFWSA-N -1 1 347.423 -0.493 20 0 EBADMM Cc1nn(C)cc1CC(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000971696039 725431149 /nfs/dbraw/zinc/43/11/49/725431149.db2.gz KKOOQBSEKZFYAC-CYBMUJFWSA-N -1 1 347.423 -0.574 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)c2n[nH]c3c2CCC3)C1 ZINC000971854369 725442261 /nfs/dbraw/zinc/44/22/61/725442261.db2.gz OPULXWOPPSRKBI-SNVBAGLBSA-N -1 1 345.407 -0.333 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)c2n[nH]c3c2CCC3)C1 ZINC000971854367 725442469 /nfs/dbraw/zinc/44/24/69/725442469.db2.gz OPULXWOPPSRKBI-JTQLQIEISA-N -1 1 345.407 -0.333 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)[C@@H]2CCO[C@H]2C2CC2)C1 ZINC000971982838 725452429 /nfs/dbraw/zinc/45/24/29/725452429.db2.gz RXLXRZASVMFHLC-NFAWXSAZSA-N -1 1 349.435 -0.044 20 0 EBADMM Cc1noc(CCC(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000972048921 725458821 /nfs/dbraw/zinc/45/88/21/725458821.db2.gz LUXHXYXZLAATMH-LLVKDONJSA-N -1 1 349.395 -0.535 20 0 EBADMM CSCC(=O)N1CC[C@@]2(C1)CN(Cc1nc(=O)n(C)[n-]1)CCO2 ZINC000972243813 725483667 /nfs/dbraw/zinc/48/36/67/725483667.db2.gz SPCQUCDLKYIXSJ-AWEZNQCLSA-N -1 1 341.437 -0.725 20 0 EBADMM Cn1[n-]c(CN2CCO[C@]3(CCN(C(=O)c4ccc[nH]4)C3)C2)nc1=O ZINC000972256222 725486688 /nfs/dbraw/zinc/48/66/88/725486688.db2.gz ICKGSEBPTZHSNS-MRXNPFEDSA-N -1 1 346.391 -0.446 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)c2cc(C(N)=O)co2)C1 ZINC000972299287 725493850 /nfs/dbraw/zinc/49/38/50/725493850.db2.gz DZBVVNAVOKLUAY-JTQLQIEISA-N -1 1 348.363 -0.853 20 0 EBADMM Cc1n[nH]cc1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC000991646612 725509485 /nfs/dbraw/zinc/50/94/85/725509485.db2.gz OVXVRFASWBUBAZ-WCQYABFASA-N -1 1 345.359 -0.176 20 0 EBADMM Cn1cnc(C(=O)N2CC(NC(=O)c3cnc(C4CC4)[n-]c3=O)C2)n1 ZINC000991655753 725517526 /nfs/dbraw/zinc/51/75/26/725517526.db2.gz UTRHHEWHQIBUGN-UHFFFAOYSA-N -1 1 343.347 -0.558 20 0 EBADMM CC[C@H](F)C(=O)N1CC[C@]2(C1)CN(Cc1nc(=O)n(C)[n-]1)CCO2 ZINC000972502035 725536685 /nfs/dbraw/zinc/53/66/85/725536685.db2.gz KPAFTEHTIXWBPV-XHDPSFHLSA-N -1 1 341.387 -0.340 20 0 EBADMM CC[C@@H](F)C(=O)N1CC[C@]2(C1)CN(Cc1nc(=O)n(C)[n-]1)CCO2 ZINC000972502031 725536715 /nfs/dbraw/zinc/53/67/15/725536715.db2.gz KPAFTEHTIXWBPV-IAQYHMDHSA-N -1 1 341.387 -0.340 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)[C@]2(C)CCCOC2)C1 ZINC000972627385 725564751 /nfs/dbraw/zinc/56/47/51/725564751.db2.gz GIDMBZHOTSMKFJ-MLGOLLRUSA-N -1 1 337.424 -0.042 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1cccnn1 ZINC000991713885 725565894 /nfs/dbraw/zinc/56/58/94/725565894.db2.gz YTHQMWGIXLIKFS-MFKMUULPSA-N -1 1 343.343 -0.417 20 0 EBADMM CC(C)(C(N)=O)C(=O)N1C[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000972656724 725571602 /nfs/dbraw/zinc/57/16/02/725571602.db2.gz LREDDDDCRQYWPR-GHMZBOCLSA-N -1 1 346.387 -0.028 20 0 EBADMM C[C@@H](CC(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1)n1cccn1 ZINC000972688701 725581202 /nfs/dbraw/zinc/58/12/02/725581202.db2.gz VXXHZGNUQVQIAN-QWHCGFSZSA-N -1 1 347.423 -0.011 20 0 EBADMM C[C@H](C(N)=O)N(C)[C@H]1CCN(C(=O)c2ccc3oc(=O)nc-3[n-]2)C1 ZINC000972720578 725587868 /nfs/dbraw/zinc/58/78/68/725587868.db2.gz LHDFSWVZVHUETI-BDAKNGLRSA-N -1 1 333.348 -0.052 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)Cc2cncn2C)C1 ZINC000972724325 725588341 /nfs/dbraw/zinc/58/83/41/725588341.db2.gz PQTFTCKYKMDVGF-LLVKDONJSA-N -1 1 333.396 -0.883 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)Cc2cncn2C)C1 ZINC000972724328 725588759 /nfs/dbraw/zinc/58/87/59/725588759.db2.gz PQTFTCKYKMDVGF-NSHDSACASA-N -1 1 333.396 -0.883 20 0 EBADMM O=C(c1cnc2n1CCC2)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051659947 735299349 /nfs/dbraw/zinc/29/93/49/735299349.db2.gz HHEHRMQMFMRIBB-SNVBAGLBSA-N -1 1 347.379 -0.716 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)[nH]n1 ZINC000972830356 725596556 /nfs/dbraw/zinc/59/65/56/725596556.db2.gz FIXBQGFNONHMNU-LLVKDONJSA-N -1 1 333.396 -0.220 20 0 EBADMM O=C(CC1CC1)N[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000972887165 725599954 /nfs/dbraw/zinc/59/99/54/725599954.db2.gz ATJGFOMLCPIODH-MGCOHNPYSA-N -1 1 330.348 -0.405 20 0 EBADMM Cc1ncc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)[nH]1 ZINC000972968819 725613807 /nfs/dbraw/zinc/61/38/07/725613807.db2.gz SVCXWZBRMOBRRO-NSHDSACASA-N -1 1 333.396 -0.220 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)C[C@@H]3CCOC3)C(C)(C)C2)nc1=O ZINC000972978280 725614541 /nfs/dbraw/zinc/61/45/41/725614541.db2.gz AYJQBCCRJCIHMR-RYUDHWBXSA-N -1 1 337.424 -0.138 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C[C@H]3CCOC3)C(C)(C)C2)nc1=O ZINC000972978281 725614613 /nfs/dbraw/zinc/61/46/13/725614613.db2.gz AYJQBCCRJCIHMR-VXGBXAGGSA-N -1 1 337.424 -0.138 20 0 EBADMM Cc1c(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)nnn1C ZINC000972987760 725615800 /nfs/dbraw/zinc/61/58/00/725615800.db2.gz ZROSMQZVGYZEBD-SNVBAGLBSA-N -1 1 348.411 -0.814 20 0 EBADMM O=C(N[C@H]1C[C@H](NC(=O)C2CC=CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000973115288 725644654 /nfs/dbraw/zinc/64/46/54/725644654.db2.gz DTHMOYOFLMSQOD-XYPYZODXSA-N -1 1 342.359 -0.239 20 0 EBADMM O=C(C=C1CCC1)N[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000973159798 725653800 /nfs/dbraw/zinc/65/38/00/725653800.db2.gz ZERWQXZNYVKNHF-XYPYZODXSA-N -1 1 342.359 -0.095 20 0 EBADMM NC(=O)[C@H]1CC[C@@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973198856 725668058 /nfs/dbraw/zinc/66/80/58/725668058.db2.gz LDFHCFZTYNCRCJ-NAKRPEOUSA-N -1 1 332.360 -0.324 20 0 EBADMM C[C@H](C(N)=O)N1CC[C@]2(NC(=O)c3cnc([O-])n(C)c3=O)CCC[C@@H]12 ZINC000992106276 725726447 /nfs/dbraw/zinc/72/64/47/725726447.db2.gz FFGGTLJVVCVPJJ-ZYSBLVPFSA-N -1 1 349.391 -0.914 20 0 EBADMM O=C(NC1CC(CNC(=O)[C@@H]2CCC(=O)NC2)C1)c1ncccc1[O-] ZINC000992223699 725754178 /nfs/dbraw/zinc/75/41/78/725754178.db2.gz QJZBZMCNTGHPMT-MOENNCHZSA-N -1 1 346.387 -0.062 20 0 EBADMM C[C@@H]1C[C@H]1CC(=O)N[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000973465779 725756098 /nfs/dbraw/zinc/75/60/98/725756098.db2.gz PPHXBRYIFKYQTN-LMLFDSFASA-N -1 1 344.375 -0.159 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)c4cnon4)CCC[C@H]23)nc1=O ZINC000992344479 725783266 /nfs/dbraw/zinc/78/32/66/725783266.db2.gz IOXBJOZGRCSLRA-HZMBPMFUSA-N -1 1 333.352 -0.582 20 0 EBADMM NC(=O)C(=O)N1CC[C@H]2[C@H](CCCN2C(=O)c2ncccc2[O-])C1 ZINC000973730050 725793961 /nfs/dbraw/zinc/79/39/61/725793961.db2.gz ZGQNRPYNICSWRS-MNOVXSKESA-N -1 1 332.360 -0.274 20 0 EBADMM O=C(CN1CN=NC1=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974054099 725858147 /nfs/dbraw/zinc/85/81/47/725858147.db2.gz UWJMOJOGHGAHKH-DTORHVGOSA-N -1 1 332.320 -0.839 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2cnn(C)c2N)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993236621 725864839 /nfs/dbraw/zinc/86/48/39/725864839.db2.gz ULTJLVXYXCXOSX-GXSJLCMTSA-N -1 1 348.411 -0.793 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cncnc2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993264866 725866989 /nfs/dbraw/zinc/86/69/89/725866989.db2.gz OEOFDQUDJUHUHZ-PWSUYJOCSA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2cn(C)nn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993295397 725870075 /nfs/dbraw/zinc/87/00/75/725870075.db2.gz HSPSCTMXZRJOPM-VHSXEESVSA-N -1 1 334.384 -0.980 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cnc[nH]c2=O)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993462300 725891344 /nfs/dbraw/zinc/89/13/44/725891344.db2.gz BRSWCCMJEWGFIJ-KOLCDFICSA-N -1 1 347.379 -0.613 20 0 EBADMM Cc1nnccc1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC000993549401 725902098 /nfs/dbraw/zinc/90/20/98/725902098.db2.gz OHKSBFUMPASBQA-YPMHNXCESA-N -1 1 345.407 -0.010 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CCCN1CCn1cncn1 ZINC000993554635 725902946 /nfs/dbraw/zinc/90/29/46/725902946.db2.gz UZQVVDRVQBQKTL-UWVGGRQHSA-N -1 1 348.367 -0.843 20 0 EBADMM CC(C)(C)n1nnc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)n1 ZINC000993572505 725907354 /nfs/dbraw/zinc/90/73/54/725907354.db2.gz XBQAWRQPAGOXOV-UHFFFAOYSA-N -1 1 345.363 -0.217 20 0 EBADMM C[C@@H]1[C@H](NC(=O)Cc2c[nH]cn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993888301 725957979 /nfs/dbraw/zinc/95/79/79/725957979.db2.gz VFHSHLMQOBCORS-ZYHUDNBSSA-N -1 1 333.396 -0.457 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ccncn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000994005170 725970422 /nfs/dbraw/zinc/97/04/22/725970422.db2.gz HDWBQESHVHUICE-QWRGUYRKSA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@@H]1[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)CCCN1CCCO ZINC000994011762 725971607 /nfs/dbraw/zinc/97/16/07/725971607.db2.gz RWHVLNPOXVISIX-DGCLKSJQSA-N -1 1 348.407 -0.577 20 0 EBADMM Cc1nonc1CC(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC000994128270 725982350 /nfs/dbraw/zinc/98/23/50/725982350.db2.gz STWBOABXSHZODD-GHMZBOCLSA-N -1 1 349.395 -0.488 20 0 EBADMM Cn1ncc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)c1N ZINC000974479249 726029714 /nfs/dbraw/zinc/02/97/14/726029714.db2.gz NISJCWVZAAHGCL-JTQLQIEISA-N -1 1 348.411 -0.936 20 0 EBADMM C[C@@H](C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C)n1cncn1 ZINC000974481916 726030046 /nfs/dbraw/zinc/03/00/46/726030046.db2.gz COHBOPJQPLQAER-QWRGUYRKSA-N -1 1 348.411 -0.712 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)C3=CCOCC3)C(C)(C)C2)nc1=O ZINC000974500241 726033215 /nfs/dbraw/zinc/03/32/15/726033215.db2.gz LEKILZLLNZEZTC-LBPRGKRZSA-N -1 1 335.408 -0.218 20 0 EBADMM Cc1nnccc1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000974780519 726064869 /nfs/dbraw/zinc/06/48/69/726064869.db2.gz RYTSNOJLIJZHKT-LBPRGKRZSA-N -1 1 345.407 -0.153 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)Cc3c[nH]cn3)C(C)(C)C2)nc1=O ZINC000975098893 726099519 /nfs/dbraw/zinc/09/95/19/726099519.db2.gz SMERWVIGQSYZTE-NSHDSACASA-N -1 1 333.396 -0.599 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N1CCC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975228418 726116986 /nfs/dbraw/zinc/11/69/86/726116986.db2.gz WVOQWOWDBSTCFO-UTUOFQBUSA-N -1 1 346.387 -0.123 20 0 EBADMM O=C(NC[C@@H]1CCCN(C(=O)[C@H]2CNC(=O)N2)C1)c1ncccc1[O-] ZINC000975229548 726117162 /nfs/dbraw/zinc/11/71/62/726117162.db2.gz YKKPDMOVUSCGFY-WDEREUQCSA-N -1 1 347.375 -0.563 20 0 EBADMM Cc1nnc(CNC[C@@H]2CC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC000995007800 726142015 /nfs/dbraw/zinc/14/20/15/726142015.db2.gz QAXDXOCYKWRDNL-RKDXNWHRSA-N -1 1 348.367 -0.602 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1CCC1 ZINC000976034474 726195255 /nfs/dbraw/zinc/19/52/55/726195255.db2.gz GIAIUYJZSGMHLV-IMRBUKKESA-N -1 1 346.387 -0.700 20 0 EBADMM O=C(N[C@@H]1CCCC[C@H]1NC(=O)C1CC1)c1cc(=O)n2[n-]cnc2n1 ZINC000976116909 726204573 /nfs/dbraw/zinc/20/45/73/726204573.db2.gz UBCFSSPHQJTFMC-GHMZBOCLSA-N -1 1 344.375 -0.015 20 0 EBADMM O=C(Cc1cc[nH]n1)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000976297310 726257947 /nfs/dbraw/zinc/25/79/47/726257947.db2.gz YBTLVIZJMBUZJM-YABSGUDNSA-N -1 1 327.344 -0.060 20 0 EBADMM O=C(N[C@@H]1CC(=O)N(C2CN(C(=O)C3CC3)C2)C1)c1ncccc1[O-] ZINC000995500663 726261678 /nfs/dbraw/zinc/26/16/78/726261678.db2.gz PYSNIMWFABEFDR-LLVKDONJSA-N -1 1 344.371 -0.261 20 0 EBADMM CN1CCO[C@H](C(=O)N[C@@H]2[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)C1 ZINC000976311987 726264183 /nfs/dbraw/zinc/26/41/83/726264183.db2.gz QUSZTCQTSVKDLJ-UZGDPCLZSA-N -1 1 346.387 -0.696 20 0 EBADMM O=C(C[C@H]1COC(=O)C1)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000976364768 726277698 /nfs/dbraw/zinc/27/76/98/726277698.db2.gz FTOAJYZCSCHPDG-OZWUEAAUSA-N -1 1 345.355 -0.073 20 0 EBADMM NC(=O)[C@@H]1CC[C@H]1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976395169 726291339 /nfs/dbraw/zinc/29/13/39/726291339.db2.gz SUOIMOARFWSJFE-YFVNTRNASA-N -1 1 344.371 -0.515 20 0 EBADMM CC1(C)CN(C(=O)CO[C@H]2CCOC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995813383 726303426 /nfs/dbraw/zinc/30/34/26/726303426.db2.gz OCOIWYFZCPYJOR-QWRGUYRKSA-N -1 1 339.396 -0.358 20 0 EBADMM CN1C[C@H](C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)CC1=O ZINC000995872698 726308640 /nfs/dbraw/zinc/30/86/40/726308640.db2.gz OXPCVOOQDFPVQT-NXEZZACHSA-N -1 1 336.396 -0.685 20 0 EBADMM CC1(C)CN(C(=O)c2cc3n(n2)CCO3)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995927626 726314342 /nfs/dbraw/zinc/31/43/42/726314342.db2.gz PNQUZMMDDQCLRS-JTQLQIEISA-N -1 1 347.379 -0.260 20 0 EBADMM Cn1cc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)ccc1=O ZINC000996010083 726323437 /nfs/dbraw/zinc/32/34/37/726323437.db2.gz ALTZNSBZEBDXFO-LLVKDONJSA-N -1 1 346.391 -0.151 20 0 EBADMM CC1(C)CN(C(=O)[C@]2(C)CNC(=O)C2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996073252 726329924 /nfs/dbraw/zinc/32/99/24/726329924.db2.gz IUEZDKHSVZVXBI-PSLIRLAXSA-N -1 1 336.396 -0.637 20 0 EBADMM Cn1c(=O)cccc1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000996266714 726355045 /nfs/dbraw/zinc/35/50/45/726355045.db2.gz GJJHUIZZNYHGNR-LLVKDONJSA-N -1 1 346.391 -0.151 20 0 EBADMM CC1(C(=O)N[C@@H]2[C@H]3CN(C(=O)CCn4cc[n-]c(=O)c4=O)C[C@H]32)CC1 ZINC000976641850 726370534 /nfs/dbraw/zinc/37/05/34/726370534.db2.gz IGJJMPBBTMEWFO-PJXYFTJBSA-N -1 1 346.387 -0.700 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)[C@H]2C[C@H]3C[C@H]3C2)C1 ZINC000996395646 726384236 /nfs/dbraw/zinc/38/42/36/726384236.db2.gz CVJRLHZCWMWBKX-BRPSZJMVSA-N -1 1 348.359 -0.117 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)c2ccn(C)c2)C1 ZINC000996395104 726384545 /nfs/dbraw/zinc/38/45/45/726384545.db2.gz BYBZNSZEJKURHI-UHFFFAOYSA-N -1 1 347.331 -0.511 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)c2cocn2)C1 ZINC000996397180 726385263 /nfs/dbraw/zinc/38/52/63/726385263.db2.gz NGIIJXPXRCNEPX-UHFFFAOYSA-N -1 1 335.276 -0.861 20 0 EBADMM C[C@@H](C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CCC1 ZINC000996404374 726388482 /nfs/dbraw/zinc/38/84/82/726388482.db2.gz FGZAOLHNLURAHF-SECBINFHSA-N -1 1 344.375 -0.206 20 0 EBADMM CCC1(C(=O)N2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)CCC1 ZINC000996407911 726388908 /nfs/dbraw/zinc/38/89/08/726388908.db2.gz LRZSNCUYGCLSNM-UHFFFAOYSA-N -1 1 344.375 -0.062 20 0 EBADMM O=C(NC1CN(C(=O)C2(C3CC3)CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996408913 726389390 /nfs/dbraw/zinc/38/93/90/726389390.db2.gz PMIRNIDOXKNSAJ-UHFFFAOYSA-N -1 1 342.359 -0.452 20 0 EBADMM C[C@@]1(C(=O)N2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)C=CCC1 ZINC000996409590 726389517 /nfs/dbraw/zinc/38/95/17/726389517.db2.gz VQNIGMWTQUYMNE-MRXNPFEDSA-N -1 1 342.359 -0.286 20 0 EBADMM Cn1cncc1CC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000996513106 726409261 /nfs/dbraw/zinc/40/92/61/726409261.db2.gz FYVWLOCVVKKZIX-LLVKDONJSA-N -1 1 333.396 -0.187 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N1CCC(NCc2nncs2)CC1 ZINC000997220637 726441515 /nfs/dbraw/zinc/44/15/15/726441515.db2.gz DRKNRIJYAOVJQH-UHFFFAOYSA-N -1 1 339.381 -0.678 20 0 EBADMM O=C(NC1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1)[C@H]1[C@@H]2COC[C@@H]21 ZINC000997946051 726470851 /nfs/dbraw/zinc/47/08/51/726470851.db2.gz QDTUKMMVDNDOKG-ITGUQSILSA-N -1 1 344.371 -0.107 20 0 EBADMM CC1(C(=O)NC2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)CC=CC1 ZINC000999062539 726506138 /nfs/dbraw/zinc/50/61/38/726506138.db2.gz WVXJGJRZFKVTJY-UHFFFAOYSA-N -1 1 346.387 -0.390 20 0 EBADMM Cn1ccc(CCC(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000999278619 726512966 /nfs/dbraw/zinc/51/29/66/726512966.db2.gz BIYDYOJVDURHFL-GFCCVEGCSA-N -1 1 333.396 -0.043 20 0 EBADMM Cc1c(CC(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)cnn1C ZINC000999328274 726514558 /nfs/dbraw/zinc/51/45/58/726514558.db2.gz PWPWKOTWDPGSHR-LBPRGKRZSA-N -1 1 333.396 -0.124 20 0 EBADMM O=C(c1cnn2ccncc12)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999376506 726517589 /nfs/dbraw/zinc/51/75/89/726517589.db2.gz MTAQDPQKKMHJLY-SNVBAGLBSA-N -1 1 342.363 -0.053 20 0 EBADMM CN1CC[C@@H](CC(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)C1=O ZINC000999455831 726519999 /nfs/dbraw/zinc/51/99/99/726519999.db2.gz IZUPBNVKPGTMJG-WDEREUQCSA-N -1 1 336.396 -0.541 20 0 EBADMM C[C@@]1(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CCNC(=O)C1 ZINC000999504841 726520964 /nfs/dbraw/zinc/52/09/64/726520964.db2.gz MFCYWCUFSJMTLV-MEBBXXQBSA-N -1 1 336.396 -0.493 20 0 EBADMM Cc1cc(CN[C@@H]2CCCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)on1 ZINC000999605832 726524562 /nfs/dbraw/zinc/52/45/62/726524562.db2.gz BYBUIEHQRHBBCZ-SECBINFHSA-N -1 1 334.336 -0.026 20 0 EBADMM CCn1nncc1CN[C@@H]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000999607687 726524588 /nfs/dbraw/zinc/52/45/88/726524588.db2.gz DHVXDMASZDPNST-SECBINFHSA-N -1 1 348.367 -0.711 20 0 EBADMM O=C(c1cnc2nccn2c1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999698959 726528408 /nfs/dbraw/zinc/52/84/08/726528408.db2.gz ATZFBZTUCSZJHD-LLVKDONJSA-N -1 1 342.363 -0.053 20 0 EBADMM Cn1ccnc1CN[C@@H]1CCCN(C(=O)c2cnc([O-])n(C)c2=O)C1 ZINC000999868089 726536792 /nfs/dbraw/zinc/53/67/92/726536792.db2.gz ZTITWSXYKXDPKQ-LLVKDONJSA-N -1 1 346.391 -0.386 20 0 EBADMM O=C(CCn1cnccc1=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000571899 726571499 /nfs/dbraw/zinc/57/14/99/726571499.db2.gz LNPMJRFVJIHTOQ-NSHDSACASA-N -1 1 347.379 -0.762 20 0 EBADMM O=C(Cc1c[nH]cn1)NC1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001000576865 726571881 /nfs/dbraw/zinc/57/18/81/726571881.db2.gz GMYMDTANCOHQJY-UHFFFAOYSA-N -1 1 342.359 -0.034 20 0 EBADMM O=C(Cn1cncn1)N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-] ZINC001000619421 726573017 /nfs/dbraw/zinc/57/30/17/726573017.db2.gz WWUUQMCNYYASMB-WOPDTQHZSA-N -1 1 342.359 -0.059 20 0 EBADMM C[C@@H]1CCN(C(=O)C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001000653386 726574178 /nfs/dbraw/zinc/57/41/78/726574178.db2.gz ZMWVNIMFPXSPHY-MNOVXSKESA-N -1 1 336.396 -0.541 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCC[C@H](NCc2ccon2)C1 ZINC001000694998 726575544 /nfs/dbraw/zinc/57/55/44/726575544.db2.gz PDNJXRJKBZMHHE-NSHDSACASA-N -1 1 343.347 -0.200 20 0 EBADMM C[C@H]1C[C@H]1C(=O)NCC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001000773310 726577366 /nfs/dbraw/zinc/57/73/66/726577366.db2.gz MQQQVRKVZYFZJN-CMPLNLGQSA-N -1 1 334.376 -0.843 20 0 EBADMM O=C(Cn1ccccc1=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000878558 726582267 /nfs/dbraw/zinc/58/22/67/726582267.db2.gz AZJFMOMNUZOEMP-LLVKDONJSA-N -1 1 332.364 -0.547 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)nc1 ZINC001001050035 726589728 /nfs/dbraw/zinc/58/97/28/726589728.db2.gz JADFUMCMRYMRSL-JTQLQIEISA-N -1 1 345.363 -0.601 20 0 EBADMM Cc1ncc(C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1C ZINC001001086245 726591456 /nfs/dbraw/zinc/59/14/56/726591456.db2.gz JBIBDUWYCZDGLD-UHFFFAOYSA-N -1 1 345.407 -0.288 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)c3n[nH]cc3F)CC2)nc1=O ZINC001001119922 726593127 /nfs/dbraw/zinc/59/31/27/726593127.db2.gz JTCUNQOJJCMGQB-UHFFFAOYSA-N -1 1 335.343 -0.467 20 0 EBADMM COC(=O)[C@@H]1C[C@H]1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001001168511 726595042 /nfs/dbraw/zinc/59/50/42/726595042.db2.gz KFWCFRCGDKZVBK-GHMZBOCLSA-N -1 1 333.344 -0.216 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(CNC(=O)C2CC=CC2)C1 ZINC001001267921 726599824 /nfs/dbraw/zinc/59/98/24/726599824.db2.gz RMEPJCKKOVSSPE-UHFFFAOYSA-N -1 1 346.387 -0.533 20 0 EBADMM Cc1conc1C(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001001347568 726603262 /nfs/dbraw/zinc/60/32/62/726603262.db2.gz KNWNYWAPAJWLJZ-UHFFFAOYSA-N -1 1 332.364 -0.033 20 0 EBADMM Cc1nc[nH]c1C(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001001496244 726609154 /nfs/dbraw/zinc/60/91/54/726609154.db2.gz FPHZZRYZIGEMRA-UHFFFAOYSA-N -1 1 331.380 -0.298 20 0 EBADMM O=C(CCn1cncn1)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001539377 726611133 /nfs/dbraw/zinc/61/11/33/726611133.db2.gz YMPVCSOZOXEFLP-UHFFFAOYSA-N -1 1 330.348 -0.343 20 0 EBADMM Cn1cc(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)ncc1=O ZINC001001542759 726611268 /nfs/dbraw/zinc/61/12/68/726611268.db2.gz YOSBZWSZDOGMNH-UHFFFAOYSA-N -1 1 343.343 -0.617 20 0 EBADMM C[C@]1(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)CCC(=O)NC1 ZINC001001552898 726611624 /nfs/dbraw/zinc/61/16/24/726611624.db2.gz RTLMORFSJXCYRD-KRWDZBQOSA-N -1 1 346.387 -0.108 20 0 EBADMM Cc1ncncc1C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001002390363 726640039 /nfs/dbraw/zinc/64/00/39/726640039.db2.gz GINHDDRMWQMIFS-UHFFFAOYSA-N -1 1 331.380 -0.399 20 0 EBADMM NC(=O)C(=O)N1CC[C@H]([C@H]2CCCN(C(=O)c3ncccc3[O-])C2)C1 ZINC001003157736 726662429 /nfs/dbraw/zinc/66/24/29/726662429.db2.gz OWPODFCLGVXQCI-RYUDHWBXSA-N -1 1 346.387 -0.027 20 0 EBADMM O=C(/C=C/C1CC1)N1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001003318777 726669681 /nfs/dbraw/zinc/66/96/81/726669681.db2.gz ISIIQYPSTOBJDX-ONEGZZNKSA-N -1 1 342.359 -0.428 20 0 EBADMM CCc1cc(C(=O)N2CC(CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001003393011 726672282 /nfs/dbraw/zinc/67/22/82/726672282.db2.gz FDLBFICHNAGQGQ-UHFFFAOYSA-N -1 1 347.335 -0.930 20 0 EBADMM C[C@H](C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1)c1cncnc1 ZINC001003490088 726676867 /nfs/dbraw/zinc/67/68/67/726676867.db2.gz CTPUFRSHZQOXAG-NSHDSACASA-N -1 1 345.407 -0.217 20 0 EBADMM Cc1ccn(CC(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC001003647519 726683509 /nfs/dbraw/zinc/68/35/09/726683509.db2.gz ZMVACWXYKJEBGK-UHFFFAOYSA-N -1 1 333.396 -0.606 20 0 EBADMM Cc1nonc1CC(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001003882004 726693434 /nfs/dbraw/zinc/69/34/34/726693434.db2.gz KTVMCVUIWDQIOD-UHFFFAOYSA-N -1 1 335.368 -0.877 20 0 EBADMM C[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1NC(=O)C1CC1 ZINC001004318260 726705310 /nfs/dbraw/zinc/70/53/10/726705310.db2.gz WJKBDKCDKDDASV-CABZTGNLSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)C1CC1)c1cc(=O)n2[n-]cnc2n1 ZINC001004346349 726705729 /nfs/dbraw/zinc/70/57/29/726705729.db2.gz DMPXRLJHPFMQFS-FOGDFJRCSA-N -1 1 342.359 -0.311 20 0 EBADMM C[C@H]1CCN(C(=O)c2nnn(C)n2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001004763457 726715467 /nfs/dbraw/zinc/71/54/67/726715467.db2.gz ZIQREZDWPOHSQA-VHSXEESVSA-N -1 1 345.363 -0.409 20 0 EBADMM C[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)Cn1ncnn1 ZINC001004883140 726718729 /nfs/dbraw/zinc/71/87/29/726718729.db2.gz MJAGGPJRPJPBGO-GHMZBOCLSA-N -1 1 345.363 -0.559 20 0 EBADMM Cc1nn(C)cc1C(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005189375 726728500 /nfs/dbraw/zinc/72/85/00/726728500.db2.gz XQGZMKUVUJLWDR-UHFFFAOYSA-N -1 1 347.423 -0.113 20 0 EBADMM CN(C(=O)C[C@@H]1CCOC1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005197042 726728633 /nfs/dbraw/zinc/72/86/33/726728633.db2.gz BKGQRCFWWYRTBK-LBPRGKRZSA-N -1 1 337.424 -0.042 20 0 EBADMM CN(C(=O)[C@]1(F)CCOC1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005881386 726746540 /nfs/dbraw/zinc/74/65/40/726746540.db2.gz HNQYKFLZHUGLSN-HNNXBMFYSA-N -1 1 341.387 -0.340 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CCNC(=O)C2)C1 ZINC001005950388 726749523 /nfs/dbraw/zinc/74/95/23/726749523.db2.gz JTUAIJBKUNKXDJ-WDEREUQCSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)C2(C(N)=O)CC2)C1 ZINC001005950879 726749560 /nfs/dbraw/zinc/74/95/60/726749560.db2.gz LNXUAMMGGLFBDV-VIFPVBQESA-N -1 1 332.360 -0.371 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)C1CN(C(=O)C(F)F)C1 ZINC001006456334 726769958 /nfs/dbraw/zinc/76/99/58/726769958.db2.gz SGJDRKFKJOKNHK-YFKPBYRVSA-N -1 1 346.290 -0.262 20 0 EBADMM CO[C@H](C)C(=O)N1CC([C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001006468113 726770376 /nfs/dbraw/zinc/77/03/76/726770376.db2.gz ORHRLBRKMDNXPM-RKDXNWHRSA-N -1 1 348.363 -0.971 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)C1CN(C(=O)C(N)=O)C1 ZINC001006552226 726773133 /nfs/dbraw/zinc/77/31/33/726773133.db2.gz QKYUPAWZPNZKHS-QMMMGPOBSA-N -1 1 349.391 -0.324 20 0 EBADMM C[C@H](NC(=O)[C@H]1CN(C)C(=O)N1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006560105 726773630 /nfs/dbraw/zinc/77/36/30/726773630.db2.gz APJSUHKGUDOGQQ-GXSJLCMTSA-N -1 1 347.375 -0.613 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)Cc3cncs3)C2)nc1=O ZINC001007538185 726796270 /nfs/dbraw/zinc/79/62/70/726796270.db2.gz FMDKCWFDNBEZPP-JTQLQIEISA-N -1 1 336.421 -0.112 20 0 EBADMM NC(=O)CC(=O)N1CC[C@]2(C1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC001007615595 726799364 /nfs/dbraw/zinc/79/93/64/726799364.db2.gz XMPLYCDRFODEAJ-INIZCTEOSA-N -1 1 348.359 -0.894 20 0 EBADMM COc1cncc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001008778224 726803543 /nfs/dbraw/zinc/80/35/43/726803543.db2.gz PGSWOSRQYULUSX-JTQLQIEISA-N -1 1 347.379 -0.699 20 0 EBADMM C[C@H](O)CN1CCC[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001008891883 726823924 /nfs/dbraw/zinc/82/39/24/726823924.db2.gz KUXUOJJNUMEEKE-QWRGUYRKSA-N -1 1 334.380 -0.967 20 0 EBADMM Cn1cnc(C(=O)N2C[C@@H]3[C@@H](CNC(=O)c4ncccc4[O-])[C@@H]3C2)n1 ZINC001008981201 726838308 /nfs/dbraw/zinc/83/83/08/726838308.db2.gz WMLTWFXODZPWLQ-RTCCRHLQSA-N -1 1 342.359 -0.336 20 0 EBADMM O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CCc2[nH]nnc2C1 ZINC001009187331 726868830 /nfs/dbraw/zinc/86/88/30/726868830.db2.gz LHNMCEPGQHHQLS-UWVGGRQHSA-N -1 1 346.395 -0.486 20 0 EBADMM O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CCc2nn[nH]c2C1 ZINC001009187331 726868832 /nfs/dbraw/zinc/86/88/32/726868832.db2.gz LHNMCEPGQHHQLS-UWVGGRQHSA-N -1 1 346.395 -0.486 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)C(C)(C)c3c[nH]cn3)C2)nc1=O ZINC001009213097 726872179 /nfs/dbraw/zinc/87/21/79/726872179.db2.gz HPVAEOGSWOILBK-NSHDSACASA-N -1 1 347.423 -0.110 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)c3cccn(C)c3=O)C2)nc1=O ZINC001009245467 726880259 /nfs/dbraw/zinc/88/02/59/726880259.db2.gz MNBLODUFWQUWKK-LLVKDONJSA-N -1 1 346.391 -0.799 20 0 EBADMM CC(=O)NC[C@]12CCC[C@H]1CN(C(=O)c1cc(=O)n3[n-]cnc3n1)C2 ZINC001009404375 726906547 /nfs/dbraw/zinc/90/65/47/726906547.db2.gz BHVLLZRHDMCKNT-ZBEGNZNMSA-N -1 1 344.375 -0.204 20 0 EBADMM NC(=O)C(=O)N1C[C@@H]2CCC[C@]2(CNC(=O)c2ncccc2[O-])C1 ZINC001009535942 726929825 /nfs/dbraw/zinc/92/98/25/726929825.db2.gz LZCFHHMSPPUULQ-QFYYESIMSA-N -1 1 332.360 -0.369 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)[C@@H]1CN(C(C)=O)CCO1 ZINC001009583189 726934552 /nfs/dbraw/zinc/93/45/52/726934552.db2.gz HJJKEMBIFBRUKX-CBAPKCEASA-N -1 1 340.336 -0.738 20 0 EBADMM Cc1ncc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)n1C ZINC001051787321 735393861 /nfs/dbraw/zinc/39/38/61/735393861.db2.gz RABMQSPYGJEXJI-JTQLQIEISA-N -1 1 335.368 -0.817 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H]1CN(C(=O)c2nc[nH]n2)CCO1 ZINC001009730123 726983002 /nfs/dbraw/zinc/98/30/02/726983002.db2.gz RFXVDYXFSFCXIV-MWLCHTKSSA-N -1 1 346.347 -0.435 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1)c1cnn(C)c1 ZINC001009777820 726999897 /nfs/dbraw/zinc/99/98/97/726999897.db2.gz DUKZIAHRZFKPGU-WCQYABFASA-N -1 1 347.423 -0.274 20 0 EBADMM CC(C)=CC(=O)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001010036893 727021245 /nfs/dbraw/zinc/02/12/45/727021245.db2.gz WMRDKZKOAUOWHF-UHFFFAOYSA-N -1 1 330.348 -0.332 20 0 EBADMM COc1ncccc1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051799764 735403525 /nfs/dbraw/zinc/40/35/25/735403525.db2.gz WSOKQFDKZDHXBG-JTQLQIEISA-N -1 1 348.363 -0.455 20 0 EBADMM CC(=O)N1CC2(C1)CCCN(C(=O)c1cc(=O)n3[n-]cnc3n1)C2 ZINC001010437944 727094384 /nfs/dbraw/zinc/09/43/84/727094384.db2.gz BPNHDAGQENXGSU-UHFFFAOYSA-N -1 1 330.348 -0.498 20 0 EBADMM Cc1cc(C(=O)N2CCN(C(=O)c3cnc([O-])n(C)c3=O)CC2)n[nH]1 ZINC001010533794 727103161 /nfs/dbraw/zinc/10/31/61/727103161.db2.gz VNHANGWWHBVRCO-UHFFFAOYSA-N -1 1 346.347 -0.884 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC2(C1)CCCN(C(C)=O)C2 ZINC001010739088 727136738 /nfs/dbraw/zinc/13/67/38/727136738.db2.gz DGZLXRIVRNMQFW-UHFFFAOYSA-N -1 1 336.348 -0.019 20 0 EBADMM CC1(C)C[C@H]1C(=O)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001011015003 727178076 /nfs/dbraw/zinc/17/80/76/727178076.db2.gz NHUFXNDTFGBEAP-JTQLQIEISA-N -1 1 344.375 -0.252 20 0 EBADMM C[C@H](C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1)n1cncn1 ZINC001011023903 727180297 /nfs/dbraw/zinc/18/02/97/727180297.db2.gz XVEUPCVUHQIYRH-LLVKDONJSA-N -1 1 330.348 -0.076 20 0 EBADMM CC[C@@]1(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)CCNC1=O ZINC001011036844 727186680 /nfs/dbraw/zinc/18/66/80/727186680.db2.gz VTNPIZIDZCYVKC-QGZVFWFLSA-N -1 1 346.387 -0.012 20 0 EBADMM C[C@@H]1CC[C@H](C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)O1 ZINC001051837211 735422224 /nfs/dbraw/zinc/42/22/24/735422224.db2.gz IJLUNXUKRYRAJG-OUAUKWLOSA-N -1 1 325.369 -0.605 20 0 EBADMM CCn1ccc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001051858653 735430976 /nfs/dbraw/zinc/43/09/76/735430976.db2.gz PJDGKVXSHXHPFZ-JTQLQIEISA-N -1 1 335.368 -0.643 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(C(=O)C2(C)CC2)CC1 ZINC001011242447 727498338 /nfs/dbraw/zinc/49/83/38/727498338.db2.gz ZAPHOBSTBPYSOB-UHFFFAOYSA-N -1 1 336.348 -0.019 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2cnc([O-])n(C)c2=O)CCN1C(=O)c1ccn[nH]1 ZINC001011517926 727536086 /nfs/dbraw/zinc/53/60/86/727536086.db2.gz MDBRCCWZZNROBN-WCBMZHEXSA-N -1 1 346.347 -0.758 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1cnnn1C ZINC001011710713 727563627 /nfs/dbraw/zinc/56/36/27/727563627.db2.gz IALWYXNUQKFOJT-VHSXEESVSA-N -1 1 330.348 -0.051 20 0 EBADMM CC(C)C(=O)N[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)[C@H]1C ZINC001011896934 727598583 /nfs/dbraw/zinc/59/85/83/727598583.db2.gz BBVZYAMOWBINSN-RYUDHWBXSA-N -1 1 336.392 -0.312 20 0 EBADMM C[C@H]1[C@@H](NC(=O)C2CCC2)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001011913675 727602588 /nfs/dbraw/zinc/60/25/88/727602588.db2.gz OEPWXHCKWYUSMV-ONGXEEELSA-N -1 1 344.375 -0.063 20 0 EBADMM C[C@@H]1[C@H](NC(=O)C2CCC2)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001011913673 727602621 /nfs/dbraw/zinc/60/26/21/727602621.db2.gz OEPWXHCKWYUSMV-MWLCHTKSSA-N -1 1 344.375 -0.063 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)Cn1cncn1 ZINC001012082139 727633387 /nfs/dbraw/zinc/63/33/87/727633387.db2.gz RUGVTFBCEXAJSX-GHMZBOCLSA-N -1 1 330.348 -0.202 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)Cc1nnc[nH]1 ZINC001012090968 727636904 /nfs/dbraw/zinc/63/69/04/727636904.db2.gz FWBUHXHJLXNSLL-VHSXEESVSA-N -1 1 330.348 -0.133 20 0 EBADMM CCCC(=O)N1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1C ZINC001012192819 727642753 /nfs/dbraw/zinc/64/27/53/727642753.db2.gz IDDAQJJUOVOVIM-VHSXEESVSA-N -1 1 332.364 -0.063 20 0 EBADMM CCCC(=O)N1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC001012192821 727642788 /nfs/dbraw/zinc/64/27/88/727642788.db2.gz IDDAQJJUOVOVIM-ZJUUUORDSA-N -1 1 332.364 -0.063 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CN1C(=O)CC(N)=O ZINC001012414374 727664163 /nfs/dbraw/zinc/66/41/63/727664163.db2.gz VYNLNFKXXPIMBF-WCBMZHEXSA-N -1 1 347.375 -0.346 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2cnn(C)n2)CN1C(=O)c1ncccc1[O-] ZINC001012505423 727673291 /nfs/dbraw/zinc/67/32/91/727673291.db2.gz DNYVBCDECNKEDY-UWVGGRQHSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2cnn(C)n2)CN1C(=O)c1ncccc1[O-] ZINC001012505429 727673314 /nfs/dbraw/zinc/67/33/14/727673314.db2.gz DNYVBCDECNKEDY-ZJUUUORDSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@H]1C[C@H](NC(=O)Cn2cnnn2)CN1C(=O)c1ncccc1[O-] ZINC001012507295 727673434 /nfs/dbraw/zinc/67/34/34/727673434.db2.gz SMRUKZJVEMTFGJ-UWVGGRQHSA-N -1 1 331.336 -0.807 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)CN2CCOCC2)CN1C(=O)c1ncccc1[O-] ZINC001012508918 727673715 /nfs/dbraw/zinc/67/37/15/727673715.db2.gz GKBHHQCNXZJTLQ-CHWSQXEVSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)CN2CCOCC2)CN1C(=O)c1ncccc1[O-] ZINC001012508921 727673740 /nfs/dbraw/zinc/67/37/40/727673740.db2.gz GKBHHQCNXZJTLQ-QWHCGFSZSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@H]1C[C@H](NC(=O)Cn2ncnn2)CN1C(=O)c1ncccc1[O-] ZINC001012511469 727673997 /nfs/dbraw/zinc/67/39/97/727673997.db2.gz OCMNWTHGRHGYGJ-UWVGGRQHSA-N -1 1 331.336 -0.807 20 0 EBADMM C[C@H]1C[C@H](NC(=O)C2CCC2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001013326204 727794284 /nfs/dbraw/zinc/79/42/84/727794284.db2.gz MAJKAROTZUGKSV-ONGXEEELSA-N -1 1 344.375 -0.063 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CN1C(=O)C(N)=O ZINC001013984087 727863636 /nfs/dbraw/zinc/86/36/36/727863636.db2.gz SDFVBXXKXGASRY-IONNQARKSA-N -1 1 333.348 -0.736 20 0 EBADMM CC(=O)N[C@]12CCC[C@@H]1N(C(=O)CCn1cc[n-]c(=O)c1=O)CC2 ZINC001014114932 727901913 /nfs/dbraw/zinc/90/19/13/727901913.db2.gz SDAMJCLDTYMAOC-LRDDRELGSA-N -1 1 334.376 -0.414 20 0 EBADMM CC(=O)N1CC[C@]2(NC(=O)c3cc(=O)n4[n-]cnc4n3)CCC[C@H]12 ZINC001014345291 727929368 /nfs/dbraw/zinc/92/93/68/727929368.db2.gz XLLZFFDWLHDFHT-XHDPSFHLSA-N -1 1 330.348 -0.309 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)c1ccnn1C ZINC001014471156 727958902 /nfs/dbraw/zinc/95/89/02/727958902.db2.gz MDLDJZOSCHOOFL-GHMZBOCLSA-N -1 1 333.396 -0.664 20 0 EBADMM CC(=O)N[C@@H]1CCC[C@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@H]21 ZINC001014597528 727974754 /nfs/dbraw/zinc/97/47/54/727974754.db2.gz JTIILJAKQZPSOO-BFHYXJOUSA-N -1 1 348.403 -0.310 20 0 EBADMM COc1nc(C)ncc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001014640155 727985686 /nfs/dbraw/zinc/98/56/86/727985686.db2.gz PGTZQUMCKBTTRY-JTQLQIEISA-N -1 1 347.379 -0.780 20 0 EBADMM Cn1[n-]c(CN2CCN([C@@H]3CCN(C(=O)C(F)F)C3)CC2)nc1=O ZINC001051961919 735485154 /nfs/dbraw/zinc/48/51/54/735485154.db2.gz APPGLTDOIWDIII-SNVBAGLBSA-N -1 1 344.366 -0.908 20 0 EBADMM Cn1[n-]c(CN2CCN([C@H]3CCN(C(=O)C(F)F)C3)CC2)nc1=O ZINC001051961918 735485204 /nfs/dbraw/zinc/48/52/04/735485204.db2.gz APPGLTDOIWDIII-JTQLQIEISA-N -1 1 344.366 -0.908 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cn4cccnc4n3)C2)nc1=O ZINC001015083350 728074203 /nfs/dbraw/zinc/07/42/03/728074203.db2.gz HJOSCNREWDBOIO-SNVBAGLBSA-N -1 1 342.363 -0.845 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cccn4nccc34)C2)nc1=O ZINC001015094412 728077085 /nfs/dbraw/zinc/07/70/85/728077085.db2.gz QRCZBWQLJQZRAC-LLVKDONJSA-N -1 1 341.375 -0.240 20 0 EBADMM O=C(N[C@H]1CCN(C[C@@H](O)c2ccccc2)C1)c1n[nH]c(=O)[n-]c1=O ZINC001015109339 728080507 /nfs/dbraw/zinc/08/05/07/728080507.db2.gz IRXXZKFSPXESEL-NWDGAFQWSA-N -1 1 345.359 -0.180 20 0 EBADMM Cc1noc(CCCN2CC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001015110381 728081414 /nfs/dbraw/zinc/08/14/14/728081414.db2.gz RWLDPXLYXFCTEP-VIFPVBQESA-N -1 1 349.351 -0.589 20 0 EBADMM C[C@H]1[C@H](NC(=O)Cn2c(=O)[n-][nH]c2=O)CCCN1C(=O)c1ccn[nH]1 ZINC001015112670 728082424 /nfs/dbraw/zinc/08/24/24/728082424.db2.gz KDJZDCYGRIGSQZ-DTWKUNHWSA-N -1 1 349.351 -0.778 20 0 EBADMM O=C(Cn1cnnn1)N[C@H]1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001015328269 728400602 /nfs/dbraw/zinc/40/06/02/728400602.db2.gz VGKOHUJBLKXXPT-MNOVXSKESA-N -1 1 345.363 -0.511 20 0 EBADMM Cc1cncc(CC(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001015410244 728411783 /nfs/dbraw/zinc/41/17/83/728411783.db2.gz WPVJPZCQGNGEIV-CYBMUJFWSA-N -1 1 330.392 -0.255 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cnn4ccccc34)C2)nc1=O ZINC001015864049 728435954 /nfs/dbraw/zinc/43/59/54/728435954.db2.gz PMLUVMNWXRLBCN-LLVKDONJSA-N -1 1 341.375 -0.240 20 0 EBADMM CCn1nc(C)c(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC001015860762 728435989 /nfs/dbraw/zinc/43/59/89/728435989.db2.gz PTCMLAJMXSPNOW-GFCCVEGCSA-N -1 1 347.423 -0.054 20 0 EBADMM CN(C(=O)[C@H]1CN(C)CCO1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016356915 728463366 /nfs/dbraw/zinc/46/33/66/728463366.db2.gz QZGGIUCWUOVKOR-ORHYLEIMSA-N -1 1 348.403 -0.163 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@H]3COc4ccccc43)C2)nc1=O ZINC001016402976 728468030 /nfs/dbraw/zinc/46/80/30/728468030.db2.gz QNAQMYOMCKBDMV-AAEUAGOBSA-N -1 1 343.387 -0.025 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)[C@H]2CN(C)C(=O)N2)C1 ZINC001016427825 728471958 /nfs/dbraw/zinc/47/19/58/728471958.db2.gz MEEQGJRBVTWDBT-VQXHTEKXSA-N -1 1 347.375 -0.470 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)[C@H]2COCCN2C)C1 ZINC001016430166 728472775 /nfs/dbraw/zinc/47/27/75/728472775.db2.gz FGJLZDSPRKMEKW-WXRRBKDZSA-N -1 1 348.403 -0.163 20 0 EBADMM CN(C(=O)[C@@H]1COC(=O)N1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016462623 728476357 /nfs/dbraw/zinc/47/63/57/728476357.db2.gz FJOYKQURRDKMCI-RTBKNWGFSA-N -1 1 334.332 -0.385 20 0 EBADMM CN(C(=O)[C@H]1COC(=O)N1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016462625 728476459 /nfs/dbraw/zinc/47/64/59/728476459.db2.gz FJOYKQURRDKMCI-UDNWOFFPSA-N -1 1 334.332 -0.385 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)[C@@H]2COCCO2)C1 ZINC001017053583 728541820 /nfs/dbraw/zinc/54/18/20/728541820.db2.gz SLTYPTBHCLWYOT-RYUDHWBXSA-N -1 1 339.396 -0.404 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)C(C)(C)C(N)=O)C1 ZINC001017147566 728550890 /nfs/dbraw/zinc/55/08/90/728550890.db2.gz ZNBFTLIBZWGHPC-JTQLQIEISA-N -1 1 338.412 -0.308 20 0 EBADMM O=C(NCC1=CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1)C1CC1 ZINC001017217848 728556268 /nfs/dbraw/zinc/55/62/68/728556268.db2.gz QSDZHEQGFYFLRV-UHFFFAOYSA-N -1 1 342.359 -0.284 20 0 EBADMM Cn1nnc(C(=O)N2CC=C(CNC(=O)c3ncccc3[O-])CC2)n1 ZINC001017400206 728571705 /nfs/dbraw/zinc/57/17/05/728571705.db2.gz KGNZRLYYRMKWRN-UHFFFAOYSA-N -1 1 343.347 -0.487 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)c2cnc[nH]c2=O)nc1=O ZINC001017644693 728599307 /nfs/dbraw/zinc/59/93/07/728599307.db2.gz SDBGHVRQAYVCPY-AOOOYVTPSA-N -1 1 345.363 -0.907 20 0 EBADMM C[C@H]1CN(C(=O)C(N)=O)C[C@]2(CCN(C(=O)c3ncccc3[O-])C2)O1 ZINC001017852986 728619073 /nfs/dbraw/zinc/61/90/73/728619073.db2.gz ZLVJRPGRBWGDCU-MGPLVRAMSA-N -1 1 348.359 -0.896 20 0 EBADMM C[C@H]1CN(C(=O)C(N)=O)C[C@@]2(CCN(C(=O)c3ncccc3[O-])C2)O1 ZINC001017852988 728619111 /nfs/dbraw/zinc/61/91/11/728619111.db2.gz ZLVJRPGRBWGDCU-QFYYESIMSA-N -1 1 348.359 -0.896 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)Cn2cnnn2)CN1C(=O)c1ncccc1[O-] ZINC001017960002 728625099 /nfs/dbraw/zinc/62/50/99/728625099.db2.gz CJKCHFPCNKRVIC-WDEREUQCSA-N -1 1 345.363 -0.417 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)[C@@H]2C[C@@]23CCOC3)nc1=O ZINC001018157794 728639377 /nfs/dbraw/zinc/63/93/77/728639377.db2.gz RJXFVSBZIUSLPF-PFHKOEEOSA-N -1 1 347.419 -0.290 20 0 EBADMM C[C@H]1[C@@H](NC(=O)[C@@H]2CCNC2=O)CCN1C(=O)c1ncccc1[O-] ZINC001020205960 729099729 /nfs/dbraw/zinc/09/97/29/729099729.db2.gz ATCBCWWJGSJMSH-AXFHLTTASA-N -1 1 332.360 -0.358 20 0 EBADMM C[C@H]1[C@@H](NC(=O)[C@H]2CN(C)C(=O)N2)CCN1C(=O)c1ncccc1[O-] ZINC001020205992 729099944 /nfs/dbraw/zinc/09/99/44/729099944.db2.gz FWTOUWFLHZPPBK-GARJFASQSA-N -1 1 347.375 -0.470 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H](CNc2ncccn2)C1 ZINC001060891515 738408463 /nfs/dbraw/zinc/40/84/63/738408463.db2.gz CTBRDISBGWWWCG-GFCCVEGCSA-N -1 1 344.375 -0.323 20 0 EBADMM Cc1cc(CN[C@H]2C[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)nn1C ZINC001020353072 729144026 /nfs/dbraw/zinc/14/40/26/729144026.db2.gz NWNOKMYOBZAMFO-KYZUINATSA-N -1 1 333.352 -0.625 20 0 EBADMM CCn1c(C)nnc1CN[C@H]1C[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001020353317 729144574 /nfs/dbraw/zinc/14/45/74/729144574.db2.gz ZHORQSZTVNCVOE-KYZUINATSA-N -1 1 348.367 -0.747 20 0 EBADMM Cc1nnc([C@@H](C)N[C@H]2C[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC001020353166 729144691 /nfs/dbraw/zinc/14/46/91/729144691.db2.gz RXUYHNPXMCXUGS-LPBLVHEISA-N -1 1 334.340 -0.679 20 0 EBADMM Cn1ccnc1CN[C@H]1C[C@H](NC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001020696487 729219432 /nfs/dbraw/zinc/21/94/32/729219432.db2.gz NUEUJZIJBDGFHP-XYPYZODXSA-N -1 1 341.375 -0.193 20 0 EBADMM Cc1nnc(CN[C@H]2C[C@H](NC(=O)c3c[n-]n4c3nccc4=O)C2)[nH]1 ZINC001020696458 729219527 /nfs/dbraw/zinc/21/95/27/729219527.db2.gz MCRPIPGAIPWNKK-MGCOHNPYSA-N -1 1 342.363 -0.500 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@@H]2CCN(C(=O)C3CC3)[C@@H]2C1 ZINC001020885267 729250276 /nfs/dbraw/zinc/25/02/76/729250276.db2.gz XZHNZTWHANNJDQ-VHSXEESVSA-N -1 1 348.359 -0.021 20 0 EBADMM O=C(N[C@H]1C[C@H](NCc2csnn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001020925318 729257583 /nfs/dbraw/zinc/25/75/83/729257583.db2.gz NPDJJWVWIDAKCY-ZKCHVHJHSA-N -1 1 346.376 -0.680 20 0 EBADMM O=C(CN1CN=NC1=O)NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001021234294 729296488 /nfs/dbraw/zinc/29/64/88/729296488.db2.gz INLVUPCIXZECKE-PBINXNQUSA-N -1 1 348.367 -0.881 20 0 EBADMM O=C(Cn1nccn1)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001021766236 729337870 /nfs/dbraw/zinc/33/78/70/729337870.db2.gz CPIGSBSMTCESHD-NWDGAFQWSA-N -1 1 342.359 -0.248 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H]2CN(C(=O)C3CC3)C[C@H]21 ZINC001022205885 729466217 /nfs/dbraw/zinc/46/62/17/729466217.db2.gz WUUKYKFXJKKTGI-VHSXEESVSA-N -1 1 348.359 -0.021 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)c1ccncc1 ZINC001022911387 729553136 /nfs/dbraw/zinc/55/31/36/729553136.db2.gz KPCUOEFCJJXXQX-DGCLKSJQSA-N -1 1 328.328 -0.203 20 0 EBADMM Cn1cc(C(C)(C)C(=O)N[C@H]2C[C@@H]([NH2+]Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001022915644 729553590 /nfs/dbraw/zinc/55/35/90/729553590.db2.gz SEZHRKRYZCCJTA-TXEJJXNPSA-N -1 1 347.423 -0.444 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)c1ccc(F)nc1 ZINC001023215317 729640303 /nfs/dbraw/zinc/64/03/03/729640303.db2.gz VVUIKZNDDNKGTQ-ZYHUDNBSSA-N -1 1 346.318 -0.063 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)c1[O-] ZINC001023315390 729662651 /nfs/dbraw/zinc/66/26/51/729662651.db2.gz XODGCZSHHINQCX-VIFPVBQESA-N -1 1 335.368 -0.111 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)c1[O-] ZINC001023315390 729662653 /nfs/dbraw/zinc/66/26/53/729662653.db2.gz XODGCZSHHINQCX-VIFPVBQESA-N -1 1 335.368 -0.111 20 0 EBADMM CCn1nccc1C(=O)NC[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001023332195 729665052 /nfs/dbraw/zinc/66/50/52/729665052.db2.gz GLIBNKOJJWQTKM-GFCCVEGCSA-N -1 1 347.423 -0.033 20 0 EBADMM O=C(CC1CCOCC1)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023488275 729701927 /nfs/dbraw/zinc/70/19/27/729701927.db2.gz AEWHELSTMIQKEA-TZMCWYRMSA-N -1 1 349.387 -0.095 20 0 EBADMM Cc1cc(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)ncn1 ZINC001023488355 729701989 /nfs/dbraw/zinc/70/19/89/729701989.db2.gz DRVWVSIDNOKMNW-DGCLKSJQSA-N -1 1 343.343 -0.499 20 0 EBADMM O=C(C[C@H]1COC(=O)C1)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023488539 729702628 /nfs/dbraw/zinc/70/26/28/729702628.db2.gz MPPZPAHSNDKEPB-CKYFFXLPSA-N -1 1 349.343 -0.958 20 0 EBADMM Cn1cncc1CC(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023490880 729703672 /nfs/dbraw/zinc/70/36/72/729703672.db2.gz SBUJOACNJSOJHH-DGCLKSJQSA-N -1 1 345.359 -0.935 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2F)C[C@H]1O)c1ncccc1[O-] ZINC001023490347 729703730 /nfs/dbraw/zinc/70/37/30/729703730.db2.gz FNXDKNYIGIURMV-ZYHUDNBSSA-N -1 1 346.318 -0.063 20 0 EBADMM O=C(CCc1cn[nH]c1)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023493305 729704144 /nfs/dbraw/zinc/70/41/44/729704144.db2.gz AHJNKWDQSSKNGQ-DGCLKSJQSA-N -1 1 345.359 -0.555 20 0 EBADMM Cc1ncc(CC(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)o1 ZINC001023493608 729704623 /nfs/dbraw/zinc/70/46/23/729704623.db2.gz IDNYEHBVLCEROJ-DGCLKSJQSA-N -1 1 346.343 -0.372 20 0 EBADMM O=C(C[C@H]1CCCOC1)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023493658 729704661 /nfs/dbraw/zinc/70/46/61/729704661.db2.gz IUZBBLSVDLNXLA-YRGRVCCFSA-N -1 1 349.387 -0.095 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)[C@H]1C[C@@H]1C(F)F ZINC001023570079 729728239 /nfs/dbraw/zinc/72/82/39/729728239.db2.gz BUSWCVSTKXTXSF-WYOJIJJFSA-N -1 1 341.314 -0.010 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](CNC(=O)c3ccnnc3)C2)nc1=O ZINC001023614782 729738497 /nfs/dbraw/zinc/73/84/97/729738497.db2.gz CKJRQDKLMXDTED-LLVKDONJSA-N -1 1 331.380 -0.460 20 0 EBADMM Cc1ncc(C(=O)NC[C@@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)[nH]1 ZINC001024364748 729877776 /nfs/dbraw/zinc/87/77/76/729877776.db2.gz URTVZHSQCGGMHV-NSHDSACASA-N -1 1 333.396 -0.076 20 0 EBADMM CCn1ncc(C(=O)NC[C@@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001024427768 729886790 /nfs/dbraw/zinc/88/67/90/729886790.db2.gz NZBYYJDGFMYWPV-NSHDSACASA-N -1 1 348.411 -0.496 20 0 EBADMM CN1C[C@@H](C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CC1=O ZINC001024978822 729981656 /nfs/dbraw/zinc/98/16/56/729981656.db2.gz HPBRJNJQZFNYEE-WDEREUQCSA-N -1 1 336.396 -0.541 20 0 EBADMM NC(=O)c1cc(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)co1 ZINC001025029332 729988259 /nfs/dbraw/zinc/98/82/59/729988259.db2.gz GKPDEDMYKUMBGI-SNVBAGLBSA-N -1 1 348.363 -0.013 20 0 EBADMM CCn1cc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)nn1 ZINC001025411140 730038542 /nfs/dbraw/zinc/03/85/42/730038542.db2.gz NOPQJEZAEXDRHN-BXKDBHETSA-N -1 1 346.347 -0.986 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)c1n[nH]cc1F ZINC001025507198 730048949 /nfs/dbraw/zinc/04/89/49/730048949.db2.gz UHHGWYOHPBAORA-PSASIEDQSA-N -1 1 335.295 -0.735 20 0 EBADMM O=C(Cc1c[nH]cn1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001025804158 730092594 /nfs/dbraw/zinc/09/25/94/730092594.db2.gz YBYJSGLUEFUJQB-ZYHUDNBSSA-N -1 1 331.332 -0.946 20 0 EBADMM C[C@@H]1CC[C@@H](CC(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)O1 ZINC001026003939 730115784 /nfs/dbraw/zinc/11/57/84/730115784.db2.gz HXFDPAOBMQYAPZ-TVEHIPJCSA-N -1 1 335.408 -0.388 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1C[C@@H]2CC[C@H](NCc3cnon3)[C@@H]2C1 ZINC001026304696 730136587 /nfs/dbraw/zinc/13/65/87/730136587.db2.gz PAOIAOCYMJGRDP-SFGNSQDASA-N -1 1 347.335 -0.694 20 0 EBADMM CN(Cc1cnn(C)c1)[C@H]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001027479385 730218497 /nfs/dbraw/zinc/21/84/97/730218497.db2.gz FCUIWJZLYJINSY-NSHDSACASA-N -1 1 347.379 -0.247 20 0 EBADMM O=C(NC[C@@H]1CCCN1[C@@H]1CCC(=O)NC1=O)c1ncccc1[O-] ZINC001027843620 730242554 /nfs/dbraw/zinc/24/25/54/730242554.db2.gz CQGPVDHTJBXJBC-WDEREUQCSA-N -1 1 332.360 -0.213 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H]2CNC(=O)C2CCC(O)CC2)nc1=O ZINC001027853208 730243011 /nfs/dbraw/zinc/24/30/11/730243011.db2.gz WSNFNYIENJZHMG-OTTFEQOBSA-N -1 1 337.424 -0.260 20 0 EBADMM COc1cc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)ncn1 ZINC001027885153 730245635 /nfs/dbraw/zinc/24/56/35/730245635.db2.gz YUYVDUIEHFZWMD-JTQLQIEISA-N -1 1 347.379 -0.699 20 0 EBADMM CCn1nnc(C)c1C(=O)NC[C@@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001027929221 730248839 /nfs/dbraw/zinc/24/88/39/730248839.db2.gz HQIRPTVFRQTCJM-NSHDSACASA-N -1 1 348.411 -0.577 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H]2CNC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)nc1=O ZINC001028039801 730260170 /nfs/dbraw/zinc/26/01/70/730260170.db2.gz RBIOZUBNMIYLGQ-VPLWTHEFSA-N -1 1 347.419 -0.388 20 0 EBADMM COc1nn(C)cc1C(=O)NC[C@@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001028072889 730262966 /nfs/dbraw/zinc/26/29/66/730262966.db2.gz LDBHIWHIWUPQNU-JTQLQIEISA-N -1 1 349.395 -0.755 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H]2CNC(=O)c2cccc(=O)n2C)nc1=O ZINC001028128940 730268869 /nfs/dbraw/zinc/26/88/69/730268869.db2.gz LGDVJPXZWKJVNX-LLVKDONJSA-N -1 1 346.391 -0.799 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)c3ccc(F)nc3)C2)nc1=O ZINC001028449912 730294259 /nfs/dbraw/zinc/29/42/59/730294259.db2.gz PKEUTJNMZBFUDU-SNVBAGLBSA-N -1 1 334.355 -0.106 20 0 EBADMM COc1cc(C(=O)NC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)on1 ZINC001028490951 730299651 /nfs/dbraw/zinc/29/96/51/730299651.db2.gz SYMDRAVXXIXSTQ-SECBINFHSA-N -1 1 336.352 -0.643 20 0 EBADMM CCc1nc(C(=O)NC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)co1 ZINC001028492996 730299826 /nfs/dbraw/zinc/29/98/26/730299826.db2.gz GALWFVBQVOZWFA-SNVBAGLBSA-N -1 1 334.380 -0.089 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)c3ccc(F)cn3)C2)nc1=O ZINC001028495851 730300993 /nfs/dbraw/zinc/30/09/93/730300993.db2.gz NFILMASJQOAPJF-JTQLQIEISA-N -1 1 334.355 -0.106 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)c3cc4n(n3)CCC4)C2)nc1=O ZINC001028585594 730317394 /nfs/dbraw/zinc/31/73/94/730317394.db2.gz SUVPVLKVVADMHW-LLVKDONJSA-N -1 1 345.407 -0.497 20 0 EBADMM Cc1nc([C@@H](C)N2CC[C@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001028660620 730333714 /nfs/dbraw/zinc/33/37/14/730333714.db2.gz AAXGJZBGLJCXIM-VXNVDRBHSA-N -1 1 348.367 -0.478 20 0 EBADMM Cn1cncc1CN1CC[C@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001028661633 730334186 /nfs/dbraw/zinc/33/41/86/730334186.db2.gz MZUNXFDCPHVGIF-SECBINFHSA-N -1 1 333.352 -0.732 20 0 EBADMM O=C(NC[C@H]1CCN(Cc2cncs2)C1)c1n[nH]c(=O)[n-]c1=O ZINC001028661793 730334796 /nfs/dbraw/zinc/33/47/96/730334796.db2.gz PITFJRCFKRTRHA-MRVPVSSYSA-N -1 1 336.377 -0.009 20 0 EBADMM O=C(NC[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCc2nc[nH]c2C1 ZINC001028917490 730369906 /nfs/dbraw/zinc/36/99/06/730369906.db2.gz LNLUUWGAQGIVCV-GHMZBOCLSA-N -1 1 345.407 -0.023 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)[C@H]3CC34CCOCC4)C2)nc1=O ZINC001029024382 730386250 /nfs/dbraw/zinc/38/62/50/730386250.db2.gz GVNPWLBOOOZTAZ-QWHCGFSZSA-N -1 1 349.435 -0.137 20 0 EBADMM Cc1nnsc1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029208182 730393235 /nfs/dbraw/zinc/39/32/35/730393235.db2.gz ZMLWUAYNFIQPED-AOOOYVTPSA-N -1 1 349.420 -0.243 20 0 EBADMM Cc1nnc(CC(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)o1 ZINC001029296918 730397412 /nfs/dbraw/zinc/39/74/12/730397412.db2.gz VEJLODZMWQSFPU-PHIMTYICSA-N -1 1 347.379 -0.782 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2CN(C(=O)c2c[nH]c(=O)n2C)C3)nc1=O ZINC001029421809 730404532 /nfs/dbraw/zinc/40/45/32/730404532.db2.gz KPPHTFDUBQMCAA-AOOOYVTPSA-N -1 1 347.379 -0.964 20 0 EBADMM Cn1cncc1CC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029554235 730410416 /nfs/dbraw/zinc/41/04/16/730410416.db2.gz UCLBBSCKISMRGJ-TXEJJXNPSA-N -1 1 345.407 -0.740 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)[C@H]2CCNC(=O)C2)C1 ZINC001029702823 730485094 /nfs/dbraw/zinc/48/50/94/730485094.db2.gz OKALJKUWDDREOE-QWRGUYRKSA-N -1 1 336.396 -0.683 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)c2cnn(C)c2N)C1 ZINC001029715221 730487738 /nfs/dbraw/zinc/48/77/38/730487738.db2.gz JFFRDELPQREVFE-VIFPVBQESA-N -1 1 334.384 -0.580 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001029750225 730493891 /nfs/dbraw/zinc/49/38/91/730493891.db2.gz DDLNHZSCPNMJQW-MNOVXSKESA-N -1 1 336.396 -0.731 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)C[C@H]2CCNC2=O)C1 ZINC001029754419 730495036 /nfs/dbraw/zinc/49/50/36/730495036.db2.gz YFTZRNVIKBCBDU-GHMZBOCLSA-N -1 1 336.396 -0.683 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)[C@@H]2CCCNC2=O)C1 ZINC001029761533 730496801 /nfs/dbraw/zinc/49/68/01/730496801.db2.gz UICSJOUVVUUVQW-GHMZBOCLSA-N -1 1 336.396 -0.683 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)c2cn[nH]c(=O)c2)C1 ZINC001029762311 730497368 /nfs/dbraw/zinc/49/73/68/730497368.db2.gz MGFKQRNKFQYVMA-SECBINFHSA-N -1 1 333.352 -0.400 20 0 EBADMM CN(Cc1cncn1C)C[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001029777804 730500365 /nfs/dbraw/zinc/50/03/65/730500365.db2.gz QUDVRBAWVGSQAG-SNVBAGLBSA-N -1 1 347.379 -0.390 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)c2ccc(C(N)=O)o2)C1 ZINC001029779587 730500392 /nfs/dbraw/zinc/50/03/92/730500392.db2.gz HWRMGQLPDSKQBD-SECBINFHSA-N -1 1 348.363 -0.204 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)[C@]2(C)CNC(=O)C2)C1 ZINC001029792572 730502237 /nfs/dbraw/zinc/50/22/37/730502237.db2.gz KFFNUNGOKQTXHR-BONVTDFDSA-N -1 1 336.396 -0.683 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)[C@@]2(F)CCOC2)C1 ZINC001029820262 730508170 /nfs/dbraw/zinc/50/81/70/730508170.db2.gz SWEBUVMRKLWLCQ-IINYFYTJSA-N -1 1 327.360 -0.081 20 0 EBADMM Cc1nonc1CC(=O)N1CC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001029878512 730517602 /nfs/dbraw/zinc/51/76/02/730517602.db2.gz PEZDTSYKLHFAEE-SNVBAGLBSA-N -1 1 335.368 -0.275 20 0 EBADMM Cc1c(C(=O)N2CCC(CN(C)Cc3n[nH]c(=O)[n-]3)CC2)nnn1C ZINC001029950089 730527976 /nfs/dbraw/zinc/52/79/76/730527976.db2.gz HQKYLSJSEDFSAS-UHFFFAOYSA-N -1 1 348.411 -0.069 20 0 EBADMM Cc1nc(CC2CC2)oc1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030319482 730567554 /nfs/dbraw/zinc/56/75/54/730567554.db2.gz YOXKXIBYPUSXJX-UHFFFAOYSA-N -1 1 346.391 -0.028 20 0 EBADMM Cn1nc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)cc1C1CC1 ZINC001030404704 730581256 /nfs/dbraw/zinc/58/12/56/730581256.db2.gz ZYYQASRXUQCUCJ-UHFFFAOYSA-N -1 1 331.380 -0.667 20 0 EBADMM C[C@@H]1CCn2cc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)nc2C1 ZINC001030522731 730599143 /nfs/dbraw/zinc/59/91/43/730599143.db2.gz CYXUZDVVCAOFEM-SNVBAGLBSA-N -1 1 345.407 -0.499 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)Cn3cc(C4CC4)cn3)C2)nc1=O ZINC001030567392 730606072 /nfs/dbraw/zinc/60/60/72/730606072.db2.gz NABAHJBROJACSB-UHFFFAOYSA-N -1 1 331.380 -0.817 20 0 EBADMM COc1ccc(CC(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)cc1F ZINC001030632768 730617106 /nfs/dbraw/zinc/61/71/06/730617106.db2.gz XHVBSGVUJICXLA-UHFFFAOYSA-N -1 1 349.366 -0.201 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@]34CCO[C@H]3CCCC4)C2)nc1=O ZINC001030662028 730621411 /nfs/dbraw/zinc/62/14/11/730621411.db2.gz QOEDURLYTGXWNJ-BLLLJJGKSA-N -1 1 335.408 -0.242 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ccnc4ccncc43)C2)nc1=O ZINC001030746092 730632541 /nfs/dbraw/zinc/63/25/41/730632541.db2.gz FVMICQXDYKNLAR-UHFFFAOYSA-N -1 1 339.359 -0.334 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC1CN(Cc2nnn(C)n2)C1 ZINC001030775232 730636134 /nfs/dbraw/zinc/63/61/34/730636134.db2.gz AJSQHXUXVCCNHT-UHFFFAOYSA-N -1 1 346.395 -0.248 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ncoc3[C@H]3CCCO3)C2)nc1=O ZINC001030788143 730639017 /nfs/dbraw/zinc/63/90/17/730639017.db2.gz DUFWENPNOIPHEJ-SNVBAGLBSA-N -1 1 348.363 -0.438 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3nc(Cl)cs3)C2)nc1=O ZINC001030841329 730646786 /nfs/dbraw/zinc/64/67/86/730646786.db2.gz HUKUERLDJZEITE-UHFFFAOYSA-N -1 1 328.785 -0.168 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@@H]3Cc4ccc(F)cc43)C2)nc1=O ZINC001030847924 730647487 /nfs/dbraw/zinc/64/74/87/730647487.db2.gz OLOJRURKEPLWPN-CYBMUJFWSA-N -1 1 331.351 -0.112 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cnc(C4CC4)[nH]c3=O)C2)nc1=O ZINC001031061278 730669853 /nfs/dbraw/zinc/66/98/53/730669853.db2.gz USTRWYXBAPLSME-UHFFFAOYSA-N -1 1 345.363 -0.904 20 0 EBADMM O=C(CN1CC(NC(=O)c2cnc(C3CC3)[n-]c2=O)C1)N1CCC1 ZINC001031060316 730669902 /nfs/dbraw/zinc/66/99/02/730669902.db2.gz BNMAYRZYNTXBFB-UHFFFAOYSA-N -1 1 331.376 -0.294 20 0 EBADMM O=C(CN1CC(NC(=O)c2cnc(C3CC3)[n-]c2=O)C1)NC1CC1 ZINC001031060556 730669940 /nfs/dbraw/zinc/66/99/40/730669940.db2.gz HAORXSQSYCLEHL-UHFFFAOYSA-N -1 1 331.376 -0.248 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cccc4nccnc43)C2)nc1=O ZINC001031140476 730680585 /nfs/dbraw/zinc/68/05/85/730680585.db2.gz WZNIGOZUMWBRJW-UHFFFAOYSA-N -1 1 339.359 -0.334 20 0 EBADMM C[C@H]1CCc2n[nH]c(C(=O)NC3CN(Cc4n[nH]c(=O)[n-]4)C3)c2C1 ZINC001031184320 730684326 /nfs/dbraw/zinc/68/43/26/730684326.db2.gz PPNIBUHSTCIBRC-QMMMGPOBSA-N -1 1 331.380 -0.028 20 0 EBADMM C[C@H]1CCc2[nH]nc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)c2C1 ZINC001031184422 730684386 /nfs/dbraw/zinc/68/43/86/730684386.db2.gz TXCIARHQZBGPMV-VIFPVBQESA-N -1 1 345.407 -0.430 20 0 EBADMM C[C@@H]1CCc2onc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)c2C1 ZINC001031186872 730684679 /nfs/dbraw/zinc/68/46/79/730684679.db2.gz MEDZBAQKYOPWNM-SECBINFHSA-N -1 1 346.391 -0.165 20 0 EBADMM Cc1cn2ccnc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)c2n1 ZINC001031221556 730688702 /nfs/dbraw/zinc/68/87/02/730688702.db2.gz GCGURUCOBMPFSM-UHFFFAOYSA-N -1 1 342.363 -0.926 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN([C@H](C)c2ncccn2)C1 ZINC001031249733 730693290 /nfs/dbraw/zinc/69/32/90/730693290.db2.gz FWUMCRUWTQPWDB-MRVPVSSYSA-N -1 1 346.347 -0.138 20 0 EBADMM Cc1cnc([C@@H](C)N2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)o1 ZINC001031249951 730693815 /nfs/dbraw/zinc/69/38/15/730693815.db2.gz MMFBSRQHVRYTNA-SECBINFHSA-N -1 1 343.347 -0.111 20 0 EBADMM Cc1cnc([C@H](C)N2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)o1 ZINC001031249952 730693989 /nfs/dbraw/zinc/69/39/89/730693989.db2.gz MMFBSRQHVRYTNA-VIFPVBQESA-N -1 1 343.347 -0.111 20 0 EBADMM Cc1cnc(CN2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)cn1 ZINC001031253526 730695727 /nfs/dbraw/zinc/69/57/27/730695727.db2.gz QKBNKFKEVLOHPJ-UHFFFAOYSA-N -1 1 340.347 -0.870 20 0 EBADMM Cc1c(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c(Cl)nn1C ZINC001031320248 730702081 /nfs/dbraw/zinc/70/20/81/730702081.db2.gz DQDSOPNHGCDKDX-UHFFFAOYSA-N -1 1 339.787 -0.582 20 0 EBADMM Cc1ncc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c(C2CC2)n1 ZINC001031329459 730703695 /nfs/dbraw/zinc/70/36/95/730703695.db2.gz HFFCHLKPRGUFEK-UHFFFAOYSA-N -1 1 343.391 -0.302 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@@H]3Cc4ccccc4CO3)C2)nc1=O ZINC001031332648 730704503 /nfs/dbraw/zinc/70/45/03/730704503.db2.gz KPMGCVIICUUJLA-AWEZNQCLSA-N -1 1 343.387 -0.450 20 0 EBADMM C[C@H]1c2ccccc2O[C@@H]1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031485158 730728702 /nfs/dbraw/zinc/72/87/02/730728702.db2.gz DRJAAXUWMARHON-BONVTDFDSA-N -1 1 343.387 -0.027 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cccc4[nH]c(=O)oc43)C2)nc1=O ZINC001031500626 730730847 /nfs/dbraw/zinc/73/08/47/730730847.db2.gz FPTMRFOTHCJTPD-UHFFFAOYSA-N -1 1 344.331 -0.431 20 0 EBADMM C[C@@H]1CN(C(=O)CN2CC(CNC(=O)c3ncccc3[O-])C2)CCO1 ZINC001031628695 730742674 /nfs/dbraw/zinc/74/26/74/730742674.db2.gz UZPDVLIPMRBISZ-GFCCVEGCSA-N -1 1 348.403 -0.304 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3ccnn3C(F)F)C2)nc1=O ZINC001031697809 730752868 /nfs/dbraw/zinc/75/28/68/730752868.db2.gz IZZBGKPTVNWPJC-UHFFFAOYSA-N -1 1 341.322 -0.438 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cnn4c3CCC4)C2)nc1=O ZINC001031717069 730756332 /nfs/dbraw/zinc/75/63/32/730756332.db2.gz BFWUTILVSPNHSB-UHFFFAOYSA-N -1 1 331.380 -0.887 20 0 EBADMM CC(C)[C@@H]1OCC[C@@H]1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031723254 730757131 /nfs/dbraw/zinc/75/71/31/730757131.db2.gz MWJHQJLWPXOXGE-JSGCOSHPSA-N -1 1 337.424 -0.283 20 0 EBADMM COc1cc(C)cnc1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031736833 730759524 /nfs/dbraw/zinc/75/95/24/730759524.db2.gz MWIHMCYKAUKSGB-UHFFFAOYSA-N -1 1 346.391 -0.318 20 0 EBADMM CCc1nn(C)cc1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031754291 730763358 /nfs/dbraw/zinc/76/33/58/730763358.db2.gz VZUGELDLGVTUOU-UHFFFAOYSA-N -1 1 333.396 -0.734 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@H]3CCn4cncc4C3)C2)nc1=O ZINC001031775785 730767003 /nfs/dbraw/zinc/76/70/03/730767003.db2.gz KEGJBAMLQMUZMM-LBPRGKRZSA-N -1 1 345.407 -0.885 20 0 EBADMM COc1cc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)ccn1 ZINC001031806737 730772300 /nfs/dbraw/zinc/77/23/00/730772300.db2.gz MPFPAUCWGMOJDM-UHFFFAOYSA-N -1 1 332.364 -0.626 20 0 EBADMM CC(C)c1n[nH]cc1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031807652 730772355 /nfs/dbraw/zinc/77/23/55/730772355.db2.gz XSPMXEHJBWAOCJ-UHFFFAOYSA-N -1 1 333.396 -0.183 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3n[nH]c4c3CCCC4)C2)nc1=O ZINC001031809004 730772701 /nfs/dbraw/zinc/77/27/01/730772701.db2.gz GMODFPMJWDSYES-UHFFFAOYSA-N -1 1 345.407 -0.428 20 0 EBADMM Cc1cnc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)c(C)c1 ZINC001031863600 730782197 /nfs/dbraw/zinc/78/21/97/730782197.db2.gz ZLCIMPBMPIXDCJ-UHFFFAOYSA-N -1 1 330.392 -0.018 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3ccc4nonc4c3)C2)nc1=O ZINC001031943463 730795796 /nfs/dbraw/zinc/79/57/96/730795796.db2.gz BAZSCINXVSSMBJ-UHFFFAOYSA-N -1 1 343.347 -0.494 20 0 EBADMM CCn1cc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)c(C)n1 ZINC001032015455 730803029 /nfs/dbraw/zinc/80/30/29/730803029.db2.gz VHPAJGAYBNETIM-UHFFFAOYSA-N -1 1 333.396 -0.505 20 0 EBADMM CC(C)n1cc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001032121070 730814567 /nfs/dbraw/zinc/81/45/67/730814567.db2.gz PFORJOMLWMDHFQ-UHFFFAOYSA-N -1 1 333.396 -0.252 20 0 EBADMM O=C(NCC1CN(Cc2cscn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001032127863 730816198 /nfs/dbraw/zinc/81/61/98/730816198.db2.gz HNDGXKUXVYCULM-UHFFFAOYSA-N -1 1 345.388 -0.264 20 0 EBADMM Cn1cc(CN2CC(CNC(=O)c3cc(=O)n4[n-]cnc4n3)C2)cn1 ZINC001032127637 730816484 /nfs/dbraw/zinc/81/64/84/730816484.db2.gz ANSRMLFNSFXDPN-UHFFFAOYSA-N -1 1 342.363 -0.987 20 0 EBADMM COc1cccc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001032148228 730818704 /nfs/dbraw/zinc/81/87/04/730818704.db2.gz KXQAFSVTZFYQAJ-UHFFFAOYSA-N -1 1 331.376 -0.021 20 0 EBADMM CCc1onc(C)c1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032179117 730822762 /nfs/dbraw/zinc/82/27/62/730822762.db2.gz JKEDFUVLNYBAKB-UHFFFAOYSA-N -1 1 334.380 -0.171 20 0 EBADMM CO[C@@H]1CCCC[C@@H]1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032221075 730831416 /nfs/dbraw/zinc/83/14/16/730831416.db2.gz WKJWFVVJLLKKLC-QWHCGFSZSA-N -1 1 337.424 -0.138 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)c2ccncc2F)nc1=O ZINC001032329580 730841896 /nfs/dbraw/zinc/84/18/96/730841896.db2.gz NPCRKPXMFCOGQH-UWVGGRQHSA-N -1 1 332.339 -0.259 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@@H]2C[C@H]1CN2[C@H]1CCC(=O)NC1=O ZINC001032369746 730846533 /nfs/dbraw/zinc/84/65/33/730846533.db2.gz PSZINXWBXJHLOK-DCAQKATOSA-N -1 1 330.344 -0.509 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)c2ccc(F)cn2)nc1=O ZINC001032368112 730846635 /nfs/dbraw/zinc/84/66/35/730846635.db2.gz VVLSEMZGMOTINE-QWRGUYRKSA-N -1 1 332.339 -0.259 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)C2CCC(O)CC2)nc1=O ZINC001032380128 730849186 /nfs/dbraw/zinc/84/91/86/730849186.db2.gz UDQNRQPMBSVPLE-ZFQMDJOTSA-N -1 1 335.408 -0.555 20 0 EBADMM Cc1ncn(C)c1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032458270 730865978 /nfs/dbraw/zinc/86/59/78/730865978.db2.gz CDLATLTVVSKROY-QWRGUYRKSA-N -1 1 331.380 -0.751 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1C[C@@H]2C[C@H]1CN2CCC[C@H]1CCOC1 ZINC001032498900 730876404 /nfs/dbraw/zinc/87/64/04/730876404.db2.gz MMJALGIBTUDMTK-SRVKXCTJSA-N -1 1 349.391 -0.002 20 0 EBADMM Cc1cnn(C)c1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032574123 730892489 /nfs/dbraw/zinc/89/24/89/730892489.db2.gz AAPRFZOORDKFFK-QWRGUYRKSA-N -1 1 331.380 -0.751 20 0 EBADMM C[C@H](CC(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1)n1ccnc1 ZINC001032656894 730905695 /nfs/dbraw/zinc/90/56/95/730905695.db2.gz PNEDRKYQMKQLEI-AGIUHOORSA-N -1 1 345.407 -0.259 20 0 EBADMM Cn1cncc1CCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032759214 730924657 /nfs/dbraw/zinc/92/46/57/730924657.db2.gz DBFCXUWIFWPQPV-STQMWFEESA-N -1 1 345.407 -0.740 20 0 EBADMM Cc1cnn(CCC(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)c1 ZINC001032800954 730934765 /nfs/dbraw/zinc/93/47/65/730934765.db2.gz FWCMOCMELXEIHX-STQMWFEESA-N -1 1 345.407 -0.511 20 0 EBADMM CN(C(=O)c1cccc(=O)[nH]1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032976992 730954432 /nfs/dbraw/zinc/95/44/32/730954432.db2.gz XXULZRWZADMTDD-JTQLQIEISA-N -1 1 332.364 -0.445 20 0 EBADMM CCn1nncc1C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033053812 730963344 /nfs/dbraw/zinc/96/33/44/730963344.db2.gz MXJDYTUEDHMRIQ-SNVBAGLBSA-N -1 1 334.384 -0.934 20 0 EBADMM Cc1ccc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001033080245 730967652 /nfs/dbraw/zinc/96/76/52/730967652.db2.gz CWWHWYOAGLEEFQ-NSHDSACASA-N -1 1 331.380 -0.447 20 0 EBADMM CCc1c[nH]c(CC(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001033152855 730978612 /nfs/dbraw/zinc/97/86/12/730978612.db2.gz AQSXGAFIHONGPZ-LBPRGKRZSA-N -1 1 347.423 -0.331 20 0 EBADMM CCc1nn(C)cc1C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033171919 730982419 /nfs/dbraw/zinc/98/24/19/730982419.db2.gz IAYVPXXILYRWHB-LLVKDONJSA-N -1 1 347.423 -0.249 20 0 EBADMM Cc1nc([C@H](C)N2CC[C@@H](N(C)C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001033238445 730993796 /nfs/dbraw/zinc/99/37/96/730993796.db2.gz PBBGFITXUYOXSC-IONNQARKSA-N -1 1 348.367 -0.383 20 0 EBADMM COc1nn(C)cc1C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033325220 731006929 /nfs/dbraw/zinc/00/69/29/731006929.db2.gz MRKCHMGASMEGQT-JTQLQIEISA-N -1 1 349.395 -0.803 20 0 EBADMM Cc1ccn(CC(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001033437562 731023620 /nfs/dbraw/zinc/02/36/20/731023620.db2.gz JEIFEGWVWLGRAI-LBPRGKRZSA-N -1 1 333.396 -0.654 20 0 EBADMM Cc1ccnn1CC(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033480647 731028044 /nfs/dbraw/zinc/02/80/44/731028044.db2.gz SMEPULPLSMIUSL-LBPRGKRZSA-N -1 1 333.396 -0.654 20 0 EBADMM CC[C@H](C(N)=O)N1CC[C@@H](N(C)C(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001033486413 731028917 /nfs/dbraw/zinc/02/89/17/731028917.db2.gz VUJGORDVQUPLTO-ZYHUDNBSSA-N -1 1 346.391 -0.567 20 0 EBADMM Cc1nc[nH]c1CC(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033619577 731047910 /nfs/dbraw/zinc/04/79/10/731047910.db2.gz LQEPNMWOZOLPNE-LLVKDONJSA-N -1 1 333.396 -0.585 20 0 EBADMM CCN(C(=O)C1=COCCO1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033744187 731071026 /nfs/dbraw/zinc/07/10/26/731071026.db2.gz YOWBRLLMWKYCOT-LLVKDONJSA-N -1 1 337.380 -0.581 20 0 EBADMM CCN(C(=O)c1cnn(C)c1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033743998 731071077 /nfs/dbraw/zinc/07/10/77/731071077.db2.gz FIWNYKZOOVZUSN-LBPRGKRZSA-N -1 1 333.396 -0.422 20 0 EBADMM CCN(C(=O)c1cc(C)nn1C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033762446 731071793 /nfs/dbraw/zinc/07/17/93/731071793.db2.gz GMRYUDSNLRFUNH-GFCCVEGCSA-N -1 1 347.423 -0.113 20 0 EBADMM CCN(C(=O)c1nccc(C)n1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033809224 731075736 /nfs/dbraw/zinc/07/57/36/731075736.db2.gz IUOKWNYYVLLFJS-LBPRGKRZSA-N -1 1 345.407 -0.057 20 0 EBADMM CCN(C(=O)c1nn(C)cc1C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033827593 731078064 /nfs/dbraw/zinc/07/80/64/731078064.db2.gz GPONWNKJHOQGHV-GFCCVEGCSA-N -1 1 347.423 -0.113 20 0 EBADMM CCN(C(=O)c1ccc(=O)[nH]c1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034037552 731099745 /nfs/dbraw/zinc/09/97/45/731099745.db2.gz YZIRMZWVKYPTPY-GFCCVEGCSA-N -1 1 346.391 -0.054 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H](NC(=O)c3cncnc3)C2)nc1=O ZINC001034176610 731108225 /nfs/dbraw/zinc/10/82/25/731108225.db2.gz LALXUBSSKZWUCY-LBPRGKRZSA-N -1 1 331.380 -0.317 20 0 EBADMM Cc1ncc(C(=O)N[C@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)n1C ZINC001034365543 731125921 /nfs/dbraw/zinc/12/59/21/731125921.db2.gz ANWOQQRDIAIWIE-LBPRGKRZSA-N -1 1 347.423 -0.065 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H](NC(=O)[C@]3(F)CCOC3)C2)nc1=O ZINC001034365007 731125955 /nfs/dbraw/zinc/12/59/55/731125955.db2.gz YLEYHGLEMOEQRX-NHYWBVRUSA-N -1 1 341.387 -0.292 20 0 EBADMM Cn1cc(C(N)=O)cc1C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034982240 731172047 /nfs/dbraw/zinc/17/20/47/731172047.db2.gz ATELHIOLJITGCO-SNVBAGLBSA-N -1 1 347.379 -0.658 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N1CCC[C@@H]1CNCc1nccs1 ZINC001035116452 731187953 /nfs/dbraw/zinc/18/79/53/731187953.db2.gz ZYYZHCSNDYMUNC-SECBINFHSA-N -1 1 338.393 -0.073 20 0 EBADMM Cc1cc(NC(=O)C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)no1 ZINC001035126107 731189964 /nfs/dbraw/zinc/18/99/64/731189964.db2.gz XJZQPMOWLSTEID-SECBINFHSA-N -1 1 349.351 -0.474 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)on1 ZINC001035280351 731204713 /nfs/dbraw/zinc/20/47/13/731204713.db2.gz BMOLJJLSLIRICI-JTQLQIEISA-N -1 1 336.352 -0.964 20 0 EBADMM Cc1occc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035284000 731205213 /nfs/dbraw/zinc/20/52/13/731205213.db2.gz PURREOFSAYXXGK-NSHDSACASA-N -1 1 335.364 -0.359 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)c3ccccc3O)C2)nc1=O ZINC001035296465 731207174 /nfs/dbraw/zinc/20/71/74/731207174.db2.gz IGMPFNNUGQGHDJ-NSHDSACASA-N -1 1 347.375 -0.555 20 0 EBADMM Cc1cccc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)n1 ZINC001035299483 731207967 /nfs/dbraw/zinc/20/79/67/731207967.db2.gz NLVMDIMBTBIXDP-GFCCVEGCSA-N -1 1 346.391 -0.557 20 0 EBADMM CN1CCC[C@H](N2CCO[C@@H](CNC(=O)c3ncccc3[O-])C2)C1=O ZINC001035342218 731221263 /nfs/dbraw/zinc/22/12/63/731221263.db2.gz BHDJPEBEGDMPIB-STQMWFEESA-N -1 1 348.403 -0.161 20 0 EBADMM O=C(CN1CCO[C@@H](CNC(=O)c2ncccc2[O-])C1)N1CCCC1 ZINC001035343309 731221752 /nfs/dbraw/zinc/22/17/52/731221752.db2.gz HOAUQOIHQVZVIW-ZDUSSCGKSA-N -1 1 348.403 -0.160 20 0 EBADMM Cn1cc(CN2CCO[C@@H](CNC(=O)c3ncccc3[O-])C2)nn1 ZINC001035344458 731222489 /nfs/dbraw/zinc/22/24/89/731222489.db2.gz RHKPMACCNQYZDT-LBPRGKRZSA-N -1 1 332.364 -0.454 20 0 EBADMM Cc1cc[nH]c1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035353804 731226259 /nfs/dbraw/zinc/22/62/59/731226259.db2.gz FUDMXVUSZYTCTB-NSHDSACASA-N -1 1 334.380 -0.624 20 0 EBADMM C[C@@H]1CCCN(C(=O)Cc2nnc[nH]2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036591331 731263073 /nfs/dbraw/zinc/26/30/73/731263073.db2.gz PUNZECJYHBOKRW-NXEZZACHSA-N -1 1 334.384 -0.412 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC[C@@H]3[C@@H](CC[N@H+]3Cc3n[nH]c(=O)[n-]3)C2)c1[O-] ZINC001036691769 731264268 /nfs/dbraw/zinc/26/42/68/731264268.db2.gz VCSRKAHNGSUFNS-VHSXEESVSA-N -1 1 347.379 -0.016 20 0 EBADMM CC[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC[C@@H]1NCc1cnon1 ZINC001037888511 731271062 /nfs/dbraw/zinc/27/10/62/731271062.db2.gz LZUYJFDONXNBRZ-WPRPVWTQSA-N -1 1 349.351 -0.304 20 0 EBADMM CC[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC[C@H]1NCc1cnon1 ZINC001037888508 731271069 /nfs/dbraw/zinc/27/10/69/731271069.db2.gz LZUYJFDONXNBRZ-PSASIEDQSA-N -1 1 349.351 -0.304 20 0 EBADMM O=C(NC[C@@H]1CCN1CCCN1C(=O)CNC1=O)c1ncccc1[O-] ZINC001038183823 731291240 /nfs/dbraw/zinc/29/12/40/731291240.db2.gz MXKOWGJFFXATTH-NSHDSACASA-N -1 1 347.375 -0.467 20 0 EBADMM Cn1nc(C2CC2)cc1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038301622 731304370 /nfs/dbraw/zinc/30/43/70/731304370.db2.gz PBKIVEIHLNPUQS-LLVKDONJSA-N -1 1 345.407 -0.276 20 0 EBADMM Cc1nc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)c(=O)[nH]c1C ZINC001038394536 731318338 /nfs/dbraw/zinc/31/83/38/731318338.db2.gz SZPXFKPWLHLVRT-JTQLQIEISA-N -1 1 347.379 -0.775 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@]23CCC[C@H]2OCC3)nc1=O ZINC001038508865 731337555 /nfs/dbraw/zinc/33/75/55/731337555.db2.gz QUYQZNZISOGUBQ-OZVIIMIRSA-N -1 1 335.408 -0.242 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@H]2CCCc3nc[nH]c32)nc1=O ZINC001038683230 731363708 /nfs/dbraw/zinc/36/37/08/731363708.db2.gz GZHDVSCIOAKPAJ-QWRGUYRKSA-N -1 1 345.407 -0.358 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@H]2CCCc3nc[nH]c32)nc1=O ZINC001038683228 731363954 /nfs/dbraw/zinc/36/39/54/731363954.db2.gz GZHDVSCIOAKPAJ-MNOVXSKESA-N -1 1 345.407 -0.358 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@@H]2Cc3ccncc3C2)nc1=O ZINC001038704699 731367035 /nfs/dbraw/zinc/36/70/35/731367035.db2.gz NLFXLSLBSDFBDQ-OCCSQVGLSA-N -1 1 342.403 -0.391 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2noc3c2CCCC3)nc1=O ZINC001038741910 731370692 /nfs/dbraw/zinc/37/06/92/731370692.db2.gz YTEJGWMYEYCPDY-SNVBAGLBSA-N -1 1 346.391 -0.021 20 0 EBADMM CCCn1cc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001038768200 731374213 /nfs/dbraw/zinc/37/42/13/731374213.db2.gz OTHBAMZENGNQFY-GFCCVEGCSA-N -1 1 333.396 -0.281 20 0 EBADMM CCc1nc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)c(C)o1 ZINC001038805002 731378843 /nfs/dbraw/zinc/37/88/43/731378843.db2.gz VKDOANGFXOBPKV-JTQLQIEISA-N -1 1 334.380 -0.028 20 0 EBADMM COCc1nocc1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038908473 731389965 /nfs/dbraw/zinc/38/99/65/731389965.db2.gz KITFBYPTNZKYKP-SECBINFHSA-N -1 1 336.352 -0.753 20 0 EBADMM COc1cc[nH]c(=O)c1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038919229 731392663 /nfs/dbraw/zinc/39/26/63/731392663.db2.gz HPZJRVAEHFKYLQ-VIFPVBQESA-N -1 1 348.363 -0.778 20 0 EBADMM O=C(NC[C@@H]1CCN1Cc1ncccn1)c1cc(=O)n2[n-]cnc2n1 ZINC001038923312 731393739 /nfs/dbraw/zinc/39/37/39/731393739.db2.gz RKEPNBFARYBMST-JTQLQIEISA-N -1 1 340.347 -0.788 20 0 EBADMM O=C(NC[C@]1(O)CCN(C(=O)c2ncccc2[O-])C1)c1ncc[nH]1 ZINC001039660544 731478210 /nfs/dbraw/zinc/47/82/10/731478210.db2.gz YNUUGOFMHJYQNQ-OAHLLOKOSA-N -1 1 331.332 -0.483 20 0 EBADMM Cc1nc[nH]c1C(=O)NC[C@@]1(O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001040273176 731677342 /nfs/dbraw/zinc/67/73/42/731677342.db2.gz GTITXJFOCWJHDG-INIZCTEOSA-N -1 1 345.359 -0.174 20 0 EBADMM O=C(NC[C@]1(O)CCN(C(=O)c2ncccc2[O-])C1)c1cccnn1 ZINC001040676157 731823320 /nfs/dbraw/zinc/82/33/20/731823320.db2.gz MGASXNAVPBAIJD-MRXNPFEDSA-N -1 1 343.343 -0.416 20 0 EBADMM O=C(C[C@H]1CCOC1)NC[C@@]1(O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001040814701 731886096 /nfs/dbraw/zinc/88/60/96/731886096.db2.gz KASGGLFYFRVEET-PXAZEXFGSA-N -1 1 349.387 -0.093 20 0 EBADMM C[C@@H]1CCO[C@@H]1C(=O)NC[C@]1(O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001040942333 731933267 /nfs/dbraw/zinc/93/32/67/731933267.db2.gz OUVBPWFWXNROTH-HYSWKAIVSA-N -1 1 349.387 -0.095 20 0 EBADMM COc1cc(C)nc(N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001040995444 731955218 /nfs/dbraw/zinc/95/52/18/731955218.db2.gz IRAFQGBWOUQVTI-ZYHUDNBSSA-N -1 1 345.359 -0.126 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)c1ccccn1 ZINC001041165186 732016345 /nfs/dbraw/zinc/01/63/45/732016345.db2.gz CSHCQMKBPAMIQJ-UHFFFAOYSA-N -1 1 328.328 -0.201 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC[C@@]3(CCN([C@H]4CCNC4=O)C3)C2)c1[O-] ZINC001041208640 732031949 /nfs/dbraw/zinc/03/19/49/732031949.db2.gz WWVYJMQAMLLGGR-MEDUHNTESA-N -1 1 333.392 -0.150 20 0 EBADMM Cc1c[nH]nc1C(=O)N1CC[C@@]2(CCN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001041269205 732059129 /nfs/dbraw/zinc/05/91/29/732059129.db2.gz MJFYRWMENFIQSQ-MRXNPFEDSA-N -1 1 345.407 -0.122 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(CCN(C(=O)[C@]45C[C@H]4COC5)C3)C2)nc1=O ZINC001041305767 732075398 /nfs/dbraw/zinc/07/53/98/732075398.db2.gz VCLRBIPTQBXHOI-ZLIFDBKOSA-N -1 1 347.419 -0.431 20 0 EBADMM Cc1c(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)cnn1C ZINC001041478231 732137719 /nfs/dbraw/zinc/13/77/19/732137719.db2.gz JNEYNCOYWNSKCE-UHFFFAOYSA-N -1 1 345.359 -0.554 20 0 EBADMM CCO[C@@H]1C[C@H]1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001041684830 732194037 /nfs/dbraw/zinc/19/40/37/732194037.db2.gz DIGGKDCWAADRFD-ZYHUDNBSSA-N -1 1 335.360 -0.485 20 0 EBADMM NC(=O)CN1CC[C@@]2(CCN(C(=O)c3ccc4oc(=O)nc-4[n-]3)C2)C1 ZINC001041787086 732226092 /nfs/dbraw/zinc/22/60/92/732226092.db2.gz MUGCFVVWQVPBFV-MRXNPFEDSA-N -1 1 345.359 -0.048 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)C4=COCCO4)C[C@@H]32)nc1=O ZINC001041915703 732253774 /nfs/dbraw/zinc/25/37/74/732253774.db2.gz FQXYLWNEKANYGJ-NEPJUHHUSA-N -1 1 349.391 -0.581 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)c4ccon4)C[C@H]32)nc1=O ZINC001041950037 732262042 /nfs/dbraw/zinc/26/20/42/732262042.db2.gz NVPXDISSONRPFQ-CMPLNLGQSA-N -1 1 332.364 -0.167 20 0 EBADMM O=C(Cc1ccn[nH]1)N1CC[C@@H]2CCN(Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001041994672 732272442 /nfs/dbraw/zinc/27/24/42/732272442.db2.gz DUZGTUGJPDCQSB-CMPLNLGQSA-N -1 1 331.380 -0.101 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)[C@@H]3C2)c1 ZINC001042018352 732278426 /nfs/dbraw/zinc/27/84/26/732278426.db2.gz IIMJVJVZRRUDKU-DGCLKSJQSA-N -1 1 345.407 -0.422 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)c4cncnc4)C[C@H]32)nc1=O ZINC001042023754 732280106 /nfs/dbraw/zinc/28/01/06/732280106.db2.gz SNNHCCPWELHWJS-WCQYABFASA-N -1 1 343.391 -0.365 20 0 EBADMM Cc1n[nH]cc1C(=O)N1CC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1 ZINC001042173970 732342796 /nfs/dbraw/zinc/34/27/96/732342796.db2.gz UWCPJSYFJFLLGE-YPMHNXCESA-N -1 1 345.407 -0.123 20 0 EBADMM O=C(CCc1c[nH]nn1)N1CC[C@@H]2CCN(Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001042240876 732372176 /nfs/dbraw/zinc/37/21/76/732372176.db2.gz OPMVITDHSILIKO-JQWIXIFHSA-N -1 1 346.395 -0.316 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)c4ccncn4)C[C@H]32)nc1=O ZINC001042276270 732387517 /nfs/dbraw/zinc/38/75/17/732387517.db2.gz IIKSCWIVNMRDLW-DGCLKSJQSA-N -1 1 343.391 -0.365 20 0 EBADMM Cn1ccc(C(=O)N2CC[C@@H]3CCN(Cc4nc(=O)n(C)[n-]4)[C@H]3C2)n1 ZINC001042354685 732414865 /nfs/dbraw/zinc/41/48/65/732414865.db2.gz CGFFWUJNPCYDQO-AAEUAGOBSA-N -1 1 345.407 -0.422 20 0 EBADMM O=C(C[C@H]1COC(=O)C1)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001042555655 732476527 /nfs/dbraw/zinc/47/65/27/732476527.db2.gz ZJHAWXDIFHRXND-SNVBAGLBSA-N -1 1 349.343 -0.957 20 0 EBADMM CCO[C@@H]1C[C@H]1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042712545 732546109 /nfs/dbraw/zinc/54/61/09/732546109.db2.gz BXTJPFNHVQBBPU-ZYHUDNBSSA-N -1 1 335.360 -0.485 20 0 EBADMM O=C(CC[C@@H]1CCOC1)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042712898 732546835 /nfs/dbraw/zinc/54/68/35/732546835.db2.gz KPZRQSXZXKAAPK-GFCCVEGCSA-N -1 1 349.387 -0.093 20 0 EBADMM C[C@](O)(CC(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1)C1CC1 ZINC001042713354 732547119 /nfs/dbraw/zinc/54/71/19/732547119.db2.gz UNGPSKGUCXZUBI-INIZCTEOSA-N -1 1 349.387 -0.359 20 0 EBADMM Cn1cnc(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)c1 ZINC001042713382 732547223 /nfs/dbraw/zinc/54/72/23/732547223.db2.gz WCGXNDXZEIEDLQ-UHFFFAOYSA-N -1 1 331.332 -0.862 20 0 EBADMM CC[C@@H]1OCC[C@H]1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042715996 732547921 /nfs/dbraw/zinc/54/79/21/732547921.db2.gz FUHVZGKWWXMNTC-YPMHNXCESA-N -1 1 349.387 -0.095 20 0 EBADMM C[C@H](C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1)[C@H]1CCCO1 ZINC001042719307 732549714 /nfs/dbraw/zinc/54/97/14/732549714.db2.gz ACECFFSXQJUVGS-WCQYABFASA-N -1 1 349.387 -0.095 20 0 EBADMM C[C@@H]1OCC[C@]1(C)C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042719569 732550053 /nfs/dbraw/zinc/55/00/53/732550053.db2.gz GGRRVWCZACVQSZ-ZBEGNZNMSA-N -1 1 349.387 -0.095 20 0 EBADMM O=C(CCc1c[nH]cn1)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042719932 732550463 /nfs/dbraw/zinc/55/04/63/732550463.db2.gz OCTBVMITRODBNN-UHFFFAOYSA-N -1 1 345.359 -0.554 20 0 EBADMM Cc1nc(C)c(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)[nH]1 ZINC001042720403 732551565 /nfs/dbraw/zinc/55/15/65/732551565.db2.gz WJMWIXIQJRAECL-UHFFFAOYSA-N -1 1 345.359 -0.256 20 0 EBADMM CN(C(=O)[C@@H]1CCCc2n[nH]cc21)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042725539 732552996 /nfs/dbraw/zinc/55/29/96/732552996.db2.gz VIQYANUZSIXYDE-LLVKDONJSA-N -1 1 345.407 -0.406 20 0 EBADMM C[C@H](C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1)c1ccnn1C ZINC001042740395 732560404 /nfs/dbraw/zinc/56/04/04/732560404.db2.gz UMVMXKPAEXSECI-JTQLQIEISA-N -1 1 333.396 -0.712 20 0 EBADMM CCCn1nccc1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042805094 732593586 /nfs/dbraw/zinc/59/35/86/732593586.db2.gz OXDHBWBNWZDXIK-UHFFFAOYSA-N -1 1 333.396 -0.329 20 0 EBADMM CCn1ccnc1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001042821226 732605501 /nfs/dbraw/zinc/60/55/01/732605501.db2.gz QJPMADQNRSWSJE-UHFFFAOYSA-N -1 1 345.359 -0.380 20 0 EBADMM CCc1oncc1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001043089446 732750376 /nfs/dbraw/zinc/75/03/76/732750376.db2.gz WCGJEFBYFRNXEG-UHFFFAOYSA-N -1 1 346.343 -0.046 20 0 EBADMM O=C(C[C@H]1CCCOC1)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001043145298 732787454 /nfs/dbraw/zinc/78/74/54/732787454.db2.gz YDQHVQWXBJAFMR-GFCCVEGCSA-N -1 1 349.387 -0.093 20 0 EBADMM CN(C(=O)c1n[nH]c(=O)[n-]c1=O)C1CN(Cc2n[nH]c(C3CC3)n2)C1 ZINC001043231021 732837341 /nfs/dbraw/zinc/83/73/41/732837341.db2.gz NFWGGVDYTKRREM-UHFFFAOYSA-N -1 1 346.351 -0.765 20 0 EBADMM C[C@@H]1C[C@@H](CN2CC(N(C)C(=O)c3n[nH]c(=O)[n-]c3=O)C2)CCO1 ZINC001043231219 732837451 /nfs/dbraw/zinc/83/74/51/732837451.db2.gz WXACILCLCGGVRG-ZJUUUORDSA-N -1 1 337.380 -0.146 20 0 EBADMM Cc1ncn(C)c1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001043477078 732965199 /nfs/dbraw/zinc/96/51/99/732965199.db2.gz RGJQUBQFZFOSQK-UHFFFAOYSA-N -1 1 345.359 -0.554 20 0 EBADMM Cc1nn(C)c(C)c1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043498520 732975331 /nfs/dbraw/zinc/97/53/31/732975331.db2.gz FECKDFPQARFKEB-UHFFFAOYSA-N -1 1 333.396 -0.585 20 0 EBADMM CCOC1CC(CC(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001043609603 733021687 /nfs/dbraw/zinc/02/16/87/733021687.db2.gz KZHBDTLJKDGJGV-UHFFFAOYSA-N -1 1 337.424 -0.044 20 0 EBADMM CN(C(=O)Cc1ncccc1F)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043651212 733042785 /nfs/dbraw/zinc/04/27/85/733042785.db2.gz IWDACQOPIDYUBM-UHFFFAOYSA-N -1 1 334.355 -0.472 20 0 EBADMM Cn1cncc1CC(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001044007176 733241906 /nfs/dbraw/zinc/24/19/06/733241906.db2.gz GZPZBZQLLDRCHF-UHFFFAOYSA-N -1 1 345.359 -0.934 20 0 EBADMM CN(C(=O)c1cc2ncccn2n1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044072812 733276254 /nfs/dbraw/zinc/27/62/54/733276254.db2.gz UDKOUYKREKXPJT-UHFFFAOYSA-N -1 1 342.363 -0.893 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C1CN(Cc2cncn2C)C1 ZINC001044180250 733320167 /nfs/dbraw/zinc/32/01/67/733320167.db2.gz HMOVKGUTHXNDAE-UHFFFAOYSA-N -1 1 348.363 -0.414 20 0 EBADMM Cc1cc(CN2CC(N(C)C(=O)c3cc(=O)n4[n-]cnc4n3)C2)on1 ZINC001044181858 733321395 /nfs/dbraw/zinc/32/13/95/733321395.db2.gz IQEFGRNPTYLOQZ-UHFFFAOYSA-N -1 1 343.347 -0.330 20 0 EBADMM CN(C(=O)c1cnn(C)c1C1CC1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044367923 733401520 /nfs/dbraw/zinc/40/15/20/733401520.db2.gz CAXRFGKZUWAYSD-UHFFFAOYSA-N -1 1 345.407 -0.324 20 0 EBADMM O=C(N[C@@H]1CN(c2ncccn2)C[C@@H]1O)c1cnc(C2CC2)[n-]c1=O ZINC001044633478 733468092 /nfs/dbraw/zinc/46/80/92/733468092.db2.gz LCMMTUWZBJQADT-NEPJUHHUSA-N -1 1 342.359 -0.171 20 0 EBADMM C[C@@H]1C[C@H](NCc2cnon2)CCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001044664940 733475305 /nfs/dbraw/zinc/47/53/05/733475305.db2.gz WFZIPNNYRYYOLA-HTQZYQBOSA-N -1 1 335.324 -0.551 20 0 EBADMM C[C@H](C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1)S(C)(=O)=O ZINC001045094826 733578353 /nfs/dbraw/zinc/57/83/53/733578353.db2.gz NMYAODJOBPXFEZ-BDAKNGLRSA-N -1 1 331.398 -0.976 20 0 EBADMM COCc1nn(C)cc1C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045097161 733579346 /nfs/dbraw/zinc/57/93/46/733579346.db2.gz RUUJEOXWPTUMTJ-JTQLQIEISA-N -1 1 349.395 -0.215 20 0 EBADMM Cc1cc(CNC[C@@H]2CCCN2C(=O)c2n[nH]c(=O)[n-]c2=O)nn1C ZINC001045106614 733584103 /nfs/dbraw/zinc/58/41/03/733584103.db2.gz RBGWUNNTWTVSMF-NSHDSACASA-N -1 1 347.379 -0.281 20 0 EBADMM CCn1nc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)ccc1=O ZINC001045244457 733634968 /nfs/dbraw/zinc/63/49/68/733634968.db2.gz HJSAXDBAVGHTSE-JTQLQIEISA-N -1 1 347.379 -0.519 20 0 EBADMM C[C@@H]1C[C@H](C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)CCO1 ZINC001045312421 733665956 /nfs/dbraw/zinc/66/59/56/733665956.db2.gz ZTTUFXZMSWQJNI-VXGBXAGGSA-N -1 1 349.387 -0.095 20 0 EBADMM Cn1[n-]c(CN2CCC(C)(NC(=O)c3nccnc3N)CC2)nc1=O ZINC001045394807 733685001 /nfs/dbraw/zinc/68/50/01/733685001.db2.gz UGJGVDCYTIIHJC-UHFFFAOYSA-N -1 1 346.395 -0.735 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)NC1(C)CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001045469578 733700789 /nfs/dbraw/zinc/70/07/89/733700789.db2.gz DYAQTRQMEQYNSI-UHFFFAOYSA-N -1 1 349.395 -0.370 20 0 EBADMM C[C@@H](C(N)=O)N1CCC(C)(NC(=O)c2c[n-]n3c2nccc3=O)CC1 ZINC001045582608 733732322 /nfs/dbraw/zinc/73/23/22/733732322.db2.gz RJNOCOMIIIAXGK-JTQLQIEISA-N -1 1 346.391 -0.519 20 0 EBADMM CC(C)[C@@H](O)C(=O)N1CC[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001045770312 733771655 /nfs/dbraw/zinc/77/16/55/733771655.db2.gz PKWQERGPJWCNQF-MBNYWOFBSA-N -1 1 337.424 -0.309 20 0 EBADMM CCCC(=O)N1CCN([C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001045995688 733790012 /nfs/dbraw/zinc/79/00/12/733790012.db2.gz POZUASNBLKRFES-CYBMUJFWSA-N -1 1 336.440 -0.373 20 0 EBADMM CCc1nn(C)cc1C(=O)N[C@@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001046400190 733927790 /nfs/dbraw/zinc/92/77/90/733927790.db2.gz JBAGTIFVCZLMKV-INIZCTEOSA-N -1 1 347.423 -0.201 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)c1ccc(=O)[nH]c1 ZINC001046487830 733946445 /nfs/dbraw/zinc/94/64/45/733946445.db2.gz BMAUZUXGRXYPBJ-UHFFFAOYSA-N -1 1 344.327 -0.495 20 0 EBADMM COc1nc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)co1 ZINC001046515778 733952127 /nfs/dbraw/zinc/95/21/27/733952127.db2.gz XKOZOHQTWLMXME-AWEZNQCLSA-N -1 1 336.352 -0.501 20 0 EBADMM COc1c(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001046800776 734029274 /nfs/dbraw/zinc/02/92/74/734029274.db2.gz BDOMVANFBMWAMG-OAHLLOKOSA-N -1 1 349.395 -0.755 20 0 EBADMM O=C(CN1CCCC1=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047021349 734082761 /nfs/dbraw/zinc/08/27/61/734082761.db2.gz VYMXNYUUALUURC-TUAOUCFPSA-N -1 1 348.407 -0.398 20 0 EBADMM Cn1cc(CC(=O)N[C@@H]2C[C@H]3C[C@@H](C2)N(Cc2n[nH]c(=O)[n-]2)C3)cn1 ZINC001047036362 734084550 /nfs/dbraw/zinc/08/45/50/734084550.db2.gz WRGQXFWLBPLADI-UHTWSYAYSA-N -1 1 345.407 -0.044 20 0 EBADMM Cn1oc(C(=O)N[C@@H]2C[C@H]3C[C@@H](C2)N(Cc2n[nH]c(=O)[n-]2)C3)cc1=O ZINC001047057178 734087224 /nfs/dbraw/zinc/08/72/24/734087224.db2.gz GAPOZFVWFKOVIX-AEJSXWLSSA-N -1 1 348.363 -0.415 20 0 EBADMM Cc1cccc(C(=O)N(C)[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)n1 ZINC001047305543 734124474 /nfs/dbraw/zinc/12/44/74/734124474.db2.gz FQEUPNLWQJUCKB-STQMWFEESA-N -1 1 346.391 -0.871 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(Cc2ncccn2)C[C@@H]1O ZINC001047358543 734151984 /nfs/dbraw/zinc/15/19/84/734151984.db2.gz DCQYQVOCNVVBLC-AAEUAGOBSA-N -1 1 329.360 -0.106 20 0 EBADMM CCn1ncnc1CN1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001047358913 734152099 /nfs/dbraw/zinc/15/20/99/734152099.db2.gz LYZUITVTTQUBFN-AAEUAGOBSA-N -1 1 346.391 -0.284 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN([C@H]2CCCN(C)C2=O)C[C@@H]1O ZINC001047359291 734152588 /nfs/dbraw/zinc/15/25/88/734152588.db2.gz SZDOFRILVUNFEH-OBJOEFQTSA-N -1 1 348.403 -0.475 20 0 EBADMM CCc1occc1C(=O)N(C)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047364974 734155558 /nfs/dbraw/zinc/15/55/58/734155558.db2.gz IXSOMWIZQQCFIM-RYUDHWBXSA-N -1 1 349.391 -0.419 20 0 EBADMM CN(C(=O)[C@]1(C)C=CCC1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047489030 734222343 /nfs/dbraw/zinc/22/23/43/734222343.db2.gz NCWNGPFBEFWVGH-MQIPJXDCSA-N -1 1 335.408 -0.532 20 0 EBADMM CN(C(=O)[C@@]1(C)C=CCC1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047489028 734222437 /nfs/dbraw/zinc/22/24/37/734222437.db2.gz NCWNGPFBEFWVGH-MKBNYLNASA-N -1 1 335.408 -0.532 20 0 EBADMM CN(C(=O)[C@@H]1CC12CCC2)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047549205 734249214 /nfs/dbraw/zinc/24/92/14/734249214.db2.gz ONSVZPZUUKWRLJ-SRVKXCTJSA-N -1 1 335.408 -0.698 20 0 EBADMM CN(C(=O)c1n[n-]c2ccccc2c1=O)[C@H]1CN(CCO)C[C@@H]1O ZINC001047552087 734250149 /nfs/dbraw/zinc/25/01/49/734250149.db2.gz CAXYZRFCJNUHOX-STQMWFEESA-N -1 1 332.360 -0.555 20 0 EBADMM Cc1ccc(C(=O)N(C)[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)cc1 ZINC001047607877 734279620 /nfs/dbraw/zinc/27/96/20/734279620.db2.gz FCIQHPMMAQVFHH-KBPBESRZSA-N -1 1 345.403 -0.266 20 0 EBADMM Cc1nonc1CN[C@@H](C)[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001047893724 734318760 /nfs/dbraw/zinc/31/87/60/734318760.db2.gz GSQBGAMEPUVXJJ-CBAPKCEASA-N -1 1 349.351 -0.385 20 0 EBADMM C[C@H](NCc1ncnn1C)[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001047895106 734319124 /nfs/dbraw/zinc/31/91/24/734319124.db2.gz OITZDOQLJUHGCK-DTWKUNHWSA-N -1 1 348.367 -0.948 20 0 EBADMM CO[C@H](C)CC(=O)N(C)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001048519264 734434474 /nfs/dbraw/zinc/43/44/74/734434474.db2.gz TWMLJSGDUFSEGG-MDZLAQPJSA-N -1 1 337.376 -0.144 20 0 EBADMM O=C(NC[C@H]1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1)[C@@H]1CCC(=O)N1 ZINC001048584451 734449146 /nfs/dbraw/zinc/44/91/46/734449146.db2.gz BKFDOKDIIXKUES-USZNOCQGSA-N -1 1 348.407 -0.352 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1C[C@H]2CN(Cc3cncs3)C[C@H]2C1 ZINC001048919564 734571238 /nfs/dbraw/zinc/57/12/38/734571238.db2.gz ZJXNRGOIRZJVJO-DTORHVGOSA-N -1 1 348.388 -0.057 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@H]2CCCN3C(=O)C2=COCCO2)nc1=O ZINC001049305315 734706080 /nfs/dbraw/zinc/70/60/80/734706080.db2.gz XOOJGTOSGQTXEF-VXGBXAGGSA-N -1 1 349.391 -0.438 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@H]2CCCN3C(=O)c2cn[nH]c2)nc1=O ZINC001049353238 734713690 /nfs/dbraw/zinc/71/36/90/734713690.db2.gz KDJUGBSOSGJPBO-VXGBXAGGSA-N -1 1 331.380 -0.289 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@H]2CCCN3C(=O)Cc2ncc[nH]2)nc1=O ZINC001049806096 734792757 /nfs/dbraw/zinc/79/27/57/734792757.db2.gz MAUIJHGASWQQSO-VXGBXAGGSA-N -1 1 345.407 -0.361 20 0 EBADMM Cn1nccc1C(=O)N1CCC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001049994374 734821089 /nfs/dbraw/zinc/82/10/89/734821089.db2.gz KBSZVGZXWQMYKN-WCQYABFASA-N -1 1 345.407 -0.422 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCCN(C(=O)[C@H]4[C@@H]5COC[C@@H]54)[C@@H]3C2)nc1=O ZINC001050120475 734848146 /nfs/dbraw/zinc/84/81/46/734848146.db2.gz GSMJZKBMRBQWBG-RLPMIEJPSA-N -1 1 347.419 -0.576 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCCN(C(=O)Cc4ncc[nH]4)[C@@H]3C2)nc1=O ZINC001050170649 734859402 /nfs/dbraw/zinc/85/94/02/734859402.db2.gz BZTQBYJLBKMWSE-NWDGAFQWSA-N -1 1 345.407 -0.503 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050530405 734919326 /nfs/dbraw/zinc/91/93/26/734919326.db2.gz MYWSXIKRJIABJT-ZYHUDNBSSA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)CCNC(N)=O)C1 ZINC001050706360 734948630 /nfs/dbraw/zinc/94/86/30/734948630.db2.gz YHUWIYOJYJPLDT-MNOVXSKESA-N -1 1 349.391 -0.188 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)c2ccccn2)nc1=O ZINC001050791923 734960927 /nfs/dbraw/zinc/96/09/27/734960927.db2.gz LVKWNHNTYPDMRC-LLVKDONJSA-N -1 1 332.364 -0.866 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2COCCN2Cc2nc(=O)n(C)[n-]2)c(C)o1 ZINC001050812811 734965724 /nfs/dbraw/zinc/96/57/24/734965724.db2.gz MHSQDNPOVJPURQ-LBPRGKRZSA-N -1 1 349.391 -0.051 20 0 EBADMM Cc1ocnc1C(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001050833597 734969731 /nfs/dbraw/zinc/96/97/31/734969731.db2.gz VKHKTRXGCIAZAP-SNVBAGLBSA-N -1 1 336.352 -0.964 20 0 EBADMM Cn1nncc1CN1CCOC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001050891185 734990746 /nfs/dbraw/zinc/99/07/46/734990746.db2.gz NXKZBYQJYRFUHW-GFCCVEGCSA-N -1 1 332.364 -0.454 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)C2C=CC=CC=C2)nc1=O ZINC001050940579 735006677 /nfs/dbraw/zinc/00/66/77/735006677.db2.gz SBYMKUTYIPPUSJ-CQSZACIVSA-N -1 1 345.403 -0.276 20 0 EBADMM O=C(NC[C@H]1COCCN1Cc1n[nH]c(=O)[n-]1)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001050963809 735016491 /nfs/dbraw/zinc/01/64/91/735016491.db2.gz KYGKZBVIXRXSNV-HBNTYKKESA-N -1 1 347.379 -0.646 20 0 EBADMM Cc1cc(C)c(C(=O)NC[C@@H]2COCCN2Cc2nc(=O)n(C)[n-]2)[nH]1 ZINC001050982463 735024104 /nfs/dbraw/zinc/02/41/04/735024104.db2.gz OOBPFIYJGMFINB-GFCCVEGCSA-N -1 1 348.407 -0.316 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)[C@@H]2CCC2(C)C)nc1=O ZINC001051070631 735054938 /nfs/dbraw/zinc/05/49/38/735054938.db2.gz UEUPRJZGYRPSTD-RYUDHWBXSA-N -1 1 337.424 -0.138 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)[C@@H]2C[C@H]2C2CC2)nc1=O ZINC001051156936 735080171 /nfs/dbraw/zinc/08/01/71/735080171.db2.gz BTJKZBCYSVBXNQ-RWMBFGLXSA-N -1 1 335.408 -0.529 20 0 EBADMM Cc1conc1C(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001051198606 735096861 /nfs/dbraw/zinc/09/68/61/735096861.db2.gz VETQHOLNXWDEFP-SNVBAGLBSA-N -1 1 336.352 -0.964 20 0 EBADMM CCc1nc[nH]c1C(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001051226661 735104080 /nfs/dbraw/zinc/10/40/80/735104080.db2.gz XPPQOGRWDKKVEB-SNVBAGLBSA-N -1 1 349.395 -0.975 20 0 EBADMM CCC(=O)N[C@H](C)[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001051251908 735112336 /nfs/dbraw/zinc/11/23/36/735112336.db2.gz SGUHDLNCQFINPS-ZJUUUORDSA-N -1 1 332.364 -0.206 20 0 EBADMM Cc1nn(C)cc1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051494680 735197757 /nfs/dbraw/zinc/19/77/57/735197757.db2.gz WIGUCQLZNRMNQC-JTQLQIEISA-N -1 1 335.368 -0.817 20 0 EBADMM Cc1cncc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001051512818 735217877 /nfs/dbraw/zinc/21/78/77/735217877.db2.gz OZBSWZRXYTZPKM-GFCCVEGCSA-N -1 1 332.364 -0.155 20 0 EBADMM Cc1cc(CC(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC001051539860 735224713 /nfs/dbraw/zinc/22/47/13/735224713.db2.gz LLQGWXIBWGPPJR-NSHDSACASA-N -1 1 335.368 -0.898 20 0 EBADMM O=C(C[C@@H]1CCCCO1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051539571 735224805 /nfs/dbraw/zinc/22/48/05/735224805.db2.gz IGHFZPLFDUFMIR-RYUDHWBXSA-N -1 1 339.396 -0.214 20 0 EBADMM O=C(C=Cc1ccc[nH]1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051571958 735240207 /nfs/dbraw/zinc/24/02/07/735240207.db2.gz MKPMDJAHEXGBRV-PCAWENJQSA-N -1 1 332.364 -0.131 20 0 EBADMM O=C(C1CCC(O)CC1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051582018 735247663 /nfs/dbraw/zinc/24/76/63/735247663.db2.gz UJRYCWDXKFBKGV-MCIGGMRASA-N -1 1 339.396 -0.622 20 0 EBADMM C[C@@H](C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1)c1ccnn1C ZINC001051618583 735269282 /nfs/dbraw/zinc/26/92/82/735269282.db2.gz FEUKLTPHDXLMRZ-GHMZBOCLSA-N -1 1 349.395 -0.636 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)c3cnccn3)CC2)nc1=O ZINC001052167944 735564299 /nfs/dbraw/zinc/56/42/99/735564299.db2.gz WYJQKTKXUCDTNJ-NSHDSACASA-N -1 1 331.380 -0.317 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)c3cnsn3)CC2)nc1=O ZINC001052230142 735572923 /nfs/dbraw/zinc/57/29/23/735572923.db2.gz DCGDARNTZUPYTC-SECBINFHSA-N -1 1 337.409 -0.256 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)c3cncnc3)CC2)nc1=O ZINC001052327674 735590882 /nfs/dbraw/zinc/59/08/82/735590882.db2.gz OPOFTEJVJKGKHM-GFCCVEGCSA-N -1 1 331.380 -0.317 20 0 EBADMM CNC(=O)CC(=O)N1C[C@H](C)[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001052426518 735612189 /nfs/dbraw/zinc/61/21/89/735612189.db2.gz CCQSYSQGBRYCPV-WDEREUQCSA-N -1 1 334.376 -0.252 20 0 EBADMM CNC(=O)CC(=O)N1C[C@@H](CNC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC001052426515 735612197 /nfs/dbraw/zinc/61/21/97/735612197.db2.gz CCQSYSQGBRYCPV-GHMZBOCLSA-N -1 1 334.376 -0.252 20 0 EBADMM Cn1nncc1CN1CCC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001052529883 735638083 /nfs/dbraw/zinc/63/80/83/735638083.db2.gz UHALVZPLHJKSJR-VIFPVBQESA-N -1 1 348.367 -0.804 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)C2=COCCC2)CCO3)nc1=O ZINC001053187132 735772013 /nfs/dbraw/zinc/77/20/13/735772013.db2.gz FZSACHTURFYRPG-UHFFFAOYSA-N -1 1 349.391 -0.784 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)C(C)(C)C2CC2)CCO3)nc1=O ZINC001053388193 735856986 /nfs/dbraw/zinc/85/69/86/735856986.db2.gz SFIKEFIYPQMWTK-UHFFFAOYSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)CC(C)(F)F)CCO3)nc1=O ZINC001053414957 735865427 /nfs/dbraw/zinc/86/54/27/735865427.db2.gz XGZNFKFKXMCIRU-UHFFFAOYSA-N -1 1 345.350 -0.433 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)C2=CCCC2)CO3)nc1=O ZINC001053774374 736007621 /nfs/dbraw/zinc/00/76/21/736007621.db2.gz YOHUBKWPZLOQFM-LBPRGKRZSA-N -1 1 333.392 -0.322 20 0 EBADMM CN1CC[C@H](N2CC3(C2)C[C@@H](NC(=O)c2ncccc2[O-])CO3)C1=O ZINC001053784357 736014141 /nfs/dbraw/zinc/01/41/41/736014141.db2.gz MFVOZEOMQGBCQG-NEPJUHHUSA-N -1 1 346.387 -0.409 20 0 EBADMM Cn1ncnc1CN1CC2(C1)C[C@H](NC(=O)c1ncccc1[O-])CO2 ZINC001053784292 736014147 /nfs/dbraw/zinc/01/41/47/736014147.db2.gz KCBBVRTTYAZBCK-NSHDSACASA-N -1 1 344.375 -0.311 20 0 EBADMM O=C(N[C@@H]1COC2(CN(Cc3cnon3)C2)C1)c1ncccc1[O-] ZINC001053784343 736014209 /nfs/dbraw/zinc/01/42/09/736014209.db2.gz LVUDPLOSMNBPHT-JTQLQIEISA-N -1 1 331.332 -0.057 20 0 EBADMM O=C(N[C@H]1COC2(CN([C@@H]3CCNC3=O)C2)C1)c1ncccc1[O-] ZINC001053784743 736014761 /nfs/dbraw/zinc/01/47/61/736014761.db2.gz VUAKYSRPPFOYTC-GHMZBOCLSA-N -1 1 332.360 -0.751 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)[C@@]2(C)C=CCC2)CO3)nc1=O ZINC001053895484 736082525 /nfs/dbraw/zinc/08/25/25/736082525.db2.gz GYINZJQZULHWBC-LRDDRELGSA-N -1 1 347.419 -0.076 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)[C@@H]2C[C@H]2C2CC2)CO3)nc1=O ZINC001053951537 736110497 /nfs/dbraw/zinc/11/04/97/736110497.db2.gz YCRPGZZUGXBDDK-RWMBFGLXSA-N -1 1 347.419 -0.386 20 0 EBADMM CC[C@H](C)CN1CC2(C1)C[C@@H](NC(=O)Cn1c(=O)[n-][nH]c1=O)CO2 ZINC001053993217 736137920 /nfs/dbraw/zinc/13/79/20/736137920.db2.gz HVJLDMOWAGVBMY-WDEREUQCSA-N -1 1 339.396 -0.305 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(C2)CCCN(C(=O)c2cnon2)C3)nc1=O ZINC001054217136 736179598 /nfs/dbraw/zinc/17/95/98/736179598.db2.gz NWKJFYWVAUCGMM-HNNXBMFYSA-N -1 1 347.379 -0.380 20 0 EBADMM C[C@H](O)CN1Cc2ccc(CNC(=O)c3n[nH]c(=O)[n-]c3=O)cc2C1 ZINC001054273198 736185633 /nfs/dbraw/zinc/18/56/33/736185633.db2.gz ZZNDEIKPJDMSRW-VIFPVBQESA-N -1 1 345.359 -0.091 20 0 EBADMM C[C@H]1CN(C(=O)c2nnn(C(C)(C)C)n2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054670759 736228891 /nfs/dbraw/zinc/22/88/91/736228891.db2.gz IZKXMEVXXIRKSK-DTWKUNHWSA-N -1 1 349.399 -0.498 20 0 EBADMM C[C@H]1CN(C(=O)C2(F)CCOCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054729358 736236206 /nfs/dbraw/zinc/23/62/06/736236206.db2.gz ARYRNMASZXUKDG-UWVGGRQHSA-N -1 1 327.360 -0.035 20 0 EBADMM C[C@H]1CN(C(=O)c2cnn3ncccc23)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054745645 736238863 /nfs/dbraw/zinc/23/88/63/736238863.db2.gz DMYBBTJODHLSLX-GXSJLCMTSA-N -1 1 342.363 -0.197 20 0 EBADMM CCOc1cc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H](C)C2)[nH]n1 ZINC001054786685 736246478 /nfs/dbraw/zinc/24/64/78/736246478.db2.gz HHYINMANGPUMHZ-WPRPVWTQSA-N -1 1 335.368 -0.118 20 0 EBADMM Cc1cc(=O)c(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H](C)C2)cn1C ZINC001054783947 736246485 /nfs/dbraw/zinc/24/64/85/736246485.db2.gz VDWOMNWQIOVCJX-CABZTGNLSA-N -1 1 346.391 -0.232 20 0 EBADMM C[C@H]1CN(C(=O)c2ccc(=O)n(C)c2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054848629 736254544 /nfs/dbraw/zinc/25/45/44/736254544.db2.gz ZCBYUXSNLMSDMD-ONGXEEELSA-N -1 1 332.364 -0.541 20 0 EBADMM C[C@@H]1CN(C(=O)c2cccc3ncnn32)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054866848 736259089 /nfs/dbraw/zinc/25/90/89/736259089.db2.gz NSBZGUXIIFCWDX-ZJUUUORDSA-N -1 1 342.363 -0.197 20 0 EBADMM C[C@H]1CN(C(=O)c2cccc3ncnn32)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054866846 736259269 /nfs/dbraw/zinc/25/92/69/736259269.db2.gz NSBZGUXIIFCWDX-UWVGGRQHSA-N -1 1 342.363 -0.197 20 0 EBADMM C[C@@H]1CN(C(=O)c2cn(C)ccc2=O)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054885453 736262414 /nfs/dbraw/zinc/26/24/14/736262414.db2.gz MEYFZDZXTBTSDJ-KOLCDFICSA-N -1 1 332.364 -0.541 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(c2cnc(F)cn2)CC1 ZINC001055851438 736520877 /nfs/dbraw/zinc/52/08/77/736520877.db2.gz XPVOSOZKSUGOEP-UHFFFAOYSA-N -1 1 348.338 -0.795 20 0 EBADMM CC(=O)NCC(=O)N1CCC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001056586422 736746079 /nfs/dbraw/zinc/74/60/79/736746079.db2.gz KPQHXLOVJIUCPP-QWHCGFSZSA-N -1 1 346.387 -0.014 20 0 EBADMM Cc1nsc(N[C@@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)n1 ZINC001056669750 736766318 /nfs/dbraw/zinc/76/63/18/736766318.db2.gz ZWUANQHAMSJOQD-MRVPVSSYSA-N -1 1 346.376 -0.096 20 0 EBADMM CN(c1ccc2nnnn2n1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001056900604 736864772 /nfs/dbraw/zinc/86/47/72/736864772.db2.gz KBXXALJUUWAGEZ-JTQLQIEISA-N -1 1 340.347 -0.029 20 0 EBADMM Cc1c(C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])cnn1C ZINC001056974845 736902987 /nfs/dbraw/zinc/90/29/87/736902987.db2.gz HRPVXBIUXIXPJU-UHFFFAOYSA-N -1 1 347.375 -0.306 20 0 EBADMM CCc1cc(C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])[nH]n1 ZINC001057039506 736933396 /nfs/dbraw/zinc/93/33/96/736933396.db2.gz QQIFQTLGFMCLCZ-UHFFFAOYSA-N -1 1 347.375 -0.063 20 0 EBADMM CCc1cc(C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])n[nH]1 ZINC001057039506 736933409 /nfs/dbraw/zinc/93/34/09/736933409.db2.gz QQIFQTLGFMCLCZ-UHFFFAOYSA-N -1 1 347.375 -0.063 20 0 EBADMM O=C(C[C@H]1CCOC1)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057250869 737116157 /nfs/dbraw/zinc/11/61/57/737116157.db2.gz RCWABSDLHLEMQH-GFCCVEGCSA-N -1 1 337.376 -0.236 20 0 EBADMM Cn1cnc(C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])c1 ZINC001057345164 737174457 /nfs/dbraw/zinc/17/44/57/737174457.db2.gz PCKNGZAFOSQATI-UHFFFAOYSA-N -1 1 333.348 -0.615 20 0 EBADMM O=C(Cc1cccnc1)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057349355 737179128 /nfs/dbraw/zinc/17/91/28/737179128.db2.gz BWFOUJXIYZBQQL-UHFFFAOYSA-N -1 1 344.371 -0.024 20 0 EBADMM CO[C@@H](C)CC(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410573 737230819 /nfs/dbraw/zinc/23/08/19/737230819.db2.gz SJAADRBWVXPYPA-NSHDSACASA-N -1 1 325.365 -0.237 20 0 EBADMM C[C@H]1CO[C@H](C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])C1 ZINC001057410591 737230874 /nfs/dbraw/zinc/23/08/74/737230874.db2.gz SPHKTRHQMPMJJQ-YPMHNXCESA-N -1 1 337.376 -0.237 20 0 EBADMM Cc1nc[nH]c1CC(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410766 737231159 /nfs/dbraw/zinc/23/11/59/737231159.db2.gz WIDYEQFUZZHQIJ-UHFFFAOYSA-N -1 1 347.375 -0.388 20 0 EBADMM Cc1nccn1CC(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057413260 737233514 /nfs/dbraw/zinc/23/35/14/737233514.db2.gz NRWCMVLJBCPBOM-UHFFFAOYSA-N -1 1 347.375 -0.457 20 0 EBADMM Cc1ncn(C)c1C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057413425 737233807 /nfs/dbraw/zinc/23/38/07/737233807.db2.gz VQCXFDHOKBZEKA-UHFFFAOYSA-N -1 1 347.375 -0.306 20 0 EBADMM CCn1ncc(C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])n1 ZINC001057502136 737300275 /nfs/dbraw/zinc/30/02/75/737300275.db2.gz ZSUPNWACKHMPNJ-UHFFFAOYSA-N -1 1 348.363 -0.737 20 0 EBADMM CCn1nncc1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057506503 737303114 /nfs/dbraw/zinc/30/31/14/737303114.db2.gz PGZCRUIBESJTNL-UHFFFAOYSA-N -1 1 348.363 -0.737 20 0 EBADMM O=C(CCc1cn[nH]c1)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057705133 737417151 /nfs/dbraw/zinc/41/71/51/737417151.db2.gz CEEBWFNQUCUXIL-UHFFFAOYSA-N -1 1 347.375 -0.306 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2cncn2C)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071655535 741183026 /nfs/dbraw/zinc/18/30/26/741183026.db2.gz NXBPSHWYVPJFHQ-GHMZBOCLSA-N -1 1 333.396 -0.375 20 0 EBADMM C[C@@H]1CO[C@@H](C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])C1 ZINC001058332029 737782249 /nfs/dbraw/zinc/78/22/49/737782249.db2.gz VBORBNJYSSKFEH-WCQYABFASA-N -1 1 337.376 -0.237 20 0 EBADMM O=C(N[C@H]1CCN(c2cccc(F)n2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001058406371 737816411 /nfs/dbraw/zinc/81/64/11/737816411.db2.gz PWLLETSMIKZKRL-VIFPVBQESA-N -1 1 343.322 -0.040 20 0 EBADMM O=C(c1ncccc1[O-])N1CC[C@H](Nc2ccc3nnnn3n2)C1 ZINC001058879045 738049651 /nfs/dbraw/zinc/04/96/51/738049651.db2.gz KIDVDZXIIKMSQJ-VIFPVBQESA-N -1 1 326.320 -0.054 20 0 EBADMM O=C(N[C@H]1C[C@H](Nc2cnc(F)cn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001059265130 738141501 /nfs/dbraw/zinc/14/15/01/738141501.db2.gz LOZXDEJMHRXBLZ-ZKCHVHJHSA-N -1 1 344.310 -0.280 20 0 EBADMM CC(=O)NCC(=O)NCC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059515176 738187536 /nfs/dbraw/zinc/18/75/36/738187536.db2.gz SQEKOOUICFVGCW-LBPRGKRZSA-N -1 1 334.376 -0.108 20 0 EBADMM O=C(Cn1ncnn1)NCC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059516826 738187855 /nfs/dbraw/zinc/18/78/55/738187855.db2.gz VYYJJVRPPWEHCW-LLVKDONJSA-N -1 1 345.363 -0.558 20 0 EBADMM O=C(NCC[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1COC(=O)N1 ZINC001059516941 738188025 /nfs/dbraw/zinc/18/80/25/738188025.db2.gz XZSDTJJVXLVYNG-WDEREUQCSA-N -1 1 348.359 -0.136 20 0 EBADMM O=C(NCC[C@@H]1CCN(C(=O)[C@@H]2COC(=O)N2)C1)c1ncccc1[O-] ZINC001059573456 738200326 /nfs/dbraw/zinc/20/03/26/738200326.db2.gz CKNNCGYEIPLVCL-MNOVXSKESA-N -1 1 348.359 -0.136 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N[C@H]1C[C@@H](Nc2ncnc3[nH]cnc32)C1 ZINC001059689737 738228946 /nfs/dbraw/zinc/22/89/46/738228946.db2.gz YWBAIKCGZPAJOZ-KNVOCYPGSA-N -1 1 345.323 -0.885 20 0 EBADMM O=C(NCC[C@@H]1CCN(C(=O)[C@@H]2CCNC2=O)C1)c1ncccc1[O-] ZINC001060061928 738301191 /nfs/dbraw/zinc/30/11/91/738301191.db2.gz UJBZUAIDSJXZAG-VXGBXAGGSA-N -1 1 346.387 -0.108 20 0 EBADMM O=C(NCC[C@H]1CCN(C(=O)[C@H]2CNC(=O)N2)C1)c1ncccc1[O-] ZINC001060258376 738332405 /nfs/dbraw/zinc/33/24/05/738332405.db2.gz FIDTZEYMEAEBJX-WDEREUQCSA-N -1 1 347.375 -0.563 20 0 EBADMM O=C(NCC1(NC(=O)[C@H]2CCC(=O)N2)CCC1)c1ncccc1[O-] ZINC001062838948 739002455 /nfs/dbraw/zinc/00/24/55/739002455.db2.gz BENKXBQRGXIJJG-SNVBAGLBSA-N -1 1 332.360 -0.166 20 0 EBADMM O=C(Cn1nccn1)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062840704 739003047 /nfs/dbraw/zinc/00/30/47/739003047.db2.gz LTLJCKBXEKWCPB-UHFFFAOYSA-N -1 1 330.348 -0.152 20 0 EBADMM Cn1ncc(C(=O)NCC2(NC(=O)c3ncccc3[O-])CCC2)n1 ZINC001063639128 739164476 /nfs/dbraw/zinc/16/44/76/739164476.db2.gz NHLUGNKNTPBVQK-UHFFFAOYSA-N -1 1 330.348 -0.002 20 0 EBADMM O=C(Cn1cncn1)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001064595848 739305462 /nfs/dbraw/zinc/30/54/62/739305462.db2.gz OBPSGTJEWSLPDC-UHFFFAOYSA-N -1 1 330.348 -0.152 20 0 EBADMM NC(=O)NCC(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])CC1 ZINC001066079334 739908480 /nfs/dbraw/zinc/90/84/80/739908480.db2.gz XMXQYEBMIKXWRO-SNVBAGLBSA-N -1 1 335.364 -0.434 20 0 EBADMM CN(CCCN(C)C(=O)c1n[nH]c(=O)[n-]c1=O)C(=O)c1ccn[nH]1 ZINC001066891199 740059856 /nfs/dbraw/zinc/05/98/56/740059856.db2.gz JWQPBGLNOZDEEM-UHFFFAOYSA-N -1 1 335.324 -0.760 20 0 EBADMM CN(CCCN(C)C(=O)[C@@H]1CNC(=O)N1)C(=O)c1ncccc1[O-] ZINC001067254526 740113531 /nfs/dbraw/zinc/11/35/31/740113531.db2.gz BMDZDOQUPQYLTI-JTQLQIEISA-N -1 1 335.364 -0.611 20 0 EBADMM O=C(NC[C@H]1CN(c2cnc(F)cn2)C[C@H]1O)c1ncccc1[O-] ZINC001067665236 740177754 /nfs/dbraw/zinc/17/77/54/740177754.db2.gz LNJZZAKCOOBGNA-GXSJLCMTSA-N -1 1 333.323 -0.057 20 0 EBADMM CC(=O)NCC(=O)N1CC2(C1)C[C@@H](NC(=O)c1ncccc1[O-])CO2 ZINC001068825188 740455864 /nfs/dbraw/zinc/45/58/64/740455864.db2.gz KVUGFZMGBFPJJR-LLVKDONJSA-N -1 1 348.359 -0.977 20 0 EBADMM CC(=O)NCc1cccc(N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001069837382 740585486 /nfs/dbraw/zinc/58/54/86/740585486.db2.gz QRNHMNCCAQHDEJ-LBPRGKRZSA-N -1 1 331.380 -0.090 20 0 EBADMM CC(C)N1C[C@@H](NC(=O)c2cnc([O-])n(C)c2=O)[C@@H](n2ccnn2)C1 ZINC001069995318 740649087 /nfs/dbraw/zinc/64/90/87/740649087.db2.gz IYYBGEFTTMUVFX-NEPJUHHUSA-N -1 1 347.379 -0.859 20 0 EBADMM O=C(NCC1(NC(=O)c2ncccc2[O-])CCOCC1)c1cn[nH]n1 ZINC001070085392 740690178 /nfs/dbraw/zinc/69/01/78/740690178.db2.gz XCZUQWSGJKEOMJ-UHFFFAOYSA-N -1 1 346.347 -0.386 20 0 EBADMM CC(=O)N(C)CC(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001070455593 740835207 /nfs/dbraw/zinc/83/52/07/740835207.db2.gz CTLQAXWKAKZJNM-GFCCVEGCSA-N -1 1 334.376 -0.014 20 0 EBADMM COCC(=O)N[C@@H]1CCCN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC001071013868 741036665 /nfs/dbraw/zinc/03/66/65/741036665.db2.gz QCDIKVGJMNSULP-MRVPVSSYSA-N -1 1 340.336 -0.736 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2ccc(=O)[nH]n2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071326174 741121764 /nfs/dbraw/zinc/12/17/64/741121764.db2.gz CQLOXQCFZXPLCR-NXEZZACHSA-N -1 1 347.379 -0.613 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2cc[n+]([O-])cc2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071332452 741122610 /nfs/dbraw/zinc/12/26/10/741122610.db2.gz QRIUJJPBDPDOFT-WCQYABFASA-N -1 1 346.391 -0.475 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC001071362997 741127232 /nfs/dbraw/zinc/12/72/32/741127232.db2.gz FMPZMLUXRBOJQB-GHMZBOCLSA-N -1 1 333.396 -0.077 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC001071376221 741129883 /nfs/dbraw/zinc/12/98/83/741129883.db2.gz QCCVYNMZKYXMEP-JQWIXIFHSA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2cnn(C)c2N)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071448630 741138838 /nfs/dbraw/zinc/13/88/38/741138838.db2.gz XZYXPOCTMSHHOE-NXEZZACHSA-N -1 1 348.411 -0.793 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)C2=CCOCC2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071456880 741139994 /nfs/dbraw/zinc/13/99/94/741139994.db2.gz DIIHBEJABPYWRN-YPMHNXCESA-N -1 1 335.408 -0.076 20 0 EBADMM CCn1nncc1C(=O)N[C@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071484724 741144512 /nfs/dbraw/zinc/14/45/12/741144512.db2.gz DQNMGNFVEWRQSM-MNOVXSKESA-N -1 1 348.411 -0.497 20 0 EBADMM CCn1ncc(C(=O)N[C@@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001071490122 741145030 /nfs/dbraw/zinc/14/50/30/741145030.db2.gz HHEGHYLXKCNKLF-WDEREUQCSA-N -1 1 348.411 -0.497 20 0 EBADMM CCOCC(=O)N1C[C@@H](C)[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001071532484 741157073 /nfs/dbraw/zinc/15/70/73/741157073.db2.gz BSECQCAFWXWPJR-KOLCDFICSA-N -1 1 348.363 -0.969 20 0 EBADMM Cc1n[nH]cc1C(=O)N[C@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071720726 741202645 /nfs/dbraw/zinc/20/26/45/741202645.db2.gz GFUCHHKVKXCRRD-ONGXEEELSA-N -1 1 333.396 -0.077 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071802699 741219424 /nfs/dbraw/zinc/21/94/24/741219424.db2.gz ATSOASNLXKUMTF-NXEZZACHSA-N -1 1 349.395 -0.670 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)Cc2ncc[nH]2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071938005 741253579 /nfs/dbraw/zinc/25/35/79/741253579.db2.gz KWWUYZVFNDSCBZ-GHMZBOCLSA-N -1 1 333.396 -0.457 20 0 EBADMM CNC(=O)C1(C(=O)N[C@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C)CC1 ZINC001071953409 741264387 /nfs/dbraw/zinc/26/43/87/741264387.db2.gz YYZSBANQMMPNFB-QWRGUYRKSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2ccc(=O)[nH]c2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001072046482 741289065 /nfs/dbraw/zinc/28/90/65/741289065.db2.gz XQGBRTAUDPXJKL-JQWIXIFHSA-N -1 1 346.391 -0.008 20 0 EBADMM Cc1nonc1C(=O)N[C@@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001072116011 741308282 /nfs/dbraw/zinc/30/82/82/741308282.db2.gz GHTNRZRBIVOGBL-PSASIEDQSA-N -1 1 335.368 -0.417 20 0 EBADMM Cc1nc[nH]c1C(=O)N[C@@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001072125269 741311178 /nfs/dbraw/zinc/31/11/78/741311178.db2.gz BPZNTQAVYDRQRC-MWLCHTKSSA-N -1 1 333.396 -0.077 20 0 EBADMM CC(C)=CC(=O)N[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H]1C ZINC001072393739 741388644 /nfs/dbraw/zinc/38/86/44/741388644.db2.gz RTFYANNWUAMLHT-QWHCGFSZSA-N -1 1 348.403 -0.144 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)c4cnccn4)C3)C2)nc1=O ZINC001072414139 741392154 /nfs/dbraw/zinc/39/21/54/741392154.db2.gz SCWREWRHBPCESE-UHFFFAOYSA-N -1 1 329.364 -0.754 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)c1C ZINC001072562467 741429423 /nfs/dbraw/zinc/42/94/23/741429423.db2.gz YLTDNYQLNCDNJP-UHFFFAOYSA-N -1 1 345.407 -0.204 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)c4ccncc4F)C3)C2)nc1=O ZINC001072577211 741431258 /nfs/dbraw/zinc/43/12/58/741431258.db2.gz NACABIIQDLODMK-UHFFFAOYSA-N -1 1 346.366 -0.009 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)Cc4cccnc4)C3)C2)nc1=O ZINC001072625666 741446057 /nfs/dbraw/zinc/44/60/57/741446057.db2.gz UCYCATBUSGZRRC-UHFFFAOYSA-N -1 1 342.403 -0.220 20 0 EBADMM CO[C@@H](C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2)C1CC1 ZINC001072686600 741461073 /nfs/dbraw/zinc/46/10/73/741461073.db2.gz QQGBOYLTHPZIDI-CYBMUJFWSA-N -1 1 335.408 -0.432 20 0 EBADMM Cc1c[nH]nc1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072713647 741465026 /nfs/dbraw/zinc/46/50/26/741465026.db2.gz GCAKTCSTASINAZ-UHFFFAOYSA-N -1 1 331.380 -0.512 20 0 EBADMM Cc1ccc(C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)nn1 ZINC001072718672 741465249 /nfs/dbraw/zinc/46/52/49/741465249.db2.gz IOTCJRAFWBVVMY-UHFFFAOYSA-N -1 1 343.391 -0.445 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)[C@H]4C[C@]45CCOC5)C3)C2)nc1=O ZINC001073111370 741562215 /nfs/dbraw/zinc/56/22/15/741562215.db2.gz ZGSLSOBQMZLXEK-PXAZEXFGSA-N -1 1 347.419 -0.431 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@@H](CNC(=O)c3ccc[nH]3)C2)nc1=O ZINC001073531755 741635635 /nfs/dbraw/zinc/63/56/35/741635635.db2.gz GZXJXORFJWTEKO-NSHDSACASA-N -1 1 334.380 -0.543 20 0 EBADMM Cn1nncc1CN1CCCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001073584011 741668555 /nfs/dbraw/zinc/66/85/55/741668555.db2.gz KRWXVSMTDAAXIG-CYBMUJFWSA-N -1 1 346.391 -0.063 20 0 EBADMM O=C(NC[C@H]1CN([C@@H]2CCNC2=O)CCCO1)c1ncccc1[O-] ZINC001073584466 741669125 /nfs/dbraw/zinc/66/91/25/741669125.db2.gz URNZSGPNGIITEH-NWDGAFQWSA-N -1 1 334.376 -0.504 20 0 EBADMM CNC(=O)[C@@H](C)N1CCCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001073584285 741669327 /nfs/dbraw/zinc/66/93/27/741669327.db2.gz QNFIERGPHYGLMI-NEPJUHHUSA-N -1 1 336.392 -0.258 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@@H](CNC(=O)[C@@H]3CC3(F)F)C2)nc1=O ZINC001073597714 741678657 /nfs/dbraw/zinc/67/86/57/741678657.db2.gz GLMYGWADAITBLT-UWVGGRQHSA-N -1 1 345.350 -0.529 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@H](CNC(=O)c3cc[nH]c3)C2)nc1=O ZINC001073616497 741684887 /nfs/dbraw/zinc/68/48/87/741684887.db2.gz XRNPWRLRPAUJIB-GFCCVEGCSA-N -1 1 334.380 -0.543 20 0 EBADMM CC[C@H](F)CN1CCCO[C@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001073680288 741708081 /nfs/dbraw/zinc/70/80/81/741708081.db2.gz ZCYAUPNKEHUOGC-VHSXEESVSA-N -1 1 343.359 -0.148 20 0 EBADMM CCC(=O)N1CC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)[C@H](C)C1 ZINC001074064463 741825507 /nfs/dbraw/zinc/82/55/07/741825507.db2.gz YYAMFBPTBUUIQL-NEPJUHHUSA-N -1 1 336.392 -0.310 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCCN1C(=O)Cn1cncn1 ZINC001074096359 741831862 /nfs/dbraw/zinc/83/18/62/741831862.db2.gz HKNFELROTTYOJQ-GFCCVEGCSA-N -1 1 348.411 -0.787 20 0 EBADMM Cc1cc(C(=O)N2CC[C@@H]3OCCN(Cc4n[nH]c(=O)[n-]4)[C@H]3C2)n[nH]1 ZINC001074188207 741855255 /nfs/dbraw/zinc/85/52/55/741855255.db2.gz PBVPTFZMVYFLAM-RYUDHWBXSA-N -1 1 347.379 -0.343 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H]3CCN(C(=O)c4cocn4)C[C@@H]32)nc1=O ZINC001074190204 741855922 /nfs/dbraw/zinc/85/59/22/741855922.db2.gz NFQISVZTFIYFLW-NWDGAFQWSA-N -1 1 348.363 -0.788 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H]3CCN(C(=O)C4CC=CC4)C[C@H]32)nc1=O ZINC001074196605 741858051 /nfs/dbraw/zinc/85/80/51/741858051.db2.gz NVRZKTHYTVNUNR-ZIAGYGMSSA-N -1 1 347.419 -0.124 20 0 EBADMM O=C(Cc1ccn[nH]1)N1CC[C@@H]2OCCN(Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001074199367 741858548 /nfs/dbraw/zinc/85/85/48/741858548.db2.gz BAUPTYXRKSZNIQ-NEPJUHHUSA-N -1 1 347.379 -0.722 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H]3CCN(C(=O)c4cnco4)C[C@@H]32)nc1=O ZINC001074304055 741895735 /nfs/dbraw/zinc/89/57/35/741895735.db2.gz DIXOHPUFBYWNPX-QWRGUYRKSA-N -1 1 348.363 -0.788 20 0 EBADMM CCN1CCO[C@H]2CCN(C(=O)c3[nH]c(=O)[n-]c(=O)c3OC)C[C@@H]21 ZINC001074382512 741921438 /nfs/dbraw/zinc/92/14/38/741921438.db2.gz MKHIDGHPIOGBCL-UWVGGRQHSA-N -1 1 338.364 -0.168 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@H]1CC[C@@H](C(N)=O)C1 ZINC001074888094 742127765 /nfs/dbraw/zinc/12/77/65/742127765.db2.gz MIZCFQFLMFOIGX-VLEAKVRGSA-N -1 1 336.396 -0.509 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)CCNC(=O)C(F)F ZINC001075250607 742203734 /nfs/dbraw/zinc/20/37/34/742203734.db2.gz GKSFXQIXAGODFM-YFKPBYRVSA-N -1 1 334.279 -0.214 20 0 EBADMM CSCC(=O)NCC[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001075526125 742242046 /nfs/dbraw/zinc/24/20/46/742242046.db2.gz DJPWGRYDDWOQJN-JTQLQIEISA-N -1 1 342.421 -0.699 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@H](C)S(C)(=O)=O ZINC001076246234 742419581 /nfs/dbraw/zinc/41/95/81/742419581.db2.gz KBPVQCMYJMRPNQ-UWVGGRQHSA-N -1 1 343.405 -0.155 20 0 EBADMM C[C@H](CC(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-])NC(N)=O ZINC001076520037 742489348 /nfs/dbraw/zinc/48/93/48/742489348.db2.gz SRYGSQQOFCERPS-VHSXEESVSA-N -1 1 337.380 -0.141 20 0 EBADMM CNC(=O)CC(=O)N[C@@H](C)CCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001076564086 742497671 /nfs/dbraw/zinc/49/76/71/742497671.db2.gz BRKXLOJRPUOVIE-VIFPVBQESA-N -1 1 349.391 -0.180 20 0 EBADMM Cc1cnc(CN2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)cn1 ZINC001076917352 742642459 /nfs/dbraw/zinc/64/24/59/742642459.db2.gz YOPQQLXZYZFFPP-TZMCWYRMSA-N -1 1 329.360 -0.139 20 0 EBADMM Cn1cc(CCN2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)cn1 ZINC001076917370 742642627 /nfs/dbraw/zinc/64/26/27/742642627.db2.gz ZEZUOKFXHSEFIK-TZMCWYRMSA-N -1 1 331.376 -0.462 20 0 EBADMM CC[C@H](F)C(=O)N[C@@H](C)CCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001077488383 743012992 /nfs/dbraw/zinc/01/29/92/743012992.db2.gz ONHKUCBMQCGODS-IUCAKERBSA-N -1 1 338.343 -0.210 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)[C@@H]3CCCC3(C)C)C2)nc1=O ZINC001077532991 743056724 /nfs/dbraw/zinc/05/67/24/743056724.db2.gz ZMLQDGGLGNQXAC-QJPTWQEYSA-N -1 1 337.424 -0.404 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)c3cc[nH]c3C3CC3)C2)nc1=O ZINC001077580797 743096319 /nfs/dbraw/zinc/09/63/19/743096319.db2.gz KLLBHKBPNMMFQD-VXGBXAGGSA-N -1 1 346.391 -0.711 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)c3occc3Cl)C2)nc1=O ZINC001077630338 743128341 /nfs/dbraw/zinc/12/83/41/743128341.db2.gz XYAVMUQWWZZTTL-RKDXNWHRSA-N -1 1 341.755 -0.670 20 0 EBADMM O=C(CCn1cccn1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078341617 743592513 /nfs/dbraw/zinc/59/25/13/743592513.db2.gz JJSYVLVPBWZGIM-LBPRGKRZSA-N -1 1 349.395 -0.496 20 0 EBADMM O=C(C[C@@H]1CCCO1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078343815 743592608 /nfs/dbraw/zinc/59/26/08/743592608.db2.gz BTJSFLZKNWUCIR-RYUDHWBXSA-N -1 1 339.396 -0.214 20 0 EBADMM O=C(CCn1cccn1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078341616 743592690 /nfs/dbraw/zinc/59/26/90/743592690.db2.gz JJSYVLVPBWZGIM-GFCCVEGCSA-N -1 1 349.395 -0.496 20 0 EBADMM Cc1[nH]nc(C(=O)N2CCCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)c1C ZINC001078362518 743609099 /nfs/dbraw/zinc/60/90/99/743609099.db2.gz VWLYKLGJGJQPAL-LLVKDONJSA-N -1 1 349.395 -0.129 20 0 EBADMM CO[C@H](C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001078390791 743624564 /nfs/dbraw/zinc/62/45/64/743624564.db2.gz GAEZGHMZDGQUKT-AAEUAGOBSA-N -1 1 339.396 -0.358 20 0 EBADMM O=C([C@H]1CC1(F)F)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078397307 743629293 /nfs/dbraw/zinc/62/92/93/743629293.db2.gz YSVBKMSRQXBRFL-DTWKUNHWSA-N -1 1 331.323 -0.127 20 0 EBADMM O=C(c1ccsn1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078418591 743641115 /nfs/dbraw/zinc/64/11/15/743641115.db2.gz RWCGIVWCRCKETI-SECBINFHSA-N -1 1 338.393 -0.012 20 0 EBADMM O=C(Cc1cnoc1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078453976 743655737 /nfs/dbraw/zinc/65/57/37/743655737.db2.gz RIOGXCUDLMSRFS-LLVKDONJSA-N -1 1 336.352 -0.552 20 0 EBADMM Cc1ncc(C(=O)N2CCCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)n1C ZINC001078455116 743656099 /nfs/dbraw/zinc/65/60/99/743656099.db2.gz FLTHKYOJUKTOKW-NSHDSACASA-N -1 1 349.395 -0.427 20 0 EBADMM O=C(Cc1cnc[nH]1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078479283 743667354 /nfs/dbraw/zinc/66/73/54/743667354.db2.gz WAVSHBUFNTVVEL-LLVKDONJSA-N -1 1 335.368 -0.817 20 0 EBADMM Cc1ccnn1CC(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078480352 743668025 /nfs/dbraw/zinc/66/80/25/743668025.db2.gz DVRYVEJWPFZHDG-GFCCVEGCSA-N -1 1 349.395 -0.577 20 0 EBADMM O=C(c1cccnn1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078491363 743674846 /nfs/dbraw/zinc/67/48/46/743674846.db2.gz ZXMBMABVFJXQQX-SNVBAGLBSA-N -1 1 333.352 -0.679 20 0 EBADMM C[C@@H](CCNC(=O)c1ccc(=O)n(C)n1)NC(=O)c1ncccc1[O-] ZINC001078562672 743694272 /nfs/dbraw/zinc/69/42/72/743694272.db2.gz WSDAYSUFZKPYJK-JTQLQIEISA-N -1 1 345.359 -0.181 20 0 EBADMM Cn1cc(C(=O)N[C@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)nn1 ZINC001078617311 743708958 /nfs/dbraw/zinc/70/89/58/743708958.db2.gz KMRZKKFJCDOIRN-ZJUUUORDSA-N -1 1 332.368 -0.530 20 0 EBADMM CCn1ncc(C(=O)N[C@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)n1 ZINC001078620212 743709261 /nfs/dbraw/zinc/70/92/61/743709261.db2.gz VGBOYIVKSRQSSA-QWRGUYRKSA-N -1 1 346.395 -0.048 20 0 EBADMM O=C(N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2)c1cn[nH]c(=O)c1 ZINC001078653147 743716406 /nfs/dbraw/zinc/71/64/06/743716406.db2.gz ZROUBAJXVMCHDV-ZJUUUORDSA-N -1 1 345.363 -0.163 20 0 EBADMM O=C(Cc1c[nH]cn1)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078736348 743725851 /nfs/dbraw/zinc/72/58/51/743725851.db2.gz IIWRXNFOQLMCDC-QWRGUYRKSA-N -1 1 331.380 -0.007 20 0 EBADMM Cn1ncc(C(=O)N[C@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)n1 ZINC001078749853 743728533 /nfs/dbraw/zinc/72/85/33/743728533.db2.gz QGPVFQARTWWRDF-UWVGGRQHSA-N -1 1 332.368 -0.530 20 0 EBADMM Cc1n[nH]c(C)c1CC(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000980375785 805874780 /nfs/dbraw/zinc/87/47/80/805874780.db2.gz YBWPQALETFQION-GFCCVEGCSA-N -1 1 347.423 -0.276 20 0 EBADMM Cc1cnn(CCC(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC000980483242 805911510 /nfs/dbraw/zinc/91/15/10/805911510.db2.gz LHGZDELUEKJZII-CYBMUJFWSA-N -1 1 347.423 -0.264 20 0 EBADMM C[C@H]1C[C@H](CNCc2ccon2)CN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000980641479 805971999 /nfs/dbraw/zinc/97/19/99/805971999.db2.gz FTDRKOWDDLPPJW-DTWKUNHWSA-N -1 1 334.336 -0.089 20 0 EBADMM C[C@H]1C[C@H](CNCc2cnon2)CN1C(=O)c1cnc([O-])n(C)c1=O ZINC000980669988 805980390 /nfs/dbraw/zinc/98/03/90/805980390.db2.gz CJYPALJUVAHPME-VHSXEESVSA-N -1 1 348.363 -0.491 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)c3ccc(F)cn3)CC2)nc1=O ZINC000981020471 806058952 /nfs/dbraw/zinc/05/89/52/806058952.db2.gz RHRUTJCNQZCERY-UHFFFAOYSA-N -1 1 334.355 -0.009 20 0 EBADMM O=C(NC[C@H]1CC[C@@H](NC(=O)[C@H]2CNC(=O)N2)C1)c1ncccc1[O-] ZINC000981207292 806109783 /nfs/dbraw/zinc/10/97/83/806109783.db2.gz BBFGLVVKLWYSKS-HBNTYKKESA-N -1 1 347.375 -0.517 20 0 EBADMM COc1nccc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC000981598040 806213000 /nfs/dbraw/zinc/21/30/00/806213000.db2.gz KJAVHTGFTCIDHM-UHFFFAOYSA-N -1 1 347.379 -0.745 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)CC2)nc1=O ZINC000981644282 806224042 /nfs/dbraw/zinc/22/40/42/806224042.db2.gz ILBCWBKDVKMWPH-JYKNGBAOSA-N -1 1 347.419 -0.434 20 0 EBADMM C[C@@H]1OCC[C@@]1(C)C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000981677181 806234944 /nfs/dbraw/zinc/23/49/44/806234944.db2.gz VWWSNFWDWBIVTK-BLLLJJGKSA-N -1 1 337.424 -0.042 20 0 EBADMM COc1nn(C)cc1C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000981739565 806256526 /nfs/dbraw/zinc/25/65/26/806256526.db2.gz OGMWLGAEZKXZCY-UHFFFAOYSA-N -1 1 349.395 -0.801 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)[C@]45C[C@H]4COC5)C3)C2)nc1=O ZINC000981779336 806277487 /nfs/dbraw/zinc/27/74/87/806277487.db2.gz ZWFPVADEWMCQLK-SJCJKPOMSA-N -1 1 347.419 -0.431 20 0 EBADMM C[C@H]1COCC[C@@H]1C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000981904678 806321714 /nfs/dbraw/zinc/32/17/14/806321714.db2.gz GMAOGIAAZHONJA-STQMWFEESA-N -1 1 337.424 -0.185 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)c3cccc(=O)n3C)CC2)nc1=O ZINC000981927266 806326578 /nfs/dbraw/zinc/32/65/78/806326578.db2.gz ZBVBXGIBFKJBPO-UHFFFAOYSA-N -1 1 346.391 -0.845 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)[C@H]4[C@@H]5COC[C@@H]54)C3)C2)nc1=O ZINC000981951495 806346382 /nfs/dbraw/zinc/34/63/82/806346382.db2.gz XJMGOQYKJUWJTM-IMRBUKKESA-N -1 1 347.419 -0.575 20 0 EBADMM Cn1[n-]c(CN2C[C@]3(C)CN(C(=O)c4cn[nH]n4)C[C@]3(C)C2)nc1=O ZINC000982333440 806462962 /nfs/dbraw/zinc/46/29/62/806462962.db2.gz HHVKLRFSWHOUCG-GASCZTMLSA-N -1 1 346.395 -0.789 20 0 EBADMM CC(C)=CC(=O)N1CC[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000982399556 806477157 /nfs/dbraw/zinc/47/71/57/806477157.db2.gz PZXPOCKOHIFMDL-NSHDSACASA-N -1 1 344.375 -0.038 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)[C@@H]2COCCO2)C1)c1ncccc1[O-] ZINC000982471446 806512008 /nfs/dbraw/zinc/51/20/08/806512008.db2.gz BGBIYSBJDKBIDE-YPMHNXCESA-N -1 1 335.360 -0.219 20 0 EBADMM NC(=O)CC(=O)N1CC[C@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000982564499 806564959 /nfs/dbraw/zinc/56/49/59/806564959.db2.gz CSOUHIBKSYKLFI-SECBINFHSA-N -1 1 347.375 -0.487 20 0 EBADMM CN1CCOC[C@H]1C(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982656676 806609646 /nfs/dbraw/zinc/60/96/46/806609646.db2.gz DPDIAQBMPIXWQW-OLZOCXBDSA-N -1 1 348.403 -0.304 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1COC(=O)N1 ZINC000982672034 806628490 /nfs/dbraw/zinc/62/84/90/806628490.db2.gz URYWTIHMHLCZGZ-VHSXEESVSA-N -1 1 334.332 -0.526 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1CCCNC1=O ZINC000982673827 806630291 /nfs/dbraw/zinc/63/02/91/806630291.db2.gz QTUYSIBYTPRTGG-NEPJUHHUSA-N -1 1 346.387 -0.108 20 0 EBADMM CN1C[C@@H](C(=O)NC[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC000982675412 806631281 /nfs/dbraw/zinc/63/12/81/806631281.db2.gz LVZBJUSNFHCLLI-RYUDHWBXSA-N -1 1 346.387 -0.156 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)[C@H]2COC(=O)N2)C1)c1ncccc1[O-] ZINC000982711606 806658388 /nfs/dbraw/zinc/65/83/88/806658388.db2.gz ZKTCYBFXKAXHKO-VHSXEESVSA-N -1 1 334.332 -0.526 20 0 EBADMM CN1C[C@@H](C(=O)N2CC[C@@H](CNC(=O)c3ncccc3[O-])C2)CC1=O ZINC000982865433 806744447 /nfs/dbraw/zinc/74/44/47/806744447.db2.gz XNYKKCMFDWKRAI-RYUDHWBXSA-N -1 1 346.387 -0.156 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)[C@H]2CCC(=O)NC2)C1)c1ncccc1[O-] ZINC000982957596 806801912 /nfs/dbraw/zinc/80/19/12/806801912.db2.gz SYWDNWRICXMWAO-NEPJUHHUSA-N -1 1 346.387 -0.108 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H](CNC(=O)C2CCC2)C1 ZINC000982994658 806827730 /nfs/dbraw/zinc/82/77/30/806827730.db2.gz COGFRJKTVAOJSY-GFCCVEGCSA-N -1 1 348.403 -0.309 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)[C@H]2CCNC2=O)C1)c1ncccc1[O-] ZINC000983075895 806865939 /nfs/dbraw/zinc/86/59/39/806865939.db2.gz DCUMRGIJLINNRR-QWRGUYRKSA-N -1 1 332.360 -0.498 20 0 EBADMM CN1CC[C@H](C(=O)N2CC[C@@H](CNC(=O)c3ncccc3[O-])C2)C1=O ZINC000983135100 806900812 /nfs/dbraw/zinc/90/08/12/806900812.db2.gz JCWVRLIYVGNNTB-RYUDHWBXSA-N -1 1 346.387 -0.156 20 0 EBADMM COCCS(=O)(=O)[N-]c1cc(=O)n(C)c(=O)n1-c1ccccc1 ZINC001259975270 812820925 /nfs/dbraw/zinc/82/09/25/812820925.db2.gz JBEFRVPYKSTYEP-UHFFFAOYSA-N -1 1 339.373 -0.076 20 0 EBADMM CCOC(=O)[C@H](CCSC)[N-]S(=O)(=O)CS(C)(=O)=O ZINC001260595334 812840821 /nfs/dbraw/zinc/84/08/21/812840821.db2.gz UWLXBHSRKCGGFC-QMMMGPOBSA-N -1 1 333.453 -0.407 20 0 EBADMM CCOC(=O)[C@@H](CCSC)[N-]S(=O)(=O)CS(C)(=O)=O ZINC001260595333 812841570 /nfs/dbraw/zinc/84/15/70/812841570.db2.gz UWLXBHSRKCGGFC-MRVPVSSYSA-N -1 1 333.453 -0.407 20 0 EBADMM CCn1cc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)nn1 ZINC000977414255 804316338 /nfs/dbraw/zinc/31/63/38/804316338.db2.gz XGXVVGJUMLRSDI-NSHDSACASA-N -1 1 348.411 -0.640 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)CN1CN=NC1=O)C(=O)c1ncccc1[O-] ZINC000977623749 804420015 /nfs/dbraw/zinc/42/00/15/804420015.db2.gz ZPDWWOPNTZWVPE-JTQLQIEISA-N -1 1 346.347 -0.543 20 0 EBADMM CN(C[C@H]1CCN1C(=O)[C@@H]1CCC(=O)N1)C(=O)c1ncccc1[O-] ZINC000977623178 804420433 /nfs/dbraw/zinc/42/04/33/804420433.db2.gz XNOVBESEHHYSPG-MNOVXSKESA-N -1 1 332.360 -0.261 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1CNC(=O)N1 ZINC000978309191 804785018 /nfs/dbraw/zinc/78/50/18/804785018.db2.gz WHWHGNWRJDKBBR-NXEZZACHSA-N -1 1 333.348 -0.859 20 0 EBADMM Cn1cc(C(=O)N[C@H]2C[C@@H](CNC(=O)c3ncccc3[O-])C2)nn1 ZINC000978740976 804953236 /nfs/dbraw/zinc/95/32/36/804953236.db2.gz GAEBAPKLLPXYMZ-AOOOYVTPSA-N -1 1 330.348 -0.146 20 0 EBADMM CC1(C(=O)N[C@H]2C[C@@H](CNC(=O)c3cc(=O)n4[n-]cnc4n3)C2)CC1 ZINC000979044067 805106468 /nfs/dbraw/zinc/10/64/68/805106468.db2.gz NBISPRPMBIGWHY-AOOOYVTPSA-N -1 1 344.375 -0.158 20 0 EBADMM Cn1nnc(C(=O)NC[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC000979158922 805181989 /nfs/dbraw/zinc/18/19/89/805181989.db2.gz XJVLFBYMDMYMNT-DTORHVGOSA-N -1 1 331.336 -0.751 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2ccccn2)CCO1 ZINC000979166713 805184811 /nfs/dbraw/zinc/18/48/11/805184811.db2.gz VMBXULHZBGNVAR-PWSUYJOCSA-N -1 1 332.364 -0.075 20 0 EBADMM Cc1cnc(C(=O)N2CCO[C@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC000979198838 805205699 /nfs/dbraw/zinc/20/56/99/805205699.db2.gz KDPLMBSYIDBMJM-PWSUYJOCSA-N -1 1 347.379 -0.372 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)C(=O)C(C)(C)C)CCO1 ZINC000979245601 805235972 /nfs/dbraw/zinc/23/59/72/805235972.db2.gz CLLBGGZTEVOXLT-UWVGGRQHSA-N -1 1 339.396 -0.169 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2cccn2C)CCO1 ZINC000979259130 805245370 /nfs/dbraw/zinc/24/53/70/805245370.db2.gz RXTXIDXQJIVYDP-CMPLNLGQSA-N -1 1 334.380 -0.132 20 0 EBADMM Cc1cc(CC(=O)N2CCO[C@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC000979261483 805246413 /nfs/dbraw/zinc/24/64/13/805246413.db2.gz ZUVGMMIQLHNJNA-JQWIXIFHSA-N -1 1 349.395 -0.510 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2ccn(C)c2)CCO1 ZINC000979270201 805251037 /nfs/dbraw/zinc/25/10/37/805251037.db2.gz LJCXAUFSXVJKRL-CMPLNLGQSA-N -1 1 334.380 -0.132 20 0 EBADMM C[C@@H](NCc1ncnn1C)[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC000979280086 805258825 /nfs/dbraw/zinc/25/88/25/805258825.db2.gz UHVXCQAYUOKULO-YPMHNXCESA-N -1 1 346.391 -0.065 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)CCc2ncc[nH]2)CCO1 ZINC000979280682 805259034 /nfs/dbraw/zinc/25/90/34/805259034.db2.gz PYMXKDOSHCTZHK-MNOVXSKESA-N -1 1 349.395 -0.428 20 0 EBADMM Cc1ccnc(C(=O)N2CCO[C@@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000979303826 805279001 /nfs/dbraw/zinc/27/90/01/805279001.db2.gz QDDZUTVETKAXLV-WDEREUQCSA-N -1 1 347.379 -0.372 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2cn[nH]c(=O)c2)CCO1 ZINC000979345066 805309353 /nfs/dbraw/zinc/30/93/53/805309353.db2.gz GUOOERYMSBFFFR-WCBMZHEXSA-N -1 1 349.351 -0.975 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)Cc2cnoc2)CCO1 ZINC000979352565 805317202 /nfs/dbraw/zinc/31/72/02/805317202.db2.gz CHIQJTVUOXAGDY-MWLCHTKSSA-N -1 1 336.352 -0.554 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)CCn2ccnc2)CCO1 ZINC000979357235 805321031 /nfs/dbraw/zinc/32/10/31/805321031.db2.gz GIFYGNUUUYGOTB-NWDGAFQWSA-N -1 1 349.395 -0.497 20 0 EBADMM O=C(N[C@H]1C[C@H](CNC(=O)C2CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000979376192 805337498 /nfs/dbraw/zinc/33/74/98/805337498.db2.gz LWDVZKPVRQRQJI-CZMCAQCFSA-N -1 1 330.348 -0.548 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)Cc2cnc[nH]2)CCO1 ZINC000979398879 805353074 /nfs/dbraw/zinc/35/30/74/805353074.db2.gz DHCXKUJHTNPCDR-MWLCHTKSSA-N -1 1 335.368 -0.818 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)Cc2cncn2C)CCO1 ZINC000979442392 805383557 /nfs/dbraw/zinc/38/35/57/805383557.db2.gz GLSAPDCCQMGESE-JQWIXIFHSA-N -1 1 349.395 -0.808 20 0 EBADMM O=C(NC[C@H]1C[C@H](NC(=O)[C@H]2CCNC2=O)C1)c1ncccc1[O-] ZINC000979667021 805477591 /nfs/dbraw/zinc/47/75/91/805477591.db2.gz FKEXLEFVJDZUJD-DCAQKATOSA-N -1 1 332.360 -0.452 20 0 EBADMM NC(=O)CC(=O)N1C[C@@H]2CC[C@H](NC(=O)c3ncccc3[O-])[C@H]2C1 ZINC000979747403 805526841 /nfs/dbraw/zinc/52/68/41/805526841.db2.gz IICGOYOQZSUQCO-DCAQKATOSA-N -1 1 332.360 -0.371 20 0 EBADMM NC(=O)CC(=O)N1C[C@@H]2CC[C@@H](NC(=O)c3ncccc3[O-])[C@H]2C1 ZINC000979747407 805527331 /nfs/dbraw/zinc/52/73/31/805527331.db2.gz IICGOYOQZSUQCO-GARJFASQSA-N -1 1 332.360 -0.371 20 0 EBADMM O=C(Cc1nnc[nH]1)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000983330181 806993852 /nfs/dbraw/zinc/99/38/52/806993852.db2.gz SHZCBZRZFFEVQK-SNVBAGLBSA-N -1 1 330.348 -0.274 20 0 EBADMM CC(=O)NC[C@@H]1C[C@H](C)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000983923077 807120307 /nfs/dbraw/zinc/12/03/07/807120307.db2.gz LVNUQRXNJPEEED-KOLCDFICSA-N -1 1 332.364 -0.206 20 0 EBADMM CN(C(=O)Cn1c(=O)[n-][nH]c1=O)[C@@H]1CCCN(C(=O)c2ccn[nH]2)C1 ZINC000983925161 807121721 /nfs/dbraw/zinc/12/17/21/807121721.db2.gz PKEKMBBXFJBICB-SECBINFHSA-N -1 1 349.351 -0.824 20 0 EBADMM CN(C(=O)Cn1cnnn1)[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984102075 807165574 /nfs/dbraw/zinc/16/55/74/807165574.db2.gz APDDYXZMEQTSCM-NSHDSACASA-N -1 1 345.363 -0.463 20 0 EBADMM C[C@@H](NC[C@H]1C[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1)c1nncn1C ZINC000984215871 807219703 /nfs/dbraw/zinc/21/97/03/807219703.db2.gz PIKYKXGUEGTBJK-IWSPIJDZSA-N -1 1 348.367 -0.730 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCCN(C(=O)[C@H]2CCNC2=O)C1 ZINC000984460021 807298053 /nfs/dbraw/zinc/29/80/53/807298053.db2.gz SPAUQOMOMRTICE-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)Cn2cnnn2)CCN1C(=O)c1ncccc1[O-] ZINC000984515798 807314034 /nfs/dbraw/zinc/31/40/34/807314034.db2.gz OIVRZEQTPHJLBB-WDEREUQCSA-N -1 1 345.363 -0.417 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CCN1C(=O)C(N)=O ZINC000984886086 807406127 /nfs/dbraw/zinc/40/61/27/807406127.db2.gz GMQNQJUKXMLMQH-WDEREUQCSA-N -1 1 347.375 -0.346 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)[C@H]2CNC(=O)N2)CCN1C(=O)c1ncccc1[O-] ZINC000985371137 807495529 /nfs/dbraw/zinc/49/55/29/807495529.db2.gz DODJJVLQMDGCNN-HBNTYKKESA-N -1 1 347.375 -0.422 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CC1OCCCO1 ZINC000986193972 807648406 /nfs/dbraw/zinc/64/84/06/807648406.db2.gz CODMYQUCNJFSNN-NXEZZACHSA-N -1 1 325.369 -0.258 20 0 EBADMM Cc1nc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)c(=O)[nH]c1C ZINC000986415907 807698323 /nfs/dbraw/zinc/69/83/23/807698323.db2.gz ZVMSEGKKUXPKGN-NXEZZACHSA-N -1 1 347.379 -0.397 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CN1CCCCC1=O ZINC000986472205 807711036 /nfs/dbraw/zinc/71/10/36/807711036.db2.gz IWDPFMRHZCQCFE-QWRGUYRKSA-N -1 1 336.396 -0.398 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnn2c1OCCC2 ZINC000986476466 807712898 /nfs/dbraw/zinc/71/28/98/807712898.db2.gz QLDPGAGFVLCWHB-MWLCHTKSSA-N -1 1 347.379 -0.118 20 0 EBADMM C[C@H]1[C@H](NCc2cnns2)CCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000986479548 807713783 /nfs/dbraw/zinc/71/37/83/807713783.db2.gz AABZBKIOOYCWQH-POYBYMJQSA-N -1 1 337.365 -0.473 20 0 EBADMM Cc1nccnc1CN[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)[C@@H]1C ZINC000986491212 807719856 /nfs/dbraw/zinc/71/98/56/807719856.db2.gz ZTGNXMRNAORUOW-NXEZZACHSA-N -1 1 345.363 -0.226 20 0 EBADMM C[C@H]1[C@@H](NCc2nccn2C)CCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000986490794 807720062 /nfs/dbraw/zinc/72/00/62/807720062.db2.gz YBJKNWNMMAJGQV-IUCAKERBSA-N -1 1 333.352 -0.591 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnn2cccnc12 ZINC000986635362 807754166 /nfs/dbraw/zinc/75/41/66/807754166.db2.gz CNMVPLNAQXMUJB-MWLCHTKSSA-N -1 1 342.363 -0.054 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000986690245 807765870 /nfs/dbraw/zinc/76/58/70/807765870.db2.gz PEBKKHKSPLXNEF-IUCAKERBSA-N -1 1 333.352 -0.293 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)COc1cnn(C)c1 ZINC000986751350 807771969 /nfs/dbraw/zinc/77/19/69/807771969.db2.gz VUZOHKPRMYPMLC-KOLCDFICSA-N -1 1 335.368 -0.598 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)COc1cnn(C)c1 ZINC000986751349 807772407 /nfs/dbraw/zinc/77/24/07/807772407.db2.gz VUZOHKPRMYPMLC-GXSJLCMTSA-N -1 1 335.368 -0.598 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)COc1cnn(C)c1 ZINC000986751351 807772423 /nfs/dbraw/zinc/77/24/23/807772423.db2.gz VUZOHKPRMYPMLC-MWLCHTKSSA-N -1 1 335.368 -0.598 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cc(C(N)=O)co1 ZINC000986918497 807797504 /nfs/dbraw/zinc/79/75/04/807797504.db2.gz PJOQFOUUNODNHJ-VXNVDRBHSA-N -1 1 334.336 -0.405 20 0 EBADMM CCn1nc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)ccc1=O ZINC000987198815 807868245 /nfs/dbraw/zinc/86/82/45/807868245.db2.gz VARRSZBGJVGBDF-NXEZZACHSA-N -1 1 347.379 -0.520 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cc2ncccn2n1 ZINC000987218188 807871125 /nfs/dbraw/zinc/87/11/25/807871125.db2.gz PKZSSZYQACDZHL-UWVGGRQHSA-N -1 1 342.363 -0.054 20 0 EBADMM Cc1ccc(=O)n(CC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)n1 ZINC000987383711 807906164 /nfs/dbraw/zinc/90/61/64/807906164.db2.gz HQTULCYWPGARLS-GHMZBOCLSA-N -1 1 347.379 -0.845 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)[C@H]2CCC(=O)N2)CCN1C(=O)c1ncccc1[O-] ZINC000987456421 807924633 /nfs/dbraw/zinc/92/46/33/807924633.db2.gz WTKLQUZZLVGGOH-OUAUKWLOSA-N -1 1 332.360 -0.215 20 0 EBADMM Cc1nc(C(=O)N[C@H]2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)[C@@H]2C)c[nH]1 ZINC000987459189 807925599 /nfs/dbraw/zinc/92/55/99/807925599.db2.gz CZOAIMQSUDKDFX-APPZFPTMSA-N -1 1 349.351 -0.860 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cncc(C(N)=O)c1 ZINC000987555502 807955790 /nfs/dbraw/zinc/95/57/90/807955790.db2.gz FRTSNQGVYBDCPA-GZMMTYOYSA-N -1 1 345.363 -0.603 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCc1cnn(C)c1 ZINC000987567668 807958854 /nfs/dbraw/zinc/95/88/54/807958854.db2.gz GAMPOISHAYLIRI-ZYHUDNBSSA-N -1 1 333.396 -0.044 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CS(C)(=O)=O ZINC000987671571 807988170 /nfs/dbraw/zinc/98/81/70/807988170.db2.gz KUJDYHMYAXMPPB-ZJUUUORDSA-N -1 1 341.389 -0.449 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@@H]1CNC(=O)N1 ZINC000987685852 807991327 /nfs/dbraw/zinc/99/13/27/807991327.db2.gz TVXYNKALWWQQTI-UTLUCORTSA-N -1 1 333.348 -0.812 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C)C1 ZINC000987736886 808002529 /nfs/dbraw/zinc/00/25/29/808002529.db2.gz WDEVFNUHIAQONW-MFKMUULPSA-N -1 1 346.387 -0.015 20 0 EBADMM CN(C[C@H]1CCN(C(=O)[C@@H]2CCNC2=O)C1)C(=O)c1ncccc1[O-] ZINC000988180805 808140498 /nfs/dbraw/zinc/14/04/98/808140498.db2.gz BVCMIDBGPWMSQS-VXGBXAGGSA-N -1 1 346.387 -0.156 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cn2c(n1)COCC2 ZINC000989044009 808355290 /nfs/dbraw/zinc/35/52/90/808355290.db2.gz UGQSQSYTQPUMPP-ZJUUUORDSA-N -1 1 347.379 -0.370 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@H]1C ZINC000989041347 808355489 /nfs/dbraw/zinc/35/54/89/808355489.db2.gz WZKRIEIFPJCSNX-VLEAKVRGSA-N -1 1 344.375 -0.207 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccn(C)c(=O)c1 ZINC000989053401 808358121 /nfs/dbraw/zinc/35/81/21/808358121.db2.gz IDAVLSZBXMDPEN-GXSJLCMTSA-N -1 1 332.364 -0.398 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnn2c1OCCC2 ZINC000989105761 808375026 /nfs/dbraw/zinc/37/50/26/808375026.db2.gz WSXJMVBJEQPUCN-ZJUUUORDSA-N -1 1 347.379 -0.118 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)[C@@H]2CCC(=O)N2)CCN1C(=O)c1ncccc1[O-] ZINC000989167830 808393159 /nfs/dbraw/zinc/39/31/59/808393159.db2.gz WTKLQUZZLVGGOH-VWYCJHECSA-N -1 1 332.360 -0.215 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)[C@H]2CN(C)C(=O)N2)CCN1C(=O)c1ncccc1[O-] ZINC000989238882 808415957 /nfs/dbraw/zinc/41/59/57/808415957.db2.gz FWTOUWFLHZPPBK-OUAUKWLOSA-N -1 1 347.375 -0.470 20 0 EBADMM C[C@@H]1C[C@H](NCc2cnnn2C)CN1C(=O)c1cnc([O-])n(C)c1=O ZINC000989284081 808426971 /nfs/dbraw/zinc/42/69/71/808426971.db2.gz RRXDBRQURNNGRZ-ZJUUUORDSA-N -1 1 347.379 -0.993 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccn2nnnc2c1 ZINC000989301828 808434046 /nfs/dbraw/zinc/43/40/46/808434046.db2.gz MIHGBKNQEWBIFT-WCBMZHEXSA-N -1 1 343.351 -0.659 20 0 EBADMM C[C@H]1C[C@@H](NCc2nc(=O)c3sccc3[n-]2)CN1C(=O)C(N)=O ZINC000989379518 808460995 /nfs/dbraw/zinc/46/09/95/808460995.db2.gz IFWQJEYKJVHQHF-JGVFFNPUSA-N -1 1 335.389 -0.039 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cc(C(N)=O)co1 ZINC000989442855 808475927 /nfs/dbraw/zinc/47/59/27/808475927.db2.gz LSDXIBDHLRJRAB-APPZFPTMSA-N -1 1 334.336 -0.405 20 0 EBADMM Cc1ccn(CC(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)c(=O)c1 ZINC000989501526 808490924 /nfs/dbraw/zinc/49/09/24/808490924.db2.gz FVDGJQYEBMQCJH-NEPJUHHUSA-N -1 1 346.391 -0.240 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnc2cccnn21 ZINC000989537249 808499186 /nfs/dbraw/zinc/49/91/86/808499186.db2.gz SOOJEKIYEWOAGT-ZJUUUORDSA-N -1 1 342.363 -0.054 20 0 EBADMM CCn1nc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)ccc1=O ZINC000989569343 808513580 /nfs/dbraw/zinc/51/35/80/808513580.db2.gz SJTHALQZCRDLPJ-VHSXEESVSA-N -1 1 347.379 -0.520 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1nc2ncccn2n1 ZINC000989580224 808520379 /nfs/dbraw/zinc/52/03/79/808520379.db2.gz LJVAPWDAXWDETL-RKDXNWHRSA-N -1 1 343.351 -0.659 20 0 EBADMM Cc1ccc(=O)n(CC(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)n1 ZINC000989704235 808563185 /nfs/dbraw/zinc/56/31/85/808563185.db2.gz QYKHALDVKIQTDH-QWRGUYRKSA-N -1 1 347.379 -0.845 20 0 EBADMM C[C@H]1C[C@H](NCc2cnns2)CN1C(=O)Cn1c(=O)[n-][nH]c1=O ZINC000989722469 808568771 /nfs/dbraw/zinc/56/87/71/808568771.db2.gz KPNZNMKYSKIQLQ-YUMQZZPRSA-N -1 1 339.381 -0.680 20 0 EBADMM C[C@@H]1C[C@@H](NCc2cnns2)CN1C(=O)Cn1c(=O)[n-][nH]c1=O ZINC000989722463 808568862 /nfs/dbraw/zinc/56/88/62/808568862.db2.gz KPNZNMKYSKIQLQ-HTQZYQBOSA-N -1 1 339.381 -0.680 20 0 EBADMM COc1c(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)cnn1C ZINC000989733887 808573143 /nfs/dbraw/zinc/57/31/43/808573143.db2.gz NETSGBDEJQQPQF-IUCAKERBSA-N -1 1 335.368 -0.355 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCN1CCCC1=O ZINC000989811949 808601605 /nfs/dbraw/zinc/60/16/05/808601605.db2.gz BOFXPSNBSOOQOH-GHMZBOCLSA-N -1 1 336.396 -0.398 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc(C(N)=O)nc1 ZINC000989857913 808613543 /nfs/dbraw/zinc/61/35/43/808613543.db2.gz USTKGCGRVDQBOC-WPRPVWTQSA-N -1 1 345.363 -0.603 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)c4cn[nH]c4)CCC[C@@H]23)nc1=O ZINC000989974398 808630108 /nfs/dbraw/zinc/63/01/08/808630108.db2.gz VBVAKPPTOPUDJC-IAQYHMDHSA-N -1 1 331.380 -0.242 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)c4cncnc4)CCC[C@H]23)nc1=O ZINC000990371296 808764283 /nfs/dbraw/zinc/76/42/83/808764283.db2.gz BMZYWGKRUFJJQT-BLLLJJGKSA-N -1 1 343.391 -0.175 20 0 EBADMM Cn1nncc1C(=O)N[C@@]12CCC[C@@H]1N(Cc1nc(=O)n(C)[n-]1)CC2 ZINC000990481239 808805183 /nfs/dbraw/zinc/80/51/83/808805183.db2.gz ZFKBEHZIXNZKEW-XHDPSFHLSA-N -1 1 346.395 -0.836 20 0 EBADMM Cn1cc(C(=O)N[C@@]23CCC[C@@H]2N(Cc2nc(=O)n(C)[n-]2)CC3)nn1 ZINC000990514882 808820405 /nfs/dbraw/zinc/82/04/05/808820405.db2.gz MLFGUBGROFJOJS-XHDPSFHLSA-N -1 1 346.395 -0.836 20 0 EBADMM Cn1cc(C(N)=O)cc1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990968455 809017489 /nfs/dbraw/zinc/01/74/89/809017489.db2.gz IYSPWRIAPXVGRO-UHFFFAOYSA-N -1 1 343.343 -0.521 20 0 EBADMM Cn1cc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)ccc1=O ZINC000990967637 809017754 /nfs/dbraw/zinc/01/77/54/809017754.db2.gz ANYHPAHQJZSFPX-UHFFFAOYSA-N -1 1 328.328 -0.260 20 0 EBADMM CCn1cc(CC(=O)N2CC(NC(=O)c3ncccc3[O-])C2)nn1 ZINC000990972836 809021175 /nfs/dbraw/zinc/02/11/75/809021175.db2.gz TWTYMQOGUBJRFE-UHFFFAOYSA-N -1 1 330.348 -0.418 20 0 EBADMM NC(=O)c1cc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)c[nH]1 ZINC000990975738 809021934 /nfs/dbraw/zinc/02/19/34/809021934.db2.gz BHDGHMHOYRWHDS-UHFFFAOYSA-N -1 1 329.316 -0.531 20 0 EBADMM O=C(NC1CN(C(=O)c2cn3c(n2)COCC3)C1)c1ncccc1[O-] ZINC000990975138 809022135 /nfs/dbraw/zinc/02/21/35/809022135.db2.gz ORZBQRGYAZXFST-UHFFFAOYSA-N -1 1 343.343 -0.232 20 0 EBADMM O=C(Cn1ccccc1=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990976037 809022774 /nfs/dbraw/zinc/02/27/74/809022774.db2.gz DCGPNSFFUYLYIQ-UHFFFAOYSA-N -1 1 328.328 -0.410 20 0 EBADMM Cn1ncc(CCC(=O)N2CC(NC(=O)c3ncccc3[O-])C2)n1 ZINC000990978386 809025781 /nfs/dbraw/zinc/02/57/81/809025781.db2.gz NXJDKNXOXUQSJF-UHFFFAOYSA-N -1 1 330.348 -0.511 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ccon2)C[C@@H]1O)c1ncccc1[O-] ZINC000991412478 809431121 /nfs/dbraw/zinc/43/11/21/809431121.db2.gz XHDXUEIGZGRAGS-CABZTGNLSA-N -1 1 332.316 -0.219 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cncnc2)C[C@@H]1O)c1ncccc1[O-] ZINC000991425238 809449658 /nfs/dbraw/zinc/44/96/58/809449658.db2.gz KSBLBSXUEVKCAK-YPMHNXCESA-N -1 1 343.343 -0.417 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cocn2)C[C@@H]1O)c1ncccc1[O-] ZINC000991425384 809449866 /nfs/dbraw/zinc/44/98/66/809449866.db2.gz LKHQGULDQVCJAN-CABZTGNLSA-N -1 1 332.316 -0.219 20 0 EBADMM Cc1nc[nH]c1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991427004 809453417 /nfs/dbraw/zinc/45/34/17/809453417.db2.gz NPGXMQQHEAURPW-PWSUYJOCSA-N -1 1 345.359 -0.176 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991434433 809466788 /nfs/dbraw/zinc/46/67/88/809466788.db2.gz ZNOROCXKXILLNJ-KOLCDFICSA-N -1 1 346.347 -0.781 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991434433 809466794 /nfs/dbraw/zinc/46/67/94/809466794.db2.gz ZNOROCXKXILLNJ-KOLCDFICSA-N -1 1 346.347 -0.781 20 0 EBADMM Cc1nonc1C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000991561616 809642930 /nfs/dbraw/zinc/64/29/30/809642930.db2.gz DCYZNNBOFFIDGA-KOLCDFICSA-N -1 1 347.331 -0.516 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)CS(C)(=O)=O ZINC001004743020 809824894 /nfs/dbraw/zinc/82/48/94/809824894.db2.gz FXQRQNBMNBWBAI-UWVGGRQHSA-N -1 1 345.425 -0.586 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)Cn1ncnn1 ZINC001004859384 809828797 /nfs/dbraw/zinc/82/87/97/809828797.db2.gz GCSQSTPUYFPCCR-ZJUUUORDSA-N -1 1 335.372 -0.944 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)CCn1cnnn1 ZINC001004985662 809837147 /nfs/dbraw/zinc/83/71/47/809837147.db2.gz UJQOOYKAGZKPDY-QWRGUYRKSA-N -1 1 349.399 -0.554 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CN(C)C(=O)N2)C1 ZINC001005961062 809872921 /nfs/dbraw/zinc/87/29/21/809872921.db2.gz XNACLBJWJFXWCR-ONGXEEELSA-N -1 1 347.375 -0.613 20 0 EBADMM C[C@H](NC(=O)[C@H]1CCCNC1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006255228 809889158 /nfs/dbraw/zinc/88/91/58/809889158.db2.gz GXPOWCXJIXLODW-JQWIXIFHSA-N -1 1 346.387 -0.110 20 0 EBADMM CC(=O)N1C[C@@H]2CCC[C@]2(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001007839410 810027513 /nfs/dbraw/zinc/02/75/13/810027513.db2.gz GMNBPCHIDLPLAP-BONVTDFDSA-N -1 1 330.348 -0.452 20 0 EBADMM CC1(C)CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@H]1COC(=O)N1 ZINC001008095997 810076958 /nfs/dbraw/zinc/07/69/58/810076958.db2.gz DKMWFWSDTHQGAI-MWLCHTKSSA-N -1 1 348.359 -0.138 20 0 EBADMM CC1(C)CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@@H]1COC(=O)N1 ZINC001008095989 810077147 /nfs/dbraw/zinc/07/71/47/810077147.db2.gz DKMWFWSDTHQGAI-GXSJLCMTSA-N -1 1 348.359 -0.138 20 0 EBADMM CC1(C)CN(C(=O)C(N)=O)C[C@H]1NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001008159384 810091538 /nfs/dbraw/zinc/09/15/38/810091538.db2.gz WJWKASPSRIEPSO-SNVBAGLBSA-N -1 1 347.375 -0.488 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1)c1cncnc1 ZINC001008296050 810126909 /nfs/dbraw/zinc/12/69/09/810126909.db2.gz WGWJKPOZRLYLLK-WCQYABFASA-N -1 1 345.407 -0.217 20 0 EBADMM O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CCCc2n[nH]nc21 ZINC001008345206 810140839 /nfs/dbraw/zinc/14/08/39/810140839.db2.gz UGIRIYKGYUQLDL-ZJUUUORDSA-N -1 1 346.395 -0.171 20 0 EBADMM CC1(C)CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@H]1CNC(=O)N1 ZINC001008514233 810181478 /nfs/dbraw/zinc/18/14/78/810181478.db2.gz GCHVCAXENNEUFV-MWLCHTKSSA-N -1 1 347.375 -0.565 20 0 EBADMM CCC(=O)N1C[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1C ZINC001009884467 810267380 /nfs/dbraw/zinc/26/73/80/810267380.db2.gz AIYQLWMENZMPPC-VHSXEESVSA-N -1 1 332.364 -0.206 20 0 EBADMM NC(=O)C(=O)N[C@@H]1CC[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C1 ZINC001009884852 810267469 /nfs/dbraw/zinc/26/74/69/810267469.db2.gz NTXJWZRGMGQCGW-GMTAPVOTSA-N -1 1 332.360 -0.371 20 0 EBADMM C[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])CN1C(=O)c1nnn(C)n1 ZINC001010250513 810280588 /nfs/dbraw/zinc/28/05/88/810280588.db2.gz LVCNRJJUETZYKX-UWVGGRQHSA-N -1 1 345.363 -0.409 20 0 EBADMM C[C@@H]1CN(C(=O)CN2CC[C@@H](NC(=O)c3ncccc3[O-])C2)CCO1 ZINC001010735592 810295760 /nfs/dbraw/zinc/29/57/60/810295760.db2.gz YNXKVJQLXXWTBS-CHWSQXEVSA-N -1 1 348.403 -0.161 20 0 EBADMM N=c1nc(OS(=O)(=O)[O-])c(N)c(N)n1-c1cc(F)c(O)cc1F ZINC001215570436 810336174 /nfs/dbraw/zinc/33/61/74/810336174.db2.gz FPYDDZOPFKNGFB-UHFFFAOYSA-N -1 1 349.275 -0.318 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCCN1C(=O)Cn1ncnn1 ZINC001014898343 810453855 /nfs/dbraw/zinc/45/38/55/810453855.db2.gz RFPJXOBAKXRXAE-WDEREUQCSA-N -1 1 345.363 -0.417 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@@H]3CCCc4nn[nH]c43)C2)nc1=O ZINC001015621326 810546256 /nfs/dbraw/zinc/54/62/56/810546256.db2.gz WHJRLOGHEOWPJK-NXEZZACHSA-N -1 1 346.395 -0.963 20 0 EBADMM CCCn1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001015779362 810580069 /nfs/dbraw/zinc/58/00/69/810580069.db2.gz HKFRKFQZZRAGSV-GFCCVEGCSA-N -1 1 333.396 -0.281 20 0 EBADMM CCc1cc(S(C)(=O)=O)ccc1NC(=O)[C@@H](N)Cc1nnn[n-]1 ZINC001219175504 810606768 /nfs/dbraw/zinc/60/67/68/810606768.db2.gz ZRPWGBJLDONRGK-JTQLQIEISA-N -1 1 338.393 -0.326 20 0 EBADMM CCc1c[nH]c(=O)c(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001015922937 810619511 /nfs/dbraw/zinc/61/95/11/810619511.db2.gz HOVCIZVKVVYLNT-LLVKDONJSA-N -1 1 346.391 -0.224 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCN(Cc2ccn(C)n2)C1 ZINC001015986175 810639702 /nfs/dbraw/zinc/63/97/02/810639702.db2.gz BRBQYNWANWHDGB-SECBINFHSA-N -1 1 348.363 -0.366 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCN(Cc2ccon2)C1 ZINC001015986182 810639974 /nfs/dbraw/zinc/63/99/74/810639974.db2.gz BSIDPSBHNMYYOS-QMMMGPOBSA-N -1 1 335.320 -0.111 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCN(Cc2nnc(C)[nH]2)C1 ZINC001015989709 810643932 /nfs/dbraw/zinc/64/39/32/810643932.db2.gz WUHVEGUUUPBGHR-MRVPVSSYSA-N -1 1 349.351 -0.673 20 0 EBADMM Cc1cnc(CN2CC[C@@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)o1 ZINC001015991480 810644869 /nfs/dbraw/zinc/64/48/69/810644869.db2.gz DSHMTGQELXTOHF-SNVBAGLBSA-N -1 1 343.347 -0.282 20 0 EBADMM O=C(N[C@@H]1CCN(Cc2csnn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001015993357 810645426 /nfs/dbraw/zinc/64/54/26/810645426.db2.gz XZHLKTXQQHQDDG-MRVPVSSYSA-N -1 1 346.376 -0.727 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cc(Cl)no3)C2)nc1=O ZINC001016067017 810661682 /nfs/dbraw/zinc/66/16/82/810661682.db2.gz ODTZMAKFTHLVBW-ZETCQYMHSA-N -1 1 326.744 -0.246 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3[nH]nc4ccccc43)C2)nc1=O ZINC001016161686 810681458 /nfs/dbraw/zinc/68/14/58/810681458.db2.gz WIQCYXXDYVCISX-SNVBAGLBSA-N -1 1 341.375 -0.011 20 0 EBADMM Cc1cc(C)n([C@H](C)C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001016382949 810723707 /nfs/dbraw/zinc/72/37/07/810723707.db2.gz YCGZPRJFXMOZFC-CHWSQXEVSA-N -1 1 347.423 -0.127 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)[C@H]2COCCO2)C1 ZINC001016431570 810725605 /nfs/dbraw/zinc/72/56/05/810725605.db2.gz ROHPFIHLMKSANK-GCZXYKMCSA-N -1 1 335.360 -0.078 20 0 EBADMM Cc1nonc1CC(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033544460 810777535 /nfs/dbraw/zinc/77/75/35/810777535.db2.gz MJUBSAGGDKBINY-JTQLQIEISA-N -1 1 335.368 -0.925 20 0 EBADMM CC[C@@H](C)CN1CCO[C@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001035432385 810796412 /nfs/dbraw/zinc/79/64/12/810796412.db2.gz PHXUMCVUUZOPHB-NXEZZACHSA-N -1 1 325.369 -0.241 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)[C@H]3CCC3(C)C)C2)nc1=O ZINC001035490003 810848620 /nfs/dbraw/zinc/84/86/20/810848620.db2.gz UKBRRCKUJDNHEK-VXGBXAGGSA-N -1 1 337.424 -0.138 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)c3nccs3)C2)nc1=O ZINC001035562624 810914519 /nfs/dbraw/zinc/91/45/19/810914519.db2.gz KQWBVVPVUKEYAR-VIFPVBQESA-N -1 1 338.393 -0.804 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)CC2(O)CCC2)CC3)nc1=O ZINC001035703187 810996200 /nfs/dbraw/zinc/99/62/00/810996200.db2.gz FLBRJTPZNDCYTC-UHFFFAOYSA-N -1 1 349.435 -0.162 20 0 EBADMM C[C@@H]1C[C@H](C(=O)N2CCC3(CN(Cc4nc(=O)n(C)[n-]4)C3)CC2)CO1 ZINC001035737135 811007388 /nfs/dbraw/zinc/00/73/88/811007388.db2.gz FIKQNNISXGGXON-OLZOCXBDSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1ccc(C(=O)N2CCC3(CN(Cc4nc(=O)n(C)[n-]4)C3)CC2)n1 ZINC001035852094 811042294 /nfs/dbraw/zinc/04/22/94/811042294.db2.gz QVINUEMFFGLCHQ-UHFFFAOYSA-N -1 1 345.407 -0.420 20 0 EBADMM CCOCC[N@@H+]1C[C@@H](NC(=O)c2cnc(C3CC3)[nH]c2=O)[C@@H](O)C1 ZINC001220500912 811127866 /nfs/dbraw/zinc/12/78/66/811127866.db2.gz PTGSGMCWVVCQML-OLZOCXBDSA-N -1 1 336.392 -0.129 20 0 EBADMM C[C@H]1CCCN(C(=O)c2nccnc2N)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036523793 811170158 /nfs/dbraw/zinc/17/01/58/811170158.db2.gz ASCZTFKHWMEUFV-VHSXEESVSA-N -1 1 346.395 -0.087 20 0 EBADMM Cc1c(C(=O)N2CC[C@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)nnn1C ZINC001036674516 811205886 /nfs/dbraw/zinc/20/58/86/811205886.db2.gz HBMAHHSIOGAZIL-MNOVXSKESA-N -1 1 346.395 -0.316 20 0 EBADMM CC[C@H](CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O)C(C)C ZINC001220802540 811247564 /nfs/dbraw/zinc/24/75/64/811247564.db2.gz ZGHJRCPCRODUJO-UPJWGTAASA-N -1 1 339.440 -0.158 20 0 EBADMM Cn1ccc(C(=O)N2CC[C@@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001036894370 811306267 /nfs/dbraw/zinc/30/62/67/811306267.db2.gz GSEXPZKJDJIBCA-ZYHUDNBSSA-N -1 1 331.380 -0.020 20 0 EBADMM Cn1ncc(CNC[C@@H]2CCC[C@H]2NC(=O)c2n[nH]c(=O)[n-]c2=O)n1 ZINC001037038133 811347689 /nfs/dbraw/zinc/34/76/89/811347689.db2.gz IPCZRZVFSDCBST-WCBMZHEXSA-N -1 1 348.367 -0.900 20 0 EBADMM CC(C)CC1(NC(=O)[C@@H](N)Cc2nnn[n-]2)CCS(=O)(=O)CC1 ZINC001221215984 811420098 /nfs/dbraw/zinc/42/00/98/811420098.db2.gz XZVYOADQEHIQSP-JTQLQIEISA-N -1 1 344.441 -0.821 20 0 EBADMM C[C@H](N)C(=O)N1[C@H](C(=O)n2[n-]c3ccnc(=O)c-3c2N)COC1(C)C ZINC001221236053 811422366 /nfs/dbraw/zinc/42/23/66/811422366.db2.gz AHTNNXCJJYUTOL-CBAPKCEASA-N -1 1 348.363 -0.791 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ccc(F)cn1 ZINC001079609541 811606392 /nfs/dbraw/zinc/60/63/92/811606392.db2.gz LCBNFKCRYNYCSV-BXKDBHETSA-N -1 1 334.355 -0.107 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)CNC(=O)OC)C1 ZINC001079652787 811639249 /nfs/dbraw/zinc/63/92/49/811639249.db2.gz HZNJEQJYXOUKCZ-UHFFFAOYSA-N -1 1 336.348 -0.184 20 0 EBADMM CCN(C(=O)CC(=O)N(C)C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079799048 811725862 /nfs/dbraw/zinc/72/58/62/811725862.db2.gz VDRVBFFTVMHOLK-UHFFFAOYSA-N -1 1 334.376 -0.062 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)NCC1CCN(CCF)CC1 ZINC001224871957 811781108 /nfs/dbraw/zinc/78/11/08/811781108.db2.gz FBLNVUBQJZBREY-UHFFFAOYSA-N -1 1 336.371 -0.131 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)CCn3cccn3)CC2)nc1=O ZINC001226096540 811846938 /nfs/dbraw/zinc/84/69/38/811846938.db2.gz SYJNCSPYICLYSU-UHFFFAOYSA-N -1 1 333.396 -0.524 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1n[nH]c2c1CCC2 ZINC001080026163 811848717 /nfs/dbraw/zinc/84/87/17/811848717.db2.gz DUTHQIQVVZGFLD-BXKDBHETSA-N -1 1 345.407 -0.430 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ccc(C(N)=O)o1 ZINC001080090485 811892851 /nfs/dbraw/zinc/89/28/51/811892851.db2.gz LXBUYDLEGJMLNC-RKDXNWHRSA-N -1 1 348.363 -0.949 20 0 EBADMM COc1ccnc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)c1 ZINC001080247728 811958990 /nfs/dbraw/zinc/95/89/90/811958990.db2.gz OGUNTHJRMYPPLE-ZWNOBZJWSA-N -1 1 346.391 -0.238 20 0 EBADMM O=[N+]([O-])O[C@@H]1CO[C@@H]2[C@@H](Oc3n[n-]c(-c4cccnc4)n3)CO[C@H]12 ZINC001228694654 811994926 /nfs/dbraw/zinc/99/49/26/811994926.db2.gz CYSYBZOMLHTHPA-LNFKQOIKSA-N -1 1 335.276 -0.011 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)CCCCC(N)=O)CC2)nc1=O ZINC001229943226 812063275 /nfs/dbraw/zinc/06/32/75/812063275.db2.gz FYGOUYPCJDYLCM-UHFFFAOYSA-N -1 1 338.412 -0.765 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C1(C)CCOCC1 ZINC001080624788 812098115 /nfs/dbraw/zinc/09/81/15/812098115.db2.gz AWEBCOJEBFJRKN-VXGBXAGGSA-N -1 1 337.424 -0.138 20 0 EBADMM C[C@@H]1CN(Cc2ccon2)C[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001080774585 812218922 /nfs/dbraw/zinc/21/89/22/812218922.db2.gz YVBAPAOCGKQXET-BXKDBHETSA-N -1 1 343.347 -0.344 20 0 EBADMM Cc1cc(CC(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)[nH]n1 ZINC001233673927 812327832 /nfs/dbraw/zinc/32/78/32/812327832.db2.gz LLRZYJYGPWCCKW-LBPRGKRZSA-N -1 1 333.396 -0.585 20 0 EBADMM O=C(NC1(CO)CCN(C(=O)c2ncccc2[O-])CC1)c1cnon1 ZINC001080961285 812398315 /nfs/dbraw/zinc/39/83/15/812398315.db2.gz CSBHRACEPWJWLN-UHFFFAOYSA-N -1 1 347.331 -0.433 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)CCCn1ccnn1 ZINC001235242554 812475666 /nfs/dbraw/zinc/47/56/66/812475666.db2.gz FPYMGNIHFCBPJT-LBPRGKRZSA-N -1 1 348.411 -0.787 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)Cc1cncs1 ZINC001235602593 812500600 /nfs/dbraw/zinc/50/06/00/812500600.db2.gz RCBPNNSREMAUPL-JTQLQIEISA-N -1 1 336.421 -0.160 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cccnc1 ZINC001081265591 812641699 /nfs/dbraw/zinc/64/16/99/812641699.db2.gz CWAMWHKCDAVMRP-VXGBXAGGSA-N -1 1 332.364 -0.867 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ccccc1 ZINC001081296147 812679383 /nfs/dbraw/zinc/67/93/83/812679383.db2.gz AWZUWVCPGKHTDM-CHWSQXEVSA-N -1 1 331.376 -0.262 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H](C(F)(F)F)[C@H](CO)C1 ZINC001258040049 812777363 /nfs/dbraw/zinc/77/73/63/812777363.db2.gz FWSKLSMQEAKNQV-UWVGGRQHSA-N -1 1 349.309 -0.054 20 0 EBADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]c1cc(=O)[nH]c(=O)n1C ZINC001258458088 812793792 /nfs/dbraw/zinc/79/37/92/812793792.db2.gz NLQPYURUAFZQQK-UHFFFAOYSA-N -1 1 333.757 -0.018 20 0 EBADMM CCOC(=O)CC[C@H]([N-]S(=O)(=O)CC(=O)OC)C(=O)OCC ZINC001259029949 812804443 /nfs/dbraw/zinc/80/44/43/812804443.db2.gz ISGZRLIKGDSPME-VIFPVBQESA-N -1 1 339.366 -0.646 20 0 EBADMM O=S(=O)([N-][C@@H]1C[C@H](CO)[C@@H](O)[C@H]1O)c1cc(Cl)ccc1F ZINC001259459664 812810648 /nfs/dbraw/zinc/81/06/48/812810648.db2.gz AVBHQHQBPXVCTP-RKAKXNGWSA-N -1 1 339.772 -0.140 20 0 EBADMM O=C(Cc1ccon1)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001081365523 812811200 /nfs/dbraw/zinc/81/12/00/812811200.db2.gz PEMQGFCRTUEDCM-GWCFXTLKSA-N -1 1 346.343 -0.433 20 0 EBADMM CCOCCC(=O)N1C[C@@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001081426051 812917602 /nfs/dbraw/zinc/91/76/02/812917602.db2.gz ZMDSWNJREYKLLL-WCQYABFASA-N -1 1 337.376 -0.237 20 0 EBADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H](C)N3C(=O)CCC3=O)nc2n1 ZINC001261780943 812983851 /nfs/dbraw/zinc/98/38/51/812983851.db2.gz BYNYCUXZAFBCKO-QMMMGPOBSA-N -1 1 346.347 -0.154 20 0 EBADMM CCN(CCO)C(=O)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC001261855266 812997011 /nfs/dbraw/zinc/99/70/11/812997011.db2.gz NRBKPPCCLXMUAE-LBPRGKRZSA-N -1 1 339.314 -0.503 20 0 EBADMM CO[N-]C(=O)CNC(=O)C(=O)NC[C@@H](c1ccc(C)cc1)N(C)C ZINC001262229137 813050706 /nfs/dbraw/zinc/05/07/06/813050706.db2.gz VDGMPDLYNVSLKK-ZDUSSCGKSA-N -1 1 336.392 -0.492 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ncccc1C ZINC001081497816 813069319 /nfs/dbraw/zinc/06/93/19/813069319.db2.gz FIRZGHQYCJVQCS-VXGBXAGGSA-N -1 1 346.391 -0.559 20 0 EBADMM CC(C)CC(=O)N1CCN(C(=O)[C@]2(C(=O)[O-])CNCCO2)CC1 ZINC001263070349 813107150 /nfs/dbraw/zinc/10/71/50/813107150.db2.gz TYYYKEWGCNJAOF-HNNXBMFYSA-N -1 1 327.381 -0.854 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2CC[C@@H](Cc3nccs3)C2)CNCCO1 ZINC001263247890 813110168 /nfs/dbraw/zinc/11/01/68/813110168.db2.gz MIGPLGVCFVXRCD-IINYFYTJSA-N -1 1 325.390 -0.023 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cc1ccc(C)o1 ZINC001081554514 813170869 /nfs/dbraw/zinc/17/08/69/813170869.db2.gz BUXILFSURPBARV-CHWSQXEVSA-N -1 1 349.391 -0.432 20 0 EBADMM CO[C@@H]1CN([C@@H](C)C(=O)NC2CC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001081576309 813217688 /nfs/dbraw/zinc/21/76/88/813217688.db2.gz WVDXXIPAGPNADD-ZKYQVNSYSA-N -1 1 348.403 -0.117 20 0 EBADMM CO[C@@H]1CN(Cc2ncnn2C)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001081578830 813226767 /nfs/dbraw/zinc/22/67/67/813226767.db2.gz YKJVQQLXSIVQKT-ZYHUDNBSSA-N -1 1 332.364 -0.455 20 0 EBADMM CCN(CCCNC(=O)[C@@H](C)[C@@H]1CCC(=O)N1)Cc1n[nH]c(=O)[n-]1 ZINC001265134584 813245504 /nfs/dbraw/zinc/24/55/04/813245504.db2.gz ZMTBROSITJIDTF-QWRGUYRKSA-N -1 1 338.412 -0.247 20 0 EBADMM CCN(CCCNC(=O)[C@@H](C)[C@H]1CCC(=O)N1)Cc1n[nH]c(=O)[n-]1 ZINC001265134585 813245552 /nfs/dbraw/zinc/24/55/52/813245552.db2.gz ZMTBROSITJIDTF-WDEREUQCSA-N -1 1 338.412 -0.247 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(-c2cccnc2)c1)NCc1n[nH]c(=O)[n-]1 ZINC001265784472 813370759 /nfs/dbraw/zinc/37/07/59/813370759.db2.gz ZGNBAGDZJPWJBZ-JTQLQIEISA-N -1 1 342.363 -0.001 20 0 EBADMM C[C@@H](CNC(=O)c1ncn(-c2ccccc2)n1)NCc1n[nH]c(=O)[n-]1 ZINC001265788751 813371492 /nfs/dbraw/zinc/37/14/92/813371492.db2.gz RYSKJRNXTULQIH-JTQLQIEISA-N -1 1 342.363 -0.001 20 0 EBADMM O=C(CN1CCCC1=O)NCCCN(Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001266120837 813461229 /nfs/dbraw/zinc/46/12/29/813461229.db2.gz NUDUJSHWZLJRKC-UHFFFAOYSA-N -1 1 336.396 -0.397 20 0 EBADMM CCn1ncc(C(=O)NCCCN(Cc2n[nH]c(=O)[n-]2)C2CC2)n1 ZINC001266135751 813464200 /nfs/dbraw/zinc/46/42/00/813464200.db2.gz WGVTXMPAMQZGGF-UHFFFAOYSA-N -1 1 334.384 -0.094 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ccns1 ZINC001081751355 813512548 /nfs/dbraw/zinc/51/25/48/813512548.db2.gz BZTFWPZPAUXEHN-RKDXNWHRSA-N -1 1 338.393 -0.806 20 0 EBADMM CO[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@@H]1CCCCN1C ZINC001081856776 813642561 /nfs/dbraw/zinc/64/25/61/813642561.db2.gz KOMQAHFPFQCBBJ-GRYCIOLGSA-N -1 1 338.412 -0.690 20 0 EBADMM Cc1occc1C(=O)NCC(=O)N(C)CCNCc1n[nH]c(=O)[n-]1 ZINC001266708070 813647075 /nfs/dbraw/zinc/64/70/75/813647075.db2.gz ALMVLYCMPNQWSW-UHFFFAOYSA-N -1 1 336.352 -0.610 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@]12C[C@H]1CCC2 ZINC001081963371 813694394 /nfs/dbraw/zinc/69/43/94/813694394.db2.gz XEJRMGZUUAJNRX-DSZLRUIBSA-N -1 1 335.408 -0.386 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)CNC(=O)CC1CCCC1 ZINC001266898022 813694987 /nfs/dbraw/zinc/69/49/87/813694987.db2.gz WTYDLIGCNCAAEP-UHFFFAOYSA-N -1 1 338.412 -0.245 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1ccc2c(c1)NC(=O)CO2 ZINC001267386248 813869898 /nfs/dbraw/zinc/86/98/98/813869898.db2.gz ZZQVWZRGGGTXAL-UHFFFAOYSA-N -1 1 346.347 -0.297 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1coc2c1C(=O)NCCC2 ZINC001267407979 813881337 /nfs/dbraw/zinc/88/13/37/813881337.db2.gz YIYKQHSYGDMXRJ-UHFFFAOYSA-N -1 1 348.363 -0.359 20 0 EBADMM CCn1cccc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1OC ZINC001082371647 813926867 /nfs/dbraw/zinc/92/68/67/813926867.db2.gz UDLGSLACFPZRMT-DGCLKSJQSA-N -1 1 348.407 -0.441 20 0 EBADMM C[C@@H]1CN(C(=O)C[C@@H]2CCCC(=O)N2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082632060 813982379 /nfs/dbraw/zinc/98/23/79/813982379.db2.gz IMPTYHFADDOQSU-OUAUKWLOSA-N -1 1 336.396 -0.494 20 0 EBADMM CO[C@H](C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1)c1cnn(C)c1 ZINC001082666878 813987643 /nfs/dbraw/zinc/98/76/43/813987643.db2.gz QRMIQPRXDGGPMO-XWIASGKRSA-N -1 1 349.395 -0.432 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1CN(Cc2cscn2)C1 ZINC001267695400 813991838 /nfs/dbraw/zinc/99/18/38/813991838.db2.gz VGJQERPUJSUGIM-UHFFFAOYSA-N -1 1 349.416 -0.369 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2CCC(=O)N(C)C2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082944656 814029623 /nfs/dbraw/zinc/02/96/23/814029623.db2.gz DZDIMRLJGPYRLY-OUAUKWLOSA-N -1 1 336.396 -0.685 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)c4cccs4)[C@H]3C2)nc1=O ZINC001082986281 814040839 /nfs/dbraw/zinc/04/08/39/814040839.db2.gz UHSVBFCIRRXCLK-WDEREUQCSA-N -1 1 349.416 -0.105 20 0 EBADMM C[C@@H](C(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21)C1CC1 ZINC001083038386 814084056 /nfs/dbraw/zinc/08/40/56/814084056.db2.gz DJUSAERJIAHTJD-KGYLQXTDSA-N -1 1 335.408 -0.434 20 0 EBADMM CC[C@@H](C(N)=O)N1C[C@H]2OCCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC001083059990 814098303 /nfs/dbraw/zinc/09/83/03/814098303.db2.gz GUXUJABNMKRFRZ-GMXVVIOVSA-N -1 1 334.376 -0.424 20 0 EBADMM CC(C)C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CCCNC1=O ZINC001268103568 814127112 /nfs/dbraw/zinc/12/71/12/814127112.db2.gz CUJHWBTZMBRIFY-QWRGUYRKSA-N -1 1 338.412 -0.343 20 0 EBADMM CC[C@@H](F)C(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21 ZINC001083164977 814175611 /nfs/dbraw/zinc/17/56/11/814175611.db2.gz JPIVUUZEHBHIEN-OUAUKWLOSA-N -1 1 327.360 -0.732 20 0 EBADMM O=C(NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1)c1ccc(F)c(F)c1F ZINC001268323528 814200775 /nfs/dbraw/zinc/20/07/75/814200775.db2.gz OJWGLFFTEVUEQX-LURJTMIESA-N -1 1 345.281 -0.192 20 0 EBADMM Cc1cc(=O)c(C(=O)NC/C=C/CNCc2nc(=O)n(C)[n-]2)cn1C ZINC001268553622 814289852 /nfs/dbraw/zinc/28/98/52/814289852.db2.gz ZDSZPAWULXRCKI-SNAWJCMRSA-N -1 1 346.391 -0.809 20 0 EBADMM Cc1cscc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001083390100 814366869 /nfs/dbraw/zinc/36/68/69/814366869.db2.gz CBZPCJGRSOIIGA-MNOVXSKESA-N -1 1 337.405 -0.547 20 0 EBADMM Cc1cc(C)c(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)o1 ZINC001083395435 814367710 /nfs/dbraw/zinc/36/77/10/814367710.db2.gz QSCDNXSGCZVTOZ-MNOVXSKESA-N -1 1 335.364 -0.707 20 0 EBADMM O=C(CN1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1)Nc1ccon1 ZINC001083422475 814402801 /nfs/dbraw/zinc/40/28/01/814402801.db2.gz YYZVTAWTVYIKNC-KOLCDFICSA-N -1 1 347.331 -0.811 20 0 EBADMM Cc1cnc(CN2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)nc1 ZINC001083423035 814403285 /nfs/dbraw/zinc/40/32/85/814403285.db2.gz AWLGSOKGXUCJJL-YPMHNXCESA-N -1 1 329.360 -0.139 20 0 EBADMM CCn1ncc(CN2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1 ZINC001083423189 814403345 /nfs/dbraw/zinc/40/33/45/814403345.db2.gz JGMOOIMMQQCHIS-YPMHNXCESA-N -1 1 332.364 -0.626 20 0 EBADMM COCCCN1CC2(CN(C(=O)c3ncccc3[O-])C2)OCC1=O ZINC001268842480 814409460 /nfs/dbraw/zinc/40/94/60/814409460.db2.gz MSDJQSGHYOZHHM-UHFFFAOYSA-N -1 1 335.360 -0.123 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@H]3CCCC34CC4)[C@@H](O)C2)nc1=O ZINC001083567050 814568822 /nfs/dbraw/zinc/56/88/22/814568822.db2.gz LNGCTLBCBMZWOZ-UTUOFQBUSA-N -1 1 335.408 -0.650 20 0 EBADMM CN(C)C(=O)CNC(=O)[C@H]1CC12CN(C(=O)c1ncccc1[O-])C2 ZINC001269345606 814650393 /nfs/dbraw/zinc/65/03/93/814650393.db2.gz DAPPPDYJCINPKC-SNVBAGLBSA-N -1 1 332.360 -0.546 20 0 EBADMM Cc1cncc(C)c1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001083640038 814650597 /nfs/dbraw/zinc/65/05/97/814650597.db2.gz WWCGTVJJQYKGAG-NEPJUHHUSA-N -1 1 346.391 -0.905 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCOC[C@]2(CC(=O)N(C3CCCC3)C2)C1 ZINC001269576600 814734561 /nfs/dbraw/zinc/73/45/61/814734561.db2.gz PUEOIXHXMPHXDL-MRXNPFEDSA-N -1 1 348.407 -0.238 20 0 EBADMM CC(C)CN1C(=O)C[C@@]2(CCCN(C(=O)Cc3nn[n-]n3)C2)C1=O ZINC001269577472 814735441 /nfs/dbraw/zinc/73/54/41/814735441.db2.gz JSITZEJPIWWBCV-OAHLLOKOSA-N -1 1 334.380 -0.234 20 0 EBADMM Cc1ncc(CO)c(C(=O)N2CCn3cc(C(N)=O)nc3C2)c1[O-] ZINC001269979675 815655223 /nfs/dbraw/zinc/65/52/23/815655223.db2.gz LCJGKNVPERYSRW-UHFFFAOYSA-N -1 1 331.332 -0.461 20 0 EBADMM Cc1ncc(CO)c(C(=O)N2CCc3onc(C(N)=O)c3C2)c1[O-] ZINC001269980923 815655467 /nfs/dbraw/zinc/65/54/67/815655467.db2.gz XGOZBVVRCVSTTP-UHFFFAOYSA-N -1 1 332.316 -0.127 20 0 EBADMM Cn1nnnc1NC(=O)[C@@H]1CC12CN(C(=O)c1ccc([O-])cn1)C2 ZINC001270138656 815697583 /nfs/dbraw/zinc/69/75/83/815697583.db2.gz HNQUXSZLNDJWEC-VIFPVBQESA-N -1 1 329.320 -0.589 20 0 EBADMM CN(C)C(=O)CNC(=O)[C@H]1CC12CN(C(=O)c1ccc([O-])cn1)C2 ZINC001270138302 815697692 /nfs/dbraw/zinc/69/76/92/815697692.db2.gz BZJJUEFZABHLDJ-LLVKDONJSA-N -1 1 332.360 -0.546 20 0 EBADMM CN(C)C(=O)CNC(=O)[C@@H]1CC12CN(C(=O)c1ccc([O-])cn1)C2 ZINC001270138303 815697937 /nfs/dbraw/zinc/69/79/37/815697937.db2.gz BZJJUEFZABHLDJ-NSHDSACASA-N -1 1 332.360 -0.546 20 0 EBADMM O=C(Cc1nnn[n-]1)N1CC[C@H]2CN(Cc3cccc(=O)[nH]3)C[C@H]21 ZINC001270182461 815712824 /nfs/dbraw/zinc/71/28/24/815712824.db2.gz FAUGTYIYSHTVSF-CMPLNLGQSA-N -1 1 329.364 -0.424 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC2(CCN2C(=O)NCC(F)(F)F)C1 ZINC001270185465 815713888 /nfs/dbraw/zinc/71/38/88/815713888.db2.gz DJUDZXGWPYUESI-UHFFFAOYSA-N -1 1 333.274 -0.699 20 0 EBADMM O=C(CCc1ccc[nH]1)N1CCC12CN(C(=O)Cc1nn[n-]n1)C2 ZINC001270183513 815714134 /nfs/dbraw/zinc/71/41/34/815714134.db2.gz XYCZYBUEQKUNSD-UHFFFAOYSA-N -1 1 329.364 -0.484 20 0 EBADMM O=C(Cc1nn[n-]n1)N1[C@@H]2CC[C@H]1CN(C(=O)CCc1ccc[nH]1)C2 ZINC001270183243 815714959 /nfs/dbraw/zinc/71/49/59/815714959.db2.gz UTAUJGOWNYGMPC-BETUJISGSA-N -1 1 343.391 -0.095 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC2(C1)CCN(C(=O)NCC(F)(F)F)C2 ZINC001270186019 815715827 /nfs/dbraw/zinc/71/58/27/815715827.db2.gz OVMAUFKIBYJJBM-UHFFFAOYSA-N -1 1 347.301 -0.452 20 0 EBADMM CN(C)S(=O)(=O)N1CC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001270258331 815736345 /nfs/dbraw/zinc/73/63/45/815736345.db2.gz QACQGKVFLHJJCZ-UHFFFAOYSA-N -1 1 326.378 -0.649 20 0 EBADMM O=C(Cn1nn[n-]c1=S)N1C[C@H]2CN(C3CCCC3)C[C@@H](C1)O2 ZINC001270303576 815746560 /nfs/dbraw/zinc/74/65/60/815746560.db2.gz XODLJMJLGHCHDE-TXEJJXNPSA-N -1 1 338.437 -0.184 20 0 EBADMM C=C/C(C)=C/CC(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001270674089 815853139 /nfs/dbraw/zinc/85/31/39/815853139.db2.gz KNERXTXQCDGKKZ-YFTXEMMHSA-N -1 1 335.408 -0.052 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4cc[n+]([O-])cc4)[C@@H]3C2)nc1=O ZINC001084170397 815860341 /nfs/dbraw/zinc/86/03/41/815860341.db2.gz XXRBBLVMBOPMBQ-CHWSQXEVSA-N -1 1 344.375 -0.912 20 0 EBADMM CCc1cc(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)[nH]n1 ZINC001084199908 815863644 /nfs/dbraw/zinc/86/36/44/815863644.db2.gz VRFOGVBOHRGZDE-ZWNOBZJWSA-N -1 1 345.407 -0.260 20 0 EBADMM Cc1nc(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)c[nH]1 ZINC001084233533 815871290 /nfs/dbraw/zinc/87/12/90/815871290.db2.gz DOCSEBJCTZFLOR-ZYHUDNBSSA-N -1 1 331.380 -0.514 20 0 EBADMM Cn1ccc(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)c1 ZINC001084277880 815879354 /nfs/dbraw/zinc/87/93/54/815879354.db2.gz ACDYJPKFGKEWPP-DGCLKSJQSA-N -1 1 330.392 -0.207 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC2(C[C@@H]2C(=O)Nc2nnco2)CC1 ZINC001270784043 815887692 /nfs/dbraw/zinc/88/76/92/815887692.db2.gz CWDSRKIKZMPCKG-MRVPVSSYSA-N -1 1 332.324 -0.607 20 0 EBADMM CC(C)(C)NC(=O)c1[nH]nc2c1CN(C(=O)Cc1nn[n-]n1)CC2 ZINC001270784429 815888259 /nfs/dbraw/zinc/88/82/59/815888259.db2.gz IVSKZBJJSANCGH-UHFFFAOYSA-N -1 1 332.368 -0.422 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@H]2C[C@]21C(=O)N1CC(F)(C2CC2)C1 ZINC001270788319 815890009 /nfs/dbraw/zinc/89/00/09/815890009.db2.gz DUVGDFDOFSBGCB-ZUZCIYMTSA-N -1 1 334.355 -0.306 20 0 EBADMM C[C@H]1c2ncc(CC(=O)N(C)C)n2CCN1C(=O)Cc1nn[n-]n1 ZINC001270787903 815890552 /nfs/dbraw/zinc/89/05/52/815890552.db2.gz APQGALKVGYODBP-VIFPVBQESA-N -1 1 332.368 -0.827 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCc2c([nH]nc2C(=O)Nc2cn[nH]c2)C1 ZINC001270786090 815890990 /nfs/dbraw/zinc/89/09/90/815890990.db2.gz ZMLQVHGZLURNBO-UHFFFAOYSA-N -1 1 342.323 -0.974 20 0 EBADMM O=C(Cc1nn[n-]n1)N[C@@H]1[C@H]2CC[C@H](C2)[C@@H]1C(=O)NCc1c[nH]cn1 ZINC001270789956 815893199 /nfs/dbraw/zinc/89/31/99/815893199.db2.gz YIMXERFPXPKKLV-OBPYKSBLSA-N -1 1 344.379 -0.687 20 0 EBADMM Cn1ccc(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)n1 ZINC001084830755 815990243 /nfs/dbraw/zinc/99/02/43/815990243.db2.gz GWWLZFSLCAOFJK-ZYHUDNBSSA-N -1 1 331.380 -0.812 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)[C@@H]4CCCOC4)C[C@@H]32)nc1=O ZINC001084903941 816000905 /nfs/dbraw/zinc/00/09/05/816000905.db2.gz XAQSVNNCYBJLCC-MCIONIFRSA-N -1 1 349.435 -0.042 20 0 EBADMM C[C@@H]1CCO[C@@H]1C(=O)N1CC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1 ZINC001085036206 816016061 /nfs/dbraw/zinc/01/60/61/816016061.db2.gz TUXBZCSVXHVFNN-CXTNEJHOSA-N -1 1 349.435 -0.044 20 0 EBADMM O=C(N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)[C@H]1CCCC(=O)N1 ZINC001085236893 816032108 /nfs/dbraw/zinc/03/21/08/816032108.db2.gz XTNXBCRSPNFFIP-GMTAPVOTSA-N -1 1 336.396 -0.304 20 0 EBADMM Cc1ncncc1C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085499295 816057940 /nfs/dbraw/zinc/05/79/40/816057940.db2.gz YVBZVALEWWESKV-NSHDSACASA-N -1 1 331.380 -0.447 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1cnc2n1CCC2 ZINC001085647301 816096554 /nfs/dbraw/zinc/09/65/54/816096554.db2.gz IABACMUJLWPWEN-LLVKDONJSA-N -1 1 345.407 -0.402 20 0 EBADMM Cc1ncn(C)c1C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085655583 816100580 /nfs/dbraw/zinc/10/05/80/816100580.db2.gz STEQVWKQEYUIOE-LLVKDONJSA-N -1 1 333.396 -0.503 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1cccc(=O)n1C ZINC001085810535 816152893 /nfs/dbraw/zinc/15/28/93/816152893.db2.gz HIQSGAVAUNIDJL-NSHDSACASA-N -1 1 346.391 -0.846 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085878258 816169293 /nfs/dbraw/zinc/16/92/93/816169293.db2.gz XKZSTRYXOIRERM-LLVKDONJSA-N -1 1 333.396 -0.205 20 0 EBADMM CCc1cc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)nn1C ZINC001086003469 816209315 /nfs/dbraw/zinc/20/93/15/816209315.db2.gz IRLSMXQJILWNKE-GFCCVEGCSA-N -1 1 347.423 -0.249 20 0 EBADMM O=C(c1ccc(F)c([O-])c1)N1CC2(C1)COCC(=O)N2C1COC1 ZINC001272227708 816362466 /nfs/dbraw/zinc/36/24/66/816362466.db2.gz RMIIQYQCWMKMHW-UHFFFAOYSA-N -1 1 336.319 -0.017 20 0 EBADMM O=C(N(CCO)CCNCc1n[nH]c(=O)[n-]1)C1(c2cccnc2)CC1 ZINC001272236675 816364127 /nfs/dbraw/zinc/36/41/27/816364127.db2.gz ZIZJRBIWDVDJIT-UHFFFAOYSA-N -1 1 346.391 -0.452 20 0 EBADMM CN1C[C@@H](C(=O)N2CC[C@@H](CCNCc3n[nH]c(=O)[n-]3)C2)CC1=O ZINC001272449807 816435726 /nfs/dbraw/zinc/43/57/26/816435726.db2.gz WALMGXDVQPKJLO-MNOVXSKESA-N -1 1 336.396 -0.683 20 0 EBADMM Cn1ncnc1C(=O)N1C[C@@H]2CCN(C(=O)c3cncc([O-])c3)[C@@H]2C1 ZINC001272673660 816505656 /nfs/dbraw/zinc/50/56/56/816505656.db2.gz QSIZPHNFNDITOM-GXFFZTMASA-N -1 1 342.359 -0.098 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2cn(C)cn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087541481 816530087 /nfs/dbraw/zinc/53/00/87/816530087.db2.gz SLRKYPVKOCTDLV-CMPLNLGQSA-N -1 1 333.396 -0.375 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2cn(C)nn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087560802 816532770 /nfs/dbraw/zinc/53/27/70/816532770.db2.gz PCHUBKKSYUXNAH-GXSJLCMTSA-N -1 1 334.384 -0.980 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)Cn2c(=O)[n-][nH]c2=O)CCN1Cc1ccnn1C ZINC001087811379 816561920 /nfs/dbraw/zinc/56/19/20/816561920.db2.gz RJDYLQFEBJFVIH-NWDGAFQWSA-N -1 1 349.395 -0.408 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4cnon4)C[C@@H]3C2)nc1=O ZINC001088145345 816635852 /nfs/dbraw/zinc/63/58/52/816635852.db2.gz AQEVAVBSKLWSFV-ZJUUUORDSA-N -1 1 333.352 -0.915 20 0 EBADMM Cn1cc(C(=O)N2CCCCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)nn1 ZINC001088309344 816661869 /nfs/dbraw/zinc/66/18/69/816661869.db2.gz WHFXBFOZOXSJQH-SNVBAGLBSA-N -1 1 334.384 -0.187 20 0 EBADMM Cc1[nH]nc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)c1C ZINC001088496565 816691800 /nfs/dbraw/zinc/69/18/00/816691800.db2.gz CQNKLTSMIIMGEV-MNOVXSKESA-N -1 1 333.396 -0.159 20 0 EBADMM CN(CCCN(C)C(=O)CCn1cc[n-]c(=O)c1=O)Cc1ccon1 ZINC001273571364 816700322 /nfs/dbraw/zinc/70/03/22/816700322.db2.gz FHUXVXDMWJRHRD-UHFFFAOYSA-N -1 1 349.391 -0.105 20 0 EBADMM Cc1ccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)nn1 ZINC001088560748 816703184 /nfs/dbraw/zinc/70/31/84/816703184.db2.gz GUKLXOWDJTUBNW-MNOVXSKESA-N -1 1 331.380 -0.400 20 0 EBADMM Cc1ncc(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)o1 ZINC001088619504 816713215 /nfs/dbraw/zinc/71/32/15/816713215.db2.gz OSQPIDCPTMDLKO-SKDRFNHKSA-N -1 1 334.380 -0.273 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cc3n(n2)CCO3)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088624793 816714627 /nfs/dbraw/zinc/71/46/27/816714627.db2.gz AVITUQFTTWVNQY-ZJUUUORDSA-N -1 1 347.379 -0.910 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001088808689 816746266 /nfs/dbraw/zinc/74/62/66/816746266.db2.gz OYCMVIFDJRBYES-ZJUUUORDSA-N -1 1 347.379 -0.695 20 0 EBADMM CCn1cnc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)c1 ZINC001088984851 816775341 /nfs/dbraw/zinc/77/53/41/816775341.db2.gz XUAKNFJNSNQRBB-MNOVXSKESA-N -1 1 333.396 -0.282 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCCN1C(=O)c1ccnn1C ZINC001089137099 816807838 /nfs/dbraw/zinc/80/78/38/816807838.db2.gz SCDFJROMKREIPV-LBPRGKRZSA-N -1 1 347.423 -0.031 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCCN1C(=O)c1cncn1C ZINC001089197838 816815662 /nfs/dbraw/zinc/81/56/62/816815662.db2.gz VSURDPRISXQTDB-LBPRGKRZSA-N -1 1 347.423 -0.031 20 0 EBADMM CC1(C)C[C@@H](NC(=O)Cn2nccn2)CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001089398938 816836711 /nfs/dbraw/zinc/83/67/11/816836711.db2.gz PQIFCRXUOGZLMU-NSHDSACASA-N -1 1 348.411 -0.091 20 0 EBADMM O=C(c1c[nH]c(=O)c(=O)[n-]1)N1CC[C@H]2CN(Cc3ccc[nH]3)C[C@H]21 ZINC001274502435 816985736 /nfs/dbraw/zinc/98/57/36/816985736.db2.gz SKYBFGOYDGMKMD-GXFFZTMASA-N -1 1 329.360 -0.262 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ccccc3O)[C@H](O)C2)nc1=O ZINC001090083799 817016144 /nfs/dbraw/zinc/01/61/44/817016144.db2.gz PAVZFFHBQCJRGY-WCQYABFASA-N -1 1 347.375 -0.821 20 0 EBADMM CCn1cc(CN2CC[C@@H](NC(=O)c3ncccc3[O-])[C@H](O)C2)nn1 ZINC001090212784 817128745 /nfs/dbraw/zinc/12/87/45/817128745.db2.gz FTFRUOCYDKHWSY-TZMCWYRMSA-N -1 1 346.391 -0.236 20 0 EBADMM Cn1cc(CN2CC[C@@H](NC(=O)c3ncccc3[O-])[C@H](O)C2)cn1 ZINC001090215457 817131781 /nfs/dbraw/zinc/13/17/81/817131781.db2.gz FDMJYIVZSGDVOJ-TZMCWYRMSA-N -1 1 331.376 -0.114 20 0 EBADMM Cc1ccc([O-])c(C(=O)N2CCn3c(nnc3C(N)=O)C[C@@H]2C)n1 ZINC001274975056 817134984 /nfs/dbraw/zinc/13/49/84/817134984.db2.gz RIJORQCDSLWQGR-VIFPVBQESA-N -1 1 330.348 -0.127 20 0 EBADMM Cn1nncc1CN1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001090217316 817136614 /nfs/dbraw/zinc/13/66/14/817136614.db2.gz PVTRHFPLXITGAS-DGCLKSJQSA-N -1 1 332.364 -0.719 20 0 EBADMM O=C(N[C@@H]1CCN(Cc2ncccn2)C[C@H]1O)c1ncccc1[O-] ZINC001090217834 817137589 /nfs/dbraw/zinc/13/75/89/817137589.db2.gz RXCQKYQPEKMGDQ-DGCLKSJQSA-N -1 1 329.360 -0.058 20 0 EBADMM Cc1ccn(C)c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001090218965 817141197 /nfs/dbraw/zinc/14/11/97/817141197.db2.gz LEJOUVLNSGOVOC-NWDGAFQWSA-N -1 1 348.407 -0.879 20 0 EBADMM NC(=O)[C@@H]1CCn2nccc2CN1C(=O)c1c[nH]c(=S)[n-]c1=O ZINC001275247091 817176100 /nfs/dbraw/zinc/17/61/00/817176100.db2.gz FUZYWCGSMMHVSO-VIFPVBQESA-N -1 1 334.361 -0.433 20 0 EBADMM CCc1[nH]ccc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001090245500 817177604 /nfs/dbraw/zinc/17/76/04/817177604.db2.gz DNXDDRPFJVVZHD-OLZOCXBDSA-N -1 1 348.407 -0.636 20 0 EBADMM O=C(NCCn1ccnn1)[C@H]1CC12CN(C(=O)c1cncc([O-])c1)C2 ZINC001275622417 817263533 /nfs/dbraw/zinc/26/35/33/817263533.db2.gz AFKFCZTWQSOSFG-CYBMUJFWSA-N -1 1 342.359 -0.343 20 0 EBADMM CN(C)C(=O)CNC(=O)[C@]12C[C@H]1CCN2C(=O)c1cncc([O-])c1 ZINC001275623492 817263671 /nfs/dbraw/zinc/26/36/71/817263671.db2.gz LWGURKDTQZHGPM-BZNIZROVSA-N -1 1 332.360 -0.404 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C3(C4CC4)CC3)[C@@H](O)C2)nc1=O ZINC001090347140 817289063 /nfs/dbraw/zinc/28/90/63/817289063.db2.gz MUPNADSVONTBAN-RYUDHWBXSA-N -1 1 335.408 -0.650 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@H]3C[C@H]4C[C@H]4C3)[C@H](O)C2)nc1=O ZINC001090505974 817490980 /nfs/dbraw/zinc/49/09/80/817490980.db2.gz HYPPGRQOBPLXJX-KSSYENDESA-N -1 1 335.408 -0.794 20 0 EBADMM CCc1ccoc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001090544855 817528136 /nfs/dbraw/zinc/52/81/36/817528136.db2.gz FEXQCIBPVFQGBG-NWDGAFQWSA-N -1 1 349.391 -0.371 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C3(C)CC=CC3)[C@@H](O)C2)nc1=O ZINC001090551395 817532337 /nfs/dbraw/zinc/53/23/37/817532337.db2.gz YXHHTVSVEBWNCE-RYUDHWBXSA-N -1 1 335.408 -0.484 20 0 EBADMM C[C@H](CCC[NH2+]Cc1nc(=O)n(C)[n-]1)NC(=O)c1cn(C)ccc1=O ZINC001277247402 817537823 /nfs/dbraw/zinc/53/78/23/817537823.db2.gz UYHZNHOTQZPBCF-LLVKDONJSA-N -1 1 348.407 -0.505 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c(C)[nH]1 ZINC001090606461 817580192 /nfs/dbraw/zinc/58/01/92/817580192.db2.gz QXOQTKDHUNAFMD-STQMWFEESA-N -1 1 348.407 -0.581 20 0 EBADMM Cc1cnoc1C(=O)NCCOCCN(C)Cc1nc(=O)n(C)[n-]1 ZINC001277528901 817593951 /nfs/dbraw/zinc/59/39/51/817593951.db2.gz RIVJJAMYMVOWDO-UHFFFAOYSA-N -1 1 338.368 -0.717 20 0 EBADMM Cn1[n-]c(CN2CC(O)(CNC(=O)/C=C\c3ccco3)C2)nc1=O ZINC001278832933 817857590 /nfs/dbraw/zinc/85/75/90/817857590.db2.gz FEZVAFKCEWINRO-PLNGDYQASA-N -1 1 333.348 -0.922 20 0 EBADMM Cc1ncoc1CNC1CC(CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001091122018 817893526 /nfs/dbraw/zinc/89/35/26/817893526.db2.gz ZPWINMZKFHMAFU-UHFFFAOYSA-N -1 1 334.336 -0.123 20 0 EBADMM C[C@@H]1C[C@H](C(=O)N(C)CCOCCNCc2n[nH]c(=O)[n-]2)CCO1 ZINC001279450967 817934921 /nfs/dbraw/zinc/93/49/21/817934921.db2.gz XREIAQULIUGXBM-VXGBXAGGSA-N -1 1 341.412 -0.110 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)[C@@]3(F)CCOC3)CC2)nc1=O ZINC001279622360 817959818 /nfs/dbraw/zinc/95/98/18/817959818.db2.gz VRDKZUYHBKTXEN-OAHLLOKOSA-N -1 1 339.371 -0.515 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)Cn4cccn4)C[C@]3(C)C2)nc1=O ZINC001091483147 817997386 /nfs/dbraw/zinc/99/73/86/817997386.db2.gz YXALNAGEDLFALY-WBMJQRKESA-N -1 1 345.407 -0.715 20 0 EBADMM C[C@H]1C[C@H](C(=O)N(CCO)CCNCc2n[nH]c(=O)[n-]2)CCO1 ZINC001279870200 817997680 /nfs/dbraw/zinc/99/76/80/817997680.db2.gz YXZDYIJLYZKGPQ-WDEREUQCSA-N -1 1 327.385 -0.764 20 0 EBADMM C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)[C@@H](C)NC(=O)c1ccon1 ZINC001280203352 818026143 /nfs/dbraw/zinc/02/61/43/818026143.db2.gz QUEQJKUAJHZIHG-NXEZZACHSA-N -1 1 349.347 -0.762 20 0 EBADMM CCc1cc(C)nc(NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001093761445 818097514 /nfs/dbraw/zinc/09/75/14/818097514.db2.gz HQCYPAMBUXRZJO-UHFFFAOYSA-N -1 1 342.363 -0.080 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@H]2CC2(F)F)C3)nc1=O ZINC001095390445 818616680 /nfs/dbraw/zinc/61/66/80/818616680.db2.gz FNDQIDFMNACKOK-IMSYWVGJSA-N -1 1 327.335 -0.015 20 0 EBADMM Cc1ccnc(N(C)CCCNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001095592859 818654788 /nfs/dbraw/zinc/65/47/88/818654788.db2.gz QAROLXIIONXWNQ-UHFFFAOYSA-N -1 1 342.363 -0.228 20 0 EBADMM O=C(NCCCNC(=O)[C@H]1CCCOC1)c1cc(=O)n2[n-]cnc2n1 ZINC001283202439 818697180 /nfs/dbraw/zinc/69/71/80/818697180.db2.gz DVDCEVBXDREIAH-JTQLQIEISA-N -1 1 348.363 -0.920 20 0 EBADMM O=C(NCCCNC(=O)C1=COCCC1)c1cc(=O)n2[n-]cnc2n1 ZINC001283204455 818697724 /nfs/dbraw/zinc/69/77/24/818697724.db2.gz UNIKWNNMIDMTRM-UHFFFAOYSA-N -1 1 346.347 -0.652 20 0 EBADMM CCN1C[C@@H](C(=O)NCCCNC(=O)c2ncccc2[O-])CC1=O ZINC001283232193 818710736 /nfs/dbraw/zinc/71/07/36/818710736.db2.gz DJGMSWVVAJMUOI-NSHDSACASA-N -1 1 334.376 -0.108 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCCNC(=O)[C@@H]1CC1(F)F ZINC001283243028 818716178 /nfs/dbraw/zinc/71/61/78/818716178.db2.gz JHCCBWYSGNTDOO-LURJTMIESA-N -1 1 346.290 -0.212 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCN(c2nccnc2F)C1 ZINC001096402632 818817478 /nfs/dbraw/zinc/81/74/78/818817478.db2.gz GASGHXZDEJYATK-SNVBAGLBSA-N -1 1 348.338 -0.749 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CCN(c2ncccn2)C1 ZINC001096403236 818817906 /nfs/dbraw/zinc/81/79/06/818817906.db2.gz OQSWODJQCSBDKR-NSHDSACASA-N -1 1 330.348 -0.888 20 0 EBADMM CN(CCCNC(=O)[C@@H]1CCCNC1=O)C(=O)c1ncccc1[O-] ZINC001283572447 818861607 /nfs/dbraw/zinc/86/16/07/818861607.db2.gz ZQDOERWAJZYCRA-LLVKDONJSA-N -1 1 334.376 -0.108 20 0 EBADMM CCc1cc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)[nH]n1 ZINC001096822721 818891474 /nfs/dbraw/zinc/89/14/74/818891474.db2.gz VCQCYFYNYPXVHT-WZRBSPASSA-N -1 1 345.407 -0.071 20 0 EBADMM Cc1ncncc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001096827843 818891628 /nfs/dbraw/zinc/89/16/28/818891628.db2.gz PKVZPEDQAMKIMH-RTXFEEFZSA-N -1 1 343.391 -0.258 20 0 EBADMM Cc1ocnc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001096859454 818897658 /nfs/dbraw/zinc/89/76/58/818897658.db2.gz JWGOVDDZXODRAV-MXWKQRLJSA-N -1 1 332.364 -0.060 20 0 EBADMM Cc1nccc(N[C@H]2C[C@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)n1 ZINC001097045927 818933328 /nfs/dbraw/zinc/93/33/28/818933328.db2.gz RJAVXYAOXZXONI-HAQNSBGRSA-N -1 1 344.375 -0.216 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)Cc2cnoc2)C3)nc1=O ZINC001097699463 819019555 /nfs/dbraw/zinc/01/95/55/819019555.db2.gz XZRTYUVGEMPKAJ-UTUOFQBUSA-N -1 1 332.364 -0.439 20 0 EBADMM COc1ccnc(N[C@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001097940219 819059379 /nfs/dbraw/zinc/05/93/79/819059379.db2.gz VMWJOJSOKPGPLH-SNVBAGLBSA-N -1 1 348.363 -0.658 20 0 EBADMM Cc1ccnc(N[C@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001097940318 819059438 /nfs/dbraw/zinc/05/94/38/819059438.db2.gz YSFFUSNJNLXJCU-LLVKDONJSA-N -1 1 332.364 -0.358 20 0 EBADMM Cc1[nH]ccc1C(=O)NC[C@H](C)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001284218655 819136203 /nfs/dbraw/zinc/13/62/03/819136203.db2.gz MATGQKOULOHQSH-QMMMGPOBSA-N -1 1 343.347 -0.398 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CC1(C)C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001284225805 819138716 /nfs/dbraw/zinc/13/87/16/819138716.db2.gz DSVBXQOIVHCLAK-WDEREUQCSA-N -1 1 336.392 -0.406 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H](O)CN(C)C(=O)[C@H]1CC=CCC1 ZINC001284484021 819252061 /nfs/dbraw/zinc/25/20/61/819252061.db2.gz YKZMTSRSDXNHQA-QWHCGFSZSA-N -1 1 337.424 -0.284 20 0 EBADMM Cc1nc[nH]c1C(=O)N1CCC(N(CCO)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001098586762 819261576 /nfs/dbraw/zinc/26/15/76/819261576.db2.gz NMKXYCWEUQFPEL-UHFFFAOYSA-N -1 1 349.395 -0.359 20 0 EBADMM Cc1cc(C(=O)N(C)CCNC(=O)CCn2cc[n-]c(=O)c2=O)co1 ZINC001284554951 819285913 /nfs/dbraw/zinc/28/59/13/819285913.db2.gz VBXYLACTYVKQHB-UHFFFAOYSA-N -1 1 348.359 -0.283 20 0 EBADMM CN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)[C@H]1CC12CCC2 ZINC001284554742 819286057 /nfs/dbraw/zinc/28/60/57/819286057.db2.gz QJYUJKIJWDTIDC-GFCCVEGCSA-N -1 1 348.403 -0.309 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCC[C@]3(NC(=O)c3ccn[nH]3)C2)nc1=O ZINC001098737014 819348293 /nfs/dbraw/zinc/34/82/93/819348293.db2.gz VLFGHLMSPNADTC-BONVTDFDSA-N -1 1 331.380 -0.384 20 0 EBADMM Cn1cc(CC(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)cn1 ZINC001284889683 819391050 /nfs/dbraw/zinc/39/10/50/819391050.db2.gz MXFAXBIULOHIQF-UHFFFAOYSA-N -1 1 345.407 -0.667 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCC[C@]3(NC(=O)Cc3cnoc3)C2)nc1=O ZINC001098991861 819402919 /nfs/dbraw/zinc/40/29/19/819402919.db2.gz VXMPOEUHJVEADD-LRDDRELGSA-N -1 1 346.391 -0.190 20 0 EBADMM CC1(C)CC[C@H](CNC(=O)Cc2nnc[nH]2)N(Cc2n[nH]c(=O)[n-]2)C1 ZINC001099304531 819449264 /nfs/dbraw/zinc/44/92/64/819449264.db2.gz RUEPTHRSLFAFJO-SNVBAGLBSA-N -1 1 348.411 -0.022 20 0 EBADMM C[C@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)Nc1cc(F)ncn1 ZINC001099313540 819450166 /nfs/dbraw/zinc/45/01/66/819450166.db2.gz DSPLPTBYEUYUJT-MRVPVSSYSA-N -1 1 346.326 -0.033 20 0 EBADMM CN(C[C@@H]1CCCN1C(=O)c1cnc(C2CC2)[n-]c1=O)C(=O)C(N)=O ZINC001285111368 819483618 /nfs/dbraw/zinc/48/36/18/819483618.db2.gz WFKBBIWAHGAZQO-JTQLQIEISA-N -1 1 347.375 -0.392 20 0 EBADMM C/C=C(/C)C(=O)N[C@H](C)[C@@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285341620 819548935 /nfs/dbraw/zinc/54/89/35/819548935.db2.gz LLYNGDSXNZIJGM-XRHVUQDBSA-N -1 1 336.392 -0.098 20 0 EBADMM CN(CCN(C)C(=O)[C@H]1CCN(C)C1=O)C(=O)c1ncccc1[O-] ZINC001285364755 819555697 /nfs/dbraw/zinc/55/56/97/819555697.db2.gz DWJQCEXRJXBYTI-NSHDSACASA-N -1 1 334.376 -0.204 20 0 EBADMM O=C(NC[C@@H](O)CNC(=O)c1ncccc1[O-])c1ccnc(F)c1 ZINC001285424385 819583876 /nfs/dbraw/zinc/58/38/76/819583876.db2.gz VAYVQYGCZPOLHK-SNVBAGLBSA-N -1 1 334.307 -0.158 20 0 EBADMM C/C(=C\C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O)C1CC1 ZINC001099715209 819613231 /nfs/dbraw/zinc/61/32/31/819613231.db2.gz FAQZPYMAYWOJLD-HKCLJGFPSA-N -1 1 335.408 -0.484 20 0 EBADMM O=C(NC/C=C/CNC(=O)C1CS(=O)(=O)C1)c1ncccc1[O-] ZINC001285529751 819645148 /nfs/dbraw/zinc/64/51/48/819645148.db2.gz ILDXGIUFFYFBNQ-OWOJBTEDSA-N -1 1 339.373 -0.766 20 0 EBADMM CC(C)=C(F)C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001099778608 819687540 /nfs/dbraw/zinc/68/75/40/819687540.db2.gz MRYBRBYQKOMHGP-NXEZZACHSA-N -1 1 327.360 -0.577 20 0 EBADMM CCCNC(=O)CN1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001099782998 819691103 /nfs/dbraw/zinc/69/11/03/819691103.db2.gz JYPWTEZHCHRZBA-AAEUAGOBSA-N -1 1 336.392 -0.522 20 0 EBADMM CC(C)NC(=O)CN1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001099782983 819691511 /nfs/dbraw/zinc/69/15/11/819691511.db2.gz JOIVYVWHWSDYAG-DGCLKSJQSA-N -1 1 336.392 -0.523 20 0 EBADMM O=C(N[C@@H]1CCN(CCNC(=O)C2CC2)C[C@H]1O)c1ncccc1[O-] ZINC001099783465 819692888 /nfs/dbraw/zinc/69/28/88/819692888.db2.gz QVIXSMCAQQCCEZ-TZMCWYRMSA-N -1 1 348.403 -0.522 20 0 EBADMM C[C@@H](CCNC(=O)c1n[nH]c(=O)[n-]c1=O)Nc1ncnc2[nH]cnc21 ZINC001099789104 819700177 /nfs/dbraw/zinc/70/01/77/819700177.db2.gz SRQPQYOCUIJXIF-LURJTMIESA-N -1 1 345.323 -0.430 20 0 EBADMM CC/C=C(/C)C(=O)NC[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285755466 819758375 /nfs/dbraw/zinc/75/83/75/819758375.db2.gz LJXNNSXNHDMUFG-QJGQKNTRSA-N -1 1 336.392 -0.096 20 0 EBADMM C/C=C(\C)C(=O)N1CC([C@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001286203698 819947642 /nfs/dbraw/zinc/94/76/42/819947642.db2.gz RDJRFPKSWVIALW-QNCMIEPLSA-N -1 1 348.403 -0.144 20 0 EBADMM CC(C)=C(C)CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001099949075 819960606 /nfs/dbraw/zinc/96/06/06/819960606.db2.gz LULCXMZKNIQDPT-STQMWFEESA-N -1 1 337.424 -0.094 20 0 EBADMM C=C/C(C)=C/CC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001099953558 819961563 /nfs/dbraw/zinc/96/15/63/819961563.db2.gz BUXUBYPBRJMUKD-PUZDAVHHSA-N -1 1 335.408 -0.318 20 0 EBADMM CCC1(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)CCC1 ZINC001099965475 819969107 /nfs/dbraw/zinc/96/91/07/819969107.db2.gz XOFUNJBVZHNNAU-VXGBXAGGSA-N -1 1 337.424 -0.260 20 0 EBADMM CCc1cc(=O)n2[n-]c(NC(=O)c3ccc(C(=O)NC)nc3)nc2n1 ZINC001287286178 820021213 /nfs/dbraw/zinc/02/12/13/820021213.db2.gz YXLLYAPLRDRMIJ-UHFFFAOYSA-N -1 1 341.331 -0.013 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C3(CF)CCC3)[C@@H](O)C2)nc1=O ZINC001100012870 820039425 /nfs/dbraw/zinc/03/94/25/820039425.db2.gz FGCLPOLSGFTVLR-MNOVXSKESA-N -1 1 341.387 -0.700 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cocn1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001287579898 820075498 /nfs/dbraw/zinc/07/54/98/820075498.db2.gz YLEQEDKRPYNVGD-QMMMGPOBSA-N -1 1 345.319 -0.704 20 0 EBADMM C[C@H]1[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)CCN1C(=O)CC1CC1 ZINC001287851743 820178285 /nfs/dbraw/zinc/17/82/85/820178285.db2.gz HRWPJXSCADIDON-WCQYABFASA-N -1 1 348.403 -0.168 20 0 EBADMM NC(=O)NCC(=O)N1CC=C(CNC(=O)c2ncccc2[O-])CC1 ZINC001288390374 820292257 /nfs/dbraw/zinc/29/22/57/820292257.db2.gz QCHMYTXMFXYBEQ-UHFFFAOYSA-N -1 1 333.348 -0.656 20 0 EBADMM CCN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)c1cc(C)ncn1 ZINC001100572222 820477643 /nfs/dbraw/zinc/47/76/43/820477643.db2.gz ULENAHVQURHQRO-UHFFFAOYSA-N -1 1 346.391 -0.332 20 0 EBADMM Cc1cc(C=O)c([O-])c(C(=O)NCC(=O)NCCN2CCOCC2)c1 ZINC001292873732 820647045 /nfs/dbraw/zinc/64/70/45/820647045.db2.gz VTNULAMDMRKRSL-UHFFFAOYSA-N -1 1 349.387 -0.309 20 0 EBADMM C[C@@H](C(=O)NCCNC(=O)CCn1cc[n-]c(=O)c1=O)C(C)(C)C ZINC001292946126 820668707 /nfs/dbraw/zinc/66/87/07/820668707.db2.gz CWJASVIAIJYKQB-NSHDSACASA-N -1 1 338.408 -0.159 20 0 EBADMM CC(C)n1c(CCNC(=O)c2nnc(O)nc2O)n[n-]c1=S ZINC001293438604 820771146 /nfs/dbraw/zinc/77/11/46/820771146.db2.gz OYQVUPCAVYVYMB-UHFFFAOYSA-N -1 1 325.354 -0.284 20 0 EBADMM Cc1cc(NCCN(C)C(=O)c2cc(=O)n3[n-]cnc3n2)ncn1 ZINC001101698925 820884781 /nfs/dbraw/zinc/88/47/81/820884781.db2.gz ALCWTGWLPNHYGU-UHFFFAOYSA-N -1 1 328.336 -0.300 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)Cc1cnn(C)c1 ZINC001101809655 820922483 /nfs/dbraw/zinc/92/24/83/820922483.db2.gz OPBCQLQVLSPAMC-ZYHUDNBSSA-N -1 1 333.396 -0.329 20 0 EBADMM CC/C=C(\C)C(=O)NC[C@@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001295433727 821094363 /nfs/dbraw/zinc/09/43/63/821094363.db2.gz LJXNNSXNHDMUFG-JXDHDYMSSA-N -1 1 336.392 -0.096 20 0 EBADMM CC(=O)Nc1ccc(N2C[C@H](C(=O)[N-]OCC(N)=O)CC2=O)cc1 ZINC001295741843 821164799 /nfs/dbraw/zinc/16/47/99/821164799.db2.gz CZGGFAWJULENOF-SNVBAGLBSA-N -1 1 334.332 -0.469 20 0 EBADMM COC(=O)NCC(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102716977 821195640 /nfs/dbraw/zinc/19/56/40/821195640.db2.gz WYNWXPIJCJRUQT-NXEZZACHSA-N -1 1 340.384 -0.560 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1ccc(Cn2cccn2)o1 ZINC001127896900 828345215 /nfs/dbraw/zinc/34/52/15/828345215.db2.gz JRGGEAAEUVMHGV-UHFFFAOYSA-N -1 1 331.336 -0.132 20 0 EBADMM C[C@@H](CNc1ncc(F)cn1)N(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001104616499 821522687 /nfs/dbraw/zinc/52/26/87/821522687.db2.gz DWFREDDPFCGJPF-QMMMGPOBSA-N -1 1 346.326 -0.081 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CC12CC2)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001298650415 821581335 /nfs/dbraw/zinc/58/13/35/821581335.db2.gz DLTKIFOOEFCXOJ-GHMZBOCLSA-N -1 1 334.376 -0.652 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@@H]1CCN1C(=O)CCCF ZINC001299064302 821640078 /nfs/dbraw/zinc/64/00/78/821640078.db2.gz DXZMIUGSSYSTGA-NSHDSACASA-N -1 1 340.355 -0.607 20 0 EBADMM Cc1ccc(N(C)CCN(C)C(=O)CCn2cc[n-]c(=O)c2=O)nn1 ZINC001105427966 821747582 /nfs/dbraw/zinc/74/75/82/821747582.db2.gz NDHLDYPXTODQDQ-UHFFFAOYSA-N -1 1 346.391 -0.380 20 0 EBADMM Cc1nccc(N(C)CCN(C)C(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001105428345 821748430 /nfs/dbraw/zinc/74/84/30/821748430.db2.gz IFYHGFDHQXMGIF-UHFFFAOYSA-N -1 1 342.363 -0.276 20 0 EBADMM Cc1ccnc(CN(C)CCNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001317465572 822119376 /nfs/dbraw/zinc/11/93/76/822119376.db2.gz RWUALKYBYGIYMZ-UHFFFAOYSA-N -1 1 346.391 -0.727 20 0 EBADMM Cc1nnc2n1C[C@@H](C(=O)N(C)CCNCc1n[nH]c(=O)[n-]1)CC2 ZINC001317670423 822199029 /nfs/dbraw/zinc/19/90/29/822199029.db2.gz RUDAHJOTKYTJFX-JTQLQIEISA-N -1 1 334.384 -0.779 20 0 EBADMM CC(C)N(CCCNC(=O)[C@H]1COCCO1)Cc1n[nH]c(=O)[n-]1 ZINC001317674179 822200202 /nfs/dbraw/zinc/20/02/02/822200202.db2.gz CCKAEQFCXIZBBI-LLVKDONJSA-N -1 1 327.385 -0.358 20 0 EBADMM CC(C)N(CCCNC(=O)[C@H]1CCN(C)C1=O)Cc1n[nH]c(=O)[n-]1 ZINC001317697589 822202512 /nfs/dbraw/zinc/20/25/12/822202512.db2.gz AMAFZJXHUHDAHF-LLVKDONJSA-N -1 1 338.412 -0.295 20 0 EBADMM CC(C)N(CCCNC(=O)[C@]1(C)CCNC1=O)Cc1n[nH]c(=O)[n-]1 ZINC001317702133 822203565 /nfs/dbraw/zinc/20/35/65/822203565.db2.gz RHEKHMVMBUMHRA-HNNXBMFYSA-N -1 1 338.412 -0.247 20 0 EBADMM C[C@@]1(C(=O)NCC[C@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)CCNC1=O ZINC001317799675 822214689 /nfs/dbraw/zinc/21/46/89/822214689.db2.gz BLRVIUGIWCBTOA-BONVTDFDSA-N -1 1 336.396 -0.635 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1c[nH]nc1-c1ccccn1 ZINC001129030247 828562347 /nfs/dbraw/zinc/56/23/47/828562347.db2.gz DNZZCXPAMGIIFI-UHFFFAOYSA-N -1 1 328.336 -0.185 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC/C=C\CNc1cc(F)ncn1 ZINC001107256701 823830489 /nfs/dbraw/zinc/83/04/89/823830489.db2.gz BTTZLMTYZPAGFY-UPHRSURJSA-N -1 1 348.338 -0.360 20 0 EBADMM O=C(Cn1cncn1)NC[C@]12CCC[C@H]1N(Cc1n[nH]c(=O)[n-]1)CC2 ZINC001107627326 823892276 /nfs/dbraw/zinc/89/22/76/823892276.db2.gz PTRJJGLHBZRUCD-IAQYHMDHSA-N -1 1 346.395 -0.337 20 0 EBADMM CSCC(=O)NC[C@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107718621 823920005 /nfs/dbraw/zinc/92/00/05/823920005.db2.gz MOZBXYKLEAWBEJ-CYBMUJFWSA-N -1 1 329.426 -0.822 20 0 EBADMM CSCCC(=O)NC[C@@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107722249 823920435 /nfs/dbraw/zinc/92/04/35/823920435.db2.gz FGKQYMJITHAERK-AWEZNQCLSA-N -1 1 343.453 -0.431 20 0 EBADMM CCO[C@@H](C)C(=O)NC[C@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107761130 823945257 /nfs/dbraw/zinc/94/52/57/823945257.db2.gz LOEFSKZYVSCLDG-XHDPSFHLSA-N -1 1 341.412 -0.760 20 0 EBADMM CC(C)OCC(=O)NC[C@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107788484 823964475 /nfs/dbraw/zinc/96/44/75/823964475.db2.gz ATCSNURVYNBRSN-OAHLLOKOSA-N -1 1 341.412 -0.760 20 0 EBADMM C[C@H](C(=O)NC[C@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1)C1CC1 ZINC001107845769 823997229 /nfs/dbraw/zinc/99/72/29/823997229.db2.gz KSKUVXNITDYLOS-MEDUHNTESA-N -1 1 337.424 -0.138 20 0 EBADMM C[C@]1(CNC(=O)c2n[nH]c(=O)[n-]c2=O)CN(CCC2CC2)CCO1 ZINC001108051078 824106164 /nfs/dbraw/zinc/10/61/64/824106164.db2.gz DSVPFHQLFNVZDF-HNNXBMFYSA-N -1 1 337.380 -0.096 20 0 EBADMM CC(C)N1CCO[C@@](C)(CNC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001108283883 824195447 /nfs/dbraw/zinc/19/54/47/824195447.db2.gz NDHZUGZOWPJIRX-INIZCTEOSA-N -1 1 348.407 -0.313 20 0 EBADMM C[C@@H](CNc1ncc(F)cn1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001108461580 824295501 /nfs/dbraw/zinc/29/55/01/824295501.db2.gz YXWKMGKXBUOGKN-ZETCQYMHSA-N -1 1 332.299 -0.423 20 0 EBADMM C[C@@H](CN(C)c1nccn2nnnc12)NC(=O)c1ncccc1[O-] ZINC001108946551 824458663 /nfs/dbraw/zinc/45/86/63/824458663.db2.gz NFRYZIULZMMJHL-VIFPVBQESA-N -1 1 328.336 -0.125 20 0 EBADMM Cc1nccc(N(C)C[C@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001109020660 824472839 /nfs/dbraw/zinc/47/28/39/824472839.db2.gz QPKXOCCCCQJPTM-NSHDSACASA-N -1 1 346.391 -0.334 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)CCc2ncc[nH]2)C3)nc1=O ZINC001109222524 824507330 /nfs/dbraw/zinc/50/73/30/824507330.db2.gz AAGVNLCGZWHECL-WOPDTQHZSA-N -1 1 345.407 -0.314 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)C(=O)C(C)(C)C)C3)nc1=O ZINC001110406812 824724755 /nfs/dbraw/zinc/72/47/55/824724755.db2.gz GFMKEJFMLUTAQT-MXWKQRLJSA-N -1 1 335.408 -0.055 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)CCn2ccnn2)C3)nc1=O ZINC001110488101 824741046 /nfs/dbraw/zinc/74/10/46/824741046.db2.gz KLUHIPSTHQIVPF-UTUOFQBUSA-N -1 1 346.395 -0.988 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1ccc2c(c1)NC(=O)CO2 ZINC001129911116 828692373 /nfs/dbraw/zinc/69/23/73/828692373.db2.gz ZKUFRKAIMZEGGI-UHFFFAOYSA-N -1 1 332.320 -0.639 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)CCn2ccnc2)C3)nc1=O ZINC001110875917 824806368 /nfs/dbraw/zinc/80/63/68/824806368.db2.gz QTTOVXHMHPYQTR-UPJWGTAASA-N -1 1 345.407 -0.383 20 0 EBADMM CC(C)CO[C@@H](C)C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001112658577 825492568 /nfs/dbraw/zinc/49/25/68/825492568.db2.gz LFAXPOUJRHIQPW-LBPRGKRZSA-N -1 1 325.413 -0.186 20 0 EBADMM Cn1nnc(C(=O)N2CCN(CCC[N-]C(=O)C(F)(F)F)CC2)n1 ZINC001113207182 825795368 /nfs/dbraw/zinc/79/53/68/825795368.db2.gz GPAHMTRPKQQFFW-UHFFFAOYSA-N -1 1 349.317 -0.964 20 0 EBADMM CCCCO[C@H](C)C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001114000171 826109965 /nfs/dbraw/zinc/10/99/65/826109965.db2.gz ZOGFLPKQODVBDU-NMKXLXIOSA-N -1 1 337.424 -0.140 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CCC(F)(F)F)nc1=O ZINC001114105964 826140036 /nfs/dbraw/zinc/14/00/36/826140036.db2.gz OAKXOEGFSOBVHO-VROVMSAKSA-N -1 1 333.314 -0.003 20 0 EBADMM COC(=O)CC[C@H](NC(=O)C(F)(F)C(F)(F)C(=O)[O-])C(N)=O ZINC001180176771 833052829 /nfs/dbraw/zinc/05/28/29/833052829.db2.gz OBNUEEIGOHTQPY-BYPYZUCNSA-N -1 1 332.206 -0.735 20 0 EBADMM Cc1cnn(CCC(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)c1 ZINC001115298474 826501101 /nfs/dbraw/zinc/50/11/01/826501101.db2.gz QWIPCHPMGGXPQQ-JYAVWHMHSA-N -1 1 345.407 -0.750 20 0 EBADMM C[C@@H](CN(C)C(=O)CCn1cc[n-]c(=O)c1=O)Nc1ncccn1 ZINC001115784496 826585222 /nfs/dbraw/zinc/58/52/22/826585222.db2.gz XPCTVEPRVDWKKC-NSHDSACASA-N -1 1 332.364 -0.324 20 0 EBADMM CC(C)(C)OCC(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001180327660 833074679 /nfs/dbraw/zinc/07/46/79/833074679.db2.gz OXQXVHDKSKPYTL-NSHDSACASA-N -1 1 340.380 -0.157 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1ccc(Br)cn1 ZINC001116816702 826643922 /nfs/dbraw/zinc/64/39/22/826643922.db2.gz GENPPPLLSMVHAR-UHFFFAOYSA-N -1 1 326.114 -0.388 20 0 EBADMM C[C@@]1(C(=O)NCCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])CCCO1 ZINC001180473623 833083241 /nfs/dbraw/zinc/08/32/41/833083241.db2.gz LFOYGYZZYZLKTE-NHYWBVRUSA-N -1 1 338.364 -0.403 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CSCC(=O)N2)c1 ZINC001180554582 833091251 /nfs/dbraw/zinc/09/12/51/833091251.db2.gz ZCYREISOISYNBN-VIFPVBQESA-N -1 1 345.402 -0.530 20 0 EBADMM CCN(CC(=O)N(C)C)C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001117418593 826743965 /nfs/dbraw/zinc/74/39/65/826743965.db2.gz CQYZEMPZMJIOEU-UHFFFAOYSA-N -1 1 332.364 -0.783 20 0 EBADMM COCCN1C[C@H](C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)CC1=O ZINC001180889201 833118834 /nfs/dbraw/zinc/11/88/34/833118834.db2.gz BPEYXKFZFDDNER-ZCFIWIBFSA-N -1 1 325.281 -0.281 20 0 EBADMM O=C([N-]CCNC(=O)[C@H]1COCCO1)C(F)(F)Br ZINC001181004201 833127861 /nfs/dbraw/zinc/12/78/61/833127861.db2.gz QZWXHDHJZLEOBC-ZCFIWIBFSA-N -1 1 331.113 -0.378 20 0 EBADMM C[C@H](C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])[C@@H]1CCC(=O)N1 ZINC001118908645 827023095 /nfs/dbraw/zinc/02/30/95/827023095.db2.gz HCOCZDAXTXDMKS-CBAPKCEASA-N -1 1 327.362 -0.107 20 0 EBADMM C[C@@H](C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])[C@H]1CCC(=O)N1 ZINC001118908647 827023198 /nfs/dbraw/zinc/02/31/98/827023198.db2.gz HCOCZDAXTXDMKS-VXNVDRBHSA-N -1 1 327.362 -0.107 20 0 EBADMM CC(C)(C)OC(=O)NCCOCCOCC(=O)NCc1nn[n-]n1 ZINC001181147629 833137922 /nfs/dbraw/zinc/13/79/22/833137922.db2.gz ISGHJIVBLRJAIB-UHFFFAOYSA-N -1 1 344.372 -0.626 20 0 EBADMM COCCN1CC[C@@H](NC(=O)C(=O)N2CCC[C@H](C(=O)[O-])C2)C1 ZINC001119332944 827099257 /nfs/dbraw/zinc/09/92/57/827099257.db2.gz MXNPFTAMULYBIY-NWDGAFQWSA-N -1 1 327.381 -0.854 20 0 EBADMM CN(C(=O)C(=O)NCCc1c(F)cc([O-])cc1F)[C@H]1CCNC1=O ZINC001119857361 827154001 /nfs/dbraw/zinc/15/40/01/827154001.db2.gz SGBLZDAMRZOFCB-LBPRGKRZSA-N -1 1 341.314 -0.324 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H](CO)Nc2ncnc3[nH]cnc32)c1[O-] ZINC001121454637 827377414 /nfs/dbraw/zinc/37/74/14/827377414.db2.gz LTOFEBMQMVYJSL-ZETCQYMHSA-N -1 1 332.324 -0.707 20 0 EBADMM COCC(C)(C)C(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001181945703 833190337 /nfs/dbraw/zinc/19/03/37/833190337.db2.gz PDLWORBMYRQKHB-NSHDSACASA-N -1 1 340.380 -0.300 20 0 EBADMM C[C@@H]1Cc2ccccc2N1CCNC(=O)[C@]1(C(=O)[O-])CNCCO1 ZINC001121996954 827499480 /nfs/dbraw/zinc/49/94/80/827499480.db2.gz POYJBQXTZGCNMW-PXAZEXFGSA-N -1 1 333.388 -0.003 20 0 EBADMM CCC(CC)C(=O)N1CCN(C(=O)[C@@]2(C(=O)[O-])CNCCO2)CC1 ZINC001122031458 827507078 /nfs/dbraw/zinc/50/70/78/827507078.db2.gz UKUOZVMNKIRXSR-MRXNPFEDSA-N -1 1 341.408 -0.463 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2CCn3nc(C(F)(F)F)cc3C2)CNCCO1 ZINC001122285607 827566369 /nfs/dbraw/zinc/56/63/69/827566369.db2.gz WHQMTDCCOJCLQD-GFCCVEGCSA-N -1 1 348.281 -0.313 20 0 EBADMM O=C(CNC(=O)N1CCCC1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001182459008 833234848 /nfs/dbraw/zinc/23/48/48/833234848.db2.gz QKCBOEMYEIXWEK-SNVBAGLBSA-N -1 1 337.384 -0.994 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N(CCO)CCN1CCOCC1 ZINC001123769737 827727360 /nfs/dbraw/zinc/72/73/60/827727360.db2.gz NPEFLPCWPQWAMX-UHFFFAOYSA-N -1 1 342.421 -0.454 20 0 EBADMM CO[C@@H](CNC(=O)OC(C)(C)C)C(=O)Nc1c(N)[nH]c(=O)[n-]c1=O ZINC001184174225 833322698 /nfs/dbraw/zinc/32/26/98/833322698.db2.gz PPAQFSYKCABDJP-LURJTMIESA-N -1 1 343.340 -0.052 20 0 EBADMM COc1ncccc1CNCCNC(=O)CCc1n[nH]c(=O)[n-]c1=O ZINC001130754487 828929246 /nfs/dbraw/zinc/92/92/46/828929246.db2.gz XFHJWJAOIOVIIZ-UHFFFAOYSA-N -1 1 348.363 -0.475 20 0 EBADMM Cc1ncc(CNCCNC(=O)CCc2n[nH]c(=O)[n-]c2=O)cn1 ZINC001130757164 828930303 /nfs/dbraw/zinc/93/03/03/828930303.db2.gz UJKISQYOGKBERD-UHFFFAOYSA-N -1 1 333.352 -0.780 20 0 EBADMM O=C(NCCNCc1cc(=O)[nH]c(C2CC2)n1)c1n[nH]c(=O)[n-]c1=O ZINC001130863779 828980502 /nfs/dbraw/zinc/98/05/02/828980502.db2.gz UTZGSYZZHMGTEA-UHFFFAOYSA-N -1 1 347.335 -0.825 20 0 EBADMM CN(CC(=O)N1CCN(C(C)(C)C)CC1)C(N)=[NH+]P(=O)([O-])[O-] ZINC001185657364 833365566 /nfs/dbraw/zinc/36/55/66/833365566.db2.gz VMCVTNFNGHWNDS-UHFFFAOYSA-N -1 1 335.345 -0.522 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1ccc(Cn2ccnn2)o1 ZINC001131621694 829165710 /nfs/dbraw/zinc/16/57/10/829165710.db2.gz BIFYYKGQERORRV-UHFFFAOYSA-N -1 1 332.324 -0.737 20 0 EBADMM CCCCN1CCOC[C@@H]1C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001131822943 829231044 /nfs/dbraw/zinc/23/10/44/829231044.db2.gz YPHFNKRWYMSLNY-LLVKDONJSA-N -1 1 326.401 -0.783 20 0 EBADMM CCNC(=O)CC(=O)N[C@@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001131985170 829292383 /nfs/dbraw/zinc/29/23/83/829292383.db2.gz UKRSHEHAMUONMJ-WDEREUQCSA-N -1 1 338.412 -0.896 20 0 EBADMM CC(=O)NC1(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CCCC1 ZINC001186304289 833396039 /nfs/dbraw/zinc/39/60/39/833396039.db2.gz NFXNQEFQXBIAHA-LLVKDONJSA-N -1 1 336.396 -0.350 20 0 EBADMM COc1ncc(CNCCNC(=O)c2cnc([O-])n(C)c2=O)c(C)n1 ZINC001132241699 829391452 /nfs/dbraw/zinc/39/14/52/829391452.db2.gz CQHOQFOCMBIYKR-UHFFFAOYSA-N -1 1 348.363 -0.887 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)[C@H]1CCCN(CC(F)F)C1 ZINC001132985673 829567836 /nfs/dbraw/zinc/56/78/36/829567836.db2.gz MZUIZVANSDJCRY-VIFPVBQESA-N -1 1 332.355 -0.307 20 0 EBADMM NC(=O)c1cc([N-]S(=O)(=O)c2ccc3c(c2)CC(=O)N3)ccn1 ZINC001186898300 833435400 /nfs/dbraw/zinc/43/54/00/833435400.db2.gz HDIWYUMPBNIYTJ-UHFFFAOYSA-N -1 1 332.341 -0.102 20 0 EBADMM C[C@@H](C[C@H](C)NC(=O)c1n[nH]c(=O)[n-]c1=O)NCc1cnn(C)n1 ZINC001134863645 829860310 /nfs/dbraw/zinc/86/03/10/829860310.db2.gz KVVMCFZOTXJJSR-YUMQZZPRSA-N -1 1 336.356 -0.902 20 0 EBADMM C[C@H](C[C@H](C)NCc1cn(C)nn1)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001134868996 829861947 /nfs/dbraw/zinc/86/19/47/829861947.db2.gz UZLFFJNTYKWDSF-JGVFFNPUSA-N -1 1 336.356 -0.902 20 0 EBADMM O=C(CCCc1nc(C2CC2)no1)NCCNCc1n[nH]c(=O)[n-]1 ZINC001134920988 829871226 /nfs/dbraw/zinc/87/12/26/829871226.db2.gz KBPOMHRKRIQWDK-UHFFFAOYSA-N -1 1 335.368 -0.001 20 0 EBADMM Cc1ncc(CNCCNC(=O)c2c[n-]n3c2nccc3=O)cn1 ZINC001134941845 829875027 /nfs/dbraw/zinc/87/50/27/829875027.db2.gz QJKWVHCVKSWDCQ-UHFFFAOYSA-N -1 1 327.348 -0.359 20 0 EBADMM Cc1nnc([C@H](C)NCCNC(=O)CCn2cc[n-]c(=O)c2=O)o1 ZINC001135390836 829960638 /nfs/dbraw/zinc/96/06/38/829960638.db2.gz QDRYYXIWTXJSQT-VIFPVBQESA-N -1 1 336.352 -0.915 20 0 EBADMM O=C(C[C@@H]1NC(=O)c2ccccc21)NCCNCc1n[nH]c(=O)[n-]1 ZINC001135590067 829990886 /nfs/dbraw/zinc/99/08/86/829990886.db2.gz HQBFYRXGCSNLRA-NSHDSACASA-N -1 1 330.348 -0.409 20 0 EBADMM CNC(=O)NC(C)(C)C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001211248337 837414582 /nfs/dbraw/zinc/41/45/82/837414582.db2.gz GAWSUBMOKDEHMD-VIFPVBQESA-N -1 1 339.400 -0.702 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)CN1C(=O)CCC1=O ZINC001136753271 830089827 /nfs/dbraw/zinc/08/98/27/830089827.db2.gz NOPAQEWYNRQRHT-UHFFFAOYSA-N -1 1 331.332 -0.183 20 0 EBADMM CON(C)C(=O)[C@H](CCSC)NC(=O)CCCc1nn[n-]n1 ZINC001137731439 830094775 /nfs/dbraw/zinc/09/47/75/830094775.db2.gz GQPUOIATXMHPSR-VIFPVBQESA-N -1 1 330.414 -0.220 20 0 EBADMM Cn1nccc1[C@@H]1c2[nH]cnc2CCN1C(=O)Cn1c(=O)[n-][nH]c1=O ZINC001143354696 830150473 /nfs/dbraw/zinc/15/04/73/830150473.db2.gz IAJJVBDIMZJIBP-GFCCVEGCSA-N -1 1 344.335 -0.680 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CCc1cnn(C)c1 ZINC001211307704 837426488 /nfs/dbraw/zinc/42/64/88/837426488.db2.gz WHHWRHRRQGPJNF-DGCLKSJQSA-N -1 1 347.423 -0.589 20 0 EBADMM COC(=O)[C@@H]1C[C@@H](O)CN(C(=O)c2c(C)[nH]c(=O)[n-]c2=S)C1 ZINC001144923673 830171422 /nfs/dbraw/zinc/17/14/22/830171422.db2.gz SUERKVQLHSFVHI-HTQZYQBOSA-N -1 1 327.362 -0.225 20 0 EBADMM CCCS(=O)(=O)CC(=O)N[C@@H](C)C[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001146430768 830198932 /nfs/dbraw/zinc/19/89/32/830198932.db2.gz KZEWNLXHSOQOPI-ZJUUUORDSA-N -1 1 347.441 -0.292 20 0 EBADMM CSCC[C@H](NC(=O)[C@@H](C)N1C(=O)CCC1=O)c1nn[n-]n1 ZINC001148260055 830462670 /nfs/dbraw/zinc/46/26/70/830462670.db2.gz AZUHISHNFUGAMC-SFYZADRCSA-N -1 1 326.382 -0.352 20 0 EBADMM O=C(CN1Cc2ccccc2C1=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001148337762 830473472 /nfs/dbraw/zinc/47/34/72/830473472.db2.gz YLNRXULSLVBWPO-UHFFFAOYSA-N -1 1 330.348 -0.628 20 0 EBADMM O=C(N[C@@H](CO)Cc1cnc[nH]1)c1cc(S(=O)(=O)[O-])ccc1O ZINC001148928179 830557619 /nfs/dbraw/zinc/55/76/19/830557619.db2.gz XJDZCGLAAJMNOY-SECBINFHSA-N -1 1 341.345 -0.305 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)NCCNCc1cc(O)ccc1Cl ZINC001149161438 830608296 /nfs/dbraw/zinc/60/82/96/830608296.db2.gz UUSSJBZZKCQQQA-UHFFFAOYSA-N -1 1 341.755 -0.046 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@H](CNC(=O)CCC(F)F)C2)nc1=O ZINC001149975368 830792308 /nfs/dbraw/zinc/79/23/08/830792308.db2.gz VJDGBKKORCHXGT-SNVBAGLBSA-N -1 1 347.366 -0.139 20 0 EBADMM CC[C@H](CNS(C)(=O)=O)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC001211903393 837490559 /nfs/dbraw/zinc/49/05/59/837490559.db2.gz NFVHWFUPJTXSNE-SSDOTTSWSA-N -1 1 343.377 -0.034 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@H](C)SC ZINC001211912462 837491901 /nfs/dbraw/zinc/49/19/01/837491901.db2.gz SLLOCAFOSUEGBU-IVZWLZJFSA-N -1 1 329.426 -0.825 20 0 EBADMM CSc1ncc(C(=O)NCCNC(=O)c2cnccn2)c(=O)[n-]1 ZINC001152579853 831175054 /nfs/dbraw/zinc/17/50/54/831175054.db2.gz GXOHGNXQIRTZNF-UHFFFAOYSA-N -1 1 334.361 -0.146 20 0 EBADMM CC[N@@H+](CC(=O)NC)[C@H](C)CNC(=O)c1[nH]c(=O)[nH]c(=O)c1OC ZINC001156354263 831470611 /nfs/dbraw/zinc/47/06/11/831470611.db2.gz PRYZCVONKXVQTB-MRVPVSSYSA-N -1 1 341.368 -0.917 20 0 EBADMM Cn1nccc1C(=O)NCCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001159524362 831759614 /nfs/dbraw/zinc/75/96/14/831759614.db2.gz CCAONNGSMWWAGD-UHFFFAOYSA-N -1 1 345.407 -0.206 20 0 EBADMM CCS(=O)(=O)c1ccnc(Nc2c(O)[nH]c(=O)[n-]c2=S)c1 ZINC001160857910 831896889 /nfs/dbraw/zinc/89/68/89/831896889.db2.gz KURVITMZFUCCHY-MRVPVSSYSA-N -1 1 328.375 -0.178 20 0 EBADMM Cc1nnc([C@@H](C)NCCCNC(=O)c2n[nH]c(=O)[n-]c2=O)s1 ZINC001161862923 831996849 /nfs/dbraw/zinc/99/68/49/831996849.db2.gz FFCKYUMRAFSXTH-ZCFIWIBFSA-N -1 1 339.381 -0.087 20 0 EBADMM O=C(NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)[C@@H]1CCNC(=O)C1 ZINC001164058842 832169392 /nfs/dbraw/zinc/16/93/92/832169392.db2.gz YVSIRHMWVTWSMJ-SNVBAGLBSA-N -1 1 336.396 -0.587 20 0 EBADMM CCOC(=O)C[C@@H]1C(=O)NCCN1c1nc(C)cc2c1C(=O)[N-]C2=O ZINC001166548122 832265425 /nfs/dbraw/zinc/26/54/25/832265425.db2.gz PCZPMHZYNSZRSY-SNVBAGLBSA-N -1 1 346.343 -0.468 20 0 EBADMM CCN(CCCNC(=O)c1cnc(C2CC2)[n-]c1=O)C(=O)CC(N)=O ZINC001352159626 832310566 /nfs/dbraw/zinc/31/05/66/832310566.db2.gz TWTTURVLRSFHCD-UHFFFAOYSA-N -1 1 349.391 -0.097 20 0 EBADMM O=C(NCCCNCc1ncccn1)c1c[n-]n2c1nccc2=O ZINC001167783538 832372793 /nfs/dbraw/zinc/37/27/93/832372793.db2.gz IALBZMLKYBMVTI-UHFFFAOYSA-N -1 1 327.348 -0.278 20 0 EBADMM COC(=O)Cc1cc(N[C@@H]2C(=O)N(S(=O)(=O)[O-])[C@H]2C)ccn1 ZINC001167861135 832379740 /nfs/dbraw/zinc/37/97/40/832379740.db2.gz FIAFFQJMMDQMCL-CPCISQLKSA-N -1 1 329.334 -0.389 20 0 EBADMM COc1c(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)cnn1C ZINC001167887130 832381429 /nfs/dbraw/zinc/38/14/29/832381429.db2.gz DWDQUQMDMUGUNK-UHFFFAOYSA-N -1 1 349.395 -0.058 20 0 EBADMM CCCCC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001354125733 832466377 /nfs/dbraw/zinc/46/63/77/832466377.db2.gz JJRVEGGGXNQJTD-JYAVWHMHSA-N -1 1 348.403 -0.310 20 0 EBADMM CC(=O)N1CC[C@@H](N2CCN(CCCCS(=O)(=O)[O-])CC2)C1 ZINC001169563700 832469212 /nfs/dbraw/zinc/46/92/12/832469212.db2.gz SPZJARPLTKMZGN-CQSZACIVSA-N -1 1 333.454 -0.107 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@]2(C(=O)[O-])CNCCO2)CC[C@H](C(F)(F)F)O1 ZINC001354580537 832504142 /nfs/dbraw/zinc/50/41/42/832504142.db2.gz JHGLMDWDERVWTP-KBVBSXBZSA-N -1 1 340.298 -0.002 20 0 EBADMM [NH3+]CCC(=O)N[C@@H](Cc1cncn1-c1ccc(=S)[n-]n1)C(=O)[O-] ZINC001170687130 832518309 /nfs/dbraw/zinc/51/83/09/832518309.db2.gz HBIZTOTXCQLLTN-VIFPVBQESA-N -1 1 336.377 -0.588 20 0 EBADMM C[C@@H](NCCCNC(=O)c1ccc2oc(=O)nc-2[n-]1)c1nnnn1C ZINC001171063729 832540857 /nfs/dbraw/zinc/54/08/57/832540857.db2.gz DIKSFFXHNZQWNU-MRVPVSSYSA-N -1 1 346.351 -0.077 20 0 EBADMM Cc1nc([C@@H](C)NCCCNC(=O)c2cc(=O)n3[n-]cnc3n2)no1 ZINC001171080255 832542599 /nfs/dbraw/zinc/54/25/99/832542599.db2.gz QXTSJIAAEOYMDA-MRVPVSSYSA-N -1 1 346.351 -0.420 20 0 EBADMM COC(=O)c1cnc([N-]c2nccnc2CN)c(S(N)(=O)=O)c1 ZINC001171450570 832561013 /nfs/dbraw/zinc/56/10/13/832561013.db2.gz UVGLKMFHNVSYHW-UHFFFAOYSA-N -1 1 338.349 -0.492 20 0 EBADMM Cc1cc(C(=O)NCCN(C)C(=O)CCn2cc[n-]c(=O)c2=O)co1 ZINC001355561504 832566265 /nfs/dbraw/zinc/56/62/65/832566265.db2.gz KPVVSUMEQLNNBA-UHFFFAOYSA-N -1 1 348.359 -0.283 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)NCCN(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001355944411 832591924 /nfs/dbraw/zinc/59/19/24/832591924.db2.gz MLPHBZLSSOVNQA-VXGBXAGGSA-N -1 1 336.392 -0.453 20 0 EBADMM COC(=O)c1nc(Br)cnc1NC(=O)Cc1nn[n-]n1 ZINC001176837744 832693443 /nfs/dbraw/zinc/69/34/43/832693443.db2.gz FBNLHEXZEKTRQB-UHFFFAOYSA-N -1 1 342.113 -0.280 20 0 EBADMM COC(=O)c1ccc(CN2CCN(C(=O)Cc3nn[n-]n3)CC2)cc1 ZINC001176839740 832693663 /nfs/dbraw/zinc/69/36/63/832693663.db2.gz BLRUTQXBBFNBLL-UHFFFAOYSA-N -1 1 344.375 -0.127 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCN(Cc2c[nH]c3ncccc23)CC1 ZINC001176841009 832694545 /nfs/dbraw/zinc/69/45/45/832694545.db2.gz PIAYTXPWAYBHAN-UHFFFAOYSA-N -1 1 326.364 -0.037 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H]3CCN(C(=O)CCCF)C[C@@H]32)nc1=O ZINC001177072547 832747193 /nfs/dbraw/zinc/74/71/93/832747193.db2.gz HZQMALCAMCXANZ-NWDGAFQWSA-N -1 1 341.387 -0.340 20 0 EBADMM C[C@H](CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C)NC(N)=O ZINC001178688667 832901767 /nfs/dbraw/zinc/90/17/67/832901767.db2.gz FEFDSPHTYMLYHL-VGMNWLOBSA-N -1 1 325.373 -0.964 20 0 EBADMM CCOC(=O)c1n[nH]c(NC(=O)CCn2cc[n-]c(=O)c2=O)c1C ZINC001179096245 832951903 /nfs/dbraw/zinc/95/19/03/832951903.db2.gz UAOWYSDUUWZUFI-UHFFFAOYSA-N -1 1 335.320 -0.226 20 0 EBADMM C[C@H](NC(=O)c1ccco1)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001187988013 833990888 /nfs/dbraw/zinc/99/08/88/833990888.db2.gz HKRHCQZGDCLFAY-VHSXEESVSA-N -1 1 348.363 -0.388 20 0 EBADMM CC(=O)N[C@@](C)(C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001188251777 834027426 /nfs/dbraw/zinc/02/74/26/834027426.db2.gz PQETWPVOHKTBRN-IAQYHMDHSA-N -1 1 338.412 -0.248 20 0 EBADMM C[C@H](NC(=O)C1CCC1)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001188431908 834047570 /nfs/dbraw/zinc/04/75/70/834047570.db2.gz RAGHWKAVPDVDAP-GXSJLCMTSA-N -1 1 336.396 -0.494 20 0 EBADMM CCCC(=O)NCC(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001189169694 834132733 /nfs/dbraw/zinc/13/27/33/834132733.db2.gz KFQKVGWNIACTKC-LLVKDONJSA-N -1 1 338.412 -0.943 20 0 EBADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cnc(N4CCOCC4)nc3)c1-2 ZINC001189380142 834148774 /nfs/dbraw/zinc/14/87/74/834148774.db2.gz SYABSAOUKHWGMF-UHFFFAOYSA-N -1 1 340.347 -0.086 20 0 EBADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cnc(N2CCOCC2)nc1 ZINC001189394833 834150101 /nfs/dbraw/zinc/15/01/01/834150101.db2.gz UGVPRSMZJNFQTH-UHFFFAOYSA-N -1 1 342.319 -0.063 20 0 EBADMM CCOC(=O)CCCCCNC(=O)Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001189684883 834178587 /nfs/dbraw/zinc/17/85/87/834178587.db2.gz IHMJOIWATORZDK-VIFPVBQESA-N -1 1 344.393 -0.056 20 0 EBADMM CCC(=O)N[C@H](C)C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001190307398 834254030 /nfs/dbraw/zinc/25/40/30/834254030.db2.gz IZUZHSRZFGKMQE-MNOVXSKESA-N -1 1 338.412 -0.944 20 0 EBADMM CCNC(=O)NCC[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC001190578969 834295995 /nfs/dbraw/zinc/29/59/95/834295995.db2.gz LZXRQOYPNOVDQJ-UHFFFAOYSA-N -1 1 333.366 -0.028 20 0 EBADMM CCNC(=O)NCC[N-]S(=O)(=O)c1nc[nH]c1Br ZINC001190577450 834296697 /nfs/dbraw/zinc/29/66/97/834296697.db2.gz ACXTWJBPMXNZBR-UHFFFAOYSA-N -1 1 340.203 -0.230 20 0 EBADMM O=C(N[C@H]1CCC(=O)NC1=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190629751 834305260 /nfs/dbraw/zinc/30/52/60/834305260.db2.gz WITGGXGBPPSXOI-JTQLQIEISA-N -1 1 327.300 -0.221 20 0 EBADMM CCC[C@H](C)CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001191676311 834526740 /nfs/dbraw/zinc/52/67/40/834526740.db2.gz JEUDTNLQBMFACY-QJPTWQEYSA-N -1 1 325.413 -0.404 20 0 EBADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1cc(N2CCOCC2)ccn1 ZINC001192241979 834629385 /nfs/dbraw/zinc/62/93/85/834629385.db2.gz KJBRJQBHZWPMCI-UHFFFAOYSA-N -1 1 332.320 -0.046 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCO[C@H]1CC1(F)F ZINC001192277518 834635508 /nfs/dbraw/zinc/63/55/08/834635508.db2.gz VFUNUFJHDXAWPU-ZETCQYMHSA-N -1 1 325.293 -0.101 20 0 EBADMM CC[C@H](NC(C)=O)C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001192464916 834672281 /nfs/dbraw/zinc/67/22/81/834672281.db2.gz WYJVCJJHLLYYPS-NEPJUHHUSA-N -1 1 338.412 -0.944 20 0 EBADMM Cc1cc(S(=O)(=O)Nc2c([O-])[nH]c(=O)[nH]c2=S)cnc1Cl ZINC001192592391 834696561 /nfs/dbraw/zinc/69/65/61/834696561.db2.gz PAKALEAPFGKPDX-LURJTMIESA-N -1 1 348.793 -0.143 20 0 EBADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]c1cc(=O)n(C)c(=O)n1C ZINC001193073162 834789264 /nfs/dbraw/zinc/78/92/64/834789264.db2.gz HZULEULFYSUMDD-UHFFFAOYSA-N -1 1 333.366 -0.833 20 0 EBADMM NC(=O)c1nc(C[N-]S(=O)(=O)Cc2noc3ccccc32)no1 ZINC001194111502 835027196 /nfs/dbraw/zinc/02/71/96/835027196.db2.gz BRNOQXYFHQXBBX-UHFFFAOYSA-N -1 1 337.317 -0.071 20 0 EBADMM NC(=O)c1nc(C[N-]S(=O)(=O)c2cccc3nccnc32)no1 ZINC001194997380 835174283 /nfs/dbraw/zinc/17/42/83/835174283.db2.gz DHAAWZUAIXFZBU-UHFFFAOYSA-N -1 1 334.317 -0.410 20 0 EBADMM COC(=O)[C@@H](CC(N)=O)[N-]S(=O)(=O)Cc1cccc(OC)c1 ZINC001197407480 835551770 /nfs/dbraw/zinc/55/17/70/835551770.db2.gz ANUCZSRWIAQCGE-LLVKDONJSA-N -1 1 330.362 -0.468 20 0 EBADMM CC(C)C[C@@H](C)CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001197705096 835598692 /nfs/dbraw/zinc/59/86/92/835598692.db2.gz KMAPTSPWUCJNCQ-JHJVBQTASA-N -1 1 339.440 -0.158 20 0 EBADMM COCCn1cc(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)cnc1=O ZINC001197713040 835599597 /nfs/dbraw/zinc/59/95/97/835599597.db2.gz UOBAQJSHFGFUIP-UHFFFAOYSA-N -1 1 331.292 -0.486 20 0 EBADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1cn(-c2ncccn2)cn1 ZINC001199556983 835830253 /nfs/dbraw/zinc/83/02/53/835830253.db2.gz MCZRODOKLBNWOH-UHFFFAOYSA-N -1 1 339.275 -0.056 20 0 EBADMM CCO[C@H](C)C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001199940563 835881437 /nfs/dbraw/zinc/88/14/37/835881437.db2.gz OKBFKPGSSJPVDL-GHMZBOCLSA-N -1 1 327.385 -0.358 20 0 EBADMM CCCOCC(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001200038804 835898986 /nfs/dbraw/zinc/89/89/86/835898986.db2.gz BQYVYQKVBOQNHZ-LLVKDONJSA-N -1 1 327.385 -0.356 20 0 EBADMM COCC1(C(=O)N2CCCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001200975467 836076294 /nfs/dbraw/zinc/07/62/94/836076294.db2.gz IAXDCXGEBBCEGU-NSHDSACASA-N -1 1 339.396 -0.356 20 0 EBADMM COCC(C)(C)C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001200982841 836078015 /nfs/dbraw/zinc/07/80/15/836078015.db2.gz DZEHDAZUYZVHLL-NSHDSACASA-N -1 1 341.412 -0.110 20 0 EBADMM O=C(CNC(=O)C1CC1)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202541832 836312343 /nfs/dbraw/zinc/31/23/43/836312343.db2.gz FEWDINDXNBUKMY-WDEREUQCSA-N -1 1 348.407 -0.447 20 0 EBADMM CCNC(=O)CC(=O)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202796493 836349353 /nfs/dbraw/zinc/34/93/53/836349353.db2.gz WZGCUASEERUMEL-UWVGGRQHSA-N -1 1 336.396 -0.447 20 0 EBADMM CNC(=O)C1(C(=O)N[C@@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)CC1 ZINC001203492067 836436722 /nfs/dbraw/zinc/43/67/22/836436722.db2.gz JARDJCNTIWKJGS-VHSXEESVSA-N -1 1 348.407 -0.447 20 0 EBADMM O=C(NCC1CC1)C(=O)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001203558413 836448919 /nfs/dbraw/zinc/44/89/19/836448919.db2.gz ZABNDESAFODTTP-QWRGUYRKSA-N -1 1 348.407 -0.447 20 0 EBADMM CCS(=O)(=O)CC(=O)N1CCC(NCc2n[nH]c(=O)[n-]2)CC1 ZINC001203910900 836487800 /nfs/dbraw/zinc/48/78/00/836487800.db2.gz QJCFGXKJLMOUKU-UHFFFAOYSA-N -1 1 331.398 -0.974 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)NCCCCS(N)(=O)=O)ccnc1-2 ZINC001204293516 836507546 /nfs/dbraw/zinc/50/75/46/836507546.db2.gz HCKIXSOOHWHEAL-UHFFFAOYSA-N -1 1 326.382 -0.468 20 0 EBADMM CS(=O)(=O)CCC(=O)N1CCC(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001205537513 836577656 /nfs/dbraw/zinc/57/76/56/836577656.db2.gz QUUURBATEVFVKQ-UHFFFAOYSA-N -1 1 345.425 -0.727 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CC[C@@H]1CCOC1 ZINC001206864743 836682989 /nfs/dbraw/zinc/68/29/89/836682989.db2.gz QXZSIKWMQRUKHS-JHJVBQTASA-N -1 1 337.424 -0.138 20 0 EBADMM C[C@@H]1CN(CCNS(C)(=O)=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001206897081 836697132 /nfs/dbraw/zinc/69/71/32/836697132.db2.gz HHPOKKCBKQKCEM-GHMZBOCLSA-N -1 1 342.421 -0.614 20 0 EBADMM C[C@@H](C(=O)NC(N)=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001206897784 836697440 /nfs/dbraw/zinc/69/74/40/836697440.db2.gz OOFZLSBFVFXZIR-KXUCPTDWSA-N -1 1 335.364 -0.579 20 0 EBADMM COCCC1(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)CC1 ZINC001207462139 836801243 /nfs/dbraw/zinc/80/12/43/836801243.db2.gz QJYOXHYXTJZPFJ-VXGBXAGGSA-N -1 1 337.424 -0.138 20 0 EBADMM Cn1nccc1COCC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001208411546 837026372 /nfs/dbraw/zinc/02/63/72/837026372.db2.gz WPZUXCQGLYYCIV-LLVKDONJSA-N -1 1 349.395 -0.459 20 0 EBADMM COCCO[C@@H](C)C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001209939492 837218181 /nfs/dbraw/zinc/21/81/81/837218181.db2.gz FLNBJPIKUYFACU-QWRGUYRKSA-N -1 1 327.385 -0.358 20 0 EBADMM CC(C)N1C(=O)C[C@H]([N-]S(=O)(=O)[C@H]2CC(=O)N(C3CC3)C2)C1=O ZINC001210414687 837284491 /nfs/dbraw/zinc/28/44/91/837284491.db2.gz NBKSJDHBGFRKPM-QWRGUYRKSA-N -1 1 343.405 -0.795 20 0 EBADMM O=C(CCCn1cncn1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210522637 837293532 /nfs/dbraw/zinc/29/35/32/837293532.db2.gz NXBAYPJSPSKEDN-NSHDSACASA-N -1 1 334.384 -0.337 20 0 EBADMM CNC(=O)C1(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001210805115 837334304 /nfs/dbraw/zinc/33/43/04/837334304.db2.gz REMJYMRLPNQPAF-JTQLQIEISA-N -1 1 336.396 -0.493 20 0 EBADMM CCOC(=O)c1cnn2c1nccc2C(=O)NN1CC(=O)[N-]C1=O ZINC001319698977 838168947 /nfs/dbraw/zinc/16/89/47/838168947.db2.gz VBOGKDSCELOWEG-UHFFFAOYSA-N -1 1 332.276 -0.897 20 0 EBADMM CC(=O)Nc1ccc(CNC(=O)CCn2cc[n-]c(=O)c2=O)cn1 ZINC001322311617 839169904 /nfs/dbraw/zinc/16/99/04/839169904.db2.gz BLYOYIJDWMBJNR-UHFFFAOYSA-N -1 1 331.332 -0.404 20 0 EBADMM COC(=O)CC1(NC(=O)CCn2cc[n-]c(=O)c2=O)CCOCC1 ZINC001322336863 839172960 /nfs/dbraw/zinc/17/29/60/839172960.db2.gz NJVYFOMWCNPAAI-UHFFFAOYSA-N -1 1 339.348 -0.845 20 0 EBADMM Cn1ncc(NC(=O)C(=O)NN2CC(=O)[N-]C2=O)c1-c1ccccc1 ZINC001322982426 839313237 /nfs/dbraw/zinc/31/32/37/839313237.db2.gz WRMJKEVRBQLGIF-UHFFFAOYSA-N -1 1 342.315 -0.391 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CC([C@H]4CCOC4)C3)ccnc1-2 ZINC001323042118 839332999 /nfs/dbraw/zinc/33/29/99/839332999.db2.gz LKCKVDJENUUKKP-JTQLQIEISA-N -1 1 329.360 -0.225 20 0 EBADMM C[C@@H]1CN(CCCNC(=O)C(=O)NCCCC(=O)[O-])C[C@@H](C)O1 ZINC001326279716 840079767 /nfs/dbraw/zinc/07/97/67/840079767.db2.gz YYIMECWNKLRVQP-VXGBXAGGSA-N -1 1 329.397 -0.417 20 0 EBADMM Cc1cc(NC(=O)C(=O)N2CCN3C[C@H](O)C[C@H]3C2)ccc1C(=O)[O-] ZINC001326806737 840205591 /nfs/dbraw/zinc/20/55/91/840205591.db2.gz HCLIZNFTEFNPOS-QWHCGFSZSA-N -1 1 347.371 -0.091 20 0 EBADMM CCOC(=O)[C@H]1CSCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001328075329 840579736 /nfs/dbraw/zinc/57/97/36/840579736.db2.gz HBANBMLPLFXWFB-SNVBAGLBSA-N -1 1 341.389 -0.566 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N[C@@H]3CCCN(C)C3=O)ccnc1-2 ZINC001329007731 840814503 /nfs/dbraw/zinc/81/45/03/840814503.db2.gz CODOIFPRCCMADI-LLVKDONJSA-N -1 1 330.348 -0.983 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NC[C@@H]3CCC[C@H](O)C3)ccnc1-2 ZINC001329063525 840832175 /nfs/dbraw/zinc/83/21/75/840832175.db2.gz QRDVLALUWITXHY-MNOVXSKESA-N -1 1 331.376 -0.052 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)NC[C@H](CC(C)C)C(=O)[O-])C1 ZINC001329129045 840850414 /nfs/dbraw/zinc/85/04/14/840850414.db2.gz ZCHLGFWZKXMIBZ-STQMWFEESA-N -1 1 343.424 -0.314 20 0 EBADMM COC(=O)Cn1cc(S(=O)(=O)NN=c2nc(OC)cc[n-]2)cn1 ZINC001329912709 841058697 /nfs/dbraw/zinc/05/86/97/841058697.db2.gz LJCVTNISEDSLSY-UHFFFAOYSA-N -1 1 342.337 -0.840 20 0 EBADMM COC(=O)[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1ccccn1 ZINC001330818047 841277445 /nfs/dbraw/zinc/27/74/45/841277445.db2.gz RHUQTNNXBXHFQP-LBPRGKRZSA-N -1 1 332.316 -0.648 20 0 EBADMM CN1CC[C@@H](C(=O)N[C@H](CNCc2n[nH]c(=O)[n-]2)C(C)(C)C)C1=O ZINC001332843214 841751294 /nfs/dbraw/zinc/75/12/94/841751294.db2.gz NFWOFFZAVKNQFT-VHSXEESVSA-N -1 1 338.412 -0.391 20 0 EBADMM CC(C)(C)[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C(=O)NCC1CC1 ZINC001332880700 841756951 /nfs/dbraw/zinc/75/69/51/841756951.db2.gz ABYCWPBFNOWAMD-JTQLQIEISA-N -1 1 338.412 -0.343 20 0 EBADMM O=C([N-]OCC(F)(F)F)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001333519621 841897935 /nfs/dbraw/zinc/89/79/35/841897935.db2.gz AJYRJANHCQMOJY-HTQZYQBOSA-N -1 1 344.311 -0.368 20 0 EBADMM CO[N-]C(=O)CNC(=O)C(=O)N[C@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC001334601683 842092589 /nfs/dbraw/zinc/09/25/89/842092589.db2.gz BABFKHDAMARWSC-JSGCOSHPSA-N -1 1 348.403 -0.268 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@H](C(=O)NC2CC2)C1 ZINC001338974976 842882892 /nfs/dbraw/zinc/88/28/92/842882892.db2.gz BZTQHUMLDXRLNW-NSHDSACASA-N -1 1 334.376 -0.556 20 0 EBADMM CO[N-]C(=O)CNC(=O)NCc1ccccc1N1CCN(C)CC1 ZINC001339834446 842944686 /nfs/dbraw/zinc/94/46/86/842944686.db2.gz CBXAPBHKYPFXJH-UHFFFAOYSA-N -1 1 335.408 -0.085 20 0 EBADMM C[C@H](c1cccnc1)N1CCN(C(=O)[C@@]2(C(=O)[O-])CNCCO2)CC1 ZINC001340118636 842972937 /nfs/dbraw/zinc/97/29/37/842972937.db2.gz KCDAMVDSQFNIKN-CXAGYDPISA-N -1 1 348.403 -0.270 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2CCO[C@H](C(F)(F)F)CC2)CNCCO1 ZINC001340941109 843044781 /nfs/dbraw/zinc/04/47/81/843044781.db2.gz POYOYDNNDSQYAO-GZMMTYOYSA-N -1 1 326.271 -0.391 20 0 EBADMM CC(C)C(=O)NCCCNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001342397682 843160272 /nfs/dbraw/zinc/16/02/72/843160272.db2.gz XAMRCWSCTRTRTP-UHFFFAOYSA-N -1 1 346.391 -0.441 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)C(=O)NCCCC(=O)[O-])C1 ZINC001345991134 843469081 /nfs/dbraw/zinc/46/90/81/843469081.db2.gz RPTAUHRQDCVJGV-GFCCVEGCSA-N -1 1 329.397 -0.560 20 0 EBADMM CC(=O)NCC[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1C ZINC001346302031 843500400 /nfs/dbraw/zinc/50/04/00/843500400.db2.gz FJKDMRKFZUOMJC-DGCLKSJQSA-N -1 1 336.392 -0.453 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCN4C(=O)CC[C@H]4C3)ccnc1-2 ZINC001346519714 843525645 /nfs/dbraw/zinc/52/56/45/843525645.db2.gz XNNZUCVRZQYKCZ-JTQLQIEISA-N -1 1 342.359 -0.887 20 0 EBADMM O=C1CCCN1C[C@H](O)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC001348562703 843708852 /nfs/dbraw/zinc/70/88/52/843708852.db2.gz NYOXWNXEINNWLM-MRVPVSSYSA-N -1 1 335.332 -0.379 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1C[C@@H](CO)[C@H](C(F)(F)F)C1 ZINC001348778048 843745506 /nfs/dbraw/zinc/74/55/06/843745506.db2.gz ZKWKQYHCJVZJSZ-DTWKUNHWSA-N -1 1 335.282 -0.444 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNC(=O)C1(CF)CCC1 ZINC001349338344 843888204 /nfs/dbraw/zinc/88/82/04/843888204.db2.gz ATHBBMYUNMUOSY-UHFFFAOYSA-N -1 1 340.355 -0.701 20 0 EBADMM COCCN(C)CCNC(=O)C(=O)N1CC[C@@H](C)[C@@H](C(=O)[O-])C1 ZINC001349354029 843890389 /nfs/dbraw/zinc/89/03/89/843890389.db2.gz BUHAJXKTGJSRMT-NEPJUHHUSA-N -1 1 329.397 -0.750 20 0 EBADMM O=C([O-])c1ccc(N2C(=O)C[C@@H](NCCn3ncnn3)C2=O)cc1 ZINC001349675371 843926266 /nfs/dbraw/zinc/92/62/66/843926266.db2.gz VTJIMNQOOFOGIW-LLVKDONJSA-N -1 1 330.304 -0.707 20 0 EBADMM O=C([O-])CCNC(=O)C(=O)N1CCC[C@@H](CN2CCOCC2)C1 ZINC001611443555 971056584 /nfs/dbraw/zinc/05/65/84/971056584.db2.gz YWFAWLBOMIDTCG-LBPRGKRZSA-N -1 1 327.381 -0.852 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)C[C@@H](C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001573467351 945980082 /nfs/dbraw/zinc/98/00/82/945980082.db2.gz CEJRODJQTNLNCJ-BDAKNGLRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)C[C@@H](C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001573467351 945980093 /nfs/dbraw/zinc/98/00/93/945980093.db2.gz CEJRODJQTNLNCJ-BDAKNGLRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N1CC[C@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001573470362 946021297 /nfs/dbraw/zinc/02/12/97/946021297.db2.gz DEBWWNPRBFXTEP-WPRPVWTQSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N1CC[C@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001573470362 946021302 /nfs/dbraw/zinc/02/13/02/946021302.db2.gz DEBWWNPRBFXTEP-WPRPVWTQSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@@H](C)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001573477106 946129440 /nfs/dbraw/zinc/12/94/40/946129440.db2.gz MTOHFJWETBGUEN-DTWKUNHWSA-N -1 1 347.383 -0.655 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@@H](C)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001573477106 946129447 /nfs/dbraw/zinc/12/94/47/946129447.db2.gz MTOHFJWETBGUEN-DTWKUNHWSA-N -1 1 347.383 -0.655 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@H]1C[C@@H](Nc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001573487013 946250049 /nfs/dbraw/zinc/25/00/49/946250049.db2.gz KLNWPJSBTWPVHR-QNSHHTMESA-N -1 1 345.367 -0.760 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@H]1C[C@@H](Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001573487013 946250062 /nfs/dbraw/zinc/25/00/62/946250062.db2.gz KLNWPJSBTWPVHR-QNSHHTMESA-N -1 1 345.367 -0.760 20 0 EBADMM CC(=O)NC1(CO)CCN(c2nc(C)cc(-c3nnn[n-]3)n2)CC1 ZINC001573495799 946314733 /nfs/dbraw/zinc/31/47/33/946314733.db2.gz SXGDRQGNYKBQBL-UHFFFAOYSA-N -1 1 332.368 -0.567 20 0 EBADMM CC(=O)NC1(CO)CCN(c2nc(C)cc(-c3nn[n-]n3)n2)CC1 ZINC001573495799 946314747 /nfs/dbraw/zinc/31/47/47/946314747.db2.gz SXGDRQGNYKBQBL-UHFFFAOYSA-N -1 1 332.368 -0.567 20 0 EBADMM CC(=O)NCC(=O)N1C[C@@H](C)[C@H](Nc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001573499524 946370368 /nfs/dbraw/zinc/37/03/68/946370368.db2.gz FECCQOIORJEKKF-LDYMZIIASA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)NCC(=O)N1C[C@@H](C)[C@H](Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001573499524 946370381 /nfs/dbraw/zinc/37/03/81/946370381.db2.gz FECCQOIORJEKKF-LDYMZIIASA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)CCNc1nc(C)cc(-c2nnn[n-]2)n1 ZINC001573511718 946489998 /nfs/dbraw/zinc/48/99/98/946489998.db2.gz RIDQAAFDDGLPFS-MRVPVSSYSA-N -1 1 347.383 -0.592 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)CCNc1nc(C)cc(-c2nn[n-]n2)n1 ZINC001573511718 946490001 /nfs/dbraw/zinc/49/00/01/946490001.db2.gz RIDQAAFDDGLPFS-MRVPVSSYSA-N -1 1 347.383 -0.592 20 0 EBADMM CC(=O)NCC(=O)N[C@H](CNc1nccnc1-c1nnn[n-]1)C1CC1 ZINC001573512630 946503548 /nfs/dbraw/zinc/50/35/48/946503548.db2.gz YWWHUEQCZGMVNQ-SNVBAGLBSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)N[C@H](CNc1nccnc1-c1nn[n-]n1)C1CC1 ZINC001573512630 946503555 /nfs/dbraw/zinc/50/35/55/946503555.db2.gz YWWHUEQCZGMVNQ-SNVBAGLBSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](CNc1nccnc1-c1nnn[n-]1)C1CC1 ZINC001573512629 946503575 /nfs/dbraw/zinc/50/35/75/946503575.db2.gz YWWHUEQCZGMVNQ-JTQLQIEISA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](CNc1nccnc1-c1nn[n-]n1)C1CC1 ZINC001573512629 946503587 /nfs/dbraw/zinc/50/35/87/946503587.db2.gz YWWHUEQCZGMVNQ-JTQLQIEISA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)NC[C@H]1C[C@@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001573520625 946602287 /nfs/dbraw/zinc/60/22/87/946602287.db2.gz MULFUKSWLWKDTJ-AOOOYVTPSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)NC[C@H]1C[C@@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001573520625 946602293 /nfs/dbraw/zinc/60/22/93/946602293.db2.gz MULFUKSWLWKDTJ-AOOOYVTPSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)N[C@@H]1CN(c2snc(Cl)c2-c2nnn[n-]2)C[C@H]1O ZINC001573538622 946772804 /nfs/dbraw/zinc/77/28/04/946772804.db2.gz YUWQVPPUAJBVAJ-PHDIDXHHSA-N -1 1 329.773 -0.338 20 0 EBADMM CC(=O)N[C@@H]1CN(c2snc(Cl)c2-c2nn[n-]n2)C[C@H]1O ZINC001573538622 946772812 /nfs/dbraw/zinc/77/28/12/946772812.db2.gz YUWQVPPUAJBVAJ-PHDIDXHHSA-N -1 1 329.773 -0.338 20 0 EBADMM CC(=O)c1cccc(Cn2c(=O)c(-c3nn[n-]n3)cn(C)c2=O)c1 ZINC001573555200 946906448 /nfs/dbraw/zinc/90/64/48/946906448.db2.gz FUYSAVGAYRKMRP-UHFFFAOYSA-N -1 1 326.316 -0.022 20 0 EBADMM C[C@@H](C(=O)N(C)C[C@@H](O)CNc1cnc(-c2nnn[n-]2)cn1)C1CC1 ZINC001573596535 947432268 /nfs/dbraw/zinc/43/22/68/947432268.db2.gz KZCANUCFOVUHQW-KOLCDFICSA-N -1 1 346.395 -0.066 20 0 EBADMM C[C@@H](C(=O)N(C)C[C@@H](O)CNc1cnc(-c2nn[n-]n2)cn1)C1CC1 ZINC001573596535 947432272 /nfs/dbraw/zinc/43/22/72/947432272.db2.gz KZCANUCFOVUHQW-KOLCDFICSA-N -1 1 346.395 -0.066 20 0 EBADMM C[C@H](C(=O)N(C)Cc1nnc2n1CCC2)n1cnc(-c2nn[n-]n2)n1 ZINC001573603648 947494447 /nfs/dbraw/zinc/49/44/47/947494447.db2.gz IYDWJOUKGAWBOE-MRVPVSSYSA-N -1 1 343.355 -0.785 20 0 EBADMM C[C@H](C(=O)N(C)CCNc1ccnc(-c2nn[n-]n2)n1)[C@@H]1CCCO1 ZINC001573604549 947514009 /nfs/dbraw/zinc/51/40/09/947514009.db2.gz OSDKLRFHCBTLRE-QWRGUYRKSA-N -1 1 346.395 -0.236 20 0 EBADMM C[C@@H](C(=O)N(C)CCNc1cncc(-c2nnn[n-]2)n1)n1cncn1 ZINC001573604580 947515520 /nfs/dbraw/zinc/51/55/20/947515520.db2.gz PIMJTKYSZXZKKT-VIFPVBQESA-N -1 1 343.355 -0.620 20 0 EBADMM C[C@@H](C(=O)N(C)CCNc1cncc(-c2nn[n-]n2)n1)n1cncn1 ZINC001573604580 947515523 /nfs/dbraw/zinc/51/55/23/947515523.db2.gz PIMJTKYSZXZKKT-VIFPVBQESA-N -1 1 343.355 -0.620 20 0 EBADMM C[C@H](C(=O)N(CCO)CCNc1ccnc(-c2nn[n-]n2)n1)C1CC1 ZINC001573607817 947553696 /nfs/dbraw/zinc/55/36/96/947553696.db2.gz RVRCTSIBLJIXLG-JTQLQIEISA-N -1 1 346.395 -0.643 20 0 EBADMM C[C@H](C(=O)N1CCC(F)(F)[C@H](CO)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573618956 947658346 /nfs/dbraw/zinc/65/83/46/947658346.db2.gz SZIKPCABMZWMRG-SFYZADRCSA-N -1 1 342.310 -0.505 20 0 EBADMM CC(=O)[C@H](Cc1ccccc1)NC(=O)Cn1cc(-c2nn[n-]n2)nn1 ZINC001573363082 947709079 /nfs/dbraw/zinc/70/90/79/947709079.db2.gz BAIYHEURJPWEBK-LBPRGKRZSA-N -1 1 340.347 -0.225 20 0 EBADMM CC(=O)C[C@@H](C)NC(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001573366017 947738593 /nfs/dbraw/zinc/73/85/93/947738593.db2.gz OSYARUZACQKKHE-SSDOTTSWSA-N -1 1 335.324 -0.997 20 0 EBADMM C[C@H](C(=O)N1CCC[C@H](c2ncon2)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573628822 947766015 /nfs/dbraw/zinc/76/60/15/947766015.db2.gz OHTQLBJBWTUJNJ-BDAKNGLRSA-N -1 1 344.339 -0.192 20 0 EBADMM CC(=O)CCCC(=O)NC[C@@H](CO)Nc1cncc(-c2nnn[n-]2)n1 ZINC001573380883 947864610 /nfs/dbraw/zinc/86/46/10/947864610.db2.gz JHKALQADCMQOSB-JTQLQIEISA-N -1 1 348.367 -0.695 20 0 EBADMM CC(=O)CCCC(=O)NC[C@@H](CO)Nc1cncc(-c2nn[n-]n2)n1 ZINC001573380883 947864617 /nfs/dbraw/zinc/86/46/17/947864617.db2.gz JHKALQADCMQOSB-JTQLQIEISA-N -1 1 348.367 -0.695 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)C[C@H](C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001573387493 947910231 /nfs/dbraw/zinc/91/02/31/947910231.db2.gz BJNOSIVRUQBKBA-VIFPVBQESA-N -1 1 347.383 -0.606 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)C[C@H](C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001573387493 947910236 /nfs/dbraw/zinc/91/02/36/947910236.db2.gz BJNOSIVRUQBKBA-VIFPVBQESA-N -1 1 347.383 -0.606 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)C[C@H](C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001573387590 947911198 /nfs/dbraw/zinc/91/11/98/947911198.db2.gz CNNNIHPACMALPA-VIFPVBQESA-N -1 1 347.383 -0.606 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)C[C@H](C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001573387590 947911207 /nfs/dbraw/zinc/91/12/07/947911207.db2.gz CNNNIHPACMALPA-VIFPVBQESA-N -1 1 347.383 -0.606 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H]1C[C@@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001573409493 948075912 /nfs/dbraw/zinc/07/59/12/948075912.db2.gz SLWXMGIYEDQFDU-AOOOYVTPSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H]1C[C@@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001573409493 948075915 /nfs/dbraw/zinc/07/59/15/948075915.db2.gz SLWXMGIYEDQFDU-AOOOYVTPSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CCC2(C1)OCCO2)n1cnc(-c2nn[n-]n2)n1 ZINC001573667473 948098702 /nfs/dbraw/zinc/09/87/02/948098702.db2.gz ZXQLGLNUBMIXHG-RKDXNWHRSA-N -1 1 334.340 -0.569 20 0 EBADMM CC(=O)N1CCC(NC(=O)Cn2c(C)c(C)nc2-c2nnn[n-]2)CC1 ZINC001573433564 948203710 /nfs/dbraw/zinc/20/37/10/948203710.db2.gz MIDJEHOKVZUVCO-UHFFFAOYSA-N -1 1 346.395 -0.193 20 0 EBADMM CC(=O)N1CCC(NC(=O)Cn2c(C)c(C)nc2-c2nn[n-]n2)CC1 ZINC001573433564 948203716 /nfs/dbraw/zinc/20/37/16/948203716.db2.gz MIDJEHOKVZUVCO-UHFFFAOYSA-N -1 1 346.395 -0.193 20 0 EBADMM C[C@@H](C(=O)NC[C@H](CO)Nc1cncc(-c2nnn[n-]2)n1)C1CC1 ZINC001573681870 948217045 /nfs/dbraw/zinc/21/70/45/948217045.db2.gz YGQFRBMKBMLNPB-PSASIEDQSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@@H](C(=O)NC[C@H](CO)Nc1cncc(-c2nn[n-]n2)n1)C1CC1 ZINC001573681870 948217052 /nfs/dbraw/zinc/21/70/52/948217052.db2.gz YGQFRBMKBMLNPB-PSASIEDQSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@@H](C(=O)NC[C@@H]1CC(=O)N(C2CC2)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573693714 948285334 /nfs/dbraw/zinc/28/53/34/948285334.db2.gz AWPBSWCDLYFVOR-IUCAKERBSA-N -1 1 345.367 -0.854 20 0 EBADMM C[C@H](C(=O)NC[C@H](O)CNc1nccnc1-c1nnn[n-]1)C1CC1 ZINC001573696032 948315951 /nfs/dbraw/zinc/31/59/51/948315951.db2.gz ZMXOJASDDHYWGX-WCBMZHEXSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@H](C(=O)NC[C@H](O)CNc1nccnc1-c1nn[n-]n1)C1CC1 ZINC001573696032 948315965 /nfs/dbraw/zinc/31/59/65/948315965.db2.gz ZMXOJASDDHYWGX-WCBMZHEXSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@H](C(=O)NCC[C@H]1CC(=O)N(C)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573705093 948391834 /nfs/dbraw/zinc/39/18/34/948391834.db2.gz CQWBOBLBSPBUMP-BDAKNGLRSA-N -1 1 333.356 -0.996 20 0 EBADMM C[C@H](C(=O)NCCN(C)c1nccnc1-c1nnn[n-]1)n1cccn1 ZINC001573717517 948510841 /nfs/dbraw/zinc/51/08/41/948510841.db2.gz DTLCOCCBCUQICZ-SNVBAGLBSA-N -1 1 342.367 -0.333 20 0 EBADMM C[C@H](C(=O)NCCN(C)c1nccnc1-c1nn[n-]n1)n1cccn1 ZINC001573717517 948510849 /nfs/dbraw/zinc/51/08/49/948510849.db2.gz DTLCOCCBCUQICZ-SNVBAGLBSA-N -1 1 342.367 -0.333 20 0 EBADMM C[C@@H](C(=O)NCCNc1nccnc1-c1nnn[n-]1)[C@H]1CCC(=O)N1 ZINC001573718403 948518268 /nfs/dbraw/zinc/51/82/68/948518268.db2.gz RHVBTYJNOSRLMU-RKDXNWHRSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@@H](C(=O)NCCNc1nccnc1-c1nn[n-]n1)[C@H]1CCC(=O)N1 ZINC001573718403 948518271 /nfs/dbraw/zinc/51/82/71/948518271.db2.gz RHVBTYJNOSRLMU-RKDXNWHRSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@@H](C(=O)NCCc1ccc(=O)[nH]c1)n1cnc(-c2nn[n-]n2)n1 ZINC001573719628 948527046 /nfs/dbraw/zinc/52/70/46/948527046.db2.gz XSLVJJIJVAJYQV-QMMMGPOBSA-N -1 1 329.324 -0.521 20 0 EBADMM C[C@H](C(=O)NCc1ccnc(-c2nnn[n-]2)c1)[C@H](C)S(C)(=O)=O ZINC001573729707 948571878 /nfs/dbraw/zinc/57/18/78/948571878.db2.gz JFIFTVVLBOLVSX-IUCAKERBSA-N -1 1 338.393 -0.053 20 0 EBADMM C[C@H](C(=O)NCc1ccnc(-c2nn[n-]n2)c1)[C@H](C)S(C)(=O)=O ZINC001573729707 948571883 /nfs/dbraw/zinc/57/18/83/948571883.db2.gz JFIFTVVLBOLVSX-IUCAKERBSA-N -1 1 338.393 -0.053 20 0 EBADMM C[C@H](C(=O)N[C@@H](C)CNc1ccnc(-c2nn[n-]n2)n1)n1cccn1 ZINC001573731874 948598537 /nfs/dbraw/zinc/59/85/37/948598537.db2.gz JLSORIKGHYDHHI-VHSXEESVSA-N -1 1 342.367 -0.547 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CN(c2cncc(-c3nnn[n-]3)n2)C[C@@H]1O)C1CC1 ZINC001573740513 948635979 /nfs/dbraw/zinc/63/59/79/948635979.db2.gz KJDAILVEFLCNNS-AXTRIDKLSA-N -1 1 344.379 -0.632 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CN(c2cncc(-c3nn[n-]n3)n2)C[C@@H]1O)C1CC1 ZINC001573740513 948635982 /nfs/dbraw/zinc/63/59/82/948635982.db2.gz KJDAILVEFLCNNS-AXTRIDKLSA-N -1 1 344.379 -0.632 20 0 EBADMM O=C(N[C@@H]1C[C@@H](O)[C@@H](O)C1)c1cc(F)c(-c2nnn[n-]2)c(F)c1 ZINC001570923427 948662743 /nfs/dbraw/zinc/66/27/43/948662743.db2.gz XXJQYUBQXQRWHU-JDBBZGQPSA-N -1 1 325.275 -0.241 20 0 EBADMM O=C(N[C@@H]1C[C@@H](O)[C@@H](O)C1)c1cc(F)c(-c2nn[n-]n2)c(F)c1 ZINC001570923427 948662747 /nfs/dbraw/zinc/66/27/47/948662747.db2.gz XXJQYUBQXQRWHU-JDBBZGQPSA-N -1 1 325.275 -0.241 20 0 EBADMM O=C(Nc1nc(Cl)c(-c2nn[n-]n2)s1)N[C@@H]1CNC(=O)C1 ZINC001570925402 948668559 /nfs/dbraw/zinc/66/85/59/948668559.db2.gz BTJDANCSFYRZMD-VKHMYHEASA-N -1 1 328.745 -0.013 20 0 EBADMM O=C(Nc1cn[nH]c1)[C@H]1CCN(c2cncc(-c3nnn[n-]3)n2)C1 ZINC001570925963 948680079 /nfs/dbraw/zinc/68/00/79/948680079.db2.gz UGALNNFWYWODFK-QMMMGPOBSA-N -1 1 326.324 -0.155 20 0 EBADMM O=C(Nc1cn[nH]c1)[C@H]1CCN(c2cncc(-c3nn[n-]n3)n2)C1 ZINC001570925963 948680082 /nfs/dbraw/zinc/68/00/82/948680082.db2.gz UGALNNFWYWODFK-QMMMGPOBSA-N -1 1 326.324 -0.155 20 0 EBADMM O=C(N[C@H]1CCN(c2ccnc(-c3nn[n-]n3)n2)C1)c1ccon1 ZINC001570926793 948697112 /nfs/dbraw/zinc/69/71/12/948697112.db2.gz FHSHCRMTAKJMHU-QMMMGPOBSA-N -1 1 327.308 -0.347 20 0 EBADMM Cc1ccnc([C@H](C)NC(=O)[C@H](C)n2cnc(-c3nn[n-]n3)n2)n1 ZINC001570926991 948702300 /nfs/dbraw/zinc/70/23/00/948702300.db2.gz MENZOPLSLRXUNE-IUCAKERBSA-N -1 1 328.340 -0.005 20 0 EBADMM C[C@@H](C(=O)N1Cc2c[nH]nc2[C@H](C)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001570927600 948713933 /nfs/dbraw/zinc/71/39/33/948713933.db2.gz UKPQMQZVVJPVKK-SFYZADRCSA-N -1 1 328.340 -0.112 20 0 EBADMM O=C(N[C@@H]1CCN(c2ccnc(-c3nn[n-]n3)n2)C1)[C@@H]1CCCO1 ZINC001570928102 948724146 /nfs/dbraw/zinc/72/41/46/948724146.db2.gz MJDUOPRMNXJICW-ZJUUUORDSA-N -1 1 330.352 -0.469 20 0 EBADMM O=C(N[C@H]1CCN(c2cnc(-c3nnn[n-]3)cn2)C1)[C@H]1CCCO1 ZINC001570928535 948735759 /nfs/dbraw/zinc/73/57/59/948735759.db2.gz RPUOPYODNWJJBJ-GXSJLCMTSA-N -1 1 330.352 -0.469 20 0 EBADMM O=C(N[C@H]1CCN(c2cnc(-c3nn[n-]n3)cn2)C1)[C@H]1CCCO1 ZINC001570928535 948735765 /nfs/dbraw/zinc/73/57/65/948735765.db2.gz RPUOPYODNWJJBJ-GXSJLCMTSA-N -1 1 330.352 -0.469 20 0 EBADMM O=C([C@H]1CCOC1)N1CC[C@@H](Nc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001570928646 948737960 /nfs/dbraw/zinc/73/79/60/948737960.db2.gz UBKNIZKCMJXCQF-VHSXEESVSA-N -1 1 330.352 -0.294 20 0 EBADMM O=C([C@H]1CCOC1)N1CC[C@@H](Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001570928646 948737969 /nfs/dbraw/zinc/73/79/69/948737969.db2.gz UBKNIZKCMJXCQF-VHSXEESVSA-N -1 1 330.352 -0.294 20 0 EBADMM C[C@H](C(=O)Nc1ccnn1[C@@H]1CCOC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573754309 948738914 /nfs/dbraw/zinc/73/89/14/948738914.db2.gz DXQATGJSNKXXEZ-RKDXNWHRSA-N -1 1 344.339 -0.184 20 0 EBADMM C[C@@H](CCNc1cnc(-c2nnn[n-]2)cn1)NC(=O)[C@]12C[C@H]1COC2 ZINC001574678614 948751534 /nfs/dbraw/zinc/75/15/34/948751534.db2.gz HIUUURPZOQIBLM-YHAQOWFVSA-N -1 1 344.379 0.000 20 0 EBADMM C[C@@H](CCNc1cnc(-c2nn[n-]n2)cn1)NC(=O)[C@]12C[C@H]1COC2 ZINC001574678614 948751545 /nfs/dbraw/zinc/75/15/45/948751545.db2.gz HIUUURPZOQIBLM-YHAQOWFVSA-N -1 1 344.379 0.000 20 0 EBADMM O=C(Cc1ccc(-c2nnn[n-]2)nc1)N1CCC[C@@H](CO)[C@H]1CO ZINC001570929367 948763319 /nfs/dbraw/zinc/76/33/19/948763319.db2.gz RRPGSJQQQQSDJF-WCQYABFASA-N -1 1 332.364 -0.604 20 0 EBADMM O=C(Cc1ccc(-c2nn[n-]n2)nc1)N1CCC[C@@H](CO)[C@H]1CO ZINC001570929367 948763331 /nfs/dbraw/zinc/76/33/31/948763331.db2.gz RRPGSJQQQQSDJF-WCQYABFASA-N -1 1 332.364 -0.604 20 0 EBADMM CC(C)[C@H](Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[n-]n1)c1nncn1C ZINC001574080351 948788575 /nfs/dbraw/zinc/78/85/75/948788575.db2.gz PQXRMXGZOMQVAX-QMMMGPOBSA-N -1 1 346.355 -0.396 20 0 EBADMM C[C@@H](C(=O)Nc1nnn(C)c1C(F)F)n1cnc(-c2nn[n-]n2)n1 ZINC001573755934 948791432 /nfs/dbraw/zinc/79/14/32/948791432.db2.gz VHQDQTFUOSFVSF-BYPYZUCNSA-N -1 1 339.270 -0.276 20 0 EBADMM C[C@H](C(=O)Nc1csc(C(N)=O)c1)n1cnc(-c2nn[n-]n2)n1 ZINC001573756136 948798776 /nfs/dbraw/zinc/79/87/76/948798776.db2.gz XTBQZIWWKSSDCC-RXMQYKEDSA-N -1 1 333.337 -0.182 20 0 EBADMM C[C@@H](C(N)=O)[N@@H+]1CC[C@@](C)(NC(=O)c2ccc(-c3nn[nH]n3)[nH]2)C1 ZINC001573756301 948806142 /nfs/dbraw/zinc/80/61/42/948806142.db2.gz YTIKQNJSZRWQNY-RMLUDKJBSA-N -1 1 332.368 -0.737 20 0 EBADMM C[C@H](C(N)=O)[N@@H+]1CC[C@](C)(NC(=O)c2ccc(-c3nn[nH]n3)[nH]2)C1 ZINC001573756300 948806980 /nfs/dbraw/zinc/80/69/80/948806980.db2.gz YTIKQNJSZRWQNY-CLAHSXSESA-N -1 1 332.368 -0.737 20 0 EBADMM CC(C)[C@@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)c1cnn(C)c1 ZINC001574081249 948822777 /nfs/dbraw/zinc/82/27/77/948822777.db2.gz ZDTCYMWZRXUEBA-LLVKDONJSA-N -1 1 330.356 -0.295 20 0 EBADMM C[C@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)c1cnnn1C ZINC001574682255 948831279 /nfs/dbraw/zinc/83/12/79/948831279.db2.gz QSAUDZXUGDRVQA-MRVPVSSYSA-N -1 1 343.355 -0.594 20 0 EBADMM C[C@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)c1cnnn1C ZINC001574682255 948831287 /nfs/dbraw/zinc/83/12/87/948831287.db2.gz QSAUDZXUGDRVQA-MRVPVSSYSA-N -1 1 343.355 -0.594 20 0 EBADMM CC(=O)N1CCC[C@@H](N(CCO)c2cnc(-c3nnn[n-]3)cn2)C1 ZINC001570931403 948845564 /nfs/dbraw/zinc/84/55/64/948845564.db2.gz XBAIVCHCBDSSTG-LLVKDONJSA-N -1 1 332.368 -0.534 20 0 EBADMM CC(=O)N1CCC[C@@H](N(CCO)c2cnc(-c3nn[n-]n3)cn2)C1 ZINC001570931403 948845583 /nfs/dbraw/zinc/84/55/83/948845583.db2.gz XBAIVCHCBDSSTG-LLVKDONJSA-N -1 1 332.368 -0.534 20 0 EBADMM CN1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)c1cccnc1-c1nn[n-]n1 ZINC001570933170 948878644 /nfs/dbraw/zinc/87/86/44/948878644.db2.gz HJMZKRKIGUBITA-AOOOYVTPSA-N -1 1 335.393 -0.271 20 0 EBADMM CC(C)C1(CNC(=O)Cn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)CC1 ZINC001574087932 948901370 /nfs/dbraw/zinc/90/13/70/948901370.db2.gz BOKGBFFLAROGQI-UHFFFAOYSA-N -1 1 333.352 -0.319 20 0 EBADMM COC(C)(C)[C@H](C)NC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570933736 948907154 /nfs/dbraw/zinc/90/71/54/948907154.db2.gz DFYGIUXNDFIQHI-ZETCQYMHSA-N -1 1 337.340 -0.941 20 0 EBADMM C[C@@H](C(=O)N[C@H]1CCCC12OCCO2)n1cnc(-c2nn[n-]n2)n1 ZINC001570935066 948964494 /nfs/dbraw/zinc/96/44/94/948964494.db2.gz POFDCXMZEQEDRM-IUCAKERBSA-N -1 1 334.340 -0.569 20 0 EBADMM CC(F)(F)CCNC(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001575241010 948972222 /nfs/dbraw/zinc/97/22/22/948972222.db2.gz AGNODHYQTIGEAT-UHFFFAOYSA-N -1 1 343.294 -0.319 20 0 EBADMM CC(C)O[C@]1(CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)CCOC1 ZINC001570935359 948978603 /nfs/dbraw/zinc/97/86/03/948978603.db2.gz QRUPCCJNIAUHND-ZDUSSCGKSA-N -1 1 336.356 -0.842 20 0 EBADMM Cc1cnn(C)c1S(=O)(=O)NCc1ccnc(-c2nnn[n-]2)c1 ZINC001570935375 948979480 /nfs/dbraw/zinc/97/94/80/948979480.db2.gz RSKXYGVGRZXCDB-UHFFFAOYSA-N -1 1 334.365 -0.218 20 0 EBADMM Cc1cnn(C)c1S(=O)(=O)NCc1ccnc(-c2nn[n-]n2)c1 ZINC001570935375 948979494 /nfs/dbraw/zinc/97/94/94/948979494.db2.gz RSKXYGVGRZXCDB-UHFFFAOYSA-N -1 1 334.365 -0.218 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)C(C)(C)C(N)=O ZINC001574689934 948993405 /nfs/dbraw/zinc/99/34/05/948993405.db2.gz MFWSMOVEHQRTOO-QMMMGPOBSA-N -1 1 347.383 -0.525 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)C(C)(C)C(N)=O ZINC001574689934 948993420 /nfs/dbraw/zinc/99/34/20/948993420.db2.gz MFWSMOVEHQRTOO-QMMMGPOBSA-N -1 1 347.383 -0.525 20 0 EBADMM O=C(Cc1cc[nH]n1)N[C@@H]1CCN(c2nccnc2-c2nnn[n-]2)C1 ZINC001570937904 949088757 /nfs/dbraw/zinc/08/87/57/949088757.db2.gz LNPUZIVQFNDNMO-SNVBAGLBSA-N -1 1 340.351 -0.683 20 0 EBADMM O=C(Cc1cc[nH]n1)N[C@@H]1CCN(c2nccnc2-c2nn[n-]n2)C1 ZINC001570937904 949088777 /nfs/dbraw/zinc/08/87/77/949088777.db2.gz LNPUZIVQFNDNMO-SNVBAGLBSA-N -1 1 340.351 -0.683 20 0 EBADMM O=C(NC[C@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C1)c1cn[nH]c1 ZINC001570937860 949089415 /nfs/dbraw/zinc/08/94/15/949089415.db2.gz LAAXDVBIWAJYOW-SECBINFHSA-N -1 1 340.351 -0.364 20 0 EBADMM O=C(NC[C@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C1)c1cn[nH]c1 ZINC001570937860 949089433 /nfs/dbraw/zinc/08/94/33/949089433.db2.gz LAAXDVBIWAJYOW-SECBINFHSA-N -1 1 340.351 -0.364 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)c1cnon1 ZINC001574696034 949124399 /nfs/dbraw/zinc/12/43/99/949124399.db2.gz MBIFGVVSWIHQFJ-SSDOTTSWSA-N -1 1 330.312 -0.340 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)c1cnon1 ZINC001574696034 949124409 /nfs/dbraw/zinc/12/44/09/949124409.db2.gz MBIFGVVSWIHQFJ-SSDOTTSWSA-N -1 1 330.312 -0.340 20 0 EBADMM C[C@H](CNC(=O)COCC1CC1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574932073 949128965 /nfs/dbraw/zinc/12/89/65/949128965.db2.gz UQPULBYLUKIKFT-SECBINFHSA-N -1 1 332.368 0.000 20 0 EBADMM C[C@H](CNC(=O)COCC1CC1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574932073 949128952 /nfs/dbraw/zinc/12/89/52/949128952.db2.gz UQPULBYLUKIKFT-SECBINFHSA-N -1 1 332.368 0.000 20 0 EBADMM C[C@H](CNC(=O)COCC1CC1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001574932340 949141493 /nfs/dbraw/zinc/14/14/93/949141493.db2.gz XYEHZOSKSUBTRL-JTQLQIEISA-N -1 1 346.395 -0.331 20 0 EBADMM C[C@H](CNC(=O)COCC(F)F)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574932412 949143380 /nfs/dbraw/zinc/14/33/80/949143380.db2.gz ZUBKBEPPEGFTAU-SSDOTTSWSA-N -1 1 342.310 -0.145 20 0 EBADMM C[C@H](CNC(=O)COCC(F)F)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574932412 949143391 /nfs/dbraw/zinc/14/33/91/949143391.db2.gz ZUBKBEPPEGFTAU-SSDOTTSWSA-N -1 1 342.310 -0.145 20 0 EBADMM O=C(N[C@H]1C[C@H](CNc2ccc(-c3nnn[n-]3)nn2)C1)c1cnon1 ZINC001570939611 949148801 /nfs/dbraw/zinc/14/88/01/949148801.db2.gz PUVXXVVYIOTYLT-ZKCHVHJHSA-N -1 1 342.323 -0.340 20 0 EBADMM O=C(N[C@H]1C[C@H](CNc2ccc(-c3nn[n-]n3)nn2)C1)c1cnon1 ZINC001570939611 949148807 /nfs/dbraw/zinc/14/88/07/949148807.db2.gz PUVXXVVYIOTYLT-ZKCHVHJHSA-N -1 1 342.323 -0.340 20 0 EBADMM NC(=O)[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)Cc1ccc(F)cc1 ZINC001570939664 949153217 /nfs/dbraw/zinc/15/32/17/949153217.db2.gz FPWYPXNRLIOPRU-SNVBAGLBSA-N -1 1 342.338 -0.026 20 0 EBADMM O=C(c1cnco1)N1CC[C@H](CNc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570940120 949168906 /nfs/dbraw/zinc/16/89/06/949168906.db2.gz KFSDATYLXYPDSZ-SECBINFHSA-N -1 1 341.335 -0.359 20 0 EBADMM C[C@H](CC(N)=O)C(=O)N(C)C[C@H](C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574698417 949189985 /nfs/dbraw/zinc/18/99/85/949189985.db2.gz KLWNYJWHLHONHI-BDAKNGLRSA-N -1 1 347.383 -0.573 20 0 EBADMM C[C@H](CC(N)=O)C(=O)N(C)C[C@H](C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574698417 949189998 /nfs/dbraw/zinc/18/99/98/949189998.db2.gz KLWNYJWHLHONHI-BDAKNGLRSA-N -1 1 347.383 -0.573 20 0 EBADMM CC(C)CC(=O)N(C)C[C@H](O)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001574100687 949201075 /nfs/dbraw/zinc/20/10/75/949201075.db2.gz WXNIGZKYDJIOLC-SNVBAGLBSA-N -1 1 334.384 -0.644 20 0 EBADMM CC[C@](C)(NS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1)C(N)=O ZINC001570941107 949207809 /nfs/dbraw/zinc/20/78/09/949207809.db2.gz UEHXWGBORNVJLX-LBPRGKRZSA-N -1 1 342.356 -0.062 20 0 EBADMM Cn1cc(C(=O)N2CC[C@@H](Nc3ccc(-c4nnn[n-]4)nn3)C2)nn1 ZINC001570941411 949221281 /nfs/dbraw/zinc/22/12/81/949221281.db2.gz VULHIXJTWIUWKJ-MRVPVSSYSA-N -1 1 341.339 -0.888 20 0 EBADMM Cn1cc(C(=O)N2CC[C@@H](Nc3ccc(-c4nn[n-]n4)nn3)C2)nn1 ZINC001570941411 949221297 /nfs/dbraw/zinc/22/12/97/949221297.db2.gz VULHIXJTWIUWKJ-MRVPVSSYSA-N -1 1 341.339 -0.888 20 0 EBADMM NC(=O)CC1CC(NS(=O)(=O)c2ccc(-c3nnn[n-]3)s2)C1 ZINC001570941489 949227238 /nfs/dbraw/zinc/22/72/38/949227238.db2.gz WJAPEEFJDCKZCH-UHFFFAOYSA-N -1 1 342.406 -0.140 20 0 EBADMM NC(=O)CC1CC(NS(=O)(=O)c2ccc(-c3nn[n-]n3)s2)C1 ZINC001570941489 949227264 /nfs/dbraw/zinc/22/72/64/949227264.db2.gz WJAPEEFJDCKZCH-UHFFFAOYSA-N -1 1 342.406 -0.140 20 0 EBADMM C[C@@H](CNC(=O)Cc1ccon1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574941432 949307464 /nfs/dbraw/zinc/30/74/64/949307464.db2.gz DHDXPULSGVXGDY-QMMMGPOBSA-N -1 1 329.324 -0.774 20 0 EBADMM C[C@H](CNC(=O)Cc1ccon1)Nc1nccnc1-c1nnn[n-]1 ZINC001574941497 949313255 /nfs/dbraw/zinc/31/32/55/949313255.db2.gz DXGWBOGXMPFCKY-MRVPVSSYSA-N -1 1 329.324 -0.196 20 0 EBADMM C[C@H](CNC(=O)Cc1ccon1)Nc1nccnc1-c1nn[n-]n1 ZINC001574941497 949313276 /nfs/dbraw/zinc/31/32/76/949313276.db2.gz DXGWBOGXMPFCKY-MRVPVSSYSA-N -1 1 329.324 -0.196 20 0 EBADMM C[C@H](NC(=O)C1=COCCO1)[C@H](C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575259128 949316386 /nfs/dbraw/zinc/31/63/86/949316386.db2.gz MEZIEEQPFKAGQH-IUCAKERBSA-N -1 1 346.351 -0.150 20 0 EBADMM C[C@H](NC(=O)C1=COCCO1)[C@H](C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575259128 949316402 /nfs/dbraw/zinc/31/64/02/949316402.db2.gz MEZIEEQPFKAGQH-IUCAKERBSA-N -1 1 346.351 -0.150 20 0 EBADMM C[C@@H](NC(=O)C1=COCCO1)[C@@H](C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575259129 949319073 /nfs/dbraw/zinc/31/90/73/949319073.db2.gz MEZIEEQPFKAGQH-RKDXNWHRSA-N -1 1 346.351 -0.150 20 0 EBADMM C[C@@H](NC(=O)C1=COCCO1)[C@@H](C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575259129 949319101 /nfs/dbraw/zinc/31/91/01/949319101.db2.gz MEZIEEQPFKAGQH-RKDXNWHRSA-N -1 1 346.351 -0.150 20 0 EBADMM CC(C)(C)C(=O)N[C@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C[C@@H]1O ZINC001573799378 949367137 /nfs/dbraw/zinc/36/71/37/949367137.db2.gz LWGAVNDBNIPPBG-ONGXEEELSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)(C)C(=O)N[C@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C[C@@H]1O ZINC001573799378 949367160 /nfs/dbraw/zinc/36/71/60/949367160.db2.gz LWGAVNDBNIPPBG-ONGXEEELSA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@@H](CNC(=O)Cc1ncc[nH]1)CNc1nccnc1-c1nnn[n-]1 ZINC001574945036 949406070 /nfs/dbraw/zinc/40/60/70/949406070.db2.gz JCLNZGWCEFKGMI-VIFPVBQESA-N -1 1 342.367 -0.213 20 0 EBADMM C[C@@H](CNC(=O)Cc1ncc[nH]1)CNc1nccnc1-c1nn[n-]n1 ZINC001574945036 949406087 /nfs/dbraw/zinc/40/60/87/949406087.db2.gz JCLNZGWCEFKGMI-VIFPVBQESA-N -1 1 342.367 -0.213 20 0 EBADMM C[C@H](CNC(=O)Cc1cnoc1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574945731 949413928 /nfs/dbraw/zinc/41/39/28/949413928.db2.gz NBIIUHFXHKQJRZ-MRVPVSSYSA-N -1 1 329.324 -0.196 20 0 EBADMM C[C@H](CNC(=O)Cc1cnoc1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574945731 949413936 /nfs/dbraw/zinc/41/39/36/949413936.db2.gz NBIIUHFXHKQJRZ-MRVPVSSYSA-N -1 1 329.324 -0.196 20 0 EBADMM C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1CNC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570944767 949442494 /nfs/dbraw/zinc/44/24/94/949442494.db2.gz XOMMSTPFOUKIMB-DOLQZWNJSA-N -1 1 345.363 -0.463 20 0 EBADMM C[C@H]1CCN(S(=O)(=O)c2ccc(-c3nn[n-]n3)o2)CC[S@]1=O ZINC001570944968 949451736 /nfs/dbraw/zinc/45/17/36/949451736.db2.gz IGBWUJKICNASCB-HXNGOWOSSA-N -1 1 345.406 -0.009 20 0 EBADMM CC(C)n1nccc1NC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001574469301 949475530 /nfs/dbraw/zinc/47/55/30/949475530.db2.gz AJNLGCGMNQTTMJ-UHFFFAOYSA-N -1 1 345.323 -0.455 20 0 EBADMM C[C@H](CNC(=O)Cn1ccnc1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001574948858 949475919 /nfs/dbraw/zinc/47/59/19/949475919.db2.gz NWIQJDRCPSYNBE-SNVBAGLBSA-N -1 1 342.367 -0.506 20 0 EBADMM C[C@@H](CNC(=O)Cn1cccn1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001574948861 949476614 /nfs/dbraw/zinc/47/66/14/949476614.db2.gz NYAXFFLYOZOSNJ-JTQLQIEISA-N -1 1 342.367 -0.506 20 0 EBADMM C[C@@H](CNC(=O)Cn1cccn1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001574948861 949476624 /nfs/dbraw/zinc/47/66/24/949476624.db2.gz NYAXFFLYOZOSNJ-JTQLQIEISA-N -1 1 342.367 -0.506 20 0 EBADMM C[C@@H]1CCC[C@H]1NC(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001576158458 949494925 /nfs/dbraw/zinc/49/49/25/949494925.db2.gz SUKHVYYOKKWOPZ-PSASIEDQSA-N -1 1 333.352 -0.176 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nnn[n-]2)C[C@H]1NC(=O)[C@@H]1CCOC1 ZINC001570946253 949522793 /nfs/dbraw/zinc/52/27/93/949522793.db2.gz OPKWAZBNKQADBC-GMTAPVOTSA-N -1 1 344.379 -0.366 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nn[n-]n2)C[C@H]1NC(=O)[C@@H]1CCOC1 ZINC001570946253 949522808 /nfs/dbraw/zinc/52/28/08/949522808.db2.gz OPKWAZBNKQADBC-GMTAPVOTSA-N -1 1 344.379 -0.366 20 0 EBADMM O=C([C@@H]1CCOC1)N1CCC[C@@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570946502 949535406 /nfs/dbraw/zinc/53/54/06/949535406.db2.gz PROUNFFRIHRCFY-GHMZBOCLSA-N -1 1 344.379 -0.482 20 0 EBADMM O=C(N[C@H]1CCCN(c2cncc(-c3nnn[n-]3)n2)C1)[C@H]1CCOC1 ZINC001570947351 949566028 /nfs/dbraw/zinc/56/60/28/949566028.db2.gz XTZZWZVFMFKTJQ-QWRGUYRKSA-N -1 1 344.379 -0.222 20 0 EBADMM O=C(N[C@H]1CCCN(c2cncc(-c3nn[n-]n3)n2)C1)[C@H]1CCOC1 ZINC001570947351 949566046 /nfs/dbraw/zinc/56/60/46/949566046.db2.gz XTZZWZVFMFKTJQ-QWRGUYRKSA-N -1 1 344.379 -0.222 20 0 EBADMM CO[C@@H](C)C(=O)N1CC[C@@H](CN(C)c2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570947464 949570618 /nfs/dbraw/zinc/57/06/18/949570618.db2.gz WCLOMRJQTXLWKO-QWRGUYRKSA-N -1 1 346.395 -0.024 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1CCOC1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574713894 949613324 /nfs/dbraw/zinc/61/33/24/949613324.db2.gz YXWHTIFSKNRAPD-ZJUUUORDSA-N -1 1 332.368 -0.626 20 0 EBADMM C[C@H]1[C@@H](NC(=O)CC(N)=O)CCCN1c1cnc(-c2nnn[n-]2)cn1 ZINC001575875102 949623102 /nfs/dbraw/zinc/62/31/02/949623102.db2.gz UVSVSFPTWNCOBL-IUCAKERBSA-N -1 1 345.367 -0.994 20 0 EBADMM C[C@H]1[C@@H](NC(=O)CC(N)=O)CCCN1c1cnc(-c2nn[n-]n2)cn1 ZINC001575875102 949623117 /nfs/dbraw/zinc/62/31/17/949623117.db2.gz UVSVSFPTWNCOBL-IUCAKERBSA-N -1 1 345.367 -0.994 20 0 EBADMM COC(=O)[C@@H]1CN(c2cc(-c3nnn[n-]3)nc(C)n2)C[C@H]1C(=O)OC ZINC001570949523 949646020 /nfs/dbraw/zinc/64/60/20/949646020.db2.gz VYEJOXPFHOODBK-RKDXNWHRSA-N -1 1 347.335 -0.636 20 0 EBADMM COC(=O)[C@@H]1CN(c2cc(-c3nn[n-]n3)nc(C)n2)C[C@H]1C(=O)OC ZINC001570949523 949646030 /nfs/dbraw/zinc/64/60/30/949646030.db2.gz VYEJOXPFHOODBK-RKDXNWHRSA-N -1 1 347.335 -0.636 20 0 EBADMM CC(=O)NC1CCC(Nc2[nH]c(=O)n(C)c(=O)c2-c2nn[n-]n2)CC1 ZINC001570949659 949650471 /nfs/dbraw/zinc/65/04/71/949650471.db2.gz RMCOWNOHZWBDJY-UHFFFAOYSA-N -1 1 348.367 -0.475 20 0 EBADMM C[C@@H]1CCCCN1NC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001576168877 949653996 /nfs/dbraw/zinc/65/39/96/949653996.db2.gz WIWIVJNWJMQTJY-MRVPVSSYSA-N -1 1 334.340 -0.965 20 0 EBADMM C[C@@H]1C[C@@H](CNC(=O)Cn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)CCO1 ZINC001570950132 949669756 /nfs/dbraw/zinc/66/97/56/949669756.db2.gz DTNONMNCUPAPAJ-BDAKNGLRSA-N -1 1 349.351 -0.940 20 0 EBADMM COCCC1(NC(=O)Cn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)CCC1 ZINC001570950582 949688455 /nfs/dbraw/zinc/68/84/55/949688455.db2.gz MNJWOYIZFHNOLW-UHFFFAOYSA-N -1 1 349.351 -0.796 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001574959187 949708804 /nfs/dbraw/zinc/70/88/04/949708804.db2.gz ZXKKNUSATXUYHN-DNJQJEMRSA-N -1 1 344.379 -0.287 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001574959187 949708819 /nfs/dbraw/zinc/70/88/19/949708819.db2.gz ZXKKNUSATXUYHN-DNJQJEMRSA-N -1 1 344.379 -0.287 20 0 EBADMM C[C@H](CNC(=O)[C@]1(C)CCC(=O)N1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574965874 949822053 /nfs/dbraw/zinc/82/20/53/949822053.db2.gz NWMRDWYXBYJFAT-CLAHSXSESA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@H](CNC(=O)[C@]1(C)CCC(=O)N1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574965874 949822075 /nfs/dbraw/zinc/82/20/75/949822075.db2.gz NWMRDWYXBYJFAT-CLAHSXSESA-N -1 1 345.367 -0.758 20 0 EBADMM CCCN(C(=O)C(=O)N1CCO[C@H](C(=O)[O-])C1)[C@H]1CCN(C)C1 ZINC001589659386 949845673 /nfs/dbraw/zinc/84/56/73/949845673.db2.gz QUSFZHCWEASDNG-RYUDHWBXSA-N -1 1 327.381 -0.759 20 0 EBADMM C[C@]1(C(=O)N[C@@H]2CCN(c3ccnc(-c4nn[n-]n4)n3)C2)CCOC1 ZINC001575568900 949904933 /nfs/dbraw/zinc/90/49/33/949904933.db2.gz CXUXVFNYSMPFST-BMIGLBTASA-N -1 1 344.379 -0.222 20 0 EBADMM CC1(C(=O)N[C@@H]2CCN(c3nccnc3-c3nnn[n-]3)C[C@@H]2O)CC1 ZINC001575570611 949942829 /nfs/dbraw/zinc/94/28/29/949942829.db2.gz TUIBUCZQOMGEDF-ZJUUUORDSA-N -1 1 344.379 -0.487 20 0 EBADMM CC1(C(=O)N[C@@H]2CCN(c3nccnc3-c3nn[n-]n3)C[C@@H]2O)CC1 ZINC001575570611 949942846 /nfs/dbraw/zinc/94/28/46/949942846.db2.gz TUIBUCZQOMGEDF-ZJUUUORDSA-N -1 1 344.379 -0.487 20 0 EBADMM C[C@H](CN(C)C(=O)Cn1ccnc1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574733117 950097901 /nfs/dbraw/zinc/09/79/01/950097901.db2.gz SFWRYOXXNWAFAC-SNVBAGLBSA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1CCC(=O)N1)Nc1nccnc1-c1nnn[n-]1 ZINC001574733177 950101258 /nfs/dbraw/zinc/10/12/58/950101258.db2.gz UXBJFBAECBZQCM-IUCAKERBSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1CCC(=O)N1)Nc1nccnc1-c1nn[n-]n1 ZINC001574733177 950101275 /nfs/dbraw/zinc/10/12/75/950101275.db2.gz UXBJFBAECBZQCM-IUCAKERBSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@H](CNC(=O)c1cnc[nH]c1=O)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574983960 950251135 /nfs/dbraw/zinc/25/11/35/950251135.db2.gz UBAPECVIGRZSNB-ZETCQYMHSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@@H](CNC(=O)c1cnc[nH]c1=O)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574983960 950251155 /nfs/dbraw/zinc/25/11/55/950251155.db2.gz UBAPECVIGRZSNB-ZETCQYMHSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@H](CC(=O)NC[C@H](O)CNc1ccc(-c2nnn[n-]2)nn1)C1CC1 ZINC001574499471 950301762 /nfs/dbraw/zinc/30/17/62/950301762.db2.gz WEPRYDFRXTXOKM-MWLCHTKSSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](CC(=O)NC[C@H](O)CNc1ccc(-c2nn[n-]n2)nn1)C1CC1 ZINC001574499471 950301782 /nfs/dbraw/zinc/30/17/82/950301782.db2.gz WEPRYDFRXTXOKM-MWLCHTKSSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](CN(C)C(=O)c1cccnn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574759019 950626609 /nfs/dbraw/zinc/62/66/09/950626609.db2.gz JFOVDQJTMAJJND-SECBINFHSA-N -1 1 340.351 -0.559 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ccn(C)n1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574760783 950681398 /nfs/dbraw/zinc/68/13/98/950681398.db2.gz ZWRWILJOOYMABI-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ccn(C)n1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574760783 950681410 /nfs/dbraw/zinc/68/14/10/950681410.db2.gz ZWRWILJOOYMABI-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H]1CC[N@H+](Cc2cc(=O)n3[n-]cc(-c4nn[n-]n4)c3n2)[C@@H]1CO ZINC001576215022 950688495 /nfs/dbraw/zinc/68/84/95/950688495.db2.gz UIGWHVMTAUAOGP-GZMMTYOYSA-N -1 1 330.352 -0.182 20 0 EBADMM C[C@H]1CC[N@@H+](Cc2cc(=O)n3[n-]cc(-c4nn[n-]n4)c3n2)[C@@H]1CO ZINC001576215022 950688506 /nfs/dbraw/zinc/68/85/06/950688506.db2.gz UIGWHVMTAUAOGP-GZMMTYOYSA-N -1 1 330.352 -0.182 20 0 EBADMM C[C@H](CN(C)C(=O)c1cn[nH]c1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574761172 950697260 /nfs/dbraw/zinc/69/72/60/950697260.db2.gz RWFQDXUMOSUBRL-MRVPVSSYSA-N -1 1 328.340 -0.626 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cnco1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574763604 950722710 /nfs/dbraw/zinc/72/27/10/950722710.db2.gz GFYYGLUTXRCHNC-QMMMGPOBSA-N -1 1 329.324 -0.361 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cnon1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574765121 950777294 /nfs/dbraw/zinc/77/72/94/950777294.db2.gz FHMVAGXDYDYUJY-ZETCQYMHSA-N -1 1 330.312 -0.388 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cnon1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574765121 950777298 /nfs/dbraw/zinc/77/72/98/950777298.db2.gz FHMVAGXDYDYUJY-ZETCQYMHSA-N -1 1 330.312 -0.388 20 0 EBADMM CC(C)(C)n1nnc(CC(=O)NCc2ccnc(-c3nnn[n-]3)c2)n1 ZINC001573893393 950881889 /nfs/dbraw/zinc/88/18/89/950881889.db2.gz DDQUPNYWFXREBY-UHFFFAOYSA-N -1 1 342.367 -0.138 20 0 EBADMM CC(C)(C)n1nnc(CC(=O)NCc2ccnc(-c3nn[n-]n3)c2)n1 ZINC001573893393 950881908 /nfs/dbraw/zinc/88/19/08/950881908.db2.gz DDQUPNYWFXREBY-UHFFFAOYSA-N -1 1 342.367 -0.138 20 0 EBADMM C[C@H](CNC(=O)c1ccc(=O)[nH]c1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575017135 950979208 /nfs/dbraw/zinc/97/92/08/950979208.db2.gz AOAAFCUXGWSSIL-MRVPVSSYSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@H](CNC(=O)c1ccc(=O)[nH]c1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575017135 950979225 /nfs/dbraw/zinc/97/92/25/950979225.db2.gz AOAAFCUXGWSSIL-MRVPVSSYSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@H](CNC(=O)c1cccnc1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575032305 951224900 /nfs/dbraw/zinc/22/49/00/951224900.db2.gz WPWGZZAFTCRGCQ-SECBINFHSA-N -1 1 325.336 -0.296 20 0 EBADMM C[C@@H](CNC(=O)c1ccnn1C)Nc1nccnc1-c1nnn[n-]1 ZINC001575034326 951243583 /nfs/dbraw/zinc/24/35/83/951243583.db2.gz MHBIUQOJFDDVOT-QMMMGPOBSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@@H](CNC(=O)c1ccnn1C)Nc1nccnc1-c1nn[n-]n1 ZINC001575034326 951243591 /nfs/dbraw/zinc/24/35/91/951243591.db2.gz MHBIUQOJFDDVOT-QMMMGPOBSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@@H](CNC(=O)c1ccnn1C)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575034925 951259975 /nfs/dbraw/zinc/25/99/75/951259975.db2.gz QQNKPVFAPXFMPN-QMMMGPOBSA-N -1 1 328.340 -0.958 20 0 EBADMM C[C@H](CNC(=O)c1ccn(C)n1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575036871 951300655 /nfs/dbraw/zinc/30/06/55/951300655.db2.gz YCNRVDMDUZNKGZ-MRVPVSSYSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@H](CNC(=O)c1ccn(C)n1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575036871 951300662 /nfs/dbraw/zinc/30/06/62/951300662.db2.gz YCNRVDMDUZNKGZ-MRVPVSSYSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@@H](CNC(=O)c1ccon1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575040052 951336235 /nfs/dbraw/zinc/33/62/35/951336235.db2.gz TVDYBLJHERIGIG-QMMMGPOBSA-N -1 1 329.324 -0.101 20 0 EBADMM C[C@@H](CNC(=O)c1ccon1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575040052 951336246 /nfs/dbraw/zinc/33/62/46/951336246.db2.gz TVDYBLJHERIGIG-QMMMGPOBSA-N -1 1 329.324 -0.101 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)cn1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575042636 951365171 /nfs/dbraw/zinc/36/51/71/951365171.db2.gz LJNWFPGUJSDZHU-MRVPVSSYSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)cn1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575042636 951365192 /nfs/dbraw/zinc/36/51/92/951365192.db2.gz LJNWFPGUJSDZHU-MRVPVSSYSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)cn1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001575043221 951382984 /nfs/dbraw/zinc/38/29/84/951382984.db2.gz PEDDYWZWYYQUGI-MRVPVSSYSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)cn1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575043221 951383001 /nfs/dbraw/zinc/38/30/01/951383001.db2.gz PEDDYWZWYYQUGI-MRVPVSSYSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@H](CNC(=O)c1cncnc1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575046686 951446093 /nfs/dbraw/zinc/44/60/93/951446093.db2.gz QZXRXONGIRVDME-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@H](CNC(=O)c1cncnc1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575046686 951446098 /nfs/dbraw/zinc/44/60/98/951446098.db2.gz QZXRXONGIRVDME-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1cnccn1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575047008 951453333 /nfs/dbraw/zinc/45/33/33/951453333.db2.gz XCHJIDJPIRCBHR-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1cnco1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575047208 951455004 /nfs/dbraw/zinc/45/50/04/951455004.db2.gz ZKEVUFHJFKPBES-QMMMGPOBSA-N -1 1 329.324 -0.101 20 0 EBADMM C[C@@H](CNC(=O)c1cnco1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575047208 951455010 /nfs/dbraw/zinc/45/50/10/951455010.db2.gz ZKEVUFHJFKPBES-QMMMGPOBSA-N -1 1 329.324 -0.101 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)c1)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001575052737 951472501 /nfs/dbraw/zinc/47/25/01/951472501.db2.gz NIPHDMZOPFBSNZ-VIFPVBQESA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)c1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001575052737 951472508 /nfs/dbraw/zinc/47/25/08/951472508.db2.gz NIPHDMZOPFBSNZ-VIFPVBQESA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@@H](CNC(=O)c1cnns1)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001575054778 951497686 /nfs/dbraw/zinc/49/76/86/951497686.db2.gz KXHNBOIOFVZSCL-SSDOTTSWSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@@H](CNC(=O)c1cnns1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001575054778 951497688 /nfs/dbraw/zinc/49/76/88/951497688.db2.gz KXHNBOIOFVZSCL-SSDOTTSWSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@H](CNC(=O)c1cnns1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575055261 951502365 /nfs/dbraw/zinc/50/23/65/951502365.db2.gz PAMKXOPJHRWDEO-SSDOTTSWSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@H](CNC(=O)c1cnns1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575055261 951502371 /nfs/dbraw/zinc/50/23/71/951502371.db2.gz PAMKXOPJHRWDEO-SSDOTTSWSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@@H](CNC(=O)c1cnsn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575055337 951503618 /nfs/dbraw/zinc/50/36/18/951503618.db2.gz QVLUYCXIIKZHJE-LURJTMIESA-N -1 1 332.353 -0.840 20 0 EBADMM C[C@@H](CNC(=O)c1n[nH]cc1F)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575061612 951557434 /nfs/dbraw/zinc/55/74/34/951557434.db2.gz OJLUTDZMQQOCNI-LURJTMIESA-N -1 1 332.303 -0.251 20 0 EBADMM C[C@@H](CNC(=O)c1n[nH]cc1F)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575061612 951557450 /nfs/dbraw/zinc/55/74/50/951557450.db2.gz OJLUTDZMQQOCNI-LURJTMIESA-N -1 1 332.303 -0.251 20 0 EBADMM C[C@@H](CNC(=O)c1n[nH]cc1F)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575062157 951565564 /nfs/dbraw/zinc/56/55/64/951565564.db2.gz UTEZCGQLBQJDPT-ZETCQYMHSA-N -1 1 346.330 -0.226 20 0 EBADMM C[C@@H](CNC(=O)c1n[nH]cc1F)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575062157 951565570 /nfs/dbraw/zinc/56/55/70/951565570.db2.gz UTEZCGQLBQJDPT-ZETCQYMHSA-N -1 1 346.330 -0.226 20 0 EBADMM C[C@H](CNC(=O)c1ncccn1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001575067152 951604147 /nfs/dbraw/zinc/60/41/47/951604147.db2.gz IWHZLVRDHKUREM-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@H](CNC(=O)c1ncccn1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575067152 951604154 /nfs/dbraw/zinc/60/41/54/951604154.db2.gz IWHZLVRDHKUREM-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM O=C([O-])CN1CC[C@@H](N2C[C@H]3C[C@@H](C2)Cn2c(=O)cccc23)C1=O ZINC001595073707 951617251 /nfs/dbraw/zinc/61/72/51/951617251.db2.gz FQORYMRBWZCPKV-OUCADQQQSA-N -1 1 331.372 -0.047 20 0 EBADMM C[C@@H](CNC(=O)c1ncccn1)CNc1nccnc1-c1nnn[n-]1 ZINC001575067946 951627777 /nfs/dbraw/zinc/62/77/77/951627777.db2.gz SSYWPQWOBTZNEE-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1ncccn1)CNc1nccnc1-c1nn[n-]n1 ZINC001575067946 951627784 /nfs/dbraw/zinc/62/77/84/951627784.db2.gz SSYWPQWOBTZNEE-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM O=C([O-])Cn1cc(C(=O)N2CCN(CC3CCOCC3)CC2)nn1 ZINC001595142764 952094918 /nfs/dbraw/zinc/09/49/18/952094918.db2.gz OPVXKAKMVNXIDM-UHFFFAOYSA-N -1 1 337.380 -0.453 20 0 EBADMM C[C@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)c1cn(C)cn1 ZINC001575136363 952124865 /nfs/dbraw/zinc/12/48/65/952124865.db2.gz OWMSEJOSEUVSKS-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)c1cn(C)cn1 ZINC001575136363 952124866 /nfs/dbraw/zinc/12/48/66/952124866.db2.gz OWMSEJOSEUVSKS-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)c1ncn(C)n1 ZINC001575136472 952125547 /nfs/dbraw/zinc/12/55/47/952125547.db2.gz PHNCNFPAOKBBHV-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)c1ncn(C)n1 ZINC001575136472 952125553 /nfs/dbraw/zinc/12/55/53/952125553.db2.gz PHNCNFPAOKBBHV-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)c1cnn(C)c1 ZINC001575137157 952135382 /nfs/dbraw/zinc/13/53/82/952135382.db2.gz VFBYJJVMHVXMBP-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)c1cnn(C)c1 ZINC001575137157 952135387 /nfs/dbraw/zinc/13/53/87/952135387.db2.gz VFBYJJVMHVXMBP-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1cnns1 ZINC001575143840 952157588 /nfs/dbraw/zinc/15/75/88/952157588.db2.gz CYMLHBZHDSCECN-SSDOTTSWSA-N -1 1 346.380 -0.497 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)C[C@H]1CCOC1 ZINC001575143993 952161278 /nfs/dbraw/zinc/16/12/78/952161278.db2.gz SWVUCWLKCTUKBH-WDEREUQCSA-N -1 1 346.395 -0.236 20 0 EBADMM C[C@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)Cn1cncn1 ZINC001575154834 952231998 /nfs/dbraw/zinc/23/19/98/952231998.db2.gz VXYIBMDGWZHRBL-SECBINFHSA-N -1 1 343.355 -0.793 20 0 EBADMM C[C@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)Cn1cncn1 ZINC001575154834 952232004 /nfs/dbraw/zinc/23/20/04/952232004.db2.gz VXYIBMDGWZHRBL-SECBINFHSA-N -1 1 343.355 -0.793 20 0 EBADMM C[C@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001575155705 952243987 /nfs/dbraw/zinc/24/39/87/952243987.db2.gz AACBJRDQECBNDZ-DNJQJEMRSA-N -1 1 344.379 -0.192 20 0 EBADMM C[C@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001575155705 952243994 /nfs/dbraw/zinc/24/39/94/952243994.db2.gz AACBJRDQECBNDZ-DNJQJEMRSA-N -1 1 344.379 -0.192 20 0 EBADMM CN(C)CCN(CC(=O)[O-])S(=O)(=O)c1ccc(N(C)C)nc1 ZINC001593700453 954109693 /nfs/dbraw/zinc/10/96/93/954109693.db2.gz UCNCRGDJWKCYLB-UHFFFAOYSA-N -1 1 330.410 -0.216 20 0 EBADMM C[C@H]1COCCN1C1CCN(C(=O)[C@@H]2CC(C(=O)[O-])=NO2)CC1 ZINC001589408769 954493457 /nfs/dbraw/zinc/49/34/57/954493457.db2.gz MNCYXSJNFRBYPN-GWCFXTLKSA-N -1 1 325.365 -0.072 20 0 EBADMM COC(=O)C1CC2(C1)CN(C(=O)NC[C@@H]1CCN1C)C[C@H]2C(=O)[O-] ZINC001593820187 955027028 /nfs/dbraw/zinc/02/70/28/955027028.db2.gz AAUUGSWHEZFIEG-SLMGRZLQSA-N -1 1 339.392 -0.014 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)C(=O)N(C)CCC(=O)[O-])C1 ZINC001603029442 972089143 /nfs/dbraw/zinc/08/91/43/972089143.db2.gz VFZQFWCZBSGEGE-GFCCVEGCSA-N -1 1 329.397 -0.608 20 0 EBADMM COC(=O)[C@@H](Cc1cnc[nH]1)NC(=O)[C@@H]1CO[C@@H](CCC(=O)[O-])C1 ZINC001593860251 955528564 /nfs/dbraw/zinc/52/85/64/955528564.db2.gz JOFOPUGGCLWRDL-ZMLRMANQSA-N -1 1 339.348 -0.120 20 0 EBADMM Cn1nccc1[C@@H]1c2[nH]cnc2CCN1C(=O)[C@@H]1CC(C(=O)[O-])=NO1 ZINC001594596316 956092728 /nfs/dbraw/zinc/09/27/28/956092728.db2.gz DLQKCNCDKVZDEY-WCQYABFASA-N -1 1 344.331 -0.153 20 0 EBADMM C[C@](O)(CNC(=O)CCN1CCN(c2ccccn2)CC1)C(=O)[O-] ZINC001589139454 956260667 /nfs/dbraw/zinc/26/06/67/956260667.db2.gz UQJOOJPPLPCSOZ-INIZCTEOSA-N -1 1 336.392 -0.455 20 0 EBADMM C[C@@](O)(CNC(=O)Cn1c(=O)c2ccccc2[nH]c1=S)C(=O)[O-] ZINC001589140381 956264168 /nfs/dbraw/zinc/26/41/68/956264168.db2.gz JVVMUPRIWCLUJJ-CQSZACIVSA-N -1 1 337.357 -0.363 20 0 EBADMM COC[C@@]1(C(=O)[O-])CCN(C(=O)NCCN2CCCOCC2)C1 ZINC001593962924 956508444 /nfs/dbraw/zinc/50/84/44/956508444.db2.gz BXQNZMMUZSRDCD-OAHLLOKOSA-N -1 1 329.397 -0.159 20 0 EBADMM COC[C@@]1(C(=O)[O-])CCN(CC(=O)NCC(=O)NC(C)(C)C)C1 ZINC001593966845 956559625 /nfs/dbraw/zinc/55/96/25/956559625.db2.gz OAHBZINETKMHDO-OAHLLOKOSA-N -1 1 329.397 -0.560 20 0 EBADMM COC[C@@]1(C(=O)[O-])CN(C(=O)C(C)(C)CN2CCOCC2)CCO1 ZINC001593975985 956665172 /nfs/dbraw/zinc/66/51/72/956665172.db2.gz TUZOUGBFDGEFGM-MRXNPFEDSA-N -1 1 344.408 -0.327 20 0 EBADMM COCCN1CCC[C@@H]1CNC(=O)C(=O)N[C@H]1C[C@H](C(=O)[O-])C1 ZINC001594014665 957020668 /nfs/dbraw/zinc/02/06/68/957020668.db2.gz IMXNHUONQAWXJF-IJLUTSLNSA-N -1 1 327.381 -0.807 20 0 EBADMM C[C@H](CN(C)C(=O)C(=O)N1CC[C@@H]2[C@H]1CCCN2CCO)C(=O)[O-] ZINC001603144609 972240054 /nfs/dbraw/zinc/24/00/54/972240054.db2.gz CIIRRYVWINCLRM-JHJVBQTASA-N -1 1 341.408 -0.777 20 0 EBADMM C[C@H](CN1CCN(C)CC1)NC(=O)c1cccn(CC(=O)[O-])c1=O ZINC001603158690 972258914 /nfs/dbraw/zinc/25/89/14/972258914.db2.gz FAANWIGBIRWZBB-GFCCVEGCSA-N -1 1 336.392 -0.701 20 0 EBADMM C[C@@H](CNC(=O)NCC1(C(=O)[O-])CCOCC1)N1CCN(C)CC1 ZINC001603176808 972280923 /nfs/dbraw/zinc/28/09/23/972280923.db2.gz WFTXLSFLKYAQGQ-ZDUSSCGKSA-N -1 1 342.440 -0.197 20 0 EBADMM CN1CCN(CCNS(=O)(=O)c2csc(C(=O)[O-])c2)CC1 ZINC000070156551 957662593 /nfs/dbraw/zinc/66/25/93/957662593.db2.gz QMRCLUDVAZTOOC-UHFFFAOYSA-N -1 1 333.435 -0.028 20 0 EBADMM CCN1CCN(c2ccc(CNC(=O)[C@@H](OC)C(=O)[O-])cn2)CC1 ZINC001331933676 957739072 /nfs/dbraw/zinc/73/90/72/957739072.db2.gz HJWCCNWQMSZNCL-CQSZACIVSA-N -1 1 336.392 -0.061 20 0 EBADMM O=C([O-])[C@@H]1CC[C@@H]1C(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC001574556610 961990484 /nfs/dbraw/zinc/99/04/84/961990484.db2.gz QMWJJADOZPXWRA-MELADBBJSA-N -1 1 325.409 -0.380 20 0 EBADMM O=C([O-])[C@@H]1CC(C(=O)NCc2n[nH]c(CO)n2)=NN1c1ccccc1 ZINC001574580342 962102501 /nfs/dbraw/zinc/10/25/01/962102501.db2.gz MUNBSFAVROCUGJ-NSHDSACASA-N -1 1 344.331 -0.367 20 0 EBADMM CC(C)c1nc(CNS(=O)(=O)c2cnn(CC(=O)[O-])c2)n[nH]1 ZINC001571181664 962166037 /nfs/dbraw/zinc/16/60/37/962166037.db2.gz QAOFFVRLLNZKKP-UHFFFAOYSA-N -1 1 328.354 -0.312 20 0 EBADMM O=C([O-])c1nccc(N2C[C@@H]3[C@@H](CNC(=O)c4cnn[nH]4)[C@@H]3C2)n1 ZINC001571184498 962183331 /nfs/dbraw/zinc/18/33/31/962183331.db2.gz ATGPHGBJFZNSGB-PSVAKVPMSA-N -1 1 329.320 -0.595 20 0 EBADMM COCCN(CCC(=O)N(C)CC(=O)[O-])C[C@H](O)C(F)(F)F ZINC001571187433 962221475 /nfs/dbraw/zinc/22/14/75/962221475.db2.gz BCTIYCXQENMOSR-VIFPVBQESA-N -1 1 330.303 -0.209 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CCCN(c2ccnc(C(=O)[O-])n2)CC1 ZINC001571197066 962326393 /nfs/dbraw/zinc/32/63/93/962326393.db2.gz WKKYUDDBXZOHQX-UHFFFAOYSA-N -1 1 331.336 -0.046 20 0 EBADMM CO[C@H](C(=O)[O-])C(=O)NCCC(=O)NCCCc1cn[nH]c1C ZINC001574101079 962392084 /nfs/dbraw/zinc/39/20/84/962392084.db2.gz HUGZRPGGCXGIPQ-LBPRGKRZSA-N -1 1 326.353 -0.627 20 0 EBADMM CN(C1CCOCC1)S(=O)(=O)N1CCN(C)C[C@H](C(=O)[O-])C1 ZINC001571225083 962584551 /nfs/dbraw/zinc/58/45/51/962584551.db2.gz IRLXISBIJKOPPJ-NSHDSACASA-N -1 1 335.426 -0.710 20 0 EBADMM CC(=O)N[C@@H]1CCCN(C(=O)CNC2(C(=O)[O-])CCOCC2)C1 ZINC001573427613 964045625 /nfs/dbraw/zinc/04/56/25/964045625.db2.gz CMLDFZFZEHRNKV-GFCCVEGCSA-N -1 1 327.381 -0.663 20 0 EBADMM O=C([O-])C1=NO[C@H](C(=O)N2CCC[C@H](CN3CCOCC3)C2)C1 ZINC001574640820 969869235 /nfs/dbraw/zinc/86/92/35/969869235.db2.gz XQMHQFFVNCHWJC-YPMHNXCESA-N -1 1 325.365 -0.213 20 0 EBADMM C[C@@H]1C[C@@]1(C(=O)[O-])C(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC001605020589 972626296 /nfs/dbraw/zinc/62/62/96/972626296.db2.gz IILGFJYDCFFGFZ-IOASZLSFSA-N -1 1 325.409 -0.380 20 0 EBADMM O=C([O-])C1(C(=O)N2CCO[C@H](c3nn[nH]n3)C2)CCSCC1 ZINC001605916260 972792688 /nfs/dbraw/zinc/79/26/88/972792688.db2.gz PCFVGBUJTDTKMY-QMMMGPOBSA-N -1 1 327.366 -0.302 20 0 EBADMM O=C([O-])C1(C(=O)N2CCO[C@@H](c3nn[nH]n3)C2)CCSCC1 ZINC001605916259 972792880 /nfs/dbraw/zinc/79/28/80/972792880.db2.gz PCFVGBUJTDTKMY-MRVPVSSYSA-N -1 1 327.366 -0.302 20 0 EBADMM O=C([O-])C1=NO[C@@H](C(=O)N2CCC[C@@H](N3CCOCC3)CC2)C1 ZINC001605963610 972939899 /nfs/dbraw/zinc/93/98/99/972939899.db2.gz GIQICFUQWVNGKL-DGCLKSJQSA-N -1 1 325.365 -0.071 20 0 EBADMM C[C@H]1CN(CCCNS(=O)(=O)N2CCC[C@H]2C(=O)[O-])CCCO1 ZINC001603461976 973308313 /nfs/dbraw/zinc/30/83/13/973308313.db2.gz VKBNOEVYUAYWDY-STQMWFEESA-N -1 1 349.453 -0.129 20 0 EBADMM Cc1nc([C@H]2CCN(S(=O)(=O)N3CCC[C@H]3C(=O)[O-])C2)n[nH]1 ZINC001605402875 973391491 /nfs/dbraw/zinc/39/14/91/973391491.db2.gz KOKHGYRIDZYLTJ-UWVGGRQHSA-N -1 1 329.382 -0.304 20 0 EBADMM Cn1cc(Br)c(Cn2cc(CNCC(=O)[O-])nn2)n1 ZINC001605476812 973591311 /nfs/dbraw/zinc/59/13/11/973591311.db2.gz GCUFLPQEYPDBPY-UHFFFAOYSA-N -1 1 329.158 -0.003 20 0 EBADMM CCC(CC)(NC(=O)[C@H]1CCCN(CC(=O)[O-])C1=O)c1nn[nH]n1 ZINC001603543088 973597336 /nfs/dbraw/zinc/59/73/36/973597336.db2.gz FJAJCKMFKQOZRV-SECBINFHSA-N -1 1 338.368 -0.346 20 0 EBADMM O=C([O-])CS(=O)(=O)CCNCc1ccnc(N2CCOCC2)c1 ZINC001606297491 973704932 /nfs/dbraw/zinc/70/49/32/973704932.db2.gz UKZUOIGCOXRVBU-UHFFFAOYSA-N -1 1 343.405 -0.493 20 0 EBADMM CCS(=O)(=O)C1(CNC(=O)NC2CCN(CC(=O)[O-])CC2)CC1 ZINC001603885806 974387138 /nfs/dbraw/zinc/38/71/38/974387138.db2.gz PCEAOFAWUOYSGA-UHFFFAOYSA-N -1 1 347.437 -0.198 20 0 EBADMM CC(C)OCCN1CCN(CCc2cn(CC(=O)[O-])nn2)CC1 ZINC001591724605 975670634 /nfs/dbraw/zinc/67/06/34/975670634.db2.gz ARMAABHSNAPIGA-UHFFFAOYSA-N -1 1 325.413 -0.052 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2cnc3c(c2)nnn3C)CC1 ZINC001592417776 978018498 /nfs/dbraw/zinc/01/84/98/978018498.db2.gz BTBIJDCDPILTIO-SNVBAGLBSA-N -1 1 332.364 -0.016 20 0 EBADMM C[C@H](CCN1CCN(C)CC1)NS(=O)(=O)c1cc(C(=O)[O-])[nH]n1 ZINC001592513652 978348331 /nfs/dbraw/zinc/34/83/31/978348331.db2.gz IKACVUOOKNISOG-SNVBAGLBSA-N -1 1 345.425 -0.588 20 0 EBADMM C[C@H](CN(C)c1ccnc(C(=O)[O-])n1)NC(=O)CCc1c[nH]nn1 ZINC001592588921 978514501 /nfs/dbraw/zinc/51/45/01/978514501.db2.gz SETGXLCOQUFLAT-SECBINFHSA-N -1 1 333.352 -0.133 20 0 EBADMM C[C@H](CN(C)c1ccnc(C(=O)[O-])n1)NC(=O)CCc1cnn[nH]1 ZINC001592588921 978514505 /nfs/dbraw/zinc/51/45/05/978514505.db2.gz SETGXLCOQUFLAT-SECBINFHSA-N -1 1 333.352 -0.133 20 0 EBADMM C[C@@H](CNS(=O)(=O)c1scnc1C(=O)[O-])N1CCN(C)CC1 ZINC001592722505 979225247 /nfs/dbraw/zinc/22/52/47/979225247.db2.gz NHDXSPYJDLPCFP-VIFPVBQESA-N -1 1 348.450 -0.245 20 0 EBADMM CC[C@H](CO)N1CCN(C(=O)Cn2cccc(C(=O)[O-])c2=O)CC1 ZINC001595174168 979754313 /nfs/dbraw/zinc/75/43/13/979754313.db2.gz LQHQHANEWLPOPO-GFCCVEGCSA-N -1 1 337.376 -0.538 20 0 EBADMM C[C@H](NC(=O)N1CCC([C@H](O)C(=O)[O-])CC1)[C@@H]1CN(C)CCN1C ZINC001593053663 980360747 /nfs/dbraw/zinc/36/07/47/980360747.db2.gz KMMZRYBICDQQOO-UBHSHLNASA-N -1 1 342.440 -0.512 20 0 EBADMM C[C@H](NC(=O)N1CCC([C@@H](O)C(=O)[O-])CC1)[C@@H]1CN(C)CCN1C ZINC001593053661 980361136 /nfs/dbraw/zinc/36/11/36/980361136.db2.gz KMMZRYBICDQQOO-FPMFFAJLSA-N -1 1 342.440 -0.512 20 0 EBADMM CCCN(C)S(=O)(=O)N(CCN1CCN(C)CC1)CC(=O)[O-] ZINC001595839375 982752905 /nfs/dbraw/zinc/75/29/05/982752905.db2.gz PLIQFGGRILMNNV-UHFFFAOYSA-N -1 1 336.458 -0.793 20 0 EBADMM CCCNC(=O)CN(C)C(=O)CN1CCN(CCC(=O)[O-])C[C@@H]1C ZINC001595922604 983041628 /nfs/dbraw/zinc/04/16/28/983041628.db2.gz QFITWOAFMQNHNB-ZDUSSCGKSA-N -1 1 342.440 -0.548 20 0 EBADMM CCN(C(=O)C(=O)N1C[C@@H](C)O[C@H](C(=O)[O-])C1)[C@@H]1CCN(C)C1 ZINC001596128177 983472078 /nfs/dbraw/zinc/47/20/78/983472078.db2.gz KQYFBEOIJZCUAY-UTUOFQBUSA-N -1 1 327.381 -0.761 20 0 EBADMM CCN1CCN(CC(C)(C)NC(=O)Cn2cc(C(=O)[O-])nn2)CC1 ZINC001596434789 984113976 /nfs/dbraw/zinc/11/39/76/984113976.db2.gz XDJMLGNMIVISFR-UHFFFAOYSA-N -1 1 338.412 -0.491 20 0 EBADMM CCN1CC[C@H]2OCCN(S(=O)(=O)CC3(C(=O)[O-])CC3)[C@H]2C1 ZINC001596445004 984146225 /nfs/dbraw/zinc/14/62/25/984146225.db2.gz WCHVKBNZVWMPMC-NWDGAFQWSA-N -1 1 332.422 -0.024 20 0 EBADMM C[C@@H]1CN(C(=O)Cn2cccc(C(=O)[O-])c2=O)C[C@H](C)N1CCO ZINC001599918360 985093304 /nfs/dbraw/zinc/09/33/04/985093304.db2.gz CRVCDJLRFTZBMD-TXEJJXNPSA-N -1 1 337.376 -0.540 20 0 EBADMM CC(=O)N[C@H]1CCCN(C(=O)CN2CCSC[C@H](C(=O)[O-])C2)C1 ZINC001589119912 985382404 /nfs/dbraw/zinc/38/24/04/985382404.db2.gz KIUNAVNRUUCLCK-OLZOCXBDSA-N -1 1 343.449 -0.137 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N(CCN1CCN(C)CC1)CC(=O)[O-] ZINC001599940564 985449349 /nfs/dbraw/zinc/44/93/49/985449349.db2.gz LYJDHXADMKIADS-UHFFFAOYSA-N -1 1 325.369 -0.802 20 0 EBADMM C[C@@H]1CN(C(=O)NC[C@H]2CCO[C@H]2C(=O)[O-])[C@H](C)CN1CCO ZINC001594475731 985487396 /nfs/dbraw/zinc/48/73/96/985487396.db2.gz NJQJTKGACWDUFU-FDYHWXHSSA-N -1 1 329.397 -0.427 20 0 EBADMM C[C@@H]1CN([C@@H]2CCN(CCC(=O)[O-])C2=O)CCN1CC(C)(C)O ZINC001594508406 985748969 /nfs/dbraw/zinc/74/89/69/985748969.db2.gz REAMBNLHIPAMEC-CHWSQXEVSA-N -1 1 327.425 -0.161 20 0 EBADMM Cc1[nH]c(C=O)cc1C(=O)N(CCN1CCN(C)CC1)CC(=O)[O-] ZINC001599959778 985758985 /nfs/dbraw/zinc/75/89/85/985758985.db2.gz HWJASXGZMKSBCY-UHFFFAOYSA-N -1 1 336.392 -0.090 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CN(c3ccnc(C(=O)[O-])n3)C[C@H]2O)c1C ZINC001599967323 985931300 /nfs/dbraw/zinc/93/13/00/985931300.db2.gz JQCAQMJVOGVCHT-NXEZZACHSA-N -1 1 346.347 -0.506 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)N[C@@H]1CC[C@H](C(N)=O)C1 ZINC001594519189 985944632 /nfs/dbraw/zinc/94/46/32/985944632.db2.gz MWTPBBSUEPOGMY-GRYCIOLGSA-N -1 1 326.397 -0.169 20 0 EBADMM CCOCCN1CCN(CCN(C)C(=O)[C@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001596928718 986005710 /nfs/dbraw/zinc/00/57/10/986005710.db2.gz ADNFQXSFHNXINQ-KBPBESRZSA-N -1 1 327.425 -0.180 20 0 EBADMM C[C@H](C(=O)N(CCN1CCN(C)CC1)CC(=O)[O-])[C@H]1CCC(=O)N1 ZINC001589342464 986268825 /nfs/dbraw/zinc/26/88/25/986268825.db2.gz MVPVPJVWJIINKG-QWHCGFSZSA-N -1 1 340.424 -0.938 20 0 EBADMM C[C@@H](C(=O)NCC(=O)[O-])N1CCC(C(=O)N2CCOCC2)CC1 ZINC001589396702 986526452 /nfs/dbraw/zinc/52/64/52/986526452.db2.gz KFEOYAOQRGWYAK-NSHDSACASA-N -1 1 327.381 -0.854 20 0 EBADMM C[C@@H](C(=O)NCC(=O)[O-])N1C[C@H]2COC[C@@]2(C(=O)OC(C)(C)C)C1 ZINC001589400331 986566958 /nfs/dbraw/zinc/56/69/58/986566958.db2.gz KHWJBXAZVVRCDT-MMPTUQATSA-N -1 1 342.392 -0.134 20 0 EBADMM CCS(=O)(CC)=NS(=O)(=O)N[C@]1(CC(=O)[O-])CCOC1 ZINC001597246697 987229341 /nfs/dbraw/zinc/22/93/41/987229341.db2.gz XTBOLSSEZXIRRJ-JTQLQIEISA-N -1 1 328.412 -0.038 20 0 EBADMM C[C@@H](O)[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCN(CC(=O)[O-])CC1 ZINC001599843637 988895237 /nfs/dbraw/zinc/89/52/37/988895237.db2.gz MIGRROGZCOMXRY-PWSUYJOCSA-N -1 1 345.396 -0.511 20 0 EBADMM C[C@@H]1CN(CCC(=O)NC(=O)NCC(F)(F)F)C[C@@H](C(=O)[O-])O1 ZINC001599867104 989284481 /nfs/dbraw/zinc/28/44/81/989284481.db2.gz ZECDJRMOFNELFK-SFYZADRCSA-N -1 1 341.286 -0.062 20 0 EBADMM CN(C)[C@H](CNC(=O)C(=O)NC[C@H](F)C(=O)[O-])c1ccccc1 ZINC001598169181 990686957 /nfs/dbraw/zinc/68/69/57/990686957.db2.gz CEGJYWDDMWMKSS-NWDGAFQWSA-N -1 1 325.340 -0.056 20 0 EBADMM CN(CCC(=O)[O-])S(=O)(=O)N1CCNC[C@H]1c1nccn1C ZINC001598471274 992030088 /nfs/dbraw/zinc/03/00/88/992030088.db2.gz ZNDLMPLRPRCXSP-JTQLQIEISA-N -1 1 331.398 -0.982 20 0 EBADMM CS(=O)(=O)N1CCC[C@H](CN2CC[C@@]3(CC(C(=O)[O-])=NO3)C2)C1 ZINC001599754932 992039601 /nfs/dbraw/zinc/03/96/01/992039601.db2.gz BQAACVJCOORGDN-BXUZGUMPSA-N -1 1 345.421 -0.037 20 0 EBADMM CS(=O)(=O)[C@H]1C[C@]1(CNC(=O)[C@H]1CCc2nc[nH]c2C1)C(=O)[O-] ZINC001599762862 992146228 /nfs/dbraw/zinc/14/62/28/992146228.db2.gz QPSURYJWZYAZJT-YLGCSUCGSA-N -1 1 341.389 -0.481 20 0 EBADMM CN(CCN(C)C(=O)[C@H]1C[C@H]1C(=O)[O-])CCN1C(=O)CCC1=O ZINC001598486973 992218708 /nfs/dbraw/zinc/21/87/08/992218708.db2.gz YBZJAIUIFJKEEU-WDEREUQCSA-N -1 1 325.365 -0.754 20 0 EBADMM CS(C)(=O)=NS(=O)(=O)CCNC[C@H]1[C@@H](C(=O)[O-])C1(F)F ZINC001599781724 992373104 /nfs/dbraw/zinc/37/31/04/992373104.db2.gz SZYVVZOOTOUYBK-BQBZGAKWSA-N -1 1 334.366 -0.401 20 0 EBADMM CC(C)C[C@H](NC(=O)CNC(=O)C1CN([C@@H]2CCOC2)C1)C(=O)[O-] ZINC001591457833 992565757 /nfs/dbraw/zinc/56/57/57/992565757.db2.gz WHIDNDIFZDZFFZ-OLZOCXBDSA-N -1 1 341.408 -0.561 20 0 EBADMM CN1CC[C@H](N2CCC(NC(=O)c3cnc(C(=O)[O-])cn3)CC2)C1=O ZINC001598586472 993391310 /nfs/dbraw/zinc/39/13/10/993391310.db2.gz SMWPRCLJRLRIJW-ZDUSSCGKSA-N -1 1 347.375 -0.400 20 0 EBADMM CN1CC[C@@](O)(CNS(=O)(=O)Cc2cccc(C(=O)[O-])c2)C1 ZINC001598586540 993392045 /nfs/dbraw/zinc/39/20/45/993392045.db2.gz RWLMQTKDWDKUIW-CQSZACIVSA-N -1 1 328.390 -0.129 20 0 EBADMM Cn1cc(NC(=O)C(=O)N2CCN(C)C[C@@H](C(=O)[O-])C2)c(C2CC2)n1 ZINC001598604870 993629584 /nfs/dbraw/zinc/62/95/84/993629584.db2.gz XXVMSDWZBMDLJN-LLVKDONJSA-N -1 1 349.391 -0.289 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])CN2C[C@H]3CCC[C@@H]3C2=O)CC1 ZINC001598617217 993910380 /nfs/dbraw/zinc/91/03/80/993910380.db2.gz PODVBKGIPZKUBZ-CABCVRRESA-N -1 1 338.452 -0.164 20 0 EBADMM CN1CCN(CCCS(=O)(=O)N[C@](C)(C(=O)[O-])C2CC2)CC1 ZINC001598617791 993924674 /nfs/dbraw/zinc/92/46/74/993924674.db2.gz GPIGTPHMBZCMEZ-AWEZNQCLSA-N -1 1 333.454 -0.203 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)[C@@H]2CCCN(C)C2=O)CC1 ZINC001598617929 993929439 /nfs/dbraw/zinc/92/94/39/993929439.db2.gz MFKMFIPXRYRJTR-CYBMUJFWSA-N -1 1 340.424 -0.985 20 0 EBADMM CN1CCN(CCNS(=O)(=O)[C@@H]2CCCC[C@@H]2C(=O)[O-])CC1 ZINC001598618550 993946072 /nfs/dbraw/zinc/94/60/72/993946072.db2.gz LFRAHQDVOSZPEI-QWHCGFSZSA-N -1 1 333.454 -0.203 20 0 EBADMM CNC(=O)c1n[nH]nc1NC(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC001598662070 994613570 /nfs/dbraw/zinc/61/35/70/994613570.db2.gz RWUWSBVQXCSTFL-MRVPVSSYSA-N -1 1 339.356 -0.823 20 0 EBADMM CNS(=O)(=O)c1ccc([C@@H](C)N[C@@H](C)C(=O)NCC(=O)[O-])cc1 ZINC001598672783 994787264 /nfs/dbraw/zinc/78/72/64/994787264.db2.gz FYAKYCMUCWJVAJ-ZJUUUORDSA-N -1 1 343.405 -0.165 20 0 EBADMM COC(=O)C1(NC(=O)CCc2nn[nH]n2)CCC(C(=O)[O-])CC1 ZINC001598721859 995488968 /nfs/dbraw/zinc/48/89/68/995488968.db2.gz DQRXORWQVGDMEG-UHFFFAOYSA-N -1 1 325.325 -0.565 20 0 EBADMM COC(=O)[C@]1(NS(=O)(=O)[C@@H]2CCCC[C@H]2C(=O)[O-])CCOC1 ZINC001598726040 995519414 /nfs/dbraw/zinc/51/94/14/995519414.db2.gz VQEQUXWVJBIEAE-BREBYQMCSA-N -1 1 335.378 -0.119 20 0 EBADMM COC(=O)[C@H]1CN(C)CCN(C(=O)Nc2cc(C(=O)[O-])nn2C)C1 ZINC001598819065 996304215 /nfs/dbraw/zinc/30/42/15/996304215.db2.gz NCNSIPJRHWIANI-VIFPVBQESA-N -1 1 339.352 -0.313 20 0 EBADMM COC(=O)[C@H]1CN(Cc2cccc(S(N)(=O)=O)c2)C[C@@H]1C(=O)[O-] ZINC001598825333 996375889 /nfs/dbraw/zinc/37/58/89/996375889.db2.gz CJBOONNQFDTPLG-RYUDHWBXSA-N -1 1 342.373 -0.360 20 0 EBADMM COC(=O)[C@@H]1CN(Cc2ccc(S(N)(=O)=O)cc2)C[C@H]1C(=O)[O-] ZINC001598830262 996428566 /nfs/dbraw/zinc/42/85/66/996428566.db2.gz WNFGVYQVCGAIQV-VXGBXAGGSA-N -1 1 342.373 -0.360 20 0 EBADMM CO[C@]1(C(=O)[O-])CCN(C(=O)NCCCN2CCC[C@H]2C(N)=O)C1 ZINC001599073699 996820468 /nfs/dbraw/zinc/82/04/68/996820468.db2.gz XXRXVDGDURWVQG-XHDPSFHLSA-N -1 1 342.396 -0.789 20 0 EBADMM COC(=O)[C@@H]1CN(CCCN2C[C@@H](C)O[C@@H](C(=O)[O-])C2)C[C@H](C)O1 ZINC001598898433 997125310 /nfs/dbraw/zinc/12/53/10/997125310.db2.gz BJSFFEQFDIZIHR-RQJABVFESA-N -1 1 344.408 -0.187 20 0 EBADMM CO[C@@H]1CN(c2ccnc(C(=O)[O-])n2)C[C@H]1NC(=O)[C@@H]1CCCN1C ZINC001599323400 997620895 /nfs/dbraw/zinc/62/08/95/997620895.db2.gz QERDTKNAGARHKL-GRYCIOLGSA-N -1 1 349.391 -0.411 20 0 EBADMM COCCCN(CCC(=O)NCC(=O)[O-])[C@H]1CCS(=O)(=O)C1 ZINC001599221972 997686691 /nfs/dbraw/zinc/68/66/91/997686691.db2.gz KBMLFVSGJRXGEF-NSHDSACASA-N -1 1 336.410 -0.897 20 0 EBADMM COC[C@H](C)NC(=O)NC(=O)CN1CCN(CCC(=O)[O-])C[C@@H]1C ZINC001599162454 998481417 /nfs/dbraw/zinc/48/14/17/998481417.db2.gz XGPROOXZUPTUHP-RYUDHWBXSA-N -1 1 344.412 -0.672 20 0 EBADMM C[C@@H]1[C@H](C(=O)[O-])CCN1S(=O)(=O)CCCN1CCN(C)CC1 ZINC001594171288 998657828 /nfs/dbraw/zinc/65/78/28/998657828.db2.gz SOLAUUIVCHIKJX-CHWSQXEVSA-N -1 1 333.454 -0.251 20 0 EBADMM C[C@H]1[C@@H](Nc2ccnc(C(=O)[O-])n2)CCN1C(=O)Cc1ccn[nH]1 ZINC001594195145 998758191 /nfs/dbraw/zinc/75/81/91/998758191.db2.gz ZRRSSPWWZQIKOY-ONGXEEELSA-N -1 1 330.348 -0.036 20 0 EBADMM CO[C@]1(C(=O)[O-])CCN(C(=O)NC[C@H](C)N2CCN(C)CC2)C1 ZINC001599072271 999341487 /nfs/dbraw/zinc/34/14/87/999341487.db2.gz JMJGWKVZCLSSEB-SWLSCSKDSA-N -1 1 328.413 -0.493 20 0 EBADMM C[C@H]1CN(C(=O)C[N@H+]2C[C@@H](C(=O)[O-])C3(CC(C(=O)[O-])C3)C2)CCO1 ZINC001594464972 999681559 /nfs/dbraw/zinc/68/15/59/999681559.db2.gz HNBWWLGBFLPJJP-IRDPIATMSA-N -1 1 340.376 -0.269 20 0 EBADMM C[C@H]1CN(C(=O)Cn2cccc(C(=O)[O-])c2=O)[C@@H](C)CN1CCO ZINC001594470136 999700411 /nfs/dbraw/zinc/70/04/11/999700411.db2.gz CLDNZPMJSKNYGC-RYUDHWBXSA-N -1 1 337.376 -0.540 20 0 EBADMM CCOCCC(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001687147123 1125792887 /nfs/dbraw/zinc/79/28/87/1125792887.db2.gz VEDKVLPHAYCURI-SNVBAGLBSA-N -1 1 348.363 -0.825 20 0 EBADMM CN(CCNC(=O)c1c2c(nn1C)CCCC2)Cc1nc(=O)n(C)[n-]1 ZINC001480869280 1125793522 /nfs/dbraw/zinc/79/35/22/1125793522.db2.gz HONQBJWDTVLLBY-UHFFFAOYSA-N -1 1 347.423 -0.418 20 0 EBADMM C[C@@H]1CCc2nc(C(=O)NCCN(C)Cc3nc(=O)n(C)[n-]3)cn2C1 ZINC001480880831 1125801446 /nfs/dbraw/zinc/80/14/46/1125801446.db2.gz XXGRGYYSCHJWPF-LLVKDONJSA-N -1 1 347.423 -0.251 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)CCOCC3CC3)C2)nc1=O ZINC001691140749 1125836884 /nfs/dbraw/zinc/83/68/84/1125836884.db2.gz XIVSHZIZVGTDJP-CYBMUJFWSA-N -1 1 337.424 -0.137 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NCCCN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001687372239 1125885757 /nfs/dbraw/zinc/88/57/57/1125885757.db2.gz QPJAQHNPSTUGGU-UWVGGRQHSA-N -1 1 332.364 -0.348 20 0 EBADMM CN(CCNC(=O)c1cncnc1C1CC1)Cc1nc(=O)n(C)[n-]1 ZINC001480941374 1125886870 /nfs/dbraw/zinc/88/68/70/1125886870.db2.gz MKWVEFNAYIVBNC-UHFFFAOYSA-N -1 1 331.380 -0.362 20 0 EBADMM Cc1nn(C)c(F)c1C(=O)NCCN(C)Cc1nc(=O)n(C)[n-]1 ZINC001480942732 1125887790 /nfs/dbraw/zinc/88/77/90/1125887790.db2.gz SYNWGWIVONOHIN-UHFFFAOYSA-N -1 1 325.348 -0.849 20 0 EBADMM CCN(CCNC(=O)[C@H]1CCCc2n[nH]nc21)Cc1n[nH]c(=O)[n-]1 ZINC001480996440 1125905423 /nfs/dbraw/zinc/90/54/23/1125905423.db2.gz XJOVMFFOPUIZRF-VIFPVBQESA-N -1 1 334.384 -0.313 20 0 EBADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)[C@@H]1CC(=O)N(C)C1 ZINC001408734633 1125938830 /nfs/dbraw/zinc/93/88/30/1125938830.db2.gz CGPHVGUMACQBQM-LLVKDONJSA-N -1 1 334.376 -0.156 20 0 EBADMM CO[C@@H]1COCC[C@@H]1CC(=O)N(C)CCNCc1n[nH]c(=O)[n-]1 ZINC001481240074 1125950301 /nfs/dbraw/zinc/95/03/01/1125950301.db2.gz WRYUOMZKAOQBOI-GHMZBOCLSA-N -1 1 327.385 -0.500 20 0 EBADMM CC(=O)N[C@@H](CC(C)C)C(=O)N(C)CCNCc1n[nH]c(=O)[n-]1 ZINC001481305438 1125969579 /nfs/dbraw/zinc/96/95/79/1125969579.db2.gz DAXCMDHAAGJYNJ-NSHDSACASA-N -1 1 326.401 -0.391 20 0 EBADMM O=C(CCc1n[nH]c(=O)[n-]c1=O)NC1CN(C[C@H]2C[C@]23CCOC3)C1 ZINC001481568579 1126015980 /nfs/dbraw/zinc/01/59/80/1126015980.db2.gz FTGIFKOXYYVPNM-HWPZZCPQSA-N -1 1 349.391 -0.558 20 0 EBADMM O=S(=O)(N=S1(=O)CCCC1)[N-]C[C@H](CO)[C@H]1CCOC1 ZINC001414253637 1126107280 /nfs/dbraw/zinc/10/72/80/1126107280.db2.gz FRMWWSIJVULFQC-WDEREUQCSA-N -1 1 326.440 -0.272 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCCN1C(=O)C1CC1 ZINC001691505726 1126112804 /nfs/dbraw/zinc/11/28/04/1126112804.db2.gz SXXSKPCHERCYNO-NSHDSACASA-N -1 1 336.396 -0.541 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@H]1CCN1C(=O)CC1CCC1 ZINC001358622415 1131234034 /nfs/dbraw/zinc/23/40/34/1131234034.db2.gz JJMFGDYCERLVAT-CYBMUJFWSA-N -1 1 348.403 -0.166 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C(=O)Cn1cnnn1 ZINC001408990747 1126127973 /nfs/dbraw/zinc/12/79/73/1126127973.db2.gz DYQPCURFTPRHDO-NSHDSACASA-N -1 1 345.363 -0.606 20 0 EBADMM COc1c[nH]c(C(=O)N(C)[C@@H](C)CNCc2n[nH]c(=O)[n-]2)cc1=O ZINC001482309893 1126128417 /nfs/dbraw/zinc/12/84/17/1126128417.db2.gz JYSLFGZGAYBICI-QMMMGPOBSA-N -1 1 336.352 -0.543 20 0 EBADMM CC(C)C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CCN(C)C1=O ZINC001482391455 1126143850 /nfs/dbraw/zinc/14/38/50/1126143850.db2.gz OSOLFLIJZSEZPI-GHMZBOCLSA-N -1 1 338.412 -0.391 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCC(=O)NC(C)(C)C)c(=O)[nH]c1=O ZINC001414216150 1126145401 /nfs/dbraw/zinc/14/54/01/1126145401.db2.gz XKBPGHFGIXCTRB-UHFFFAOYSA-N -1 1 332.382 -0.931 20 0 EBADMM O=C(CN1CCCNC1=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001409157250 1126219221 /nfs/dbraw/zinc/21/92/21/1126219221.db2.gz BDHSMDQGAKWRHV-UHFFFAOYSA-N -1 1 347.375 -0.609 20 0 EBADMM CCCn1ncnc1CNC[C@@H](O)CNC(=O)c1ncccc1[O-] ZINC001482720762 1126258208 /nfs/dbraw/zinc/25/82/08/1126258208.db2.gz FLTWXNPBGLLDPF-LLVKDONJSA-N -1 1 334.380 -0.331 20 0 EBADMM O=C(C[C@@H]1CCC(F)(F)C1)NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001482733908 1126263671 /nfs/dbraw/zinc/26/36/71/1126263671.db2.gz AEQADUBUEYUHID-IUCAKERBSA-N -1 1 333.339 -0.098 20 0 EBADMM Cc1nnsc1CNC[C@H](O)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001482721819 1126265885 /nfs/dbraw/zinc/26/58/85/1126265885.db2.gz IFYDSHZBNMDUPB-QMMMGPOBSA-N -1 1 326.382 -0.536 20 0 EBADMM CC(C)(C)n1nnc(CNC[C@H](O)CNC(=O)c2ncccc2[O-])n1 ZINC001482722224 1126266050 /nfs/dbraw/zinc/26/60/50/1126266050.db2.gz QBTIHAQYYIMSBO-JTQLQIEISA-N -1 1 349.395 -0.591 20 0 EBADMM O=C(NC[C@H](O)CNCc1n[nH]c(=O)[n-]1)c1ccc(F)c(F)c1 ZINC001482737906 1126272040 /nfs/dbraw/zinc/27/20/40/1126272040.db2.gz VVLRCZCPMMYGHT-MRVPVSSYSA-N -1 1 327.291 -0.331 20 0 EBADMM O=C(NC[C@H](O)CNCc1n[nH]c(=O)[n-]1)c1scnc1C1CC1 ZINC001482775730 1126285641 /nfs/dbraw/zinc/28/56/41/1126285641.db2.gz ATDLDDWCKIKETI-MRVPVSSYSA-N -1 1 338.393 -0.275 20 0 EBADMM CC(=O)N[C@H](CC(C)C)C(=O)N(C)CCNCc1n[nH]c(=O)[n-]1 ZINC001691564170 1126321762 /nfs/dbraw/zinc/32/17/62/1126321762.db2.gz DAXCMDHAAGJYNJ-LLVKDONJSA-N -1 1 326.401 -0.391 20 0 EBADMM C[C@@H](NCc1cc2n(n1)CCC2)[C@H](C)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001409386995 1126362425 /nfs/dbraw/zinc/36/24/25/1126362425.db2.gz OUYUCRQYQKHOKQ-BDAKNGLRSA-N -1 1 347.379 -0.278 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])CNC(=O)C1CS(=O)(=O)C1 ZINC001409661410 1126389576 /nfs/dbraw/zinc/38/95/76/1126389576.db2.gz AAUNUPIPVMISDT-SECBINFHSA-N -1 1 341.389 -0.686 20 0 EBADMM Cn1[n-]c(CN2CC(CCO)(NC(=O)[C@H]3[C@@H]4CCCC[C@@H]43)C2)nc1=O ZINC001409724810 1126401096 /nfs/dbraw/zinc/40/10/96/1126401096.db2.gz NMUWMPPDQFRTBM-IMRBUKKESA-N -1 1 349.435 -0.402 20 0 EBADMM C[C@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)c1ncnc2[nH]ccc21 ZINC001483358524 1126419954 /nfs/dbraw/zinc/41/99/54/1126419954.db2.gz XZWQQFYVYRDNCQ-SECBINFHSA-N -1 1 344.379 -0.418 20 0 EBADMM CCOCCN(C)C[C@H](C)NC(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC001483398642 1126423604 /nfs/dbraw/zinc/42/36/04/1126423604.db2.gz XXKXQBMSNXXTEM-NSHDSACASA-N -1 1 336.396 -0.456 20 0 EBADMM CCCC[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CCC(=O)NC1 ZINC001409813365 1126443596 /nfs/dbraw/zinc/44/35/96/1126443596.db2.gz FUDRDBBWXMSHGG-QWRGUYRKSA-N -1 1 338.412 -0.199 20 0 EBADMM CCOC(=O)CC1([N-]S(=O)(=O)C[C@H](COC)OC)COC1 ZINC001365002444 1126456162 /nfs/dbraw/zinc/45/61/62/1126456162.db2.gz AKCRFCSEYLODOA-JTQLQIEISA-N -1 1 325.383 -0.711 20 0 EBADMM Cc1cc(CCC(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)no1 ZINC001483680253 1126456897 /nfs/dbraw/zinc/45/68/97/1126456897.db2.gz YGGUKZQVQISIBY-LBPRGKRZSA-N -1 1 334.380 -0.272 20 0 EBADMM CNC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)N=[S@](C)(=O)N(C)C ZINC001365698592 1126469637 /nfs/dbraw/zinc/46/96/37/1126469637.db2.gz HNNHBAYCVOJFHM-UGZDLDLSSA-N -1 1 328.460 -0.444 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-]CC(=O)N=S(C)(C)=O)c1Cl ZINC001365715350 1126470594 /nfs/dbraw/zinc/47/05/94/1126470594.db2.gz QXMXRRMLYMXVLW-UHFFFAOYSA-N -1 1 328.803 -0.394 20 0 EBADMM CCNS(=O)(=O)c1ccc(C(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000025612985 1126470785 /nfs/dbraw/zinc/47/07/85/1126470785.db2.gz WMWBVKOOJHWZBO-UHFFFAOYSA-N -1 1 326.334 -0.819 20 0 EBADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(CCNS(C)(=O)=O)C1 ZINC001484041333 1126501039 /nfs/dbraw/zinc/50/10/39/1126501039.db2.gz SVSMWZQOUVOWDL-AWEZNQCLSA-N -1 1 342.421 -0.469 20 0 EBADMM Cc1cc[nH]c1C(=O)NC[C@@]1(O)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001484216923 1126524407 /nfs/dbraw/zinc/52/44/07/1126524407.db2.gz NZWJIFIJBRQXCV-HNNXBMFYSA-N -1 1 334.380 -0.888 20 0 EBADMM Cc1ncc(CN2CC(O)(CNC(=O)c3ncccc3[O-])C2)cn1 ZINC001484268648 1126547633 /nfs/dbraw/zinc/54/76/33/1126547633.db2.gz USIVLJWDBOIELW-UHFFFAOYSA-N -1 1 329.360 -0.138 20 0 EBADMM C[C@@H](C(=O)NCC1(O)CN(Cc2nc(=O)n(C)[n-]2)C1)C(C)(F)F ZINC001484296947 1126556086 /nfs/dbraw/zinc/55/60/86/1126556086.db2.gz WWRWADDCUBIRMP-QMMMGPOBSA-N -1 1 333.339 -0.937 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@](CO)(NC(=O)C3CCCC3)C2)nc1=O ZINC001484424411 1126573916 /nfs/dbraw/zinc/57/39/16/1126573916.db2.gz CKLCVXTZPNVOLM-MRXNPFEDSA-N -1 1 337.424 -0.258 20 0 EBADMM CCCNC(=O)CN1C[C@@H]2CN(C(=O)c3n[nH]c(C)c3[O-])C[C@@H]2C1 ZINC001484404853 1126570342 /nfs/dbraw/zinc/57/03/42/1126570342.db2.gz RRKHWPOEQOYPEH-TXEJJXNPSA-N -1 1 335.408 -0.046 20 0 EBADMM CCC[C@H](C)C(=O)N[C@@]1(CO)CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001484429635 1126576030 /nfs/dbraw/zinc/57/60/30/1126576030.db2.gz DHKUSVOZWTXNDN-LRDDRELGSA-N -1 1 339.440 -0.012 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@](CO)(NC(=O)C3CC=CC3)C2)nc1=O ZINC001484431667 1126577125 /nfs/dbraw/zinc/57/71/25/1126577125.db2.gz RREQBRJBDZGFLA-MRXNPFEDSA-N -1 1 335.408 -0.482 20 0 EBADMM CC[C@@H](C)CC(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001484591630 1126606963 /nfs/dbraw/zinc/60/69/63/1126606963.db2.gz ZDDXBCMQJDPWMX-NEPJUHHUSA-N -1 1 325.413 -0.138 20 0 EBADMM C[C@@H](C(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1)C1CCC1 ZINC001484596746 1126609655 /nfs/dbraw/zinc/60/96/55/1126609655.db2.gz IMLIWAJQHNYQKQ-DGCLKSJQSA-N -1 1 337.424 -0.138 20 0 EBADMM Cn1nnc(CN[C@@]2(CO)CCCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001484839991 1126639091 /nfs/dbraw/zinc/63/90/91/1126639091.db2.gz YQDPCLSJZGDYJQ-HNNXBMFYSA-N -1 1 347.379 -0.932 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H](C)NC(=O)c1cc(CN(C)C)on1 ZINC001485169465 1126677869 /nfs/dbraw/zinc/67/78/69/1126677869.db2.gz OGWWOKAGVBZLIJ-RKDXNWHRSA-N -1 1 337.384 -0.144 20 0 EBADMM CCS(=O)(=O)NCCN(C)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001485293307 1126686409 /nfs/dbraw/zinc/68/64/09/1126686409.db2.gz MNIOYQLUTYGRKK-LLVKDONJSA-N -1 1 344.437 -0.223 20 0 EBADMM CCNC(=O)CN1CCO[C@@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC001485554586 1126750595 /nfs/dbraw/zinc/75/05/95/1126750595.db2.gz VJSZDRRCKABFPR-KRWDZBQOSA-N -1 1 348.403 -0.160 20 0 EBADMM CCNC(=O)CN1CCO[C@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC001485554588 1126750741 /nfs/dbraw/zinc/75/07/41/1126750741.db2.gz VJSZDRRCKABFPR-QGZVFWFLSA-N -1 1 348.403 -0.160 20 0 EBADMM CN(CCOCCNC(=O)[C@H]1CC1(C)C)Cc1nc(=O)n(C)[n-]1 ZINC001485773323 1126833513 /nfs/dbraw/zinc/83/35/13/1126833513.db2.gz MXGKWYGEKLFTOC-LLVKDONJSA-N -1 1 325.413 -0.281 20 0 EBADMM CCC[C@H](OC)C(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001409937027 1126860232 /nfs/dbraw/zinc/86/02/32/1126860232.db2.gz YMSIKVNLYPMGOL-PWSUYJOCSA-N -1 1 325.413 -0.140 20 0 EBADMM CC(C)n1ncnc1CN[C@@H](CO)CNC(=O)c1ncccc1[O-] ZINC001485988223 1126951849 /nfs/dbraw/zinc/95/18/49/1126951849.db2.gz DGQOWPUNQOYSEG-LLVKDONJSA-N -1 1 334.380 -0.160 20 0 EBADMM Cc1ccc(C(=O)NC[C@@H](CO)NCc2nc(=O)n(C)[n-]2)nc1C ZINC001486017242 1126968810 /nfs/dbraw/zinc/96/88/10/1126968810.db2.gz RALJKLVGQLZCSJ-NSHDSACASA-N -1 1 334.380 -0.999 20 0 EBADMM CNC(=O)CCCCC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001486134903 1127045030 /nfs/dbraw/zinc/04/50/30/1127045030.db2.gz NDYSHNBYQQYQHO-GHMZBOCLSA-N -1 1 338.412 -0.104 20 0 EBADMM C[C@@H]1[C@H](NC(=O)CCCC(N)=O)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001486187850 1127058201 /nfs/dbraw/zinc/05/82/01/1127058201.db2.gz SSQLZFNVYSKYAJ-GHMZBOCLSA-N -1 1 338.412 -0.767 20 0 EBADMM CC(C)N(CCN(C)Cc1nc(=O)n(C)[n-]1)C(=O)c1nccnc1N ZINC001486363768 1127162690 /nfs/dbraw/zinc/16/26/90/1127162690.db2.gz ITVZXQXUDFRKQO-UHFFFAOYSA-N -1 1 348.411 -0.537 20 0 EBADMM Cc1nc(C)c(C(=O)N(C)CCOCCNCc2n[nH]c(=O)[n-]2)[nH]1 ZINC001486497865 1127192129 /nfs/dbraw/zinc/19/21/29/1127192129.db2.gz FAJPQNYDAMBHHI-UHFFFAOYSA-N -1 1 337.384 -0.271 20 0 EBADMM CNC(=O)NCCC(=O)NCC1CC(NC(=O)c2ncccc2[O-])C1 ZINC001486592020 1127210596 /nfs/dbraw/zinc/21/05/96/1127210596.db2.gz JGZKAQYIJKZNLJ-UHFFFAOYSA-N -1 1 349.391 -0.269 20 0 EBADMM C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)[C@H](C)NC(=O)CCCF ZINC001486722368 1127244425 /nfs/dbraw/zinc/24/44/25/1127244425.db2.gz MNOMCFASDOMLKZ-WDEREUQCSA-N -1 1 342.371 -0.314 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)C1CCC(NC(=O)c2cnn[nH]2)CC1 ZINC001486736322 1127250028 /nfs/dbraw/zinc/25/00/28/1127250028.db2.gz CJJFMIVAURSOOZ-GCVQQVDUSA-N -1 1 336.396 -0.171 20 0 EBADMM C[C@H](CNC(=O)C1CC=CC1)N(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001486831804 1127269229 /nfs/dbraw/zinc/26/92/29/1127269229.db2.gz VZAJTLATIVWENQ-SNVBAGLBSA-N -1 1 344.375 -0.040 20 0 EBADMM CN(CCN(C)C(=O)[C@@H]1CN(C)CCO1)C(=O)c1ncccc1[O-] ZINC001409957422 1127270725 /nfs/dbraw/zinc/27/07/25/1127270725.db2.gz AWUNARKRFVBVTO-ZDUSSCGKSA-N -1 1 336.392 -0.352 20 0 EBADMM CS(=O)(=O)CCCN1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001494544918 1127440739 /nfs/dbraw/zinc/44/07/39/1127440739.db2.gz ADHBFTYXJUELBX-UHFFFAOYSA-N -1 1 327.406 -0.117 20 0 EBADMM COC(=O)NCC(=O)N1C[C@@H]2[C@@H](CNC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001487302195 1127459923 /nfs/dbraw/zinc/45/99/23/1127459923.db2.gz NWJTUPBNODVDFB-RTCCRHLQSA-N -1 1 348.359 -0.423 20 0 EBADMM O=C(Cc1nc[nH]n1)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001487418217 1127495777 /nfs/dbraw/zinc/49/57/77/1127495777.db2.gz TZTOPSUJXZJWRI-MGCOHNPYSA-N -1 1 330.348 -0.227 20 0 EBADMM O=C(Cc1nnc[nH]1)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001487418217 1127495781 /nfs/dbraw/zinc/49/57/81/1127495781.db2.gz TZTOPSUJXZJWRI-MGCOHNPYSA-N -1 1 330.348 -0.227 20 0 EBADMM Cn1ccc(C(=O)NC[C@H](O)CNC(=O)c2ncccc2[O-])cc1=O ZINC001410101567 1127562217 /nfs/dbraw/zinc/56/22/17/1127562217.db2.gz KUEWYRPZDZQTQB-NSHDSACASA-N -1 1 346.343 -0.993 20 0 EBADMM O=C(CC1OCCCO1)NC[C@H](O)CNC(=O)c1ncccc1[O-] ZINC001410106628 1127569609 /nfs/dbraw/zinc/56/96/09/1127569609.db2.gz PVYYXVKOSSJEHR-JTQLQIEISA-N -1 1 339.348 -0.853 20 0 EBADMM COc1c(C(=O)NC[C@@H](O)CNC(=O)c2ncccc2[O-])cnn1C ZINC001410107080 1127570179 /nfs/dbraw/zinc/57/01/79/1127570179.db2.gz QFHHPTCZRPFLFG-SECBINFHSA-N -1 1 349.347 -0.950 20 0 EBADMM C[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001410189623 1127635979 /nfs/dbraw/zinc/63/59/79/1127635979.db2.gz JSSFTKBSCHHQTE-DNJQJEMRSA-N -1 1 344.375 -0.302 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1CCn2ccnc2C1)NCc1n[nH]c(=O)[n-]1 ZINC001410371084 1127690714 /nfs/dbraw/zinc/69/07/14/1127690714.db2.gz BWOTVMOVIGFFDN-MNOVXSKESA-N -1 1 333.396 -0.094 20 0 EBADMM C[C@@H](C(=O)NC[C@@H](CO)NCc1cc(=O)n2[n-]ccc2n1)C1CC1 ZINC001410586868 1127761410 /nfs/dbraw/zinc/76/14/10/1127761410.db2.gz UCDXACPMWDHOHC-MFKMUULPSA-N -1 1 333.392 -0.365 20 0 EBADMM Cc1ccc(C)c(C(=O)NC[C@H](CO)NCc2nc(=O)n(C)[n-]2)c1 ZINC001410782333 1127885577 /nfs/dbraw/zinc/88/55/77/1127885577.db2.gz KVRUZYNCEDICEK-GFCCVEGCSA-N -1 1 333.392 -0.394 20 0 EBADMM COc1cc(C)ccc1C(=O)NC[C@H](CO)NCc1nc(=O)n(C)[n-]1 ZINC001410789924 1127889796 /nfs/dbraw/zinc/88/97/96/1127889796.db2.gz GHKWCDDIJURPQP-LLVKDONJSA-N -1 1 349.391 -0.694 20 0 EBADMM CN(C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)C1(C(F)(F)F)CC1 ZINC001411090203 1128012074 /nfs/dbraw/zinc/01/20/74/1128012074.db2.gz CIWFVBDDXBUIPD-SSDOTTSWSA-N -1 1 337.302 -0.238 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H](O)CN(C)C(=O)c1cncs1 ZINC001411165509 1128063097 /nfs/dbraw/zinc/06/30/97/1128063097.db2.gz LNOSSCBTJNCIMB-VIFPVBQESA-N -1 1 340.409 -0.870 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4cccnn4)C[C@]3(C)C2)nc1=O ZINC001092120867 1128182604 /nfs/dbraw/zinc/18/26/04/1128182604.db2.gz OQKDTFNLDANXJW-BZNIZROVSA-N -1 1 343.391 -0.508 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)c1ccc(=O)n(C)n1 ZINC001093181137 1128300926 /nfs/dbraw/zinc/30/09/26/1128300926.db2.gz UPUNJXRXVXAJPY-NXEZZACHSA-N -1 1 347.379 -0.898 20 0 EBADMM CC(C)NCc1cn(C2CN(C(=O)c3cnc([O-])n(C)c3=O)C2)nn1 ZINC001093327136 1128320506 /nfs/dbraw/zinc/32/05/06/1128320506.db2.gz AMRPPOVOJZAVDI-UHFFFAOYSA-N -1 1 347.379 -0.728 20 0 EBADMM O=C(NCCOCCN1C(=O)C=CC1=O)c1n[n-]nc1C(F)(F)F ZINC001187773951 1128355551 /nfs/dbraw/zinc/35/55/51/1128355551.db2.gz NLCRXYXSLMIPKK-UHFFFAOYSA-N -1 1 347.253 -0.505 20 0 EBADMM CN(CCCNC(=O)C(=O)NCC(F)F)C(=O)c1ncccc1[O-] ZINC001687532674 1128356427 /nfs/dbraw/zinc/35/64/27/1128356427.db2.gz UMWDOPOIFPWXJF-UHFFFAOYSA-N -1 1 344.318 -0.253 20 0 EBADMM CNC(=O)NCC(=O)N(C)[C@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001487659710 1128388950 /nfs/dbraw/zinc/38/89/50/1128388950.db2.gz NIZBZHPTHHYTEM-LLVKDONJSA-N -1 1 349.391 -0.317 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1CN(C)CCO1)NC(=O)c1ncccc1[O-] ZINC001487739983 1128409911 /nfs/dbraw/zinc/40/99/11/1128409911.db2.gz SZTSCTFDHUWMPG-YPMHNXCESA-N -1 1 336.392 -0.306 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cc(=O)n(C)cn1)NC(=O)c1ncccc1[O-] ZINC001487817270 1128419375 /nfs/dbraw/zinc/41/93/75/1128419375.db2.gz AUHPYEOPLQHJMH-JTQLQIEISA-N -1 1 345.359 -0.229 20 0 EBADMM CO[C@@H](C)CCC(=O)NC[C@@H](CO)NC(=O)c1ncccc1[O-] ZINC001487974131 1128469764 /nfs/dbraw/zinc/46/97/64/1128469764.db2.gz CEDZTILINVXKQE-QWRGUYRKSA-N -1 1 325.365 -0.191 20 0 EBADMM CN(C[C@@H](O)CNC(=O)c1ncccc1[O-])C(=O)c1ccncn1 ZINC001488396074 1128734569 /nfs/dbraw/zinc/73/45/69/1128734569.db2.gz AWKMFWCRLAULDQ-JTQLQIEISA-N -1 1 331.332 -0.560 20 0 EBADMM CN(C[C@H](O)CNC(=O)c1ncccc1[O-])C(=O)Cc1cncn1C ZINC001488382011 1128758965 /nfs/dbraw/zinc/75/89/65/1128758965.db2.gz CWNLGRFILJMVQI-GFCCVEGCSA-N -1 1 347.375 -0.688 20 0 EBADMM CC(C)(C(=O)NC[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C1)S(C)(=O)=O ZINC001488776788 1128842423 /nfs/dbraw/zinc/84/24/23/1128842423.db2.gz FTPLOLDFVFUUTP-DTORHVGOSA-N -1 1 345.425 -0.682 20 0 EBADMM C[C@H](CCCCNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CNC(=O)N1 ZINC001489147870 1128919655 /nfs/dbraw/zinc/91/96/55/1128919655.db2.gz RLHILNYALGJQPE-GHMZBOCLSA-N -1 1 349.391 -0.127 20 0 EBADMM Cn1[n-]c(CN(CCO)[C@@H]2CCCN(C(=O)C(F)F)C2)nc1=O ZINC001489152481 1128920745 /nfs/dbraw/zinc/92/07/45/1128920745.db2.gz LNCFIFNEHBXSQS-SECBINFHSA-N -1 1 333.339 -0.841 20 0 EBADMM CC(=O)NC(C)(C)C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001489298309 1128945438 /nfs/dbraw/zinc/94/54/38/1128945438.db2.gz ZZJWWVRQPOFPAY-KOLCDFICSA-N -1 1 338.412 -0.898 20 0 EBADMM COC[C@H](C)CC(=O)NC[C@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001493148968 1129022489 /nfs/dbraw/zinc/02/24/89/1129022489.db2.gz ULUVCUMECFLQBT-VXGBXAGGSA-N -1 1 325.413 -0.138 20 0 EBADMM O=C(NCC1(CCNC(=O)[C@@H]2CNC(=O)N2)CC1)c1ncccc1[O-] ZINC001413904115 1131361443 /nfs/dbraw/zinc/36/14/43/1131361443.db2.gz GTFDLAJKBMZKIR-JTQLQIEISA-N -1 1 347.375 -0.515 20 0 EBADMM O=C(CCNC(=O)c1ccc([O-])cn1)N[C@H]1CCS(=O)(=O)C1 ZINC001411648625 1129342773 /nfs/dbraw/zinc/34/27/73/1129342773.db2.gz WIDXCPUUAKBOBF-VIFPVBQESA-N -1 1 327.362 -0.790 20 0 EBADMM CCCC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)Cn1ncnn1 ZINC001411872008 1129395770 /nfs/dbraw/zinc/39/57/70/1129395770.db2.gz SYEUQLQJURAFBH-LLVKDONJSA-N -1 1 347.379 -0.121 20 0 EBADMM O=C(CCc1nn[n-]n1)N1CCN(C(=O)c2ccc(O)cc2)CC1 ZINC001411888888 1129397905 /nfs/dbraw/zinc/39/79/05/1129397905.db2.gz QYBQJRIPQAYTSV-UHFFFAOYSA-N -1 1 330.348 -0.178 20 0 EBADMM O=C(C1CC2(C1)CS(=O)(=O)C2)N1CCSC[C@H]1c1nn[n-]n1 ZINC001412045803 1129433849 /nfs/dbraw/zinc/43/38/49/1129433849.db2.gz PHLKQTQSOYNWDB-VIFPVBQESA-N -1 1 343.434 -0.359 20 0 EBADMM COCCn1nc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)ccc1=O ZINC001412051694 1129436350 /nfs/dbraw/zinc/43/63/50/1129436350.db2.gz OYYSJKLMJIZLCV-SNVBAGLBSA-N -1 1 349.347 -0.002 20 0 EBADMM Cc1nc(SCCC(=O)N2CCC(O)(c3nn[n-]n3)CC2)n[nH]1 ZINC001412059757 1129437939 /nfs/dbraw/zinc/43/79/39/1129437939.db2.gz YFMMFEXFIYPDQK-UHFFFAOYSA-N -1 1 338.397 -0.381 20 0 EBADMM COC(=O)NCC(=O)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001412213610 1129478409 /nfs/dbraw/zinc/47/84/09/1129478409.db2.gz HITRNZONJAOOPW-MGCOHNPYSA-N -1 1 336.348 -0.232 20 0 EBADMM CSc1nc(CNC(=O)[C@H](CO)NC(=O)C(C)C)cc(=O)[n-]1 ZINC001412282793 1129495192 /nfs/dbraw/zinc/49/51/92/1129495192.db2.gz CVUSTBZPAPEYOX-VIFPVBQESA-N -1 1 328.394 -0.347 20 0 EBADMM CSc1nc(CNC(=O)C[C@]2(C)CCS(=O)(=O)N2)cc(=O)[n-]1 ZINC001412290505 1129496906 /nfs/dbraw/zinc/49/69/06/1129496906.db2.gz ZFOOGJXDSBEMJW-LBPRGKRZSA-N -1 1 346.434 -0.008 20 0 EBADMM CCOC(=O)[C@]1(CNC(=O)CCCc2nn[n-]n2)CCCOC1 ZINC001412411996 1129522592 /nfs/dbraw/zinc/52/25/92/1129522592.db2.gz SKXOUOHNNLMRFX-AWEZNQCLSA-N -1 1 325.369 -0.002 20 0 EBADMM Cc1cc(O)cc(=O)n1CCC(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC001412429600 1129527321 /nfs/dbraw/zinc/52/73/21/1129527321.db2.gz FWAJFCZNSWWZLI-NSHDSACASA-N -1 1 334.336 -0.634 20 0 EBADMM COCCN1CCCN(C(=O)c2cccc(-n3[n-]nnc3=N)c2)CC1 ZINC001412482625 1129539054 /nfs/dbraw/zinc/53/90/54/1129539054.db2.gz RCSDOTOHXYRHTF-UHFFFAOYSA-N -1 1 345.407 -0.131 20 0 EBADMM O=C(c1cn(C[C@@H]2CCOC2)nn1)N1CCC(c2nn[n-]n2)CC1 ZINC001412493734 1129541356 /nfs/dbraw/zinc/54/13/56/1129541356.db2.gz UIKSRSQVCQOSAP-JTQLQIEISA-N -1 1 332.368 -0.153 20 0 EBADMM C[C@@H](CN(C)C(=O)CN1CCOCC1)NC(=O)c1ncccc1[O-] ZINC001412510717 1129547063 /nfs/dbraw/zinc/54/70/63/1129547063.db2.gz KNSYPDWKIHBGST-LBPRGKRZSA-N -1 1 336.392 -0.304 20 0 EBADMM Cn1nc2c(c1C(=O)N1CCO[C@H](c3nn[n-]n3)C1)CSCC2 ZINC001412526428 1129551275 /nfs/dbraw/zinc/55/12/75/1129551275.db2.gz BUBFTFHSSRSESA-JTQLQIEISA-N -1 1 335.393 -0.064 20 0 EBADMM CC[C@H](C(=O)N(C)C[C@@H](C)NC(=O)c1cnn[nH]1)N1CCCC1=O ZINC001412527987 1129551542 /nfs/dbraw/zinc/55/15/42/1129551542.db2.gz BKKILBMCQNPAGV-ZYHUDNBSSA-N -1 1 336.396 -0.218 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@H]1Cc2cccnc2NC1=O ZINC001412553171 1129559581 /nfs/dbraw/zinc/55/95/81/1129559581.db2.gz UCFNKBOROLUSPX-JTQLQIEISA-N -1 1 341.327 -0.408 20 0 EBADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)CN1CCOCC1 ZINC001412593507 1129571124 /nfs/dbraw/zinc/57/11/24/1129571124.db2.gz SXJUUWOEDFIGQT-NSHDSACASA-N -1 1 337.380 -0.023 20 0 EBADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)CN1CCOCC1 ZINC001412593507 1129571126 /nfs/dbraw/zinc/57/11/26/1129571126.db2.gz SXJUUWOEDFIGQT-NSHDSACASA-N -1 1 337.380 -0.023 20 0 EBADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)CN1CCOCC1 ZINC001412593507 1129571130 /nfs/dbraw/zinc/57/11/30/1129571130.db2.gz SXJUUWOEDFIGQT-NSHDSACASA-N -1 1 337.380 -0.023 20 0 EBADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)CN1CCOCC1 ZINC001412593497 1129571166 /nfs/dbraw/zinc/57/11/66/1129571166.db2.gz SXJUUWOEDFIGQT-LLVKDONJSA-N -1 1 337.380 -0.023 20 0 EBADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)CN1CCOCC1 ZINC001412593497 1129571169 /nfs/dbraw/zinc/57/11/69/1129571169.db2.gz SXJUUWOEDFIGQT-LLVKDONJSA-N -1 1 337.380 -0.023 20 0 EBADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)CN1CCOCC1 ZINC001412593497 1129571171 /nfs/dbraw/zinc/57/11/71/1129571171.db2.gz SXJUUWOEDFIGQT-LLVKDONJSA-N -1 1 337.380 -0.023 20 0 EBADMM NC(=O)[C@@H]1CC[C@H](CCC(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)O1 ZINC001412603047 1129573112 /nfs/dbraw/zinc/57/31/12/1129573112.db2.gz AZAXOIHEIKOTOU-TUAOUCFPSA-N -1 1 336.396 -0.206 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)CNC(=O)c2ncccc2[O-])CCS1(=O)=O ZINC001412674380 1129590351 /nfs/dbraw/zinc/59/03/51/1129590351.db2.gz CARPUSYGTJLNMB-NXEZZACHSA-N -1 1 341.389 -0.401 20 0 EBADMM COC(=O)[C@@H]1CC[C@H](C(=O)N(C)CCc2nc([O-])c(C)c(=O)[nH]2)O1 ZINC001412685368 1129593315 /nfs/dbraw/zinc/59/33/15/1129593315.db2.gz ZJWKHVPSOKVMAT-ZJUUUORDSA-N -1 1 339.348 -0.082 20 0 EBADMM CCS(=O)(=O)NCC(=O)N(C)CCc1nc([O-])c(C)c(=O)[nH]1 ZINC001412696260 1129595884 /nfs/dbraw/zinc/59/58/84/1129595884.db2.gz MAIQDNBZDFTYCM-UHFFFAOYSA-N -1 1 332.382 -0.864 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cc(C(=O)OC)nn2C)co1 ZINC001412707297 1129597909 /nfs/dbraw/zinc/59/79/09/1129597909.db2.gz OYPMIDJXBZFTSU-UHFFFAOYSA-N -1 1 342.333 -0.040 20 0 EBADMM COc1ccc(C(=O)N[C@H](CO)CNC(=O)c2ncccc2[O-])cn1 ZINC001412803825 1129661270 /nfs/dbraw/zinc/66/12/70/1129661270.db2.gz DDHVYCGETFEPCX-NSHDSACASA-N -1 1 346.343 -0.289 20 0 EBADMM CCN(CCNC(=O)c1cc(OC)n(C)n1)Cc1nc(=O)n(C)[n-]1 ZINC001492987444 1129686020 /nfs/dbraw/zinc/68/60/20/1129686020.db2.gz TUQONRBRRHMKGY-UHFFFAOYSA-N -1 1 337.384 -0.898 20 0 EBADMM CCn1ccnc1C(=O)NC[C@@H](CO)NC(=O)c1ncccc1[O-] ZINC001412836502 1129709991 /nfs/dbraw/zinc/70/99/91/1129709991.db2.gz VKNXQMSTKJWICX-JTQLQIEISA-N -1 1 333.348 -0.476 20 0 EBADMM O=C(C[C@@H]1CCS(=O)(=O)C1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC001412859245 1129738376 /nfs/dbraw/zinc/73/83/76/1129738376.db2.gz UUMRHEANFBOYMY-DTWKUNHWSA-N -1 1 331.423 -0.359 20 0 EBADMM CNC(=O)c1cc(O[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)ccn1 ZINC001413065887 1129910290 /nfs/dbraw/zinc/91/02/90/1129910290.db2.gz ATPPOSZMVHOOTG-LBPRGKRZSA-N -1 1 346.391 -0.094 20 0 EBADMM COc1cc2[n-]cc(C(=O)N3C[C@H](O)[C@H](CO)C3)c(=O)c2c(OC)c1 ZINC001413067413 1129912876 /nfs/dbraw/zinc/91/28/76/1129912876.db2.gz HPTUHUONMRZGLF-ZANVPECISA-N -1 1 348.355 -0.030 20 0 EBADMM CSc1nc(C)c(CCC(=O)N2CC(S(N)(=O)=O)C2)c(=O)[n-]1 ZINC001413089663 1129926445 /nfs/dbraw/zinc/92/64/45/1129926445.db2.gz UROVLUKOBJEZMC-UHFFFAOYSA-N -1 1 346.434 -0.356 20 0 EBADMM O=C(CCc1nn[n-]n1)NC[C@H]1CS(=O)(=O)c2ccccc2O1 ZINC001413158713 1129940084 /nfs/dbraw/zinc/94/00/84/1129940084.db2.gz MJRPVQGSIGSJCL-VIFPVBQESA-N -1 1 337.361 -0.517 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2[C@H](C)C(=O)N[C@H](C)[C@H]2C)o1 ZINC001413219963 1129952542 /nfs/dbraw/zinc/95/25/42/1129952542.db2.gz HGTFCBOQAVQQAR-IWSPIJDZSA-N -1 1 329.378 -0.075 20 0 EBADMM CN(C[C@H](O)CNC(=O)c1cn(C)cn1)C(=O)c1ncccc1[O-] ZINC001413240934 1129963470 /nfs/dbraw/zinc/96/34/70/1129963470.db2.gz ATHJYRDXALJXQE-SNVBAGLBSA-N -1 1 333.348 -0.616 20 0 EBADMM CN(C[C@H](O)CNC(=O)c1cncn1C)C(=O)c1ncccc1[O-] ZINC001413257375 1129976496 /nfs/dbraw/zinc/97/64/96/1129976496.db2.gz XVVGNLHCOGBSCN-SNVBAGLBSA-N -1 1 333.348 -0.616 20 0 EBADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)c2nccn21 ZINC001413339690 1130027895 /nfs/dbraw/zinc/02/78/95/1130027895.db2.gz CTYJRWTYUHGSOR-DTWKUNHWSA-N -1 1 339.377 -0.735 20 0 EBADMM COC(=O)CC1(C[N-]S(=O)(=O)N=S(C)(C)=O)CCOCC1 ZINC001413346861 1130034086 /nfs/dbraw/zinc/03/40/86/1130034086.db2.gz KRIYYJYQDKWHMS-UHFFFAOYSA-N -1 1 342.439 -0.092 20 0 EBADMM NC(=O)[C@H](CO)[N-]S(=O)(=O)Cc1ncsc1Br ZINC001413351906 1130036441 /nfs/dbraw/zinc/03/64/41/1130036441.db2.gz ZFPXCDCADKVHKR-BYPYZUCNSA-N -1 1 344.212 -0.829 20 0 EBADMM O=C(C[C@H]1CCCS(=O)(=O)C1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001413385582 1130065608 /nfs/dbraw/zinc/06/56/08/1130065608.db2.gz HBJYXFHIJVTGMH-NXEZZACHSA-N -1 1 329.382 -0.686 20 0 EBADMM O=C([C@@H]1OCCO[C@@H]1C(F)(F)F)N1CCOC[C@H]1c1nn[n-]n1 ZINC001413384647 1130067395 /nfs/dbraw/zinc/06/73/95/1130067395.db2.gz BPJYOBAFFBYOFH-RNJXMRFFSA-N -1 1 337.258 -0.554 20 0 EBADMM CCCOc1ccnc(CC(=O)N2CCOC[C@@H]2c2nn[n-]n2)n1 ZINC001413388715 1130068361 /nfs/dbraw/zinc/06/83/61/1130068361.db2.gz QYFVCRNBKYAWLZ-SNVBAGLBSA-N -1 1 333.352 -0.079 20 0 EBADMM CCO[C@H](C(=O)N1CCOC[C@H]1c1nn[n-]n1)C1CCOCC1 ZINC001413390300 1130068782 /nfs/dbraw/zinc/06/87/82/1130068782.db2.gz WOSVBQMXVXDYQL-RYUDHWBXSA-N -1 1 325.369 -0.069 20 0 EBADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)c1cn(C)c(=O)n1C ZINC001413546300 1130128609 /nfs/dbraw/zinc/12/86/09/1130128609.db2.gz PVJGMNSUXOTNMI-VIFPVBQESA-N -1 1 348.363 -0.004 20 0 EBADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)c1cn(C)c(=O)n1C ZINC001413546300 1130128611 /nfs/dbraw/zinc/12/86/11/1130128611.db2.gz PVJGMNSUXOTNMI-VIFPVBQESA-N -1 1 348.363 -0.004 20 0 EBADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)c1cn(C)c(=O)n1C ZINC001413546300 1130128615 /nfs/dbraw/zinc/12/86/15/1130128615.db2.gz PVJGMNSUXOTNMI-VIFPVBQESA-N -1 1 348.363 -0.004 20 0 EBADMM CCS(=O)(=O)N[C@H](C)C(=O)NC(C)(C)c1ncc(OC)c(=O)[n-]1 ZINC001413619875 1130198822 /nfs/dbraw/zinc/19/88/22/1130198822.db2.gz DEXIFXPDAYXAHA-MRVPVSSYSA-N -1 1 346.409 -0.130 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](CNC(=O)COCC3CC3)C2)nc1=O ZINC001491094353 1130321014 /nfs/dbraw/zinc/32/10/14/1130321014.db2.gz ULMYFVZJFNEYBS-ZDUSSCGKSA-N -1 1 337.424 -0.137 20 0 EBADMM O=C(CCc1c[nH]nn1)NC[C@H]1CCCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001491118517 1130333791 /nfs/dbraw/zinc/33/37/91/1130333791.db2.gz QPNFPWMMNPLSAZ-SNVBAGLBSA-N -1 1 334.384 -0.411 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCNC(=O)CC(F)(F)F ZINC001491158983 1130346294 /nfs/dbraw/zinc/34/62/94/1130346294.db2.gz ZUYYCEHMDYDPOZ-UHFFFAOYSA-N -1 1 338.242 -0.305 20 0 EBADMM CC(C)CN1C[C@H](C(=O)NC[C@@H](C)NCc2n[nH]c(=O)[n-]2)CC1=O ZINC001491475669 1130461708 /nfs/dbraw/zinc/46/17/08/1130461708.db2.gz ZYDOXFAZRRDQCV-GHMZBOCLSA-N -1 1 338.412 -0.391 20 0 EBADMM NC(=O)NCCC(=O)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001492082209 1130584633 /nfs/dbraw/zinc/58/46/33/1130584633.db2.gz YIRWXGLMKYGWEA-UHFFFAOYSA-N -1 1 335.364 -0.434 20 0 EBADMM Cc1nn(C)c(C)c1CC(=O)NCCN(C)Cc1nc(=O)n(C)[n-]1 ZINC001492363762 1130641665 /nfs/dbraw/zinc/64/16/65/1130641665.db2.gz VBEWIVHEDMGMEB-UHFFFAOYSA-N -1 1 335.412 -0.751 20 0 EBADMM CN(CCCNC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)C(C)(C)F ZINC001492434278 1130667681 /nfs/dbraw/zinc/66/76/81/1130667681.db2.gz QSMGQYQXIJXYJI-UHFFFAOYSA-N -1 1 342.371 -0.361 20 0 EBADMM CO[C@H](C(=O)NC[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1)C1CC1 ZINC001480250877 1130766037 /nfs/dbraw/zinc/76/60/37/1130766037.db2.gz KWUMFLMVTZJZNK-FZMZJTMJSA-N -1 1 337.424 -0.138 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)NC[C@H]1CCCNC1=O ZINC001413855671 1130815128 /nfs/dbraw/zinc/81/51/28/1130815128.db2.gz MWVQXLYDVJXCBZ-SECBINFHSA-N -1 1 335.364 -0.420 20 0 EBADMM CCCS(=O)(=O)CC(=O)N[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001416814606 1130821999 /nfs/dbraw/zinc/82/19/99/1130821999.db2.gz XSBBXNRRYKDYEF-SNVBAGLBSA-N -1 1 343.405 -0.154 20 0 EBADMM CC(C)(C(=O)N1CC(NC(=O)CCn2cc[n-]c(=O)c2=O)C1)C1CC1 ZINC001356777165 1130935389 /nfs/dbraw/zinc/93/53/89/1130935389.db2.gz QEFOMPOQNMEMHO-UHFFFAOYSA-N -1 1 348.403 -0.310 20 0 EBADMM CC[C@@H]1CN(C(=O)[C@]2(C(=O)[O-])CNCCO2)CCN1CC(F)F ZINC001357535122 1131098427 /nfs/dbraw/zinc/09/84/27/1131098427.db2.gz LNPOWJNGRKKGQB-YGRLFVJLSA-N -1 1 335.351 -0.383 20 0 EBADMM C[C@@H]1CN(C(=O)[C@]2(C(=O)[O-])CNCCO2)CCN1CC(F)(F)F ZINC001357589101 1131104513 /nfs/dbraw/zinc/10/45/13/1131104513.db2.gz YIOPXSPTXAVQRY-SKDRFNHKSA-N -1 1 339.314 -0.475 20 0 EBADMM CC(=O)NC[C@@H]1CCCC[C@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001358553667 1131212909 /nfs/dbraw/zinc/21/29/09/1131212909.db2.gz ZTXLLLIAUILXEY-QWHCGFSZSA-N -1 1 336.392 -0.262 20 0 EBADMM Cc1cc(=O)n2[n-]c(NC(=O)C[C@@H]3CCS(=O)(=O)C3)nc2n1 ZINC001361583926 1131424474 /nfs/dbraw/zinc/42/44/74/1131424474.db2.gz LMWFUBHCULVNGK-QMMMGPOBSA-N -1 1 325.350 -0.511 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)NCC1(N2CCOCC2)CCCCC1 ZINC001361746805 1131448687 /nfs/dbraw/zinc/44/86/87/1131448687.db2.gz LDUZPUYMYFMBPD-UHFFFAOYSA-N -1 1 339.396 -0.159 20 0 EBADMM CC(=O)Nc1ccc(N2C[C@H](C(=O)NCc3nn[n-]n3)CC2=O)cc1 ZINC001361764936 1131451702 /nfs/dbraw/zinc/45/17/02/1131451702.db2.gz QLRLRAAZGGKYCT-SNVBAGLBSA-N -1 1 343.347 -0.173 20 0 EBADMM O=C([C@H]1CCCN1C(=O)C(F)(F)F)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001361786018 1131455531 /nfs/dbraw/zinc/45/55/31/1131455531.db2.gz UKCZLSGMXQMMLY-SFYZADRCSA-N -1 1 348.285 -0.347 20 0 EBADMM O=C(CCNC(=O)c1ccc(F)c([O-])c1)N[C@H]1CCS(=O)(=O)C1 ZINC001361830200 1131463543 /nfs/dbraw/zinc/46/35/43/1131463543.db2.gz PINJMSLGAANSBB-JTQLQIEISA-N -1 1 344.364 -0.046 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCN(C(=O)C2CC2)CC1 ZINC001361898758 1131474863 /nfs/dbraw/zinc/47/48/63/1131474863.db2.gz NVKRKMIBWRVUCF-UHFFFAOYSA-N -1 1 332.360 -0.402 20 0 EBADMM CN(C1CCN(C(=O)CCCc2nn[n-]n2)CC1)S(C)(=O)=O ZINC001361973101 1131490590 /nfs/dbraw/zinc/49/05/90/1131490590.db2.gz BRORRYQTMUTRNA-UHFFFAOYSA-N -1 1 330.414 -0.595 20 0 EBADMM COC(=O)N1CCCN(C(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC001362008702 1131495597 /nfs/dbraw/zinc/49/55/97/1131495597.db2.gz HHCDHABNOMYCIT-UHFFFAOYSA-N -1 1 336.348 -0.182 20 0 EBADMM CN(CCc1ccccn1)C(=O)CNC(=O)CCCc1nn[n-]n1 ZINC001362019261 1131497783 /nfs/dbraw/zinc/49/77/83/1131497783.db2.gz NZZUSVARFMKIRN-UHFFFAOYSA-N -1 1 331.380 -0.265 20 0 EBADMM O=C(NCc1nn[n-]n1)[C@H](O)[C@@](O)(c1ccccc1)C(F)(F)F ZINC001362038394 1131501658 /nfs/dbraw/zinc/50/16/58/1131501658.db2.gz IUMJSKGAMGYOQS-ONGXEEELSA-N -1 1 331.254 -0.373 20 0 EBADMM C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)CCCc2nn[n-]n2)CC1 ZINC001362044305 1131503594 /nfs/dbraw/zinc/50/35/94/1131503594.db2.gz ZAQJSYUCPLLSEP-NSHDSACASA-N -1 1 335.412 -0.666 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC(=O)NCc1ccccc1 ZINC001362086427 1131512551 /nfs/dbraw/zinc/51/25/51/1131512551.db2.gz FQEZYGUIGZDARC-UHFFFAOYSA-N -1 1 332.316 -0.057 20 0 EBADMM COc1cc(NC(=O)CNC(=O)CCc2nn[n-]n2)cc(OC)c1 ZINC001362195795 1131533826 /nfs/dbraw/zinc/53/38/26/1131533826.db2.gz KVUFMHVMYCFUJY-UHFFFAOYSA-N -1 1 334.336 -0.096 20 0 EBADMM CSc1ncc(C(=O)N2CC(OCC(=O)N(C)C)C2)c(=O)[n-]1 ZINC001362197347 1131534006 /nfs/dbraw/zinc/53/40/06/1131534006.db2.gz PIEBZZTWJTVBIX-UHFFFAOYSA-N -1 1 326.378 -0.167 20 0 EBADMM O=C(CCc1nn[n-]n1)NC[C@@H](O)COc1ccc2c(c1)OCO2 ZINC001362226480 1131540747 /nfs/dbraw/zinc/54/07/47/1131540747.db2.gz AUFBAXDFWVWTCI-SECBINFHSA-N -1 1 335.320 -0.583 20 0 EBADMM O=C(c1cccc2c1NC(=O)CO2)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001362246480 1131544344 /nfs/dbraw/zinc/54/43/44/1131544344.db2.gz OWGPUHMQEDWZJY-JTQLQIEISA-N -1 1 330.304 -0.256 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCO[C@]2(CCOC2)C1 ZINC001362394733 1131574761 /nfs/dbraw/zinc/57/47/61/1131574761.db2.gz MDMOWDJICVTJDU-RMLUDKJBSA-N -1 1 325.321 -0.036 20 0 EBADMM CN(CC(=O)N1CCC[C@H](c2nn[n-]n2)C1)[C@@H]1CCS(=O)(=O)C1 ZINC001362407273 1131577222 /nfs/dbraw/zinc/57/72/22/1131577222.db2.gz PUVDHUHRDWDKHL-WDEREUQCSA-N -1 1 342.425 -0.975 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H](NC(=O)NC2CC2)C1 ZINC001362615027 1131624880 /nfs/dbraw/zinc/62/48/80/1131624880.db2.gz BBEKQALQZAGUJM-MRVPVSSYSA-N -1 1 337.336 -0.428 20 0 EBADMM CCS(=O)(=O)C1CN(C(=O)c2coc(S(=O)(=O)[N-]C)c2)C1 ZINC001362658966 1131634638 /nfs/dbraw/zinc/63/46/38/1131634638.db2.gz AZXVBURHBTXFER-UHFFFAOYSA-N -1 1 336.391 -0.553 20 0 EBADMM CSCC[C@H](NC(=O)C1CCS(=O)(=O)CC1)c1nn[n-]n1 ZINC001362683506 1131640469 /nfs/dbraw/zinc/64/04/69/1131640469.db2.gz AVEMOXZCJWTQMN-VIFPVBQESA-N -1 1 333.439 -0.065 20 0 EBADMM O=C(CCCc1nn[n-]n1)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC001362803834 1131680038 /nfs/dbraw/zinc/68/00/38/1131680038.db2.gz CMBUWMIFTMZFSX-LLVKDONJSA-N -1 1 342.425 -0.451 20 0 EBADMM Cn1nnc2c1C[C@H](C(=O)NCc1nc3c(c(=O)[n-]1)COCC3)CC2 ZINC001362808295 1131681207 /nfs/dbraw/zinc/68/12/07/1131681207.db2.gz LQAWWMHLQWAHLC-SECBINFHSA-N -1 1 344.375 -0.195 20 0 EBADMM CC[C@](COC)(NC(=O)c1coc(S(=O)(=O)[N-]C)c1)C(=O)OC ZINC001362815563 1131684329 /nfs/dbraw/zinc/68/43/29/1131684329.db2.gz HFBGETIIISNDMT-CYBMUJFWSA-N -1 1 348.377 -0.114 20 0 EBADMM CCC(CC)(NC(=O)CN1CCS(=O)(=O)CC1)c1nn[n-]n1 ZINC001362851839 1131695392 /nfs/dbraw/zinc/69/53/92/1131695392.db2.gz DHQCDYDQIYPVEE-UHFFFAOYSA-N -1 1 330.414 -0.938 20 0 EBADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2COC[C@H]2O)o1 ZINC001362853670 1131695913 /nfs/dbraw/zinc/69/59/13/1131695913.db2.gz LOMOFYFUDTXFLU-RKDXNWHRSA-N -1 1 332.378 -0.154 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H](CO)Cc2cnn(C)c2)o1 ZINC001362906746 1131710030 /nfs/dbraw/zinc/71/00/30/1131710030.db2.gz QVCAOFKPBJLHBR-SNVBAGLBSA-N -1 1 342.377 -0.745 20 0 EBADMM COC[C@H](NC(=O)[C@@H]1CN(C(C)=O)c2ccccc2O1)c1nn[n-]n1 ZINC001362910853 1131711471 /nfs/dbraw/zinc/71/14/71/1131711471.db2.gz SRCBDKIRBDQDQW-GWCFXTLKSA-N -1 1 346.347 -0.183 20 0 EBADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CCn2cnccc2=O)n[n-]1 ZINC001362930408 1131716088 /nfs/dbraw/zinc/71/60/88/1131716088.db2.gz CAQALHLEFYYWMC-SECBINFHSA-N -1 1 334.336 -0.194 20 0 EBADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CCn2cnccc2=O)[n-]1 ZINC001362930408 1131716093 /nfs/dbraw/zinc/71/60/93/1131716093.db2.gz CAQALHLEFYYWMC-SECBINFHSA-N -1 1 334.336 -0.194 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CCn2cnccc2=O)n1 ZINC001362930408 1131716096 /nfs/dbraw/zinc/71/60/96/1131716096.db2.gz CAQALHLEFYYWMC-SECBINFHSA-N -1 1 334.336 -0.194 20 0 EBADMM Cc1cc(C(=O)N[C@@H](CO)C[NH+]2CCCC2)[n-]c2nc(=O)[n-]c(=O)c1-2 ZINC001362962240 1131726000 /nfs/dbraw/zinc/72/60/00/1131726000.db2.gz JTSCIBZGRJPODP-SNVBAGLBSA-N -1 1 347.375 -0.069 20 0 EBADMM O=C([C@H]1CCCCS1(=O)=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC001362971932 1131729372 /nfs/dbraw/zinc/72/93/72/1131729372.db2.gz MKOZIVWQDLWTMH-DTWKUNHWSA-N -1 1 331.423 -0.217 20 0 EBADMM CC(C)(C)n1nnc(CNC(=O)CNC(=O)c2ncccc2[O-])n1 ZINC001362989923 1131736883 /nfs/dbraw/zinc/73/68/83/1131736883.db2.gz SMJQUAIWIQAZKJ-UHFFFAOYSA-N -1 1 333.352 -0.425 20 0 EBADMM COc1cnc([C@H]2CCCN2C(=O)[C@H]2CCS(=O)(=O)N2)[n-]c1=O ZINC001363039826 1131757601 /nfs/dbraw/zinc/75/76/01/1131757601.db2.gz LVERHMSJZFEVLG-RKDXNWHRSA-N -1 1 342.377 -0.454 20 0 EBADMM NC(=O)[C@H](F)C1CCN(C(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC001363184262 1131821356 /nfs/dbraw/zinc/82/13/56/1131821356.db2.gz PEHXTWHKVKKFKC-GFCCVEGCSA-N -1 1 338.339 -0.421 20 0 EBADMM O=C(CCc1nn[n-]n1)NCC(=O)Nc1ccc2c(c1)OCCO2 ZINC001363194897 1131825789 /nfs/dbraw/zinc/82/57/89/1131825789.db2.gz FMXFLAWHNCVGAF-UHFFFAOYSA-N -1 1 332.320 -0.342 20 0 EBADMM Cc1oc(S(C)(=O)=O)cc1C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC001363203734 1131829630 /nfs/dbraw/zinc/82/96/30/1131829630.db2.gz KWKVKFBWQDJILO-VIFPVBQESA-N -1 1 341.349 -0.282 20 0 EBADMM C[C@]1(CO)CN(C(=O)CNC(=O)c2ncccc2[O-])C[C@@]1(C)CO ZINC001363234663 1131842581 /nfs/dbraw/zinc/84/25/81/1131842581.db2.gz HNZUIBKPZXKXPH-IYBDPMFKSA-N -1 1 337.376 -0.644 20 0 EBADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@H]1CCCCN1S(C)(=O)=O ZINC001363275203 1131865721 /nfs/dbraw/zinc/86/57/21/1131865721.db2.gz DNKLSUHTURPZLE-LLVKDONJSA-N -1 1 344.441 -0.002 20 0 EBADMM CS(=O)(=O)N1CCC[C@@H]1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001363280920 1131868841 /nfs/dbraw/zinc/86/88/41/1131868841.db2.gz BGLZDSUZCLWXHL-SNVBAGLBSA-N -1 1 328.398 -0.670 20 0 EBADMM Cc1ccc(S(N)(=O)=O)c(C(=O)N2CC[C@H](c3nn[n-]n3)C2)c1 ZINC001363308449 1131887987 /nfs/dbraw/zinc/88/79/87/1131887987.db2.gz MLFNXQLQWJSNCI-VIFPVBQESA-N -1 1 336.377 -0.215 20 0 EBADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N[C@H]1CCNC1=O ZINC001363306681 1131888262 /nfs/dbraw/zinc/88/82/62/1131888262.db2.gz LLSJDXDOHOEKMJ-LBPRGKRZSA-N -1 1 327.344 -0.312 20 0 EBADMM CN(C)S(=O)(=O)c1ccc([O-])c(C(=O)N(C)c2nn[nH]n2)c1 ZINC001363316772 1131893832 /nfs/dbraw/zinc/89/38/32/1131893832.db2.gz DPFFUFFCCKTJQJ-UHFFFAOYSA-N -1 1 326.338 -0.568 20 0 EBADMM Cc1cc(C)nc(-n2[n-]c(C(=O)NCCN3CC[C@H](O)C3)cc2=O)n1 ZINC001363403371 1131934955 /nfs/dbraw/zinc/93/49/55/1131934955.db2.gz CHALXPOBOUUHON-LBPRGKRZSA-N -1 1 346.391 -0.631 20 0 EBADMM CC[C@@H](C(=O)N[C@H](COC)c1nn[n-]n1)N1CCO[C@H](CC)C1 ZINC001363436703 1131949609 /nfs/dbraw/zinc/94/96/09/1131949609.db2.gz PFWXZJBKXLXQPM-UTUOFQBUSA-N -1 1 326.401 -0.107 20 0 EBADMM COC[C@H](NC(=O)[C@H]1CS[C@]2(C)CCC(=O)N12)c1nn[n-]n1 ZINC001363437232 1131949887 /nfs/dbraw/zinc/94/98/87/1131949887.db2.gz PWYNHENPLZMWOC-JOAULVNJSA-N -1 1 326.382 -0.543 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H](CO)C[C@@H]2CCCO2)co1 ZINC001363441813 1131951791 /nfs/dbraw/zinc/95/17/91/1131951791.db2.gz UJAKPYVUPHFQHF-MNOVXSKESA-N -1 1 332.378 -0.153 20 0 EBADMM COC(=O)c1ccn(CC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)n1 ZINC001363521044 1131989273 /nfs/dbraw/zinc/98/92/73/1131989273.db2.gz GJJYYVYOCLVCIT-UHFFFAOYSA-N -1 1 347.331 -0.446 20 0 EBADMM CC(C)(C)[C@H](NS(C)(=O)=O)C(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001363521823 1131990188 /nfs/dbraw/zinc/99/01/88/1131990188.db2.gz BBZMWLFBEBONLF-RKDXNWHRSA-N -1 1 330.414 -0.521 20 0 EBADMM CS(=O)(=O)NC[C@H]1COCCN1C(=O)c1cccc([O-])c1F ZINC001363572005 1132019215 /nfs/dbraw/zinc/01/92/15/1132019215.db2.gz FKRPKNREWDPWOX-VIFPVBQESA-N -1 1 332.353 -0.079 20 0 EBADMM CC[C@H]1C(=O)NCCCN1C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC001363618635 1132034237 /nfs/dbraw/zinc/03/42/37/1132034237.db2.gz QIXWFMNBVRXLFE-VIFPVBQESA-N -1 1 329.378 -0.072 20 0 EBADMM COc1cnc(C(C)(C)NC(=O)CCn2ncn(C)c2=O)[n-]c1=O ZINC001363632965 1132039359 /nfs/dbraw/zinc/03/93/59/1132039359.db2.gz RTULJRWUSIXQFE-UHFFFAOYSA-N -1 1 336.352 -0.472 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC([C@H]2COC(=O)N2)CC1 ZINC001363646944 1132043479 /nfs/dbraw/zinc/04/34/79/1132043479.db2.gz KXRHNLXMTMEOJU-MRVPVSSYSA-N -1 1 338.320 -0.143 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@]3(CCOC3=O)C2)co1 ZINC001363694940 1132059780 /nfs/dbraw/zinc/05/97/80/1132059780.db2.gz TYTNAWIHZRSYGF-ZDUSSCGKSA-N -1 1 328.346 -0.033 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCc2cnc(=O)[nH]c2)co1 ZINC001363725485 1132070152 /nfs/dbraw/zinc/07/01/52/1132070152.db2.gz XCTYHIIEODRXDV-UHFFFAOYSA-N -1 1 326.334 -0.344 20 0 EBADMM COCc1nc2n(n1)C[C@@H]([N-]S(=O)(=O)N=S(C)(C)=O)CC2 ZINC001363816576 1132098372 /nfs/dbraw/zinc/09/83/72/1132098372.db2.gz BAOVSCFRTSJZPP-QMMMGPOBSA-N -1 1 337.427 -0.699 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2c3ccccc3C[C@@H]2O)c(=O)[nH]c1=O ZINC001363816476 1132098391 /nfs/dbraw/zinc/09/83/91/1132098391.db2.gz ALHCECQOQFUGCI-CMPLNLGQSA-N -1 1 337.357 -0.578 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@](C)(C(=O)OC)C2)[n-]n1 ZINC001363822152 1132100648 /nfs/dbraw/zinc/10/06/48/1132100648.db2.gz NMLDEAXNIUSCTQ-GFCCVEGCSA-N -1 1 331.350 -0.230 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@](C)(C(=O)OC)C2)n[n-]1 ZINC001363822152 1132100650 /nfs/dbraw/zinc/10/06/50/1132100650.db2.gz NMLDEAXNIUSCTQ-GFCCVEGCSA-N -1 1 331.350 -0.230 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCCC3(C2)OCCO3)[n-]n1 ZINC001363827091 1132102718 /nfs/dbraw/zinc/10/27/18/1132102718.db2.gz GFVMZTJYZVHMRY-UHFFFAOYSA-N -1 1 331.350 -0.276 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCCC3(C2)OCCO3)n[n-]1 ZINC001363827091 1132102722 /nfs/dbraw/zinc/10/27/22/1132102722.db2.gz GFVMZTJYZVHMRY-UHFFFAOYSA-N -1 1 331.350 -0.276 20 0 EBADMM COCC[C@]1([N-]S(=O)(=O)c2n[nH]cc2C(=O)OC)CCOC1 ZINC001363859945 1132113612 /nfs/dbraw/zinc/11/36/12/1132113612.db2.gz GJBZPAZEJKBGAT-LBPRGKRZSA-N -1 1 333.366 -0.330 20 0 EBADMM CC[C@H]1COCCN1CCNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001363898737 1132123544 /nfs/dbraw/zinc/12/35/44/1132123544.db2.gz MNPOBTYMRASIBH-VIFPVBQESA-N -1 1 326.353 -0.263 20 0 EBADMM CCC[C@@H](C(=O)OCC)S(=O)(=O)[N-]C[C@](C)(O)C(=O)OCC ZINC001363963819 1132144853 /nfs/dbraw/zinc/14/48/53/1132144853.db2.gz JSDZTPNGKJLPFX-GWCFXTLKSA-N -1 1 339.410 -0.048 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCO[C@@]3(CCO[C@H]3C)C2)[n-]n1 ZINC001363982790 1132153438 /nfs/dbraw/zinc/15/34/38/1132153438.db2.gz JGHZAZVNGZYZOY-ZANVPECISA-N -1 1 345.377 -0.235 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCO[C@@]3(CCO[C@H]3C)C2)n[n-]1 ZINC001363982790 1132153443 /nfs/dbraw/zinc/15/34/43/1132153443.db2.gz JGHZAZVNGZYZOY-ZANVPECISA-N -1 1 345.377 -0.235 20 0 EBADMM CC(C)[N@H+]1CCC[C@H](NS(=O)(=O)c2cn(C)c(=O)[nH]c2=O)C1 ZINC001363986498 1132154191 /nfs/dbraw/zinc/15/41/91/1132154191.db2.gz DTSKIXPMGPUIHU-JTQLQIEISA-N -1 1 330.410 -0.363 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@@]23CCOC3=O)[n-]n1 ZINC001363985395 1132154545 /nfs/dbraw/zinc/15/45/45/1132154545.db2.gz AXOQMRCLYNWPAC-LBPRGKRZSA-N -1 1 329.334 -0.334 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@@]23CCOC3=O)n[n-]1 ZINC001363985395 1132154547 /nfs/dbraw/zinc/15/45/47/1132154547.db2.gz AXOQMRCLYNWPAC-LBPRGKRZSA-N -1 1 329.334 -0.334 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H](CO)C2CCOCC2)[n-]n1 ZINC001363986800 1132155217 /nfs/dbraw/zinc/15/52/17/1132155217.db2.gz QKCQLRGHVKJITA-JTQLQIEISA-N -1 1 333.366 -0.738 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H](CO)C2CCOCC2)n[n-]1 ZINC001363986800 1132155222 /nfs/dbraw/zinc/15/52/22/1132155222.db2.gz QKCQLRGHVKJITA-JTQLQIEISA-N -1 1 333.366 -0.738 20 0 EBADMM COC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001363994529 1132158044 /nfs/dbraw/zinc/15/80/44/1132158044.db2.gz MAOFAQFICGSALV-QMMMGPOBSA-N -1 1 331.350 -0.894 20 0 EBADMM CO[C@@H](C[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)C1CCCC1 ZINC001364008653 1132164044 /nfs/dbraw/zinc/16/40/44/1132164044.db2.gz IFCPGYMKNSFNEI-JTQLQIEISA-N -1 1 331.394 -0.031 20 0 EBADMM COCCn1cc(C(=O)N[C@H](COC)c2nn[n-]n2)c(=O)cc1C ZINC001364024901 1132171189 /nfs/dbraw/zinc/17/11/89/1132171189.db2.gz HNMGPAKEIJSKGT-LLVKDONJSA-N -1 1 336.352 -0.566 20 0 EBADMM COC[C@@H](NC(=O)c1ccnc(O[C@H]2CCOC2)c1)c1nn[n-]n1 ZINC001364030854 1132173935 /nfs/dbraw/zinc/17/39/35/1132173935.db2.gz LXDJMZMAARKXII-WDEREUQCSA-N -1 1 334.336 -0.120 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2C[C@H]3CCC[C@@H]3O2)c(=O)[nH]c1=O ZINC001364041058 1132178570 /nfs/dbraw/zinc/17/85/70/1132178570.db2.gz NRXGAELLUXBQFQ-UTLUCORTSA-N -1 1 329.378 -0.278 20 0 EBADMM CCC[C@@H](C(=O)OCC)S(=O)(=O)[N-][C@H](CO)c1nncn1C ZINC001364043875 1132180147 /nfs/dbraw/zinc/18/01/47/1132180147.db2.gz IXPDYQCAIKDCOH-ZJUUUORDSA-N -1 1 334.398 -0.500 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2C[C@@H](C(=O)OC)[C@@H]3C[C@@H]32)[n-]n1 ZINC001364045807 1132182105 /nfs/dbraw/zinc/18/21/05/1132182105.db2.gz IAPAEFRVNVYGDC-XAVMHZPKSA-N -1 1 343.361 -0.328 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2C[C@@H](C(=O)OC)[C@@H]3C[C@@H]32)n[n-]1 ZINC001364045807 1132182111 /nfs/dbraw/zinc/18/21/11/1132182111.db2.gz IAPAEFRVNVYGDC-XAVMHZPKSA-N -1 1 343.361 -0.328 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2[C@H](C)C(=O)N(C)[C@@H](C)[C@@H]2C)[n-]n1 ZINC001364069524 1132194929 /nfs/dbraw/zinc/19/49/29/1132194929.db2.gz HLSDMESSALEBQE-XHNCKOQMSA-N -1 1 344.393 -0.176 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2[C@H](C)C(=O)N(C)[C@@H](C)[C@@H]2C)n[n-]1 ZINC001364069524 1132194931 /nfs/dbraw/zinc/19/49/31/1132194931.db2.gz HLSDMESSALEBQE-XHNCKOQMSA-N -1 1 344.393 -0.176 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2C[C@H](S(C)(=O)=O)C2)c1 ZINC001364073977 1132196414 /nfs/dbraw/zinc/19/64/14/1132196414.db2.gz PMKHPPNLYBPEQT-KYZUINATSA-N -1 1 337.375 -0.080 20 0 EBADMM COc1cnc([C@H]2CCCN2S(=O)(=O)N2CCOCC2)[n-]c1=O ZINC001364075071 1132197772 /nfs/dbraw/zinc/19/77/72/1132197772.db2.gz XRWJJLANUYLKKV-SNVBAGLBSA-N -1 1 344.393 -0.095 20 0 EBADMM CS(=O)(=O)CCS(=O)(=O)[N-]Cc1noc(-c2ccco2)n1 ZINC001364076414 1132198199 /nfs/dbraw/zinc/19/81/99/1132198199.db2.gz UBSQOTLAKJACMM-UHFFFAOYSA-N -1 1 335.363 -0.206 20 0 EBADMM CCOC(=O)[C@H](COC)NS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001364081706 1132200255 /nfs/dbraw/zinc/20/02/55/1132200255.db2.gz KKTAWTPPYRMHGJ-QMMMGPOBSA-N -1 1 335.338 -0.947 20 0 EBADMM CCOC(=O)[C@H](COC)NS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001364081706 1132200264 /nfs/dbraw/zinc/20/02/64/1132200264.db2.gz KKTAWTPPYRMHGJ-QMMMGPOBSA-N -1 1 335.338 -0.947 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1cnc2n1CCOC2 ZINC001364144725 1132218987 /nfs/dbraw/zinc/21/89/87/1132218987.db2.gz HZOYWSOQFATJFH-UHFFFAOYSA-N -1 1 341.349 -0.599 20 0 EBADMM COc1cnc(C(C)(C)NC(=O)[C@@H]2CCS(=O)(=O)N2)[n-]c1=O ZINC001364237256 1132249140 /nfs/dbraw/zinc/24/91/40/1132249140.db2.gz XRTBAOSKJMPFIU-ZETCQYMHSA-N -1 1 330.366 -0.766 20 0 EBADMM COCCn1cc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)ccc1=O ZINC001364384542 1132300576 /nfs/dbraw/zinc/30/05/76/1132300576.db2.gz YNFYBWBPTJWUEW-NSHDSACASA-N -1 1 334.336 -0.779 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](C(=O)N[C@H]3CCC[C@@H](O)C3)C2)nc1=O ZINC001364491636 1132337511 /nfs/dbraw/zinc/33/75/11/1132337511.db2.gz ICNDTEGCQSWODR-FRRDWIJNSA-N -1 1 337.424 -0.260 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCc2cnc(=O)[nH]c2)o1 ZINC001364517099 1132347684 /nfs/dbraw/zinc/34/76/84/1132347684.db2.gz VRKGSGAPZLREII-UHFFFAOYSA-N -1 1 326.334 -0.344 20 0 EBADMM C[C@]1(C2CCN(Cc3cc(=O)n4[n-]c(N)nc4n3)CC2)COC(=O)N1 ZINC001364520737 1132348716 /nfs/dbraw/zinc/34/87/16/1132348716.db2.gz YJVKCTCNNIVOBV-OAHLLOKOSA-N -1 1 347.379 -0.290 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1C[C@H](O)CC2(CCOCC2)C1 ZINC001364569973 1132364616 /nfs/dbraw/zinc/36/46/16/1132364616.db2.gz GPMFRIIFVBAJCU-GFCCVEGCSA-N -1 1 349.387 -0.093 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CC(=O)N(CC3CC3)C2)o1 ZINC001364601966 1132372093 /nfs/dbraw/zinc/37/20/93/1132372093.db2.gz CHUYOEFDZOELJJ-JTQLQIEISA-N -1 1 341.389 -0.072 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC(OC(C)=O)CC2)[n-]n1 ZINC001364794906 1132429209 /nfs/dbraw/zinc/42/92/09/1132429209.db2.gz HOMBOBPIBLJKJG-UHFFFAOYSA-N -1 1 331.350 -0.088 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC(OC(C)=O)CC2)n[n-]1 ZINC001364794906 1132429231 /nfs/dbraw/zinc/42/92/31/1132429231.db2.gz HOMBOBPIBLJKJG-UHFFFAOYSA-N -1 1 331.350 -0.088 20 0 EBADMM COC(=O)[C@@H](CC(F)F)[N-]S(=O)(=O)CCn1nc(C)oc1=O ZINC001364796195 1132429482 /nfs/dbraw/zinc/42/94/82/1132429482.db2.gz FSDNBZSCIWGRLP-SSDOTTSWSA-N -1 1 343.308 -0.739 20 0 EBADMM O=C(CNC(=O)c1ccncc1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001364818385 1132438568 /nfs/dbraw/zinc/43/85/68/1132438568.db2.gz ITWFXJJDNODMQA-NSHDSACASA-N -1 1 329.364 -0.194 20 0 EBADMM COc1cc(S(=O)(=O)[N-]C2CC(NS(C)(=O)=O)C2)sn1 ZINC001364820883 1132439401 /nfs/dbraw/zinc/43/94/01/1132439401.db2.gz CIVMOLJWZFVWSF-UHFFFAOYSA-N -1 1 341.436 -0.490 20 0 EBADMM COC(=O)[C@@H]1O[C@@H](C(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)C[C@H]1C ZINC001364819574 1132439751 /nfs/dbraw/zinc/43/97/51/1132439751.db2.gz SCWGHLHXGLJGOL-LSCVPOLPSA-N -1 1 337.380 -0.053 20 0 EBADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@@H](C)C(=O)N1CCCC1 ZINC001364857691 1132455543 /nfs/dbraw/zinc/45/55/43/1132455543.db2.gz OUCOLWPIARMWIB-VIFPVBQESA-N -1 1 344.393 -0.206 20 0 EBADMM COC(=O)[C@@](C)(CO)[N-]S(=O)(=O)CCOC1CCOCC1 ZINC001364862509 1132458626 /nfs/dbraw/zinc/45/86/26/1132458626.db2.gz RLRPSIHUZQZACY-GFCCVEGCSA-N -1 1 325.383 -0.975 20 0 EBADMM CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@H](CF)C(=O)OC ZINC001364871128 1132462141 /nfs/dbraw/zinc/46/21/41/1132462141.db2.gz LZIIEGUXVAWGBN-SSDOTTSWSA-N -1 1 337.329 -0.316 20 0 EBADMM CO[C@@](C)([C@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)C1CC1 ZINC001364873396 1132463309 /nfs/dbraw/zinc/46/33/09/1132463309.db2.gz WYABVWXXUWQYJD-SDBXPKJASA-N -1 1 331.394 -0.032 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H](Cn3ccnn3)C2)[n-]n1 ZINC001364885842 1132469883 /nfs/dbraw/zinc/46/98/83/1132469883.db2.gz RQOKJJKIENKGFM-VIFPVBQESA-N -1 1 340.365 -0.501 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H](Cn3ccnn3)C2)n[n-]1 ZINC001364885842 1132469890 /nfs/dbraw/zinc/46/98/90/1132469890.db2.gz RQOKJJKIENKGFM-VIFPVBQESA-N -1 1 340.365 -0.501 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](CO)C[C@@H]1CCCO1 ZINC001364933164 1132491973 /nfs/dbraw/zinc/49/19/73/1132491973.db2.gz FTMJMFSIUQDCKN-ZJUUUORDSA-N -1 1 347.393 -0.205 20 0 EBADMM COc1cnc(C(C)(C)NC(=O)[C@@H](C)NS(C)(=O)=O)[n-]c1=O ZINC001364948088 1132498043 /nfs/dbraw/zinc/49/80/43/1132498043.db2.gz JJQYJRYSPHTFKT-SSDOTTSWSA-N -1 1 332.382 -0.520 20 0 EBADMM COC(=O)[C@H](C[C@@H]1CCCO1)[N-]S(=O)(=O)c1c(C)onc1N ZINC001364961036 1132504069 /nfs/dbraw/zinc/50/40/69/1132504069.db2.gz RGDVGHKYNXBPCK-IUCAKERBSA-N -1 1 333.366 -0.046 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)N2CCO[C@H](CNC(C)=O)C2)c1 ZINC001365139397 1132557433 /nfs/dbraw/zinc/55/74/33/1132557433.db2.gz CFAQUJAKFLSFMU-GFCCVEGCSA-N -1 1 344.389 -0.074 20 0 EBADMM CC(C)(C)OC(=O)N1CCN(C(=O)CCc2nn[n-]n2)[C@H](CO)C1 ZINC001365165603 1132566818 /nfs/dbraw/zinc/56/68/18/1132566818.db2.gz RQXJGLIZZGGMCS-JTQLQIEISA-N -1 1 340.384 -0.428 20 0 EBADMM NC(=O)C[C@H]1CCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC001365240950 1132589078 /nfs/dbraw/zinc/58/90/78/1132589078.db2.gz KQWGVFOEPUKCER-SECBINFHSA-N -1 1 335.364 -0.338 20 0 EBADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-]CC1(S(C)(=O)=O)CCC1 ZINC001365394674 1132650186 /nfs/dbraw/zinc/65/01/86/1132650186.db2.gz IXJUNMCXASEQPL-LJQANCHMSA-N -1 1 347.484 -0.638 20 0 EBADMM COC[C@H](CS(=O)(=O)[N-]C1(C(=O)OC)CCOCC1)OC ZINC001365399837 1132652092 /nfs/dbraw/zinc/65/20/92/1132652092.db2.gz SGSICAKNUWNDQN-SNVBAGLBSA-N -1 1 325.383 -0.711 20 0 EBADMM CNC(=O)N[C@@H]1CCCN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)C1 ZINC001365460019 1132691593 /nfs/dbraw/zinc/69/15/93/1132691593.db2.gz KUWJHMXDBGUELH-MRVPVSSYSA-N -1 1 345.381 -0.722 20 0 EBADMM CNC(=O)N[C@@H]1CCCN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)C1 ZINC001365460019 1132691597 /nfs/dbraw/zinc/69/15/97/1132691597.db2.gz KUWJHMXDBGUELH-MRVPVSSYSA-N -1 1 345.381 -0.722 20 0 EBADMM CCOC(=O)CCS(=O)(=O)[N-][C@@](C)(Cn1cccn1)C(=O)OC ZINC001365471042 1132699042 /nfs/dbraw/zinc/69/90/42/1132699042.db2.gz UIAQOCJXSWZCIS-ZDUSSCGKSA-N -1 1 347.393 -0.313 20 0 EBADMM CN1CCC[C@H](NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1=O ZINC001365653106 1132747627 /nfs/dbraw/zinc/74/76/27/1132747627.db2.gz MQOXBTYBZDHZAE-NSHDSACASA-N -1 1 335.364 -0.325 20 0 EBADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-][C@@](C)(C(N)=O)c1ccccc1 ZINC001365680923 1132760368 /nfs/dbraw/zinc/76/03/68/1132760368.db2.gz XQHCIQNYOVBLLA-XUSGNXJCSA-N -1 1 348.450 -0.204 20 0 EBADMM CCOC(=O)[C@@H](C)[C@@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001365683993 1132761948 /nfs/dbraw/zinc/76/19/48/1132761948.db2.gz KMIJTANDODMQIH-JGVFFNPUSA-N -1 1 333.366 -0.648 20 0 EBADMM CCOC(=O)[C@](C)(O)C[N-]S(=O)(=O)Cc1noc(C2CC2)n1 ZINC001365698772 1132766084 /nfs/dbraw/zinc/76/60/84/1132766084.db2.gz MSRANJMZMIVOGY-GFCCVEGCSA-N -1 1 333.366 -0.320 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H](CO)[C@@H]2CCCOC2)[n-]n1 ZINC001365714492 1132772827 /nfs/dbraw/zinc/77/28/27/1132772827.db2.gz LXYRNJURAJZTKM-SCZZXKLOSA-N -1 1 333.366 -0.738 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H](CO)[C@@H]2CCCOC2)n[n-]1 ZINC001365714492 1132772832 /nfs/dbraw/zinc/77/28/32/1132772832.db2.gz LXYRNJURAJZTKM-SCZZXKLOSA-N -1 1 333.366 -0.738 20 0 EBADMM CN(C)[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1cn(C2CCC2)nn1 ZINC001365718477 1132774561 /nfs/dbraw/zinc/77/45/61/1132774561.db2.gz BVLMLJTVWXGJTR-FQEVSTJZSA-N -1 1 336.443 -0.088 20 0 EBADMM CCC(C)(C)C(=O)NCCN1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001365962944 1132925014 /nfs/dbraw/zinc/92/50/14/1132925014.db2.gz QOHCKNYUPWRQIA-UHFFFAOYSA-N -1 1 338.456 -0.222 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)COCc3ccncc3)C2)nc1=O ZINC001365996939 1132956916 /nfs/dbraw/zinc/95/69/16/1132956916.db2.gz RUODFMQFAOZBTD-CYBMUJFWSA-N -1 1 346.391 -0.589 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H]2CNC(=O)CCn2ccnc2)nc1=O ZINC001366208162 1133052927 /nfs/dbraw/zinc/05/29/27/1133052927.db2.gz GTQJILAOJOTLRN-CYBMUJFWSA-N -1 1 347.423 -0.134 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CCCc2nn(C)cc21)NCc1n[nH]c(=O)[n-]1 ZINC001366319729 1133097210 /nfs/dbraw/zinc/09/72/10/1133097210.db2.gz FPALALMCZUDGOB-ZJUUUORDSA-N -1 1 333.396 -0.042 20 0 EBADMM C[C@@H](CCNC(=O)[C@]1(C)CCCS1(=O)=O)NCc1n[nH]c(=O)[n-]1 ZINC001366493265 1133158242 /nfs/dbraw/zinc/15/82/42/1133158242.db2.gz VGAJZFLICDUSIN-ZANVPECISA-N -1 1 345.425 -0.538 20 0 EBADMM CO[C@@H]1CCC[C@@H](C(=O)NCCN(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001366561942 1133188871 /nfs/dbraw/zinc/18/88/71/1133188871.db2.gz AAQOMOCZBNRGIB-VXGBXAGGSA-N -1 1 325.413 -0.138 20 0 EBADMM C[C@H]1CCn2ncc(C(=O)NCCN(C)Cc3nc(=O)n(C)[n-]3)c2C1 ZINC001366588697 1133200240 /nfs/dbraw/zinc/20/02/40/1133200240.db2.gz XGHOHNORRBPPNB-NSHDSACASA-N -1 1 347.423 -0.251 20 0 EBADMM CCOc1cc(C(=O)NCCN(CC)Cc2nc(=O)n(C)[n-]2)on1 ZINC001366618864 1133214077 /nfs/dbraw/zinc/21/40/77/1133214077.db2.gz CVURHWJNZMJYFC-UHFFFAOYSA-N -1 1 338.368 -0.253 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)CCn3cccc3)C2)nc1=O ZINC001366696199 1133256670 /nfs/dbraw/zinc/25/66/70/1133256670.db2.gz LHLJFSVXVVKQNO-CYBMUJFWSA-N -1 1 332.408 -0.062 20 0 EBADMM CC(C)([N-]C(=O)C(F)(F)F)C(=O)NC[C@@H]1CCN(CC(N)=O)C1 ZINC001366712337 1133266917 /nfs/dbraw/zinc/26/69/17/1133266917.db2.gz NGZZPRJJUWXXFM-QMMMGPOBSA-N -1 1 338.330 -0.633 20 0 EBADMM Cn1[n-]c(CN(CCNC(=O)c2ccc(=O)n(C)c2)C2CC2)nc1=O ZINC001366750119 1133283478 /nfs/dbraw/zinc/28/34/78/1133283478.db2.gz ZMGRLYOIFSWQST-UHFFFAOYSA-N -1 1 346.391 -0.799 20 0 EBADMM Cc1c(C(=O)NCC[C@@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)nnn1C ZINC001366826301 1133306786 /nfs/dbraw/zinc/30/67/86/1133306786.db2.gz WONLKWQMKCMNIV-SNVBAGLBSA-N -1 1 334.384 -0.411 20 0 EBADMM Cc1c(C(=O)NCC[C@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)nnn1C ZINC001366826291 1133306823 /nfs/dbraw/zinc/30/68/23/1133306823.db2.gz WONLKWQMKCMNIV-JTQLQIEISA-N -1 1 334.384 -0.411 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)CC(C)(F)F)C2)nc1=O ZINC001367309717 1133542427 /nfs/dbraw/zinc/54/24/27/1133542427.db2.gz RFTZNEHFKJVURG-VIFPVBQESA-N -1 1 333.339 -0.529 20 0 EBADMM CN(CCN(C)C(=O)[C@@H]1CCc2nccn2C1)Cc1nc(=O)n(C)[n-]1 ZINC001367519048 1133612693 /nfs/dbraw/zinc/61/26/93/1133612693.db2.gz MJSVTARNIKUFHK-GFCCVEGCSA-N -1 1 347.423 -0.542 20 0 EBADMM Cc1nn(C)cc1CCC(=O)N(C)CCN(C)Cc1nc(=O)n(C)[n-]1 ZINC001367536744 1133618462 /nfs/dbraw/zinc/61/84/62/1133618462.db2.gz XAVAKSMULGNKTA-UHFFFAOYSA-N -1 1 349.439 -0.327 20 0 EBADMM CN(CCN(C)C(=O)c1ccc(C(N)=O)cc1)Cc1nc(=O)n(C)[n-]1 ZINC001367548749 1133623463 /nfs/dbraw/zinc/62/34/63/1133623463.db2.gz BGXWHCXCCXLSCF-UHFFFAOYSA-N -1 1 346.391 -0.589 20 0 EBADMM C[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)CC(C)(F)F ZINC001416855692 1133662715 /nfs/dbraw/zinc/66/27/15/1133662715.db2.gz FZKGWLAGIVUMTJ-SSDOTTSWSA-N -1 1 342.306 -0.303 20 0 EBADMM CN(CC(=O)NC[C@H](O)CNCc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC001367631351 1133721133 /nfs/dbraw/zinc/72/11/33/1133721133.db2.gz XEQHOWGOQZQEJM-GFCCVEGCSA-N -1 1 334.380 -0.787 20 0 EBADMM C[C@H](CNCc1cc2n(n1)CCCC2)NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001367713179 1133757317 /nfs/dbraw/zinc/75/73/17/1133757317.db2.gz WQMVLKALPNZQOK-SNVBAGLBSA-N -1 1 349.395 -0.483 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CCCn1ccccc1=O ZINC001367751416 1133771243 /nfs/dbraw/zinc/77/12/43/1133771243.db2.gz ZZBBNMJBMJUREE-NSHDSACASA-N -1 1 334.380 -0.253 20 0 EBADMM COc1nccc(C(=O)N[C@@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)n1 ZINC001367896064 1133829082 /nfs/dbraw/zinc/82/90/82/1133829082.db2.gz NXGZWRCLRUZRSN-JTQLQIEISA-N -1 1 333.352 -0.393 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)COc2cccnc2)nc1=O ZINC001367966919 1133870450 /nfs/dbraw/zinc/87/04/50/1133870450.db2.gz UIOAUANTZCNQRB-LLVKDONJSA-N -1 1 332.364 -0.727 20 0 EBADMM Cc1ncncc1C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCOCC1 ZINC001368222405 1133995253 /nfs/dbraw/zinc/99/52/53/1133995253.db2.gz ILTKUEUFHMRWKL-UHFFFAOYSA-N -1 1 347.379 -0.322 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](O)(CNC(=O)c3ccccc3O)C2)nc1=O ZINC001368258199 1134045610 /nfs/dbraw/zinc/04/56/10/1134045610.db2.gz NFKYEGQIDCGEOL-MRXNPFEDSA-N -1 1 347.375 -0.819 20 0 EBADMM Cn1cnnc1CN1CCC(CO)(NC(=O)c2ncccc2[O-])CC1 ZINC001368765261 1134426852 /nfs/dbraw/zinc/42/68/52/1134426852.db2.gz ZRIAMJSJGHIESB-UHFFFAOYSA-N -1 1 346.391 -0.327 20 0 EBADMM Cc1cocc1C(=O)NC1(CO)CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001368766137 1134427731 /nfs/dbraw/zinc/42/77/31/1134427731.db2.gz FMYYLHOBKHJWRV-UHFFFAOYSA-N -1 1 349.391 -0.233 20 0 EBADMM C[C@@H](NC(=O)CCn1cnccc1=O)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001368885343 1134474332 /nfs/dbraw/zinc/47/43/32/1134474332.db2.gz FBHUKNHHXGIKSU-VHSXEESVSA-N -1 1 335.368 -0.860 20 0 EBADMM COc1ncccc1C(=O)NC[C@@H](C)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001368971220 1134503822 /nfs/dbraw/zinc/50/38/22/1134503822.db2.gz DDQBSPPKWVODNS-SNVBAGLBSA-N -1 1 334.380 -0.238 20 0 EBADMM C[C@H](CNC(=O)c1ccnc(N(C)C)c1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001368981065 1134507026 /nfs/dbraw/zinc/50/70/26/1134507026.db2.gz FPJJWSMMOVQHAU-LLVKDONJSA-N -1 1 347.423 -0.180 20 0 EBADMM C[C@H](NC(=O)CCc1ccn(C)n1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001369084482 1134556634 /nfs/dbraw/zinc/55/66/34/1134556634.db2.gz QNEANDUMAIZHMX-NSHDSACASA-N -1 1 347.423 -0.589 20 0 EBADMM C[C@H](CN(C)C(=O)c1cc(C(N)=O)cs1)NCc1n[nH]c(=O)[n-]1 ZINC001369312427 1134653570 /nfs/dbraw/zinc/65/35/70/1134653570.db2.gz OCPUUJJJBODZFB-SSDOTTSWSA-N -1 1 338.393 -0.079 20 0 EBADMM O=C(NC[C@H](CO)NCc1noc(C2CC2)n1)c1ncccc1[O-] ZINC001369326477 1134667711 /nfs/dbraw/zinc/66/77/11/1134667711.db2.gz QGZPYYWPBLFSNX-SNVBAGLBSA-N -1 1 333.348 -0.072 20 0 EBADMM CC(C)CC(C)(C)C(=O)NC[C@H](CO)NCc1nc(=O)n(C)[n-]1 ZINC001369375628 1134732658 /nfs/dbraw/zinc/73/26/58/1134732658.db2.gz CBNIIJQLXIAWJD-LLVKDONJSA-N -1 1 327.429 -0.253 20 0 EBADMM C[C@@H](CC(=O)N(C)C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)n1ccnc1 ZINC001369470441 1134823318 /nfs/dbraw/zinc/82/33/18/1134823318.db2.gz HWCVSZNHPBXGJL-QWRGUYRKSA-N -1 1 337.384 -0.733 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H](O)CN(C)C(=O)CCC(F)F ZINC001369509994 1134901605 /nfs/dbraw/zinc/90/16/05/1134901605.db2.gz ZQCHCWWCZJCATA-VIFPVBQESA-N -1 1 335.355 -0.595 20 0 EBADMM Cc1n[nH]c(C)c1CCC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001370049336 1135185795 /nfs/dbraw/zinc/18/57/95/1135185795.db2.gz YCSONAXUWRBDOO-LBPRGKRZSA-N -1 1 347.423 -0.228 20 0 EBADMM C[C@@H](NC(=O)Cc1nc[nH]n1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001370151780 1135226899 /nfs/dbraw/zinc/22/68/99/1135226899.db2.gz KRJPFOMIKMKUTA-SECBINFHSA-N -1 1 330.348 -0.275 20 0 EBADMM C[C@@H](NC(=O)Cc1nnc[nH]1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001370151780 1135226906 /nfs/dbraw/zinc/22/69/06/1135226906.db2.gz KRJPFOMIKMKUTA-SECBINFHSA-N -1 1 330.348 -0.275 20 0 EBADMM Cn1ccc(CCC(=O)NC[C@@H](NCc2n[nH]c(=O)[n-]2)C2CC2)n1 ZINC001370176636 1135236728 /nfs/dbraw/zinc/23/67/28/1135236728.db2.gz LBVQSZPGJHRWBD-GFCCVEGCSA-N -1 1 333.396 -0.139 20 0 EBADMM CN(C[C@H](O)CNC(=O)c1ccnnc1)C(=O)c1ncccc1[O-] ZINC001370987294 1135737814 /nfs/dbraw/zinc/73/78/14/1135737814.db2.gz GAWBOWUHBCHBIC-LLVKDONJSA-N -1 1 331.332 -0.560 20 0 EBADMM CN(C[C@@H](O)CNC(=O)Cc1cnn(C)c1)C(=O)c1ncccc1[O-] ZINC001370990332 1135742032 /nfs/dbraw/zinc/74/20/32/1135742032.db2.gz PYFKYSSVBSHWJA-LBPRGKRZSA-N -1 1 347.375 -0.688 20 0 EBADMM Cc1cc(C(=O)NC[C@H](O)CN(C)C(=O)c2ncccc2[O-])cnn1 ZINC001370990918 1135743233 /nfs/dbraw/zinc/74/32/33/1135743233.db2.gz RJSLLRJEHZWLGJ-LBPRGKRZSA-N -1 1 345.359 -0.251 20 0 EBADMM COCCN(CCNC(=O)c1ncccc1[O-])C(=O)Cn1cncn1 ZINC001371136476 1135846150 /nfs/dbraw/zinc/84/61/50/1135846150.db2.gz XMUCJYMWXMNAQU-UHFFFAOYSA-N -1 1 348.363 -0.716 20 0 EBADMM Cc1nnc2n1C[C@H](C(=O)NC[C@@H](C)NCc1n[nH]c(=O)[n-]1)CC2 ZINC001371464928 1135925276 /nfs/dbraw/zinc/92/52/76/1135925276.db2.gz LHJUQUXHUMSSRA-PSASIEDQSA-N -1 1 334.384 -0.733 20 0 EBADMM C[C@@H](CS(C)(=O)=O)C(=O)NCC[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001371889182 1136048362 /nfs/dbraw/zinc/04/83/62/1136048362.db2.gz REGQHRVMIGMMHN-IUCAKERBSA-N -1 1 333.414 -0.825 20 0 EBADMM CN(CCNC(=O)c1coc(C(F)(F)F)n1)Cc1nc(=O)n(C)[n-]1 ZINC001372029844 1136092890 /nfs/dbraw/zinc/09/28/90/1136092890.db2.gz NVDYGFNKINUCDE-UHFFFAOYSA-N -1 1 348.285 -0.023 20 0 EBADMM NC(=O)NCC(=O)NCC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001372152178 1136122799 /nfs/dbraw/zinc/12/27/99/1136122799.db2.gz UNKIYGSHESEPAY-UHFFFAOYSA-N -1 1 335.364 -0.576 20 0 EBADMM CCN(CCNC(=O)c1cc(OC)ncn1)Cc1nc(=O)n(C)[n-]1 ZINC001372322839 1136173413 /nfs/dbraw/zinc/17/34/13/1136173413.db2.gz AIGUSCFHIAMTHC-UHFFFAOYSA-N -1 1 335.368 -0.841 20 0 EBADMM C[C@H](CNC(=O)C1=CCCC1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001372834490 1136300361 /nfs/dbraw/zinc/30/03/61/1136300361.db2.gz YJFZNHZUDOTYLU-SECBINFHSA-N -1 1 330.348 -0.238 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ccc(=O)n(C)n1 ZINC001372836350 1136300527 /nfs/dbraw/zinc/30/05/27/1136300527.db2.gz QPFRJJTZFIKJKX-VIFPVBQESA-N -1 1 331.332 -0.571 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)COCc1ccnn1C ZINC001372839847 1136301267 /nfs/dbraw/zinc/30/12/67/1136301267.db2.gz ZINRGIQDUSBICV-NSHDSACASA-N -1 1 347.375 -0.028 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CCN(C)C(=O)C1)NC(=O)c1ncccc1[O-] ZINC001372959904 1136338691 /nfs/dbraw/zinc/33/86/91/1136338691.db2.gz IUSQNVZNUXRJEL-MNOVXSKESA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@H](CNC(=O)[C@@H]1CCCN(C(N)=O)C1)NC(=O)c1ncccc1[O-] ZINC001372968236 1136341832 /nfs/dbraw/zinc/34/18/32/1136341832.db2.gz ITEYZQWVTQPYKF-GHMZBOCLSA-N -1 1 349.391 -0.188 20 0 EBADMM O=C(C[C@@H]1COC(=O)C1)NCC[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001373172732 1136389845 /nfs/dbraw/zinc/38/98/45/1136389845.db2.gz FRLGOOWTNOTTIE-MNOVXSKESA-N -1 1 337.380 -0.208 20 0 EBADMM CCO[C@H]1C[C@H]1C(=O)NCCN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001373363125 1136435323 /nfs/dbraw/zinc/43/53/23/1136435323.db2.gz QOTJUSBIHAEKCP-KOLCDFICSA-N -1 1 348.363 -0.969 20 0 EBADMM CCO[C@H]1C[C@@H]1C(=O)NCCN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001373363463 1136435471 /nfs/dbraw/zinc/43/54/71/1136435471.db2.gz QOTJUSBIHAEKCP-ONGXEEELSA-N -1 1 348.363 -0.969 20 0 EBADMM O=C(CN1CC(CNC(=O)c2ncccc2[O-])C1)NC(=O)NC1CC1 ZINC001373504148 1136477175 /nfs/dbraw/zinc/47/71/75/1136477175.db2.gz SRHGREMBGYCBDX-UHFFFAOYSA-N -1 1 347.375 -0.563 20 0 EBADMM CC[C@H](F)C(=O)N(C)CCNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001373573984 1136500391 /nfs/dbraw/zinc/50/03/91/1136500391.db2.gz MLTGMEQJSQMMIM-ZETCQYMHSA-N -1 1 330.316 -0.167 20 0 EBADMM Cc1nn[nH]c1C(=O)NCCN(C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001373599979 1136509706 /nfs/dbraw/zinc/50/97/06/1136509706.db2.gz UTBCHTQNERHSTD-UHFFFAOYSA-N -1 1 345.363 -0.012 20 0 EBADMM CCN(C(=O)CCn1cncn1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001373706772 1136543519 /nfs/dbraw/zinc/54/35/19/1136543519.db2.gz WJSXNPNICZKIDZ-LBPRGKRZSA-N -1 1 348.411 -0.787 20 0 EBADMM CN(CCN(C)C(=O)[C@@H]1CCCc2nn[nH]c21)Cc1nc(=O)n(C)[n-]1 ZINC001374531617 1136712023 /nfs/dbraw/zinc/71/20/23/1136712023.db2.gz SJISJDYWMVUYBP-SNVBAGLBSA-N -1 1 348.411 -0.763 20 0 EBADMM O=C(NC[C@H](O)CNCc1n[nH]c(=O)[n-]1)c1csc(Cl)n1 ZINC001374666995 1136787781 /nfs/dbraw/zinc/78/77/81/1136787781.db2.gz QTHWDXBJBMSWAX-RXMQYKEDSA-N -1 1 332.773 -0.499 20 0 EBADMM CN(C)C(=O)CCCC(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC001374717987 1136812662 /nfs/dbraw/zinc/81/26/62/1136812662.db2.gz MBPIRPAYRGAWRN-UHFFFAOYSA-N -1 1 334.376 -0.014 20 0 EBADMM CC(C)[C@@H](F)C(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001374734246 1136817950 /nfs/dbraw/zinc/81/79/50/1136817950.db2.gz ONYFLCYPHYLLLY-LLVKDONJSA-N -1 1 336.327 -0.648 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CCCCS1(=O)=O ZINC001374770883 1136828059 /nfs/dbraw/zinc/82/80/59/1136828059.db2.gz JMJFHWUHMPVIHL-BDAKNGLRSA-N -1 1 331.398 -0.928 20 0 EBADMM C[C@@H](CC(=O)NCC1CN(C(=O)c2ncccc2[O-])C1)NC(N)=O ZINC001374830409 1136844960 /nfs/dbraw/zinc/84/49/60/1136844960.db2.gz JOWNJUBOVXHQID-VIFPVBQESA-N -1 1 335.364 -0.578 20 0 EBADMM C[C@@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)[C@@H]1C[C@H]1c1cnn(C)c1 ZINC001375109958 1136916417 /nfs/dbraw/zinc/91/64/17/1136916417.db2.gz ZSADCJSIVPCQKA-WCFLWFBJSA-N -1 1 347.423 -0.418 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-][C@@]2(C)CCS(=O)(=O)C2)c1Cl ZINC000027949807 202162588 /nfs/dbraw/zinc/16/25/88/202162588.db2.gz KRZPHQCWQVYXTI-VIFPVBQESA-N -1 1 327.815 -0.071 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@](OC)(C(=O)OC)C2)co1 ZINC000600288077 375950150 /nfs/dbraw/zinc/95/01/50/375950150.db2.gz SQFRFSYPYUXWMJ-CYBMUJFWSA-N -1 1 346.361 -0.408 20 0 EBADMM CCO[C@H](CS(=O)(=O)[N-][C@@H]1CS(=O)(=O)C[C@@H]1OC)C1CC1 ZINC000625277566 376036275 /nfs/dbraw/zinc/03/62/75/376036275.db2.gz HBCACLSPDZESON-UTUOFQBUSA-N -1 1 341.451 -0.467 20 0 EBADMM C[C@@H](NC(=O)c1cccs1)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370861675 376593737 /nfs/dbraw/zinc/59/37/37/376593737.db2.gz OCRGFZZPGNQBFB-BDAKNGLRSA-N -1 1 336.377 -0.020 20 0 EBADMM Cc1cnc(N)c(S(=O)(=O)N2CC[N@H+]3CCC[C@H]3[C@H]2C(=O)[O-])c1 ZINC000560417840 376698467 /nfs/dbraw/zinc/69/84/67/376698467.db2.gz YTAZGQKTWZRJFE-JQWIXIFHSA-N -1 1 340.405 -0.106 20 0 EBADMM Cc1cnc(N)c(S(=O)(=O)N2CCN3CCC[C@H]3[C@H]2C(=O)[O-])c1 ZINC000560417840 376698474 /nfs/dbraw/zinc/69/84/74/376698474.db2.gz YTAZGQKTWZRJFE-JQWIXIFHSA-N -1 1 340.405 -0.106 20 0 EBADMM Cc1cnc(N)c(S(=O)(=O)N2CC[N@H+]3CCC[C@@H]3[C@@H]2C(=O)[O-])c1 ZINC000560417844 376698817 /nfs/dbraw/zinc/69/88/17/376698817.db2.gz YTAZGQKTWZRJFE-ZYHUDNBSSA-N -1 1 340.405 -0.106 20 0 EBADMM Cc1cnc(N)c(S(=O)(=O)N2CCN3CCC[C@@H]3[C@@H]2C(=O)[O-])c1 ZINC000560417844 376698819 /nfs/dbraw/zinc/69/88/19/376698819.db2.gz YTAZGQKTWZRJFE-ZYHUDNBSSA-N -1 1 340.405 -0.106 20 0 EBADMM Cc1[nH]c(=O)sc1S(=O)(=O)[N-]CCNC(=O)[C@H]1CCO[C@@H]1C ZINC000332921718 377344298 /nfs/dbraw/zinc/34/42/98/377344298.db2.gz RBOHAHYINOTRJC-BDAKNGLRSA-N -1 1 349.434 -0.023 20 0 EBADMM COC(=O)[C@]1([N-]S(=O)(=O)C[C@H]2CN(C)CCO2)CCSC1 ZINC000601379538 377552623 /nfs/dbraw/zinc/55/26/23/377552623.db2.gz KXPDJMUIKBCXQS-PWSUYJOCSA-N -1 1 338.451 -0.715 20 0 EBADMM CCN1C[C@@H](S(=O)(=O)[N-][C@@]2(C(=O)OC)CCSC2)CC1=O ZINC000601380600 377552772 /nfs/dbraw/zinc/55/27/72/377552772.db2.gz XGAFBCHCMGNSIT-CABZTGNLSA-N -1 1 336.435 -0.425 20 0 EBADMM CC(C)(C)OC(=O)N1CC(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)C1 ZINC000364646678 377628313 /nfs/dbraw/zinc/62/83/13/377628313.db2.gz NNXIXPCHQVWTBE-JTQLQIEISA-N -1 1 338.368 -0.034 20 0 EBADMM O=C(c1ccccc1-n1ccnn1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000364643563 377629403 /nfs/dbraw/zinc/62/94/03/377629403.db2.gz GKIKDZQRNDPIRM-LBPRGKRZSA-N -1 1 326.320 -0.006 20 0 EBADMM CCOC(=O)c1c[n-]c(NC(=O)[C@H]2CCCN2S(C)(=O)=O)n1 ZINC000591303542 377706586 /nfs/dbraw/zinc/70/65/86/377706586.db2.gz WJFQJHDEPUFTQK-SECBINFHSA-N -1 1 330.366 -0.051 20 0 EBADMM COC(=O)[C@@H]([N-]S(=O)(=O)CCOCC1CC1)c1ccnn1C ZINC000592095045 377797969 /nfs/dbraw/zinc/79/79/69/377797969.db2.gz FDZGWLICJOLGOP-LBPRGKRZSA-N -1 1 331.394 -0.020 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H](O)C[C@H](C(=O)OC)C2)o1 ZINC000592912619 377863393 /nfs/dbraw/zinc/86/33/93/377863393.db2.gz OQYTXNMOSRVBFR-IUCAKERBSA-N -1 1 346.361 -0.816 20 0 EBADMM COC(=O)[C@H](CO)[N-]S(=O)(=O)CCCOc1ccc(OC)cc1 ZINC000594470924 377939186 /nfs/dbraw/zinc/93/91/86/377939186.db2.gz WZGJANSKQXIABK-ZDUSSCGKSA-N -1 1 347.389 -0.083 20 0 EBADMM COC(=O)CCN1CC[C@H](N2CCC(c3n[nH]c(=O)[n-]3)CC2)C1=O ZINC000595467112 378008703 /nfs/dbraw/zinc/00/87/03/378008703.db2.gz ZPELLTHADFOXEO-NSHDSACASA-N -1 1 337.380 -0.146 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCO[C@@H]2C(=O)OC)o1 ZINC000598006659 378150446 /nfs/dbraw/zinc/15/04/46/378150446.db2.gz USCQYDPEUWNJMO-XCBNKYQSSA-N -1 1 332.334 -0.752 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2CCS(=O)(=O)[C@@H]2C)c1 ZINC000599458746 378243901 /nfs/dbraw/zinc/24/39/01/378243901.db2.gz VTRSZTWJKWWOQL-VXNVDRBHSA-N -1 1 337.375 -0.080 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@@H]2COCCN2C)cc1C ZINC000599453491 378247507 /nfs/dbraw/zinc/24/75/07/378247507.db2.gz SDHZBCHDLLHRTH-SNVBAGLBSA-N -1 1 332.378 -0.016 20 0 EBADMM Cn1cc(N2C[C@@H](C(=O)N3CCC[C@@H](c4nn[n-]n4)C3)CC2=O)cn1 ZINC000626237842 378303433 /nfs/dbraw/zinc/30/34/33/378303433.db2.gz JNFSNBHEKGZGOS-MNOVXSKESA-N -1 1 344.379 -0.308 20 0 EBADMM Cc1cc(C(=O)NC(C)C)ccc1NC(=O)C(=O)NCc1nn[n-]n1 ZINC000606117023 378529127 /nfs/dbraw/zinc/52/91/27/378529127.db2.gz KFBCCRULSMQAKV-UHFFFAOYSA-N -1 1 345.363 -0.099 20 0 EBADMM NS(=O)(=O)NC[C@H]1CCCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000615940573 379068620 /nfs/dbraw/zinc/06/86/20/379068620.db2.gz GONWGOYNIHILGH-LLVKDONJSA-N -1 1 341.389 -0.251 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1C[C@H](O)C[C@H]1[C@@H]1CCCOC1 ZINC000453036585 379102171 /nfs/dbraw/zinc/10/21/71/379102171.db2.gz WUFKXNGNXLANKR-UPJWGTAASA-N -1 1 349.387 -0.095 20 0 EBADMM Cc1ccc(S(=O)(=O)NCC(=O)NC(C)(C)c2nn[n-]n2)cc1 ZINC000572844150 379168299 /nfs/dbraw/zinc/16/82/99/379168299.db2.gz ZNMWQEFLFUFLGU-UHFFFAOYSA-N -1 1 338.393 -0.162 20 0 EBADMM C[C@@H](CCc1ccco1)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000052782455 379210831 /nfs/dbraw/zinc/21/08/31/379210831.db2.gz PNWSUVAQGHDTEY-JTQLQIEISA-N -1 1 341.389 -0.023 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NC1(CO)CCSCC1 ZINC000458068013 379207515 /nfs/dbraw/zinc/20/75/15/379207515.db2.gz FUQSVQYLWSWQDU-UHFFFAOYSA-N -1 1 325.390 -0.109 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCN(C(C)=O)C[C@@H]2C)o1 ZINC000268762509 379326107 /nfs/dbraw/zinc/32/61/07/379326107.db2.gz QHYDNZZRNIJIRC-VIFPVBQESA-N -1 1 329.378 -0.120 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CC[C@H](C(N)=O)O2)o1 ZINC000268345847 379296091 /nfs/dbraw/zinc/29/60/91/379296091.db2.gz MELWBQHMLLUDOU-JGVFFNPUSA-N -1 1 332.334 -0.623 20 0 EBADMM Cc1ccc(CC[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)cn1 ZINC000111355881 379300605 /nfs/dbraw/zinc/30/06/05/379300605.db2.gz YUCKBQFXLDXIDM-UHFFFAOYSA-N -1 1 338.389 -0.692 20 0 EBADMM Cc1ccnc([C@H](C)[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)n1 ZINC000622042082 379404347 /nfs/dbraw/zinc/40/43/47/379404347.db2.gz QOPFONVNOAOHMD-VIFPVBQESA-N -1 1 339.377 -0.778 20 0 EBADMM CS(=O)(=O)CCS(=O)(=O)[N-][C@H](CO)c1c(F)cccc1F ZINC000269779467 379404978 /nfs/dbraw/zinc/40/49/78/379404978.db2.gz OMMKQHINABPMKP-SNVBAGLBSA-N -1 1 343.373 -0.038 20 0 EBADMM CCC(CC)(CCO)C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000269873688 379415058 /nfs/dbraw/zinc/41/50/58/379415058.db2.gz UUOZSTVXUONDRO-UHFFFAOYSA-N -1 1 347.437 -0.449 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CC(=O)N(C(C)C)C2)co1 ZINC000270023690 379426648 /nfs/dbraw/zinc/42/66/48/379426648.db2.gz FVNQVYMAXRKXCR-JTQLQIEISA-N -1 1 329.378 -0.073 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@@H]1[N-]S(=O)(=O)C[C@@]1(C)CC1(F)F ZINC000641605732 379450776 /nfs/dbraw/zinc/45/07/76/379450776.db2.gz FMICKUYNKIDZTI-DJLDLDEBSA-N -1 1 333.378 -0.237 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1C[C@@H]2OCCN(C)[C@H]2C1 ZINC000152054187 379477266 /nfs/dbraw/zinc/47/72/66/379477266.db2.gz ZXPGBRSEVNOCCG-KBPBESRZSA-N -1 1 345.403 -0.277 20 0 EBADMM CO[C@@H]1[C@@H](C)[C@@H]([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)C1(C)C ZINC000271750702 379544131 /nfs/dbraw/zinc/54/41/31/379544131.db2.gz BSHKWKGGELMNFD-JMJZKYOTSA-N -1 1 345.421 -0.578 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NN1CC(=O)N(C)C1=O ZINC000271866066 379548439 /nfs/dbraw/zinc/54/84/39/379548439.db2.gz WFIBPGNFDLSVKL-UHFFFAOYSA-N -1 1 332.320 -0.893 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-]CCCN2CCNC(=O)C2)c1Cl ZINC000272222279 379567729 /nfs/dbraw/zinc/56/77/29/379567729.db2.gz SGFSXXGHVAFBRI-UHFFFAOYSA-N -1 1 335.817 -0.826 20 0 EBADMM C[C@H](CN(C)C(=O)CN1C(=O)[C@H]2CCCC[C@@H]2C1=O)c1nn[n-]n1 ZINC000273535339 379651443 /nfs/dbraw/zinc/65/14/43/379651443.db2.gz RWIVGRATJFYMMJ-VWYCJHECSA-N -1 1 334.380 -0.063 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCOC[C@H]2C[C@H](C)O)co1 ZINC000274404809 379687387 /nfs/dbraw/zinc/68/73/87/379687387.db2.gz VQGLSYFNTGHWAU-GXSJLCMTSA-N -1 1 332.378 -0.200 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCOC[C@@H]2C[C@H](C)O)co1 ZINC000274404817 379687816 /nfs/dbraw/zinc/68/78/16/379687816.db2.gz VQGLSYFNTGHWAU-ONGXEEELSA-N -1 1 332.378 -0.200 20 0 EBADMM NC(=O)COc1ccc(C=CC(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000493191193 379669515 /nfs/dbraw/zinc/66/95/15/379669515.db2.gz UAUHBMFRHCQOJZ-UTCJRWHESA-N -1 1 328.332 -0.118 20 0 EBADMM CC(=O)N1CCN(CC[N-]S(=O)(=O)c2cc(C)ns2)CC1 ZINC000631745701 379755305 /nfs/dbraw/zinc/75/53/05/379755305.db2.gz QHULRADPBNXHKP-UHFFFAOYSA-N -1 1 332.451 -0.106 20 0 EBADMM Cc1cnc(C(=O)N2CCC[C@@H](CNS(N)(=O)=O)C2)c([O-])c1 ZINC000495016013 379701685 /nfs/dbraw/zinc/70/16/85/379701685.db2.gz GRNXTHSPBVSFSK-JTQLQIEISA-N -1 1 328.394 -0.259 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@@H](F)C2)o1 ZINC000517384625 379779551 /nfs/dbraw/zinc/77/95/51/379779551.db2.gz HNEQQJMKPKSVOL-MRVPVSSYSA-N -1 1 333.341 -0.383 20 0 EBADMM O=C(NN1CC(=O)[N-]C1=O)[C@@H]1CC(=O)N(c2cc(F)cc(F)c2)C1 ZINC000053762163 379829793 /nfs/dbraw/zinc/82/97/93/379829793.db2.gz VENHHPFWUQDNFP-SSDOTTSWSA-N -1 1 338.270 -0.099 20 0 EBADMM CCC[C@H](NC(=O)c1cc(N2CCNC(=O)C2)ccn1)c1nn[n-]n1 ZINC000276510415 379844688 /nfs/dbraw/zinc/84/46/88/379844688.db2.gz KORSMDFWTDXAER-NSHDSACASA-N -1 1 344.379 -0.198 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)N2CCN3C(=O)NC[C@H]3C2)c1 ZINC000632077982 379812351 /nfs/dbraw/zinc/81/23/51/379812351.db2.gz DRIUWLWGGGTLDZ-VIFPVBQESA-N -1 1 327.362 -0.201 20 0 EBADMM CN1CCO[C@@H](CS(=O)(=O)[N-][C@@H]2CN(c3ccccc3)C2=O)C1 ZINC000632198531 379827605 /nfs/dbraw/zinc/82/76/05/379827605.db2.gz GSCGCQPGNQFBOK-ZIAGYGMSSA-N -1 1 339.417 -0.348 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]C(C)(C)[C@@H](O)C(=O)OC)c1 ZINC000277150640 379875226 /nfs/dbraw/zinc/87/52/26/379875226.db2.gz YLZUIJGRTLVKSW-VIFPVBQESA-N -1 1 335.334 -0.343 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]C(C)(C)[C@H](O)C(=O)OC)c1 ZINC000277150635 379875689 /nfs/dbraw/zinc/87/56/89/379875689.db2.gz YLZUIJGRTLVKSW-SECBINFHSA-N -1 1 335.334 -0.343 20 0 EBADMM O=C(c1cncc([O-])c1)N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000613974252 379892949 /nfs/dbraw/zinc/89/29/49/379892949.db2.gz IUFWAOXAMLCUCG-UHFFFAOYSA-N -1 1 337.361 -0.343 20 0 EBADMM O=C(NC[C@H]1CN2CCN1CCC2)c1c[nH]c2nc(=O)[n-]c(=O)c-2c1 ZINC000614512323 379936545 /nfs/dbraw/zinc/93/65/45/379936545.db2.gz PVIUVCVDWIPKQI-NSHDSACASA-N -1 1 344.375 -0.444 20 0 EBADMM C[C@H](O)CN1CCN(C(=O)c2c[nH]c3nc(=O)[n-]c(=O)c-3c2)[C@@H](C)C1 ZINC000281665920 380034412 /nfs/dbraw/zinc/03/44/12/380034412.db2.gz SRHRLYYKVUCJSG-UWVGGRQHSA-N -1 1 347.375 -0.037 20 0 EBADMM C[C@H]1C[C@H](C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])CC(=O)N1 ZINC000543570417 380063833 /nfs/dbraw/zinc/06/38/33/380063833.db2.gz ZNYUHUBMFBNLJR-YUMQZZPRSA-N -1 1 327.362 -0.107 20 0 EBADMM CO[C@@H](C)CS(=O)(=O)[N-]c1cnn(CC(=O)N2CCOCC2)c1 ZINC000282286734 380067070 /nfs/dbraw/zinc/06/70/70/380067070.db2.gz VQAFNCQYFHFQKU-NSHDSACASA-N -1 1 346.409 -0.482 20 0 EBADMM CCS(=O)(=O)C[C@H](C)NC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000354696594 380084304 /nfs/dbraw/zinc/08/43/04/380084304.db2.gz CRULPGCMWPPHPZ-QMMMGPOBSA-N -1 1 338.407 -0.259 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1C(=O)NCC[C@@H]1C ZINC000282634383 380086239 /nfs/dbraw/zinc/08/62/39/380086239.db2.gz SXRIUPGBLPWMMG-IONNQARKSA-N -1 1 330.366 -0.611 20 0 EBADMM COc1cncc(S(=O)(=O)N[C@H](Cc2cnc[nH]2)C(=O)[O-])c1 ZINC000281803021 380042565 /nfs/dbraw/zinc/04/25/65/380042565.db2.gz ISJDBKWARFOUJA-LLVKDONJSA-N -1 1 326.334 -0.213 20 0 EBADMM CS(=O)(=O)NCCCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283723139 380137844 /nfs/dbraw/zinc/13/78/44/380137844.db2.gz KXUKAOSFLKSSBB-UHFFFAOYSA-N -1 1 329.407 -0.313 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CC[C@](O)(C(N)=O)C1 ZINC000355072467 380140283 /nfs/dbraw/zinc/14/02/83/380140283.db2.gz RUADMVHWJDOGIV-MRXNPFEDSA-N -1 1 347.375 -0.567 20 0 EBADMM N#Cc1cc(F)c(S(=O)(=O)NCC(=O)NCC(=O)[O-])c(F)c1 ZINC000547544359 380142455 /nfs/dbraw/zinc/14/24/55/380142455.db2.gz VJVFSFJMIBZHCO-UHFFFAOYSA-N -1 1 333.272 -0.684 20 0 EBADMM CNC(=O)COc1ccc(C(=O)NC(C)(C)c2nn[n-]n2)cc1OC ZINC000354765280 380094106 /nfs/dbraw/zinc/09/41/06/380094106.db2.gz VKJRTYZPZRUVME-UHFFFAOYSA-N -1 1 348.363 -0.002 20 0 EBADMM Cc1nc(S(=O)(=O)N[C@H](CN2CCCCC2)C(=O)[O-])cn1C ZINC000547884914 380168490 /nfs/dbraw/zinc/16/84/90/380168490.db2.gz ANIOCKQHWCIEBT-LLVKDONJSA-N -1 1 330.410 -0.054 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC(F)(F)[C@H](CO)C1 ZINC000360847581 380267042 /nfs/dbraw/zinc/26/70/42/380267042.db2.gz SVHCOOVTQWMHAG-VIFPVBQESA-N -1 1 329.303 -0.007 20 0 EBADMM CCC[C@H](NC(=O)Cn1nnc2ccccc2c1=O)c1nn[n-]n1 ZINC000136681960 380211199 /nfs/dbraw/zinc/21/11/99/380211199.db2.gz TVNRTTSGTNMQSW-NSHDSACASA-N -1 1 328.336 -0.038 20 0 EBADMM COC(=O)CCCS(=O)(=O)N[C@@H](CN1CCCCC1)C(=O)[O-] ZINC000548555297 380214845 /nfs/dbraw/zinc/21/48/45/380214845.db2.gz PFSKTYDKYPAXOB-NSHDSACASA-N -1 1 336.410 -0.202 20 0 EBADMM COC(=O)c1c[n-]c(S(=O)(=O)CC(=O)N2C[C@H](C)O[C@@H](C)C2)n1 ZINC000285009562 380219177 /nfs/dbraw/zinc/21/91/77/380219177.db2.gz DAXSISIUJRRGRG-IUCAKERBSA-N -1 1 345.377 -0.394 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@]2(CC(=O)OC)CCOC2)o1 ZINC000362035782 380366994 /nfs/dbraw/zinc/36/69/94/380366994.db2.gz ICEPFPYPVOTBGH-ZDUSSCGKSA-N -1 1 346.361 -0.360 20 0 EBADMM Cn1[n-]cc2/c(=N\C(=O)C(=O)NCCN3CCSCC3)ccnc1-2 ZINC000287443328 380354414 /nfs/dbraw/zinc/35/44/14/380354414.db2.gz CDZDMIRRUZXCKO-UNOMPAQXSA-N -1 1 348.432 -0.555 20 0 EBADMM COC(=O)[C@@]1(F)CCN(C(=O)C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000288967694 380426600 /nfs/dbraw/zinc/42/66/00/380426600.db2.gz XSEJSJGWOFZRLA-SMLKNPDNSA-N -1 1 349.322 -0.606 20 0 EBADMM CC(C)(NC(=O)C(=O)N1CCN(c2ccccc2)CC1)c1nn[n-]n1 ZINC000362819391 380434499 /nfs/dbraw/zinc/43/44/99/380434499.db2.gz YNMKNDWMOZGOHJ-UHFFFAOYSA-N -1 1 343.391 -0.100 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CCCC(F)(F)C2)c(=O)n(C)c1=O ZINC000362917374 380444747 /nfs/dbraw/zinc/44/47/47/380444747.db2.gz KHVBGSXXNJJTLZ-MRVPVSSYSA-N -1 1 337.348 -0.060 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2CCCC(F)(F)C2)c(=O)n(C)c1=O ZINC000362917375 380444918 /nfs/dbraw/zinc/44/49/18/380444918.db2.gz KHVBGSXXNJJTLZ-QMMMGPOBSA-N -1 1 337.348 -0.060 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C(=O)NC)C(C)C ZINC000362985789 380449101 /nfs/dbraw/zinc/44/91/01/380449101.db2.gz GKGXYSBWIFOVKK-SECBINFHSA-N -1 1 332.382 -0.365 20 0 EBADMM Cn1c2ncn(CC(=O)Nc3ccc(F)cc3[O-])c2c(=O)n(C)c1=O ZINC000340332342 380460854 /nfs/dbraw/zinc/46/08/54/380460854.db2.gz QMBMWFTZJBSSEE-UHFFFAOYSA-N -1 1 347.306 -0.083 20 0 EBADMM CO[C@@H]1C[C@H](C(=O)[O-])N(CCOc2ccc(S(N)(=O)=O)cc2)C1 ZINC000566363085 380466712 /nfs/dbraw/zinc/46/67/12/380466712.db2.gz FTLCRDOBMZKQJZ-DGCLKSJQSA-N -1 1 344.389 -0.113 20 0 EBADMM O=C(NCCCc1ccccc1)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000369308312 380553346 /nfs/dbraw/zinc/55/33/46/380553346.db2.gz SEWYWYWWOJLNOA-CYBMUJFWSA-N -1 1 344.375 -0.151 20 0 EBADMM C[C@@H]1CN(S(=O)(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])C[C@H](C)O1 ZINC000314261680 380564006 /nfs/dbraw/zinc/56/40/06/380564006.db2.gz HPXXUXSYMUDJRN-NGZCFLSTSA-N -1 1 332.382 -0.651 20 0 EBADMM Cc1nc(C[N-]S(=O)(=O)c2ccc(S(N)(=O)=O)cc2)no1 ZINC000608029585 380595332 /nfs/dbraw/zinc/59/53/32/380595332.db2.gz SDXNBJWYUIXATN-UHFFFAOYSA-N -1 1 332.363 -0.496 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC2(C(N)=O)CCOCC2)o1 ZINC000341143352 380670772 /nfs/dbraw/zinc/67/07/72/380670772.db2.gz IBQAJZQGAKYBEE-UHFFFAOYSA-N -1 1 346.361 -0.373 20 0 EBADMM CO[C@H]1CCN(CCNS(=O)(=O)c2cnn(C)c2)[C@@H](C(=O)[O-])C1 ZINC000573387763 380671371 /nfs/dbraw/zinc/67/13/71/380671371.db2.gz ZJFSPPSVRGDCNF-CMPLNLGQSA-N -1 1 346.409 -0.738 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@@H](OC)C[C@@H]2C(=O)OC)co1 ZINC000372307105 380700737 /nfs/dbraw/zinc/70/07/37/380700737.db2.gz JHIKIWSGDGBUTG-VHSXEESVSA-N -1 1 346.361 -0.410 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@@H](OC)C[C@H]2C(=O)OC)co1 ZINC000372307104 380701366 /nfs/dbraw/zinc/70/13/66/380701366.db2.gz JHIKIWSGDGBUTG-UWVGGRQHSA-N -1 1 346.361 -0.410 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CCCS2(=O)=O)c1 ZINC000574769367 380722764 /nfs/dbraw/zinc/72/27/64/380722764.db2.gz WYNDSDTZCSEBTN-LLVKDONJSA-N -1 1 348.402 -0.184 20 0 EBADMM COc1cc(NC(=O)N(C)C[C@@H](O)CO)ccc1[N-]S(C)(=O)=O ZINC000341952835 380747869 /nfs/dbraw/zinc/74/78/69/380747869.db2.gz UEWIHOABXXNSAS-SNVBAGLBSA-N -1 1 347.393 -0.116 20 0 EBADMM CCC(C)(C)OCC[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000416523788 380784782 /nfs/dbraw/zinc/78/47/82/380784782.db2.gz KDZXJVKFFHDSNH-UHFFFAOYSA-N -1 1 333.410 -0.433 20 0 EBADMM CC[C@](COC)([N-]S(=O)(=O)N1C[C@@H](C)OC[C@H]1C)C(=O)OC ZINC000416518861 380785537 /nfs/dbraw/zinc/78/55/37/380785537.db2.gz URIDCZIEYZCVPC-NQBHXWOUSA-N -1 1 338.426 -0.102 20 0 EBADMM C[C@@H]1C[C@@H](C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)[C@@H](C)O1 ZINC000416595533 380790589 /nfs/dbraw/zinc/79/05/89/380790589.db2.gz FBMBADOGCOHRDG-BBBLOLIVSA-N -1 1 331.394 -0.824 20 0 EBADMM C[C@H](C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)CC(F)(F)F ZINC000416608736 380790699 /nfs/dbraw/zinc/79/06/99/380790699.db2.gz SECHSONWZGCBEM-ZETCQYMHSA-N -1 1 343.327 -0.049 20 0 EBADMM CC(C)Sc1n[nH]c([N-]S(=O)(=O)CCCS(N)(=O)=O)n1 ZINC000349443651 380876641 /nfs/dbraw/zinc/87/66/41/380876641.db2.gz BDYJEKVXPCHEDX-UHFFFAOYSA-N -1 1 343.456 -0.275 20 0 EBADMM O=C(Cn1c(=O)[nH]c2ccccc21)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000377609427 380983779 /nfs/dbraw/zinc/98/37/79/380983779.db2.gz RQZLLZBPBLLUSI-LLVKDONJSA-N -1 1 329.320 -0.145 20 0 EBADMM O=C(CNS(=O)(=O)c1cccc(F)c1)NC1(c2nn[n-]n2)CC1 ZINC000578687599 380947340 /nfs/dbraw/zinc/94/73/40/380947340.db2.gz ILTGKCCXXGQEDM-UHFFFAOYSA-N -1 1 340.340 -0.577 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCc2ccc(F)cc2)c(=O)n(C)c1=O ZINC000015324704 381113777 /nfs/dbraw/zinc/11/37/77/381113777.db2.gz RJBMQWKBHPTDJW-UHFFFAOYSA-N -1 1 341.364 -0.256 20 0 EBADMM Cc1ncccc1NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000347298807 381198343 /nfs/dbraw/zinc/19/83/43/381198343.db2.gz IMFVJPURGZAXAP-UHFFFAOYSA-N -1 1 338.345 -0.001 20 0 EBADMM O=C(CCC(=O)N1CCC(c2ccccc2)=N1)NN1CC(=O)[N-]C1=O ZINC000029019544 381169113 /nfs/dbraw/zinc/16/91/13/381169113.db2.gz LHBCCIFLNICTOO-UHFFFAOYSA-N -1 1 343.343 -0.014 20 0 EBADMM CC[N@H+](CCO)CCNC(=O)c1cc(C)c2c(=O)[n-]c(=O)nc-2[n-]1 ZINC000347805053 381277154 /nfs/dbraw/zinc/27/71/54/381277154.db2.gz JLXCWDOWWXIYOE-UHFFFAOYSA-N -1 1 335.364 -0.212 20 0 EBADMM CC[N@@H+](CCO)CCNC(=O)c1cc(C)c2c(=O)[n-]c(=O)nc-2[n-]1 ZINC000347805053 381277160 /nfs/dbraw/zinc/27/71/60/381277160.db2.gz JLXCWDOWWXIYOE-UHFFFAOYSA-N -1 1 335.364 -0.212 20 0 EBADMM CN(C)S(=O)(=O)c1cc(C(=O)NC2(c3nn[n-]n3)CC2)co1 ZINC000348272837 381352020 /nfs/dbraw/zinc/35/20/20/381352020.db2.gz CWCMAVDMGMXMKJ-UHFFFAOYSA-N -1 1 326.338 -0.538 20 0 EBADMM O=C(CCNS(=O)(=O)c1ccccc1)NC1(c2nn[n-]n2)CC1 ZINC000348298110 381358538 /nfs/dbraw/zinc/35/85/38/381358538.db2.gz OMUIVOCLSTXSBZ-UHFFFAOYSA-N -1 1 336.377 -0.326 20 0 EBADMM COCCS(=O)(=O)[N-]c1cnn(CC(=O)N2CCN(C)CC2)c1 ZINC000348736132 381398528 /nfs/dbraw/zinc/39/85/28/381398528.db2.gz RVNGLSXFVBPCQV-UHFFFAOYSA-N -1 1 345.425 -0.955 20 0 EBADMM CC(=O)NCC(=O)NCC(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC000348757055 381400023 /nfs/dbraw/zinc/40/00/23/381400023.db2.gz RRZHCWMRAGNFKQ-UHFFFAOYSA-N -1 1 331.332 -0.027 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](COC)C(=O)OC ZINC000350617763 381528837 /nfs/dbraw/zinc/52/88/37/381528837.db2.gz IGFKPQKSLWTIAS-QMMMGPOBSA-N -1 1 335.338 -0.947 20 0 EBADMM O=C([O-])[C@@H]1CN(CC(=O)N2CCNC(=O)C2)C[C@H]1c1ccccc1 ZINC000584350563 381665365 /nfs/dbraw/zinc/66/53/65/381665365.db2.gz PVCKCTPWSRECNF-UONOGXRCSA-N -1 1 331.372 -0.255 20 0 EBADMM CO[C@@H]1CC[C@H](NC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C1 ZINC000351760039 381671449 /nfs/dbraw/zinc/67/14/49/381671449.db2.gz RWSZBHRMGXTCNM-DTWKUNHWSA-N -1 1 345.377 -0.660 20 0 EBADMM COC(=O)[C@@H](CO)[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000612633426 381849563 /nfs/dbraw/zinc/84/95/63/381849563.db2.gz BSYQQJOMYMLSTE-SECBINFHSA-N -1 1 325.289 -0.214 20 0 EBADMM COC(=O)[C@@H](C)Cc1ccc(NC(=O)C(=O)NCc2nn[n-]n2)cc1 ZINC000612726744 381859478 /nfs/dbraw/zinc/85/94/78/381859478.db2.gz SMBZTNVDEHAVOO-VIFPVBQESA-N -1 1 346.347 -0.194 20 0 EBADMM C[C@@]1(c2ccc(C(=O)NC3(c4nn[n-]n4)CC3)cc2)NC(=O)NC1=O ZINC000352198661 381906028 /nfs/dbraw/zinc/90/60/28/381906028.db2.gz YLMKWIAFHYOZED-AWEZNQCLSA-N -1 1 341.331 -0.327 20 0 EBADMM CC(C)(CS(C)(=O)=O)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000352585645 382007380 /nfs/dbraw/zinc/00/73/80/382007380.db2.gz HLKGIQIXNLIBIY-UHFFFAOYSA-N -1 1 329.378 -0.544 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)CNC(=O)Cc2ccccc2)n1 ZINC000352750586 382030237 /nfs/dbraw/zinc/03/02/37/382030237.db2.gz XFNCCUJDDIKNLB-UHFFFAOYSA-N -1 1 337.361 -0.494 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)CNC(=O)Cc2ccccc2)[n-]1 ZINC000352750586 382030248 /nfs/dbraw/zinc/03/02/48/382030248.db2.gz XFNCCUJDDIKNLB-UHFFFAOYSA-N -1 1 337.361 -0.494 20 0 EBADMM CC(C)C[C@@H](C(=O)[O-])N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000629484561 382130253 /nfs/dbraw/zinc/13/02/53/382130253.db2.gz UUWZLRFURVYARR-ZDUSSCGKSA-N -1 1 341.408 -0.511 20 0 EBADMM C[C@H](NC(=O)CS(=O)(=O)c1n[n-]c(C(C)(C)C)n1)C(=O)N(C)C ZINC000447483077 382198442 /nfs/dbraw/zinc/19/84/42/382198442.db2.gz PFGRWZFHPWQFIR-QMMMGPOBSA-N -1 1 345.425 -0.531 20 0 EBADMM C/C=C/C[C@@H](CO)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000354243464 382222151 /nfs/dbraw/zinc/22/21/51/382222151.db2.gz WJIJUKAMJHRDOI-HPOULIHZSA-N -1 1 345.377 -0.900 20 0 EBADMM COC(=O)[C@@H]1CCCC[C@@H]1S(=O)(=O)[N-][C@@H]1CC(=O)N(C)C1=O ZINC000330683104 155300272 /nfs/dbraw/zinc/30/02/72/155300272.db2.gz OOKMATULADHNID-BBBLOLIVSA-N -1 1 332.378 -0.605 20 0 EBADMM COC(=O)C[C@H]([N-]S(=O)(=O)N1C[C@@H](C)C[C@H](C)C1)C(=O)OC ZINC000330736060 155306499 /nfs/dbraw/zinc/30/64/99/155306499.db2.gz WQCPGAWUAFJEHH-DCAQKATOSA-N -1 1 336.410 -0.097 20 0 EBADMM CC(C)CC[C@@](C)(O)C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000331298742 155360229 /nfs/dbraw/zinc/36/02/29/155360229.db2.gz ZWVLTSYWDIFZLX-CQSZACIVSA-N -1 1 347.437 -0.451 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC(OCC(=O)N(C)C)C2)co1 ZINC000331386480 155367799 /nfs/dbraw/zinc/36/77/99/155367799.db2.gz IKVBATSXAPERMM-UHFFFAOYSA-N -1 1 345.377 -0.883 20 0 EBADMM C[C@H](NC(=O)c1n[nH]c2ccccc21)C(=O)NC[C@](C)(O)C(=O)[O-] ZINC000262363687 154226698 /nfs/dbraw/zinc/22/66/98/154226698.db2.gz HIPSUUCGQLKPEI-AYVTZFPOSA-N -1 1 334.332 -0.367 20 0 EBADMM CCS(=O)(=O)CC[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000264558374 154285898 /nfs/dbraw/zinc/28/58/98/154285898.db2.gz FBOLFYODFQOBJN-UHFFFAOYSA-N -1 1 325.364 -0.221 20 0 EBADMM COC(C)(C)C[C@@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000266169554 154337541 /nfs/dbraw/zinc/33/75/41/154337541.db2.gz JBEMEPGRNDTUFM-SECBINFHSA-N -1 1 333.410 -0.434 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CC(=O)N(C(C)C)C2=O)o1 ZINC000267226910 154369684 /nfs/dbraw/zinc/36/96/84/154369684.db2.gz KGPCFJDJVIGRGH-MRVPVSSYSA-N -1 1 343.361 -0.547 20 0 EBADMM O=c1cc(CN2CCN3C(=O)C(=O)NC[C@@H]3C2)c2ccc([O-])cc2o1 ZINC000267463297 154376959 /nfs/dbraw/zinc/37/69/59/154376959.db2.gz MGPAXUVOFCWFTN-LLVKDONJSA-N -1 1 343.339 -0.359 20 0 EBADMM CCC[C@@H](NC(=O)CN1C(=O)N[C@@](C)(C2CC2)C1=O)c1nn[n-]n1 ZINC000267636098 154384802 /nfs/dbraw/zinc/38/48/02/154384802.db2.gz YHWXZWXFHMNGQD-OTYXRUKQSA-N -1 1 335.368 -0.122 20 0 EBADMM O=C(NN1CC(=O)[N-]C1=O)[C@@H]1CCCN(C(=O)NC2CCCC2)C1 ZINC000267946130 154395908 /nfs/dbraw/zinc/39/59/08/154395908.db2.gz AXOGTUGZNXAEFW-SNVBAGLBSA-N -1 1 337.380 -0.066 20 0 EBADMM O=S(=O)([N-]C[C@@H](O)CN1CCOCC1)c1cccc(F)c1F ZINC000269253490 154438929 /nfs/dbraw/zinc/43/89/29/154438929.db2.gz BVUYXQPTFYRKAY-SNVBAGLBSA-N -1 1 336.360 -0.064 20 0 EBADMM COC(=O)c1ccccc1CS(=O)(=O)[N-][C@H]1CC(=O)N(C)C1=O ZINC000283579897 154828210 /nfs/dbraw/zinc/82/82/10/154828210.db2.gz RASKDPGGUMEWRL-NSHDSACASA-N -1 1 340.357 -0.350 20 0 EBADMM Cn1[n-]cc2/c(=N\C(=O)C(=O)N[C@@H](CO)C3CCCC3)ccnc1-2 ZINC000290345604 155001826 /nfs/dbraw/zinc/00/18/26/155001826.db2.gz IUXYBLJEMHXEAI-QXGSTRBFSA-N -1 1 331.376 -0.052 20 0 EBADMM CC[C@H]([N-]S(=O)(=O)c1c(C)onc1N)C(=O)N1CCOCC1 ZINC000290692324 155007249 /nfs/dbraw/zinc/00/72/49/155007249.db2.gz HXIGBTBUFBRWTP-VIFPVBQESA-N -1 1 332.382 -0.519 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H]1CCN(S(C)(=O)=O)C1 ZINC000290665227 155007931 /nfs/dbraw/zinc/00/79/31/155007931.db2.gz FZBGAPQLVMAEKQ-MRVPVSSYSA-N -1 1 338.411 -0.875 20 0 EBADMM COCc1nc2n(n1)C[C@@H]([N-]S(=O)(=O)c1c(C)onc1N)CC2 ZINC000290744101 155009706 /nfs/dbraw/zinc/00/97/06/155009706.db2.gz METSBMIETMVRHL-QMMMGPOBSA-N -1 1 342.381 -0.404 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H]1CCC[C@]12NC(=O)NC2=O ZINC000290875795 155012055 /nfs/dbraw/zinc/01/20/55/155012055.db2.gz YJRHHGUQGAQHRL-KRTXAFLBSA-N -1 1 343.365 -0.778 20 0 EBADMM COC(=O)[C@H]1CC[C@@H](C(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)O1 ZINC000291895160 155024809 /nfs/dbraw/zinc/02/48/09/155024809.db2.gz JKAVAWLELBHZLK-NWDGAFQWSA-N -1 1 348.359 -0.024 20 0 EBADMM C[C@H]1CCC[C@@]1(O)CNC(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000293546697 155048635 /nfs/dbraw/zinc/04/86/35/155048635.db2.gz OTHKKFMKNZAFEC-IOBQISSGSA-N -1 1 331.376 -0.052 20 0 EBADMM COC(=O)[C@@H](C)CS(=O)(=O)N1CCC(c2nc(=O)[n-][nH]2)CC1 ZINC000294409328 155060701 /nfs/dbraw/zinc/06/07/01/155060701.db2.gz CVPZCRQQCKXBKP-QMMMGPOBSA-N -1 1 332.382 -0.584 20 0 EBADMM CCOC(=O)CN(C)C(=O)CN1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000295409626 155067434 /nfs/dbraw/zinc/06/74/34/155067434.db2.gz SNOVIGCJFKKEAO-SNVBAGLBSA-N -1 1 326.353 -0.436 20 0 EBADMM CC[C@H](CNS(C)(=O)=O)[N-]S(=O)(=O)c1c(C)onc1N ZINC000295848549 155072461 /nfs/dbraw/zinc/07/24/61/155072461.db2.gz WZFKJXZBGXJMFA-SSDOTTSWSA-N -1 1 326.400 -0.829 20 0 EBADMM CC[C@@H](C)N1CCN(C(=O)CNS(=O)(=O)c2cn(C)cn2)CC1 ZINC000296949245 155079914 /nfs/dbraw/zinc/07/99/14/155079914.db2.gz NGWBLDMBXMWBOG-GFCCVEGCSA-N -1 1 343.453 -0.359 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]CC2(C(N)=O)CCOCC2)c1 ZINC000338214653 155632398 /nfs/dbraw/zinc/63/23/98/155632398.db2.gz SBJIKTPRALHVTE-UHFFFAOYSA-N -1 1 346.361 -0.373 20 0 EBADMM Cc1[nH]c(=O)sc1S(=O)(=O)[N-]CCNC(=O)[C@](C)(O)C1CC1 ZINC000342570812 156041893 /nfs/dbraw/zinc/04/18/93/156041893.db2.gz PLIMZUQCKWASHN-GFCCVEGCSA-N -1 1 349.434 -0.287 20 0 EBADMM NC(=O)[C@H](NC(=O)CNC(=O)c1ncccc1[O-])c1ccccc1 ZINC000343174853 156106211 /nfs/dbraw/zinc/10/62/11/156106211.db2.gz BFUFZWPSUQVFHO-CYBMUJFWSA-N -1 1 328.328 -0.140 20 0 EBADMM C[C@H]1CS(=O)(=O)CCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000343719650 156161180 /nfs/dbraw/zinc/16/11/80/156161180.db2.gz JCEOWUFXIOKADO-VIFPVBQESA-N -1 1 327.362 -0.838 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CC(=O)N[C@H]2CCCC[C@H]21 ZINC000343827695 156172337 /nfs/dbraw/zinc/17/23/37/156172337.db2.gz MXDIPEOERZRSOT-WDEREUQCSA-N -1 1 332.360 -0.213 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NC(C2CC2)C2CC2)o1 ZINC000344296039 156217363 /nfs/dbraw/zinc/21/73/63/156217363.db2.gz UMSAPTWBTMDTGL-UHFFFAOYSA-N -1 1 341.389 -0.038 20 0 EBADMM NS(=O)(=O)c1ccc(C(=O)NC2(c3nn[n-]n3)CCCC2)nc1 ZINC000344787750 156263538 /nfs/dbraw/zinc/26/35/38/156263538.db2.gz FFYUPLKAGZPAAX-UHFFFAOYSA-N -1 1 337.365 -0.559 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CC(=O)N2CCOCC2)o1 ZINC000345198635 156311500 /nfs/dbraw/zinc/31/15/00/156311500.db2.gz KELGCAWKWBOURP-UHFFFAOYSA-N -1 1 346.361 -0.407 20 0 EBADMM C[C@@H](CN1CCOCC1)N(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000345421756 156331173 /nfs/dbraw/zinc/33/11/73/156331173.db2.gz XYVSKRLQLYVVNX-LBPRGKRZSA-N -1 1 336.392 -0.304 20 0 EBADMM CO[C@@H]1C[C@H](c2nc[nH]n2)N(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000345513630 156338587 /nfs/dbraw/zinc/33/85/87/156338587.db2.gz QXEIDIJFGNJNNT-NXEZZACHSA-N -1 1 346.347 -0.376 20 0 EBADMM CO[C@@H]1C[C@H](c2nnc[nH]2)N(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000345513630 156338598 /nfs/dbraw/zinc/33/85/98/156338598.db2.gz QXEIDIJFGNJNNT-NXEZZACHSA-N -1 1 346.347 -0.376 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CCC[C@H]2C(=O)NC)co1 ZINC000345818702 156364300 /nfs/dbraw/zinc/36/43/00/156364300.db2.gz WDDIBEGWEFNABP-ZJUUUORDSA-N -1 1 329.378 -0.168 20 0 EBADMM CC1(C)[C@@H]2CN(C(=O)c3ccc(S(=O)(=O)[N-]CC(N)=O)o3)C[C@@H]21 ZINC000346247250 156392181 /nfs/dbraw/zinc/39/21/81/156392181.db2.gz AQEXXXMEYUFUTE-DTORHVGOSA-N -1 1 341.389 -0.229 20 0 EBADMM O=C(N[C@@H]1CCN(c2ccccc2)C1=O)c1cc(=O)n2[n-]cnc2n1 ZINC000352432687 156775584 /nfs/dbraw/zinc/77/55/84/156775584.db2.gz RMKSGJDETWQPIF-LLVKDONJSA-N -1 1 338.327 -0.047 20 0 EBADMM Cn1ncc2c1[n-]cc(C(=O)N1CC[C@H](NS(C)(=O)=O)C1)c2=O ZINC000355602610 157013943 /nfs/dbraw/zinc/01/39/43/157013943.db2.gz XIDYDYWGGMAGPK-QMMMGPOBSA-N -1 1 339.377 -0.562 20 0 EBADMM CNC(=O)CN1CCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CC1 ZINC000355727068 157021636 /nfs/dbraw/zinc/02/16/36/157021636.db2.gz BUENMSUOQRXBOA-UHFFFAOYSA-N -1 1 332.364 -0.822 20 0 EBADMM NC(=O)CC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1[O-] ZINC000356291320 157058933 /nfs/dbraw/zinc/05/89/33/157058933.db2.gz HLXPOULGSWTODH-UHFFFAOYSA-N -1 1 343.361 -0.773 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCN2C(=O)CCC2=O)o1 ZINC000356401472 157062769 /nfs/dbraw/zinc/06/27/69/157062769.db2.gz BZFCCZSRQVOQHJ-UHFFFAOYSA-N -1 1 329.334 -0.934 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NC[C@@H]1CC(=O)N(C2CC2)C1 ZINC000357082204 157119512 /nfs/dbraw/zinc/11/95/12/157119512.db2.gz WCXSLMGKKOXLGJ-JTQLQIEISA-N -1 1 332.360 -0.356 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2nc(N(C)C)no2)o1 ZINC000357165589 157123668 /nfs/dbraw/zinc/12/36/68/157123668.db2.gz HQLHAOKPVCHKBG-UHFFFAOYSA-N -1 1 330.322 -0.006 20 0 EBADMM CC(C)C1(NC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)CC1 ZINC000357180577 157126106 /nfs/dbraw/zinc/12/61/06/157126106.db2.gz SIOWWUFLHDHSTK-UHFFFAOYSA-N -1 1 329.378 -0.038 20 0 EBADMM COCCCNC(=O)CN(C)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358319117 157231157 /nfs/dbraw/zinc/23/11/57/157231157.db2.gz YAPXQZBLXGCCIM-UHFFFAOYSA-N -1 1 335.364 -0.101 20 0 EBADMM COCCN1CCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CC1=O ZINC000358355038 157236207 /nfs/dbraw/zinc/23/62/07/157236207.db2.gz ORSZDJGZFNNZDV-UHFFFAOYSA-N -1 1 333.348 -0.395 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCS(=O)(=O)C2)o1 ZINC000358824610 157280558 /nfs/dbraw/zinc/28/05/58/157280558.db2.gz CKOYWBHLFYXAKB-MRVPVSSYSA-N -1 1 337.375 -0.078 20 0 EBADMM CCOC(=O)[C@@](C)(O)CNC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000358900411 157287416 /nfs/dbraw/zinc/28/74/16/157287416.db2.gz KSLCDFFZGCXCNV-LBPRGKRZSA-N -1 1 334.350 -0.768 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](CO)C1CCOCC1 ZINC000360630367 157391411 /nfs/dbraw/zinc/39/14/11/157391411.db2.gz SMRUTSJBZOAKAS-LLVKDONJSA-N -1 1 347.393 -0.348 20 0 EBADMM CC1(NC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)CC=CC1 ZINC000655222666 418951050 /nfs/dbraw/zinc/95/10/50/418951050.db2.gz DZYMKLPYHSIQIQ-UHFFFAOYSA-N -1 1 327.362 -0.118 20 0 EBADMM CO[C@H](CNC(=O)OC(C)(C)C)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000497143453 419067091 /nfs/dbraw/zinc/06/70/91/419067091.db2.gz IJHWOJHDUFJSQY-MRVPVSSYSA-N -1 1 326.357 -0.155 20 0 EBADMM CCC[C@@H](NC(=O)C[C@H]1CS(=O)(=O)CCN1C)c1nn[n-]n1 ZINC000644383185 419155594 /nfs/dbraw/zinc/15/55/94/419155594.db2.gz KIHFKQQNBLXQIN-VHSXEESVSA-N -1 1 330.414 -0.724 20 0 EBADMM CS(=O)(=O)N1CCC[C@@H]1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000644883703 419254308 /nfs/dbraw/zinc/25/43/08/419254308.db2.gz VHOFYIKAYQJHON-NXEZZACHSA-N -1 1 344.393 -0.095 20 0 EBADMM CC(=O)NCC(C)(C)C(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000651467380 419201928 /nfs/dbraw/zinc/20/19/28/419201928.db2.gz CKONBSGVSOKPSN-UHFFFAOYSA-N -1 1 326.426 -0.238 20 0 EBADMM C[C@@H](COCC1CC1)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000651886488 419225701 /nfs/dbraw/zinc/22/57/01/419225701.db2.gz ZREKICFFALDDEC-VIFPVBQESA-N -1 1 331.394 -0.823 20 0 EBADMM C[C@H]1COCC[C@H]1C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000285733811 419336051 /nfs/dbraw/zinc/33/60/51/419336051.db2.gz VKLWDJUIZRBHMR-UWVGGRQHSA-N -1 1 331.394 -0.965 20 0 EBADMM COCCN1CCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CC1=O ZINC000287699230 419339937 /nfs/dbraw/zinc/33/99/37/419339937.db2.gz RLWJPZSTUZWIPW-PDGQHHTCSA-N -1 1 332.364 -0.336 20 0 EBADMM CCN1CCN(CC(=O)Nc2ccc(-n3nn[n-]c3=O)cc2)CC1 ZINC000527007243 419633208 /nfs/dbraw/zinc/63/32/08/419633208.db2.gz HZCJIEODUOXKNO-UHFFFAOYSA-N -1 1 331.380 -0.056 20 0 EBADMM Cc1cccc(=O)n1C[C@](C)(O)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000526919009 419626661 /nfs/dbraw/zinc/62/66/61/419626661.db2.gz HMBNJXPFVDTPJC-LRDDRELGSA-N -1 1 346.391 -0.173 20 0 EBADMM CCCS(=O)(=O)N1CCCC[C@@H]1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000357054010 419670077 /nfs/dbraw/zinc/67/00/77/419670077.db2.gz LKOLAIUNXDOKLP-SNVBAGLBSA-N -1 1 342.425 -0.101 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)C2(n3cnnn3)CCCCC2)n1 ZINC000653018115 419781175 /nfs/dbraw/zinc/78/11/75/419781175.db2.gz OQJKQPKGSDQLNW-UHFFFAOYSA-N -1 1 340.369 -0.507 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)C2(n3cnnn3)CCCCC2)[n-]1 ZINC000653018115 419781181 /nfs/dbraw/zinc/78/11/81/419781181.db2.gz OQJKQPKGSDQLNW-UHFFFAOYSA-N -1 1 340.369 -0.507 20 0 EBADMM CNS(=O)(=O)CC[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645672666 419789208 /nfs/dbraw/zinc/78/92/08/419789208.db2.gz TVFPEBLXOCINQV-UHFFFAOYSA-N -1 1 347.340 -0.072 20 0 EBADMM COC(=O)C1(CS(=O)(=O)[N-][C@H]2CC(=O)N(C)C2=O)CCCCC1 ZINC000489865438 420549258 /nfs/dbraw/zinc/54/92/58/420549258.db2.gz NOJBWYQVEITCLU-JTQLQIEISA-N -1 1 346.405 -0.213 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2cc(C(N)=O)co2)co1 ZINC000490886071 420552307 /nfs/dbraw/zinc/55/23/07/420552307.db2.gz NZTQTVDISFSJCP-UHFFFAOYSA-N -1 1 327.318 -0.190 20 0 EBADMM CC(C)O[C@]1(CS(=O)(=O)[N-][C@@H]2CC(=O)N(C)C2=O)CCOC1 ZINC000659961022 420577996 /nfs/dbraw/zinc/57/79/96/420577996.db2.gz AHQOCEKTYRKPAX-ZWNOBZJWSA-N -1 1 334.394 -0.753 20 0 EBADMM CCOC(=O)N1CCN(S(=O)(=O)[N-]CC(F)(F)CO)CC1 ZINC000495414603 420599620 /nfs/dbraw/zinc/59/96/20/420599620.db2.gz ZNQRCRLRLTUVPL-UHFFFAOYSA-N -1 1 331.341 -0.778 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1nnnn1-c1ccccc1 ZINC000567201213 420660624 /nfs/dbraw/zinc/66/06/24/420660624.db2.gz NVAZMVNCAJLXLV-UHFFFAOYSA-N -1 1 335.349 0.019 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCO[C@@H]1c1nccn1C ZINC000349516391 420661976 /nfs/dbraw/zinc/66/19/76/420661976.db2.gz MZRPYWCXXRZLMI-BDAKNGLRSA-N -1 1 327.366 0.107 20 0 EBADMM C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000528545618 420751817 /nfs/dbraw/zinc/75/18/17/420751817.db2.gz ICEPOHGJECJAPZ-CKEKPRIKSA-N -1 1 331.394 -0.826 20 0 EBADMM CO[C@H]1CS(=O)(=O)C[C@H]1[N-]S(=O)(=O)Cc1csc(C)n1 ZINC000657100918 420916555 /nfs/dbraw/zinc/91/65/55/420916555.db2.gz OVOLYJZRBOEVDC-ZJUUUORDSA-N -1 1 340.448 -0.317 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1CC(=O)N(C)C1=O ZINC000655060460 420850619 /nfs/dbraw/zinc/85/06/19/420850619.db2.gz IGUOACMNJIKKPB-JTQLQIEISA-N -1 1 331.332 -0.532 20 0 EBADMM CC(C)O[C@@]1(CS(=O)(=O)[N-]Cc2nc(C(N)=O)co2)CCOC1 ZINC000659986979 421037140 /nfs/dbraw/zinc/03/71/40/421037140.db2.gz FPHUOZRTTDVSPQ-ZDUSSCGKSA-N -1 1 347.393 -0.223 20 0 EBADMM COc1ccc(NC(=O)NC[C@@](C)(O)CO)cc1[N-]S(C)(=O)=O ZINC000432241134 265173058 /nfs/dbraw/zinc/17/30/58/265173058.db2.gz IDFBUKCJWYIMID-CYBMUJFWSA-N -1 1 347.393 -0.069 20 0 EBADMM COc1ccc(NC(=O)NC[C@](C)(O)CO)cc1[N-]S(C)(=O)=O ZINC000432241135 265173125 /nfs/dbraw/zinc/17/31/25/265173125.db2.gz IDFBUKCJWYIMID-ZDUSSCGKSA-N -1 1 347.393 -0.069 20 0 EBADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)NCCOCC(N)=O)o1 ZINC000433049631 265184510 /nfs/dbraw/zinc/18/45/10/265184510.db2.gz WRBKZBMVLADENU-UHFFFAOYSA-N -1 1 347.393 -0.412 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](CCO)CSC ZINC000443254263 265343685 /nfs/dbraw/zinc/34/36/85/265343685.db2.gz UMOLGJNRZYXGOE-MRVPVSSYSA-N -1 1 337.423 -0.021 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)N1CCc2ccccc2C1)[C@@H](C)O ZINC000444206462 265362052 /nfs/dbraw/zinc/36/20/52/265362052.db2.gz QSUXBIVRMTYTTD-ZWNOBZJWSA-N -1 1 328.390 -0.199 20 0 EBADMM CCCc1nc(=NC(=O)C(=O)N2CC[C@H](c3nc[nH]n3)C2)s[n-]1 ZINC000425817895 272832699 /nfs/dbraw/zinc/83/26/99/272832699.db2.gz IHGZQKPYQSYFDG-QMMMGPOBSA-N -1 1 335.393 -0.015 20 0 EBADMM CCOC(=O)N1CCN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)CC1 ZINC000497090671 272880789 /nfs/dbraw/zinc/88/07/89/272880789.db2.gz QSUQOXKKRDQKID-UHFFFAOYSA-N -1 1 326.309 -0.189 20 0 EBADMM C[C@@H]1C[C@@H](C)CN(C(=O)CN2Cc3n[nH]c(=O)n3C[C@H]2C(=O)[O-])C1 ZINC000333605732 297223889 /nfs/dbraw/zinc/22/38/89/297223889.db2.gz RDUHJXBKZDOTQH-MXWKQRLJSA-N -1 1 337.380 -0.243 20 0 EBADMM Cn1ncnc1[C@@H]1COCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000342546351 303100259 /nfs/dbraw/zinc/10/02/59/303100259.db2.gz NSRCQTOIEIHFRB-JTQLQIEISA-N -1 1 346.347 -0.754 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCc1cnn(C)c1 ZINC000298642970 521568913 /nfs/dbraw/zinc/56/89/13/521568913.db2.gz SCDZWQHLXBHSKD-UHFFFAOYSA-N -1 1 327.366 -0.159 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-]CCCNS(C)(=O)=O)c1Cl ZINC000028038622 527488996 /nfs/dbraw/zinc/48/89/96/527488996.db2.gz XQOMSCCLQMKWOO-UHFFFAOYSA-N -1 1 330.819 -0.709 20 0 EBADMM CCn1nnnc1-c1cccc(NC(=O)C(=O)NCc2nn[n-]n2)c1 ZINC000674193808 546157251 /nfs/dbraw/zinc/15/72/51/546157251.db2.gz BUNJSZLUPKAMFW-UHFFFAOYSA-N -1 1 342.323 -0.872 20 0 EBADMM Cc1cc(C(=O)NC[C@](C)(O)C[NH+](C)C)[n-]c2nc(=O)[n-]c(=O)c1-2 ZINC000676997885 546221741 /nfs/dbraw/zinc/22/17/41/546221741.db2.gz IWQWSNTVKWXMER-HNNXBMFYSA-N -1 1 335.364 -0.213 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1ccnn1Cc1ccccc1F ZINC000677406073 546229622 /nfs/dbraw/zinc/22/96/22/546229622.db2.gz LVPZYGCMFWJMFZ-UHFFFAOYSA-N -1 1 344.310 -0.162 20 0 EBADMM Cc1ccccc1-n1nccc1NC(=O)C(=O)NCc1nn[n-]n1 ZINC000677784662 546235894 /nfs/dbraw/zinc/23/58/94/546235894.db2.gz BHACAGHQEYJMAZ-UHFFFAOYSA-N -1 1 326.320 -0.051 20 0 EBADMM CC(C)[C@H](NS(C)(=O)=O)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000677794089 546236060 /nfs/dbraw/zinc/23/60/60/546236060.db2.gz YRCUSNPMRUQKRT-ZJUUUORDSA-N -1 1 330.414 -0.521 20 0 EBADMM CN(CC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])C(=O)C1CC1 ZINC000679113664 546265881 /nfs/dbraw/zinc/26/58/81/546265881.db2.gz GJMJFVDPQQXSLE-UHFFFAOYSA-N -1 1 327.362 -0.154 20 0 EBADMM O=C(Cn1cnnn1)N1CCN(C(=O)c2ccc([O-])c(F)c2)CC1 ZINC000681039420 546298019 /nfs/dbraw/zinc/29/80/19/546298019.db2.gz OVAUFYBPNZQFHZ-UHFFFAOYSA-N -1 1 334.311 -0.498 20 0 EBADMM CNC(=O)[C@H](CO)[N-]S(=O)(=O)Cc1cc(-c2ccccc2)no1 ZINC000684587525 546373976 /nfs/dbraw/zinc/37/39/76/546373976.db2.gz AUGJXBNQIAULHQ-ZDUSSCGKSA-N -1 1 339.373 -0.132 20 0 EBADMM CN(C)C(=O)Cn1nc2n(c1=O)CCN(Cc1ncccc1[O-])C2 ZINC000685429503 546404778 /nfs/dbraw/zinc/40/47/78/546404778.db2.gz KWQHLARQIHDMHB-UHFFFAOYSA-N -1 1 332.364 -0.751 20 0 EBADMM O=C(NCCNC(=O)C1CN([C@H]2CCOC2)C1)c1ccc(O)cc1 ZINC000895748374 582653122 /nfs/dbraw/zinc/65/31/22/582653122.db2.gz XEZJGMCFOKOUED-AWEZNQCLSA-N -1 1 333.388 -0.041 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCc1cccnc1-n1ccnc1 ZINC000727848192 582659680 /nfs/dbraw/zinc/65/96/80/582659680.db2.gz HRPJDLYKXGQQFL-UHFFFAOYSA-N -1 1 340.343 -0.176 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCC(=O)Nc1ccccn1 ZINC000727849517 582660845 /nfs/dbraw/zinc/66/08/45/582660845.db2.gz CPIJHTQHZLPMTL-UHFFFAOYSA-N -1 1 331.332 -0.533 20 0 EBADMM Cc1cc(CN2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)CC2)no1 ZINC000727848804 582660990 /nfs/dbraw/zinc/66/09/90/582660990.db2.gz RYLIPJIQDGZDCE-UHFFFAOYSA-N -1 1 347.375 -0.432 20 0 EBADMM COc1ccc([C@@H](O)CNC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC000727850201 582661152 /nfs/dbraw/zinc/66/11/52/582661152.db2.gz VCAGWSXTMNDBNN-ZDUSSCGKSA-N -1 1 333.344 -0.215 20 0 EBADMM CN1CCN(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)CC1 ZINC000896237343 582661378 /nfs/dbraw/zinc/66/13/78/582661378.db2.gz MZZUXPPYXDVKQW-UHFFFAOYSA-N -1 1 333.392 -0.088 20 0 EBADMM COc1cc(CNC(=O)CCn2cc[n-]c(=O)c2=O)cc(OC)c1O ZINC000727853755 582661829 /nfs/dbraw/zinc/66/18/29/582661829.db2.gz XLMRCZVLZHCNDZ-UHFFFAOYSA-N -1 1 349.343 -0.034 20 0 EBADMM COC[C@@]1(C(=O)[N-]S(=O)(=O)[C@@H]2C[C@@H]3CC[C@H]2C3)CNCCO1 ZINC000901060856 582790353 /nfs/dbraw/zinc/79/03/53/582790353.db2.gz GFKRAMIUQDEPRS-GFQSEFKGSA-N -1 1 332.422 -0.374 20 0 EBADMM O=C(CSc1nnnn1C1CC1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000731880645 582841776 /nfs/dbraw/zinc/84/17/76/582841776.db2.gz TUBYSHMCMLVVEG-MRVPVSSYSA-N -1 1 337.369 -0.787 20 0 EBADMM NC(=O)[C@@H]1c2ccccc2CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000733152300 582856498 /nfs/dbraw/zinc/85/64/98/582856498.db2.gz JWDNOARZRPMYNN-AWEZNQCLSA-N -1 1 342.355 -0.462 20 0 EBADMM CC(=O)N1CCN([C@@H]2CN([C@H](C)C(=O)NCC(=O)[O-])C[C@@H]2C)CC1 ZINC000736133720 582919544 /nfs/dbraw/zinc/91/95/44/582919544.db2.gz CFBSWFZQRMEROZ-OUCADQQQSA-N -1 1 340.424 -0.940 20 0 EBADMM CC(C)[C@H](CNC(=O)N1CCO[C@@H](C(=O)[O-])C1)N1CCN(C)CC1 ZINC000736353927 582931091 /nfs/dbraw/zinc/93/10/91/582931091.db2.gz SANIZLBUBBATLL-UONOGXRCSA-N -1 1 342.440 -0.247 20 0 EBADMM CC(C)[C@@H](NC(=O)[O-])C(=O)N1CCO[C@H](CN2CCN(C)CC2)C1 ZINC000736362047 582931432 /nfs/dbraw/zinc/93/14/32/582931432.db2.gz FHUNIESMQCROBX-ZIAGYGMSSA-N -1 1 342.440 -0.247 20 0 EBADMM CCCNC(=O)NC(=O)COC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736482864 582935908 /nfs/dbraw/zinc/93/59/08/582935908.db2.gz AXMXGZVNFBOIFT-UHFFFAOYSA-N -1 1 333.308 -0.346 20 0 EBADMM CCCNC(=O)NC(=O)COC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736482864 582935910 /nfs/dbraw/zinc/93/59/10/582935910.db2.gz AXMXGZVNFBOIFT-UHFFFAOYSA-N -1 1 333.308 -0.346 20 0 EBADMM CCN(CC(=O)NC)S(=O)(=O)c1ccc(F)c(-c2nn[n-]n2)c1 ZINC000736510607 582938996 /nfs/dbraw/zinc/93/89/96/582938996.db2.gz CQPOAVWYPDGQTP-UHFFFAOYSA-N -1 1 342.356 -0.238 20 0 EBADMM CCNC(=O)NC(=O)Cn1nc(CC)c(CC)c(-c2nn[n-]n2)c1=O ZINC000736575493 582943614 /nfs/dbraw/zinc/94/36/14/582943614.db2.gz GNVVIMTYICKRJD-UHFFFAOYSA-N -1 1 348.367 -0.606 20 0 EBADMM CCOC(=O)CN1CCCN(c2nccnc2-c2nnn[n-]2)CC1 ZINC000736594707 582945329 /nfs/dbraw/zinc/94/53/29/582945329.db2.gz FFSDWEBRNMWLFO-UHFFFAOYSA-N -1 1 332.368 -0.268 20 0 EBADMM CCOC(=O)CN1CCCN(c2nccnc2-c2nn[n-]n2)CC1 ZINC000736594707 582945330 /nfs/dbraw/zinc/94/53/30/582945330.db2.gz FFSDWEBRNMWLFO-UHFFFAOYSA-N -1 1 332.368 -0.268 20 0 EBADMM CN1CC[N@@H+](C)C[C@H]1CNC(=O)COc1ccccc1-c1nn[nH]n1 ZINC000736857351 582963427 /nfs/dbraw/zinc/96/34/27/582963427.db2.gz RYKSDGKUZSMEJL-GFCCVEGCSA-N -1 1 345.407 -0.393 20 0 EBADMM CN1CCN(C)[C@H](CNC(=O)COc2ccccc2-c2nn[nH]n2)C1 ZINC000736857351 582963429 /nfs/dbraw/zinc/96/34/29/582963429.db2.gz RYKSDGKUZSMEJL-GFCCVEGCSA-N -1 1 345.407 -0.393 20 0 EBADMM COC(=O)c1cc(-c2nn[n-]n2)c(=O)n(CCc2cnn(C)c2)c1 ZINC000736941746 582976531 /nfs/dbraw/zinc/97/65/31/582976531.db2.gz UBVOCLQQYXMSLI-UHFFFAOYSA-N -1 1 329.320 -0.209 20 0 EBADMM CCCC[C@H](C(=O)[O-])N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000736980600 582979238 /nfs/dbraw/zinc/97/92/38/582979238.db2.gz WHKAKNRHBBPUPZ-CYBMUJFWSA-N -1 1 341.408 -0.367 20 0 EBADMM CSc1[nH]c(=O)c(C(=O)N2CCNC(=O)[C@H]2C)cc1-c1nn[n-]n1 ZINC000737186086 582995802 /nfs/dbraw/zinc/99/58/02/582995802.db2.gz GISMCBGWAMDUMI-ZCFIWIBFSA-N -1 1 349.376 -0.350 20 0 EBADMM CCc1noc([C@H](C)N2CCN(CCC(=O)NCC(=O)[O-])CC2)n1 ZINC000737291904 583004285 /nfs/dbraw/zinc/00/42/85/583004285.db2.gz JMDBQRMQOLHHFF-NSHDSACASA-N -1 1 339.396 -0.099 20 0 EBADMM Cc1ccc([C@H](O)CNc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)o1 ZINC000737321038 583005326 /nfs/dbraw/zinc/00/53/26/583005326.db2.gz YIZFGWLRKAZNJB-MRVPVSSYSA-N -1 1 347.335 -0.689 20 0 EBADMM Cc1noc(CCNS(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)n1 ZINC000737535695 583013219 /nfs/dbraw/zinc/01/32/19/583013219.db2.gz WWICSTSSLGHARB-UHFFFAOYSA-N -1 1 336.337 -0.526 20 0 EBADMM Cc1noc(CCNS(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)n1 ZINC000737535695 583013220 /nfs/dbraw/zinc/01/32/20/583013220.db2.gz WWICSTSSLGHARB-UHFFFAOYSA-N -1 1 336.337 -0.526 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N[C@H](CO)c2ccccc2)n(C)c1=O ZINC000737596483 583018820 /nfs/dbraw/zinc/01/88/20/583018820.db2.gz OCWYAWWLEOVJKB-SNVBAGLBSA-N -1 1 343.347 -0.590 20 0 EBADMM O=C1CN(S(=O)(=O)c2cccc(Cl)c2-c2nnn[n-]2)CCN1 ZINC000738306471 583049284 /nfs/dbraw/zinc/04/92/84/583049284.db2.gz JDOOCBYYCIKLAH-UHFFFAOYSA-N -1 1 342.768 -0.359 20 0 EBADMM O=C1CN(S(=O)(=O)c2cccc(Cl)c2-c2nn[n-]n2)CCN1 ZINC000738306471 583049287 /nfs/dbraw/zinc/04/92/87/583049287.db2.gz JDOOCBYYCIKLAH-UHFFFAOYSA-N -1 1 342.768 -0.359 20 0 EBADMM Cc1nc([C@@H]2CN(CC(=O)N3CCC(C(=O)[O-])CC3)CCO2)n[nH]1 ZINC000738338287 583051413 /nfs/dbraw/zinc/05/14/13/583051413.db2.gz QVGZTMLPLGWONE-LBPRGKRZSA-N -1 1 337.380 -0.190 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)C2CN(C(C)=O)C2)cc1 ZINC000788152621 583070162 /nfs/dbraw/zinc/07/01/62/583070162.db2.gz XCBHDJYKBDESAB-UHFFFAOYSA-N -1 1 334.328 -0.027 20 0 EBADMM Cc1nonc1C[N-]S(=O)(=O)c1cccc(S(N)(=O)=O)c1 ZINC000742325174 583079887 /nfs/dbraw/zinc/07/98/87/583079887.db2.gz CZUWAFHSCBJSPM-UHFFFAOYSA-N -1 1 332.363 -0.496 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCNC(=O)[C@@H]1c1cccs1 ZINC000742847240 583082976 /nfs/dbraw/zinc/08/29/76/583082976.db2.gz JTJXQJZHNYIOCC-LBPRGKRZSA-N -1 1 348.384 -0.312 20 0 EBADMM O=C(NN1CC(=O)[N-]C1=O)[C@@H]1CCCN1C(=O)c1ccccc1O ZINC000743287836 583084639 /nfs/dbraw/zinc/08/46/39/583084639.db2.gz RCWJJGOXGZSSKZ-JTQLQIEISA-N -1 1 332.316 -0.420 20 0 EBADMM C[C@H](C(=O)NN1CC(=O)[N-]C1=O)S(=O)(=O)c1ccc(F)cc1 ZINC000743287854 583084753 /nfs/dbraw/zinc/08/47/53/583084753.db2.gz RIIWINBMHDYQJX-SSDOTTSWSA-N -1 1 329.309 -0.429 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCCCS(N)(=O)=O ZINC000744954323 583094612 /nfs/dbraw/zinc/09/46/12/583094612.db2.gz MTFWKEPAYPFODG-UHFFFAOYSA-N -1 1 342.377 -0.184 20 0 EBADMM CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-]C1(C(N)=O)CCCC1 ZINC000751107255 583142391 /nfs/dbraw/zinc/14/23/91/583142391.db2.gz MYNIYDJOKJOLSJ-UHFFFAOYSA-N -1 1 344.393 -0.029 20 0 EBADMM NC(=O)c1cccc(OCCC(=O)N2CCO[C@H](c3nn[n-]n3)C2)c1 ZINC000751378335 583144024 /nfs/dbraw/zinc/14/40/24/583144024.db2.gz HKNSXMUGWPSEHM-LBPRGKRZSA-N -1 1 346.347 -0.332 20 0 EBADMM NC(=O)c1cccc(OCCC(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c1 ZINC000751378334 583144059 /nfs/dbraw/zinc/14/40/59/583144059.db2.gz HKNSXMUGWPSEHM-GFCCVEGCSA-N -1 1 346.347 -0.332 20 0 EBADMM NC(=O)c1cc(C[N-]S(=O)(=O)c2cccc3c2COC3=O)on1 ZINC000752004837 583151076 /nfs/dbraw/zinc/15/10/76/583151076.db2.gz YWIZPNIAKQHFCN-UHFFFAOYSA-N -1 1 337.313 -0.078 20 0 EBADMM NC(=O)C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C1CCCCC1 ZINC000752457259 583154225 /nfs/dbraw/zinc/15/42/25/583154225.db2.gz NFOYCYZCYCSVSL-GFCCVEGCSA-N -1 1 336.392 -0.133 20 0 EBADMM O=C(c1ccc(-n2ccnn2)cc1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000761155251 583237756 /nfs/dbraw/zinc/23/77/56/583237756.db2.gz KBOBXIVSEGBHCX-LBPRGKRZSA-N -1 1 326.320 -0.006 20 0 EBADMM CN(CC(C)(C)S(C)(=O)=O)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000764060673 583268731 /nfs/dbraw/zinc/26/87/31/583268731.db2.gz QVAINCJDYSDDHC-UHFFFAOYSA-N -1 1 331.394 -0.792 20 0 EBADMM Cc1ccc(S(=O)(=O)NCC(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765392557 583280751 /nfs/dbraw/zinc/28/07/51/583280751.db2.gz XMLMWEDEAGFDKA-UHFFFAOYSA-N -1 1 340.361 -0.561 20 0 EBADMM Cn1[n-]c(COC(=O)c2cnn3c2[nH]c(=O)c2ccccc23)nc1=O ZINC000765464332 583282748 /nfs/dbraw/zinc/28/27/48/583282748.db2.gz RPAUKJXWKIRFFX-UHFFFAOYSA-N -1 1 340.299 -0.045 20 0 EBADMM Cn1[n-]c(COC(=O)c2ccc(C[C@H]3CC(=O)NC3=O)cc2)nc1=O ZINC000765477757 583283542 /nfs/dbraw/zinc/28/35/42/583283542.db2.gz ABPGBAJIPBBHPG-NSHDSACASA-N -1 1 344.327 -0.329 20 0 EBADMM Cn1[n-]c(COC(=O)COCCNC(=O)c2ccccc2)nc1=O ZINC000765508101 583284085 /nfs/dbraw/zinc/28/40/85/583284085.db2.gz MQXQTTUNBRTDHG-UHFFFAOYSA-N -1 1 334.332 -0.402 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CCN(C2CCCCC2)C1=O ZINC000766275358 583292724 /nfs/dbraw/zinc/29/27/24/583292724.db2.gz GWFWEIMRTKEKNA-ZDUSSCGKSA-N -1 1 348.403 -0.024 20 0 EBADMM CC[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H](C)S1(=O)=O ZINC000805396093 583329621 /nfs/dbraw/zinc/32/96/21/583329621.db2.gz IIWNAGCEQWHYFM-WDEREUQCSA-N -1 1 343.405 -0.649 20 0 EBADMM O=C(Nc1cccc(C(=O)N2CCOCC2)c1)NN1CC(=O)[N-]C1=O ZINC000770211171 583334351 /nfs/dbraw/zinc/33/43/51/583334351.db2.gz PYLSOOCMFYLLBE-UHFFFAOYSA-N -1 1 347.331 -0.253 20 0 EBADMM CN(c1ccccc1NC(=O)NN1CC(=O)[N-]C1=O)S(C)(=O)=O ZINC000770568709 583339759 /nfs/dbraw/zinc/33/97/59/583339759.db2.gz CBTVINGEOXUJIP-UHFFFAOYSA-N -1 1 341.349 -0.329 20 0 EBADMM O=C(CN1CCC2(CC1)NC(=O)NC2=O)[N-]OCc1ccccc1 ZINC000772243225 583356255 /nfs/dbraw/zinc/35/62/55/583356255.db2.gz ZVAPEKJKELBSSY-UHFFFAOYSA-N -1 1 332.360 -0.092 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ccc(F)c(S(N)(=O)=O)c1)c1nn[n-]n1 ZINC000773999972 583378688 /nfs/dbraw/zinc/37/86/88/583378688.db2.gz KWLAMGPZVSGEST-ZETCQYMHSA-N -1 1 342.356 -0.138 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCc1nc(C(F)(F)F)n[nH]1 ZINC000775907966 583399503 /nfs/dbraw/zinc/39/95/03/583399503.db2.gz NWLLJPZLSYORKV-UHFFFAOYSA-N -1 1 332.242 -0.620 20 0 EBADMM CC(C)[C@@H](OC(=O)c1cn[n-]n1)C(=O)N[C@]1(C)CCS(=O)(=O)C1 ZINC000805603430 583419133 /nfs/dbraw/zinc/41/91/33/583419133.db2.gz MRAWKNFWYBYGET-ZWNOBZJWSA-N -1 1 344.393 -0.321 20 0 EBADMM C[C@H](OC(=O)c1cn[n-]n1)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000805605504 583420692 /nfs/dbraw/zinc/42/06/92/583420692.db2.gz MZFHEFRFZYAJIM-JTQLQIEISA-N -1 1 347.331 -0.072 20 0 EBADMM CCO[C@@H]1C[C@@](CO)(NC(=O)CCn2cc[n-]c(=O)c2=O)C1(C)C ZINC000781875025 583462213 /nfs/dbraw/zinc/46/22/13/583462213.db2.gz QBTWXPFZGMOLSI-BZNIZROVSA-N -1 1 339.392 -0.391 20 0 EBADMM O=C([N-]CCOCCOC(=O)[C@@H]1CCNC(=O)C1)C(F)(F)F ZINC000782648975 583472423 /nfs/dbraw/zinc/47/24/23/583472423.db2.gz JARCZDQNTFCHDS-MRVPVSSYSA-N -1 1 326.271 -0.249 20 0 EBADMM Cc1cc(O)cc(=O)n1CCC(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000783620698 583480639 /nfs/dbraw/zinc/48/06/39/583480639.db2.gz SKLJMHNFDMKIAW-LLVKDONJSA-N -1 1 334.336 -0.634 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@H](C)C(=O)NCCOC)co1 ZINC000784367206 583485492 /nfs/dbraw/zinc/48/54/92/583485492.db2.gz MXWURQFDJDLMAW-MRVPVSSYSA-N -1 1 334.350 -0.504 20 0 EBADMM CCOCCO[N-]C(=O)C(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000785400605 583496801 /nfs/dbraw/zinc/49/68/01/583496801.db2.gz UPNMEBCYCKJXCS-ZDUSSCGKSA-N -1 1 331.413 -0.456 20 0 EBADMM COc1cccc(C[C@@H](O)C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c1 ZINC000785410762 583497236 /nfs/dbraw/zinc/49/72/36/583497236.db2.gz GGCWOXNDDLRIPI-OLZOCXBDSA-N -1 1 333.348 -0.288 20 0 EBADMM CCOC(=O)[C@H](C)OC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000786199061 583506965 /nfs/dbraw/zinc/50/69/65/583506965.db2.gz BHNKEOOWUSCOJS-ZETCQYMHSA-N -1 1 348.333 -0.848 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)OCC[C@@H]2CCCO2)o1 ZINC000786196680 583507118 /nfs/dbraw/zinc/50/71/18/583507118.db2.gz HPXBJSZNQVHIDP-VIFPVBQESA-N -1 1 346.361 -0.231 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)OCCC2(O)CC2)o1 ZINC000786197690 583507148 /nfs/dbraw/zinc/50/71/48/583507148.db2.gz NXWMBYWAHGVNEY-UHFFFAOYSA-N -1 1 332.334 -0.885 20 0 EBADMM Cn1cc(C[C@H](O)C(=O)OCC(=O)[N-]C(=O)c2ccccc2)cn1 ZINC000786863008 583513973 /nfs/dbraw/zinc/51/39/73/583513973.db2.gz ZTHINNBLBROOGW-ZDUSSCGKSA-N -1 1 331.328 -0.177 20 0 EBADMM Cc1ccn(C[C@](C)(O)C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c(=O)c1 ZINC000791131410 583549689 /nfs/dbraw/zinc/54/96/89/583549689.db2.gz ZWHVLKQVZKVHIQ-ABAIWWIYSA-N -1 1 348.363 -0.979 20 0 EBADMM Cc1ccncc1N1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000799057314 583609368 /nfs/dbraw/zinc/60/93/68/583609368.db2.gz IFXAMZNSLSEKEP-UHFFFAOYSA-N -1 1 343.387 -0.021 20 0 EBADMM CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000801703257 583621832 /nfs/dbraw/zinc/62/18/32/583621832.db2.gz LKEPUNLEGKERSB-CYBMUJFWSA-N -1 1 344.375 -0.593 20 0 EBADMM CC(C)(C)[C@@H](O)C[C@H](CO)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000803570307 583630606 /nfs/dbraw/zinc/63/06/06/583630606.db2.gz XLDBBGHPVODYII-MNOVXSKESA-N -1 1 327.381 -0.799 20 0 EBADMM CC[C@@H](C)NC(=O)CCNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806856927 583655120 /nfs/dbraw/zinc/65/51/20/583655120.db2.gz MSLVVJNGPMEFQC-SNVBAGLBSA-N -1 1 346.391 -0.299 20 0 EBADMM C[C@@H]1[C@@H](C)[S@](=O)CCN1C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806906877 583655716 /nfs/dbraw/zinc/65/57/16/583655716.db2.gz JMXGTKMJGJDPCR-TWGICLRTSA-N -1 1 349.416 -0.352 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NC[C@](C)(O)C(F)(F)F)ccnc1-2 ZINC000806953132 583656048 /nfs/dbraw/zinc/65/60/48/583656048.db2.gz PMBSSVMACCFBFQ-LBPRGKRZSA-N -1 1 345.281 -0.290 20 0 EBADMM C[C@H]1CC[C@@H](C(N)=O)CN1C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806990090 583656455 /nfs/dbraw/zinc/65/64/55/583656455.db2.gz XECZKGSTXBJCQN-VHSXEESVSA-N -1 1 344.375 -0.607 20 0 EBADMM C[C@@H]1[C@H](C)N(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)CCN1C ZINC000807025717 583657008 /nfs/dbraw/zinc/65/70/08/583657008.db2.gz XXOZAGCJXQBVRI-MNOVXSKESA-N -1 1 330.392 -0.169 20 0 EBADMM COC(=O)[C@H]1CCN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)[C@@H]1C ZINC000807029321 583657086 /nfs/dbraw/zinc/65/70/86/583657086.db2.gz CVVWKCLLARUICE-ZJUUUORDSA-N -1 1 345.359 -0.310 20 0 EBADMM C[C@H]1OCC[C@H]1N(CCO)C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000807071439 583657597 /nfs/dbraw/zinc/65/75/97/583657597.db2.gz JMSWRCMROKMXCB-ZWNOBZJWSA-N -1 1 347.375 -0.721 20 0 EBADMM COC(=O)C[C@]1([N-]S(=O)(=O)c2c(C)onc2N)CCCOC1 ZINC000809832449 583680548 /nfs/dbraw/zinc/68/05/48/583680548.db2.gz QGNRNJZWVDOARF-GFCCVEGCSA-N -1 1 333.366 -0.044 20 0 EBADMM CNC(=O)OC[C@H]1CCCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000813729563 583701028 /nfs/dbraw/zinc/70/10/28/583701028.db2.gz YGLVVEABZMDBTJ-LLVKDONJSA-N -1 1 338.364 -0.336 20 0 EBADMM CN1CCN(C)[C@H](CNC(=O)C(=O)Nc2ccccc2CC(=O)[O-])C1 ZINC000818482390 583744647 /nfs/dbraw/zinc/74/46/47/583744647.db2.gz RYEIWXDZYSIOOC-CYBMUJFWSA-N -1 1 348.403 -0.386 20 0 EBADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@H]1CCC(=O)N(C)C1=O ZINC000819912861 583763142 /nfs/dbraw/zinc/76/31/42/583763142.db2.gz ZAVQIUBNKWSNGU-ZETCQYMHSA-N -1 1 334.785 -0.192 20 0 EBADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@@H]1CCC(=O)N(C)C1=O ZINC000819912858 583763172 /nfs/dbraw/zinc/76/31/72/583763172.db2.gz ZAVQIUBNKWSNGU-SSDOTTSWSA-N -1 1 334.785 -0.192 20 0 EBADMM CC(C)[C@H]1OCC[C@@H]1CNc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000820045618 583765409 /nfs/dbraw/zinc/76/54/09/583765409.db2.gz OJMPONTVYGNVSL-MWLCHTKSSA-N -1 1 349.395 -0.263 20 0 EBADMM CC(C)N1C(=O)CN(C(=O)Cn2cnc(-c3nn[n-]n3)n2)CC1(C)C ZINC000820120632 583766909 /nfs/dbraw/zinc/76/69/09/583766909.db2.gz GXTCFMQUAWKYEP-UHFFFAOYSA-N -1 1 347.383 -0.684 20 0 EBADMM C[C@@H](C[C@H]1CCOC1)Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000820233217 583768838 /nfs/dbraw/zinc/76/88/38/583768838.db2.gz JPSDELPIHPYHBD-DTWKUNHWSA-N -1 1 335.368 -0.509 20 0 EBADMM C[C@H](C[C@@H]1CCOC1)Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000820233215 583769016 /nfs/dbraw/zinc/76/90/16/583769016.db2.gz JPSDELPIHPYHBD-BDAKNGLRSA-N -1 1 335.368 -0.509 20 0 EBADMM C[C@@H](CN1CCOCC1)NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820259898 583769508 /nfs/dbraw/zinc/76/95/08/583769508.db2.gz CDJMVRQENMZKRS-VIFPVBQESA-N -1 1 335.372 -0.558 20 0 EBADMM C[C@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)[C@@H](O)c1ccc(F)cc1 ZINC000820334733 583771841 /nfs/dbraw/zinc/77/18/41/583771841.db2.gz PGFNGVNKTKZXAP-QPUJVOFHSA-N -1 1 346.326 -0.164 20 0 EBADMM C[C@@](O)(CNC(=O)Cn1cnc(-c2nn[n-]n2)n1)c1ccsc1 ZINC000820468689 583773637 /nfs/dbraw/zinc/77/36/37/583773637.db2.gz VYPUQNUJQQSKHF-GFCCVEGCSA-N -1 1 334.365 -0.456 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@H]2CCC[N@@H+]2CC(=O)[O-])o1 ZINC000820645211 583776799 /nfs/dbraw/zinc/77/67/99/583776799.db2.gz BCWYZQYOVPDQBL-SECBINFHSA-N -1 1 346.361 -0.107 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@H]2CCC[N@H+]2CC(=O)[O-])o1 ZINC000820645211 583776801 /nfs/dbraw/zinc/77/68/01/583776801.db2.gz BCWYZQYOVPDQBL-SECBINFHSA-N -1 1 346.361 -0.107 20 0 EBADMM C[C@@H]1CCCN(S(=O)(=O)[N-]c2nn(C)cc2-c2nn[nH]n2)C1 ZINC000820708933 583777893 /nfs/dbraw/zinc/77/78/93/583777893.db2.gz AAXJBZHJCPCNQD-MRVPVSSYSA-N -1 1 326.386 -0.011 20 0 EBADMM C[C@@H]1CCN(C(=O)Cn2cnc(-c3nn[n-]n3)n2)C[C@H]1n1ccnc1 ZINC000820717831 583778289 /nfs/dbraw/zinc/77/82/89/583778289.db2.gz XNJLUUGCOUHSPK-GHMZBOCLSA-N -1 1 342.367 -0.236 20 0 EBADMM C[C@H]1CN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)CCS1(=O)=O ZINC000820743508 583778748 /nfs/dbraw/zinc/77/87/48/583778748.db2.gz UTYBMNNVQMRCGF-ZETCQYMHSA-N -1 1 340.369 -0.749 20 0 EBADMM C[C@H]1CN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)CCS1(=O)=O ZINC000820743508 583778750 /nfs/dbraw/zinc/77/87/50/583778750.db2.gz UTYBMNNVQMRCGF-ZETCQYMHSA-N -1 1 340.369 -0.749 20 0 EBADMM CCC1(O)CCN(c2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)CC1 ZINC000820967803 583783339 /nfs/dbraw/zinc/78/33/39/583783339.db2.gz VTASSSZKLGHQNY-UHFFFAOYSA-N -1 1 335.368 -0.995 20 0 EBADMM CCNC(=O)CN1CCN(c2nccnc2-c2nnn[n-]2)C[C@@H]1C ZINC000821285532 583788120 /nfs/dbraw/zinc/78/81/20/583788120.db2.gz KQDXATQOXRDALE-JTQLQIEISA-N -1 1 331.384 -0.697 20 0 EBADMM CCNC(=O)CN1CCN(c2nccnc2-c2nn[n-]n2)C[C@@H]1C ZINC000821285532 583788124 /nfs/dbraw/zinc/78/81/24/583788124.db2.gz KQDXATQOXRDALE-JTQLQIEISA-N -1 1 331.384 -0.697 20 0 EBADMM CCOC(=O)c1cc(NC(=O)Cn2cnc(-c3nn[n-]n3)n2)cn1C ZINC000821338325 583789197 /nfs/dbraw/zinc/78/91/97/583789197.db2.gz XXBMTDZPZUNONJ-UHFFFAOYSA-N -1 1 345.323 -0.388 20 0 EBADMM CCS(=O)(=O)N1CCN(c2nccnc2-c2nnn[n-]2)C[C@H]1C ZINC000821440656 583791270 /nfs/dbraw/zinc/79/12/70/583791270.db2.gz AADYJSJKLUOHQN-SECBINFHSA-N -1 1 338.397 -0.483 20 0 EBADMM CCS(=O)(=O)N1CCN(c2nccnc2-c2nn[n-]n2)C[C@H]1C ZINC000821440656 583791272 /nfs/dbraw/zinc/79/12/72/583791272.db2.gz AADYJSJKLUOHQN-SECBINFHSA-N -1 1 338.397 -0.483 20 0 EBADMM CN(C(=O)CNC(=O)Cn1cnc(-c2nn[n-]n2)n1)C1CCCCC1 ZINC000821571075 583793330 /nfs/dbraw/zinc/79/33/30/583793330.db2.gz YUFHCRBODWWOIU-UHFFFAOYSA-N -1 1 347.383 -0.635 20 0 EBADMM CN(C(=O)Nc1nn(C)cc1-c1nnn[n-]1)[C@H]1CCS(=O)(=O)C1 ZINC000821571837 583793423 /nfs/dbraw/zinc/79/34/23/583793423.db2.gz HOSXXPKTBWQBNW-ZETCQYMHSA-N -1 1 340.369 -0.749 20 0 EBADMM CN(C(=O)Nc1nn(C)cc1-c1nn[n-]n1)[C@H]1CCS(=O)(=O)C1 ZINC000821571837 583793425 /nfs/dbraw/zinc/79/34/25/583793425.db2.gz HOSXXPKTBWQBNW-ZETCQYMHSA-N -1 1 340.369 -0.749 20 0 EBADMM CN(c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C)C1CCC(CO)CC1 ZINC000821619279 583795063 /nfs/dbraw/zinc/79/50/63/583795063.db2.gz PSRBRAVODCCLJG-UHFFFAOYSA-N -1 1 349.395 -0.749 20 0 EBADMM CO[C@@H]1C[C@H](Nc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)C1(C)C ZINC000821678333 583800490 /nfs/dbraw/zinc/80/04/90/583800490.db2.gz MPPKSQSYBMDFQK-JGVFFNPUSA-N -1 1 335.368 -0.511 20 0 EBADMM COC[C@@H]1CN(S(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)CCO1 ZINC000821685126 583801782 /nfs/dbraw/zinc/80/17/82/583801782.db2.gz UZJBTUKBJGWQCI-VIFPVBQESA-N -1 1 340.365 -0.702 20 0 EBADMM COC[C@@H]1CN(S(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)CCO1 ZINC000821685126 583801784 /nfs/dbraw/zinc/80/17/84/583801784.db2.gz UZJBTUKBJGWQCI-VIFPVBQESA-N -1 1 340.365 -0.702 20 0 EBADMM COCc1nc2n(n1)C[C@@H](Nc1nccnc1-c1nnn[n-]1)CC2 ZINC000821696723 583802377 /nfs/dbraw/zinc/80/23/77/583802377.db2.gz NMZWWXNADRSILA-QMMMGPOBSA-N -1 1 328.340 -0.179 20 0 EBADMM COCc1nc2n(n1)C[C@@H](Nc1nccnc1-c1nn[n-]n1)CC2 ZINC000821696723 583802379 /nfs/dbraw/zinc/80/23/79/583802379.db2.gz NMZWWXNADRSILA-QMMMGPOBSA-N -1 1 328.340 -0.179 20 0 EBADMM COc1ccc(OCCNC(=O)Cn2cnc(-c3nn[n-]n3)n2)cc1 ZINC000821843001 583804819 /nfs/dbraw/zinc/80/48/19/583804819.db2.gz XNRGWGNZOZHKAD-UHFFFAOYSA-N -1 1 344.335 -0.338 20 0 EBADMM Cc1[nH]c(=O)c(-c2nn[n-]n2)c(C)c1CCC(=O)O[C@H]1CCNC1=O ZINC000822010155 583806881 /nfs/dbraw/zinc/80/68/81/583806881.db2.gz QBZGJTGUZUOTHY-JTQLQIEISA-N -1 1 346.347 -0.052 20 0 EBADMM Cc1cc(C)n(C2CN(C(=O)Cn3cnc(-c4nn[n-]n4)n3)C2)n1 ZINC000822197750 583808312 /nfs/dbraw/zinc/80/83/12/583808312.db2.gz NRQBNGWUYVJHQG-UHFFFAOYSA-N -1 1 328.340 -0.645 20 0 EBADMM Cc1cccc([C@H](O)CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)c1 ZINC000822289425 583809235 /nfs/dbraw/zinc/80/92/35/583809235.db2.gz WXYJCDSMQNSOBH-LLVKDONJSA-N -1 1 328.336 -0.384 20 0 EBADMM Cc1cccc(CN(C)c2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)n1 ZINC000822304357 583809374 /nfs/dbraw/zinc/80/93/74/583809374.db2.gz UPPQWQZZWITTPU-UHFFFAOYSA-N -1 1 342.363 -0.396 20 0 EBADMM O=C(CN1CC[C@@H](c2ccccc2)NC1=O)NN1CC(=O)[N-]C1=O ZINC000822326568 583809721 /nfs/dbraw/zinc/80/97/21/583809721.db2.gz MDSGHDSWMRFVKF-NSHDSACASA-N -1 1 331.332 -0.274 20 0 EBADMM Cc1cccc(NC(=O)Cn2cnc(-c3nn[n-]n3)n2)c1C(N)=O ZINC000822323693 583809730 /nfs/dbraw/zinc/80/97/30/583809730.db2.gz MTKKWESTYADYFC-UHFFFAOYSA-N -1 1 327.308 -0.496 20 0 EBADMM CN(CCc1ccccc1)CC(=O)NCCC(=O)[N-]S(C)(=O)=O ZINC000822369503 583810450 /nfs/dbraw/zinc/81/04/50/583810450.db2.gz ARYNJSQZEDFMSQ-UHFFFAOYSA-N -1 1 341.433 -0.257 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CCSC[C@H]2CCO)n1 ZINC000822609066 583813897 /nfs/dbraw/zinc/81/38/97/583813897.db2.gz IWYYZTMEPGZFTE-MRVPVSSYSA-N -1 1 338.397 -0.068 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CCSC[C@H]2CCO)n1 ZINC000822609066 583813899 /nfs/dbraw/zinc/81/38/99/583813899.db2.gz IWYYZTMEPGZFTE-MRVPVSSYSA-N -1 1 338.397 -0.068 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N[C@]2(C)CCS(=O)(=O)C2)n1 ZINC000822609624 583814080 /nfs/dbraw/zinc/81/40/80/583814080.db2.gz SFNCWGODJONTAU-LLVKDONJSA-N -1 1 340.369 -0.701 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N[C@]2(C)CCS(=O)(=O)C2)n1 ZINC000822609624 583814081 /nfs/dbraw/zinc/81/40/81/583814081.db2.gz SFNCWGODJONTAU-LLVKDONJSA-N -1 1 340.369 -0.701 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCc2nnnn2C2CC2)n1 ZINC000822620606 583814770 /nfs/dbraw/zinc/81/47/70/583814770.db2.gz YSBKQCHVYUHQAZ-UHFFFAOYSA-N -1 1 330.316 -0.757 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCc2nnnn2C2CC2)n1 ZINC000822620606 583814772 /nfs/dbraw/zinc/81/47/72/583814772.db2.gz YSBKQCHVYUHQAZ-UHFFFAOYSA-N -1 1 330.316 -0.757 20 0 EBADMM Cn1cnc2c1CCN(S(=O)(=O)c1ccc(-c3nnn[n-]3)nc1)C2 ZINC000822699233 583816786 /nfs/dbraw/zinc/81/67/86/583816786.db2.gz SMUPFCXBCGSNLE-UHFFFAOYSA-N -1 1 346.376 -0.258 20 0 EBADMM Cn1cnc2c1CCN(S(=O)(=O)c1ccc(-c3nn[n-]n3)nc1)C2 ZINC000822699233 583816787 /nfs/dbraw/zinc/81/67/87/583816787.db2.gz SMUPFCXBCGSNLE-UHFFFAOYSA-N -1 1 346.376 -0.258 20 0 EBADMM NC(=O)NC(=O)CCNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000822874272 583820468 /nfs/dbraw/zinc/82/04/68/583820468.db2.gz XABGJEPFQNONEQ-UHFFFAOYSA-N -1 1 327.308 -0.193 20 0 EBADMM NC(=O)NC(=O)CCNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000822874272 583820470 /nfs/dbraw/zinc/82/04/70/583820470.db2.gz XABGJEPFQNONEQ-UHFFFAOYSA-N -1 1 327.308 -0.193 20 0 EBADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000822903449 583821385 /nfs/dbraw/zinc/82/13/85/583821385.db2.gz LJQNDOLQMMAGPY-LURJTMIESA-N -1 1 328.296 -0.938 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCCCCNc1ccccn1 ZINC000823131481 583825595 /nfs/dbraw/zinc/82/55/95/583825595.db2.gz HXMDVHOMWYYWTI-UHFFFAOYSA-N -1 1 342.367 -0.138 20 0 EBADMM O=C(NCc1nn[n-]n1)[C@H]1COCCN1C(=O)OCc1ccccc1 ZINC000823256570 583827263 /nfs/dbraw/zinc/82/72/63/583827263.db2.gz HJMVIWDPIUUCCH-GFCCVEGCSA-N -1 1 346.347 -0.147 20 0 EBADMM CC(=O)N1CCN(C(=O)CN2CCC[C@@H]2CN(C)C(=O)[O-])CC1 ZINC000823555212 583832880 /nfs/dbraw/zinc/83/28/80/583832880.db2.gz BEZWUVWIDOUHAT-CYBMUJFWSA-N -1 1 326.397 -0.249 20 0 EBADMM O=S(=O)(N[C@H]1CCCn2ncnc21)c1ccc(-c2nnn[n-]2)nc1 ZINC000823573779 583834043 /nfs/dbraw/zinc/83/40/43/583834043.db2.gz YRJNGQGZASWERS-JTQLQIEISA-N -1 1 347.364 -0.333 20 0 EBADMM O=S(=O)(N[C@H]1CCCn2ncnc21)c1ccc(-c2nn[n-]n2)nc1 ZINC000823573779 583834044 /nfs/dbraw/zinc/83/40/44/583834044.db2.gz YRJNGQGZASWERS-JTQLQIEISA-N -1 1 347.364 -0.333 20 0 EBADMM CC(C)(C)CNC(=O)C(C)(C)NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000824086571 583841166 /nfs/dbraw/zinc/84/11/66/583841166.db2.gz NPHVGBPACVRCIP-UHFFFAOYSA-N -1 1 349.399 -0.485 20 0 EBADMM CC(C)(CNC(=O)Cn1cnc(-c2nn[n-]n2)n1)c1ccncc1 ZINC000824158172 583841863 /nfs/dbraw/zinc/84/18/63/583841863.db2.gz SXTULJCYNPIVKD-UHFFFAOYSA-N -1 1 327.352 -0.053 20 0 EBADMM CC(C)[C@@H](NS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1)C(N)=O ZINC000824200713 583842309 /nfs/dbraw/zinc/84/23/09/583842309.db2.gz SNRSYSKKKPBLOO-SNVBAGLBSA-N -1 1 342.356 -0.206 20 0 EBADMM Cn1cc(C2=CCCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)cn1 ZINC000824413394 583845150 /nfs/dbraw/zinc/84/51/50/583845150.db2.gz MRNCMQNEHKVPKB-UHFFFAOYSA-N -1 1 329.360 -0.024 20 0 EBADMM C[C@@H](C[S@@](C)=O)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000824447696 583846023 /nfs/dbraw/zinc/84/60/23/583846023.db2.gz AWMVOCUSTRBRFA-SVWIBVJCSA-N -1 1 330.395 -0.693 20 0 EBADMM C[C@@H](C[S@@](C)=O)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000824447696 583846025 /nfs/dbraw/zinc/84/60/25/583846025.db2.gz AWMVOCUSTRBRFA-SVWIBVJCSA-N -1 1 330.395 -0.693 20 0 EBADMM C[C@H](C[S@@](C)=O)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000824447695 583846120 /nfs/dbraw/zinc/84/61/20/583846120.db2.gz AWMVOCUSTRBRFA-JLPJYYFKSA-N -1 1 330.395 -0.693 20 0 EBADMM C[C@H](C[S@@](C)=O)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000824447695 583846122 /nfs/dbraw/zinc/84/61/22/583846122.db2.gz AWMVOCUSTRBRFA-JLPJYYFKSA-N -1 1 330.395 -0.693 20 0 EBADMM C[C@@H](NC(=O)COc1cccc(F)c1-c1nnn[n-]1)C(=O)N(C)C ZINC000824477595 583846817 /nfs/dbraw/zinc/84/68/17/583846817.db2.gz AGNJKORNBJSOGO-MRVPVSSYSA-N -1 1 336.327 -0.023 20 0 EBADMM C[C@@H](NC(=O)COc1cccc(F)c1-c1nn[n-]n1)C(=O)N(C)C ZINC000824477595 583846818 /nfs/dbraw/zinc/84/68/18/583846818.db2.gz AGNJKORNBJSOGO-MRVPVSSYSA-N -1 1 336.327 -0.023 20 0 EBADMM C[C@@H](CN1CCC[C@H](C(=O)NCCNC(=O)[O-])C1)CS(C)(=O)=O ZINC000824901166 583852075 /nfs/dbraw/zinc/85/20/75/583852075.db2.gz FLSXOLVWDONHLK-RYUDHWBXSA-N -1 1 349.453 -0.237 20 0 EBADMM CCC(=O)N1CC[C@H](NC(=O)Cc2noc(C)c2-c2nnn[n-]2)C1 ZINC000825033981 583854390 /nfs/dbraw/zinc/85/43/90/583854390.db2.gz BSPTYWUYJJEJHA-VIFPVBQESA-N -1 1 333.352 -0.167 20 0 EBADMM CCC(=O)N1CC[C@H](NC(=O)Cc2noc(C)c2-c2nn[n-]n2)C1 ZINC000825033981 583854392 /nfs/dbraw/zinc/85/43/92/583854392.db2.gz BSPTYWUYJJEJHA-VIFPVBQESA-N -1 1 333.352 -0.167 20 0 EBADMM C[C@H](NC(=O)[O-])[C@H]1CN(C(=O)NC[C@H]2CN(C)CCN2C)CCO1 ZINC000825309289 583857888 /nfs/dbraw/zinc/85/78/88/583857888.db2.gz JTDVBHIWBOTPOJ-RWMBFGLXSA-N -1 1 343.428 -0.701 20 0 EBADMM CCNC(=O)N1CC[C@@H](NC(=O)Cc2noc(C)c2-c2nnn[n-]2)C1 ZINC000825470370 583861462 /nfs/dbraw/zinc/86/14/62/583861462.db2.gz CFHHVPDTMDBHEI-SECBINFHSA-N -1 1 348.367 -0.374 20 0 EBADMM CCNC(=O)N1CC[C@@H](NC(=O)Cc2noc(C)c2-c2nn[n-]n2)C1 ZINC000825470370 583861464 /nfs/dbraw/zinc/86/14/64/583861464.db2.gz CFHHVPDTMDBHEI-SECBINFHSA-N -1 1 348.367 -0.374 20 0 EBADMM CCOC(=O)C1=C(Cn2ccnc2-c2nnn[n-]2)NC(=O)N[C@H]1C ZINC000825499444 583861980 /nfs/dbraw/zinc/86/19/80/583861980.db2.gz NGZIXRHNXQBTBM-ZETCQYMHSA-N -1 1 332.324 -0.418 20 0 EBADMM CCOC(=O)C1=C(Cn2ccnc2-c2nn[n-]n2)NC(=O)N[C@H]1C ZINC000825499444 583861982 /nfs/dbraw/zinc/86/19/82/583861982.db2.gz NGZIXRHNXQBTBM-ZETCQYMHSA-N -1 1 332.324 -0.418 20 0 EBADMM CCS(=O)(=O)N1CCN(Cc2ccc(-c3nnn[n-]3)o2)CC1 ZINC000825663423 583865085 /nfs/dbraw/zinc/86/50/85/583865085.db2.gz OIKNZPUOZHYBEM-UHFFFAOYSA-N -1 1 326.382 -0.073 20 0 EBADMM CCS(=O)(=O)N1CCN(Cc2ccc(-c3nn[n-]n3)o2)CC1 ZINC000825663423 583865086 /nfs/dbraw/zinc/86/50/86/583865086.db2.gz OIKNZPUOZHYBEM-UHFFFAOYSA-N -1 1 326.382 -0.073 20 0 EBADMM CN(C)C(=O)CCNS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000825841774 583868226 /nfs/dbraw/zinc/86/82/26/583868226.db2.gz ZRZVMBSHAGTMCK-UHFFFAOYSA-N -1 1 342.356 -0.238 20 0 EBADMM COCCNC(=O)CN1CCN(Cc2ccc(-c3nnn[n-]3)o2)CC1 ZINC000826160306 583886454 /nfs/dbraw/zinc/88/64/54/583886454.db2.gz NGFLPTUMXLBBOJ-UHFFFAOYSA-N -1 1 349.395 -0.660 20 0 EBADMM COCCNC(=O)CN1CCN(Cc2ccc(-c3nn[n-]n3)o2)CC1 ZINC000826160306 583886455 /nfs/dbraw/zinc/88/64/55/583886455.db2.gz NGFLPTUMXLBBOJ-UHFFFAOYSA-N -1 1 349.395 -0.660 20 0 EBADMM CON(C)C(=O)CN1CCN(c2nccnc2-c2nnn[n-]2)C[C@@H]1C ZINC000826166507 583886778 /nfs/dbraw/zinc/88/67/78/583886778.db2.gz OBXCGBIXNGSMME-JTQLQIEISA-N -1 1 347.383 -0.813 20 0 EBADMM CON(C)C(=O)CN1CCN(c2nccnc2-c2nn[n-]n2)C[C@@H]1C ZINC000826166507 583886781 /nfs/dbraw/zinc/88/67/81/583886781.db2.gz OBXCGBIXNGSMME-JTQLQIEISA-N -1 1 347.383 -0.813 20 0 EBADMM CSCCNC(=S)NCCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000826229227 583888851 /nfs/dbraw/zinc/88/88/51/583888851.db2.gz VGUWNACLQFSAQH-UHFFFAOYSA-N -1 1 341.470 -0.068 20 0 EBADMM CSCCNC(=S)NCCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000826229227 583888852 /nfs/dbraw/zinc/88/88/52/583888852.db2.gz VGUWNACLQFSAQH-UHFFFAOYSA-N -1 1 341.470 -0.068 20 0 EBADMM Cc1nnc(Cn2c(=O)c(-c3nn[n-]n3)cn(C)c2=O)n1C1CC1 ZINC000826336235 583891634 /nfs/dbraw/zinc/89/16/34/583891634.db2.gz SDQCSWRHLXVNFX-UHFFFAOYSA-N -1 1 329.324 -0.990 20 0 EBADMM Cc1onc(CC(=O)N2CCNC(=O)[C@@H]2C(C)C)c1-c1nnn[n-]1 ZINC000826344869 583892624 /nfs/dbraw/zinc/89/26/24/583892624.db2.gz VDDRTMJLZJISJD-LBPRGKRZSA-N -1 1 333.352 -0.311 20 0 EBADMM Cc1onc(CC(=O)N2CCNC(=O)[C@@H]2C(C)C)c1-c1nn[n-]n1 ZINC000826344869 583892626 /nfs/dbraw/zinc/89/26/26/583892626.db2.gz VDDRTMJLZJISJD-LBPRGKRZSA-N -1 1 333.352 -0.311 20 0 EBADMM Cc1onc(CC(=O)N[C@@H]2CC(=O)N(C3CC3)C2)c1-c1nnn[n-]1 ZINC000826346207 583892671 /nfs/dbraw/zinc/89/26/71/583892671.db2.gz GOFWUKQVYUSKPK-MRVPVSSYSA-N -1 1 331.336 -0.415 20 0 EBADMM Cc1onc(CC(=O)N[C@@H]2CC(=O)N(C3CC3)C2)c1-c1nn[n-]n1 ZINC000826346207 583892672 /nfs/dbraw/zinc/89/26/72/583892672.db2.gz GOFWUKQVYUSKPK-MRVPVSSYSA-N -1 1 331.336 -0.415 20 0 EBADMM Cc1onc(CC(=O)N2CCN3C(=O)CC[C@@H]3C2)c1-c1nnn[n-]1 ZINC000826344501 583892691 /nfs/dbraw/zinc/89/26/91/583892691.db2.gz JXTCPXPYIRKQEG-SECBINFHSA-N -1 1 331.336 -0.461 20 0 EBADMM Cc1onc(CC(=O)N2CCN3C(=O)CC[C@@H]3C2)c1-c1nn[n-]n1 ZINC000826344501 583892692 /nfs/dbraw/zinc/89/26/92/583892692.db2.gz JXTCPXPYIRKQEG-SECBINFHSA-N -1 1 331.336 -0.461 20 0 EBADMM Cc1onc(CC(=O)NCC(=O)NCC(F)(F)F)c1-c1nnn[n-]1 ZINC000826346851 583892837 /nfs/dbraw/zinc/89/28/37/583892837.db2.gz XKBLEWNXXDIGON-UHFFFAOYSA-N -1 1 347.257 -0.500 20 0 EBADMM Cc1onc(CC(=O)NCC(=O)NCC(F)(F)F)c1-c1nn[n-]n1 ZINC000826346851 583892838 /nfs/dbraw/zinc/89/28/38/583892838.db2.gz XKBLEWNXXDIGON-UHFFFAOYSA-N -1 1 347.257 -0.500 20 0 EBADMM Cc1onc(CC(=O)N[C@@H]2CCCC[C@H]2C(N)=O)c1-c1nnn[n-]1 ZINC000826346510 583892907 /nfs/dbraw/zinc/89/29/07/583892907.db2.gz OAOAYQFHSLNUQN-RKDXNWHRSA-N -1 1 333.352 -0.134 20 0 EBADMM Cc1onc(CC(=O)N[C@@H]2CCCC[C@H]2C(N)=O)c1-c1nn[n-]n1 ZINC000826346510 583892908 /nfs/dbraw/zinc/89/29/08/583892908.db2.gz OAOAYQFHSLNUQN-RKDXNWHRSA-N -1 1 333.352 -0.134 20 0 EBADMM Cc1onc(CC(=O)N[C@H]2CC(=O)N(C3CC3)C2)c1-c1nnn[n-]1 ZINC000826346208 583893101 /nfs/dbraw/zinc/89/31/01/583893101.db2.gz GOFWUKQVYUSKPK-QMMMGPOBSA-N -1 1 331.336 -0.415 20 0 EBADMM Cc1onc(CC(=O)N[C@H]2CC(=O)N(C3CC3)C2)c1-c1nn[n-]n1 ZINC000826346208 583893104 /nfs/dbraw/zinc/89/31/04/583893104.db2.gz GOFWUKQVYUSKPK-QMMMGPOBSA-N -1 1 331.336 -0.415 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N2CCC[C@@H]2C(C)(C)O)n(C)c1=O ZINC000826355757 583893464 /nfs/dbraw/zinc/89/34/64/583893464.db2.gz MFEPGBAGYHJPFE-MRVPVSSYSA-N -1 1 335.368 -0.996 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NCc2ncccc2F)n(C)c1=O ZINC000826355528 583893495 /nfs/dbraw/zinc/89/34/95/583893495.db2.gz DJYQJNRYCLDBOF-UHFFFAOYSA-N -1 1 332.299 -0.590 20 0 EBADMM NC(=O)NC(=O)C1CCC(Nc2ccc(-c3nnn[n-]3)nn2)CC1 ZINC000826392147 583897416 /nfs/dbraw/zinc/89/74/16/583897416.db2.gz OSCIZDRNCNHEIX-UHFFFAOYSA-N -1 1 331.340 -0.178 20 0 EBADMM NC(=O)NC(=O)C1CCC(Nc2ccc(-c3nn[n-]n3)nn2)CC1 ZINC000826392147 583897417 /nfs/dbraw/zinc/89/74/17/583897417.db2.gz OSCIZDRNCNHEIX-UHFFFAOYSA-N -1 1 331.340 -0.178 20 0 EBADMM O=C(CO)N1CCN(c2snc(Cl)c2-c2nnn[n-]2)CC1 ZINC000826415036 583900279 /nfs/dbraw/zinc/90/02/79/583900279.db2.gz PIJXAYBGWWDQLS-UHFFFAOYSA-N -1 1 329.773 -0.383 20 0 EBADMM O=C(CO)N1CCN(c2snc(Cl)c2-c2nn[n-]n2)CC1 ZINC000826415036 583900283 /nfs/dbraw/zinc/90/02/83/583900283.db2.gz PIJXAYBGWWDQLS-UHFFFAOYSA-N -1 1 329.773 -0.383 20 0 EBADMM O=C(Cn1cc(Nc2cccc(-c3nnn[n-]3)n2)cn1)NCCO ZINC000826424699 583900740 /nfs/dbraw/zinc/90/07/40/583900740.db2.gz YWGBWKWCAHRRKR-UHFFFAOYSA-N -1 1 329.324 -0.690 20 0 EBADMM O=C(Cn1cc(Nc2cccc(-c3nn[n-]n3)n2)cn1)NCCO ZINC000826424699 583900742 /nfs/dbraw/zinc/90/07/42/583900742.db2.gz YWGBWKWCAHRRKR-UHFFFAOYSA-N -1 1 329.324 -0.690 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCC[C@@H]1[C@@H](O)C(F)(F)F ZINC000826424855 583900809 /nfs/dbraw/zinc/90/08/09/583900809.db2.gz GPVONDQZHHLURG-HTRCEHHLSA-N -1 1 346.273 -0.628 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NC[C@H]1COC2(CCCC2)O1 ZINC000826425487 583901193 /nfs/dbraw/zinc/90/11/93/583901193.db2.gz GIIASUIRYKUOBF-VIFPVBQESA-N -1 1 334.340 -0.740 20 0 EBADMM O=S(=O)(NC[C@@H]1COCCO1)c1cccc(F)c1-c1nnn[n-]1 ZINC000826490919 583905744 /nfs/dbraw/zinc/90/57/44/583905744.db2.gz MPUCEHSFUBMDKI-MRVPVSSYSA-N -1 1 343.340 -0.301 20 0 EBADMM O=S(=O)(NC[C@@H]1COCCO1)c1cccc(F)c1-c1nn[n-]n1 ZINC000826490919 583905746 /nfs/dbraw/zinc/90/57/46/583905746.db2.gz MPUCEHSFUBMDKI-MRVPVSSYSA-N -1 1 343.340 -0.301 20 0 EBADMM O=S(=O)(c1ccc(-c2nnn[n-]2)nc1)N1CCc2c[nH]nc2C1 ZINC000826494632 583906039 /nfs/dbraw/zinc/90/60/39/583906039.db2.gz KFDRNQCUUYDMPL-UHFFFAOYSA-N -1 1 332.349 -0.268 20 0 EBADMM O=S(=O)(c1ccc(-c2nn[n-]n2)nc1)N1CCc2c[nH]nc2C1 ZINC000826494632 583906040 /nfs/dbraw/zinc/90/60/40/583906040.db2.gz KFDRNQCUUYDMPL-UHFFFAOYSA-N -1 1 332.349 -0.268 20 0 EBADMM CC(C)(CNC(=O)N[C@@H]1CCN(CCN2CCOCC2)C1)C(=O)[O-] ZINC000826577354 583908507 /nfs/dbraw/zinc/90/85/07/583908507.db2.gz VRHYQXAACKECFL-CYBMUJFWSA-N -1 1 342.440 -0.197 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)Cn2cc(C(=O)[O-])nn2)C1 ZINC000826885870 583911882 /nfs/dbraw/zinc/91/18/82/583911882.db2.gz LHGDCYOOXSEHLX-LLVKDONJSA-N -1 1 325.369 -0.551 20 0 EBADMM CC(C)CN1CCO[C@@H](CNC(=O)Cn2cc(C(=O)[O-])nn2)C1 ZINC000826885871 583911909 /nfs/dbraw/zinc/91/19/09/583911909.db2.gz LHGDCYOOXSEHLX-NSHDSACASA-N -1 1 325.369 -0.551 20 0 EBADMM CN(C)c1noc(C[N-]S(=O)(=O)c2ccc3c(c2)nnn3C)n1 ZINC000827473365 583921522 /nfs/dbraw/zinc/92/15/22/583921522.db2.gz GDZQYPLVZHAUNR-UHFFFAOYSA-N -1 1 337.365 -0.104 20 0 EBADMM C[C@@H](CNS(=O)(=O)c1cc(C(=O)[O-])n(C)c1)N1CCN(C)CC1 ZINC000827508297 583922439 /nfs/dbraw/zinc/92/24/39/583922439.db2.gz XUCQNOZGUJEJGJ-NSHDSACASA-N -1 1 344.437 -0.363 20 0 EBADMM CN(C)CC(=O)N1CCN(C(=O)[C@@]2(F)CCN(C(=O)[O-])C2)CC1 ZINC000828009239 583931485 /nfs/dbraw/zinc/93/14/85/583931485.db2.gz LMYBZJDAMPNOFI-CQSZACIVSA-N -1 1 330.360 -0.689 20 0 EBADMM CN(C[C@@H]1CCN(CCNS(=O)(=O)c2cnn(C)c2)C1)C(=O)[O-] ZINC000828259918 583936370 /nfs/dbraw/zinc/93/63/70/583936370.db2.gz OIJPAQGTJBVLRH-NSHDSACASA-N -1 1 345.425 -0.370 20 0 EBADMM C[C@H]1CN(CC(=O)c2c(N)n(C3CC3)c(=O)[nH]c2=O)C[C@@H]1C(=O)[O-] ZINC000828439754 583939109 /nfs/dbraw/zinc/93/91/09/583939109.db2.gz JQDDLZDOOVXTAM-CBAPKCEASA-N -1 1 336.348 -0.299 20 0 EBADMM C[C@H]1CN(CC(=O)c2c(N)n(C3CC3)c(=O)[nH]c2=O)C[C@H]1C(=O)[O-] ZINC000828439757 583939113 /nfs/dbraw/zinc/93/91/13/583939113.db2.gz JQDDLZDOOVXTAM-IONNQARKSA-N -1 1 336.348 -0.299 20 0 EBADMM C[C@@H]1CN(CN2C(=O)C[C@@H](Cc3cnn(C)c3)C2=O)C[C@@H]1C(=O)[O-] ZINC000828448773 583939516 /nfs/dbraw/zinc/93/95/16/583939516.db2.gz GRQNVGKUBKNFJM-RTXFEEFZSA-N -1 1 334.376 -0.052 20 0 EBADMM COCCN1CCCN(C(=O)C[C@@H]2CN(C(=O)[O-])CCO2)CC1 ZINC000828993032 583949741 /nfs/dbraw/zinc/94/97/41/583949741.db2.gz VGCQLNIIGFFSBE-CYBMUJFWSA-N -1 1 329.397 -0.064 20 0 EBADMM CC[C@H](C)[C@H](NC(=O)CN1CCN(C[C@@H](O)CC)CC1)C(=O)[O-] ZINC000829004300 583949937 /nfs/dbraw/zinc/94/99/37/583949937.db2.gz DYURLWQHTDMRBM-YDHLFZDLSA-N -1 1 329.441 -0.010 20 0 EBADMM CC(=O)[C@@H](OC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)C(C)C ZINC000829070708 583950568 /nfs/dbraw/zinc/95/05/68/583950568.db2.gz YAJYMOAZDUGCMU-LBPRGKRZSA-N -1 1 346.361 -0.186 20 0 EBADMM CCc1nnc([C@H]2CN(S(=O)(=O)C[C@H](C)C(=O)[O-])CCO2)[nH]1 ZINC000830304544 583965859 /nfs/dbraw/zinc/96/58/59/583965859.db2.gz POTLWRVJMYNGIF-DTWKUNHWSA-N -1 1 332.382 -0.209 20 0 EBADMM CN(C)C(=O)CN1CCN(C(=O)CN[C@@H](C(=O)[O-])C(C)(C)C)CC1 ZINC000830552190 583967854 /nfs/dbraw/zinc/96/78/54/583967854.db2.gz ZWBKQHSVXAARQS-AWEZNQCLSA-N -1 1 342.440 -0.692 20 0 EBADMM CN(C)[C@@]1(CNS(=O)(=O)N(C)CCC(=O)[O-])CCSC1 ZINC000830591497 583968264 /nfs/dbraw/zinc/96/82/64/583968264.db2.gz JTXZCKDCJYHLPB-LLVKDONJSA-N -1 1 325.456 -0.335 20 0 EBADMM Cc1nnc(SCC(=O)N(C)CCN2CCN(C(=O)[O-])CC2)[nH]1 ZINC000830676907 583969525 /nfs/dbraw/zinc/96/95/25/583969525.db2.gz BUCBRJLAJVTSHJ-UHFFFAOYSA-N -1 1 342.425 -0.041 20 0 EBADMM Cc1n[nH]c(SCC(=O)N(C)CCN2CCN(C(=O)[O-])CC2)n1 ZINC000830676907 583969527 /nfs/dbraw/zinc/96/95/27/583969527.db2.gz BUCBRJLAJVTSHJ-UHFFFAOYSA-N -1 1 342.425 -0.041 20 0 EBADMM COC(=O)[C@@H]1CC[C@H](C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)O1 ZINC000831117333 583976802 /nfs/dbraw/zinc/97/68/02/583976802.db2.gz PFAYZJNSSWPWEF-BDAKNGLRSA-N -1 1 338.282 -0.156 20 0 EBADMM CCS(=O)(=O)N[C@@H](C)C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831125025 583976811 /nfs/dbraw/zinc/97/68/11/583976811.db2.gz HPCFEISFLFMSFO-ZETCQYMHSA-N -1 1 345.343 -0.549 20 0 EBADMM COC(=O)C[C@@H]1CN(CCCN2CCO[C@@H](CC(=O)[O-])C2)CCO1 ZINC000831148145 583977169 /nfs/dbraw/zinc/97/71/69/583977169.db2.gz PQMOQNAYGDUSNS-UONOGXRCSA-N -1 1 344.408 -0.184 20 0 EBADMM O=C([O-])N1C[C@@H](O)C[C@H]1C(=O)N1CC[C@@H](CN2CCOCC2)C1 ZINC000831271589 583981208 /nfs/dbraw/zinc/98/12/08/583981208.db2.gz DDPBYWGSTCPNNL-AVGNSLFASA-N -1 1 327.381 -0.720 20 0 EBADMM O=C([O-])N1CCSC[C@@H]1CC(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000831403553 583982339 /nfs/dbraw/zinc/98/23/39/583982339.db2.gz YIRYUDBRJIEXPD-DTWKUNHWSA-N -1 1 342.381 -0.415 20 0 EBADMM COC[C@](C)(CC(=O)OC)NCC(=O)N1CCC(C(=O)[O-])CC1 ZINC000831591122 583987602 /nfs/dbraw/zinc/98/76/02/583987602.db2.gz RKIRLGJKKJSCAT-HNNXBMFYSA-N -1 1 330.381 -0.133 20 0 EBADMM O=C([O-])N1CCN(CC(=O)N2CCC(c3nc[nH]n3)CC2)C(=O)C1 ZINC000832031562 583994601 /nfs/dbraw/zinc/99/46/01/583994601.db2.gz RJNLOZLYCSEXIC-UHFFFAOYSA-N -1 1 336.352 -0.667 20 0 EBADMM O=C([O-])N1CCO[C@@H](C(=O)N2CCN(C3CCOCC3)CC2)C1 ZINC000832050023 583995224 /nfs/dbraw/zinc/99/52/24/583995224.db2.gz MNLQIKIRWLPVRI-CYBMUJFWSA-N -1 1 327.381 -0.312 20 0 EBADMM O=C([O-])N1CCO[C@@H](C(=O)N2CCN(C[C@@H]3CCOC3)CC2)C1 ZINC000832051352 583995311 /nfs/dbraw/zinc/99/53/11/583995311.db2.gz VDUDYPWAFNECMV-QWHCGFSZSA-N -1 1 327.381 -0.454 20 0 EBADMM CC(C)(C)OC(=O)NCCn1cc(C(=O)NCc2nn[n-]n2)nn1 ZINC000832229516 583996917 /nfs/dbraw/zinc/99/69/17/583996917.db2.gz WHYKIDOCDQLIDK-UHFFFAOYSA-N -1 1 337.344 -0.754 20 0 EBADMM CC(=O)N1CCOC[C@@H]1C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000833391095 584009684 /nfs/dbraw/zinc/00/96/84/584009684.db2.gz HGQIHEPYQVTLFO-DGCLKSJQSA-N -1 1 327.381 -0.759 20 0 EBADMM CC(=O)NCC(=O)NCC(=O)Nc1nc2cccc(C(=O)[O-])c2[nH]1 ZINC000833397273 584009995 /nfs/dbraw/zinc/00/99/95/584009995.db2.gz ZPCSOZMEMJJIQW-UHFFFAOYSA-N -1 1 333.304 -0.548 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)COC(=O)[C@H](C)NC(=O)[O-])C1 ZINC000833489293 584011049 /nfs/dbraw/zinc/01/10/49/584011049.db2.gz ZIOKGCFXLIHVEY-NWDGAFQWSA-N -1 1 345.396 -0.341 20 0 EBADMM CN1CCC(O)(CNS(=O)(=O)c2csnc2C(=O)[O-])CC1 ZINC000833698495 584013923 /nfs/dbraw/zinc/01/39/23/584013923.db2.gz PJDLLOBXJMMMNN-UHFFFAOYSA-N -1 1 335.407 -0.424 20 0 EBADMM COC(=O)C1CCN(C(=O)CN2CCC([C@H](O)C(=O)[O-])CC2)CC1 ZINC000833711421 584015042 /nfs/dbraw/zinc/01/50/42/584015042.db2.gz XGLIKDHSDNLOIO-AWEZNQCLSA-N -1 1 342.392 -0.445 20 0 EBADMM CS(=O)(=O)CC[C@H](NC(=O)[O-])C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000833893868 584019256 /nfs/dbraw/zinc/01/92/56/584019256.db2.gz FRCHZQYUFWXSOR-ZJUUUORDSA-N -1 1 344.393 -0.026 20 0 EBADMM NC(=O)c1n[nH]c2ccc(NC(=O)[C@@H]3CN(C(=O)[O-])CCO3)cc21 ZINC000833986909 584023234 /nfs/dbraw/zinc/02/32/34/584023234.db2.gz VHJSUWMIUWNDMG-JTQLQIEISA-N -1 1 333.304 -0.021 20 0 EBADMM O=C([O-])N1CC(OCCC(=O)N2CC[C@@H](CN3CCOCC3)C2)C1 ZINC000834070462 584025923 /nfs/dbraw/zinc/02/59/23/584025923.db2.gz ZOOFPURJHNSIGK-ZDUSSCGKSA-N -1 1 341.408 -0.064 20 0 EBADMM O=C([O-])N1CCC2(C[C@@H]2C(=O)N2CCO[C@@H](c3nn[nH]n3)C2)CC1 ZINC000834086225 584025989 /nfs/dbraw/zinc/02/59/89/584025989.db2.gz CEHOQVYHBTYXQN-NXEZZACHSA-N -1 1 336.352 -0.120 20 0 EBADMM O=C([O-])NCC(=O)NCC(=O)NC[C@@H](c1ccco1)N1CCCC1 ZINC000834197832 584028746 /nfs/dbraw/zinc/02/87/46/584028746.db2.gz XWUQEXFLARVRSJ-NSHDSACASA-N -1 1 338.364 -0.084 20 0 EBADMM CCN(C)C(=O)C[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867124525 584041284 /nfs/dbraw/zinc/04/12/84/584041284.db2.gz NTWKGFRARWRVHZ-UHFFFAOYSA-N -1 1 325.188 -0.071 20 0 EBADMM CC(C)(C)OC(=O)COCC(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000844133081 584088601 /nfs/dbraw/zinc/08/86/01/584088601.db2.gz NDNGUDGNOYPTNC-UHFFFAOYSA-N -1 1 334.354 -0.495 20 0 EBADMM CC(C)(C)OC(=O)COCC(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000844133081 584088604 /nfs/dbraw/zinc/08/86/04/584088604.db2.gz NDNGUDGNOYPTNC-UHFFFAOYSA-N -1 1 334.354 -0.495 20 0 EBADMM Nc1nc2c(s1)C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)CC2 ZINC000846120281 584121204 /nfs/dbraw/zinc/12/12/04/584121204.db2.gz OCIZSWAWRGFSEQ-QMMMGPOBSA-N -1 1 335.389 -0.361 20 0 EBADMM CCO[N-]C(=O)CNC(=O)CCN1CCN(c2ccccn2)CC1 ZINC000846494632 584126838 /nfs/dbraw/zinc/12/68/38/584126838.db2.gz JBZBJOGXCNUNBX-UHFFFAOYSA-N -1 1 335.408 -0.222 20 0 EBADMM CCO[N-]C(=O)CNC(=O)[C@@H](C)NC(=O)c1n[nH]c2ccccc21 ZINC000846498724 584127227 /nfs/dbraw/zinc/12/72/27/584127227.db2.gz QUKBQBPYMSXLJL-SECBINFHSA-N -1 1 333.348 -0.135 20 0 EBADMM O=C(CCN1C(=O)CCNC1=S)NC1(c2nn[n-]n2)CCCC1 ZINC000847506859 584141241 /nfs/dbraw/zinc/14/12/41/584141241.db2.gz MSEKQBLEHZMBDW-UHFFFAOYSA-N -1 1 337.409 -0.418 20 0 EBADMM Cn1nnc(CN2CCC[C@H](NC(=O)C(F)(F)F)[C@@H]2C(=O)[O-])n1 ZINC000852732331 584215019 /nfs/dbraw/zinc/21/50/19/584215019.db2.gz BAAAFHFGXYBDMS-POYBYMJQSA-N -1 1 336.274 -0.694 20 0 EBADMM COC(=O)CNC(=O)CN1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000852758693 584215555 /nfs/dbraw/zinc/21/55/55/584215555.db2.gz ILQUOEZGBZTGTR-BDAKNGLRSA-N -1 1 339.314 -0.336 20 0 EBADMM C[C@@H]1CCN(CC(=O)N2CCNC2=O)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000852758199 584215602 /nfs/dbraw/zinc/21/56/02/584215602.db2.gz AUSQPLFJOKBSQZ-BDAKNGLRSA-N -1 1 336.314 -0.073 20 0 EBADMM CN(C)CC(=O)N1CCN(C(=O)c2n[n-]c3ccccc3c2=O)CC1 ZINC000111559768 584231244 /nfs/dbraw/zinc/23/12/44/584231244.db2.gz ROSXPPHHEAZEHU-UHFFFAOYSA-N -1 1 343.387 -0.231 20 0 EBADMM CN(C)C(=O)N(C)[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000856089014 584266533 /nfs/dbraw/zinc/26/65/33/584266533.db2.gz NJQFETMDKUXHCW-NSHDSACASA-N -1 1 337.380 -0.859 20 0 EBADMM CCO[N-]C(=O)CNC(=O)N1CCC(C)(N2CCOCC2)CC1 ZINC000858589382 584289643 /nfs/dbraw/zinc/28/96/43/584289643.db2.gz JVYRDSHPSIVVAT-UHFFFAOYSA-N -1 1 328.413 -0.050 20 0 EBADMM O=C([C@H]1CNC(=O)c2ccccc21)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000859278190 584298906 /nfs/dbraw/zinc/29/89/06/584298906.db2.gz MJEOXHLXDNRIFQ-RYUDHWBXSA-N -1 1 328.332 -0.373 20 0 EBADMM COC(=O)[C@H](C[C@H]1CCCO1)[N-]S(=O)(=O)CCn1cccn1 ZINC000885768716 584303797 /nfs/dbraw/zinc/30/37/97/584303797.db2.gz KDCDYHNNBLNCCB-NEPJUHHUSA-N -1 1 331.394 -0.087 20 0 EBADMM CC(C)(C)OC(=O)N[C@H](CO)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000860348194 584315160 /nfs/dbraw/zinc/31/51/60/584315160.db2.gz AZNMUOSPCBQPMF-NXEZZACHSA-N -1 1 340.384 -0.209 20 0 EBADMM CC(C)(C)OC(=O)N[C@H](CO)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000860348195 584315175 /nfs/dbraw/zinc/31/51/75/584315175.db2.gz AZNMUOSPCBQPMF-VHSXEESVSA-N -1 1 340.384 -0.209 20 0 EBADMM CCOC[C@@H]1CN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)CCO1 ZINC000862069622 584346625 /nfs/dbraw/zinc/34/66/25/584346625.db2.gz SKSARVPAGOAXBY-NSHDSACASA-N -1 1 347.375 -0.456 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N[C@H]3CO[C@H](C4CC4)C3)ccnc1-2 ZINC000862117637 584347438 /nfs/dbraw/zinc/34/74/38/584347438.db2.gz CEMUNLQJOUKJNL-MFKMUULPSA-N -1 1 329.360 -0.036 20 0 EBADMM Cn1ncc(S(=O)(=O)[N-]CCS(C)(=O)=O)c1C(F)(F)F ZINC000866521778 584401795 /nfs/dbraw/zinc/40/17/95/584401795.db2.gz PAACOPYXHSOMLY-UHFFFAOYSA-N -1 1 335.329 -0.238 20 0 EBADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@H](O)CN1CCCCC1 ZINC000866834045 584408175 /nfs/dbraw/zinc/40/81/75/584408175.db2.gz WKZONNPYBOBIQY-JEOXALJRSA-N -1 1 327.472 -0.215 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-][C@H]2CS(=O)(=O)C2(C)C)c1Cl ZINC000867443468 584408491 /nfs/dbraw/zinc/40/84/91/584408491.db2.gz VZETYBUITRTUGO-LURJTMIESA-N -1 1 327.815 -0.073 20 0 EBADMM CS(=O)(=O)N1CC[C@H]([N-]S(=O)(=O)c2ccc(F)nc2F)C1 ZINC000867459286 584408854 /nfs/dbraw/zinc/40/88/54/584408854.db2.gz SWPJNBCIAAWLFR-ZETCQYMHSA-N -1 1 341.361 -0.328 20 0 EBADMM O=S(=O)([N-]C[C@]1(OCCO)CCOC1)c1ccc(F)nc1F ZINC000867505092 584409511 /nfs/dbraw/zinc/40/95/11/584409511.db2.gz XWHUBKHFCCVJBF-GFCCVEGCSA-N -1 1 338.332 -0.194 20 0 EBADMM CN1CCN(CC[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)CC1 ZINC000872475608 584462044 /nfs/dbraw/zinc/46/20/44/584462044.db2.gz OJMGEABWNYDAPJ-FQEVSTJZSA-N -1 1 340.515 -0.036 20 0 EBADMM CN1CC[C@](O)(C[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)C1 ZINC000872473810 584462144 /nfs/dbraw/zinc/46/21/44/584462144.db2.gz URBUUBMOPVBPMT-WLRWDXFRSA-N -1 1 327.472 -0.216 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2C[C@@H]3CCC[C@H]3O2)c(=O)n(C)c1=O ZINC000872559046 584463192 /nfs/dbraw/zinc/46/31/92/584463192.db2.gz QIWZXBQQLXAWEF-GARJFASQSA-N -1 1 343.405 -0.680 20 0 EBADMM C[C@H](Cn1cncn1)NC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875190891 584493093 /nfs/dbraw/zinc/49/30/93/584493093.db2.gz JALHNALPYOBJBG-MRVPVSSYSA-N -1 1 334.302 -0.014 20 0 EBADMM O=C(NCCN1CCCC1=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875695518 584504510 /nfs/dbraw/zinc/50/45/10/584504510.db2.gz POWVWYVXWRJEGZ-UHFFFAOYSA-N -1 1 336.314 -0.071 20 0 EBADMM CN(C)C(=O)C[N-]S(=O)(=O)N=[S@@](=O)(c1ccccc1)N(C)C ZINC000881754827 584575914 /nfs/dbraw/zinc/57/59/14/584575914.db2.gz YJXUMAVGXCBGRT-NRFANRHFSA-N -1 1 348.450 -0.087 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC2(C)CC(F)(F)C2)c(=O)n(C)c1=O ZINC000882574881 584592151 /nfs/dbraw/zinc/59/21/51/584592151.db2.gz PNIXNFZADZTCDU-UHFFFAOYSA-N -1 1 337.348 -0.202 20 0 EBADMM CC(=O)N1CCN(C(=O)CC[N-]S(=O)(=O)c2ccns2)CC1 ZINC000885003811 584642256 /nfs/dbraw/zinc/64/22/56/584642256.db2.gz IHUIYCWLSHNBPB-UHFFFAOYSA-N -1 1 346.434 -0.498 20 0 EBADMM COC[C@H]([N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C)[C@H]1CCCOC1 ZINC000885752898 584651834 /nfs/dbraw/zinc/65/18/34/584651834.db2.gz HJFPOFDWDWGXFA-SULUTDIPSA-N -1 1 343.471 -0.163 20 0 EBADMM O=C([O-])CSCC(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC000740487082 597102514 /nfs/dbraw/zinc/10/25/14/597102514.db2.gz PCDCVPBXFHRYEZ-GFCCVEGCSA-N -1 1 331.438 -0.673 20 0 EBADMM c1cn(CCN2CCOCC2)nc1Nc1nccnc1-c1nnn[n-]1 ZINC000738444936 598559701 /nfs/dbraw/zinc/55/97/01/598559701.db2.gz AFIWMKPMOCMZEQ-UHFFFAOYSA-N -1 1 342.367 -0.071 20 0 EBADMM c1cn(CCN2CCOCC2)nc1Nc1nccnc1-c1nn[n-]n1 ZINC000738444936 598559703 /nfs/dbraw/zinc/55/97/03/598559703.db2.gz AFIWMKPMOCMZEQ-UHFFFAOYSA-N -1 1 342.367 -0.071 20 0 EBADMM CN(C)CC(=O)N1CCN(S(=O)(=O)c2ccc(C(=O)[O-])o2)CC1 ZINC000390977222 599771047 /nfs/dbraw/zinc/77/10/47/599771047.db2.gz GYYYHBRJPFDVGH-UHFFFAOYSA-N -1 1 345.377 -0.628 20 0 EBADMM O=C([O-])c1ccc(S(=O)(=O)NCC(=O)N2CCNCC2)cc1 ZINC000314653368 599955644 /nfs/dbraw/zinc/95/56/44/599955644.db2.gz MWOWPMJPKKPMDW-UHFFFAOYSA-N -1 1 327.362 -0.905 20 0 EBADMM Cc1ccc(C(=O)[O-])cc1NC(=O)C(=O)NC[C@H]1CN(C)CCN1C ZINC000738517322 600419716 /nfs/dbraw/zinc/41/97/16/600419716.db2.gz CGJKLTGNTQEMFC-ZDUSSCGKSA-N -1 1 348.403 -0.006 20 0 EBADMM Cc1nc(CN2CCN(C(=O)CCSCC(=O)[O-])CC2)n[nH]1 ZINC000738859274 600538311 /nfs/dbraw/zinc/53/83/11/600538311.db2.gz WTQMKNBVJDAVLK-UHFFFAOYSA-N -1 1 327.410 -0.035 20 0 EBADMM CC(C)(CNC(=O)CN1CC[C@H](CNC(=O)[O-])C1)N1CCOCC1 ZINC000738747078 601935644 /nfs/dbraw/zinc/93/56/44/601935644.db2.gz MIHYFZGZSWKUEB-CYBMUJFWSA-N -1 1 342.440 -0.197 20 0 EBADMM O=C([O-])NCCNC(=O)NC[C@H](O)CN1CCc2ccccc2C1 ZINC000740662328 602321396 /nfs/dbraw/zinc/32/13/96/602321396.db2.gz HWWBYFJXPKTOQN-AWEZNQCLSA-N -1 1 336.392 -0.028 20 0 EBADMM CN1CCN(C[C@H]2CN(C(=O)NCCCNC(=O)[O-])CCO2)CC1 ZINC000739737381 602628157 /nfs/dbraw/zinc/62/81/57/602628157.db2.gz NVOXHNNUDWGLQG-ZDUSSCGKSA-N -1 1 343.428 -0.698 20 0 EBADMM O=C([O-])NCCNC(=O)[C@H]1CCCN(CC(=O)NCC2CCC2)C1 ZINC000740660152 602679573 /nfs/dbraw/zinc/67/95/73/602679573.db2.gz NXTLPHFXCOIOIF-ZDUSSCGKSA-N -1 1 340.424 -0.002 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)[C@H]2COCCN2C(=O)[O-])C1 ZINC000738823673 602830548 /nfs/dbraw/zinc/83/05/48/602830548.db2.gz HGRXWMGMKKGBFT-CHWSQXEVSA-N -1 1 329.397 -0.162 20 0 EBADMM C[C@@H]1CN(C(=O)NC[C@@H]2CN(C)CCN2C)CC[C@@H]1NC(=O)[O-] ZINC000739130708 602835049 /nfs/dbraw/zinc/83/50/49/602835049.db2.gz AVBACDYVQXCLKC-UPJWGTAASA-N -1 1 327.429 -0.080 20 0 EBADMM C[C@H]1CC(=O)N(CN2CCC[C@H](C(=O)NCCNC(=O)[O-])C2)C1 ZINC000739076405 602860211 /nfs/dbraw/zinc/86/02/11/602860211.db2.gz PDCBSJLYZRNDSB-RYUDHWBXSA-N -1 1 326.397 -0.092 20 0 EBADMM CN1CCN(C)[C@@H](CNC(=O)CN(C[C@H]2CCCO2)C(=O)[O-])C1 ZINC000739733548 602901077 /nfs/dbraw/zinc/90/10/77/602901077.db2.gz FABXXVBUPLZKLL-QWHCGFSZSA-N -1 1 328.413 -0.493 20 0 EBADMM O=C([O-])N1CC[C@H](CN2CCN(CC(=O)N3CCOCC3)CC2)C1 ZINC000740502168 602976097 /nfs/dbraw/zinc/97/60/97/602976097.db2.gz FCVUVLWYRILDGH-CQSZACIVSA-N -1 1 340.424 -0.537 20 0 EBADMM COCCNC(=O)CN1CCN(C[C@H]2CCN(C(=O)[O-])C2)CC1 ZINC000739831958 602977008 /nfs/dbraw/zinc/97/70/08/602977008.db2.gz RAHAHYSTCBYXHY-CYBMUJFWSA-N -1 1 328.413 -0.634 20 0 EBADMM CC(C)[C@@H]1CN(C)CCN1C(=O)CN1CCN(C(=O)[O-])CC1=O ZINC000738800679 603008314 /nfs/dbraw/zinc/00/83/14/603008314.db2.gz KFJQLKONQFZHLK-LBPRGKRZSA-N -1 1 326.397 -0.393 20 0 EBADMM CN(C)CC(=O)N1CCN(C(=O)[C@H]2CCC[C@@H]2NC(=O)[O-])CC1 ZINC000739604043 603020479 /nfs/dbraw/zinc/02/04/79/603020479.db2.gz NUGXGPHAGDTXCX-RYUDHWBXSA-N -1 1 326.397 -0.345 20 0 EBADMM C[C@@H]1CN(CCCNC(=O)[C@@H]2C[C@@H](O)CN2C(=O)[O-])C[C@@H](C)O1 ZINC000739181566 603085808 /nfs/dbraw/zinc/08/58/08/603085808.db2.gz KAJXTVWUWFHCEO-LPWJVIDDSA-N -1 1 329.397 -0.285 20 0 EBADMM C=CCNC(=O)NC(=O)COC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000042264346 649942322 /nfs/dbraw/zinc/94/23/22/649942322.db2.gz KPSSNMKOYUTZSU-UHFFFAOYSA-N -1 1 345.333 -0.644 20 0 EBADMM COC(=O)[C@@H]1CN(CC[C@@H](C)N2CCO[C@@H](C(=O)[O-])C2)CCO1 ZINC000831114903 604435916 /nfs/dbraw/zinc/43/59/16/604435916.db2.gz RWDJFLIODUWMJF-UPJWGTAASA-N -1 1 330.381 -0.576 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)OCC(=O)NC(N)=O ZINC000057604804 649960139 /nfs/dbraw/zinc/96/01/39/649960139.db2.gz IWHOYHAEIWSASD-UHFFFAOYSA-N -1 1 335.320 -0.278 20 0 EBADMM O=C1[N-]C(=S)NC(=O)/C1=C\NNS(=O)(=O)Cc1ccccc1 ZINC000063442118 649963069 /nfs/dbraw/zinc/96/30/69/649963069.db2.gz LSSZJOSTOVTQHK-UHFFFAOYSA-N -1 1 340.386 -0.134 20 0 EBADMM O=C1[N-]C(=S)NC(=O)/C1=C/NNS(=O)(=O)Cc1ccccc1 ZINC000063442118 649963072 /nfs/dbraw/zinc/96/30/72/649963072.db2.gz LSSZJOSTOVTQHK-UHFFFAOYSA-N -1 1 340.386 -0.134 20 0 EBADMM O=C1[N-]C(=S)NC(=O)C1=CNNS(=O)(=O)Cc1ccccc1 ZINC000063442118 649963074 /nfs/dbraw/zinc/96/30/74/649963074.db2.gz LSSZJOSTOVTQHK-UHFFFAOYSA-N -1 1 340.386 -0.134 20 0 EBADMM Cn1cnc2c1c(=O)n(CC(=O)Nc1c([O-])cccc1F)c(=O)n2C ZINC000605440749 650016807 /nfs/dbraw/zinc/01/68/07/650016807.db2.gz ZYYXBBIMFZZUBO-UHFFFAOYSA-N -1 1 347.306 -0.083 20 0 EBADMM COC(OC)C(=O)N1CCN(C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000912354692 650203314 /nfs/dbraw/zinc/20/33/14/650203314.db2.gz LJNVDZBWAZAKNV-UHFFFAOYSA-N -1 1 336.344 -0.135 20 0 EBADMM CNC(=O)C1([N-]S(=O)(=O)c2n[nH]cc2C(=O)OC)CCCC1 ZINC000912539102 650207160 /nfs/dbraw/zinc/20/71/60/650207160.db2.gz YCNIIYDQGKODST-UHFFFAOYSA-N -1 1 330.366 -0.467 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCN1CCOC(C)(C)C1 ZINC000912545659 650207315 /nfs/dbraw/zinc/20/73/15/650207315.db2.gz AIMNSEBHGFVJHD-UHFFFAOYSA-N -1 1 346.409 -0.415 20 0 EBADMM CCOC(=O)[C@H]1CCCN(CC(=O)[N-]OCCN2CCCC2=O)C1 ZINC000912629698 650210609 /nfs/dbraw/zinc/21/06/09/650210609.db2.gz YURXSJGAJPBZCJ-ZDUSSCGKSA-N -1 1 341.408 -0.068 20 0 EBADMM CC[C@H](C(=O)N1CCOC[C@H]1c1nn[n-]n1)N1CCO[C@H](CC)C1 ZINC000913497912 650235924 /nfs/dbraw/zinc/23/59/24/650235924.db2.gz MMIFGYPEGGZWRQ-UPJWGTAASA-N -1 1 338.412 -0.011 20 0 EBADMM O=C([C@H]1CCN(CC(F)(F)F)C1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913499711 650235974 /nfs/dbraw/zinc/23/59/74/650235974.db2.gz YQRSWCQFHWULSQ-IUCAKERBSA-N -1 1 334.302 -0.016 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-][C@H](CO)CN2CCOCC2)c1Cl ZINC000918989264 650294490 /nfs/dbraw/zinc/29/44/90/650294490.db2.gz QHWMPHFNIOLFIU-VIFPVBQESA-N -1 1 338.817 -0.955 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)[C@@H]2CN(C)CCN2C)o1 ZINC000920010619 650308551 /nfs/dbraw/zinc/30/85/51/650308551.db2.gz PXWMZPWHTMVCOI-QWRGUYRKSA-N -1 1 345.421 -0.021 20 0 EBADMM C[C@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)[C@@H]1CN(C)CCN1C ZINC000920021812 650308747 /nfs/dbraw/zinc/30/87/47/650308747.db2.gz RZAFEXZMJIALEF-UWVGGRQHSA-N -1 1 335.861 -0.014 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@]2(C)CCCCO2)c(=O)n(C)c1=O ZINC000921677381 650323881 /nfs/dbraw/zinc/32/38/81/650323881.db2.gz BJEQIUYICMYGSA-ZDUSSCGKSA-N -1 1 331.394 -0.679 20 0 EBADMM CCOC(=O)[C@H](COC)NS(=O)(=O)C[C@@]12CC[N@H+](C1)CCC2 ZINC000921380059 650319115 /nfs/dbraw/zinc/31/91/15/650319115.db2.gz BVIZKXQBLRKULT-JSGCOSHPSA-N -1 1 334.438 -0.030 20 0 EBADMM Cn1[n-]c(COC(=O)[C@@H]2CCCN(CC(F)(F)F)C2=O)nc1=O ZINC000924510130 650363772 /nfs/dbraw/zinc/36/37/72/650363772.db2.gz BPUHATCKAIOELT-SSDOTTSWSA-N -1 1 336.270 -0.048 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@H]2CCNC(=O)C2)C1 ZINC000937135888 651648589 /nfs/dbraw/zinc/64/85/89/651648589.db2.gz DRHUCLZGKWIQQR-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)[C@@H]1COCCO1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937211200 651679766 /nfs/dbraw/zinc/67/97/66/651679766.db2.gz ITGLUMRHWRTNQE-YPMHNXCESA-N -1 1 335.360 -0.125 20 0 EBADMM CN(C(=O)[C@H]1CCC(=O)N1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937211549 651679937 /nfs/dbraw/zinc/67/99/37/651679937.db2.gz LPXVLUVOTXERKQ-WDEREUQCSA-N -1 1 332.360 -0.261 20 0 EBADMM CN(C(=O)c1ncn(C)n1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937220299 651686726 /nfs/dbraw/zinc/68/67/26/651686726.db2.gz NORHHVYJFWYIAB-JTQLQIEISA-N -1 1 330.348 -0.098 20 0 EBADMM CSCCO[N-]C(=O)C(=O)NC[C@H]1CCN1C1CCOCC1 ZINC000932960998 651705654 /nfs/dbraw/zinc/70/56/54/651705654.db2.gz XLRRCJSMYFEOSO-GFCCVEGCSA-N -1 1 331.438 -0.233 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)c2cn(C)nn2)C1 ZINC000937305746 651726420 /nfs/dbraw/zinc/72/64/20/651726420.db2.gz MJAUDRRMKAZLIJ-SNVBAGLBSA-N -1 1 330.348 -0.098 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H](NC(=O)c2ccon2)C1 ZINC000937719869 651891932 /nfs/dbraw/zinc/89/19/32/651891932.db2.gz SRVAZUIXRZRDEF-ZETCQYMHSA-N -1 1 349.303 -0.471 20 0 EBADMM O=C(CC1CCC1)N[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000937782280 651924611 /nfs/dbraw/zinc/92/46/11/651924611.db2.gz SNYVALXSXBOPTM-ZDUSSCGKSA-N -1 1 348.403 -0.166 20 0 EBADMM Cc1c(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)nnn1C ZINC000937861152 651957979 /nfs/dbraw/zinc/95/79/79/651957979.db2.gz OEZIVQXTIQILOP-JTQLQIEISA-N -1 1 330.348 -0.131 20 0 EBADMM CN1CCOC[C@@H]1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937861149 651958157 /nfs/dbraw/zinc/95/81/57/651958157.db2.gz OBTHPNHZTJDDAE-NWDGAFQWSA-N -1 1 334.376 -0.552 20 0 EBADMM O=C(CN1CN=NC1=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937862149 651958215 /nfs/dbraw/zinc/95/82/15/651958215.db2.gz ZMGCTMZQNBUQEI-VIFPVBQESA-N -1 1 332.320 -0.885 20 0 EBADMM C[C@@]1(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)CNC(=O)C1 ZINC000937863924 651958894 /nfs/dbraw/zinc/95/88/94/651958894.db2.gz KTHDUDUVVSHNPO-QFYYESIMSA-N -1 1 332.360 -0.356 20 0 EBADMM CN(C)C(=O)[C@@H]1C[C@@H]1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937866227 651959693 /nfs/dbraw/zinc/95/96/93/651959693.db2.gz XPMFXNYXCUGMHD-TUAOUCFPSA-N -1 1 346.387 -0.158 20 0 EBADMM C/C=C(/C)C(=O)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000938315017 652192676 /nfs/dbraw/zinc/19/26/76/652192676.db2.gz WUNFVYYASLEUGO-BNFOFFDWSA-N -1 1 330.348 -0.286 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ccc[nH]2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000938315080 652193156 /nfs/dbraw/zinc/19/31/56/652193156.db2.gz ZMLVNEQLZQNYSI-VIFPVBQESA-N -1 1 341.331 -0.610 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@H]2CCC(=O)N2)C1 ZINC000936896389 652236426 /nfs/dbraw/zinc/23/64/26/652236426.db2.gz GVXPLSRCBBWKDE-WDEREUQCSA-N -1 1 332.360 -0.261 20 0 EBADMM CC1(C(=O)N[C@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)CCC1 ZINC000938416601 652249198 /nfs/dbraw/zinc/24/91/98/652249198.db2.gz LBLYNCRBNLFXNQ-LBPRGKRZSA-N -1 1 348.403 -0.166 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC[C@H](NC(=O)C(N)=O)C1 ZINC000938585513 652341967 /nfs/dbraw/zinc/34/19/67/652341967.db2.gz IWOCGGSIHKZXNC-VIFPVBQESA-N -1 1 335.364 -0.570 20 0 EBADMM Cn1[n-]c(CN2CC(N3CCN(C(=O)c4ccc[nH]4)CC3)C2)nc1=O ZINC000941310946 652656501 /nfs/dbraw/zinc/65/65/01/652656501.db2.gz YLEKQWNYOMWYSE-UHFFFAOYSA-N -1 1 345.407 -0.921 20 0 EBADMM NC(=O)N1CCC[C@H]1C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000941320263 652661106 /nfs/dbraw/zinc/66/11/06/652661106.db2.gz KYFNNDIVKUWVGN-MNOVXSKESA-N -1 1 347.375 -0.339 20 0 EBADMM CCN1CCOC[C@H]1C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000941341422 652680538 /nfs/dbraw/zinc/68/05/38/652680538.db2.gz IKKDEKREKUVHLJ-OLZOCXBDSA-N -1 1 348.403 -0.161 20 0 EBADMM Cc1ncc(C(=O)N2CCN(C3CN(Cc4n[nH]c(=O)[n-]4)C3)CC2)[nH]1 ZINC000941348890 652684409 /nfs/dbraw/zinc/68/44/09/652684409.db2.gz SGUSVGAVPGMALN-UHFFFAOYSA-N -1 1 346.395 -0.816 20 0 EBADMM CC1CC(C(=O)N2CCN(C3CN(Cc4nc(=O)n(C)[n-]4)C3)CC2)C1 ZINC000941370670 652700858 /nfs/dbraw/zinc/70/08/58/652700858.db2.gz AAKYHIMGESGLHH-UHFFFAOYSA-N -1 1 348.451 -0.517 20 0 EBADMM O=C(NC[C@H]1CCCN1C(=O)c1c[nH]c(=O)cn1)c1ncccc1[O-] ZINC000944239987 652723425 /nfs/dbraw/zinc/72/34/25/652723425.db2.gz QZBRNYCPHOHHNK-SNVBAGLBSA-N -1 1 343.343 -0.095 20 0 EBADMM Cn1[n-]c(CN2CC(N3CCN(C(=O)c4cc[nH]c4)CC3)C2)nc1=O ZINC000941416968 652726262 /nfs/dbraw/zinc/72/62/62/652726262.db2.gz QBXZVCZIDSVSFU-UHFFFAOYSA-N -1 1 345.407 -0.921 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1)[C@@H]1CCOC1 ZINC000944503250 652806944 /nfs/dbraw/zinc/80/69/44/652806944.db2.gz AOQQZGIOJIYVFQ-NEPJUHHUSA-N -1 1 335.360 -0.219 20 0 EBADMM O=C(NC1CCN(C(=O)[C@H]2COCCO2)CC1)c1ncccc1[O-] ZINC000944505388 652809318 /nfs/dbraw/zinc/80/93/18/652809318.db2.gz ZOYVSQYFLPMOQH-CYBMUJFWSA-N -1 1 335.360 -0.077 20 0 EBADMM CO[C@@H]1CN(C(=O)c2cnccn2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941601165 652825647 /nfs/dbraw/zinc/82/56/47/652825647.db2.gz PVDZSLVULRISKJ-DGCLKSJQSA-N -1 1 343.343 -0.153 20 0 EBADMM CO[C@@H]1CN(C(=O)c2cnn(C)n2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941603323 652828004 /nfs/dbraw/zinc/82/80/04/652828004.db2.gz RHQWZIVFECNJTC-ZYHUDNBSSA-N -1 1 346.347 -0.815 20 0 EBADMM C[C@@H]1CN(C(=O)c2cc(C(N)=O)co2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939129542 652836547 /nfs/dbraw/zinc/83/65/47/652836547.db2.gz XKNYEQIDEFSASZ-VXNVDRBHSA-N -1 1 334.336 -0.548 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2CN(C(=O)Cc3ccn[nH]3)CCO2)c1[O-] ZINC000944551060 652851688 /nfs/dbraw/zinc/85/16/88/652851688.db2.gz WCRPVFAKMNHPBO-LLVKDONJSA-N -1 1 348.363 -0.653 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2cncnc2)CCO1)c1ncccc1[O-] ZINC000944560831 652860569 /nfs/dbraw/zinc/86/05/69/652860569.db2.gz NUNGNNMJXVTKCM-GFCCVEGCSA-N -1 1 343.343 -0.152 20 0 EBADMM Cn1cncc1C(=O)N1CCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944565273 652864113 /nfs/dbraw/zinc/86/41/13/652864113.db2.gz QUPBPIREQBXYOQ-NSHDSACASA-N -1 1 345.359 -0.208 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cnco2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000941663877 652865474 /nfs/dbraw/zinc/86/54/74/652865474.db2.gz IMBPEEDBTDHLKP-MRVPVSSYSA-N -1 1 343.303 -0.950 20 0 EBADMM Cn1cc(C(=O)NC[C@@H]2CN(C(=O)c3ncccc3[O-])CCO2)nn1 ZINC000944577840 652876026 /nfs/dbraw/zinc/87/60/26/652876026.db2.gz GJBBTGBRPBNDRX-SNVBAGLBSA-N -1 1 346.347 -0.813 20 0 EBADMM CC(C)c1nnnn1CC(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000939284123 652879431 /nfs/dbraw/zinc/87/94/31/652879431.db2.gz RFGJQSCZDKWRFY-NXEZZACHSA-N -1 1 349.399 -0.743 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)C1CCOCC1 ZINC000941728917 652896717 /nfs/dbraw/zinc/89/67/17/652896717.db2.gz HGLVOVVBBDIYMT-WCQYABFASA-N -1 1 337.424 -0.138 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)Cc1ccon1 ZINC000941886668 652941148 /nfs/dbraw/zinc/94/11/48/652941148.db2.gz QTHVJYFTUPCZQK-ZYHUDNBSSA-N -1 1 334.380 -0.334 20 0 EBADMM CCn1ccc(CN[C@@H]2CN(C(=O)Cn3c(=O)[n-][nH]c3=O)C[C@H]2C)n1 ZINC000939627672 653013051 /nfs/dbraw/zinc/01/30/51/653013051.db2.gz UVFKTBQTBWABKR-ZYHUDNBSSA-N -1 1 349.395 -0.458 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)cnn1 ZINC000942001018 653017049 /nfs/dbraw/zinc/01/70/49/653017049.db2.gz POBLLWGNQGKWLC-MFKMUULPSA-N -1 1 345.407 -0.153 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)Cc1cncnc1 ZINC000942004777 653018008 /nfs/dbraw/zinc/01/80/08/653018008.db2.gz LBISMOYKBDEZCT-YPMHNXCESA-N -1 1 345.407 -0.532 20 0 EBADMM CCn1nncc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC000942023232 653023065 /nfs/dbraw/zinc/02/30/65/653023065.db2.gz XQMGYZNPDZDBMB-MNOVXSKESA-N -1 1 348.411 -0.640 20 0 EBADMM Cc1cn(C)nc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC000942047666 653026627 /nfs/dbraw/zinc/02/66/27/653026627.db2.gz ZPJYBPRJGIJVOS-PWSUYJOCSA-N -1 1 347.423 -0.209 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC000939714279 653031355 /nfs/dbraw/zinc/03/13/55/653031355.db2.gz MMFDAUCLFULRIF-AXFHLTTASA-N -1 1 332.360 -0.419 20 0 EBADMM C[C@@H]1CN(C(=O)CCc2cnn(C)c2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939810589 653052922 /nfs/dbraw/zinc/05/29/22/653052922.db2.gz OACYYBWCYGHMBZ-ZYHUDNBSSA-N -1 1 333.396 -0.187 20 0 EBADMM O=C(CO[C@H]1CCOC1)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940130558 653084640 /nfs/dbraw/zinc/08/46/40/653084640.db2.gz RLGCDRBTBUQJQN-NEPJUHHUSA-N -1 1 335.360 -0.077 20 0 EBADMM Cn1oc(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)cc1=O ZINC000940131800 653084973 /nfs/dbraw/zinc/08/49/73/653084973.db2.gz KTGNJLSLGPEGSL-SECBINFHSA-N -1 1 332.316 -0.277 20 0 EBADMM O=C(C[C@@H]1CCNC1=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940139272 653085478 /nfs/dbraw/zinc/08/54/78/653085478.db2.gz YSVKSCJBUFMVDY-WDEREUQCSA-N -1 1 332.360 -0.356 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@]1(C)CCNC1=O ZINC000940254083 653108463 /nfs/dbraw/zinc/10/84/63/653108463.db2.gz DUZJBFKPOUIHGT-CZIZLABSSA-N -1 1 346.387 -0.110 20 0 EBADMM CC(=O)NC[C@H]1CCCC[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000946407742 653108839 /nfs/dbraw/zinc/10/88/39/653108839.db2.gz RZHIVWAQYGWYDK-MNOVXSKESA-N -1 1 332.364 -0.158 20 0 EBADMM Cn1ncc(C(=O)NC2CCN(C(=O)c3ncccc3[O-])CC2)n1 ZINC000946533535 653144513 /nfs/dbraw/zinc/14/45/13/653144513.db2.gz WXHBXCOYRAQHFS-UHFFFAOYSA-N -1 1 330.348 -0.050 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)Cc1cnoc1 ZINC000942569244 653151674 /nfs/dbraw/zinc/15/16/74/653151674.db2.gz HWXATVAPLLDVQC-CMPLNLGQSA-N -1 1 334.380 -0.334 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)Cc1cnoc1 ZINC000942569247 653152356 /nfs/dbraw/zinc/15/23/56/653152356.db2.gz HWXATVAPLLDVQC-ZYHUDNBSSA-N -1 1 334.380 -0.334 20 0 EBADMM Cc1nn[nH]c1C(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC000944698994 653165872 /nfs/dbraw/zinc/16/58/72/653165872.db2.gz NTAHTKCENWJMAA-SNVBAGLBSA-N -1 1 346.347 -0.515 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@H]2COC(=O)N2)C1 ZINC000942758000 653197734 /nfs/dbraw/zinc/19/77/34/653197734.db2.gz KRVXPXOJHFXZAJ-GHMZBOCLSA-N -1 1 348.359 -0.041 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)Cn2cnnn2)C1 ZINC000942759661 653197984 /nfs/dbraw/zinc/19/79/84/653197984.db2.gz ZFRPTGPFEWQGKA-NSHDSACASA-N -1 1 345.363 -0.463 20 0 EBADMM CCCN1C[C@@H](C(=O)N2CCC(NC(=O)c3cnn[nH]3)CC2)CC1=O ZINC000946766373 653212459 /nfs/dbraw/zinc/21/24/59/653212459.db2.gz SGAGFIWJAQDYFI-NSHDSACASA-N -1 1 348.407 -0.216 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@@H]3CCOC3)C(C)(C)C2)nc1=O ZINC000940611378 653243988 /nfs/dbraw/zinc/24/39/88/653243988.db2.gz YBCOSYMRYLAZPM-NEPJUHHUSA-N -1 1 337.424 -0.138 20 0 EBADMM CC1(C(=O)N2CCC(NC(=O)c3cc(=O)n4[n-]cnc4n3)CC2)CC1 ZINC000947008962 653290693 /nfs/dbraw/zinc/29/06/93/653290693.db2.gz URKRJTACKHWPJA-UHFFFAOYSA-N -1 1 344.375 -0.062 20 0 EBADMM CC(=O)N1CC2(C1)CCN(C(=O)c1cc(=O)n3[n-]cnc3n1)CC2 ZINC000944983902 653308870 /nfs/dbraw/zinc/30/88/70/653308870.db2.gz WXCMCPPEQAHDIF-UHFFFAOYSA-N -1 1 330.348 -0.498 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)Cc2cnn(C)c2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947111280 653316176 /nfs/dbraw/zinc/31/61/76/653316176.db2.gz CUSJTCFUZQSLNO-AAEUAGOBSA-N -1 1 347.423 -0.446 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H](NC(=O)c2cc[nH]c2)C1 ZINC000940924652 653331033 /nfs/dbraw/zinc/33/10/33/653331033.db2.gz VBMRDRAKRYDMPM-GFCCVEGCSA-N -1 1 345.359 -0.714 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2cn(C)cn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947199548 653333996 /nfs/dbraw/zinc/33/39/96/653333996.db2.gz DOGNALGNKLRERB-WDEREUQCSA-N -1 1 333.396 -0.375 20 0 EBADMM CCn1ccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)n1 ZINC000943303766 653343245 /nfs/dbraw/zinc/34/32/45/653343245.db2.gz JJLMOGJTGKDOAD-NEPJUHHUSA-N -1 1 347.423 -0.035 20 0 EBADMM CCn1ccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)n1 ZINC000943303768 653343372 /nfs/dbraw/zinc/34/33/72/653343372.db2.gz JJLMOGJTGKDOAD-RYUDHWBXSA-N -1 1 347.423 -0.035 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1NC(=O)Cn1cnnn1 ZINC000945288117 653391290 /nfs/dbraw/zinc/39/12/90/653391290.db2.gz JJBJXCYRMSGKNP-GHMZBOCLSA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1NC(=O)Cn1cnnn1 ZINC000945288123 653391611 /nfs/dbraw/zinc/39/16/11/653391611.db2.gz JJBJXCYRMSGKNP-WDEREUQCSA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2cn[nH]c(=O)c2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947420695 653397106 /nfs/dbraw/zinc/39/71/06/653397106.db2.gz GNNJBCTUPIUKNZ-MWLCHTKSSA-N -1 1 347.379 -0.613 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CCN1Cc1cnn(C)c1 ZINC000947505784 653419074 /nfs/dbraw/zinc/41/90/74/653419074.db2.gz FGBVWVNVJDHPAH-KOLCDFICSA-N -1 1 347.379 -0.201 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2cncn2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947512172 653420385 /nfs/dbraw/zinc/42/03/85/653420385.db2.gz UMTZRXVXOOXAJP-QWRGUYRKSA-N -1 1 333.396 -0.375 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@H]1CCCO1 ZINC000941121550 653421814 /nfs/dbraw/zinc/42/18/14/653421814.db2.gz JQEKRXBBJXQVAZ-RAIGVLPGSA-N -1 1 335.360 -0.078 20 0 EBADMM Cc1n[nH]cc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H](C)C1 ZINC000947593994 653435330 /nfs/dbraw/zinc/43/53/30/653435330.db2.gz SFMDFIAEJUVRRY-ONGXEEELSA-N -1 1 333.396 -0.077 20 0 EBADMM Cc1cnn(C)c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@H](C)C1 ZINC000947630443 653443394 /nfs/dbraw/zinc/44/33/94/653443394.db2.gz ZJEMFZSMGQGIFY-NEPJUHHUSA-N -1 1 347.423 -0.067 20 0 EBADMM Cc1cnn(C)c1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H](C)C1 ZINC000947630444 653443737 /nfs/dbraw/zinc/44/37/37/653443737.db2.gz ZJEMFZSMGQGIFY-NWDGAFQWSA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)Cc2cnoc2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947644011 653446610 /nfs/dbraw/zinc/44/66/10/653446610.db2.gz UGAJLDGKAFNSEG-JQWIXIFHSA-N -1 1 334.380 -0.192 20 0 EBADMM C[C@@H]1CN(CCF)CC[C@@H]1NC(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC000943690281 653449887 /nfs/dbraw/zinc/44/98/87/653449887.db2.gz RGKWUUPGHWQAMK-PWSUYJOCSA-N -1 1 336.371 -0.133 20 0 EBADMM Cc1ccn(CC(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H](C)C2)n1 ZINC000947730997 653475262 /nfs/dbraw/zinc/47/52/62/653475262.db2.gz HAZNOHBCZCOEIU-CHWSQXEVSA-N -1 1 347.423 -0.217 20 0 EBADMM C[C@@H]1CN(C(=O)C(N)=O)CC[C@H]1NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000945495085 653476552 /nfs/dbraw/zinc/47/65/52/653476552.db2.gz OPUBFRCPYJVSEK-LDYMZIIASA-N -1 1 347.375 -0.488 20 0 EBADMM Cc1ccnn1CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H](C)C1 ZINC000947761138 653479556 /nfs/dbraw/zinc/47/95/56/653479556.db2.gz GQMLKFVIIBQZKM-STQMWFEESA-N -1 1 347.423 -0.217 20 0 EBADMM O=C(NC[C@H]1CCCN1C(=O)[C@@H]1COCCO1)c1ncccc1[O-] ZINC000943879565 653485335 /nfs/dbraw/zinc/48/53/35/653485335.db2.gz XWBZVOOBKHYPEZ-YPMHNXCESA-N -1 1 335.360 -0.077 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2cnn(C)n2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947805268 653486625 /nfs/dbraw/zinc/48/66/25/653486625.db2.gz GPTHTHRTUSVPKW-ZJUUUORDSA-N -1 1 334.384 -0.980 20 0 EBADMM CC(=O)NC[C@@H]1[C@@H](C)CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000945786060 653505517 /nfs/dbraw/zinc/50/55/17/653505517.db2.gz NJZLLOVOSLBRLK-JOYOIKCWSA-N -1 1 332.364 -0.206 20 0 EBADMM CC(=O)NC[C@@H]1[C@H](C)CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000945786058 653505550 /nfs/dbraw/zinc/50/55/50/653505550.db2.gz NJZLLOVOSLBRLK-BXKDBHETSA-N -1 1 332.364 -0.206 20 0 EBADMM CC(=O)NC[C@@H]1[C@H](C)CCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000945789058 653505797 /nfs/dbraw/zinc/50/57/97/653505797.db2.gz OQOBBIXRTLGLSS-DGCLKSJQSA-N -1 1 336.392 -0.310 20 0 EBADMM O=C(Cn1ncnn1)N[C@@H]1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000946216111 653551338 /nfs/dbraw/zinc/55/13/38/653551338.db2.gz MYCONJHIUIADFX-WDEREUQCSA-N -1 1 345.363 -0.511 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1ncccn1 ZINC000946263715 653556911 /nfs/dbraw/zinc/55/69/11/653556911.db2.gz LDVQBZCYJYQAFQ-ZYHUDNBSSA-N -1 1 343.343 -0.153 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@H]1CCC(=O)N1 ZINC000948618787 653562765 /nfs/dbraw/zinc/56/27/65/653562765.db2.gz HYYMVVGXKLMGOD-GMTAPVOTSA-N -1 1 332.360 -0.358 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C[C@H]1CCNC1=O ZINC000948626579 653563832 /nfs/dbraw/zinc/56/38/32/653563832.db2.gz OECAHLZJWLPLQP-IJLUTSLNSA-N -1 1 346.387 -0.110 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1)C1CCCC1 ZINC000948627542 653563989 /nfs/dbraw/zinc/56/39/89/653563989.db2.gz LIRYLIYYNZEQDW-LLVKDONJSA-N -1 1 344.375 -0.062 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H]1CNC(=O)c1ccco1 ZINC000948704240 653567508 /nfs/dbraw/zinc/56/75/08/653567508.db2.gz ZXJBOAMIGGKXJI-NSHDSACASA-N -1 1 346.343 -0.449 20 0 EBADMM CC[C@@H]1OCCC[C@H]1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000948703916 653567715 /nfs/dbraw/zinc/56/77/15/653567715.db2.gz XCLIXZYZUBREJC-OLZOCXBDSA-N -1 1 337.424 -0.042 20 0 EBADMM CN(C(=O)c1c[n-]n2c1nccc2=O)[C@@H]1CCCN(CC(N)=O)CC1 ZINC000948837095 653575419 /nfs/dbraw/zinc/57/54/19/653575419.db2.gz WUVWTCHQPSWRDE-LLVKDONJSA-N -1 1 346.391 -0.566 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)[C@@H]3CCn4cncc4C3)CC2)nc1=O ZINC000948926763 653582578 /nfs/dbraw/zinc/58/25/78/653582578.db2.gz GTWFNCCZDXGQST-GFCCVEGCSA-N -1 1 345.407 -0.788 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)C(=O)Nc3ccccc3)CC2)nc1=O ZINC000949087403 653594105 /nfs/dbraw/zinc/59/41/05/653594105.db2.gz OLFVEOIPTROTGO-UHFFFAOYSA-N -1 1 344.375 -0.609 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1)c1cocn1 ZINC000949105296 653594886 /nfs/dbraw/zinc/59/48/86/653594886.db2.gz NKCRISLEEZSVHZ-QMMMGPOBSA-N -1 1 343.303 -0.950 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)[C@@H]3C[C@H]3C3CCOCC3)CC2)nc1=O ZINC000949261486 653851142 /nfs/dbraw/zinc/85/11/42/653851142.db2.gz VBNHDNGVJKZSGY-UONOGXRCSA-N -1 1 349.435 -0.185 20 0 EBADMM Cc1cc(CC(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n(C)n1 ZINC000949269018 653852181 /nfs/dbraw/zinc/85/21/81/653852181.db2.gz ARRKSDGAQVWGQC-UHFFFAOYSA-N -1 1 333.396 -0.963 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1)C1=CCCC1 ZINC000949373967 653875592 /nfs/dbraw/zinc/87/55/92/653875592.db2.gz SLLLDKBULCZQJK-NSHDSACASA-N -1 1 342.359 -0.141 20 0 EBADMM C[C@@H](CC(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1)c1cnn(C)c1 ZINC000949460184 653899656 /nfs/dbraw/zinc/89/96/56/653899656.db2.gz GHBCAPBXJNQWSE-LBPRGKRZSA-N -1 1 347.423 -0.320 20 0 EBADMM CC(C)n1cnc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c1 ZINC000949477079 653901562 /nfs/dbraw/zinc/90/15/62/653901562.db2.gz IUAWANMHRIFPSD-UHFFFAOYSA-N -1 1 333.396 -0.156 20 0 EBADMM CS(=O)(=O)CC(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949531313 653921286 /nfs/dbraw/zinc/92/12/86/653921286.db2.gz PJMNQVXFPRGINS-VIFPVBQESA-N -1 1 327.362 -0.838 20 0 EBADMM O=C(C[C@H]1CCC(=O)N1)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949534673 653922286 /nfs/dbraw/zinc/92/22/86/653922286.db2.gz CPRKADMZUDPLMM-GHMZBOCLSA-N -1 1 332.360 -0.213 20 0 EBADMM O=C(CN1CN=NC1=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949535461 653922399 /nfs/dbraw/zinc/92/23/99/653922399.db2.gz VHAJETPIBPVUHG-SECBINFHSA-N -1 1 332.320 -0.885 20 0 EBADMM CN1CC[C@H](CC(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])C1=O ZINC000949539048 653923815 /nfs/dbraw/zinc/92/38/15/653923815.db2.gz WXKBYZHNCDEYSS-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM CN1C[C@H](C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])CCC1=O ZINC000949541289 653924011 /nfs/dbraw/zinc/92/40/11/653924011.db2.gz DPEWCUPISMSVRY-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@@]1(C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])CNC(=O)C1 ZINC000949541824 653924282 /nfs/dbraw/zinc/92/42/82/653924282.db2.gz QBVGVVYFVVLXHQ-QFYYESIMSA-N -1 1 332.360 -0.356 20 0 EBADMM Cc1cc(C)c(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c(=O)[nH]1 ZINC000949545172 653925369 /nfs/dbraw/zinc/92/53/69/653925369.db2.gz BUYWMOGRHIXHHG-UHFFFAOYSA-N -1 1 346.391 -0.216 20 0 EBADMM CO[C@H](C)C(=O)N1CCC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000950164096 654057534 /nfs/dbraw/zinc/05/75/34/654057534.db2.gz RRZNTBUVDGGSQN-NXEZZACHSA-N -1 1 348.363 -0.827 20 0 EBADMM CS(=O)(=O)CC(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000950176822 654060986 /nfs/dbraw/zinc/06/09/86/654060986.db2.gz JPXOUOJSEVHHRJ-JTQLQIEISA-N -1 1 341.389 -0.447 20 0 EBADMM CN1CCO[C@H](C(=O)N2CCC[C@@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000950288253 654096725 /nfs/dbraw/zinc/09/67/25/654096725.db2.gz RMFKBKKQVQSDLL-OCCSQVGLSA-N -1 1 348.403 -0.161 20 0 EBADMM CN1C[C@H](C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])NC1=O ZINC000951471609 654154094 /nfs/dbraw/zinc/15/40/94/654154094.db2.gz ZHIPZFJVDYUNMO-NXEZZACHSA-N -1 1 333.348 -0.859 20 0 EBADMM CN1C[C@@H](C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])NC1=O ZINC000951471610 654154160 /nfs/dbraw/zinc/15/41/60/654154160.db2.gz ZHIPZFJVDYUNMO-UWVGGRQHSA-N -1 1 333.348 -0.859 20 0 EBADMM CN1C[C@@H](C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])NC1=O ZINC000951471612 654154196 /nfs/dbraw/zinc/15/41/96/654154196.db2.gz ZHIPZFJVDYUNMO-ZJUUUORDSA-N -1 1 333.348 -0.859 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H]1CNC(=O)[C@@H]1C[C@H]1C ZINC000951479571 654157443 /nfs/dbraw/zinc/15/74/43/654157443.db2.gz IPRYLAOPVRPBOH-HRDYMLBCSA-N -1 1 336.348 -0.117 20 0 EBADMM O=C(CN1CCOCC1)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950542648 654173116 /nfs/dbraw/zinc/17/31/16/654173116.db2.gz GIUDLGWTVLOGMN-ZDUSSCGKSA-N -1 1 348.403 -0.160 20 0 EBADMM O=C(N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1)[C@H]1CCNC1=O ZINC000950546644 654174884 /nfs/dbraw/zinc/17/48/84/654174884.db2.gz SAFWDBDVNRSMDZ-MNOVXSKESA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(N[C@@H]1CCCN(C(=O)[C@@H]2CCNC2=O)C1)c1ncccc1[O-] ZINC000951534722 654179126 /nfs/dbraw/zinc/17/91/26/654179126.db2.gz PGODSDCWYMNSJT-GHMZBOCLSA-N -1 1 332.360 -0.356 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CCC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000951558741 654191261 /nfs/dbraw/zinc/19/12/61/654191261.db2.gz RNYIHRBDZWXIBE-OUAUKWLOSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NC[C@@H]1CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000951600752 654207694 /nfs/dbraw/zinc/20/76/94/654207694.db2.gz SJRJXPXXTMSHBI-SRVKXCTJSA-N -1 1 334.376 -0.700 20 0 EBADMM O=C(N[C@@H]1CCCN(C(=O)[C@H]2COC(=O)N2)C1)c1ncccc1[O-] ZINC000950656944 654212615 /nfs/dbraw/zinc/21/26/15/654212615.db2.gz PCMRATBZDOKZOH-NXEZZACHSA-N -1 1 334.332 -0.384 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1ncccc1[O-])c1cnc[nH]c1=O ZINC000950699553 654225238 /nfs/dbraw/zinc/22/52/38/654225238.db2.gz HAZUEZIEDKUVEE-SECBINFHSA-N -1 1 329.316 -0.073 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3ccc4nncn4c3)CC2)nc1=O ZINC000951727473 654259212 /nfs/dbraw/zinc/25/92/12/654259212.db2.gz QBLREDVVKMUGIG-UHFFFAOYSA-N -1 1 342.363 -0.891 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cccn4ccnc34)CC2)nc1=O ZINC000951731100 654261880 /nfs/dbraw/zinc/26/18/80/654261880.db2.gz QBMLMQVUCALYDQ-UHFFFAOYSA-N -1 1 341.375 -0.286 20 0 EBADMM CCN(C(=O)[C@@H]1CCO[C@H]1C1CC1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950945697 654320078 /nfs/dbraw/zinc/32/00/78/654320078.db2.gz ZEMTZWDDWLMNLQ-HIFRSBDPSA-N -1 1 349.435 -0.044 20 0 EBADMM CCN(C(=O)c1c(C)nn(C)c1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000951017160 654352541 /nfs/dbraw/zinc/35/25/41/654352541.db2.gz KNAXRRWXQLLEGE-UHFFFAOYSA-N -1 1 347.423 -0.195 20 0 EBADMM CN1C[C@H](C(=O)N2CCC[C@H](NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000951070796 654369697 /nfs/dbraw/zinc/36/96/97/654369697.db2.gz VCINIKVKJYXSDF-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM CCN(C(=O)c1csc(=O)[nH]1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000951212511 654418332 /nfs/dbraw/zinc/41/83/32/654418332.db2.gz KRGYFVQBXVAWDS-UHFFFAOYSA-N -1 1 338.393 -0.383 20 0 EBADMM CC[C@H](C)C(=O)N1CC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951221834 654422174 /nfs/dbraw/zinc/42/21/74/654422174.db2.gz ALAVUMFBOOYHSC-UWVGGRQHSA-N -1 1 332.364 -0.206 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCN1C(=O)[C@@H](C)OC ZINC000951221853 654422982 /nfs/dbraw/zinc/42/29/82/654422982.db2.gz ATFJPRJTUJCKCB-SFYZADRCSA-N -1 1 340.336 -0.738 20 0 EBADMM CC(C)(F)C(=O)N1CC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951221953 654423040 /nfs/dbraw/zinc/42/30/40/654423040.db2.gz CHFXDSRRMDWIJT-MRVPVSSYSA-N -1 1 336.327 -0.504 20 0 EBADMM CC[C@@H](C)C(=O)N1CC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951221836 654423103 /nfs/dbraw/zinc/42/31/03/654423103.db2.gz ALAVUMFBOOYHSC-ZJUUUORDSA-N -1 1 332.364 -0.206 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCN1C(=O)c1ccc[nH]1 ZINC000951222277 654424251 /nfs/dbraw/zinc/42/42/51/654424251.db2.gz JBAQIYUCJWAAGT-MRVPVSSYSA-N -1 1 347.331 -0.131 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)NC[C@@H]1CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000952202093 654424393 /nfs/dbraw/zinc/42/43/93/654424393.db2.gz DWSXXCKPIBVGHG-FRRDWIJNSA-N -1 1 348.403 -0.310 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCN1C(=O)C(C)(F)F ZINC000951222997 654424897 /nfs/dbraw/zinc/42/48/97/654424897.db2.gz WSTXYIIJHQOYTI-LURJTMIESA-N -1 1 346.290 -0.118 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1cc[nH]c1)c1cc(=O)n2[n-]cnc2n1 ZINC000951224341 654425443 /nfs/dbraw/zinc/42/54/43/654425443.db2.gz CIHUADXQASYVPK-JTQLQIEISA-N -1 1 341.331 -0.610 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)N1CC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951224803 654425708 /nfs/dbraw/zinc/42/57/08/654425708.db2.gz NXPMCKRXAJNXNN-GMTAPVOTSA-N -1 1 344.375 -0.206 20 0 EBADMM C/C=C(/C)C(=O)N1CC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951224846 654426056 /nfs/dbraw/zinc/42/60/56/654426056.db2.gz OYMYHOBNIOSFPD-KSXVILFYSA-N -1 1 330.348 -0.286 20 0 EBADMM CC1CC(C(=O)N2CC[C@H]2CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000951225091 654426081 /nfs/dbraw/zinc/42/60/81/654426081.db2.gz VISNQHNRKDGNJP-ILDUYXDCSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)C(F)F)c1cc(=O)n2[n-]cnc2n1 ZINC000951225315 654426317 /nfs/dbraw/zinc/42/63/17/654426317.db2.gz ZBHDPXHTFNQRIH-LURJTMIESA-N -1 1 326.263 -0.987 20 0 EBADMM CC(=O)N1C[C@H]2CCC[C@@H](C1)N2C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000952623890 654485545 /nfs/dbraw/zinc/48/55/45/654485545.db2.gz AYPOCXINPVSAAQ-PHIMTYICSA-N -1 1 330.348 -0.357 20 0 EBADMM NC(=O)CC(=O)N1CC[C@@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000953134773 654527900 /nfs/dbraw/zinc/52/79/00/654527900.db2.gz YJSNAKGXVHWXCY-MRXNPFEDSA-N -1 1 332.360 -0.273 20 0 EBADMM CC1CC(C(=O)N(C)C2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)C1 ZINC000954013890 654669241 /nfs/dbraw/zinc/66/92/41/654669241.db2.gz BWNLVNQUUBIKBW-UHFFFAOYSA-N -1 1 344.375 -0.254 20 0 EBADMM CN(C(=O)[C@H]1CC1(C)C)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000954079798 654682059 /nfs/dbraw/zinc/68/20/59/654682059.db2.gz HRFSFIATQGQLGX-SNVBAGLBSA-N -1 1 344.375 -0.254 20 0 EBADMM CN(C(=O)[C@@H]1CC1(C)C)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000954079797 654682328 /nfs/dbraw/zinc/68/23/28/654682328.db2.gz HRFSFIATQGQLGX-JTQLQIEISA-N -1 1 344.375 -0.254 20 0 EBADMM CN(C(=O)CN1CN=NC1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954108210 654687523 /nfs/dbraw/zinc/68/75/23/654687523.db2.gz QQYNWCVMKFRWHB-UHFFFAOYSA-N -1 1 332.320 -0.933 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[nH]2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000965940116 724544016 /nfs/dbraw/zinc/54/40/16/724544016.db2.gz CDZLNOKNXFIYDQ-MNOVXSKESA-N -1 1 346.391 -0.151 20 0 EBADMM Cc1nnc(CC(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)o1 ZINC000965989893 724556019 /nfs/dbraw/zinc/55/60/19/724556019.db2.gz KCXAHBODIINNLT-ONGXEEELSA-N -1 1 349.395 -0.631 20 0 EBADMM CCn1ncc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)n1 ZINC000965997300 724557311 /nfs/dbraw/zinc/55/73/11/724557311.db2.gz YGOOHSFJGSNDRH-ZYHUDNBSSA-N -1 1 348.411 -0.640 20 0 EBADMM C[C@H]1CN(C(=O)C(F)F)C[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000966025853 724560339 /nfs/dbraw/zinc/56/03/39/724560339.db2.gz WFKXQQPOXUZWTA-XPUUQOCRSA-N -1 1 340.290 -0.741 20 0 EBADMM Cc1cn(C)nc1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1C ZINC000966073087 724564776 /nfs/dbraw/zinc/56/47/76/724564776.db2.gz FSFMDHDYLWJQLQ-JQWIXIFHSA-N -1 1 347.423 -0.209 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cc1ccn(C)n1 ZINC000966077548 724565039 /nfs/dbraw/zinc/56/50/39/724565039.db2.gz PMPHCKPCCCFTQU-DGCLKSJQSA-N -1 1 347.423 -0.589 20 0 EBADMM CC(C)C(=O)N1C[C@@H](C)[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000966172794 724581871 /nfs/dbraw/zinc/58/18/71/724581871.db2.gz FUBLSUIPQHUXJZ-KOLCDFICSA-N -1 1 332.364 -0.350 20 0 EBADMM Cc1nc(S(=O)(=O)[N-][C@@H]2CNC(=O)C2)c(Br)n1C ZINC000381203546 719413524 /nfs/dbraw/zinc/41/35/24/719413524.db2.gz QKOSPBJXCMOXIJ-LURJTMIESA-N -1 1 337.199 -0.342 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)CO[C@@H]2CCOC2)C1 ZINC000954125293 719569932 /nfs/dbraw/zinc/56/99/32/719569932.db2.gz JQKQXTUEGLKOJH-GFCCVEGCSA-N -1 1 335.360 -0.125 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)C[C@H]2CCNC2=O)C1 ZINC000954125645 719570273 /nfs/dbraw/zinc/57/02/73/719570273.db2.gz WQZVKAGAYPEKGO-SNVBAGLBSA-N -1 1 332.360 -0.404 20 0 EBADMM C[C@@H]1C[C@H](C(=O)N2CC(N(C)C(=O)c3ncccc3[O-])C2)CC(=O)N1 ZINC000954127731 719570661 /nfs/dbraw/zinc/57/06/61/719570661.db2.gz DYSCVXMUUGJIPM-MNOVXSKESA-N -1 1 346.387 -0.015 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CN(C(=O)Cc3ccn[nH]3)C[C@H]2C)c1[O-] ZINC000966263635 724601391 /nfs/dbraw/zinc/60/13/91/724601391.db2.gz SSXUIYOOSNOBKR-KCJUWKMLSA-N -1 1 332.364 -0.034 20 0 EBADMM CN(C(=O)Cn1ccccc1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954254988 719641241 /nfs/dbraw/zinc/64/12/41/719641241.db2.gz ZPRXARIMGMACQK-UHFFFAOYSA-N -1 1 342.355 -0.068 20 0 EBADMM CN(C(=O)c1cn[nH]c(=O)c1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954524029 719762275 /nfs/dbraw/zinc/76/22/75/719762275.db2.gz VBDZUXCECAXURQ-UHFFFAOYSA-N -1 1 329.316 -0.121 20 0 EBADMM CN(C(=O)[C@]1(C)CNC(=O)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954807229 719915762 /nfs/dbraw/zinc/91/57/62/719915762.db2.gz ZNLQKTULXHZPSF-INIZCTEOSA-N -1 1 332.360 -0.404 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CC(N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000954831842 719925671 /nfs/dbraw/zinc/92/56/71/719925671.db2.gz MACNMYKBIJVNEL-PSASIEDQSA-N -1 1 330.348 -0.644 20 0 EBADMM C[C@H]1C[C@@H]1CC(=O)N(C)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000955215113 720094238 /nfs/dbraw/zinc/09/42/38/720094238.db2.gz FUJHEMFUFXGHNW-VHSXEESVSA-N -1 1 344.375 -0.254 20 0 EBADMM C[C@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@@H]1NC(=O)C(N)=O ZINC000966447558 724649303 /nfs/dbraw/zinc/64/93/03/724649303.db2.gz ZDVPVQSTSLWNSR-XVKPBYJWSA-N -1 1 333.348 -0.878 20 0 EBADMM C[C@H]1CN(C(=O)C2(C)CC2)C[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000966489797 724662075 /nfs/dbraw/zinc/66/20/75/724662075.db2.gz KEQFDAWEZIFETA-ONGXEEELSA-N -1 1 344.375 -0.206 20 0 EBADMM CCC(=O)N[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)[C@H](C)C1 ZINC000955736816 720240556 /nfs/dbraw/zinc/24/05/56/720240556.db2.gz AFXHZSCPULMHAW-VXGBXAGGSA-N -1 1 336.392 -0.168 20 0 EBADMM O=C(CC1CC1)N1CCC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000955850740 720280285 /nfs/dbraw/zinc/28/02/85/720280285.db2.gz GFLNYAVQFCRHPC-NSHDSACASA-N -1 1 344.375 -0.062 20 0 EBADMM CC(=O)N1CC(C(=O)NC[C@@H]2CCCN2C(=O)c2ncccc2[O-])C1 ZINC000955979670 720315768 /nfs/dbraw/zinc/31/57/68/720315768.db2.gz RKPQJSKLFHOPLQ-ZDUSSCGKSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(Cn1nccn1)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000956031357 720336138 /nfs/dbraw/zinc/33/61/38/720336138.db2.gz ROSIYOWHHNJVMJ-NSHDSACASA-N -1 1 330.348 -0.200 20 0 EBADMM O=C(NC[C@@H]1CCCN1C(=O)c1c[nH]c(=O)cn1)c1ncccc1[O-] ZINC000956182605 720390723 /nfs/dbraw/zinc/39/07/23/720390723.db2.gz QZBRNYCPHOHHNK-JTQLQIEISA-N -1 1 343.343 -0.095 20 0 EBADMM CC1(NC(=O)C2CC2)CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000956253524 720406879 /nfs/dbraw/zinc/40/68/79/720406879.db2.gz IZDZGZRPQPYXHC-UHFFFAOYSA-N -1 1 348.403 -0.166 20 0 EBADMM C[C@]1(NC(=O)[C@@H]2COCCO2)CCN(C(=O)c2ncccc2[O-])C1 ZINC000956717286 720477422 /nfs/dbraw/zinc/47/74/22/720477422.db2.gz IFHDYUOPVMDZAL-LRDDRELGSA-N -1 1 335.360 -0.077 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1C ZINC000966885231 724775351 /nfs/dbraw/zinc/77/53/51/724775351.db2.gz YZHKHZCHBGRQEB-WCBMZHEXSA-N -1 1 349.395 -0.514 20 0 EBADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)Cn2ncnn2)C1 ZINC000956850338 722119516 /nfs/dbraw/zinc/11/95/16/722119516.db2.gz KOMVCTWKJFTYTD-CQSZACIVSA-N -1 1 331.336 -0.805 20 0 EBADMM CC[C@@H]1C[C@H](C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)CCO1 ZINC000957106947 722158327 /nfs/dbraw/zinc/15/83/27/722158327.db2.gz IDNNGLYXBVAQRH-CHWSQXEVSA-N -1 1 337.424 -0.042 20 0 EBADMM CO[C@@H](C)C(=O)N1CC[C@@](C)(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000957219378 722176239 /nfs/dbraw/zinc/17/62/39/722176239.db2.gz CZAXCOCDFGWJFE-BJOHPYRUSA-N -1 1 348.363 -0.827 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCN(Cc2cccnc2)CC1 ZINC000957314733 722193217 /nfs/dbraw/zinc/19/32/17/722193217.db2.gz MZLKERGMCRTXHX-UHFFFAOYSA-N -1 1 339.359 -0.229 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCN(Cc2cscn2)CC1 ZINC000957319673 722195239 /nfs/dbraw/zinc/19/52/39/722195239.db2.gz XXDRTUYGMFYUAS-UHFFFAOYSA-N -1 1 345.388 -0.168 20 0 EBADMM CN1CC[C@H](C(=O)N[C@]2(C)CCN(C(=O)c3ncccc3[O-])C2)C1=O ZINC000957354936 722201192 /nfs/dbraw/zinc/20/11/92/722201192.db2.gz VQLMFZJBWWQHSQ-PIGZYNQJSA-N -1 1 346.387 -0.014 20 0 EBADMM Cc1nn(C)c(F)c1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000957491029 722217095 /nfs/dbraw/zinc/21/70/95/722217095.db2.gz MIZSVLRDOXSSJH-UHFFFAOYSA-N -1 1 337.359 -0.753 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2CCNC2=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000957610062 722226637 /nfs/dbraw/zinc/22/66/37/722226637.db2.gz DCZZKVKHBMWOQD-OUAUKWLOSA-N -1 1 332.360 -0.500 20 0 EBADMM Cn1[n-]c(CN2C[C@H](O)[C@H](CNC(=O)C3CCCCC3)C2)nc1=O ZINC000957822917 722245280 /nfs/dbraw/zinc/24/52/80/722245280.db2.gz ZRUXPJQKDJOXAJ-OLZOCXBDSA-N -1 1 337.424 -0.402 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](CNC(=O)c3cccs3)[C@H](O)C2)nc1=O ZINC000957839334 722248204 /nfs/dbraw/zinc/24/82/04/722248204.db2.gz XTPZNVDNYVXKCL-NXEZZACHSA-N -1 1 337.405 -0.607 20 0 EBADMM Cc1occc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC000957864066 722254261 /nfs/dbraw/zinc/25/42/61/722254261.db2.gz OUKMVJHDCUDMDG-CMPLNLGQSA-N -1 1 335.364 -0.767 20 0 EBADMM Cc1occc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC000957864067 722254303 /nfs/dbraw/zinc/25/43/03/722254303.db2.gz OUKMVJHDCUDMDG-JQWIXIFHSA-N -1 1 335.364 -0.767 20 0 EBADMM Cn1[n-]c(CN2C[C@H](O)[C@@H](CNC(=O)c3ccccc3O)C2)nc1=O ZINC000957887132 722258470 /nfs/dbraw/zinc/25/84/70/722258470.db2.gz SMEAULTWUPVIAY-GWCFXTLKSA-N -1 1 347.375 -0.963 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)[C@H]3COc4ccccc43)CC2)nc1=O ZINC000957903306 722263626 /nfs/dbraw/zinc/26/36/26/722263626.db2.gz WUFRTZMISAHZJJ-ZDUSSCGKSA-N -1 1 343.387 -0.071 20 0 EBADMM O=C(CN1C[C@H](O)[C@H](CNC(=O)c2ncccc2[O-])C1)NC1CC1 ZINC000957995102 722285205 /nfs/dbraw/zinc/28/52/05/722285205.db2.gz KWOHUOTYIGUWPT-MFKMUULPSA-N -1 1 334.376 -0.912 20 0 EBADMM Cc1coc(C)c1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC000958042475 722295993 /nfs/dbraw/zinc/29/59/93/722295993.db2.gz VKYJKMBETCKPMD-RYUDHWBXSA-N -1 1 349.391 -0.459 20 0 EBADMM CCc1ccc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)o1 ZINC000958186656 722321029 /nfs/dbraw/zinc/32/10/29/722321029.db2.gz FHMSUUQKUQKQLL-JQWIXIFHSA-N -1 1 349.391 -0.514 20 0 EBADMM Cc1cocc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC000958317331 722344205 /nfs/dbraw/zinc/34/42/05/722344205.db2.gz WCWBDUOOEQUJDQ-ZYHUDNBSSA-N -1 1 335.364 -0.767 20 0 EBADMM Cn1[n-]c(CN2C[C@H](O)[C@H](CNC(=O)C3CC4(CC4)C3)C2)nc1=O ZINC000958400474 722360660 /nfs/dbraw/zinc/36/06/60/722360660.db2.gz VGKKMMANJSHAFA-NEPJUHHUSA-N -1 1 335.408 -0.793 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CC2CCOCC2)nc1=O ZINC000958436560 722365710 /nfs/dbraw/zinc/36/57/10/722365710.db2.gz RJVIREJLEGOWIY-JYAVWHMHSA-N -1 1 335.408 -0.529 20 0 EBADMM Cn1[n-]c(CN2C[C@H](O)[C@H](CNC(=O)C3CCC=CCC3)C2)nc1=O ZINC000958682717 722416865 /nfs/dbraw/zinc/41/68/65/722416865.db2.gz BWDHFPSYGFBXTJ-KGLIPLIRSA-N -1 1 349.435 -0.236 20 0 EBADMM CCc1[nH]ccc1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000958771659 722430635 /nfs/dbraw/zinc/43/06/35/722430635.db2.gz XOJHCSQEMPBOLS-YABSGUDNSA-N -1 1 330.392 -0.141 20 0 EBADMM CN(C(=O)[C@]1(C)CCOC1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958940277 722666316 /nfs/dbraw/zinc/66/63/16/722666316.db2.gz IYNHFTOQVFAQQY-PLQHRBFRSA-N -1 1 349.387 -0.143 20 0 EBADMM C[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)[C@H]1CCN(C(=O)C2CC2)C1 ZINC000958992964 722681904 /nfs/dbraw/zinc/68/19/04/722681904.db2.gz DIXCBNKFFAJKJW-ONGXEEELSA-N -1 1 344.375 -0.206 20 0 EBADMM COCC(=O)N1CC[C@H]([C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000959007709 722681930 /nfs/dbraw/zinc/68/19/30/722681930.db2.gz KNQWPENQDCFGNB-UWVGGRQHSA-N -1 1 348.363 -0.969 20 0 EBADMM C[C@@H](NC(=O)C1CC1)[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000959214016 722698989 /nfs/dbraw/zinc/69/89/89/722698989.db2.gz OZTLDHOPHTZYTL-MWLCHTKSSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)[C@@H]1CCN(C(=O)C(N)=O)C1 ZINC000959268419 722707205 /nfs/dbraw/zinc/70/72/05/722707205.db2.gz QSUGRTIIFMGSQS-WCBMZHEXSA-N -1 1 347.375 -0.488 20 0 EBADMM CCC(=O)N1C[C@@H](C)[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000959299978 722710467 /nfs/dbraw/zinc/71/04/67/722710467.db2.gz ZNCVQICOYWKJTH-ZJUUUORDSA-N -1 1 332.364 -0.348 20 0 EBADMM O=C(c1ncccc1[O-])N1CC2(C1)CCN(C(=O)[C@@H]1CCC(=O)N1)C2 ZINC001018962269 728756598 /nfs/dbraw/zinc/75/65/98/728756598.db2.gz NGYUJGXOPCBQJQ-NSHDSACASA-N -1 1 344.371 -0.260 20 0 EBADMM Cn1nnc(C(=O)N2CCC3(CN(C(=O)c4ncccc4[O-])C3)C2)n1 ZINC001018962605 728757138 /nfs/dbraw/zinc/75/71/38/728757138.db2.gz VABCLPJDBVRRRP-UHFFFAOYSA-N -1 1 343.347 -0.701 20 0 EBADMM C[C@H]1CN(C(=O)C2(C(N)=O)CC2)C[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000959570268 722744375 /nfs/dbraw/zinc/74/43/75/722744375.db2.gz KPRSRGLBAUXRBW-QWRGUYRKSA-N -1 1 346.387 -0.123 20 0 EBADMM C[C@H]1CN(C(=O)C(N)=O)C[C@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000959572744 722745621 /nfs/dbraw/zinc/74/56/21/722745621.db2.gz VUZAMMVNHUQDRS-WCBMZHEXSA-N -1 1 347.375 -0.631 20 0 EBADMM O=C(C[C@H]1COC(=O)C1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959957411 722777698 /nfs/dbraw/zinc/77/76/98/722777698.db2.gz QTHLEVLQMPIRKR-MNOVXSKESA-N -1 1 337.380 -0.066 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@H]2OCCN(C(=O)c3cn[nH]c3)[C@H]2C1 ZINC000960064642 722787212 /nfs/dbraw/zinc/78/72/12/722787212.db2.gz FGBSCVARJZBZJA-WCQYABFASA-N -1 1 343.343 -0.124 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@H]2OCCN(C(=O)[C@@H]3CCOC3)[C@H]2C1 ZINC000960112586 722794773 /nfs/dbraw/zinc/79/47/73/722794773.db2.gz TUCAJOCOEURNRF-MBNYWOFBSA-N -1 1 347.371 -0.125 20 0 EBADMM O=C(Cn1ccccc1=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000960210912 722806887 /nfs/dbraw/zinc/80/68/87/722806887.db2.gz NMUWEZDRGLXUCY-LBPRGKRZSA-N -1 1 346.391 -0.157 20 0 EBADMM O=C(c1nc[nH]n1)N1C[C@H]2OCCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC000960276846 722813221 /nfs/dbraw/zinc/81/32/21/722813221.db2.gz KJJHBJJFNCDNSY-GXSJLCMTSA-N -1 1 344.331 -0.729 20 0 EBADMM O=C(N[C@@H]1Cc2ccccc2[C@H]1NCc1n[nH]c(=O)[n-]1)c1cnn[nH]1 ZINC000960281634 722813761 /nfs/dbraw/zinc/81/37/61/722813761.db2.gz OWYZNSWSNVDNSZ-ZWNOBZJWSA-N -1 1 340.347 -0.186 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@H]2OCCN(C(=O)c3ncc[nH]3)[C@H]2C1 ZINC000960343401 722821513 /nfs/dbraw/zinc/82/15/13/722821513.db2.gz PWHIZZNJASJDSK-CMPLNLGQSA-N -1 1 343.343 -0.124 20 0 EBADMM Cc1cnc(C(=O)N2CCC[C@H]2CN(C)Cc2nc(=O)n(C)[n-]2)cn1 ZINC000960376192 722823862 /nfs/dbraw/zinc/82/38/62/722823862.db2.gz DSLRUSAIZLWVLU-LBPRGKRZSA-N -1 1 345.407 -0.057 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)c1cnn(C)c1 ZINC000960404530 722825922 /nfs/dbraw/zinc/82/59/22/722825922.db2.gz AFKZUTUVYYKBOF-GFCCVEGCSA-N -1 1 333.396 -0.422 20 0 EBADMM Cc1[nH]nc(C(=O)N2CCC[C@H]2CN(C)[C@H]2CCC(=O)NC2=O)c1[O-] ZINC000960551108 722838284 /nfs/dbraw/zinc/83/82/84/722838284.db2.gz AQBYXRHQKZUBOX-QWRGUYRKSA-N -1 1 349.391 -0.235 20 0 EBADMM Cc1cc(C(=O)N2CCC[C@@H]2CN(C)Cc2nc(=O)n(C)[n-]2)cnn1 ZINC000960583605 722841228 /nfs/dbraw/zinc/84/12/28/722841228.db2.gz XNXZQNYWNIFRCZ-CYBMUJFWSA-N -1 1 345.407 -0.057 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)CC1(O)CCC1 ZINC000960614432 722844824 /nfs/dbraw/zinc/84/48/24/722844824.db2.gz RQVDHZPHCGNJOZ-LBPRGKRZSA-N -1 1 337.424 -0.164 20 0 EBADMM Cc1nnc(CC(=O)N2CCC[C@H]2CN(C)Cc2nc(=O)n(C)[n-]2)[nH]1 ZINC000960614681 722844921 /nfs/dbraw/zinc/84/49/21/722844921.db2.gz BAIFTHDZOJVQKG-NSHDSACASA-N -1 1 348.411 -0.800 20 0 EBADMM Cc1ccc(C(=O)N2CCC[C@@H]2CN(C)Cc2nc(=O)n(C)[n-]2)nn1 ZINC000960618102 722845539 /nfs/dbraw/zinc/84/55/39/722845539.db2.gz OFXOWEOWARDPJI-GFCCVEGCSA-N -1 1 345.407 -0.057 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)c1csnn1 ZINC000960780167 722863754 /nfs/dbraw/zinc/86/37/54/722863754.db2.gz UXJGYBXYLQUWHY-SECBINFHSA-N -1 1 337.409 -0.304 20 0 EBADMM Cc1cnn(C)c1C(=O)N1CCC[C@@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC000960810067 722867518 /nfs/dbraw/zinc/86/75/18/722867518.db2.gz UIGSMWHNSOBTEC-GFCCVEGCSA-N -1 1 347.423 -0.113 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)c1cn(C)c(=O)[nH]1 ZINC000960834108 722870805 /nfs/dbraw/zinc/87/08/05/722870805.db2.gz SJGBXSSNGBUWHO-JTQLQIEISA-N -1 1 349.395 -0.716 20 0 EBADMM Cc1c[nH]c(C(=O)N2CCC[C@@H]2CN(C)Cc2nc(=O)n(C)[n-]2)n1 ZINC000960914921 722878728 /nfs/dbraw/zinc/87/87/28/722878728.db2.gz WGHBUBMMVTZUIC-LLVKDONJSA-N -1 1 333.396 -0.123 20 0 EBADMM Cc1nonc1CC(=O)N1CCC[C@@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC000960964013 722882512 /nfs/dbraw/zinc/88/25/12/722882512.db2.gz JKZZSINJBSSLOJ-LLVKDONJSA-N -1 1 349.395 -0.535 20 0 EBADMM Cc1nonc1C(=O)N1CCC[C@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC000961054119 722890990 /nfs/dbraw/zinc/89/09/90/722890990.db2.gz FVGPHFPTKNJSOL-JTQLQIEISA-N -1 1 335.368 -0.464 20 0 EBADMM Cc1cnc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)cc1C ZINC000961328830 723005663 /nfs/dbraw/zinc/00/56/63/723005663.db2.gz QJVACVASXMDDKL-JYAVWHMHSA-N -1 1 342.403 -0.020 20 0 EBADMM Cc1nocc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc([O-])n(C)c1=O ZINC000961463368 723022718 /nfs/dbraw/zinc/02/27/18/723022718.db2.gz BINDTOVPFKZAMA-ITGUQSILSA-N -1 1 345.359 -0.357 20 0 EBADMM Cc1cnn(C)c1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000961499328 723029276 /nfs/dbraw/zinc/02/92/76/723029276.db2.gz CGPJLNKHJKMYQY-IAZYJMLFSA-N -1 1 331.380 -0.989 20 0 EBADMM O=C(C[C@@H]1CCCO1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000961685298 723058243 /nfs/dbraw/zinc/05/82/43/723058243.db2.gz XHDMVUSEMSOGOG-LOWVWBTDSA-N -1 1 335.360 -0.342 20 0 EBADMM O=C([C@H]1CCn2ccnc2C1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019060747 728787013 /nfs/dbraw/zinc/78/70/13/728787013.db2.gz FAZZZEXKPJHZMX-QWRGUYRKSA-N -1 1 331.380 -0.340 20 0 EBADMM CCn1ccc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)n1 ZINC000961950860 723129363 /nfs/dbraw/zinc/12/93/63/723129363.db2.gz YMBASQKVCQRRSI-IWIIMEHWSA-N -1 1 331.380 -0.815 20 0 EBADMM Cc1nnsc1CN[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001019094826 728795258 /nfs/dbraw/zinc/79/52/58/728795258.db2.gz OATSVSBMJUCXNP-ZETCQYMHSA-N -1 1 337.365 -0.553 20 0 EBADMM Cc1nccnc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC000962406105 723242273 /nfs/dbraw/zinc/24/22/73/723242273.db2.gz NXWCDYHUOXNTNO-IWIIMEHWSA-N -1 1 345.363 -0.966 20 0 EBADMM Cc1nn(C)c(F)c1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000962426976 723248094 /nfs/dbraw/zinc/24/80/94/723248094.db2.gz PWUNIBUNORIFSL-GDGBQDQQSA-N -1 1 349.370 -0.850 20 0 EBADMM Cc1ncncc1C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962433963 723251126 /nfs/dbraw/zinc/25/11/26/723251126.db2.gz BNDLJHKZRKLHHL-YPMHNXCESA-N -1 1 343.343 -0.499 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962433930 723251308 /nfs/dbraw/zinc/25/13/08/723251308.db2.gz ARUBJCFUNVFZHA-PWSUYJOCSA-N -1 1 345.359 -0.258 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2cccnc2)C[C@@H]1O)c1ncccc1[O-] ZINC000962434798 723251758 /nfs/dbraw/zinc/25/17/58/723251758.db2.gz LLGSOLWRZQSDCL-YPMHNXCESA-N -1 1 328.328 -0.203 20 0 EBADMM Cc1nnccc1C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962435926 723252342 /nfs/dbraw/zinc/25/23/42/723252342.db2.gz YBVMWXBCHFKRKI-YPMHNXCESA-N -1 1 343.343 -0.499 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ccccn2)C[C@@H]1O)c1ncccc1[O-] ZINC000962435694 723252540 /nfs/dbraw/zinc/25/25/40/723252540.db2.gz RNVHVSFVXKPNCH-YPMHNXCESA-N -1 1 328.328 -0.203 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)[C@]2(F)CCOC2)C[C@@H]1O)c1ncccc1[O-] ZINC000962436206 723252898 /nfs/dbraw/zinc/25/28/98/723252898.db2.gz CQBBVGONULBRPZ-VPJDZLOFSA-N -1 1 339.323 -0.783 20 0 EBADMM CCC(=O)N1CCC[C@H]2[C@@H]1CCN2C(=O)CCn1cc[n-]c(=O)c1=O ZINC000962519644 723274442 /nfs/dbraw/zinc/27/44/42/723274442.db2.gz QKTTYJFCFTZUTP-STQMWFEESA-N -1 1 348.403 -0.071 20 0 EBADMM CC(=O)N1CCC[C@H]2[C@@H]1CCN2C(=O)CCn1cc[n-]c(=O)c1=O ZINC000962530902 723277028 /nfs/dbraw/zinc/27/70/28/723277028.db2.gz FHNBFIUTSVSGRI-STQMWFEESA-N -1 1 334.376 -0.462 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)[C@H]1CCCOCC1 ZINC000962580170 723288960 /nfs/dbraw/zinc/28/89/60/723288960.db2.gz YSNNNMFYAPTFMS-SCRDCRAPSA-N -1 1 349.387 -0.095 20 0 EBADMM Cc1nnc(CC(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)o1 ZINC000962685252 723306925 /nfs/dbraw/zinc/30/69/25/723306925.db2.gz AVKITJJSZLGYOG-KOLCDFICSA-N -1 1 347.331 -0.977 20 0 EBADMM NC(=O)CC(=O)N1CCC[C@H]2[C@H]1CCN2C(=O)c1ncccc1[O-] ZINC000962873116 723343438 /nfs/dbraw/zinc/34/34/38/723343438.db2.gz HEXFJLUCWZJDAN-WDEREUQCSA-N -1 1 332.360 -0.132 20 0 EBADMM CCc1oncc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000963205562 723392215 /nfs/dbraw/zinc/39/22/15/723392215.db2.gz ZDOJCADFGVMOEK-PWSUYJOCSA-N -1 1 346.343 -0.047 20 0 EBADMM COc1cccnc1CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019291942 728849792 /nfs/dbraw/zinc/84/97/92/728849792.db2.gz CQPQQPROCGUMHH-JTQLQIEISA-N -1 1 332.364 -0.153 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1ncccc1[O-])[C@@H]1CCOC1 ZINC000964107506 723807905 /nfs/dbraw/zinc/80/79/05/723807905.db2.gz VQSYHJGTOOZBDR-VXGBXAGGSA-N -1 1 335.360 -0.219 20 0 EBADMM O=C(Cc1cnoc1)N1CCOC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000964155565 723841665 /nfs/dbraw/zinc/84/16/65/723841665.db2.gz RCPJKQKIKQNPOL-LBPRGKRZSA-N -1 1 346.343 -0.025 20 0 EBADMM O=C(Cn1nccn1)N1CCOC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000964157239 723842873 /nfs/dbraw/zinc/84/28/73/723842873.db2.gz ZFPHQWGHQRHRJS-NSHDSACASA-N -1 1 346.347 -0.964 20 0 EBADMM Cn1cc(C(=O)N2CCOC[C@@H]2CNC(=O)c2ncccc2[O-])cn1 ZINC000964158294 723844374 /nfs/dbraw/zinc/84/43/74/723844374.db2.gz NCHRZKMJWOFFMH-LBPRGKRZSA-N -1 1 345.359 -0.208 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1cnccn1)c1ncccc1[O-] ZINC000964159289 723844635 /nfs/dbraw/zinc/84/46/35/723844635.db2.gz RFXFABKOQZHIGS-NSHDSACASA-N -1 1 343.343 -0.152 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1ncccc1[O-])c1ncc[nH]1 ZINC000964176044 723858303 /nfs/dbraw/zinc/85/83/03/723858303.db2.gz ALGJBNTZMQKDPR-JTQLQIEISA-N -1 1 331.332 -0.219 20 0 EBADMM Cn1cncc1C(=O)NC[C@H]1COCCN1C(=O)c1ncccc1[O-] ZINC000964242731 723906257 /nfs/dbraw/zinc/90/62/57/723906257.db2.gz JJGNNJURRDSXLH-NSHDSACASA-N -1 1 345.359 -0.208 20 0 EBADMM O=C(NC[C@H]1CCCCN1C(=O)c1ncccc1[O-])[C@@H]1CNC(=O)N1 ZINC000964479481 724014108 /nfs/dbraw/zinc/01/41/08/724014108.db2.gz FDHYNJRETGGDDJ-MNOVXSKESA-N -1 1 347.375 -0.421 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)C1=COCCO1 ZINC000964711676 724050054 /nfs/dbraw/zinc/05/00/54/724050054.db2.gz KCEZKZVMKOGEOJ-MNOVXSKESA-N -1 1 337.380 -0.677 20 0 EBADMM Cc1cnc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)cn1 ZINC000964808526 724061888 /nfs/dbraw/zinc/06/18/88/724061888.db2.gz SSXJXMJDDHYUPN-ZWNOBZJWSA-N -1 1 345.407 -0.153 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)nn1C ZINC000965454906 724252247 /nfs/dbraw/zinc/25/22/47/724252247.db2.gz XPKJNCNSJCBFMO-GWCFXTLKSA-N -1 1 347.423 -0.209 20 0 EBADMM CN(C)c1cc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)ccn1 ZINC001019454649 728888803 /nfs/dbraw/zinc/88/88/03/728888803.db2.gz ITRUZSIOYLIJAD-NSHDSACASA-N -1 1 331.380 -0.024 20 0 EBADMM NC(=O)CC(=O)N1CC2(C1)CC[C@@H](CNC(=O)c1ncccc1[O-])O2 ZINC000965536385 724288208 /nfs/dbraw/zinc/28/82/08/724288208.db2.gz IJWJJSNJQHPGSK-JTQLQIEISA-N -1 1 348.359 -0.848 20 0 EBADMM CCn1cc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)cn1 ZINC000965649943 724342167 /nfs/dbraw/zinc/34/21/67/724342167.db2.gz CVCOIVJGFKHLKK-YPMHNXCESA-N -1 1 347.423 -0.035 20 0 EBADMM O=C(N[C@@H]1COC2(CN(C(=O)[C@H]3CCOC3)C2)C1)c1ncccc1[O-] ZINC000965680027 724362220 /nfs/dbraw/zinc/36/22/20/724362220.db2.gz GSXXXSDIGYYGJP-RYUDHWBXSA-N -1 1 347.371 -0.077 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC000967782258 724906371 /nfs/dbraw/zinc/90/63/71/724906371.db2.gz WYRQQTSAWHQDJW-BYNIDDHOSA-N -1 1 335.408 -0.673 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)c2c[nH]c(=O)cn2)C1 ZINC000967908331 724929304 /nfs/dbraw/zinc/92/93/04/724929304.db2.gz PWFMWXIORNVQCA-UHFFFAOYSA-N -1 1 343.343 -0.143 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CNC(=O)N2)C1 ZINC000967909159 724929602 /nfs/dbraw/zinc/92/96/02/724929602.db2.gz WODPRSKMYUGILJ-JTQLQIEISA-N -1 1 333.348 -0.859 20 0 EBADMM Cc1ccnn1CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1C ZINC000968369560 725056205 /nfs/dbraw/zinc/05/62/05/725056205.db2.gz MPBVBWWBKPRGGA-DGCLKSJQSA-N -1 1 347.423 -0.360 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ccncn1 ZINC000968436948 725064025 /nfs/dbraw/zinc/06/40/25/725064025.db2.gz YWCYIBQQJAPVOQ-CMPLNLGQSA-N -1 1 331.380 -0.461 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ncccn1 ZINC000968450071 725065345 /nfs/dbraw/zinc/06/53/45/725065345.db2.gz PGRFXBCPENEMQW-GHMZBOCLSA-N -1 1 331.380 -0.461 20 0 EBADMM CCn1cc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)nn1 ZINC000968480590 725071926 /nfs/dbraw/zinc/07/19/26/725071926.db2.gz OJPLXHMYGKCCGI-QWRGUYRKSA-N -1 1 348.411 -0.640 20 0 EBADMM Cn1cncc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000968555644 725080801 /nfs/dbraw/zinc/08/08/01/725080801.db2.gz UYTFDKFZCHXNHT-SKDRFNHKSA-N -1 1 331.332 -0.864 20 0 EBADMM O=C(c1ccn[nH]1)N1[C@@H]2CC[C@H]1CN(C(=O)c1n[nH]c(=O)[n-]c1=O)C2 ZINC000968557145 725081454 /nfs/dbraw/zinc/08/14/54/725081454.db2.gz CCQJZDLRGQICOI-OCAPTIKFSA-N -1 1 345.319 -0.865 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)Cn1ccnc1 ZINC000968703701 725105522 /nfs/dbraw/zinc/10/55/22/725105522.db2.gz YVUVVTQYKVNOKB-NEPJUHHUSA-N -1 1 333.396 -0.668 20 0 EBADMM Cc1nc[nH]c1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1C ZINC000968705447 725106301 /nfs/dbraw/zinc/10/63/01/725106301.db2.gz CCAKZAOTJRPJOG-KOLCDFICSA-N -1 1 333.396 -0.220 20 0 EBADMM COCc1ccc(C(=O)N2C[C@@H](NC(=O)c3cnn[nH]3)[C@@H](O)C2)o1 ZINC000968704800 725106324 /nfs/dbraw/zinc/10/63/24/725106324.db2.gz YDBSDVUURBFHJY-MNOVXSKESA-N -1 1 335.320 -0.841 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2cc3cnccc3o2)C[C@@H]1O)c1cnn[nH]1 ZINC000968707255 725106332 /nfs/dbraw/zinc/10/63/32/725106332.db2.gz IBFAWIVNLDXLKD-MNOVXSKESA-N -1 1 342.315 -0.439 20 0 EBADMM Cc1nonc1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1C ZINC000968706150 725106374 /nfs/dbraw/zinc/10/63/74/725106374.db2.gz LGHCFSMUINZMAE-SCZZXKLOSA-N -1 1 335.368 -0.560 20 0 EBADMM CC1(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)CCOCC1 ZINC000968905776 725127138 /nfs/dbraw/zinc/12/71/38/725127138.db2.gz DUGXODOIFIRTQM-YPMHNXCESA-N -1 1 349.387 -0.095 20 0 EBADMM O=C([C@H]1CCn2cncc2C1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969124178 725153609 /nfs/dbraw/zinc/15/36/09/725153609.db2.gz AUUNYNWCFDXBLO-WDEREUQCSA-N -1 1 331.380 -0.340 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1csnn1 ZINC000969154824 725156949 /nfs/dbraw/zinc/15/69/49/725156949.db2.gz FEANLWKGQVJYBU-XCBNKYQSSA-N -1 1 335.345 -0.746 20 0 EBADMM C[C@@H](NC(=O)c1cncc(F)c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969487438 725185221 /nfs/dbraw/zinc/18/52/21/725185221.db2.gz OSRYVUFTSSMHFX-SECBINFHSA-N -1 1 334.355 -0.107 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H](C)C2CN(CCN3C(=O)CCC3=O)C2)c1[O-] ZINC000969500571 725185748 /nfs/dbraw/zinc/18/57/48/725185748.db2.gz RJJSYWYFNUGKMM-VIFPVBQESA-N -1 1 349.391 -0.377 20 0 EBADMM Cc1oncc1CN[C@@H]1CCN(C(=O)c2cnc([O-])n(C)c2=O)C1 ZINC000969553701 725192417 /nfs/dbraw/zinc/19/24/17/725192417.db2.gz WTMPTYSIVWQQKW-LLVKDONJSA-N -1 1 333.348 -0.213 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969906062 725227026 /nfs/dbraw/zinc/22/70/26/725227026.db2.gz AQJXTIDMVRXQLT-ZETCQYMHSA-N -1 1 335.368 -0.904 20 0 EBADMM O=C(c1nccn2ccnc12)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969991590 725236068 /nfs/dbraw/zinc/23/60/68/725236068.db2.gz KCZKYZHTAGWPDO-SECBINFHSA-N -1 1 328.336 -0.443 20 0 EBADMM C[C@@H](NC(=O)c1ccc(C(N)=O)o1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970104164 725251393 /nfs/dbraw/zinc/25/13/93/725251393.db2.gz HPFZURWXKIMVGE-MRVPVSSYSA-N -1 1 348.363 -0.949 20 0 EBADMM COc1nc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)co1 ZINC000970218714 725264160 /nfs/dbraw/zinc/26/41/60/725264160.db2.gz AGVOIWYIHHJBBF-MRVPVSSYSA-N -1 1 336.352 -0.645 20 0 EBADMM Cc1nc(CN2CC([C@@H](C)NC(=O)c3cnc([O-])n(C)c3=O)C2)n[nH]1 ZINC000970261424 725269581 /nfs/dbraw/zinc/26/95/81/725269581.db2.gz IZHONHPMRPHSHW-MRVPVSSYSA-N -1 1 347.379 -0.837 20 0 EBADMM CCC(=O)N1CC[C@@H](C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001019614484 728939611 /nfs/dbraw/zinc/93/96/11/728939611.db2.gz HZJMOEAHDFEAGI-MNOVXSKESA-N -1 1 336.396 -0.541 20 0 EBADMM CCC(=O)N1CC[C@@H](C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC000970425317 725289443 /nfs/dbraw/zinc/28/94/43/725289443.db2.gz HZJMOEAHDFEAGI-GHMZBOCLSA-N -1 1 336.396 -0.541 20 0 EBADMM Cn1cc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c(Cl)n1 ZINC000970456652 725293171 /nfs/dbraw/zinc/29/31/71/725293171.db2.gz IHWSVQDYDVITCC-SSDOTTSWSA-N -1 1 325.760 -0.099 20 0 EBADMM CN1CCCC[C@@H](C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)C1=O ZINC000970469077 725293746 /nfs/dbraw/zinc/29/37/46/725293746.db2.gz XFXDOEKHLOJDEQ-GHMZBOCLSA-N -1 1 336.396 -0.541 20 0 EBADMM C[C@@H](NC(=O)[C@@H]1CCc2[nH]cnc2C1)C1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC000970708420 725319484 /nfs/dbraw/zinc/31/94/84/725319484.db2.gz LBCLJVFQEXRFRR-NXEZZACHSA-N -1 1 345.407 -0.025 20 0 EBADMM C[C@H](NC(=O)[C@@H]1CCc2[nH]nnc2C1)C1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC000970735439 725321750 /nfs/dbraw/zinc/32/17/50/725321750.db2.gz PSAGFRQPHSNDDU-DTWKUNHWSA-N -1 1 346.395 -0.630 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)c2cccc(=O)[nH]2)C1 ZINC000971282803 725358036 /nfs/dbraw/zinc/35/80/36/725358036.db2.gz WBVSPMZYXUEQNA-SNVBAGLBSA-N -1 1 332.364 -0.445 20 0 EBADMM Cc1cc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)ncn1 ZINC000971351779 725360088 /nfs/dbraw/zinc/36/00/88/725360088.db2.gz UAUZWLAMYUYNFG-LLVKDONJSA-N -1 1 331.380 -0.447 20 0 EBADMM CN(CC(=O)N1CCOCC1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000971395915 725362702 /nfs/dbraw/zinc/36/27/02/725362702.db2.gz ZBHZLURGPJQDTK-CYBMUJFWSA-N -1 1 348.403 -0.208 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)C2(F)CCOCC2)C1 ZINC000971658987 725428674 /nfs/dbraw/zinc/42/86/74/725428674.db2.gz TYUHDPGGRCPPRE-NSHDSACASA-N -1 1 341.387 -0.340 20 0 EBADMM Cn1cc(C(=O)N2CC[C@@H]3CN(C(=O)c4ncccc4[O-])[C@@H]3C2)nn1 ZINC000971899394 725446757 /nfs/dbraw/zinc/44/67/57/725446757.db2.gz GUVCQBZVHLRKLK-ZYHUDNBSSA-N -1 1 342.359 -0.098 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC000971976084 725452103 /nfs/dbraw/zinc/45/21/03/725452103.db2.gz UNDGUGLFWABTER-JWOJSXKRSA-N -1 1 347.419 -0.436 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)c2coc(C(N)=O)c2)C1 ZINC000972005731 725454698 /nfs/dbraw/zinc/45/46/98/725454698.db2.gz NZPGPQNPFFKXBV-JTQLQIEISA-N -1 1 348.363 -0.853 20 0 EBADMM CSCC(=O)N1CC[C@]2(C1)CN(Cc1nc(=O)n(C)[n-]1)CCO2 ZINC000972243823 725483599 /nfs/dbraw/zinc/48/35/99/725483599.db2.gz SPCQUCDLKYIXSJ-CQSZACIVSA-N -1 1 341.437 -0.725 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)CC2=CCOCC2)C1 ZINC000972251337 725486290 /nfs/dbraw/zinc/48/62/90/725486290.db2.gz LZEHGAULCMULLP-CYBMUJFWSA-N -1 1 335.408 -0.122 20 0 EBADMM O=C(c1ccn[nH]1)N1CC[C@]2(C1)CN(Cc1n[nH]c(=O)[n-]1)CCO2 ZINC000972277925 725489568 /nfs/dbraw/zinc/48/95/68/725489568.db2.gz XSVAAODDXAMTGO-CQSZACIVSA-N -1 1 333.352 -0.650 20 0 EBADMM Cc1ncc(C(=O)N2CC[C@]3(C2)CN(Cc2n[nH]c(=O)[n-]2)CCO3)[nH]1 ZINC000972293667 725492476 /nfs/dbraw/zinc/49/24/76/725492476.db2.gz DYTQEJPJZFSPNT-OAHLLOKOSA-N -1 1 347.379 -0.341 20 0 EBADMM CNC(=O)[C@H](C)N1CCO[C@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000972355126 725505504 /nfs/dbraw/zinc/50/55/04/725505504.db2.gz JAQBPYFOBLDACP-YVEFUNNKSA-N -1 1 348.403 -0.161 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CC[C@@]2(C1)CN(CC1CC1)CCO2 ZINC000972450876 725526434 /nfs/dbraw/zinc/52/64/34/725526434.db2.gz YZNWCLAGYVOVNB-HNNXBMFYSA-N -1 1 335.364 -0.390 20 0 EBADMM CCn1ncc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC000972598579 725557686 /nfs/dbraw/zinc/55/76/86/725557686.db2.gz NRNBQXCEUPYYEB-LBPRGKRZSA-N -1 1 347.423 -0.020 20 0 EBADMM CCN1CCO[C@]2(CCN(C(=O)Cn3[n-]cc4c(=O)ncnc3-4)C2)C1 ZINC000972599779 725558184 /nfs/dbraw/zinc/55/81/84/725558184.db2.gz ZJDXBUUKEXYIMN-MRXNPFEDSA-N -1 1 346.391 -0.606 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1cccnn1 ZINC000991713881 725565993 /nfs/dbraw/zinc/56/59/93/725565993.db2.gz YTHQMWGIXLIKFS-GWCFXTLKSA-N -1 1 343.343 -0.417 20 0 EBADMM Cn1cc(CC(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)cn1 ZINC000972843046 725597359 /nfs/dbraw/zinc/59/73/59/725597359.db2.gz AFTJLWRWBOTPEY-LBPRGKRZSA-N -1 1 347.423 -0.589 20 0 EBADMM CCc1c[nH]c(CC(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001051660647 735300741 /nfs/dbraw/zinc/30/07/41/735300741.db2.gz ZDDLTIOAZAWGNC-LLVKDONJSA-N -1 1 349.395 -0.645 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@H](NC(=O)c2ccc[nH]2)C1 ZINC000972962393 725612657 /nfs/dbraw/zinc/61/26/57/725612657.db2.gz BEGOCRGUOWQPSE-ZKCHVHJHSA-N -1 1 347.331 -0.085 20 0 EBADMM Cc1ncc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)[nH]1 ZINC000972968817 725613707 /nfs/dbraw/zinc/61/37/07/725613707.db2.gz SVCXWZBRMOBRRO-LLVKDONJSA-N -1 1 333.396 -0.220 20 0 EBADMM NC(=O)c1cc(C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)c[nH]1 ZINC000973196178 725666585 /nfs/dbraw/zinc/66/65/85/725666585.db2.gz CKQWQYMGSPUYSO-MGCOHNPYSA-N -1 1 343.343 -0.095 20 0 EBADMM Cn1c(C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)c[nH]c1=O ZINC000973199059 725668014 /nfs/dbraw/zinc/66/80/14/725668014.db2.gz LZFXPGDXVAXBCT-KYZUINATSA-N -1 1 331.332 -0.083 20 0 EBADMM CN1CC[C@H](C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)C1=O ZINC000973199953 725668383 /nfs/dbraw/zinc/66/83/83/725668383.db2.gz UMZMYSMRAUKZIJ-GMTAPVOTSA-N -1 1 332.360 -0.358 20 0 EBADMM CN1CCO[C@H](C(=O)NC2CC(CNC(=O)c3ncccc3[O-])C2)C1 ZINC000992047442 725708931 /nfs/dbraw/zinc/70/89/31/725708931.db2.gz ADUSHVKUOJAXGW-YIZWMMSDSA-N -1 1 348.403 -0.258 20 0 EBADMM CN1C[C@@H](C(=O)NC2CC(CNC(=O)c3ncccc3[O-])C2)CC1=O ZINC000992052751 725710386 /nfs/dbraw/zinc/71/03/86/725710386.db2.gz JTMQZPNWQOHACW-CXQJBGSLSA-N -1 1 346.387 -0.110 20 0 EBADMM O=C(NCC1CC(NC(=O)[C@H]2CNC(=O)N2)C1)c1ncccc1[O-] ZINC000992056557 725711157 /nfs/dbraw/zinc/71/11/57/725711157.db2.gz RHCOZZYHZBFUQE-UDNWOFFPSA-N -1 1 333.348 -0.907 20 0 EBADMM O=C(Cn1nccn1)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC000992056483 725711198 /nfs/dbraw/zinc/71/11/98/725711198.db2.gz QMIIHEZALKBFHD-UHFFFAOYSA-N -1 1 330.348 -0.297 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)Cc4cnoc4)CCC[C@H]23)nc1=O ZINC000992125656 725730828 /nfs/dbraw/zinc/73/08/28/725730828.db2.gz FBEOOCKUYGUNTN-LRDDRELGSA-N -1 1 346.391 -0.048 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@@H](NC(=O)C(F)F)C1 ZINC000973767211 725801964 /nfs/dbraw/zinc/80/19/64/725801964.db2.gz UIQIBYKHYUDKKT-SYDPRGILSA-N -1 1 332.263 -0.462 20 0 EBADMM Cn1ccc(C(=O)N[C@@]23CCC[C@@H]2N(Cc2nc(=O)n(C)[n-]2)CC3)n1 ZINC000992497331 725804182 /nfs/dbraw/zinc/80/41/82/725804182.db2.gz FAAJTIMYVGVJQY-BLLLJJGKSA-N -1 1 345.407 -0.231 20 0 EBADMM CCN1CCO[C@@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000992596893 725815218 /nfs/dbraw/zinc/81/52/18/725815218.db2.gz CZOBCIJZLRADKJ-CYBMUJFWSA-N -1 1 334.376 -0.552 20 0 EBADMM C[C@H](C(=O)N[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC000973992701 725842878 /nfs/dbraw/zinc/84/28/78/725842878.db2.gz QYIRTPXTJHQUAM-INTQDDNPSA-N -1 1 344.375 -0.159 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cnccn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000992985297 725849142 /nfs/dbraw/zinc/84/91/42/725849142.db2.gz XDRQXPXBLIMVGF-MNOVXSKESA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2cnn(C)c2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993021921 725851406 /nfs/dbraw/zinc/85/14/06/725851406.db2.gz LBVQSUFJXLMGPB-CMPLNLGQSA-N -1 1 333.396 -0.375 20 0 EBADMM Cc1nn(C)cc1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC000993113256 725855645 /nfs/dbraw/zinc/85/56/45/725855645.db2.gz VEMJLEWILKQGDB-YPMHNXCESA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2cnsn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993120781 725856539 /nfs/dbraw/zinc/85/65/39/725856539.db2.gz WWDIPGNKGRGAHF-IUCAKERBSA-N -1 1 337.409 -0.257 20 0 EBADMM CN1C[C@@H](C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)NC1=O ZINC000974054214 725858245 /nfs/dbraw/zinc/85/82/45/725858245.db2.gz BMQUWMBYBLGLKZ-AEJSXWLSSA-N -1 1 333.348 -0.812 20 0 EBADMM C[C@H]1[C@H](NC(=O)Cc2ccon2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993160010 725858494 /nfs/dbraw/zinc/85/84/94/725858494.db2.gz PWDJOXMTGDOKHY-CMPLNLGQSA-N -1 1 334.380 -0.192 20 0 EBADMM Cc1ncc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)[nH]1 ZINC000993161339 725859136 /nfs/dbraw/zinc/85/91/36/725859136.db2.gz UPZUGMDCDKOCIR-KOLCDFICSA-N -1 1 333.396 -0.077 20 0 EBADMM O=C(N[C@H]1C[C@@H](NC(=O)[C@@H]2CCCNC2=O)C1)c1ncccc1[O-] ZINC000974056673 725859228 /nfs/dbraw/zinc/85/92/28/725859228.db2.gz LTEKJZHPSUAWMY-OUAUKWLOSA-N -1 1 332.360 -0.310 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2cn(C)cn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993193956 725860998 /nfs/dbraw/zinc/86/09/98/725860998.db2.gz CCWKLWQCJQBWGN-GHMZBOCLSA-N -1 1 333.396 -0.375 20 0 EBADMM C[C@H]1[C@@H](NC(=O)C2=CCOCC2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993229024 725864416 /nfs/dbraw/zinc/86/44/16/725864416.db2.gz JPIUIGSOUYFPQD-AAEUAGOBSA-N -1 1 335.408 -0.076 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCCN1Cc1nc(=O)n(C)[nH]1 ZINC000993250132 725865643 /nfs/dbraw/zinc/86/56/43/725865643.db2.gz PNORCOISNLLYJK-MNOVXSKESA-N -1 1 346.391 -0.008 20 0 EBADMM Cc1nnc(CC(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)o1 ZINC000993328650 725873334 /nfs/dbraw/zinc/87/33/34/725873334.db2.gz NKZYNVZSQLZTLV-ONGXEEELSA-N -1 1 349.395 -0.488 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)nn1 ZINC000993359473 725879742 /nfs/dbraw/zinc/87/97/42/725879742.db2.gz QIPZGQLKIXKHEH-VXGBXAGGSA-N -1 1 345.407 -0.010 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC000993456706 725890627 /nfs/dbraw/zinc/89/06/27/725890627.db2.gz HFUSPTGUYAIBBU-NXEZZACHSA-N -1 1 349.395 -0.372 20 0 EBADMM Cc1nn[nH]c1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC000993664181 725925301 /nfs/dbraw/zinc/92/53/01/725925301.db2.gz DKAOSUNDLLTEQH-ZJUUUORDSA-N -1 1 334.384 -0.682 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)C2=NC(=O)N(C)C2)CCCN1Cc1n[nH]c(=O)[n-]1 ZINC000993788761 725942365 /nfs/dbraw/zinc/94/23/65/725942365.db2.gz LCQJLOFHKVLRDB-BDAKNGLRSA-N -1 1 335.368 -0.268 20 0 EBADMM Cc1ccn(CC(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)n1 ZINC000993853463 725954060 /nfs/dbraw/zinc/95/40/60/725954060.db2.gz IRDAAJVEJNIIRT-STQMWFEESA-N -1 1 347.423 -0.217 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2ccnnc2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993869939 725955949 /nfs/dbraw/zinc/95/59/49/725955949.db2.gz FGEQPLFJXKCOCJ-CMPLNLGQSA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@H]1[C@@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)CCCN1CCCO ZINC000994011760 725971650 /nfs/dbraw/zinc/97/16/50/725971650.db2.gz RWHVLNPOXVISIX-AAEUAGOBSA-N -1 1 348.407 -0.577 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2cccnn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000994060080 725976428 /nfs/dbraw/zinc/97/64/28/725976428.db2.gz MNTDDNYMCVZVGT-WDEREUQCSA-N -1 1 331.380 -0.319 20 0 EBADMM CCc1c(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)cnn1C ZINC001051697220 735332403 /nfs/dbraw/zinc/33/24/03/735332403.db2.gz AMSUSIVLJBEJGO-JTQLQIEISA-N -1 1 349.395 -0.563 20 0 EBADMM COCC[N@H+]1CCC[C@@H](NC(=O)c2cc(=O)n3[nH]cnc3n2)[C@H]1C ZINC000994209403 725987911 /nfs/dbraw/zinc/98/79/11/725987911.db2.gz KCOPXZOUTUNMBA-GHMZBOCLSA-N -1 1 334.380 -0.353 20 0 EBADMM C[C@@H]1[C@H](NC(=O)Cn2ccnc2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000994509090 726027751 /nfs/dbraw/zinc/02/77/51/726027751.db2.gz QOPOUYFKSMDKJS-VXGBXAGGSA-N -1 1 333.396 -0.526 20 0 EBADMM CCC(=O)N1CC[C@@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)[C@@H]2C1 ZINC000974537543 726036606 /nfs/dbraw/zinc/03/66/06/726036606.db2.gz SGIPISVXGYSRRL-VXGBXAGGSA-N -1 1 334.376 -0.604 20 0 EBADMM CCn1ncc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)n1 ZINC000974569210 726039720 /nfs/dbraw/zinc/03/97/20/726039720.db2.gz GMAOBCJKXZCXLX-NSHDSACASA-N -1 1 348.411 -0.640 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)nn1 ZINC000974599652 726043215 /nfs/dbraw/zinc/04/32/15/726043215.db2.gz UHUONEUKEVIYJL-GFCCVEGCSA-N -1 1 345.407 -0.153 20 0 EBADMM COC1CC(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)C1 ZINC000974719107 726057446 /nfs/dbraw/zinc/05/74/46/726057446.db2.gz RHRBSHRYLGBXQW-HTAVTVPLSA-N -1 1 337.424 -0.140 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@]3(F)CCOC3)C(C)(C)C2)nc1=O ZINC000974966545 726088394 /nfs/dbraw/zinc/08/83/94/726088394.db2.gz BVYPDWQYLARQEZ-BMIGLBTASA-N -1 1 341.387 -0.436 20 0 EBADMM O=C(NC[C@@H]1CCCN(C(=O)[C@@H]2COC(=O)N2)C1)c1ncccc1[O-] ZINC000975228357 726116627 /nfs/dbraw/zinc/11/66/27/726116627.db2.gz VWDUWTODBQZEOY-QWRGUYRKSA-N -1 1 348.359 -0.136 20 0 EBADMM O=C(NC[C@H]1CCCN(C(=O)[C@H]2CNC(=O)N2)C1)c1ncccc1[O-] ZINC000975229537 726116973 /nfs/dbraw/zinc/11/69/73/726116973.db2.gz YKKPDMOVUSCGFY-GHMZBOCLSA-N -1 1 347.375 -0.563 20 0 EBADMM CCN1CCOC[C@@H]1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000994994107 726139056 /nfs/dbraw/zinc/13/90/56/726139056.db2.gz HPOKQAPDTYRONC-GFCCVEGCSA-N -1 1 334.376 -0.552 20 0 EBADMM O=C(N[C@H]1CC[C@H](CNCc2ncccn2)C1)c1n[nH]c(=O)[n-]c1=O ZINC000995010381 726142972 /nfs/dbraw/zinc/14/29/72/726142972.db2.gz XHAIYZQOJUNKRC-UWVGGRQHSA-N -1 1 345.363 -0.239 20 0 EBADMM COCC(=O)N1CCC[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000975405146 726146762 /nfs/dbraw/zinc/14/67/62/726146762.db2.gz HLOYOGAFVLHOQO-JTQLQIEISA-N -1 1 348.363 -0.968 20 0 EBADMM O=C(Cc1ccc(=O)[nH]c1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051716119 735349139 /nfs/dbraw/zinc/34/91/39/735349139.db2.gz CGSGFQAYODHYCW-LLVKDONJSA-N -1 1 348.363 -0.829 20 0 EBADMM CC(C)(F)C(=O)N[C@@H]1[C@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]21 ZINC000976034844 726195041 /nfs/dbraw/zinc/19/50/41/726195041.db2.gz ZHVNGALRHYCOBJ-VROVMSAKSA-N -1 1 348.338 -0.648 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2[C@H]3CN(C(=O)CCc4nc[nH]n4)C[C@H]32)c1[O-] ZINC000976357447 726275143 /nfs/dbraw/zinc/27/51/43/726275143.db2.gz AHAJIXCGLXEFKZ-GDGBQDQQSA-N -1 1 345.363 -0.639 20 0 EBADMM CC1(C)CN(C(=O)C[C@H]2COC(=O)C2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995669726 726285593 /nfs/dbraw/zinc/28/55/93/726285593.db2.gz PGDSIXJSLBKVQC-ZJUUUORDSA-N -1 1 337.380 -0.210 20 0 EBADMM O=C(CN1CCCC1=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976396829 726292104 /nfs/dbraw/zinc/29/21/04/726292104.db2.gz IKWSHALDQQVLOB-HBYGRHMLSA-N -1 1 344.371 -0.404 20 0 EBADMM Cn1ncc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)c1N ZINC000995712279 726292923 /nfs/dbraw/zinc/29/29/23/726292923.db2.gz SCKRZIXZSUYLEJ-SECBINFHSA-N -1 1 334.384 -0.534 20 0 EBADMM CC1(C)CN(C(=O)Cc2cncnc2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995721035 726294232 /nfs/dbraw/zinc/29/42/32/726294232.db2.gz BHWLVDXAMRLXMS-LLVKDONJSA-N -1 1 331.380 -0.130 20 0 EBADMM O=C(Cn1ncnn1)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncccc1[O-])C2 ZINC000996146042 726338786 /nfs/dbraw/zinc/33/87/86/726338786.db2.gz GAZQDEAHSMGVQG-VWYCJHECSA-N -1 1 343.347 -0.664 20 0 EBADMM CCC[C@@H]1C[C@H]1C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996403945 726388413 /nfs/dbraw/zinc/38/84/13/726388413.db2.gz DHCSAVFLRCTGPE-MWLCHTKSSA-N -1 1 344.375 -0.206 20 0 EBADMM NC(=O)C(=O)N[C@@H]1CCCC[C@H]1NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000976685415 726388715 /nfs/dbraw/zinc/38/87/15/726388715.db2.gz DYYXUBNEGFSFBL-GHMZBOCLSA-N -1 1 347.375 -0.298 20 0 EBADMM Cc1cc[nH]c1C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996409601 726389247 /nfs/dbraw/zinc/38/92/47/726389247.db2.gz WBKBRJPOVJHFFO-UHFFFAOYSA-N -1 1 341.331 -0.691 20 0 EBADMM O=C(NC1CN(C(=O)[C@H]2[C@@H]3CCC[C@@H]32)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996408966 726389301 /nfs/dbraw/zinc/38/93/01/726389301.db2.gz QYNLVOOJQNYOQS-IWIIMEHWSA-N -1 1 342.359 -0.596 20 0 EBADMM Cc1nonc1CC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000996469642 726401358 /nfs/dbraw/zinc/40/13/58/726401358.db2.gz DJBPAVWKFMZGEV-JTQLQIEISA-N -1 1 335.368 -0.229 20 0 EBADMM CC1(C)CN(C(=O)Cn2ccccc2=O)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996594815 726419644 /nfs/dbraw/zinc/41/96/44/726419644.db2.gz RVAJAVHZECKOOK-NSHDSACASA-N -1 1 346.391 -0.301 20 0 EBADMM CC1(C)CN(C(=O)c2c[nH]c(C(N)=O)c2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996614243 726420233 /nfs/dbraw/zinc/42/02/33/726420233.db2.gz MNWXSSRWNASGTK-JTQLQIEISA-N -1 1 347.379 -0.422 20 0 EBADMM Cn1cc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)n(C)c1=O ZINC000996697660 726422960 /nfs/dbraw/zinc/42/29/60/726422960.db2.gz WIFOGNULDWAIFK-SNVBAGLBSA-N -1 1 349.395 -0.812 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)[C@]12C[C@H]1CCC2 ZINC000997538057 726456106 /nfs/dbraw/zinc/45/61/06/726456106.db2.gz NBZYJGOTAZKVIO-JDNHERCYSA-N -1 1 342.359 -0.452 20 0 EBADMM O=C(CCc1ccccn1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051751807 735372396 /nfs/dbraw/zinc/37/23/96/735372396.db2.gz ZQSDIDQDHYLDJJ-ZDUSSCGKSA-N -1 1 346.391 -0.145 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCC(CNCc2ccon2)CC1 ZINC000997887547 726469212 /nfs/dbraw/zinc/46/92/12/726469212.db2.gz AJTABZBMWKYEBQ-UHFFFAOYSA-N -1 1 334.336 -0.087 20 0 EBADMM CC(C)[C@@H]1C[C@@H]1C(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000998817228 726498927 /nfs/dbraw/zinc/49/89/27/726498927.db2.gz LOPZLGMTIMMOPF-STQMWFEESA-N -1 1 348.403 -0.454 20 0 EBADMM CC[C@@]1(C)C[C@H]1C(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000998863675 726499832 /nfs/dbraw/zinc/49/98/32/726499832.db2.gz JWMZQYNBNIONSI-SJCJKPOMSA-N -1 1 348.403 -0.310 20 0 EBADMM Cc1nnc([C@@H](C)NC2CC(N(C)C(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC000999056056 726505823 /nfs/dbraw/zinc/50/58/23/726505823.db2.gz AVQUKAFEIOTOGF-KXNKJCORSA-N -1 1 348.367 -0.337 20 0 EBADMM C[C@@H]1C[C@H]1CC(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000999094555 726507608 /nfs/dbraw/zinc/50/76/08/726507608.db2.gz ZMCMFKMLAWPSNT-MNOVXSKESA-N -1 1 334.376 -0.700 20 0 EBADMM Cc1cccn(CC(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c1=O ZINC000999148566 726508998 /nfs/dbraw/zinc/50/89/98/726508998.db2.gz NVXRUSVDFCTGNU-GFCCVEGCSA-N -1 1 346.391 -0.239 20 0 EBADMM CN(C)c1cnc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC000999155518 726509164 /nfs/dbraw/zinc/50/91/64/726509164.db2.gz XMMOEQHMDJMMQD-JTQLQIEISA-N -1 1 346.395 -0.239 20 0 EBADMM CN(C(=O)c1cnc([O-])n(C)c1=O)C1CC(NCc2ncnn2C)C1 ZINC000999219302 726511544 /nfs/dbraw/zinc/51/15/44/726511544.db2.gz ZXAOLBSUCBVQFB-UHFFFAOYSA-N -1 1 347.379 -0.993 20 0 EBADMM O=C(c1ccnc2ccnn21)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999339155 726515048 /nfs/dbraw/zinc/51/50/48/726515048.db2.gz KVUNRIQSPZTSJA-SNVBAGLBSA-N -1 1 342.363 -0.053 20 0 EBADMM C[C@@]1(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CCNC(=O)C1 ZINC000999504843 726520921 /nfs/dbraw/zinc/52/09/21/726520921.db2.gz MFCYWCUFSJMTLV-ZUZCIYMTSA-N -1 1 336.396 -0.493 20 0 EBADMM CN1CC[C@@H](C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CC1=O ZINC000999529138 726521711 /nfs/dbraw/zinc/52/17/11/726521711.db2.gz CMDCDWWEGAIYMG-GHMZBOCLSA-N -1 1 336.396 -0.541 20 0 EBADMM CN1CC[C@H](C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CC1=O ZINC000999529144 726521742 /nfs/dbraw/zinc/52/17/42/726521742.db2.gz CMDCDWWEGAIYMG-QWRGUYRKSA-N -1 1 336.396 -0.541 20 0 EBADMM CO[C@@H](C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)c1cnn(C)c1 ZINC000999811992 726533590 /nfs/dbraw/zinc/53/35/90/726533590.db2.gz GWJJRHDWXUSBTI-WCQYABFASA-N -1 1 349.395 -0.288 20 0 EBADMM O=C(N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-])[C@H]1CNC(=O)N1 ZINC000999856197 726535715 /nfs/dbraw/zinc/53/57/15/726535715.db2.gz AHNIBQVPDZFRGT-YTWAJWBKSA-N -1 1 345.359 -0.670 20 0 EBADMM CN1C(=O)CC[C@H]1CC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999887108 726538836 /nfs/dbraw/zinc/53/88/36/726538836.db2.gz WGTXGNHJRTZIIU-QWRGUYRKSA-N -1 1 336.396 -0.398 20 0 EBADMM CCCn1cc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)nn1 ZINC001000558211 726570664 /nfs/dbraw/zinc/57/06/64/726570664.db2.gz DWUOIELEIIFZDB-SNVBAGLBSA-N -1 1 334.384 -0.094 20 0 EBADMM COc1cc(C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)on1 ZINC001000602444 726572372 /nfs/dbraw/zinc/57/23/72/726572372.db2.gz DUEOVZGPASTJGM-UHFFFAOYSA-N -1 1 348.363 -0.333 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)C1CC1)C2 ZINC001000942816 726584625 /nfs/dbraw/zinc/58/46/25/726584625.db2.gz WWGXWZXFNZGAEG-UPJWGTAASA-N -1 1 346.387 -0.415 20 0 EBADMM NC(=O)c1ncccc1C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000982564 726586095 /nfs/dbraw/zinc/58/60/95/726586095.db2.gz PAYNCBCDFOLBQI-VIFPVBQESA-N -1 1 345.363 -0.601 20 0 EBADMM C[C@@]1(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)CCNC1=O ZINC001001542537 726611253 /nfs/dbraw/zinc/61/12/53/726611253.db2.gz VDZFNVWRBQQCFF-MRXNPFEDSA-N -1 1 332.360 -0.498 20 0 EBADMM C[C@@H](C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1)N1CCOCC1 ZINC001001551598 726611651 /nfs/dbraw/zinc/61/16/51/726611651.db2.gz JGVUGMBDDVAKNC-LBPRGKRZSA-N -1 1 348.403 -0.304 20 0 EBADMM CCC(=O)N1CCNC(=O)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001001738049 726620676 /nfs/dbraw/zinc/62/06/76/726620676.db2.gz IUOFHHMTYWTPNT-UHFFFAOYSA-N -1 1 334.376 -0.012 20 0 EBADMM CCN1CCOC[C@@H]1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001002973895 726656780 /nfs/dbraw/zinc/65/67/80/726656780.db2.gz VMQQSRTUGJQJLP-CYBMUJFWSA-N -1 1 348.403 -0.304 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)CC(=O)N1 ZINC001002997166 726657582 /nfs/dbraw/zinc/65/75/82/726657582.db2.gz IXVRKGNYANKIFS-ZYHUDNBSSA-N -1 1 346.387 -0.110 20 0 EBADMM O=C(NCC1CN(C(=O)C2CC=CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001003320807 726669746 /nfs/dbraw/zinc/66/97/46/726669746.db2.gz ZRQSCJBKEYVJBN-UHFFFAOYSA-N -1 1 342.359 -0.428 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)[C@]3(F)CCOC3)CC2)nc1=O ZINC001003493548 726676940 /nfs/dbraw/zinc/67/69/40/726676940.db2.gz WJWRFLUIPNEVJE-AWEZNQCLSA-N -1 1 327.360 -0.682 20 0 EBADMM C[C@H]1COCC[C@H]1C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001003579598 726681429 /nfs/dbraw/zinc/68/14/29/726681429.db2.gz JHOVMRHLPPINAU-WCQYABFASA-N -1 1 337.424 -0.138 20 0 EBADMM Cc1cc(=O)[nH]cc1C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001003602927 726682100 /nfs/dbraw/zinc/68/21/00/726682100.db2.gz HEBATCUFRCYNSO-UHFFFAOYSA-N -1 1 346.391 -0.088 20 0 EBADMM COc1cncc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC001003741908 726688695 /nfs/dbraw/zinc/68/86/95/726688695.db2.gz JIFPADGZVFNYDP-UHFFFAOYSA-N -1 1 347.379 -0.699 20 0 EBADMM CCc1cc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n(C)n1 ZINC001003841125 726692536 /nfs/dbraw/zinc/69/25/36/726692536.db2.gz HUZFJQAIGKAYPI-UHFFFAOYSA-N -1 1 347.423 -0.201 20 0 EBADMM C[C@H](C(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1)n1cccn1 ZINC001005132599 726726002 /nfs/dbraw/zinc/72/60/02/726726002.db2.gz BBADKUYHWRWXSV-GFCCVEGCSA-N -1 1 347.423 -0.011 20 0 EBADMM CN(C(=O)C1=CCOCC1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005307993 726731984 /nfs/dbraw/zinc/73/19/84/726731984.db2.gz ONTPJEKUULFZBE-UHFFFAOYSA-N -1 1 335.408 -0.122 20 0 EBADMM CCn1nncc1C(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005356740 726732449 /nfs/dbraw/zinc/73/24/49/726732449.db2.gz QAMQMYRXRIPHKR-UHFFFAOYSA-N -1 1 348.411 -0.544 20 0 EBADMM Cc1cnn(C)c1C(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005852372 726745702 /nfs/dbraw/zinc/74/57/02/726745702.db2.gz OCBCLMZRGYPBIH-UHFFFAOYSA-N -1 1 347.423 -0.113 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)c2cnn(C)c2N)C1 ZINC001005953687 726749912 /nfs/dbraw/zinc/74/99/12/726749912.db2.gz WDCCSWLCHYOEJW-SECBINFHSA-N -1 1 344.375 -0.007 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@]2(C)CNC(=O)C2)C1 ZINC001005957691 726750160 /nfs/dbraw/zinc/75/01/60/726750160.db2.gz PNOMWMTXZZHTIM-BMLIUANNSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@H](NC(=O)[C@@H]1COC(=O)N1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006003488 726751638 /nfs/dbraw/zinc/75/16/38/726751638.db2.gz AYDAPZVSXPEKPD-WPRPVWTQSA-N -1 1 334.332 -0.528 20 0 EBADMM CN(C(=O)c1ncccn1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001006169718 726757408 /nfs/dbraw/zinc/75/74/08/726757408.db2.gz OVXVPNQJPDZOOL-UHFFFAOYSA-N -1 1 331.380 -0.365 20 0 EBADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)C2CC2)C1 ZINC001006461589 726770114 /nfs/dbraw/zinc/77/01/14/726770114.db2.gz CSVWYCFTZOAMBA-MRVPVSSYSA-N -1 1 330.348 -0.596 20 0 EBADMM CC(=O)N[C@]12CCC[C@H]1CN(C(=O)CCn1cc[n-]c(=O)c1=O)C2 ZINC001006803871 726784295 /nfs/dbraw/zinc/78/42/95/726784295.db2.gz QVGDMINKJYSVTK-LRDDRELGSA-N -1 1 334.376 -0.556 20 0 EBADMM C[C@@H](C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1)n1cncn1 ZINC001007165471 726789889 /nfs/dbraw/zinc/78/98/89/726789889.db2.gz RJWUZVUYNCZTCH-QWRGUYRKSA-N -1 1 334.384 -0.958 20 0 EBADMM Cn1ccc(CC(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001007319674 726792099 /nfs/dbraw/zinc/79/20/99/726792099.db2.gz MEEFITYSQNCKEY-GFCCVEGCSA-N -1 1 333.396 -0.835 20 0 EBADMM CCn1ccc(CC(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001007370790 726792913 /nfs/dbraw/zinc/79/29/13/726792913.db2.gz DANSAHIHOUZRME-CYBMUJFWSA-N -1 1 347.423 -0.352 20 0 EBADMM CCc1cc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001008977805 726837919 /nfs/dbraw/zinc/83/79/19/726837919.db2.gz ZBOAJQPBBBTAKX-GFCCVEGCSA-N -1 1 347.423 -0.201 20 0 EBADMM CCCn1cc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001009012457 726843390 /nfs/dbraw/zinc/84/33/90/726843390.db2.gz FDVNPGXWSDVNNF-NSHDSACASA-N -1 1 348.411 -0.496 20 0 EBADMM O=C(NC[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)[C@@H]1CCC(=O)N1 ZINC001009316287 726889504 /nfs/dbraw/zinc/88/95/04/726889504.db2.gz UAIKHINUIUSLDM-YFKTTZPYSA-N -1 1 344.371 -0.500 20 0 EBADMM Cc1nc[nH]c1CC(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001009346817 726895797 /nfs/dbraw/zinc/89/57/97/726895797.db2.gz NHDZNMJTWDRUJF-LLVKDONJSA-N -1 1 333.396 -0.537 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)c3ccc(=O)[nH]c3)C2)nc1=O ZINC001009412131 726907911 /nfs/dbraw/zinc/90/79/11/726907911.db2.gz VSQKFIOZCOZTAE-LLVKDONJSA-N -1 1 332.364 -0.397 20 0 EBADMM C[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])CN1C(=O)Cn1ncnn1 ZINC001010169861 727041082 /nfs/dbraw/zinc/04/10/82/727041082.db2.gz BEMLDIJBSUQNQP-QWRGUYRKSA-N -1 1 345.363 -0.559 20 0 EBADMM CCC(=O)N1CCCC2(CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)C1 ZINC001010741680 727137253 /nfs/dbraw/zinc/13/72/53/727137253.db2.gz WTHNQISLNFBCQM-UHFFFAOYSA-N -1 1 344.375 -0.108 20 0 EBADMM O=C(CC1CCC1)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001010895035 727164606 /nfs/dbraw/zinc/16/46/06/727164606.db2.gz PUCUFPIIVYJCHV-UHFFFAOYSA-N -1 1 344.375 -0.108 20 0 EBADMM C/C=C(/C)C(=O)N1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001010943789 727168203 /nfs/dbraw/zinc/16/82/03/727168203.db2.gz LRJSVURVHCLMFG-BASWHVEKSA-N -1 1 334.376 -0.436 20 0 EBADMM C[C@@]1(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)CCC(=O)N1 ZINC001011036766 727186754 /nfs/dbraw/zinc/18/67/54/727186754.db2.gz XYIYSEIGMZGDGR-INIZCTEOSA-N -1 1 332.360 -0.260 20 0 EBADMM C[C@@]1(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)CNC(=O)C1 ZINC001011037941 727187127 /nfs/dbraw/zinc/18/71/27/727187127.db2.gz UNAIKUAJWYUOME-INIZCTEOSA-N -1 1 332.360 -0.402 20 0 EBADMM O=C([C@@H]1C[C@H]2CC[C@@H]1O2)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051840054 735422685 /nfs/dbraw/zinc/42/26/85/735422685.db2.gz KXPSYLXWXBAWTR-KXNHARMFSA-N -1 1 337.380 -0.605 20 0 EBADMM O=C([C@@H]1C[C@H]2CC[C@@H]1O2)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051840053 735422951 /nfs/dbraw/zinc/42/29/51/735422951.db2.gz KXPSYLXWXBAWTR-KKOKHZNYSA-N -1 1 337.380 -0.605 20 0 EBADMM O=C(CCc1ncccn1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051874627 735439390 /nfs/dbraw/zinc/43/93/90/735439390.db2.gz COCRTJCIVGPDHX-NSHDSACASA-N -1 1 347.379 -0.750 20 0 EBADMM C[C@@H]1C[C@H]1CC(=O)N1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001011557135 727541929 /nfs/dbraw/zinc/54/19/29/727541929.db2.gz ILJKJHPZKVDHKX-OLZOCXBDSA-N -1 1 348.403 -0.356 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(C(=O)[C@H]2CC23CC3)CC1 ZINC001011635636 727551644 /nfs/dbraw/zinc/55/16/44/727551644.db2.gz GPWLAUCZQOTFRB-SECBINFHSA-N -1 1 348.359 -0.019 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCN(C(=O)C2(CF)CC2)CC1 ZINC001011637683 727552273 /nfs/dbraw/zinc/55/22/73/727552273.db2.gz MRCWWJJFHFUJJQ-UHFFFAOYSA-N -1 1 348.338 -0.548 20 0 EBADMM C[C@H]1[C@H](NC(=O)Cn2cnnn2)CCN1C(=O)c1ncccc1[O-] ZINC001011670998 727556448 /nfs/dbraw/zinc/55/64/48/727556448.db2.gz CXQPWBGWLBPEIV-VHSXEESVSA-N -1 1 331.336 -0.807 20 0 EBADMM CC(=O)N1CC(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])[C@@H]2C)C1 ZINC001011693035 727559984 /nfs/dbraw/zinc/55/99/84/727559984.db2.gz IYUKRSOKYNTKCA-GWCFXTLKSA-N -1 1 346.387 -0.015 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1cnn(C)n1 ZINC001011891772 727597228 /nfs/dbraw/zinc/59/72/28/727597228.db2.gz LLUOPFYTXHOTDS-NXEZZACHSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@H]1[C@@H](NC(=O)C2(C)CC2)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001011900043 727600082 /nfs/dbraw/zinc/60/00/82/727600082.db2.gz SZEWKGDPBRUJAF-RYUDHWBXSA-N -1 1 348.403 -0.168 20 0 EBADMM CC(C)C(=O)N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)[C@H]1C ZINC001011912682 727602401 /nfs/dbraw/zinc/60/24/01/727602401.db2.gz KWLKDGIHWQQEKR-UWVGGRQHSA-N -1 1 332.364 -0.207 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CCn1cnnn1 ZINC001012009475 727620947 /nfs/dbraw/zinc/62/09/47/727620947.db2.gz NJZZJSOAAAJLHV-QWRGUYRKSA-N -1 1 345.363 -0.417 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CN1C(=O)C1CCC1 ZINC001012140941 727639669 /nfs/dbraw/zinc/63/96/69/727639669.db2.gz GFRLMMSWNWKUNW-KOLCDFICSA-N -1 1 344.375 -0.063 20 0 EBADMM CC(C)C(=O)N1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC001012306240 727652548 /nfs/dbraw/zinc/65/25/48/727652548.db2.gz AYSBWNUSUUFQOM-NXEZZACHSA-N -1 1 332.364 -0.207 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2C[C@H](C)N(C(=O)CCc3nc[nH]n3)C2)c1[O-] ZINC001012455666 727667746 /nfs/dbraw/zinc/66/77/46/727667746.db2.gz PTIZCOFYOXXJCH-WPRPVWTQSA-N -1 1 347.379 -0.106 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)CN1CN=NC1=O ZINC001012503233 727672683 /nfs/dbraw/zinc/67/26/83/727672683.db2.gz VDCUCSGYGORAHS-UWVGGRQHSA-N -1 1 346.347 -0.496 20 0 EBADMM CC(=O)N1CC(C(=O)N2C[C@H](NC(=O)c3ncccc3[O-])C[C@H]2C)C1 ZINC001012530185 727677028 /nfs/dbraw/zinc/67/70/28/727677028.db2.gz ZUMNEMIYFLCGAB-ZWNOBZJWSA-N -1 1 346.387 -0.015 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1cnnn1C ZINC001012544857 727679311 /nfs/dbraw/zinc/67/93/11/727679311.db2.gz AZIUZCDIKBKAOD-UWVGGRQHSA-N -1 1 330.348 -0.051 20 0 EBADMM O=C(c1ccc(=O)[nH]c1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051922009 735463164 /nfs/dbraw/zinc/46/31/64/735463164.db2.gz NIRSSSWNOVTKJY-SNVBAGLBSA-N -1 1 334.336 -0.758 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)C2CCC2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001013238317 727786008 /nfs/dbraw/zinc/78/60/08/727786008.db2.gz CPSMNYIDHQZENT-YPMHNXCESA-N -1 1 348.403 -0.168 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)CC2CC2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001013241261 727786729 /nfs/dbraw/zinc/78/67/29/727786729.db2.gz QWOALHOAVXEIAY-WCQYABFASA-N -1 1 348.403 -0.168 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)C2(C)CC2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001013325740 727794020 /nfs/dbraw/zinc/79/40/20/727794020.db2.gz KTPADAKUPNBTLA-NXEZZACHSA-N -1 1 344.375 -0.063 20 0 EBADMM Cc1nn[nH]c1C(=O)N1C[C@H](NC(=O)c2[nH]nc(C)c2[O-])C[C@H]1C ZINC001013544982 727816903 /nfs/dbraw/zinc/81/69/03/727816903.db2.gz XFHMEXKEEPUDLT-HZGVNTEJSA-N -1 1 333.352 -0.117 20 0 EBADMM Cc1n[nH]c(C)c1CC(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051930396 735468821 /nfs/dbraw/zinc/46/88/21/735468821.db2.gz NOWHDSQMIAIYSS-LLVKDONJSA-N -1 1 349.395 -0.590 20 0 EBADMM C[C@H](C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)c1ccnn1C ZINC001014471165 727958871 /nfs/dbraw/zinc/95/88/71/727958871.db2.gz MDLDJZOSCHOOFL-QWRGUYRKSA-N -1 1 333.396 -0.664 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ccn(C(F)F)n3)C2)nc1=O ZINC001014574789 727972210 /nfs/dbraw/zinc/97/22/10/727972210.db2.gz XTQIDFMKUBLLDW-QMMMGPOBSA-N -1 1 341.322 -0.296 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cc4n(n3)CCC4)C2)nc1=O ZINC001014657392 727988626 /nfs/dbraw/zinc/98/86/26/727988626.db2.gz XHXHMSYBIIBGJC-SNVBAGLBSA-N -1 1 331.380 -0.745 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cn(C(C)(C)C)nn3)C2)nc1=O ZINC001014660239 727989314 /nfs/dbraw/zinc/98/93/14/727989314.db2.gz XOFBPIVOSIRQGY-SNVBAGLBSA-N -1 1 348.411 -0.541 20 0 EBADMM Cc1nn(C)cc1CC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001014752720 728005042 /nfs/dbraw/zinc/00/50/42/728005042.db2.gz MQPNVZFITUHSMU-GFCCVEGCSA-N -1 1 333.396 -0.916 20 0 EBADMM Cc1ccncc1CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001014777502 728007440 /nfs/dbraw/zinc/00/74/40/728007440.db2.gz XLVYWKLKYJSQRQ-ZDUSSCGKSA-N -1 1 330.392 -0.255 20 0 EBADMM CCc1c[nH]c(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001014796010 728012044 /nfs/dbraw/zinc/01/20/44/728012044.db2.gz JSGJLOQSZHODKU-NSHDSACASA-N -1 1 333.396 -0.673 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CCCN1C(=O)C(N)=O ZINC001014958270 728041148 /nfs/dbraw/zinc/04/11/48/728041148.db2.gz XCGJSLFVKKGFGB-GZMMTYOYSA-N -1 1 347.375 -0.346 20 0 EBADMM C[C@H]1[C@@H](NC(=O)Cn2ncnn2)CCCN1C(=O)c1ncccc1[O-] ZINC001014962231 728042497 /nfs/dbraw/zinc/04/24/97/728042497.db2.gz LSDBGTCLBYGENQ-QWRGUYRKSA-N -1 1 345.363 -0.417 20 0 EBADMM COCC(=O)N1CCC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H]1C ZINC001015086156 728076018 /nfs/dbraw/zinc/07/60/18/728076018.db2.gz USNPQVBVDWLEAM-UWVGGRQHSA-N -1 1 348.363 -0.827 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2nnn(C)n2)CCCN1C(=O)c1ncccc1[O-] ZINC001015129779 728089717 /nfs/dbraw/zinc/08/97/17/728089717.db2.gz VEUKDCAGAIRPLR-VHSXEESVSA-N -1 1 345.363 -0.266 20 0 EBADMM COCc1ccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)o1 ZINC001015190248 728109590 /nfs/dbraw/zinc/10/95/90/728109590.db2.gz UEIZPXIAGVBMDR-JTQLQIEISA-N -1 1 335.364 -0.148 20 0 EBADMM CC(C)n1ccc(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001015345494 728403895 /nfs/dbraw/zinc/40/38/95/728403895.db2.gz QGRFZPZDPYLAQY-ZDUSSCGKSA-N -1 1 347.423 -0.181 20 0 EBADMM Cc1ncc(C(=O)N(C)C2CC(NC(=O)Cn3c(=O)[n-][nH]c3=O)C2)[nH]1 ZINC001016329404 728456914 /nfs/dbraw/zinc/45/69/14/728456914.db2.gz ICHXRXRXLLUGHF-UHFFFAOYSA-N -1 1 349.351 -0.860 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001016427976 728471952 /nfs/dbraw/zinc/47/19/52/728471952.db2.gz QBUOMANXBKGRBK-VOMCLLRMSA-N -1 1 346.387 -0.015 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)Cn2ncnn2)C1 ZINC001016430013 728472880 /nfs/dbraw/zinc/47/28/80/728472880.db2.gz DMAJZDXMRQFFFW-UHFFFAOYSA-N -1 1 331.336 -0.807 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)c1cnn(C)c1 ZINC001016450720 728475644 /nfs/dbraw/zinc/47/56/44/728475644.db2.gz WJQBEXDSYIHVLG-ZYHUDNBSSA-N -1 1 333.396 -0.664 20 0 EBADMM CN(C(=O)Cn1nccn1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016555926 728480424 /nfs/dbraw/zinc/48/04/24/728480424.db2.gz QKAXGPNOSQAACG-UHFFFAOYSA-N -1 1 330.348 -0.202 20 0 EBADMM CN(C(=O)[C@H]1CCNC1=O)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016834419 728515165 /nfs/dbraw/zinc/51/51/65/728515165.db2.gz WIBJEXNFMAWLQC-ILDUYXDCSA-N -1 1 332.360 -0.358 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)CCn2ccnn2)C1 ZINC001017112717 728547821 /nfs/dbraw/zinc/54/78/21/728547821.db2.gz FIFRSWYHWVHIIU-LBPRGKRZSA-N -1 1 348.411 -0.138 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)c2cn[nH]c(=O)c2)C1 ZINC001017148953 728551001 /nfs/dbraw/zinc/55/10/01/728551001.db2.gz JQSYPONRGOMCQG-SNVBAGLBSA-N -1 1 347.379 -0.010 20 0 EBADMM O=C(Cn1nccn1)N1CCC(C2(NC(=O)c3cnn[nH]3)CC2)CC1 ZINC001017177087 728551848 /nfs/dbraw/zinc/55/18/48/728551848.db2.gz XKMCSWGBXVFAMB-UHFFFAOYSA-N -1 1 344.379 -0.403 20 0 EBADMM O=C(NC1(C2CCN(C(=O)[C@H]3COC(=O)N3)CC2)CC1)c1cnn[nH]1 ZINC001017174109 728552178 /nfs/dbraw/zinc/55/21/78/728552178.db2.gz AVRPPJHOZMTINE-LLVKDONJSA-N -1 1 348.363 -0.586 20 0 EBADMM O=C(NCC1=CCN(C(=O)c2ncccc2[O-])CC1)[C@@H]1CNC(=O)N1 ZINC001017688382 728604384 /nfs/dbraw/zinc/60/43/84/728604384.db2.gz JOXXUAAILVOOCW-NSHDSACASA-N -1 1 345.359 -0.643 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)c2n[nH]cc2F)nc1=O ZINC001017907476 728622645 /nfs/dbraw/zinc/62/26/45/728622645.db2.gz PURRFGOJIMBRFF-DTORHVGOSA-N -1 1 335.343 -0.540 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)c2cccnn2)nc1=O ZINC001018089136 728632362 /nfs/dbraw/zinc/63/23/62/728632362.db2.gz DGUURWOXLMVZMF-PHIMTYICSA-N -1 1 329.364 -0.613 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)Cn1cnnn1 ZINC001018098742 728633484 /nfs/dbraw/zinc/63/34/84/728633484.db2.gz JRFOVLZLIGYXIX-MNOVXSKESA-N -1 1 345.363 -0.417 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CN1C(=O)c1ccn[nH]1 ZINC001018292072 728653995 /nfs/dbraw/zinc/65/39/95/728653995.db2.gz UVZUKYKWQOIXDB-YUMQZZPRSA-N -1 1 347.335 -0.571 20 0 EBADMM O=C(c1cnc2[nH]cnc2c1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001018924641 728702854 /nfs/dbraw/zinc/70/28/54/728702854.db2.gz JYBWDFPNGOABDN-VIFPVBQESA-N -1 1 328.336 -0.214 20 0 EBADMM Cc1nc2nccc(C(=O)N3CC[C@H](NCc4n[nH]c(=O)[n-]4)C3)n2n1 ZINC001019645259 728957487 /nfs/dbraw/zinc/95/74/87/728957487.db2.gz FNSJKMQKVINGQZ-VIFPVBQESA-N -1 1 343.351 -0.739 20 0 EBADMM Cc1nc([C@@H](C)N[C@H]2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)n[nH]1 ZINC001019710603 728976517 /nfs/dbraw/zinc/97/65/17/728976517.db2.gz REBOIQBGOYMJTK-APPZFPTMSA-N -1 1 336.356 -0.932 20 0 EBADMM NC(=O)CC(=O)N1CC[C@@H]2OCCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001019862920 729015513 /nfs/dbraw/zinc/01/55/13/729015513.db2.gz DFQDXHCHNFFANY-PWSUYJOCSA-N -1 1 348.359 -0.896 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@H]1COCCO1 ZINC001020077912 729066368 /nfs/dbraw/zinc/06/63/68/729066368.db2.gz IFAVJZCUSOXMIV-GMXVVIOVSA-N -1 1 335.360 -0.078 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@@H]1CN(C)CCO1 ZINC001020145390 729084357 /nfs/dbraw/zinc/08/43/57/729084357.db2.gz DOPDOEITIUCJQC-OBJOEFQTSA-N -1 1 348.403 -0.163 20 0 EBADMM C[C@H]1[C@@H](NC(=O)[C@H]2COCCN2C)CCN1C(=O)c1ncccc1[O-] ZINC001020205253 729099884 /nfs/dbraw/zinc/09/98/84/729099884.db2.gz DIYQURWQGNNPDN-RWMBFGLXSA-N -1 1 348.403 -0.163 20 0 EBADMM CCn1ccc(CN[C@H]2C[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001020352879 729144256 /nfs/dbraw/zinc/14/42/56/729144256.db2.gz DCCSQDDIVPJHDM-MGCOHNPYSA-N -1 1 333.352 -0.450 20 0 EBADMM C[C@@H](N[C@H]1C[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1)c1nncn1C ZINC001020353117 729144442 /nfs/dbraw/zinc/14/44/42/729144442.db2.gz PQXGOJHZSCOXMP-BWZBUEFSSA-N -1 1 334.340 -0.977 20 0 EBADMM COc1cncc(CN[C@H]2C[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001020353142 729144763 /nfs/dbraw/zinc/14/47/63/729144763.db2.gz QSAGWMFSCIDLPO-ZKCHVHJHSA-N -1 1 347.335 -0.868 20 0 EBADMM CCc1nnc([C@@H](C)N[C@H]2C[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC001020353104 729144765 /nfs/dbraw/zinc/14/47/65/729144765.db2.gz PJIBBPKHIFRRIS-BWZBUEFSSA-N -1 1 348.367 -0.425 20 0 EBADMM CC(=O)NC[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC001020777226 729233094 /nfs/dbraw/zinc/23/30/94/729233094.db2.gz XCHZKQNIKRBPQW-MWLCHTKSSA-N -1 1 332.364 -0.348 20 0 EBADMM O=C(c1ncccc1[O-])N1CC[C@H]2CN(C(=O)[C@H]3CCNC3=O)C[C@H]21 ZINC001021442032 729314071 /nfs/dbraw/zinc/31/40/71/729314071.db2.gz FOAQEOJTICKVQO-SDDRHHMPSA-N -1 1 344.371 -0.404 20 0 EBADMM NC(=O)C1(C(=O)N2C[C@@H]3CCN(C(=O)c4ncccc4[O-])[C@@H]3C2)CC1 ZINC001021444097 729314350 /nfs/dbraw/zinc/31/43/50/729314350.db2.gz HXNYRIXARSVMNC-WDEREUQCSA-N -1 1 344.371 -0.274 20 0 EBADMM CCn1ccnc1CN[C@H]1C[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001022369786 729486044 /nfs/dbraw/zinc/48/60/44/729486044.db2.gz PDAJKVVZLPHCGH-DTORHVGOSA-N -1 1 333.352 -0.450 20 0 EBADMM COc1cc(CN[C@H]2C[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)on1 ZINC001022369679 729486082 /nfs/dbraw/zinc/48/60/82/729486082.db2.gz MPUUDKDICOZPDK-KNVOCYPGSA-N -1 1 336.308 -0.670 20 0 EBADMM CCn1ccnc1CN[C@H]1C[C@@H](NC(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001022528624 729503907 /nfs/dbraw/zinc/50/39/07/729503907.db2.gz HMIAOYXHPPVQIU-PHIMTYICSA-N -1 1 346.391 -0.247 20 0 EBADMM Cn1ccc(CN[C@H]2C[C@@H](NC(=O)c3c[n-]n4c3nccc4=O)C2)n1 ZINC001022821209 729535054 /nfs/dbraw/zinc/53/50/54/729535054.db2.gz LHXNMUNLZYAIQN-TXEJJXNPSA-N -1 1 341.375 -0.193 20 0 EBADMM Cn1cc(CC(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)cn1 ZINC001023177967 729629976 /nfs/dbraw/zinc/62/99/76/729629976.db2.gz IQDGTNGGEKMRLO-DGCLKSJQSA-N -1 1 345.359 -0.935 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](CNC(=O)[C@@H]3CCCOC3)C2)nc1=O ZINC001023268505 729653552 /nfs/dbraw/zinc/65/35/52/729653552.db2.gz JGXXJEBVWKDCCD-CHWSQXEVSA-N -1 1 337.424 -0.137 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](CNC(=O)[C@@H]3CCCOC3)C2)nc1=O ZINC001023268508 729653741 /nfs/dbraw/zinc/65/37/41/729653741.db2.gz JGXXJEBVWKDCCD-QWHCGFSZSA-N -1 1 337.424 -0.137 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](CNC(=O)c3cccc(=O)[nH]3)C2)nc1=O ZINC001023294866 729659297 /nfs/dbraw/zinc/65/92/97/729659297.db2.gz ZDJHAZKORVNXJL-LLVKDONJSA-N -1 1 346.391 -0.149 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)ncn1 ZINC001023310941 729662000 /nfs/dbraw/zinc/66/20/00/729662000.db2.gz AKEGXIKLLRYBDO-GFCCVEGCSA-N -1 1 345.407 -0.151 20 0 EBADMM CCn1ncc(C(=O)NC[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001023355037 729669703 /nfs/dbraw/zinc/66/97/03/729669703.db2.gz SPDKHZCUVBGBTG-NSHDSACASA-N -1 1 348.411 -0.638 20 0 EBADMM Cc1ccc(C(=O)NC[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001023362238 729671635 /nfs/dbraw/zinc/67/16/35/729671635.db2.gz MPEUPXUKSSWJKD-GFCCVEGCSA-N -1 1 345.407 -0.151 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](CNC(=O)c3cn[nH]c(=O)c3)C2)nc1=O ZINC001023435100 729688819 /nfs/dbraw/zinc/68/88/19/729688819.db2.gz BBUVJLGQIYCLBA-JTQLQIEISA-N -1 1 347.379 -0.754 20 0 EBADMM Cc1nnccc1C(=O)NC[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001023468431 729696156 /nfs/dbraw/zinc/69/61/56/729696156.db2.gz TXDXJTATPPFUBX-LBPRGKRZSA-N -1 1 345.407 -0.151 20 0 EBADMM Cc1cc(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)on1 ZINC001023486024 729701618 /nfs/dbraw/zinc/70/16/18/729701618.db2.gz AJOIGYGMJMBHTC-MWLCHTKSSA-N -1 1 332.316 -0.301 20 0 EBADMM COc1cc(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)on1 ZINC001023488382 729701969 /nfs/dbraw/zinc/70/19/69/729701969.db2.gz FPHFLORYMKMOES-PSASIEDQSA-N -1 1 348.315 -0.601 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)[C@@H]2CCCCO2)C[C@H]1O)c1ncccc1[O-] ZINC001023488695 729702889 /nfs/dbraw/zinc/70/28/89/729702889.db2.gz SNVOGECNMVZQJC-RTXFEEFZSA-N -1 1 335.360 -0.342 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccn2)C[C@H]1O)c1ncccc1[O-] ZINC001023490357 729703615 /nfs/dbraw/zinc/70/36/15/729703615.db2.gz FYJVVBMWPXBXBY-MWLCHTKSSA-N -1 1 329.316 -0.808 20 0 EBADMM Cc1nc[nH]c1C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023493411 729704308 /nfs/dbraw/zinc/70/43/08/729704308.db2.gz CKFLMNSEMMNICX-MWLCHTKSSA-N -1 1 331.332 -0.566 20 0 EBADMM C[C@@H]1CCO[C@@H]1C(=O)NC[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001023596955 729734323 /nfs/dbraw/zinc/73/43/23/729734323.db2.gz CGSMBPRQPFSKAZ-DYEKYZERSA-N -1 1 337.424 -0.138 20 0 EBADMM Cc1nccc(C(=O)NC[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001023756549 729793715 /nfs/dbraw/zinc/79/37/15/729793715.db2.gz WTUSKYOXMJFCBR-GFCCVEGCSA-N -1 1 345.407 -0.151 20 0 EBADMM Cc1c[nH]c(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)n1 ZINC001023914161 729815639 /nfs/dbraw/zinc/81/56/39/729815639.db2.gz XHFAZGZKFYHVHW-MWLCHTKSSA-N -1 1 331.332 -0.566 20 0 EBADMM Cc1nn(C)cc1C(=O)NC[C@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001024366771 729878088 /nfs/dbraw/zinc/87/80/88/729878088.db2.gz BKKTXDLDOKNZLY-GFCCVEGCSA-N -1 1 347.423 -0.065 20 0 EBADMM Cn1[nH]c(CN2CCCC[C@H]2CNC(=O)c2ncccc2[O-])nc1=O ZINC001024408054 729882646 /nfs/dbraw/zinc/88/26/46/729882646.db2.gz WDXMVIWRQSHXSP-NSHDSACASA-N -1 1 346.391 -0.007 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H]2CNC(=O)[C@]23C[C@H]2COC3)nc1=O ZINC001024451577 729889217 /nfs/dbraw/zinc/88/92/17/729889217.db2.gz ZEKXJTHPBGCATB-OZVIIMIRSA-N -1 1 335.408 -0.384 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H]2CNC(=O)c2cn[nH]c(=O)c2)nc1=O ZINC001024496013 729893451 /nfs/dbraw/zinc/89/34/51/729893451.db2.gz UEEWMZPPPNCNDU-LLVKDONJSA-N -1 1 347.379 -0.612 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H]2CNC(=O)c2ccc(=O)[nH]c2)nc1=O ZINC001024786936 729929187 /nfs/dbraw/zinc/92/91/87/729929187.db2.gz VHIXMLPUKYYUDA-LBPRGKRZSA-N -1 1 346.391 -0.007 20 0 EBADMM O=C([C@H]1CCCC(=O)N1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024994909 729983434 /nfs/dbraw/zinc/98/34/34/729983434.db2.gz PKBMUAGHYWGOSE-GHMZBOCLSA-N -1 1 336.396 -0.350 20 0 EBADMM O=C(Cn1ccccc1=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001025156507 730008058 /nfs/dbraw/zinc/00/80/58/730008058.db2.gz NMUWEZDRGLXUCY-GFCCVEGCSA-N -1 1 346.391 -0.157 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)[C@@]1(F)CCOC1 ZINC001025206657 730014837 /nfs/dbraw/zinc/01/48/37/730014837.db2.gz WLANTKOFCVLBAF-XDMRBOTDSA-N -1 1 339.323 -0.783 20 0 EBADMM C[C@@]1(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)CCCOC1 ZINC001025318695 730030385 /nfs/dbraw/zinc/03/03/85/730030385.db2.gz BVHBGEDMTAZYBD-CJBNDPTMSA-N -1 1 349.387 -0.095 20 0 EBADMM O=C(CC1=CCOCC1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001025492202 730046899 /nfs/dbraw/zinc/04/68/99/730046899.db2.gz MBJZJCDMTYIINT-TZMCWYRMSA-N -1 1 347.371 -0.175 20 0 EBADMM CO[C@H]1CC[C@@H](C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)C1 ZINC001025825369 730098209 /nfs/dbraw/zinc/09/82/09/730098209.db2.gz YBTLRUPLAWEIGP-MOWSAHLDSA-N -1 1 335.408 -0.530 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)CCO1 ZINC001026125532 730122575 /nfs/dbraw/zinc/12/25/75/730122575.db2.gz DPHOOXZFNHTRND-MOWSAHLDSA-N -1 1 335.408 -0.530 20 0 EBADMM Cc1n[nH]c(C(=O)NC2CCC(NCc3nnnn3C)CC2)c1[O-] ZINC001026479146 730146120 /nfs/dbraw/zinc/14/61/20/730146120.db2.gz BAABFVJZHFDZLX-UHFFFAOYSA-N -1 1 334.384 -0.222 20 0 EBADMM CCc1noc(CNC[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001026940220 730173567 /nfs/dbraw/zinc/17/35/67/730173567.db2.gz CIDZQGPOBOQKAO-QMMMGPOBSA-N -1 1 349.351 -0.520 20 0 EBADMM CCc1nnc(CNC[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC001026941656 730173866 /nfs/dbraw/zinc/17/38/66/730173866.db2.gz NUNPLCMCLHVWBL-QMMMGPOBSA-N -1 1 349.351 -0.520 20 0 EBADMM Cc1nnc(CNC[C@H]2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)o1 ZINC001027191172 730195923 /nfs/dbraw/zinc/19/59/23/730195923.db2.gz ICHXCKZXIIGQIZ-SECBINFHSA-N -1 1 337.340 -0.981 20 0 EBADMM Cc1cnc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001027731858 730236634 /nfs/dbraw/zinc/23/66/34/730236634.db2.gz HGXDSZFKHOXNJR-NSHDSACASA-N -1 1 331.380 -0.399 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)nn1C ZINC001027775995 730238577 /nfs/dbraw/zinc/23/85/77/730238577.db2.gz HITHMJOHIOJOBK-NSHDSACASA-N -1 1 333.396 -0.455 20 0 EBADMM Cc1[nH]nc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)c1C ZINC001027800711 730239649 /nfs/dbraw/zinc/23/96/49/730239649.db2.gz YXEDHECUBMJMPM-NSHDSACASA-N -1 1 333.396 -0.157 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H]2CNC(=O)c2ccc(=O)n(C)c2)nc1=O ZINC001027991253 730254478 /nfs/dbraw/zinc/25/44/78/730254478.db2.gz YTFJMJOLKFLUMI-GFCCVEGCSA-N -1 1 346.391 -0.799 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H]2CNC(=O)c2n[nH]c3c2CCC3)nc1=O ZINC001027995379 730255271 /nfs/dbraw/zinc/25/52/71/730255271.db2.gz MVERDGUNFAJEQK-SNVBAGLBSA-N -1 1 345.407 -0.285 20 0 EBADMM Cc1nc([C@@H](C)N2CCC[C@H]2CNC(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC001027999396 730255589 /nfs/dbraw/zinc/25/55/89/730255589.db2.gz HIZSVIQTCUVSSO-APPZFPTMSA-N -1 1 348.367 -0.335 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H]2CNC(=O)c2ccc(C(N)=O)o2)nc1=O ZINC001028015161 730257556 /nfs/dbraw/zinc/25/75/56/730257556.db2.gz VWKRRTMQYICRRW-VIFPVBQESA-N -1 1 348.363 -0.805 20 0 EBADMM Cc1ccc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)c(=O)[nH]1 ZINC001028162618 730271516 /nfs/dbraw/zinc/27/15/16/730271516.db2.gz JYKKJQRKZIRZDV-LLVKDONJSA-N -1 1 346.391 -0.088 20 0 EBADMM CC[C@H](C(N)=O)N1CCC[C@@H]1CNC(=O)c1c[n-]n2c1nccc2=O ZINC001028186840 730272684 /nfs/dbraw/zinc/27/26/84/730272684.db2.gz UIOCOPPDMDXAGQ-ZYHUDNBSSA-N -1 1 346.391 -0.519 20 0 EBADMM COc1cccnc1C(=O)NC[C@@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001028282146 730279535 /nfs/dbraw/zinc/27/95/35/730279535.db2.gz ADWCAPFZPZAZDK-NSHDSACASA-N -1 1 346.391 -0.094 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H]2CNC(=O)c2cccn(C)c2=O)nc1=O ZINC001028272597 730279592 /nfs/dbraw/zinc/27/95/92/730279592.db2.gz VULCVMAGKQIMNE-LLVKDONJSA-N -1 1 346.391 -0.799 20 0 EBADMM COc1c(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)cnn1C ZINC001028288333 730279812 /nfs/dbraw/zinc/27/98/12/730279812.db2.gz FDAXVDHOBPYABO-SNVBAGLBSA-N -1 1 349.395 -0.755 20 0 EBADMM Cc1nnsc1C(=O)NC[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001028400653 730290354 /nfs/dbraw/zinc/29/03/54/730290354.db2.gz TVLYHCNWQSZBPB-SECBINFHSA-N -1 1 337.409 -0.480 20 0 EBADMM Cc1noc(C(=O)NC[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC001028617749 730324725 /nfs/dbraw/zinc/32/47/25/730324725.db2.gz JUTNPGZKPJWBQT-NSHDSACASA-N -1 1 334.380 -0.035 20 0 EBADMM C[C@@H](c1cnccn1)N1CC[C@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001028660731 730333344 /nfs/dbraw/zinc/33/33/44/730333344.db2.gz BRLVPNDKNVXNKW-VHSXEESVSA-N -1 1 345.363 -0.114 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)c3ccc(C(N)=O)o3)C2)nc1=O ZINC001028676572 730337004 /nfs/dbraw/zinc/33/70/04/730337004.db2.gz FWONOPHGZBRXFL-VIFPVBQESA-N -1 1 348.363 -0.948 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)[C@@H]3OC[C@@H]4CCC[C@@H]43)C2)nc1=O ZINC001028695682 730341946 /nfs/dbraw/zinc/34/19/46/730341946.db2.gz UCTMHLFJDSBFOK-UKTARXLSSA-N -1 1 349.435 -0.138 20 0 EBADMM CCc1nocc1C(=O)NC[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001028785420 730356724 /nfs/dbraw/zinc/35/67/24/730356724.db2.gz ICJGCEYLYCSEPR-SNVBAGLBSA-N -1 1 334.380 -0.089 20 0 EBADMM CCO[C@@H]1C[C@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029220495 730393552 /nfs/dbraw/zinc/39/35/52/730393552.db2.gz YDDHYORRRHTLCM-YVECIDJPSA-N -1 1 335.408 -0.291 20 0 EBADMM Cn1nccc1CC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029288179 730397003 /nfs/dbraw/zinc/39/70/03/730397003.db2.gz JNCNIWKTMMDASE-BETUJISGSA-N -1 1 345.407 -0.740 20 0 EBADMM Cc1ncc(CC(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)o1 ZINC001029336791 730399776 /nfs/dbraw/zinc/39/97/76/730399776.db2.gz WZHAETJILZQBPG-TXEJJXNPSA-N -1 1 346.391 -0.177 20 0 EBADMM C[C@@H]1C[C@H](C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)CO1 ZINC001029366656 730401530 /nfs/dbraw/zinc/40/15/30/730401530.db2.gz IKADPYFHYNBMBB-XQHKEYJVSA-N -1 1 335.408 -0.291 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)[C@@H]2COCCO2)C1 ZINC001029656576 730480435 /nfs/dbraw/zinc/48/04/35/730480435.db2.gz TZDLKASVVMROIE-QWRGUYRKSA-N -1 1 325.369 -0.794 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)C[C@@H]2CCC(=O)N2)C1 ZINC001029867348 730515335 /nfs/dbraw/zinc/51/53/35/730515335.db2.gz VSYJFLGDLQCJEI-MNOVXSKESA-N -1 1 336.396 -0.541 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)[C@@H]2CCNC2=O)CC1 ZINC001029984053 730531747 /nfs/dbraw/zinc/53/17/47/730531747.db2.gz WSOCOJMAVPIJFN-LLVKDONJSA-N -1 1 336.396 -0.683 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)c2nnn(C)n2)CC1 ZINC001029987074 730532467 /nfs/dbraw/zinc/53/24/67/730532467.db2.gz SSSVNGAYXNWZDN-UHFFFAOYSA-N -1 1 335.372 -0.982 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ccc4cccnc4n3)C2)nc1=O ZINC001030341878 730570706 /nfs/dbraw/zinc/57/07/06/730570706.db2.gz PTQCTYSHZXUAOA-UHFFFAOYSA-N -1 1 339.359 -0.334 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ccn(C(C)(C)C)n3)C2)nc1=O ZINC001030393378 730577948 /nfs/dbraw/zinc/57/79/48/730577948.db2.gz VITMBBFTHXFFAE-UHFFFAOYSA-N -1 1 333.396 -0.326 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3[nH]ncc3C(F)(F)F)C2)nc1=O ZINC001030423119 730583141 /nfs/dbraw/zinc/58/31/41/730583141.db2.gz NIQMRPXJCDWOLV-UHFFFAOYSA-N -1 1 345.285 -0.536 20 0 EBADMM Cc1cn2c(n1)C[C@@H](C(=O)NC1CN(Cc3nc(=O)n(C)[n-]3)C1)CC2 ZINC001030525419 730599283 /nfs/dbraw/zinc/59/92/83/730599283.db2.gz LDUPOZXWZAQTSV-NSHDSACASA-N -1 1 345.407 -0.824 20 0 EBADMM Cc1nnc2ccc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)cn12 ZINC001030582167 730608338 /nfs/dbraw/zinc/60/83/38/730608338.db2.gz ACZBRQKVOGQOMR-UHFFFAOYSA-N -1 1 342.363 -0.926 20 0 EBADMM Cc1ccc2n[nH]c(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)c2c1 ZINC001030606168 730612288 /nfs/dbraw/zinc/61/22/88/730612288.db2.gz VMWNOPZRUIKALH-UHFFFAOYSA-N -1 1 341.375 -0.093 20 0 EBADMM C[C@H]1CCc2n[nH]cc2[C@@H]1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030697439 730625176 /nfs/dbraw/zinc/62/51/76/730625176.db2.gz TTWCRZFQPKMNOW-LKFCYVNXSA-N -1 1 345.407 -0.502 20 0 EBADMM C[C@H]1CCc2[nH]ncc2[C@@H]1C(=O)NC1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001030701139 730625700 /nfs/dbraw/zinc/62/57/00/730625700.db2.gz LRXNIWQXYMCJAZ-ISVAXAHUSA-N -1 1 331.380 -0.100 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ocnc3C(F)(F)F)C2)nc1=O ZINC001030742175 730631556 /nfs/dbraw/zinc/63/15/56/730631556.db2.gz OWAKLMABBWBTON-UHFFFAOYSA-N -1 1 346.269 -0.271 20 0 EBADMM CCOC1CC2(C[C@@H]2C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001030954116 730657797 /nfs/dbraw/zinc/65/77/97/730657797.db2.gz WVOIWAGOSNZPNE-BWBHSNQOSA-N -1 1 335.408 -0.386 20 0 EBADMM COc1cc(CC(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)ccn1 ZINC001030962398 730659270 /nfs/dbraw/zinc/65/92/70/730659270.db2.gz XOUXNWFAPNYHLB-UHFFFAOYSA-N -1 1 332.364 -0.945 20 0 EBADMM CN1CC[C@H](N2CC(NC(=O)c3cnc(C4CC4)[n-]c3=O)C2)C1=O ZINC001031062893 730670095 /nfs/dbraw/zinc/67/00/95/730670095.db2.gz HAHXYSUWNZVDLU-LBPRGKRZSA-N -1 1 331.376 -0.296 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cnn(CC4CC4)c3)C2)nc1=O ZINC001031213222 730686832 /nfs/dbraw/zinc/68/68/32/730686832.db2.gz TYXXUJHIKYEBSG-UHFFFAOYSA-N -1 1 331.380 -0.671 20 0 EBADMM CCCn1nc(C)c(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC001031219120 730688106 /nfs/dbraw/zinc/68/81/06/730688106.db2.gz GFOPCPQACGJUBP-UHFFFAOYSA-N -1 1 347.423 -0.054 20 0 EBADMM CCn1nncc1CN1CC(NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC001031250160 730693893 /nfs/dbraw/zinc/69/38/93/730693893.db2.gz WBHMSKDOLABNTH-UHFFFAOYSA-N -1 1 349.351 -0.878 20 0 EBADMM O=C(NC1CN(Cc2ccc(F)cn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001031250084 730693982 /nfs/dbraw/zinc/69/39/82/730693982.db2.gz SGHBJWSQQMWTCH-UHFFFAOYSA-N -1 1 343.322 -0.434 20 0 EBADMM CO[C@@H](CN1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CCC1 ZINC001031252122 730695114 /nfs/dbraw/zinc/69/51/14/730695114.db2.gz APWVAKMVXNXHSH-ZDUSSCGKSA-N -1 1 346.391 -0.353 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ccc(C4CC4)cn3)C2)nc1=O ZINC001031331489 730703818 /nfs/dbraw/zinc/70/38/18/730703818.db2.gz XJGZWQQMBIWVGJ-UHFFFAOYSA-N -1 1 328.376 -0.005 20 0 EBADMM CC(=O)Nc1cccc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001031381735 730712589 /nfs/dbraw/zinc/71/25/89/730712589.db2.gz XDECOSYKCAPHDM-UHFFFAOYSA-N -1 1 344.375 -0.319 20 0 EBADMM C[C@H]1c2ccccc2O[C@H]1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031485160 730728500 /nfs/dbraw/zinc/72/85/00/730728500.db2.gz DRJAAXUWMARHON-ZUZCIYMTSA-N -1 1 343.387 -0.027 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3[nH]cnc3C(C)(C)C)C2)nc1=O ZINC001031683996 730750313 /nfs/dbraw/zinc/75/03/13/730750313.db2.gz UZPLLHFUTYJJQL-UHFFFAOYSA-N -1 1 347.423 -0.009 20 0 EBADMM CC(C)Cn1ccc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001031702266 730753418 /nfs/dbraw/zinc/75/34/18/730753418.db2.gz XMMPWGSNIBTZMF-UHFFFAOYSA-N -1 1 347.423 -0.177 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3c(F)cncc3F)C2)nc1=O ZINC001031700266 730753436 /nfs/dbraw/zinc/75/34/36/730753436.db2.gz QXDKNSWTNZPGIS-UHFFFAOYSA-N -1 1 338.318 -0.357 20 0 EBADMM Cc1[nH]nc(Cl)c1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031720578 730757160 /nfs/dbraw/zinc/75/71/60/730757160.db2.gz AORVEFJBCQFCQM-UHFFFAOYSA-N -1 1 339.787 -0.345 20 0 EBADMM Cn1cc(Cl)cc1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031831712 730777075 /nfs/dbraw/zinc/77/70/75/730777075.db2.gz SDCNLHVKTSWJJG-UHFFFAOYSA-N -1 1 338.799 -0.038 20 0 EBADMM Cc1cncc(C)c1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031844533 730779202 /nfs/dbraw/zinc/77/92/02/730779202.db2.gz RKWZINSQTDVGAU-UHFFFAOYSA-N -1 1 330.392 -0.018 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3csc4cncn43)C2)nc1=O ZINC001032022727 730804745 /nfs/dbraw/zinc/80/47/45/730804745.db2.gz NZOLPWBJCINOIS-UHFFFAOYSA-N -1 1 347.404 -0.321 20 0 EBADMM Cn1nnc(CN2CC(CNC(=O)c3cnc(C4CC4)[n-]c3=O)C2)n1 ZINC001032034073 730805321 /nfs/dbraw/zinc/80/53/21/730805321.db2.gz OLNZYPXVIOQTSW-UHFFFAOYSA-N -1 1 344.379 -0.555 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3coc(CC4CC4)n3)C2)nc1=O ZINC001032082097 730811667 /nfs/dbraw/zinc/81/16/67/730811667.db2.gz NUXWOQBZQKOGKE-UHFFFAOYSA-N -1 1 346.391 -0.089 20 0 EBADMM O=C(NCC1CN(Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCc2[nH]cnc2C1 ZINC001032111617 730812875 /nfs/dbraw/zinc/81/28/75/730812875.db2.gz LDZAMZCKRRHKHP-SNVBAGLBSA-N -1 1 331.380 -0.414 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@@H]3COc4ccccc43)C2)nc1=O ZINC001032225323 730832298 /nfs/dbraw/zinc/83/22/98/730832298.db2.gz PGJUXQFECBTTHD-CYBMUJFWSA-N -1 1 343.387 -0.167 20 0 EBADMM Cc1cnc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)cn1 ZINC001032260685 730834440 /nfs/dbraw/zinc/83/44/40/730834440.db2.gz CMMHLAMCRUHIFS-QWRGUYRKSA-N -1 1 329.364 -0.694 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)c2cccc(=O)[nH]2)nc1=O ZINC001032336949 730843123 /nfs/dbraw/zinc/84/31/23/730843123.db2.gz VPHMIHJQDQDDRP-UWVGGRQHSA-N -1 1 330.348 -0.692 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)c2cc[nH]c(=O)c2)nc1=O ZINC001032350891 730843899 /nfs/dbraw/zinc/84/38/99/730843899.db2.gz WQQHIWAXWWECHC-QWRGUYRKSA-N -1 1 330.348 -0.692 20 0 EBADMM Cc1nc([C@H](C)N2C[C@@H]3C[C@H]2CN3C(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC001032499030 730876409 /nfs/dbraw/zinc/87/64/09/730876409.db2.gz PUKCVRLJIYAKPK-XVYDVKMFSA-N -1 1 346.351 -0.631 20 0 EBADMM Cc1nn(C)c(C)c1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032549753 730886649 /nfs/dbraw/zinc/88/66/49/730886649.db2.gz NOTSHGJMYHHJMW-RYUDHWBXSA-N -1 1 345.407 -0.442 20 0 EBADMM CCc1nocc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032612122 730899621 /nfs/dbraw/zinc/89/96/21/730899621.db2.gz ALRQXAWRESXKID-UWVGGRQHSA-N -1 1 332.364 -0.242 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)C[C@H]2CCCOC2)nc1=O ZINC001032787475 730931552 /nfs/dbraw/zinc/93/15/52/730931552.db2.gz PSPPQRNQTVSTRK-AGIUHOORSA-N -1 1 335.408 -0.290 20 0 EBADMM CCOc1cc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC001033184918 730983855 /nfs/dbraw/zinc/98/38/55/730983855.db2.gz DLVYKBZVOKMKMG-JTQLQIEISA-N -1 1 349.395 -0.423 20 0 EBADMM CN(C(=O)Cc1ccc(=O)[nH]c1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033274329 730998753 /nfs/dbraw/zinc/99/87/53/730998753.db2.gz FVOBOKRBJPEEHA-GFCCVEGCSA-N -1 1 346.391 -0.516 20 0 EBADMM CN(C(=O)c1cc(C(N)=O)co1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033411810 731020258 /nfs/dbraw/zinc/02/02/58/731020258.db2.gz CTHLPKOXJBXUBQ-SNVBAGLBSA-N -1 1 348.363 -0.853 20 0 EBADMM CN(C(=O)Cn1[n-]cc2c(=O)ncnc1-2)[C@H]1CCN(CCCF)C1 ZINC001033509407 731033500 /nfs/dbraw/zinc/03/35/00/731033500.db2.gz INRONACDYOQPGV-NSHDSACASA-N -1 1 336.371 -0.037 20 0 EBADMM CN(C(=O)c1cnn2cc[nH]c12)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033615107 731047173 /nfs/dbraw/zinc/04/71/73/731047173.db2.gz VZRZFSKILNLONT-JTQLQIEISA-N -1 1 344.379 -0.569 20 0 EBADMM CN(C(=O)c1ccc(=O)[nH]c1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033635950 731051040 /nfs/dbraw/zinc/05/10/40/731051040.db2.gz KPPYKAHONOTEDL-NSHDSACASA-N -1 1 332.364 -0.445 20 0 EBADMM CCn1nc(C)c(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001033709948 731068211 /nfs/dbraw/zinc/06/82/11/731068211.db2.gz NCCAIFIWHUMJPZ-LLVKDONJSA-N -1 1 348.411 -0.625 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])[C@H]1CCN(Cc2nc(=O)n(C)[nH]2)C1 ZINC001033803820 731075012 /nfs/dbraw/zinc/07/50/12/731075012.db2.gz LDEQWXBEZKNANQ-NSHDSACASA-N -1 1 346.391 -0.054 20 0 EBADMM CCN(C(=O)c1c[nH]nc1C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033914848 731086886 /nfs/dbraw/zinc/08/68/86/731086886.db2.gz HDQNVYFYWDKRQB-NSHDSACASA-N -1 1 333.396 -0.123 20 0 EBADMM CCN(C(=O)c1ccc(=O)[nH]c1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034037553 731099450 /nfs/dbraw/zinc/09/94/50/731099450.db2.gz YZIRMZWVKYPTPY-LBPRGKRZSA-N -1 1 346.391 -0.054 20 0 EBADMM Cc1cn(C)nc1C(=O)N[C@@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034202288 731110490 /nfs/dbraw/zinc/11/04/90/731110490.db2.gz SLTFSWGEHLQJKN-GFCCVEGCSA-N -1 1 347.423 -0.065 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N[C@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034267088 731115717 /nfs/dbraw/zinc/11/57/17/731115717.db2.gz CTUFLZVOPWCFGV-JTQLQIEISA-N -1 1 349.395 -0.370 20 0 EBADMM Cc1cnn(C)c1C(=O)N[C@@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034345896 731123492 /nfs/dbraw/zinc/12/34/92/731123492.db2.gz BOUJFRDBBXQMID-GFCCVEGCSA-N -1 1 347.423 -0.065 20 0 EBADMM Cn1cncc1CC(=O)N[C@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034487077 731135437 /nfs/dbraw/zinc/13/54/37/731135437.db2.gz DQKZOSVNINMDDD-LBPRGKRZSA-N -1 1 347.423 -0.445 20 0 EBADMM O=C(Cn1cc(C2CC2)nn1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034958115 731168158 /nfs/dbraw/zinc/16/81/58/731168158.db2.gz AIGSRPLUHSJZKM-LLVKDONJSA-N -1 1 346.395 -0.240 20 0 EBADMM C[C@]1(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CCNC(=O)C1 ZINC001034971794 731170215 /nfs/dbraw/zinc/17/02/15/731170215.db2.gz VEKMDYPANRFQEX-BMIGLBTASA-N -1 1 336.396 -0.493 20 0 EBADMM Cc1ncc(CNC[C@H]2CCCN2C(=O)c2n[nH]c(=O)[n-]c2=O)o1 ZINC001034982666 731172567 /nfs/dbraw/zinc/17/25/67/731172567.db2.gz MLGKCEVVBGMLRX-SECBINFHSA-N -1 1 334.336 -0.026 20 0 EBADMM O=C(c1ccc2nnnn2c1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034992281 731173939 /nfs/dbraw/zinc/17/39/39/731173939.db2.gz BDOVAUULGOJQDU-SNVBAGLBSA-N -1 1 343.351 -0.658 20 0 EBADMM Cn1ccnc1CNC[C@H]1CCCN1C(=O)c1cnc([O-])n(C)c1=O ZINC001035008967 731176007 /nfs/dbraw/zinc/17/60/07/731176007.db2.gz YODRKRAURGNRSA-LLVKDONJSA-N -1 1 346.391 -0.386 20 0 EBADMM Cn1cc(OCC(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)cn1 ZINC001035028647 731178356 /nfs/dbraw/zinc/17/83/56/731178356.db2.gz IWEYTNSPQAGMFO-SNVBAGLBSA-N -1 1 335.368 -0.597 20 0 EBADMM O=C([C@@H]1CCCCC(=O)N1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035045326 731180038 /nfs/dbraw/zinc/18/00/38/731180038.db2.gz IJKURZMSXMLTGV-MNOVXSKESA-N -1 1 336.396 -0.350 20 0 EBADMM O=C([C@H]1CNC(=O)N1)N1CCC2(CCN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035221218 731198961 /nfs/dbraw/zinc/19/89/61/731198961.db2.gz ZGKKZQVARUPPEB-SNVBAGLBSA-N -1 1 349.395 -0.994 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)c3cccc(F)c3)C2)nc1=O ZINC001035276759 731203489 /nfs/dbraw/zinc/20/34/89/731203489.db2.gz CJLKQWLCWYMWKJ-ZDUSSCGKSA-N -1 1 349.366 -0.122 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)c3ccsc3)C2)nc1=O ZINC001035279720 731204768 /nfs/dbraw/zinc/20/47/68/731204768.db2.gz OSGBGTVUCXLHCX-NSHDSACASA-N -1 1 337.405 -0.199 20 0 EBADMM CCN1CC[C@H](N2CCO[C@H](CNC(=O)c3ncccc3[O-])C2)C1=O ZINC001035344300 731221938 /nfs/dbraw/zinc/22/19/38/731221938.db2.gz PIVLRGFUYJINTC-OLZOCXBDSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@@H](C(=O)NC1CC1)N1CCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001035348641 731224036 /nfs/dbraw/zinc/22/40/36/731224036.db2.gz YUQMCZGQISWVIM-AAEUAGOBSA-N -1 1 348.403 -0.115 20 0 EBADMM C[C@H](C(=O)NC1CC1)N1CCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001035348656 731224059 /nfs/dbraw/zinc/22/40/59/731224059.db2.gz YUQMCZGQISWVIM-YPMHNXCESA-N -1 1 348.403 -0.115 20 0 EBADMM C[C@H]1CN(C(=O)CN2CC[C@@H]2CNC(=O)c2ncccc2[O-])CCO1 ZINC001038183602 731291164 /nfs/dbraw/zinc/29/11/64/731291164.db2.gz KUZCFQWYUNQZNS-QWHCGFSZSA-N -1 1 348.403 -0.161 20 0 EBADMM O=C(CN1CC[C@H]1CNC(=O)c1ncccc1[O-])N1CCOCC1 ZINC001038190641 731291857 /nfs/dbraw/zinc/29/18/57/731291857.db2.gz CAJMTOBJWXCWLM-LBPRGKRZSA-N -1 1 334.376 -0.550 20 0 EBADMM O=C(CN1CC[C@@H]1CNC(=O)c1ncccc1[O-])NC1CCOCC1 ZINC001038195074 731292489 /nfs/dbraw/zinc/29/24/89/731292489.db2.gz RZJAEGFUOZTCNT-CYBMUJFWSA-N -1 1 348.403 -0.114 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cn3c(n2)CCC3)nc1=O ZINC001038269017 731300774 /nfs/dbraw/zinc/30/07/74/731300774.db2.gz MZEGRKOEVBFPGS-SNVBAGLBSA-N -1 1 331.380 -0.745 20 0 EBADMM CC(C)n1nccc1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038290235 731302786 /nfs/dbraw/zinc/30/27/86/731302786.db2.gz LXODJTYFDULKSX-NSHDSACASA-N -1 1 333.396 -0.110 20 0 EBADMM CCOc1ccc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001038310529 731305201 /nfs/dbraw/zinc/30/52/01/731305201.db2.gz JLDFNKIDMZYUTG-GFCCVEGCSA-N -1 1 346.391 -0.094 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cn(C(C)(C)C)nn2)nc1=O ZINC001038327476 731307933 /nfs/dbraw/zinc/30/79/33/731307933.db2.gz KLJKSAXQZPOESO-JTQLQIEISA-N -1 1 348.411 -0.541 20 0 EBADMM Cc1[nH]nc(Cl)c1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038336225 731308979 /nfs/dbraw/zinc/30/89/79/731308979.db2.gz RAKJBUVYEIZOSI-QMMMGPOBSA-N -1 1 339.787 -0.202 20 0 EBADMM Cn1ncc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)c1Cl ZINC001038406150 731319654 /nfs/dbraw/zinc/31/96/54/731319654.db2.gz ARMLBUAHKKDNKX-MRVPVSSYSA-N -1 1 339.787 -0.500 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2ncc(F)cc2F)nc1=O ZINC001038411250 731320724 /nfs/dbraw/zinc/32/07/24/731320724.db2.gz OMSCBMSEJGEHRE-VIFPVBQESA-N -1 1 338.318 -0.214 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cc3c[nH]ccc-3n2)nc1=O ZINC001038416246 731321068 /nfs/dbraw/zinc/32/10/68/731321068.db2.gz MCTGDDBDVMAAAC-LLVKDONJSA-N -1 1 341.375 -0.011 20 0 EBADMM CCc1nnc(C)cc1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038422097 731322583 /nfs/dbraw/zinc/32/25/83/731322583.db2.gz NUYINVGVWWOBCO-LLVKDONJSA-N -1 1 345.407 -0.226 20 0 EBADMM COc1coc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)cc1=O ZINC001038515270 731337828 /nfs/dbraw/zinc/33/78/28/731337828.db2.gz NNLYRAOESJKJOH-SECBINFHSA-N -1 1 349.347 -0.926 20 0 EBADMM CCc1c(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)nnn1CC ZINC001038693926 731365423 /nfs/dbraw/zinc/36/54/23/731365423.db2.gz PFLSGXHNXKVBPZ-JTQLQIEISA-N -1 1 348.411 -0.714 20 0 EBADMM Cc1ccc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)c(=O)[nH]1 ZINC001038744039 731370854 /nfs/dbraw/zinc/37/08/54/731370854.db2.gz ZEMOVSIILBCPHW-JTQLQIEISA-N -1 1 332.364 -0.478 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cc(C3CC3)n[nH]2)nc1=O ZINC001038739560 731370988 /nfs/dbraw/zinc/37/09/88/731370988.db2.gz MOGFORNZQDHKCF-JTQLQIEISA-N -1 1 331.380 -0.287 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cc3c([nH]2)CCC3)nc1=O ZINC001038903600 731389850 /nfs/dbraw/zinc/38/98/50/731389850.db2.gz BVOGXBWRPFOFGX-NSHDSACASA-N -1 1 330.392 -0.071 20 0 EBADMM Cn1ccnc1CN1CC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001038919505 731392749 /nfs/dbraw/zinc/39/27/49/731392749.db2.gz DAMINPQNRZFMPJ-JTQLQIEISA-N -1 1 342.363 -0.845 20 0 EBADMM COc1cccnc1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038949915 731396529 /nfs/dbraw/zinc/39/65/29/731396529.db2.gz KLJWXRGFEDJDMC-SNVBAGLBSA-N -1 1 332.364 -0.484 20 0 EBADMM CCOc1cnc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001039079729 731416220 /nfs/dbraw/zinc/41/62/20/731416220.db2.gz HWFQDXXZRXCCED-SNVBAGLBSA-N -1 1 347.379 -0.699 20 0 EBADMM CCn1nc(C)c(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001039080779 731416627 /nfs/dbraw/zinc/41/66/27/731416627.db2.gz NFRSNHWUPPVKAA-SNVBAGLBSA-N -1 1 334.384 -0.967 20 0 EBADMM CCn1nc(C)c(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001039080777 731416672 /nfs/dbraw/zinc/41/66/72/731416672.db2.gz NFRSNHWUPPVKAA-JTQLQIEISA-N -1 1 334.384 -0.967 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CC[C@@H](C2)N3C(=O)c2cnccn2)nc1=O ZINC001039324115 731427477 /nfs/dbraw/zinc/42/74/77/731427477.db2.gz DGUSHKSPNYLTJL-NEPJUHHUSA-N -1 1 343.391 -0.223 20 0 EBADMM CO[C@@H](C(=O)NC[C@@]1(O)CCN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC001039597032 731458382 /nfs/dbraw/zinc/45/83/82/731458382.db2.gz OPTTWUBSSJZBIW-PBHICJAKSA-N -1 1 349.387 -0.095 20 0 EBADMM C[C@@]1(C(=O)NC[C@]2(O)CCN(C(=O)c3ncccc3[O-])C2)CCOC1 ZINC001040697111 731835985 /nfs/dbraw/zinc/83/59/85/731835985.db2.gz PGCRGUBHWNIWQD-IAGOWNOFSA-N -1 1 349.387 -0.093 20 0 EBADMM O=C(Cc1ccon1)NC[C@@H]1COCCN1C(=O)c1ncccc1[O-] ZINC001061451618 738548420 /nfs/dbraw/zinc/54/84/20/738548420.db2.gz JNANFXVTDKBBLI-GFCCVEGCSA-N -1 1 346.343 -0.025 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(CCN(C(=O)[C@H]4CCCO4)C3)C2)nc1=O ZINC001041045913 731973371 /nfs/dbraw/zinc/97/33/71/731973371.db2.gz ULYOEPRVJRPKCW-MLGOLLRUSA-N -1 1 335.408 -0.288 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(CCN(C(=O)c4cnns4)C3)C2)nc1=O ZINC001041108932 731993081 /nfs/dbraw/zinc/99/30/81/731993081.db2.gz PJQULSZHBRXAMN-CQSZACIVSA-N -1 1 349.420 -0.302 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(CCN(C(=O)[C@H]4CCCOC4)C3)C2)nc1=O ZINC001041135979 732002528 /nfs/dbraw/zinc/00/25/28/732002528.db2.gz ZWTNCZMVJSRKKV-GUYCJALGSA-N -1 1 349.435 -0.041 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@]3(CC[N@H+](Cc4nc(=O)n(C)[nH]4)C3)C2)c1 ZINC001041209713 732032523 /nfs/dbraw/zinc/03/25/23/732032523.db2.gz DASQAAMLBGFLIB-INIZCTEOSA-N -1 1 345.407 -0.420 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(CCN(C(=O)CC(C)(C)O)C3)C2)nc1=O ZINC001041239080 732045332 /nfs/dbraw/zinc/04/53/32/732045332.db2.gz BUPQIGYVBWMVOB-MRXNPFEDSA-N -1 1 337.424 -0.306 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(CCN(C(=O)c4csnn4)C3)C2)nc1=O ZINC001041519788 732146104 /nfs/dbraw/zinc/14/61/04/732146104.db2.gz RIRQLIDLJQRIHN-AWEZNQCLSA-N -1 1 349.420 -0.302 20 0 EBADMM CCc1cc(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)[nH]n1 ZINC001041594744 732164454 /nfs/dbraw/zinc/16/44/54/732164454.db2.gz WAGDLULVHWGEPR-UHFFFAOYSA-N -1 1 345.359 -0.310 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(CCN(C(=O)[C@]4(C)CCOC4)C3)C2)nc1=O ZINC001041757940 732215694 /nfs/dbraw/zinc/21/56/94/732215694.db2.gz FLOMVWRBOUPWFD-IAGOWNOFSA-N -1 1 349.435 -0.041 20 0 EBADMM CC(C)[C@@H](O)C(=O)NC[C@@H]1COCCN1C(=O)c1ncccc1[O-] ZINC001061553059 738604632 /nfs/dbraw/zinc/60/46/32/738604632.db2.gz VJCABQWPLCDHHI-BXUZGUMPSA-N -1 1 337.376 -0.239 20 0 EBADMM CC[C@H](C)OCC(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001042613526 732498524 /nfs/dbraw/zinc/49/85/24/732498524.db2.gz UDQFZTHEHMZEKV-NSHDSACASA-N -1 1 337.376 -0.095 20 0 EBADMM Cc1cnc(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)cn1 ZINC001042712946 732546701 /nfs/dbraw/zinc/54/67/01/732546701.db2.gz LFGYLMVWOYXNHK-UHFFFAOYSA-N -1 1 343.343 -0.497 20 0 EBADMM O=C(C[C@H]1CCOC1)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042713464 732547210 /nfs/dbraw/zinc/54/72/10/732547210.db2.gz YTTOQMIGZXQGLM-LLVKDONJSA-N -1 1 335.360 -0.483 20 0 EBADMM C[C@@H]1C[C@H](C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)CCO1 ZINC001042716588 732548838 /nfs/dbraw/zinc/54/88/38/732548838.db2.gz TVGRRDXAXOVEQH-VXGBXAGGSA-N -1 1 349.387 -0.095 20 0 EBADMM O=C(CCn1ccnc1)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042720125 732551152 /nfs/dbraw/zinc/55/11/52/732551152.db2.gz RFDSZUJGAKHCMF-UHFFFAOYSA-N -1 1 345.359 -0.623 20 0 EBADMM CN(C(=O)c1nn(C)cc1Cl)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042778300 732578912 /nfs/dbraw/zinc/57/89/12/732578912.db2.gz PNAKVQLRDHNEBW-UHFFFAOYSA-N -1 1 339.787 -0.548 20 0 EBADMM Cc1cc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c(C)nn1 ZINC001042795568 732589776 /nfs/dbraw/zinc/58/97/76/732589776.db2.gz QVICGNMKKJOMRL-UHFFFAOYSA-N -1 1 331.380 -0.528 20 0 EBADMM CN(C(=O)c1cnc2[nH]cnc2c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042813616 732600349 /nfs/dbraw/zinc/60/03/49/732600349.db2.gz GTFIYXYHCTUNFC-UHFFFAOYSA-N -1 1 342.363 -0.664 20 0 EBADMM COCC(=O)N1CC(O)(CNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001043097443 732754680 /nfs/dbraw/zinc/75/46/80/732754680.db2.gz MIJKSLWHYHQWDI-UHFFFAOYSA-N -1 1 336.348 -0.991 20 0 EBADMM Cc1nccn1CC(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001043130054 732778357 /nfs/dbraw/zinc/77/83/57/732778357.db2.gz JNIMEVKTNTYVLA-UHFFFAOYSA-N -1 1 345.359 -0.705 20 0 EBADMM CN(C(=O)[C@H]1CCc2cncn2C1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043141177 732784753 /nfs/dbraw/zinc/78/47/53/732784753.db2.gz YODZOVMGABVTSF-NSHDSACASA-N -1 1 345.407 -0.790 20 0 EBADMM CN(C(=O)Cn1cc(C2CC2)cn1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043163796 732798681 /nfs/dbraw/zinc/79/86/81/732798681.db2.gz WYGBLIKTTQLRKV-UHFFFAOYSA-N -1 1 345.407 -0.475 20 0 EBADMM CN(C(=O)c1n[nH]c2c1CCCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043207264 732821623 /nfs/dbraw/zinc/82/16/23/732821623.db2.gz KRUOTMYVOOMQOA-UHFFFAOYSA-N -1 1 345.407 -0.333 20 0 EBADMM Cc1nc([C@@H](C)N2CC(N(C)C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001043230758 732837037 /nfs/dbraw/zinc/83/70/37/732837037.db2.gz APNXRLBWQHAXCA-ZCFIWIBFSA-N -1 1 334.340 -0.773 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCN(c2ncccn2)C1 ZINC001061630172 738657730 /nfs/dbraw/zinc/65/77/30/738657730.db2.gz KZBBCWLKOUAJEN-SECBINFHSA-N -1 1 346.347 -0.057 20 0 EBADMM Cc1cncc(CC(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001043477656 732965688 /nfs/dbraw/zinc/96/56/88/732965688.db2.gz DSZNHFXEABHGKR-UHFFFAOYSA-N -1 1 330.392 -0.303 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001043487102 732971627 /nfs/dbraw/zinc/97/16/27/732971627.db2.gz VSYCAIFXYVLLGT-UHFFFAOYSA-N -1 1 347.331 -0.859 20 0 EBADMM COc1ncccc1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043726435 733084023 /nfs/dbraw/zinc/08/40/23/733084023.db2.gz NZHSUTGMEAZXPD-UHFFFAOYSA-N -1 1 332.364 -0.532 20 0 EBADMM CCn1cc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c(C)n1 ZINC001043981601 733229853 /nfs/dbraw/zinc/22/98/53/733229853.db2.gz MVLDKGNAKUFSSU-UHFFFAOYSA-N -1 1 333.396 -0.410 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C1CN(Cc2ccon2)C1 ZINC001044181170 733320456 /nfs/dbraw/zinc/32/04/56/733320456.db2.gz QJADEKFESPPKPN-UHFFFAOYSA-N -1 1 335.320 -0.159 20 0 EBADMM CN(C(=O)C(C)(C)c1cnc[nH]1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044188797 733323263 /nfs/dbraw/zinc/32/32/63/733323263.db2.gz PBQCBJPUAXQADZ-UHFFFAOYSA-N -1 1 333.396 -0.548 20 0 EBADMM CO[C@H]1CCCC[C@@H]1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044356182 733397271 /nfs/dbraw/zinc/39/72/71/733397271.db2.gz OOHPRIDWGSRQNU-STQMWFEESA-N -1 1 337.424 -0.044 20 0 EBADMM CCc1cc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC001044374495 733404399 /nfs/dbraw/zinc/40/43/99/733404399.db2.gz QXELCBPBXVQEPG-UHFFFAOYSA-N -1 1 333.396 -0.639 20 0 EBADMM Cc1cc(CNC[C@@H]2CCCN2C(=O)c2n[nH]c(=O)[n-]c2=O)on1 ZINC001045106517 733583971 /nfs/dbraw/zinc/58/39/71/733583971.db2.gz OYULJIJUYCJBRP-VIFPVBQESA-N -1 1 334.336 -0.026 20 0 EBADMM Cn1cc(C(N)=O)cc1C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045107554 733584354 /nfs/dbraw/zinc/58/43/54/733584354.db2.gz ATELHIOLJITGCO-JTQLQIEISA-N -1 1 347.379 -0.658 20 0 EBADMM COc1cc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)nn1C ZINC001045115034 733588297 /nfs/dbraw/zinc/58/82/97/733588297.db2.gz JVFSZGMJWSMRJS-VIFPVBQESA-N -1 1 335.368 -0.353 20 0 EBADMM Cn1ccnc1CCC(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045131392 733594067 /nfs/dbraw/zinc/59/40/67/733594067.db2.gz IPTQUBYGQYNZAZ-NSHDSACASA-N -1 1 333.396 -0.043 20 0 EBADMM Cn1ccc(CNC[C@@H]2CCCN2C(=O)c2cnc([O-])n(C)c2=O)n1 ZINC001045146845 733600436 /nfs/dbraw/zinc/60/04/36/733600436.db2.gz HMBYFWDEIZYCOT-LBPRGKRZSA-N -1 1 346.391 -0.386 20 0 EBADMM O=C([C@@H]1CCCc2nn[nH]c21)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045174421 733610252 /nfs/dbraw/zinc/61/02/52/733610252.db2.gz KWQIURYFKXYSBG-VHSXEESVSA-N -1 1 346.395 -0.171 20 0 EBADMM O=C(COC1CCOCC1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045225099 733628127 /nfs/dbraw/zinc/62/81/27/733628127.db2.gz YRCZNYNGLWSJOH-NSHDSACASA-N -1 1 339.396 -0.214 20 0 EBADMM Cc1c(C(=O)NC2(C)CCN(Cc3nc(=O)n(C)[n-]3)CC2)nnn1C ZINC001045396332 733685542 /nfs/dbraw/zinc/68/55/42/733685542.db2.gz SABBSCKRAAOETD-UHFFFAOYSA-N -1 1 348.411 -0.670 20 0 EBADMM Cn1[n-]c(CN2CCC(C)(NC(=O)c3csnn3)CC2)nc1=O ZINC001045514341 733711383 /nfs/dbraw/zinc/71/13/83/733711383.db2.gz IMQSSTKNQBPCDT-UHFFFAOYSA-N -1 1 337.409 -0.256 20 0 EBADMM Cn1[n-]c(CN2CCC(C)(NC(=O)[C@H]3[C@@H]4COC[C@@H]43)CC2)nc1=O ZINC001045527808 733715205 /nfs/dbraw/zinc/71/52/05/733715205.db2.gz FPTCXTVFDBNFQH-PJXYFTJBSA-N -1 1 335.408 -0.529 20 0 EBADMM Cc1nc[nH]c1C(=O)NC1(C)CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001045652472 733746702 /nfs/dbraw/zinc/74/67/02/733746702.db2.gz IAUVHLRKWBYUIK-UHFFFAOYSA-N -1 1 333.396 -0.076 20 0 EBADMM CC(=O)N1CCN([C@H]2CCN(Cc3cc(=O)n4[n-]ccc4n3)C2)CC1 ZINC001045991220 733788607 /nfs/dbraw/zinc/78/86/07/733788607.db2.gz USNXAQPRIHUZIX-HNNXBMFYSA-N -1 1 344.419 -0.239 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CCN([C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001046053250 733832988 /nfs/dbraw/zinc/83/29/88/733832988.db2.gz WHJPZJXKOUORFU-IHRRRGAJSA-N -1 1 348.451 -0.517 20 0 EBADMM Cc1nnsc1C(=O)N[C@@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001046133121 733865880 /nfs/dbraw/zinc/86/58/80/733865880.db2.gz MSTAEGNNRRUDQX-ZDUSSCGKSA-N -1 1 337.409 -0.337 20 0 EBADMM CCc1nocc1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001046217431 733884629 /nfs/dbraw/zinc/88/46/29/733884629.db2.gz RKFHTBSGYPEWCZ-UHFFFAOYSA-N -1 1 346.343 -0.046 20 0 EBADMM CCn1nccc1C(=O)N[C@@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001046270574 733899433 /nfs/dbraw/zinc/89/94/33/733899433.db2.gz SLIHEGUBAQFOTB-HNNXBMFYSA-N -1 1 333.396 -0.281 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](C)(NC(=O)C3CCC(O)CC3)C2)nc1=O ZINC001046271452 733900229 /nfs/dbraw/zinc/90/02/29/733900229.db2.gz LPAONNONKVXYIR-ZEPSKSRBSA-N -1 1 337.424 -0.260 20 0 EBADMM Cc1nc(CN2CC[C@@](C)(NC(=O)c3cnc([O-])n(C)c3=O)C2)n[nH]1 ZINC001046534561 733957620 /nfs/dbraw/zinc/95/76/20/733957620.db2.gz GVKRNXSEXAUAMD-OAHLLOKOSA-N -1 1 347.379 -0.693 20 0 EBADMM COc1cncc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001046681505 734001069 /nfs/dbraw/zinc/00/10/69/734001069.db2.gz OWWXDNORFZSNFU-HNNXBMFYSA-N -1 1 347.379 -0.699 20 0 EBADMM CCn1cc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001046736169 734016310 /nfs/dbraw/zinc/01/63/10/734016310.db2.gz CPDSOJJUGUXJTI-AWEZNQCLSA-N -1 1 334.384 -0.886 20 0 EBADMM CCn1cc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001046736172 734016515 /nfs/dbraw/zinc/01/65/15/734016515.db2.gz CPDSOJJUGUXJTI-CQSZACIVSA-N -1 1 334.384 -0.886 20 0 EBADMM O=C(N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2)c1cn[nH]c(=O)c1 ZINC001047088692 734092677 /nfs/dbraw/zinc/09/26/77/734092677.db2.gz AUOYTRSLXFAODV-GDPRMGEGSA-N -1 1 345.363 -0.211 20 0 EBADMM CCn1cc(C(=O)N[C@@H]2C[C@H]3C[C@@H](C2)N(Cc2n[nH]c(=O)[n-]2)C3)nn1 ZINC001047173013 734106292 /nfs/dbraw/zinc/10/62/92/734106292.db2.gz PCIRZEDSWGHRNP-AXFHLTTASA-N -1 1 346.395 -0.095 20 0 EBADMM O=C(Cn1cncn1)N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047196522 734110031 /nfs/dbraw/zinc/11/00/31/734110031.db2.gz WVOJMKPQEBZXOH-AXFHLTTASA-N -1 1 332.368 -0.729 20 0 EBADMM Cc1ccc(C(=O)N(C)[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)o1 ZINC001047293018 734119211 /nfs/dbraw/zinc/11/92/11/734119211.db2.gz RPFNOVJBGMOQHE-QWRGUYRKSA-N -1 1 335.364 -0.673 20 0 EBADMM Cc1ccoc1C(=O)N(C)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047305490 734124715 /nfs/dbraw/zinc/12/47/15/734124715.db2.gz BYWPZWGVVPDBDV-QWRGUYRKSA-N -1 1 335.364 -0.673 20 0 EBADMM CN(C(=O)c1ccccc1O)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047307200 734125067 /nfs/dbraw/zinc/12/50/67/734125067.db2.gz FAMAGQAHLMMIDA-AAEUAGOBSA-N -1 1 347.375 -0.869 20 0 EBADMM Cc1[nH]nc(C(=O)N(C)[C@H]2CN(CCc3ccnn3C)C[C@@H]2O)c1[O-] ZINC001047349446 734145683 /nfs/dbraw/zinc/14/56/83/734145683.db2.gz FJARUFSDYZTVDH-STQMWFEESA-N -1 1 348.407 -0.483 20 0 EBADMM Cc1ccc(CC(=O)N(C)[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)o1 ZINC001047352768 734147552 /nfs/dbraw/zinc/14/75/52/734147552.db2.gz OFIOXBYTVKTONE-STQMWFEESA-N -1 1 349.391 -0.744 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN([C@@H]2CCCN(C)C2=O)C[C@@H]1O ZINC001047359290 734152320 /nfs/dbraw/zinc/15/23/20/734152320.db2.gz SZDOFRILVUNFEH-DYEKYZERSA-N -1 1 348.403 -0.475 20 0 EBADMM CC(C)=CCN1C[C@H](O)[C@@H](N(C)C(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001047483487 734219818 /nfs/dbraw/zinc/21/98/18/734219818.db2.gz NDJGOOIGNTXDIX-STQMWFEESA-N -1 1 336.392 -0.431 20 0 EBADMM CN(C(=O)C1CC2(CC2)C1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047521935 734236822 /nfs/dbraw/zinc/23/68/22/734236822.db2.gz DALHWSJKQFGMDG-RYUDHWBXSA-N -1 1 335.408 -0.698 20 0 EBADMM C[C@@H](NCc1ccon1)[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001047896706 734319060 /nfs/dbraw/zinc/31/90/60/734319060.db2.gz WXYCYUMGVGFSIA-RKDXNWHRSA-N -1 1 334.336 -0.089 20 0 EBADMM Cc1noc(CNC[C@H]2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@@H]2C)n1 ZINC001048305451 734386351 /nfs/dbraw/zinc/38/63/51/734386351.db2.gz UJJAAISNBKIBSM-CBAPKCEASA-N -1 1 349.351 -0.528 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4ccsn4)C[C@@H]3C2)nc1=O ZINC001048886530 734558304 /nfs/dbraw/zinc/55/83/04/734558304.db2.gz YYGSWNKFPAZVHP-AOOOYVTPSA-N -1 1 334.405 -0.231 20 0 EBADMM O=C(CCc1n[nH]c(=O)[n-]c1=O)N1C[C@H]2CN(CCF)C[C@H]2C1 ZINC001048917365 734570015 /nfs/dbraw/zinc/57/00/15/734570015.db2.gz XENQWOHQXZLMOU-AOOOYVTPSA-N -1 1 325.344 -0.425 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4nccs4)C[C@@H]3C2)nc1=O ZINC001049127617 734656038 /nfs/dbraw/zinc/65/60/38/734656038.db2.gz JIGJPDBQEISDJG-AOOOYVTPSA-N -1 1 334.405 -0.231 20 0 EBADMM O=C(c1ccc(=O)[nH]c1)N1C[C@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C1 ZINC001049201938 734683348 /nfs/dbraw/zinc/68/33/48/734683348.db2.gz VOXBYRNQNRIFTK-PHIMTYICSA-N -1 1 330.348 -0.185 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@H]2CCCN3C(=O)c2cocn2)nc1=O ZINC001049367905 734715592 /nfs/dbraw/zinc/71/55/92/734715592.db2.gz BUTYHKCADROXRK-VXGBXAGGSA-N -1 1 332.364 -0.025 20 0 EBADMM O=C(CCc1nc[nH]n1)N1CCC[C@H]2[C@H]1CCN2Cc1n[nH]c(=O)[n-]1 ZINC001049411594 734724655 /nfs/dbraw/zinc/72/46/55/734724655.db2.gz PRLUTVZFPQMGOD-WDEREUQCSA-N -1 1 346.395 -0.174 20 0 EBADMM Cn1nncc1C(=O)N1CCC[C@@H]2[C@@H]1CCN2Cc1nc(=O)n(C)[n-]1 ZINC001049451730 734733854 /nfs/dbraw/zinc/73/38/54/734733854.db2.gz UPLAFHWRVQMTTQ-MNOVXSKESA-N -1 1 346.395 -0.884 20 0 EBADMM CC(C)[C@@H](O)C(=O)N1CCC[C@@H]2[C@H]1CCN2Cc1nc(=O)n(C)[n-]1 ZINC001049452039 734733936 /nfs/dbraw/zinc/73/39/36/734733936.db2.gz CYANUDWPWJFJCZ-YRGRVCCFSA-N -1 1 337.424 -0.309 20 0 EBADMM CSCC(=O)N1CCC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001049967511 734812971 /nfs/dbraw/zinc/81/29/71/734812971.db2.gz PZNLHJKUTRXUHJ-WDEREUQCSA-N -1 1 325.438 -0.106 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)CNC(N)=O)C1 ZINC001049984655 734818542 /nfs/dbraw/zinc/81/85/42/734818542.db2.gz VOVXAMNKNGBIDG-ZJUUUORDSA-N -1 1 335.364 -0.578 20 0 EBADMM CNC(=O)NCC(=O)N[C@H](C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050528955 734919261 /nfs/dbraw/zinc/91/92/61/734919261.db2.gz GEMDSRBISMSHRQ-MNOVXSKESA-N -1 1 349.391 -0.317 20 0 EBADMM C[C@@H](NC(=O)CCNC(N)=O)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050529021 734919391 /nfs/dbraw/zinc/91/93/91/734919391.db2.gz GOBHVKRIHHHGLZ-MNOVXSKESA-N -1 1 349.391 -0.188 20 0 EBADMM C[C@H](NC(=O)Cn1ncnn1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050532013 734919982 /nfs/dbraw/zinc/91/99/82/734919982.db2.gz VZJBYSXSZOZOCV-QWRGUYRKSA-N -1 1 345.363 -0.559 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)[C@H]2CC=CCC2)nc1=O ZINC001050810636 734965493 /nfs/dbraw/zinc/96/54/93/734965493.db2.gz UHUDTYBKNCILCY-STQMWFEESA-N -1 1 335.408 -0.218 20 0 EBADMM Cc1ccc(C(=O)NC[C@@H]2COCCN2Cc2nc(=O)n(C)[n-]2)n1C ZINC001050822990 734966922 /nfs/dbraw/zinc/96/69/22/734966922.db2.gz OPTOQOBOFKFSRP-GFCCVEGCSA-N -1 1 348.407 -0.614 20 0 EBADMM Cc1coc(C)c1C(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001050904155 734997311 /nfs/dbraw/zinc/99/73/11/734997311.db2.gz WXWVYIICTPTSSI-GFCCVEGCSA-N -1 1 349.391 -0.051 20 0 EBADMM Cc1cnccc1C(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001050914363 734998937 /nfs/dbraw/zinc/99/89/37/734998937.db2.gz AWIGKNHYDSPIBU-GFCCVEGCSA-N -1 1 346.391 -0.557 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)c2ccsn2)nc1=O ZINC001050971545 735020755 /nfs/dbraw/zinc/02/07/55/735020755.db2.gz JHFVAJLFJLHDNI-SECBINFHSA-N -1 1 338.393 -0.804 20 0 EBADMM Cc1ncccc1C(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001051092913 735064176 /nfs/dbraw/zinc/06/41/76/735064176.db2.gz ZKAGGUSWOISCAG-GFCCVEGCSA-N -1 1 346.391 -0.557 20 0 EBADMM CCC[C@@H]1C[C@H]1C(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001051105053 735067695 /nfs/dbraw/zinc/06/76/95/735067695.db2.gz UDNMJHUQFPWCRK-FRRDWIJNSA-N -1 1 337.424 -0.138 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001051170256 735085026 /nfs/dbraw/zinc/08/50/26/735085026.db2.gz SGBQQFADZFTFRD-LLVKDONJSA-N -1 1 349.395 -0.921 20 0 EBADMM Cc1cnoc1C(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001051193197 735095280 /nfs/dbraw/zinc/09/52/80/735095280.db2.gz URJYLZWXTYOAQY-SNVBAGLBSA-N -1 1 336.352 -0.964 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1COCCN1CCF ZINC001051208888 735099447 /nfs/dbraw/zinc/09/94/47/735099447.db2.gz FCHNDQKOULYMFW-MRVPVSSYSA-N -1 1 330.316 -0.704 20 0 EBADMM Cc1c[nH]cc1C(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001051245854 735109563 /nfs/dbraw/zinc/10/95/63/735109563.db2.gz PZWMKNWIQCDEPN-LLVKDONJSA-N -1 1 334.380 -0.624 20 0 EBADMM CCn1cccc1C(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001051245301 735109829 /nfs/dbraw/zinc/10/98/29/735109829.db2.gz KGTLACFTWLRCAX-LBPRGKRZSA-N -1 1 348.407 -0.439 20 0 EBADMM COCC(=O)N[C@@H](C)[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001051248536 735111400 /nfs/dbraw/zinc/11/14/00/735111400.db2.gz CIEODIISWNORGY-VHSXEESVSA-N -1 1 348.363 -0.969 20 0 EBADMM Cc1ccc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)n1C ZINC001051425853 735148562 /nfs/dbraw/zinc/14/85/62/735148562.db2.gz GUNWQBXJEOFJRT-LLVKDONJSA-N -1 1 334.380 -0.212 20 0 EBADMM Cc1ncncc1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051465548 735162687 /nfs/dbraw/zinc/16/26/87/735162687.db2.gz JMBKGOKEQGVGBR-SNVBAGLBSA-N -1 1 333.352 -0.760 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)nn1C ZINC001051473533 735166651 /nfs/dbraw/zinc/16/66/51/735166651.db2.gz OSSHBLGLWRECIS-SNVBAGLBSA-N -1 1 335.368 -0.817 20 0 EBADMM O=C([C@@H]1CCCCO1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051548038 735227534 /nfs/dbraw/zinc/22/75/34/735227534.db2.gz CIONKBUMIBWCNW-MNOVXSKESA-N -1 1 325.369 -0.604 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)ncn1 ZINC001051556245 735230587 /nfs/dbraw/zinc/23/05/87/735230587.db2.gz SNLCVTQQBOYRMM-SNVBAGLBSA-N -1 1 333.352 -0.760 20 0 EBADMM COCC(=O)NC[C@H]1CCCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001062281692 738891453 /nfs/dbraw/zinc/89/14/53/738891453.db2.gz JOJXUPFIUCYMCS-SNVBAGLBSA-N -1 1 348.363 -0.825 20 0 EBADMM O=C(CCc1ncc[nH]1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051581780 735247589 /nfs/dbraw/zinc/24/75/89/735247589.db2.gz NMVBDPMYRCVRQM-SNVBAGLBSA-N -1 1 335.368 -0.817 20 0 EBADMM Cc1ccnc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001051582087 735247899 /nfs/dbraw/zinc/24/78/99/735247899.db2.gz VUVYUGUISPIYAV-JTQLQIEISA-N -1 1 333.352 -0.760 20 0 EBADMM Cc1cn(C)nc1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051610306 735263931 /nfs/dbraw/zinc/26/39/31/735263931.db2.gz CHCYTDNUPUSWQM-JTQLQIEISA-N -1 1 335.368 -0.817 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNC(=O)Cn1cncn1 ZINC001052422804 735611705 /nfs/dbraw/zinc/61/17/05/735611705.db2.gz TUPVXSJAPZZKFI-RYUDHWBXSA-N -1 1 344.375 -0.097 20 0 EBADMM Cn1nncc1CN1CCC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001052529882 735638206 /nfs/dbraw/zinc/63/82/06/735638206.db2.gz UHALVZPLHJKSJR-SECBINFHSA-N -1 1 348.367 -0.804 20 0 EBADMM Cn1cncc1CN1CCC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001052530407 735638233 /nfs/dbraw/zinc/63/82/33/735638233.db2.gz XMBCWMJVFUUCNU-JTQLQIEISA-N -1 1 347.379 -0.199 20 0 EBADMM CN1CCC[C@@H]1C(=O)N1CCOC2(CN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001053190049 735773450 /nfs/dbraw/zinc/77/34/50/735773450.db2.gz JGDRBRXZZDDRDO-LLVKDONJSA-N -1 1 336.396 -0.982 20 0 EBADMM Cn1nncc1CN1CC2(C1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC001053232233 735786253 /nfs/dbraw/zinc/78/62/53/735786253.db2.gz JGJHDBAAPSPFFB-UHFFFAOYSA-N -1 1 344.375 -0.357 20 0 EBADMM O=C(CN1CC2(C1)CN(C(=O)c1ncccc1[O-])CCO2)N1CCC1 ZINC001053232434 735786276 /nfs/dbraw/zinc/78/62/76/735786276.db2.gz NDBNIEVJMNQAKQ-UHFFFAOYSA-N -1 1 346.387 -0.454 20 0 EBADMM C[C@@H](C(=O)N(C)C)N1CC2(C1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC001053232444 735786387 /nfs/dbraw/zinc/78/63/87/735786387.db2.gz NGYNKQNQTRAIGK-LBPRGKRZSA-N -1 1 348.403 -0.209 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)[C@H]2CC24CCC4)CCO3)nc1=O ZINC001053449995 735878549 /nfs/dbraw/zinc/87/85/49/735878549.db2.gz BBCAJBKZGMOACW-GFCCVEGCSA-N -1 1 347.419 -0.288 20 0 EBADMM C[C@H]1CC[C@@H](C(=O)N2CCOC3(CN(Cc4nc(=O)n(C)[n-]4)C3)C2)C1 ZINC001053458633 735881058 /nfs/dbraw/zinc/88/10/58/735881058.db2.gz WSROFOFGBIBBQG-QWHCGFSZSA-N -1 1 349.435 -0.042 20 0 EBADMM O=C(CN1CCCC1)N1CCOC2(CN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001053532207 735918105 /nfs/dbraw/zinc/91/81/05/735918105.db2.gz DWPYFFLXWIKJPD-UHFFFAOYSA-N -1 1 336.396 -0.981 20 0 EBADMM O=C(NC[C@H]1CCC2(CN(CC3CC3)C2)O1)c1n[nH]c(=O)[n-]c1=O ZINC001053627046 735949128 /nfs/dbraw/zinc/94/91/28/735949128.db2.gz LTKJJQVTISTEEJ-SNVBAGLBSA-N -1 1 335.364 -0.344 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NC[C@@H]1CCC2(CN(Cc3nc(=O)n(C)[n-]3)C2)O1 ZINC001053644768 735954540 /nfs/dbraw/zinc/95/45/40/735954540.db2.gz LAFKOKCVBAGZGB-SRVKXCTJSA-N -1 1 335.408 -0.386 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)c2cccs2)CO3)nc1=O ZINC001053727805 735980465 /nfs/dbraw/zinc/98/04/65/735980465.db2.gz HNBCAWPEXHUKPU-SNVBAGLBSA-N -1 1 349.416 -0.057 20 0 EBADMM C[C@H](C(=O)N[C@@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1)C1CC1 ZINC001053767190 736004724 /nfs/dbraw/zinc/00/47/24/736004724.db2.gz DEQYDYVKXCRGBX-JQWIXIFHSA-N -1 1 335.408 -0.386 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)C2(C4CC4)CC2)CO3)nc1=O ZINC001053842672 736051557 /nfs/dbraw/zinc/05/15/57/736051557.db2.gz SNTPQIGWTPHEDK-LBPRGKRZSA-N -1 1 347.419 -0.242 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)[C@]2(C)C=CCC2)CO3)nc1=O ZINC001053895485 736082690 /nfs/dbraw/zinc/08/26/90/736082690.db2.gz GYINZJQZULHWBC-MLGOLLRUSA-N -1 1 347.419 -0.076 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)N[C@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053933517 736103392 /nfs/dbraw/zinc/10/33/92/736103392.db2.gz QRDHXHYPELISDC-IJLUTSLNSA-N -1 1 335.408 -0.386 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)[C@@H]2CC24CC4)CO3)nc1=O ZINC001053938784 736104339 /nfs/dbraw/zinc/10/43/39/736104339.db2.gz YXAHFSALYXESMN-MNOVXSKESA-N -1 1 333.392 -0.632 20 0 EBADMM NC(=O)CN1CC2(C1)C[C@H](NC(=O)c1cnc(C3CC3)[n-]c1=O)CO2 ZINC001053950228 736110760 /nfs/dbraw/zinc/11/07/60/736110760.db2.gz XPQRPZAVVNRJEY-JTQLQIEISA-N -1 1 347.375 -0.882 20 0 EBADMM COc1nc(C)ncc1C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001054708199 736234116 /nfs/dbraw/zinc/23/41/16/736234116.db2.gz JWSCVVKTRTWJGG-LDYMZIIASA-N -1 1 347.379 -0.132 20 0 EBADMM C[C@@H]1CN(C(=O)c2cc3n(n2)CCO3)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054737488 736237307 /nfs/dbraw/zinc/23/73/07/736237307.db2.gz NANOPYPPQWHIHX-PSASIEDQSA-N -1 1 333.352 -0.651 20 0 EBADMM Cc1c(CC(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)cnn1C ZINC001054747548 736238959 /nfs/dbraw/zinc/23/89/59/736238959.db2.gz PWOJCDISTSKPBZ-BXKDBHETSA-N -1 1 333.396 -0.269 20 0 EBADMM C[C@H]1CN(C(=O)c2cnc3n2CCC3)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054761574 736242621 /nfs/dbraw/zinc/24/26/21/736242621.db2.gz QFFOYYURYCXCKJ-VHSXEESVSA-N -1 1 331.380 -0.097 20 0 EBADMM C[C@@H]1CN(C(=O)c2cn3c(n2)COCC3)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054797322 736246886 /nfs/dbraw/zinc/24/68/86/736246886.db2.gz DYACJWMRZRLUNT-ZJUUUORDSA-N -1 1 347.379 -0.513 20 0 EBADMM C[C@H]1CN(C(=O)c2n[nH]c3c2CCC3)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054847348 736254224 /nfs/dbraw/zinc/25/42/24/736254224.db2.gz GJWXOIYMXDPCJU-KWQFWETISA-N -1 1 331.380 -0.028 20 0 EBADMM Cc1oncc1CN[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1C ZINC001054858979 736256969 /nfs/dbraw/zinc/25/69/69/736256969.db2.gz FNXOKTNXNOXRRU-GMSGAONNSA-N -1 1 334.336 -0.170 20 0 EBADMM C[C@H]1CN(C(=O)c2cnn3c2OCCC3)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054859419 736257482 /nfs/dbraw/zinc/25/74/82/736257482.db2.gz JTHNVJCLTOWWLV-GXSJLCMTSA-N -1 1 347.379 -0.260 20 0 EBADMM Cc1cc(C)n(CC(=O)N2C[C@@H](C)[C@@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001054883020 736261986 /nfs/dbraw/zinc/26/19/86/736261986.db2.gz UGOCMADZNGLNQR-SKDRFNHKSA-N -1 1 333.396 -0.040 20 0 EBADMM Cc1noc(CCC(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001054953395 736271939 /nfs/dbraw/zinc/27/19/39/736271939.db2.gz DJWSUTSHMINWNC-PSASIEDQSA-N -1 1 335.368 -0.229 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccn3nnnc3c2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054969603 736275940 /nfs/dbraw/zinc/27/59/40/736275940.db2.gz SCAOMVFFGXBSMK-PSASIEDQSA-N -1 1 343.351 -0.802 20 0 EBADMM C[C@H]1CN(C(=O)c2ccoc2CC(N)=O)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001055016164 736281388 /nfs/dbraw/zinc/28/13/88/736281388.db2.gz WKGDBSFZEOOKIW-WCBMZHEXSA-N -1 1 348.363 -0.619 20 0 EBADMM CNC(=O)CC(=O)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC001055298062 736327163 /nfs/dbraw/zinc/32/71/63/736327163.db2.gz ZWCSXXUGQLTBNO-PHIMTYICSA-N -1 1 332.360 -0.546 20 0 EBADMM COCC(=O)NCCN1CCC(NC(=O)c2[nH]nc(C)c2[O-])CC1 ZINC001055495149 736357363 /nfs/dbraw/zinc/35/73/63/736357363.db2.gz JCUIBUDYCBPGJL-UHFFFAOYSA-N -1 1 339.396 -0.619 20 0 EBADMM O=C(N[C@@]1(CO)CCCN(C(=O)c2cc[nH]n2)C1)c1ncccc1[O-] ZINC001055899903 736540878 /nfs/dbraw/zinc/54/08/78/736540878.db2.gz BWCBRAXGPWXCHI-INIZCTEOSA-N -1 1 345.359 -0.093 20 0 EBADMM O=C(N[C@@]1(CO)CCCN(C(=O)c2ccn[nH]2)C1)c1ncccc1[O-] ZINC001055899903 736540882 /nfs/dbraw/zinc/54/08/82/736540882.db2.gz BWCBRAXGPWXCHI-INIZCTEOSA-N -1 1 345.359 -0.093 20 0 EBADMM O=C(N[C@]1(CO)CCCN(C(=O)c2cc[nH]n2)C1)c1ncccc1[O-] ZINC001055899905 736540915 /nfs/dbraw/zinc/54/09/15/736540915.db2.gz BWCBRAXGPWXCHI-MRXNPFEDSA-N -1 1 345.359 -0.093 20 0 EBADMM O=C(N[C@]1(CO)CCCN(C(=O)c2ccn[nH]2)C1)c1ncccc1[O-] ZINC001055899905 736540919 /nfs/dbraw/zinc/54/09/19/736540919.db2.gz BWCBRAXGPWXCHI-MRXNPFEDSA-N -1 1 345.359 -0.093 20 0 EBADMM Cc1nccc(N[C@@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)n1 ZINC001056669441 736766399 /nfs/dbraw/zinc/76/63/99/736766399.db2.gz KMJITYBLSGORAN-SNVBAGLBSA-N -1 1 340.347 -0.157 20 0 EBADMM CCCC(=O)N(CCO)CCNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001056799772 736811723 /nfs/dbraw/zinc/81/17/23/736811723.db2.gz UJNOOONTJXXPKC-UHFFFAOYSA-N -1 1 342.352 -0.753 20 0 EBADMM CN(c1ccc2nnnn2n1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001056900605 736864977 /nfs/dbraw/zinc/86/49/77/736864977.db2.gz KBXXALJUUWAGEZ-SNVBAGLBSA-N -1 1 340.347 -0.029 20 0 EBADMM C[C@H](C(=O)NCCN(CCO)C(=O)c1ncccc1[O-])n1cccn1 ZINC001057410263 737229975 /nfs/dbraw/zinc/22/99/75/737229975.db2.gz KIBXWMGZQVNJDS-GFCCVEGCSA-N -1 1 347.375 -0.204 20 0 EBADMM O=C(Cc1ccc[nH]1)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057416870 737236137 /nfs/dbraw/zinc/23/61/37/737236137.db2.gz KSYNRJQMHZORPW-UHFFFAOYSA-N -1 1 332.360 -0.091 20 0 EBADMM Cn1nccc1CC(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057454759 737263536 /nfs/dbraw/zinc/26/35/36/737263536.db2.gz VUHVMJBLXFXXNY-UHFFFAOYSA-N -1 1 347.375 -0.686 20 0 EBADMM Cc1nnc(CC(=O)N(CCO)CCNC(=O)c2ncccc2[O-])[nH]1 ZINC001057540681 737320282 /nfs/dbraw/zinc/32/02/82/737320282.db2.gz BDHVIZZAPORONV-UHFFFAOYSA-N -1 1 348.363 -0.993 20 0 EBADMM C[C@@H]1CN(C(=O)C(F)F)C[C@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001071425160 741135205 /nfs/dbraw/zinc/13/52/05/741135205.db2.gz DPPYNDSAECMDMP-RKDXNWHRSA-N -1 1 344.318 -0.845 20 0 EBADMM Cc1nc[nH]c1CC(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001058263733 737743861 /nfs/dbraw/zinc/74/38/61/737743861.db2.gz XWYLRNVVGBRZIK-UHFFFAOYSA-N -1 1 347.375 -0.388 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccn1)c1ncccc1[O-] ZINC001058520663 737877446 /nfs/dbraw/zinc/87/74/46/737877446.db2.gz QUVHGOJNOQZKKC-UHFFFAOYSA-N -1 1 331.332 -0.558 20 0 EBADMM Cc1nccc(N[C@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)n1 ZINC001058929696 738077634 /nfs/dbraw/zinc/07/76/34/738077634.db2.gz KMJITYBLSGORAN-JTQLQIEISA-N -1 1 340.347 -0.157 20 0 EBADMM CC(=O)NCC(=O)NCC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059515175 738187599 /nfs/dbraw/zinc/18/75/99/738187599.db2.gz SQEKOOUICFVGCW-GFCCVEGCSA-N -1 1 334.376 -0.108 20 0 EBADMM O=C(NCC[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1COC(=O)N1 ZINC001059516940 738187954 /nfs/dbraw/zinc/18/79/54/738187954.db2.gz XZSDTJJVXLVYNG-QWRGUYRKSA-N -1 1 348.359 -0.136 20 0 EBADMM COCC(=O)NCC[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001059969926 738286064 /nfs/dbraw/zinc/28/60/64/738286064.db2.gz RGAMRRNDWOALIW-JTQLQIEISA-N -1 1 348.363 -0.968 20 0 EBADMM NC(=O)NC1(C(=O)NC2(CNC(=O)c3ncccc3[O-])CCC2)CC1 ZINC001062839638 739002598 /nfs/dbraw/zinc/00/25/98/739002598.db2.gz YGZSXFXNFSSZJD-UHFFFAOYSA-N -1 1 347.375 -0.243 20 0 EBADMM CNC(=O)NCC(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001066238749 739932015 /nfs/dbraw/zinc/93/20/15/739932015.db2.gz CZHVDBKRKKYYQI-NSHDSACASA-N -1 1 349.391 -0.173 20 0 EBADMM CC(C)C(=O)N(C)CCCN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001066849344 740054587 /nfs/dbraw/zinc/05/45/87/740054587.db2.gz IAWZJVWZMHSVNA-UHFFFAOYSA-N -1 1 334.380 -0.006 20 0 EBADMM O=C(NC[C@@H]1CN(c2ncc(F)cn2)C[C@H]1O)c1ncccc1[O-] ZINC001067665541 740178546 /nfs/dbraw/zinc/17/85/46/740178546.db2.gz PLNVZMITOMPDQE-BXKDBHETSA-N -1 1 333.323 -0.057 20 0 EBADMM O=C(NC[C@H]1CN(c2cc(F)ncn2)C[C@@H]1O)c1ncccc1[O-] ZINC001067666148 740179656 /nfs/dbraw/zinc/17/96/56/740179656.db2.gz YHEUUYHYBHLJTQ-ONGXEEELSA-N -1 1 333.323 -0.057 20 0 EBADMM CCOCC(=O)N1CC2(C1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC001068383923 740300584 /nfs/dbraw/zinc/30/05/84/740300584.db2.gz GKRHWEVOMJYLHA-UHFFFAOYSA-N -1 1 335.360 -0.123 20 0 EBADMM CCOCC(=O)N[C@@H]1COC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001068674182 740403866 /nfs/dbraw/zinc/40/38/66/740403866.db2.gz IFCZJUYDEXQDRM-NSHDSACASA-N -1 1 335.360 -0.077 20 0 EBADMM CO[C@@H](C)C(=O)N[C@@H]1COC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001068731852 740428065 /nfs/dbraw/zinc/42/80/65/740428065.db2.gz GHZRGZWLBKYBSO-QWRGUYRKSA-N -1 1 335.360 -0.078 20 0 EBADMM CCOCC(=O)N1CC2(C1)C[C@@H](NC(=O)c1ncccc1[O-])CO2 ZINC001068825672 740456577 /nfs/dbraw/zinc/45/65/77/740456577.db2.gz SZPKCUYAGMSDSZ-LLVKDONJSA-N -1 1 335.360 -0.077 20 0 EBADMM NC(=O)CN1CCn2ncc(CNC(=O)c3ncccc3[O-])c2C1 ZINC001069858866 740593297 /nfs/dbraw/zinc/59/32/97/740593297.db2.gz SPNRFOFSWZLQRD-UHFFFAOYSA-N -1 1 330.348 -0.785 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CN(C[C@H](C)O)C[C@@H]2n2ccnn2)c1[O-] ZINC001069909491 740614141 /nfs/dbraw/zinc/61/41/41/740614141.db2.gz AWJMDZGOVIALPC-GDPRMGEGSA-N -1 1 335.368 -0.949 20 0 EBADMM CS(=O)(=O)CC(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648828 740908775 /nfs/dbraw/zinc/90/87/75/740908775.db2.gz ZASVSRSSQPHVFQ-SNVBAGLBSA-N -1 1 341.389 -0.447 20 0 EBADMM C[C@@H](O)C[N@H+]1C[C@@H](F)C[C@@H]1c1nnc(CNC(=O)c2nnc[n-]2)[n-]1 ZINC001070734868 740934027 /nfs/dbraw/zinc/93/40/27/740934027.db2.gz OAFIXTRHTAKYFR-HRDYMLBCSA-N -1 1 338.347 -0.682 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2cnccn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071322877 741121228 /nfs/dbraw/zinc/12/12/28/741121228.db2.gz YUUIOHVONMMSJN-MNOVXSKESA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2cnns2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071373594 741128773 /nfs/dbraw/zinc/12/87/73/741128773.db2.gz LUOIFYRGACKTRZ-DTWKUNHWSA-N -1 1 337.409 -0.257 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2cnns2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071373593 741129015 /nfs/dbraw/zinc/12/90/15/741129015.db2.gz LUOIFYRGACKTRZ-BDAKNGLRSA-N -1 1 337.409 -0.257 20 0 EBADMM Cc1cnn(CC(=O)N[C@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001071376645 741129953 /nfs/dbraw/zinc/12/99/53/741129953.db2.gz XSLJSITWHCDQEP-OLZOCXBDSA-N -1 1 347.423 -0.217 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)Cc2cc[nH]n2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071399073 741132749 /nfs/dbraw/zinc/13/27/49/741132749.db2.gz NRKNJYKWWODKPW-JQWIXIFHSA-N -1 1 333.396 -0.457 20 0 EBADMM COCCC(=O)N1C[C@H](C)[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001071435899 741135977 /nfs/dbraw/zinc/13/59/77/741135977.db2.gz DGIOWINTNOXZFT-GXSJLCMTSA-N -1 1 348.363 -0.969 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2ncccc2[O-])CN1Cc1nc(=O)n(C)[nH]1 ZINC001071454535 741139825 /nfs/dbraw/zinc/13/98/25/741139825.db2.gz NJIFMFOFCGWATK-GHMZBOCLSA-N -1 1 346.391 -0.008 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)Cc2ccnn2C)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071466524 741141824 /nfs/dbraw/zinc/14/18/24/741141824.db2.gz DFTWRQMTSMYAGF-NWDGAFQWSA-N -1 1 347.423 -0.446 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2ncn(C)n2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071486700 741144555 /nfs/dbraw/zinc/14/45/55/741144555.db2.gz BENIREFLKHRFKB-UWVGGRQHSA-N -1 1 334.384 -0.980 20 0 EBADMM Cc1c[nH]nc1C(=O)N[C@@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071490830 741145543 /nfs/dbraw/zinc/14/55/43/741145543.db2.gz RSRUIBAPJGTDHJ-WDEREUQCSA-N -1 1 333.396 -0.077 20 0 EBADMM Cc1ncn(C)c1C(=O)N[C@@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071592379 741174212 /nfs/dbraw/zinc/17/42/12/741174212.db2.gz ZZTFFEYJHGYXPQ-ZYHUDNBSSA-N -1 1 347.423 -0.067 20 0 EBADMM Cc1nnccc1C(=O)N[C@@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071651381 741182148 /nfs/dbraw/zinc/18/21/48/741182148.db2.gz HWSDZMYUSPTTFM-CMPLNLGQSA-N -1 1 345.407 -0.010 20 0 EBADMM C/C=C(\C)C(=O)N1C[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)[C@@H](C)C1 ZINC001071885951 741238985 /nfs/dbraw/zinc/23/89/85/741238985.db2.gz WFIHXQGZNBRPCD-BELPRIPISA-N -1 1 348.403 -0.144 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)Cc2ncc[nH]2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071938007 741253644 /nfs/dbraw/zinc/25/36/44/741253644.db2.gz KWWUYZVFNDSCBZ-MNOVXSKESA-N -1 1 333.396 -0.457 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)Cc2ncc[nH]2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071938009 741253729 /nfs/dbraw/zinc/25/37/29/741253729.db2.gz KWWUYZVFNDSCBZ-QWRGUYRKSA-N -1 1 333.396 -0.457 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)CS(C)(=O)=O ZINC001071952014 741263320 /nfs/dbraw/zinc/26/33/20/741263320.db2.gz GTQWYPQSNAWVHA-ZJUUUORDSA-N -1 1 341.389 -0.592 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)CS(C)(=O)=O ZINC001071952013 741263385 /nfs/dbraw/zinc/26/33/85/741263385.db2.gz GTQWYPQSNAWVHA-VHSXEESVSA-N -1 1 341.389 -0.592 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)CCn1cnnn1 ZINC001071952511 741263780 /nfs/dbraw/zinc/26/37/80/741263780.db2.gz NEEBNAUNVRAESF-GHMZBOCLSA-N -1 1 345.363 -0.559 20 0 EBADMM Cc1nonc1C(=O)N[C@@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001072116013 741308353 /nfs/dbraw/zinc/30/83/53/741308353.db2.gz GHTNRZRBIVOGBL-WCBMZHEXSA-N -1 1 335.368 -0.417 20 0 EBADMM CCCC(=O)N[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1C ZINC001072393121 741388281 /nfs/dbraw/zinc/38/82/81/741388281.db2.gz KFOJPMPGRDETDM-NEPJUHHUSA-N -1 1 336.392 -0.310 20 0 EBADMM CS[C@@H](C)C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072500239 741418336 /nfs/dbraw/zinc/41/83/36/741418336.db2.gz PQSRGVQNMWOGCT-JTQLQIEISA-N -1 1 325.438 -0.106 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)C4(C)CCOCC4)C3)C2)nc1=O ZINC001073061017 741551650 /nfs/dbraw/zinc/55/16/50/741551650.db2.gz VPVJGTCJYYEWSL-UHFFFAOYSA-N -1 1 349.435 -0.041 20 0 EBADMM C[C@H]1C[C@H](C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)CCO1 ZINC001073083584 741555925 /nfs/dbraw/zinc/55/59/25/741555925.db2.gz FJQDDYPIBAODHK-QWHCGFSZSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1ccc(C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)n1 ZINC001073429447 741612646 /nfs/dbraw/zinc/61/26/46/741612646.db2.gz BVBUZGSEXGWTOV-UHFFFAOYSA-N -1 1 331.380 -0.810 20 0 EBADMM Cn1cccc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001073539257 741639409 /nfs/dbraw/zinc/63/94/09/741639409.db2.gz GKGIDOCMZCADHM-GFCCVEGCSA-N -1 1 348.407 -0.532 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CCCO2)[nH]n1 ZINC001073545822 741644299 /nfs/dbraw/zinc/64/42/99/741644299.db2.gz UHLHWYADTWVKGW-LLVKDONJSA-N -1 1 349.395 -0.839 20 0 EBADMM CN1CCC[C@H]1C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[n-]2)CCCO1 ZINC001073554374 741649683 /nfs/dbraw/zinc/64/96/83/741649683.db2.gz GOHGJAJEBDOKFN-RYUDHWBXSA-N -1 1 338.412 -0.688 20 0 EBADMM Cn1nnnc1CN1CCCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001073582736 741667736 /nfs/dbraw/zinc/66/77/36/741667736.db2.gz QCSCMXHAJRWTCH-LLVKDONJSA-N -1 1 347.379 -0.668 20 0 EBADMM CN(C)C(=O)CN1CCCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001073584006 741668560 /nfs/dbraw/zinc/66/85/60/741668560.db2.gz KPIJOGIQFAYCEJ-LBPRGKRZSA-N -1 1 336.392 -0.304 20 0 EBADMM CNC(=O)[C@@H](C)N1CCCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001073584291 741669272 /nfs/dbraw/zinc/66/92/72/741669272.db2.gz QNFIERGPHYGLMI-VXGBXAGGSA-N -1 1 336.392 -0.258 20 0 EBADMM O=C(NC[C@H]1CN([C@H]2CCCNC2=O)CCCO1)c1ncccc1[O-] ZINC001073584114 741669510 /nfs/dbraw/zinc/66/95/10/741669510.db2.gz NYFGMVSIZFJYFQ-STQMWFEESA-N -1 1 348.403 -0.114 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@@H](CNC(=O)[C@H]3C[C@H]4C[C@H]4C3)C2)nc1=O ZINC001073736300 741728100 /nfs/dbraw/zinc/72/81/00/741728100.db2.gz OWKYLBKTDXABJL-DGAVXFQQSA-N -1 1 349.435 -0.138 20 0 EBADMM C[C@H]1CN(C(=O)CNC(N)=O)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC001073900776 741782044 /nfs/dbraw/zinc/78/20/44/741782044.db2.gz NXCHQJJSIBFZDF-VHSXEESVSA-N -1 1 335.364 -0.578 20 0 EBADMM CO[C@H](C)C(=O)N1CCCC[C@@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001073964503 741796464 /nfs/dbraw/zinc/79/64/64/741796464.db2.gz RQPOCVYEIGJPNF-VXGBXAGGSA-N -1 1 325.413 -0.044 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCCN1C(=O)c1ccn(C)n1 ZINC001074097797 741832384 /nfs/dbraw/zinc/83/23/84/741832384.db2.gz NSYNSWHROKRJCY-GFCCVEGCSA-N -1 1 347.423 -0.031 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H]3CCN(C(=O)C(F)F)C[C@H]32)nc1=O ZINC001074129048 741837417 /nfs/dbraw/zinc/83/74/17/741837417.db2.gz ZGUPTVIYYMKPOO-RKDXNWHRSA-N -1 1 331.323 -0.825 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H]3CCN(C(=O)C4CCC4)C[C@@H]32)nc1=O ZINC001074133584 741837725 /nfs/dbraw/zinc/83/77/25/741837725.db2.gz NAHPLBCXHBVXFE-STQMWFEESA-N -1 1 335.408 -0.290 20 0 EBADMM CC(C)CC(=O)N1CC[C@H]2OCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1 ZINC001074148155 741840541 /nfs/dbraw/zinc/84/05/41/741840541.db2.gz QLWYVULYZMJLRZ-QWHCGFSZSA-N -1 1 337.424 -0.044 20 0 EBADMM O=C(c1ccn[nH]1)N1CC[C@H]2OCCN(Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001074181372 741851885 /nfs/dbraw/zinc/85/18/85/741851885.db2.gz SMXXKWSWRIKRGH-WDEREUQCSA-N -1 1 333.352 -0.651 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H]3CCN(C(=O)c4ccon4)C[C@@H]32)nc1=O ZINC001074184098 741853742 /nfs/dbraw/zinc/85/37/42/741853742.db2.gz GJZXYFYECDKFGA-NWDGAFQWSA-N -1 1 348.363 -0.788 20 0 EBADMM O=C(Cc1ccn[nH]1)N1CC[C@H]2OCCN(Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001074199370 741858504 /nfs/dbraw/zinc/85/85/04/741858504.db2.gz BAUPTYXRKSZNIQ-VXGBXAGGSA-N -1 1 347.379 -0.722 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CCNC(N)=O ZINC001074943082 742139681 /nfs/dbraw/zinc/13/96/81/742139681.db2.gz CXIZGNPVEHBZAD-QWRGUYRKSA-N -1 1 349.391 -0.045 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)c4cccnc4)[C@@H]3C2)nc1=O ZINC001075492276 742233632 /nfs/dbraw/zinc/23/36/32/742233632.db2.gz KUJXEKTVOZJABB-QWHCGFSZSA-N -1 1 328.376 -0.150 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)CCNC(=O)CSC ZINC001075520778 742241188 /nfs/dbraw/zinc/24/11/88/742241188.db2.gz QRLHZFVQAIPHCI-ZETCQYMHSA-N -1 1 344.393 -0.116 20 0 EBADMM Cn1cc(C(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)cn1 ZINC001075534018 742244144 /nfs/dbraw/zinc/24/41/44/742244144.db2.gz SFJJSRINIWDRNI-CMPLNLGQSA-N -1 1 331.380 -0.812 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)c4cnsn4)[C@@H]3C2)nc1=O ZINC001075570426 742256359 /nfs/dbraw/zinc/25/63/59/742256359.db2.gz LFCMOEPMHNYGEL-WCBMZHEXSA-N -1 1 335.393 -0.694 20 0 EBADMM CC[C@@H]1CCO[C@@H]1C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001075904931 742325264 /nfs/dbraw/zinc/32/52/64/742325264.db2.gz USNPMFOKVPZGIW-COMQUAJESA-N -1 1 349.435 -0.044 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)c4cccnn4)[C@@H]3C2)nc1=O ZINC001076389249 742457981 /nfs/dbraw/zinc/45/79/81/742457981.db2.gz NAAXUOXJCQPODJ-CMPLNLGQSA-N -1 1 329.364 -0.755 20 0 EBADMM Cc1nonc1C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001076634616 742519003 /nfs/dbraw/zinc/51/90/03/742519003.db2.gz QKTWRBBBDGTVLP-VHSXEESVSA-N -1 1 333.352 -0.854 20 0 EBADMM CC(C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O)=C1CCCC1 ZINC001076864396 742605948 /nfs/dbraw/zinc/60/59/48/742605948.db2.gz DUHAJPMJSZEKCB-CHWSQXEVSA-N -1 1 335.408 -0.340 20 0 EBADMM O=C(N[C@@H]1CN(Cc2nnc(C3CC3)[nH]2)C[C@H]1O)c1ncccc1[O-] ZINC001076916772 742641984 /nfs/dbraw/zinc/64/19/84/742641984.db2.gz AXFHVUSLIHGRIM-ZYHUDNBSSA-N -1 1 344.375 -0.242 20 0 EBADMM O=C(N[C@@H]1CN(Cc2n[nH]c(C3CC3)n2)C[C@H]1O)c1ncccc1[O-] ZINC001076916772 742641993 /nfs/dbraw/zinc/64/19/93/742641993.db2.gz AXFHVUSLIHGRIM-ZYHUDNBSSA-N -1 1 344.375 -0.242 20 0 EBADMM CN(C)c1noc(CN2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001076916661 742642020 /nfs/dbraw/zinc/64/20/20/742642020.db2.gz WLIXICNOJSAODB-MWLCHTKSSA-N -1 1 348.363 -0.789 20 0 EBADMM Cc1nn(C)cc1CN1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001076917130 742642676 /nfs/dbraw/zinc/64/26/76/742642676.db2.gz RXXGFOVLNPMDLL-TZMCWYRMSA-N -1 1 331.376 -0.196 20 0 EBADMM O=C(CN1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1)NC1CCCC1 ZINC001076917101 742642898 /nfs/dbraw/zinc/64/28/98/742642898.db2.gz QBZCIRSSFWECRN-TZMCWYRMSA-N -1 1 348.403 -0.379 20 0 EBADMM Cc1coc(C)c1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001076953527 742662254 /nfs/dbraw/zinc/66/22/54/742662254.db2.gz FYAIMAXFXCKYMX-GHMZBOCLSA-N -1 1 335.364 -0.707 20 0 EBADMM CC1=C(C)C[C@@H](C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)CC1 ZINC001077048038 742710078 /nfs/dbraw/zinc/71/00/78/742710078.db2.gz ZTODTEHDALNITF-BFHYXJOUSA-N -1 1 349.435 -0.094 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)[C@]3(C)CC=CCC3)C2)nc1=O ZINC001077052070 742710595 /nfs/dbraw/zinc/71/05/95/742710595.db2.gz QXTDZWUBRBAWGS-XHBSWPGZSA-N -1 1 335.408 -0.484 20 0 EBADMM C[C@@H](CCNC(=O)[C@@H]1CCC(=O)NC1)NC(=O)c1ncccc1[O-] ZINC001077283241 742836898 /nfs/dbraw/zinc/83/68/98/742836898.db2.gz TVWJLVMCKJHPDV-WDEREUQCSA-N -1 1 334.376 -0.062 20 0 EBADMM Cc1ccc(F)cc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001077471625 742997951 /nfs/dbraw/zinc/99/79/51/742997951.db2.gz VNVKRJFWMWLBGT-CHWSQXEVSA-N -1 1 349.366 -0.469 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC[C@H](C)NC(=O)CSC ZINC001077487063 743012284 /nfs/dbraw/zinc/01/22/84/743012284.db2.gz DDQQLRBGIFRKGO-ZETCQYMHSA-N -1 1 344.393 -0.116 20 0 EBADMM COCCC(=O)N[C@@H](C)CCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001077488262 743012860 /nfs/dbraw/zinc/01/28/60/743012860.db2.gz JUZHQANXUOUIHA-VIFPVBQESA-N -1 1 336.352 -0.921 20 0 EBADMM C[C@@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)c1ccon1 ZINC001077488111 743012960 /nfs/dbraw/zinc/01/29/60/743012960.db2.gz AZDKZEOSDBMZOU-QMMMGPOBSA-N -1 1 345.319 -0.656 20 0 EBADMM Cc1cc(CC(=O)N[C@@H](C)CCNC(=O)c2n[nH]c(=O)[n-]c2=O)[nH]n1 ZINC001077578125 743093374 /nfs/dbraw/zinc/09/33/74/743093374.db2.gz NBECFRILALIAOY-ZETCQYMHSA-N -1 1 349.351 -0.818 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)c3ccoc3C3CC3)C2)nc1=O ZINC001077578570 743094406 /nfs/dbraw/zinc/09/44/06/743094406.db2.gz KTDUZMOLXJARJG-VXGBXAGGSA-N -1 1 347.375 -0.446 20 0 EBADMM Cc1cccc(CC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c1 ZINC001077602583 743114552 /nfs/dbraw/zinc/11/45/52/743114552.db2.gz CZJMPXIPLYFSJF-ZIAGYGMSSA-N -1 1 345.403 -0.679 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c(C)n1 ZINC001078220096 743543913 /nfs/dbraw/zinc/54/39/13/743543913.db2.gz ZJBYMNIZCCXKLK-CHWSQXEVSA-N -1 1 346.391 -0.905 20 0 EBADMM O=C(c1ccccn1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078317179 743583372 /nfs/dbraw/zinc/58/33/72/743583372.db2.gz MAGZSWVNALTOJI-LLVKDONJSA-N -1 1 332.364 -0.074 20 0 EBADMM O=C(C1=COCCO1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078326931 743586418 /nfs/dbraw/zinc/58/64/18/743586418.db2.gz FKCMUWRGODUYBT-SNVBAGLBSA-N -1 1 339.352 -0.894 20 0 EBADMM Cc1c(C(=O)N2CCCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)cnn1C ZINC001078335625 743589497 /nfs/dbraw/zinc/58/94/97/743589497.db2.gz AAUYXMXKNLLJBL-NSHDSACASA-N -1 1 349.395 -0.427 20 0 EBADMM O=C(c1cnsn1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078345553 743594596 /nfs/dbraw/zinc/59/45/96/743594596.db2.gz AOFKLYUWLHDODH-MRVPVSSYSA-N -1 1 339.381 -0.617 20 0 EBADMM CC[C@H](OC)C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078354988 743601164 /nfs/dbraw/zinc/60/11/64/743601164.db2.gz QAKGLINKXPJHTR-QWRGUYRKSA-N -1 1 327.385 -0.358 20 0 EBADMM O=C(C[C@@H]1CCOC1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078363629 743609971 /nfs/dbraw/zinc/60/99/71/743609971.db2.gz MWNPMGWTDZPYGC-NWDGAFQWSA-N -1 1 339.396 -0.356 20 0 EBADMM Cn1cnnc1CNC[C@@H]1CN(C(=O)c2ncccc2[O-])CCCO1 ZINC001078383905 743617552 /nfs/dbraw/zinc/61/75/52/743617552.db2.gz HXYBPNJRNPZMSR-GFCCVEGCSA-N -1 1 346.391 -0.063 20 0 EBADMM Cc1nc(C(=O)N2CCCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)co1 ZINC001078384212 743617674 /nfs/dbraw/zinc/61/76/74/743617674.db2.gz PZEPHERJGRXKNQ-SNVBAGLBSA-N -1 1 336.352 -0.172 20 0 EBADMM Cn1cc(CNC[C@@H]2CN(C(=O)c3ncccc3[O-])CCCO2)nn1 ZINC001078384389 743618557 /nfs/dbraw/zinc/61/85/57/743618557.db2.gz VLHONOQQLGUYGL-CYBMUJFWSA-N -1 1 346.391 -0.063 20 0 EBADMM Cc1cc(C(=O)N2CCCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)cnn1 ZINC001078387557 743619631 /nfs/dbraw/zinc/61/96/31/743619631.db2.gz HTNRUUBLGGXSRB-GFCCVEGCSA-N -1 1 347.379 -0.370 20 0 EBADMM CCn1nccc1C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078388351 743620681 /nfs/dbraw/zinc/62/06/81/743620681.db2.gz ZRPRVVZPFAUTNN-NSHDSACASA-N -1 1 349.395 -0.252 20 0 EBADMM Cc1ccnc(C(=O)N2CCCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001078389566 743622792 /nfs/dbraw/zinc/62/27/92/743622792.db2.gz PWWWCOAHFPFHAZ-NSHDSACASA-N -1 1 347.379 -0.370 20 0 EBADMM CCn1ccnc1C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078389774 743623810 /nfs/dbraw/zinc/62/38/10/743623810.db2.gz VOEMYGJGEMQZLQ-NSHDSACASA-N -1 1 349.395 -0.252 20 0 EBADMM Cn1nccc1CC(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078389903 743623850 /nfs/dbraw/zinc/62/38/50/743623850.db2.gz YPRGNRYPLJVJKL-GFCCVEGCSA-N -1 1 349.395 -0.806 20 0 EBADMM CO[C@@H](C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001078390792 743624367 /nfs/dbraw/zinc/62/43/67/743624367.db2.gz GAEZGHMZDGQUKT-DGCLKSJQSA-N -1 1 339.396 -0.358 20 0 EBADMM O=C(Cc1ncc[nH]1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078492059 743675019 /nfs/dbraw/zinc/67/50/19/743675019.db2.gz FUJVHHMDOGRBKI-SNVBAGLBSA-N -1 1 335.368 -0.817 20 0 EBADMM C[C@]1(C(=O)N2CCCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)CCOC1 ZINC001078494164 743676921 /nfs/dbraw/zinc/67/69/21/743676921.db2.gz VIRPPKKBYZNVFE-NHYWBVRUSA-N -1 1 339.396 -0.356 20 0 EBADMM Cn1ncc(C(=O)N[C@@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)c1N ZINC001078603246 743705689 /nfs/dbraw/zinc/70/56/89/743705689.db2.gz ATLCZCJVHXOZME-VHSXEESVSA-N -1 1 346.395 -0.343 20 0 EBADMM Cn1cnc(C(=O)N[C@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)n1 ZINC001078620118 743709523 /nfs/dbraw/zinc/70/95/23/743709523.db2.gz SZSLWZKEMFBHTR-BDAKNGLRSA-N -1 1 332.368 -0.530 20 0 EBADMM O=C(N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2)c1cnc[nH]c1=O ZINC001078655207 743716370 /nfs/dbraw/zinc/71/63/70/743716370.db2.gz ZCKWKHXXNIEQGH-VHSXEESVSA-N -1 1 345.363 -0.163 20 0 EBADMM CCn1cc(C(=O)N[C@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)nn1 ZINC001078764455 743731803 /nfs/dbraw/zinc/73/18/03/743731803.db2.gz SVGDMSNSFAMLHK-QWRGUYRKSA-N -1 1 346.395 -0.048 20 0 EBADMM CCn1nc(C)c(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000980556777 805941071 /nfs/dbraw/zinc/94/10/71/805941071.db2.gz KVYHWFDIIIAOIB-LLVKDONJSA-N -1 1 348.411 -0.625 20 0 EBADMM C[C@@H]1C[C@H](CNCc2ncnn2C)CN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000980642374 805973520 /nfs/dbraw/zinc/97/35/20/805973520.db2.gz QQEKYSUWEPZXBF-RKDXNWHRSA-N -1 1 348.367 -0.948 20 0 EBADMM O=C(NC[C@H]1CC[C@@H](NC(=O)[C@H]2COC(=O)N2)C1)c1ncccc1[O-] ZINC000981206938 806109439 /nfs/dbraw/zinc/10/94/39/806109439.db2.gz DPFYWTMHKDZBCZ-HBNTYKKESA-N -1 1 348.359 -0.090 20 0 EBADMM Cn1ccc(CCC(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC000981264284 806122888 /nfs/dbraw/zinc/12/28/88/806122888.db2.gz ZJHUENSXCPHELX-UHFFFAOYSA-N -1 1 347.423 -0.491 20 0 EBADMM CO[C@@H]1CCC[C@@H]1C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000981487183 806181900 /nfs/dbraw/zinc/18/19/00/806181900.db2.gz QBYTXZCWRAVXIW-QWHCGFSZSA-N -1 1 337.424 -0.042 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)c4cnsn4)C3)C2)nc1=O ZINC000981645680 806224100 /nfs/dbraw/zinc/22/41/00/806224100.db2.gz HKRCYKBORMKMLW-UHFFFAOYSA-N -1 1 349.420 -0.302 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)c4cocn4)C3)C2)nc1=O ZINC000981664318 806228909 /nfs/dbraw/zinc/22/89/09/806228909.db2.gz VMVPTOMNSRRMQS-UHFFFAOYSA-N -1 1 332.364 -0.165 20 0 EBADMM COc1nc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)co1 ZINC000981697369 806243160 /nfs/dbraw/zinc/24/31/60/806243160.db2.gz DXKDXQYIHYVFPK-UHFFFAOYSA-N -1 1 336.352 -0.547 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)[C@@H]3C[C@H]4CC[C@@H]3O4)CC2)nc1=O ZINC000982002453 806367586 /nfs/dbraw/zinc/36/75/86/806367586.db2.gz FFHASKNUYONDAM-UPJWGTAASA-N -1 1 335.408 -0.290 20 0 EBADMM C[C@@H](CC(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1)n1ccnc1 ZINC000982048951 806382979 /nfs/dbraw/zinc/38/29/79/806382979.db2.gz AWEFBYPVMMQJLK-ZDUSSCGKSA-N -1 1 347.423 -0.010 20 0 EBADMM C[C@H]1OCC[C@@H]1C(=O)N1CC2(C1)CCCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC000982084172 806396609 /nfs/dbraw/zinc/39/66/09/806396609.db2.gz GCWNBMGPYLKEQL-OLZOCXBDSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2C[C@]3(C)CN(C(=O)[C@@H]4CCOC4)C[C@]3(C)C2)nc1=O ZINC000982229610 806428343 /nfs/dbraw/zinc/42/83/43/806428343.db2.gz LGPBNRWMCYNZRS-JLZZUVOBSA-N -1 1 349.435 -0.185 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCN(C(=O)C2CC2)C1 ZINC000982329796 806461874 /nfs/dbraw/zinc/46/18/74/806461874.db2.gz YKVFIWXIZSMBBD-MRVPVSSYSA-N -1 1 336.348 -0.115 20 0 EBADMM Cn1oc(C(=O)NC[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)cc1=O ZINC000982671690 806627461 /nfs/dbraw/zinc/62/74/61/806627461.db2.gz SFBYDVQJJIGETF-JTQLQIEISA-N -1 1 346.343 -0.029 20 0 EBADMM Cn1nncc1C(=O)NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000982671929 806628735 /nfs/dbraw/zinc/62/87/35/806628735.db2.gz SQMLGAIMFHKADG-JTQLQIEISA-N -1 1 330.348 -0.192 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1CNC(=O)N1 ZINC000982673463 806630281 /nfs/dbraw/zinc/63/02/81/806630281.db2.gz OXLNGVMCXMQLFW-VHSXEESVSA-N -1 1 333.348 -0.953 20 0 EBADMM Cn1cc(C(=O)NC[C@H]2CCN(C(=O)c3ncccc3[O-])C2)nn1 ZINC000982673932 806630314 /nfs/dbraw/zinc/63/03/14/806630314.db2.gz SHYOZHKPEMQYCW-SNVBAGLBSA-N -1 1 330.348 -0.192 20 0 EBADMM CN1C[C@@H](C(=O)N2CC[C@H](CNC(=O)c3ncccc3[O-])C2)CC1=O ZINC000982865428 806744427 /nfs/dbraw/zinc/74/44/27/806744427.db2.gz XNYKKCMFDWKRAI-NEPJUHHUSA-N -1 1 346.387 -0.156 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H](CNC(=O)C2CCC2)C1 ZINC000982994659 806827932 /nfs/dbraw/zinc/82/79/32/806827932.db2.gz COGFRJKTVAOJSY-LBPRGKRZSA-N -1 1 348.403 -0.309 20 0 EBADMM NC(=O)C1(C(=O)N2CC[C@@H](CNC(=O)c3ncccc3[O-])C2)CC1 ZINC000983210250 806950385 /nfs/dbraw/zinc/95/03/85/806950385.db2.gz DPXBJMRFCXYYMG-JTQLQIEISA-N -1 1 332.360 -0.369 20 0 EBADMM CS(=O)(=O)NCCc1ccc(C(=O)[N-]N2CC(=O)NC2=O)s1 ZINC000053286269 803747238 /nfs/dbraw/zinc/74/72/38/803747238.db2.gz OUWSFQUAIXZMBK-UHFFFAOYSA-N -1 1 346.390 -0.964 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)[C@@H]1COC(=O)N1 ZINC000976433037 803829926 /nfs/dbraw/zinc/82/99/26/803829926.db2.gz PFSVFVLADZBFCE-QCBRBAQYSA-N -1 1 332.316 -0.918 20 0 EBADMM NC(=O)[C@H]1CC[C@H]1C(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000976950526 804000808 /nfs/dbraw/zinc/00/08/08/804000808.db2.gz XMASKVJQKSHLBX-VLLVSFFDSA-N -1 1 344.371 -0.515 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(N)=O ZINC000977089611 804131520 /nfs/dbraw/zinc/13/15/20/804131520.db2.gz GWHMGOJDVHXOQV-JZYVYDRUSA-N -1 1 347.375 -0.714 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1)C(=O)C1CC1 ZINC000977248633 804255613 /nfs/dbraw/zinc/25/56/13/804255613.db2.gz ROKGOPYSIKOZAP-JTQLQIEISA-N -1 1 330.348 -0.500 20 0 EBADMM CN(C[C@H]1CCN1C(=O)CCn1cc[n-]c(=O)c1=O)C(=O)C1CCC1 ZINC000977259025 804258291 /nfs/dbraw/zinc/25/82/91/804258291.db2.gz XMPJKSZWNKNBQK-CYBMUJFWSA-N -1 1 348.403 -0.214 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)c3ccncn3)C(C)(C)C2)nc1=O ZINC000977363424 804296070 /nfs/dbraw/zinc/29/60/70/804296070.db2.gz HSTZQRPLNHWZOS-LLVKDONJSA-N -1 1 331.380 -0.461 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1COCCO1 ZINC000977393574 804305094 /nfs/dbraw/zinc/30/50/94/804305094.db2.gz HUFFBZPWBBOTPB-DGCLKSJQSA-N -1 1 335.360 -0.125 20 0 EBADMM Cc1ncc(C(=O)N(C)C[C@@H]2CCN2C(=O)c2n[nH]c(=O)[n-]c2=O)[nH]1 ZINC000977466520 804337617 /nfs/dbraw/zinc/33/76/17/804337617.db2.gz JABSFDVZNRGCIA-QMMMGPOBSA-N -1 1 347.335 -0.699 20 0 EBADMM Cc1ncc(C(=O)N(C)C[C@@H]2CCN2C(=O)Cn2c(=O)[n-][nH]c2=O)[nH]1 ZINC000977472456 804341892 /nfs/dbraw/zinc/34/18/92/804341892.db2.gz OGBGNKABVQJEGZ-VIFPVBQESA-N -1 1 349.351 -0.906 20 0 EBADMM CN(C[C@H]1CCN1C(=O)[C@@H]1CCNC1=O)C(=O)c1ncccc1[O-] ZINC000977600172 804407953 /nfs/dbraw/zinc/40/79/53/804407953.db2.gz BAAANTURVCKSBK-GHMZBOCLSA-N -1 1 332.360 -0.404 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)C(C)(C)C(N)=O)C(=O)c1ncccc1[O-] ZINC000977602007 804409042 /nfs/dbraw/zinc/40/90/42/804409042.db2.gz RMYTXGQIKYPRNF-JTQLQIEISA-N -1 1 334.376 -0.028 20 0 EBADMM CC(=O)N1CC(C(=O)N2CC[C@@H]2CN(C)C(=O)c2ncccc2[O-])C1 ZINC000977623744 804420032 /nfs/dbraw/zinc/42/00/32/804420032.db2.gz ZPDNJUIXWPEDLZ-CYBMUJFWSA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)c1cn(C)nn1 ZINC000977653851 804443610 /nfs/dbraw/zinc/44/36/10/804443610.db2.gz RKTLVDSGEUZMQR-JTQLQIEISA-N -1 1 330.348 -0.098 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1COC(=O)N1 ZINC000977660669 804449699 /nfs/dbraw/zinc/44/96/99/804449699.db2.gz VIQMPKQDXHUEMP-NXEZZACHSA-N -1 1 334.332 -0.432 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)CC1CC1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000978053614 804635888 /nfs/dbraw/zinc/63/58/88/804635888.db2.gz LBSZCKNUZGFEPM-NSHDSACASA-N -1 1 344.375 -0.109 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CC[C@H]1CN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000978058846 804638616 /nfs/dbraw/zinc/63/86/16/804638616.db2.gz VICLCJHULVBIMS-DCAQKATOSA-N -1 1 344.375 -0.254 20 0 EBADMM Cn1cncc1CN1C[C@@H]2[C@@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)[C@@H]2C1 ZINC000978411097 804825299 /nfs/dbraw/zinc/82/52/99/804825299.db2.gz IQKKZNYKMCGVEV-RTCCRHLQSA-N -1 1 345.363 -0.876 20 0 EBADMM O=C(NC[C@@H]1C[C@H](NC(=O)[C@H]2COC(=O)N2)C1)c1ncccc1[O-] ZINC000978741226 804952498 /nfs/dbraw/zinc/95/24/98/804952498.db2.gz ILPYUOCDGSKSQN-KXUCPTDWSA-N -1 1 334.332 -0.480 20 0 EBADMM O=C(C[C@@H]1CCNC1=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000978746619 804956221 /nfs/dbraw/zinc/95/62/21/804956221.db2.gz ZWMDVSVJAXUBEQ-SDDRHHMPSA-N -1 1 346.387 -0.062 20 0 EBADMM O=C(NC[C@@H]1C[C@H](NC(=O)[C@H]2CCC(=O)NC2)C1)c1ncccc1[O-] ZINC000978752906 804959251 /nfs/dbraw/zinc/95/92/51/804959251.db2.gz LSKBHPMUBWWYSG-SDDRHHMPSA-N -1 1 346.387 -0.062 20 0 EBADMM Cn1nncc1C(=O)NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000978778175 804972679 /nfs/dbraw/zinc/97/26/79/804972679.db2.gz QKMBCHWWHWFSFZ-AOOOYVTPSA-N -1 1 330.348 -0.146 20 0 EBADMM O=C(NC[C@H]1C[C@@H](NC(=O)C2CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000979044730 805106196 /nfs/dbraw/zinc/10/61/96/805106196.db2.gz OVGFKWXTKSGFQI-WAAGHKOSSA-N -1 1 330.348 -0.548 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N[C@H]1C[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000979043280 805106362 /nfs/dbraw/zinc/10/63/62/805106362.db2.gz IFXSMLMBLKLKCA-MMWGEVLESA-N -1 1 344.375 -0.302 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)C(=O)C(C)(C)C)CCO1 ZINC000979245616 805235633 /nfs/dbraw/zinc/23/56/33/805235633.db2.gz CLLBGGZTEVOXLT-ZJUUUORDSA-N -1 1 339.396 -0.169 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)C(=O)C(C)(C)C)CCO1 ZINC000979245607 805235796 /nfs/dbraw/zinc/23/57/96/805235796.db2.gz CLLBGGZTEVOXLT-VHSXEESVSA-N -1 1 339.396 -0.169 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)nn1C ZINC000979262500 805248510 /nfs/dbraw/zinc/24/85/10/805248510.db2.gz RZRUPQBYIHVKNF-PWSUYJOCSA-N -1 1 349.395 -0.428 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)Cc2ccnn2C)CCO1 ZINC000979277822 805257785 /nfs/dbraw/zinc/25/77/85/805257785.db2.gz MLDJLSQMTOUYIY-PWSUYJOCSA-N -1 1 349.395 -0.808 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)cnn1 ZINC000979278612 805258143 /nfs/dbraw/zinc/25/81/43/805258143.db2.gz NAJPSALJBVRVIM-PWSUYJOCSA-N -1 1 347.379 -0.372 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2ccns2)CCO1 ZINC000979308690 805281291 /nfs/dbraw/zinc/28/12/91/805281291.db2.gz OIVGEAKZURDMDO-RKDXNWHRSA-N -1 1 338.393 -0.014 20 0 EBADMM Cc1c[nH]nc1C(=O)N1CCO[C@@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979330676 805298899 /nfs/dbraw/zinc/29/88/99/805298899.db2.gz JTDJHJNKPZZCRL-NXEZZACHSA-N -1 1 335.368 -0.439 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)Cc2ccn(C)n2)CCO1 ZINC000979335222 805302125 /nfs/dbraw/zinc/30/21/25/805302125.db2.gz PJEBACOBXWGEOH-ZYHUDNBSSA-N -1 1 349.395 -0.808 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2cc(F)c[nH]2)CCO1 ZINC000979344756 805309725 /nfs/dbraw/zinc/30/97/25/805309725.db2.gz TYXAQTXXVMMRFU-KWQFWETISA-N -1 1 338.343 -0.003 20 0 EBADMM Cc1nocc1C(=O)N1CCO[C@@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979354960 805318664 /nfs/dbraw/zinc/31/86/64/805318664.db2.gz FEYFHNQEZWIREZ-GXSJLCMTSA-N -1 1 336.352 -0.174 20 0 EBADMM Cc1cnoc1C(=O)N1CCO[C@@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979418507 805363329 /nfs/dbraw/zinc/36/33/29/805363329.db2.gz UERJCNSPNLCBMR-NXEZZACHSA-N -1 1 336.352 -0.174 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2ccc(=O)[nH]c2)CCO1 ZINC000979423100 805367449 /nfs/dbraw/zinc/36/74/49/805367449.db2.gz CIKNOHDMYYZBKO-ONGXEEELSA-N -1 1 348.363 -0.370 20 0 EBADMM CCc1nc[nH]c1C(=O)N1CCO[C@@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979447413 805385389 /nfs/dbraw/zinc/38/53/89/805385389.db2.gz ONLUQPZGFIKSEI-GXSJLCMTSA-N -1 1 349.395 -0.185 20 0 EBADMM Cc1nonc1C(=O)N1CCO[C@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979458100 805391235 /nfs/dbraw/zinc/39/12/35/805391235.db2.gz FELVRDIEYYGKPQ-APPZFPTMSA-N -1 1 337.340 -0.779 20 0 EBADMM CCC(=O)N1C[C@@H]2CC[C@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)[C@H]2C1 ZINC000979542941 805423826 /nfs/dbraw/zinc/42/38/26/805423826.db2.gz CMIOEMFTDMNHRD-DCAQKATOSA-N -1 1 344.375 -0.206 20 0 EBADMM CC(=O)N1C[C@@H]2CC[C@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)[C@H]2C1 ZINC000979558739 805429991 /nfs/dbraw/zinc/42/99/91/805429991.db2.gz FIBBKFDDRAQHLT-DCAQKATOSA-N -1 1 330.348 -0.596 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2C[C@H](NC(=O)Cc3nnc[nH]3)C2)c1[O-] ZINC000979649262 805465918 /nfs/dbraw/zinc/46/59/18/805465918.db2.gz PYBYOSMTOSSNDV-KYZUINATSA-N -1 1 333.352 -0.591 20 0 EBADMM Cn1cc(C(=O)N[C@H]2C[C@H](CNC(=O)c3ncccc3[O-])C2)nn1 ZINC000979663814 805475787 /nfs/dbraw/zinc/47/57/87/805475787.db2.gz GAEBAPKLLPXYMZ-MGCOHNPYSA-N -1 1 330.348 -0.146 20 0 EBADMM O=C(NC[C@@H]1C[C@@H](NC(=O)[C@@H]2COC(=O)N2)C1)c1ncccc1[O-] ZINC000979663882 805475800 /nfs/dbraw/zinc/47/58/00/805475800.db2.gz ILPYUOCDGSKSQN-GUBZILKMSA-N -1 1 334.332 -0.480 20 0 EBADMM O=C(NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)[C@@H]1COC(=O)N1 ZINC000979699594 805494488 /nfs/dbraw/zinc/49/44/88/805494488.db2.gz FTANXYQGNXDBHZ-GUBZILKMSA-N -1 1 334.332 -0.480 20 0 EBADMM Cn1nnc(C(=O)N[C@H]2CCC[C@@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC000983572851 807039392 /nfs/dbraw/zinc/03/93/92/807039392.db2.gz HXEOHGWYUNOKOK-ZJUUUORDSA-N -1 1 345.363 -0.218 20 0 EBADMM O=C(Cn1ncnn1)N[C@H]1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000983586444 807045961 /nfs/dbraw/zinc/04/59/61/807045961.db2.gz VGEVKYBBECWVLI-WDEREUQCSA-N -1 1 345.363 -0.369 20 0 EBADMM CN(C(=O)[C@H]1CCNC1=O)[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984109750 807167368 /nfs/dbraw/zinc/16/73/68/807167368.db2.gz DWMQZBORYRRZGO-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)[C@@H]1COC(=O)N1)[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984116511 807168705 /nfs/dbraw/zinc/16/87/05/807168705.db2.gz UIKHRXDRWLSWMG-QWRGUYRKSA-N -1 1 348.359 -0.041 20 0 EBADMM Cc1cc(CNC[C@H]2C[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)no1 ZINC000984210764 807218135 /nfs/dbraw/zinc/21/81/35/807218135.db2.gz YPEPXYPDMBQWDK-KYZUINATSA-N -1 1 334.336 -0.123 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)Cn2ncnn2)CCN1C(=O)c1ncccc1[O-] ZINC000984910954 807409548 /nfs/dbraw/zinc/40/95/48/807409548.db2.gz HLMINAHMRXGCLE-WDEREUQCSA-N -1 1 345.363 -0.417 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)C[C@@H]2COC(=O)C2)CC1 ZINC000985383198 807500772 /nfs/dbraw/zinc/50/07/72/807500772.db2.gz XWCMIFZXNFASSS-JTQLQIEISA-N -1 1 337.380 -0.114 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)[C@@]2(C)CNC(=O)C2)CC1 ZINC000985557167 807536504 /nfs/dbraw/zinc/53/65/04/807536504.db2.gz VNTICLQRWGODMJ-OAHLLOKOSA-N -1 1 336.396 -0.541 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)[C@H]2CC[C@@H]2C(N)=O)CC1 ZINC000985572534 807538780 /nfs/dbraw/zinc/53/87/80/807538780.db2.gz ZFAWTTJNPRIPFY-QWRGUYRKSA-N -1 1 336.396 -0.555 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)c2c[nH]c(=O)n2C)CC1 ZINC000985573684 807540904 /nfs/dbraw/zinc/54/09/04/807540904.db2.gz BFJANWWEHJFFID-UHFFFAOYSA-N -1 1 335.368 -0.314 20 0 EBADMM NC(=O)CC(=O)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])CC[C@@H]2C1 ZINC000985839138 807601935 /nfs/dbraw/zinc/60/19/35/807601935.db2.gz QBSRWYAXXKDUIG-MNOVXSKESA-N -1 1 332.360 -0.417 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCc1ccnn1C ZINC000986330277 807676082 /nfs/dbraw/zinc/67/60/82/807676082.db2.gz GXUWCCWYFPVAQP-CMPLNLGQSA-N -1 1 333.396 -0.044 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnc2n1CCOC2 ZINC000986383515 807693133 /nfs/dbraw/zinc/69/31/33/807693133.db2.gz SMFLVKWQAANPBI-NXEZZACHSA-N -1 1 347.379 -0.370 20 0 EBADMM Cc1ncoc1CN[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)[C@H]1C ZINC000986490756 807718537 /nfs/dbraw/zinc/71/85/37/807718537.db2.gz XNTKVZAHFHRTOV-IUCAKERBSA-N -1 1 334.336 -0.028 20 0 EBADMM C[C@@H]1[C@@H](NCc2nccn2C)CCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000986490791 807720221 /nfs/dbraw/zinc/72/02/21/807720221.db2.gz YBJKNWNMMAJGQV-BDAKNGLRSA-N -1 1 333.352 -0.591 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cn(C)ccc1=O ZINC000986542189 807729994 /nfs/dbraw/zinc/72/99/94/807729994.db2.gz UIPPXEVLKUWGDG-MWLCHTKSSA-N -1 1 332.364 -0.398 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1coc(C(N)=O)c1 ZINC000986599871 807749350 /nfs/dbraw/zinc/74/93/50/807749350.db2.gz GHVRFEZDLDUIFY-CBAPKCEASA-N -1 1 334.336 -0.405 20 0 EBADMM C[C@H]1[C@@H](NCc2ccn(C)n2)CCN1C(=O)c1cnc([O-])n(C)c1=O ZINC000986648940 807755638 /nfs/dbraw/zinc/75/56/38/807755638.db2.gz HHRCOLKVQWYHMA-GWCFXTLKSA-N -1 1 346.391 -0.388 20 0 EBADMM C[C@@H]1[C@H](NCc2cnnn2C)CCN1C(=O)c1cnc([O-])n(C)c1=O ZINC000986653680 807756753 /nfs/dbraw/zinc/75/67/53/807756753.db2.gz ZKIPWWNVCLMCBA-BXKDBHETSA-N -1 1 347.379 -0.993 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cc(C(N)=O)co1 ZINC000986918480 807796173 /nfs/dbraw/zinc/79/61/73/807796173.db2.gz PJOQFOUUNODNHJ-CBAPKCEASA-N -1 1 334.336 -0.405 20 0 EBADMM CC(=O)N[C@@H](C)C1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000986936470 807800367 /nfs/dbraw/zinc/80/03/67/807800367.db2.gz REDNLOXGWWUDJE-VIFPVBQESA-N -1 1 332.364 -0.206 20 0 EBADMM COc1cncc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)n1 ZINC000987124581 807845337 /nfs/dbraw/zinc/84/53/37/807845337.db2.gz PAZGSSMJXKBYLF-DTWKUNHWSA-N -1 1 333.352 -0.298 20 0 EBADMM CC(C)c1nnnn1CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000987132744 807850489 /nfs/dbraw/zinc/85/04/89/807850489.db2.gz IPRUZBWFBRGJME-ZJUUUORDSA-N -1 1 349.399 -0.601 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)CC2CC2)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000987204416 807869339 /nfs/dbraw/zinc/86/93/39/807869339.db2.gz XGUOETNEQSBACE-KOLCDFICSA-N -1 1 344.375 -0.063 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1nc2ncccn2n1 ZINC000987209724 807870245 /nfs/dbraw/zinc/87/02/45/807870245.db2.gz CSMMRSBIHIKZDZ-IUCAKERBSA-N -1 1 343.351 -0.659 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1nc2ncccn2n1 ZINC000987209723 807870616 /nfs/dbraw/zinc/87/06/16/807870616.db2.gz CSMMRSBIHIKZDZ-DTWKUNHWSA-N -1 1 343.351 -0.659 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cc(C(N)=O)c[nH]1 ZINC000987275828 807881219 /nfs/dbraw/zinc/88/12/19/807881219.db2.gz SRZAOYRYOMOCRC-IONNQARKSA-N -1 1 333.352 -0.670 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cn(CC2CC2)nn1 ZINC000987282634 807883207 /nfs/dbraw/zinc/88/32/07/807883207.db2.gz YEAHLPRYWZYMKO-KOLCDFICSA-N -1 1 346.395 -0.095 20 0 EBADMM COc1ncc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)cn1 ZINC000987295862 807884560 /nfs/dbraw/zinc/88/45/60/807884560.db2.gz LULRRKPTACYXTE-WCBMZHEXSA-N -1 1 333.352 -0.298 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cccn(C)c1=O ZINC000987377674 807904582 /nfs/dbraw/zinc/90/45/82/807904582.db2.gz ZPLCGOVZRUTXPF-GXSJLCMTSA-N -1 1 332.364 -0.398 20 0 EBADMM C[C@H]1[C@@H](NCc2ccn(C)n2)CCN1C(=O)Cn1c(=O)[n-][nH]c1=O ZINC000987422584 807914768 /nfs/dbraw/zinc/91/47/68/807914768.db2.gz RIJSMIQEXVHBLL-ONGXEEELSA-N -1 1 335.368 -0.798 20 0 EBADMM C[C@@H]1[C@@H](NCc2cnns2)CCN1C(=O)Cn1c(=O)[n-][nH]c1=O ZINC000987424307 807916055 /nfs/dbraw/zinc/91/60/55/807916055.db2.gz ZTWYWXMJFITEIA-APPZFPTMSA-N -1 1 339.381 -0.680 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1c[nH]c(C(N)=O)c1 ZINC000987517672 807950850 /nfs/dbraw/zinc/95/08/50/807950850.db2.gz JEYYJPDVJSJZQY-CBAPKCEASA-N -1 1 333.352 -0.670 20 0 EBADMM CCn1nc(C)c(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)n1 ZINC000987612814 807972737 /nfs/dbraw/zinc/97/27/37/807972737.db2.gz ITXRLAGNHGFKLV-VHSXEESVSA-N -1 1 334.384 -0.177 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)[C@@H]2COCCN2C)CCN1C(=O)c1ncccc1[O-] ZINC000987636439 807977788 /nfs/dbraw/zinc/97/77/88/807977788.db2.gz DIYQURWQGNNPDN-AGIUHOORSA-N -1 1 348.403 -0.163 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@H]1COCCN1C ZINC000987669876 807986810 /nfs/dbraw/zinc/98/68/10/807986810.db2.gz BSBAKVJYISAJQX-FRRDWIJNSA-N -1 1 348.403 -0.163 20 0 EBADMM CN(C[C@H]1CCN(C(=O)c2ccn[nH]2)C1)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000987777113 808009965 /nfs/dbraw/zinc/00/99/65/808009965.db2.gz HMOCEOSHNORMOT-MRVPVSSYSA-N -1 1 347.335 -0.760 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C(=O)[C@H]1CNC(=O)N1 ZINC000987934414 808063012 /nfs/dbraw/zinc/06/30/12/808063012.db2.gz ZGOKUWYLRFXKPR-WDEREUQCSA-N -1 1 347.375 -0.611 20 0 EBADMM CN(C[C@H]1CCN(C(=O)[C@@H]2COC(=O)N2)C1)C(=O)c1ncccc1[O-] ZINC000987959580 808069739 /nfs/dbraw/zinc/06/97/39/808069739.db2.gz HPYNSPRXONRSFS-MNOVXSKESA-N -1 1 348.359 -0.184 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1nnn(C(C)(C)C)n1 ZINC000988868935 808307471 /nfs/dbraw/zinc/30/74/71/808307471.db2.gz VWJORKSYXGZJLV-BDAKNGLRSA-N -1 1 349.399 -0.355 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnn2ncccc12 ZINC000988971250 808338575 /nfs/dbraw/zinc/33/85/75/808338575.db2.gz FDNMDNNGJRKKDC-UWVGGRQHSA-N -1 1 342.363 -0.054 20 0 EBADMM Cc1cc(CN[C@@H]2C[C@H](C)N(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)ncn1 ZINC000989113048 808377802 /nfs/dbraw/zinc/37/78/02/808377802.db2.gz RJTSQZWCFHNJFJ-GXSJLCMTSA-N -1 1 345.363 -0.226 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cc(C(N)=O)cn1C ZINC000989113804 808378214 /nfs/dbraw/zinc/37/82/14/808378214.db2.gz VADZKBIFTZRDEX-PSASIEDQSA-N -1 1 347.379 -0.660 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)Cc1ccc(=O)[nH]c1 ZINC000989220274 808405628 /nfs/dbraw/zinc/40/56/28/808405628.db2.gz SXFLJPKFMLDJMS-KOLCDFICSA-N -1 1 332.364 -0.067 20 0 EBADMM C[C@H]1C[C@@H](NCc2nccn2C)CN1C(=O)c1cnc([O-])n(C)c1=O ZINC000989279670 808426207 /nfs/dbraw/zinc/42/62/07/808426207.db2.gz BXWBWXNRNOKRTQ-WDEREUQCSA-N -1 1 346.391 -0.388 20 0 EBADMM C[C@H]1C[C@@H](NCc2ccon2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000989562345 808507538 /nfs/dbraw/zinc/50/75/38/808507538.db2.gz PFDBYEMKJTUOSJ-WCQYABFASA-N -1 1 347.375 -0.306 20 0 EBADMM C[C@@H]1C[C@@H](NCc2cnon2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000989562674 808508216 /nfs/dbraw/zinc/50/82/16/808508216.db2.gz RKJNCZDPHREECV-GHMZBOCLSA-N -1 1 348.363 -0.911 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cc(C(N)=O)c[nH]1 ZINC000989614309 808532110 /nfs/dbraw/zinc/53/21/10/808532110.db2.gz GAVVKGINQMBDSU-VXNVDRBHSA-N -1 1 333.352 -0.670 20 0 EBADMM CCCn1cc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)nn1 ZINC000989618245 808533717 /nfs/dbraw/zinc/53/37/17/808533717.db2.gz HCTSOHHMNNLCSM-UWVGGRQHSA-N -1 1 334.384 -0.095 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnn2cc[nH]c12 ZINC000989724640 808570110 /nfs/dbraw/zinc/57/01/10/808570110.db2.gz BEXHZYYVKJTHGB-BDAKNGLRSA-N -1 1 330.352 -0.121 20 0 EBADMM CCc1cc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)nn1C ZINC000989800458 808597879 /nfs/dbraw/zinc/59/78/79/808597879.db2.gz NCCXPHFAAAFLBL-UHFFFAOYSA-N -1 1 347.423 -0.248 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCc1cn(C)nn1 ZINC000989860354 808613118 /nfs/dbraw/zinc/61/31/18/808613118.db2.gz GWQINJFWFSYQFY-MWLCHTKSSA-N -1 1 334.384 -0.649 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1cccnc1 ZINC000990071646 808649703 /nfs/dbraw/zinc/64/97/03/808649703.db2.gz PLHPYSJKCUOARS-UHFFFAOYSA-N -1 1 339.315 -0.933 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)Cc4ccon4)CCC[C@H]23)nc1=O ZINC000990080548 808651266 /nfs/dbraw/zinc/65/12/66/808651266.db2.gz HWLUGKUYUNSJPL-LRDDRELGSA-N -1 1 346.391 -0.048 20 0 EBADMM O=C(C[C@H]1C=CCC1)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000990189721 808689128 /nfs/dbraw/zinc/68/91/28/808689128.db2.gz FXCAIGUCRKCHFZ-JTQLQIEISA-N -1 1 342.359 -0.286 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)c4cncnc4)CCC[C@@H]23)nc1=O ZINC000990371300 808764120 /nfs/dbraw/zinc/76/41/20/808764120.db2.gz BMZYWGKRUFJJQT-MLGOLLRUSA-N -1 1 343.391 -0.175 20 0 EBADMM CCC(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](n2ccnn2)C1 ZINC000990855856 808952099 /nfs/dbraw/zinc/95/20/99/808952099.db2.gz IKPGWWRNHJONSJ-MNOVXSKESA-N -1 1 330.348 -0.029 20 0 EBADMM Cc1n[nH]c(C(=O)NC2CN(C(=O)c3c[nH]cc4ncnc3-4)C2)c1[O-] ZINC000990867356 808957336 /nfs/dbraw/zinc/95/73/36/808957336.db2.gz DIAUOXNRZLJYDB-UHFFFAOYSA-N -1 1 341.331 -0.051 20 0 EBADMM CN1CCCC[C@H](C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C1=O ZINC000990970780 809018222 /nfs/dbraw/zinc/01/82/22/809018222.db2.gz ZFQWVXKNEDTJIT-LBPRGKRZSA-N -1 1 346.387 -0.014 20 0 EBADMM NC(=O)c1c[nH]c(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)c1 ZINC000990972845 809020447 /nfs/dbraw/zinc/02/04/47/809020447.db2.gz UHTSBAOZQYBUKI-UHFFFAOYSA-N -1 1 329.316 -0.531 20 0 EBADMM C[C@@]1(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)CCNC(=O)C1 ZINC000990974683 809021157 /nfs/dbraw/zinc/02/11/57/809021157.db2.gz JOYXFULKWQMMRI-MRXNPFEDSA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(NC1CN(C(=O)[C@@H]2CCS(=O)(=O)C2)C1)c1ncccc1[O-] ZINC000990977673 809025292 /nfs/dbraw/zinc/02/52/92/809025292.db2.gz KWTMXYQAPOZJDU-SECBINFHSA-N -1 1 339.373 -0.838 20 0 EBADMM Cn1cc(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]2O)cn1 ZINC000991262938 809210336 /nfs/dbraw/zinc/21/03/36/809210336.db2.gz ZWYJXLCAPORMFK-YPMHNXCESA-N -1 1 345.359 -0.474 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1cnsn1 ZINC000991310666 809274318 /nfs/dbraw/zinc/27/43/18/809274318.db2.gz QLIUDNYCRIHCMG-KCJUWKMLSA-N -1 1 349.372 -0.356 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1cocn1 ZINC000991342875 809330754 /nfs/dbraw/zinc/33/07/54/809330754.db2.gz YNHDCOUPABDELF-SKDRFNHKSA-N -1 1 332.316 -0.219 20 0 EBADMM O=C(Cc1ccon1)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000991343953 809332313 /nfs/dbraw/zinc/33/23/13/809332313.db2.gz PHMNHUWTFKIWNN-AAEUAGOBSA-N -1 1 346.343 -0.291 20 0 EBADMM Cn1cnc(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]2O)c1 ZINC000991387761 809393126 /nfs/dbraw/zinc/39/31/26/809393126.db2.gz NRXKOJHIEYWGAG-MFKMUULPSA-N -1 1 345.359 -0.474 20 0 EBADMM Cc1c[nH]nc1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991406300 809423593 /nfs/dbraw/zinc/42/35/93/809423593.db2.gz CIVPHZRMQLRWDN-JQWIXIFHSA-N -1 1 345.359 -0.176 20 0 EBADMM Cc1nonc1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991407528 809426257 /nfs/dbraw/zinc/42/62/57/809426257.db2.gz FHUHEJSGQUGEMQ-ONGXEEELSA-N -1 1 347.331 -0.516 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccn2)C[C@H]1O)c1ncccc1[O-] ZINC000991426687 809452741 /nfs/dbraw/zinc/45/27/41/809452741.db2.gz NKKDGJUVHSYGSG-CMPLNLGQSA-N -1 1 343.343 -0.417 20 0 EBADMM Cc1cnc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cn1 ZINC001002267188 809691908 /nfs/dbraw/zinc/69/19/08/809691908.db2.gz ZAQXXFJMYYZWSX-UHFFFAOYSA-N -1 1 331.380 -0.399 20 0 EBADMM C[C@@H](NC(=O)[C@H]1C[C@@H]1C)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001006623734 809910136 /nfs/dbraw/zinc/91/01/36/809910136.db2.gz IGVHLVGFDDOBBE-NGZCFLSTSA-N -1 1 344.375 -0.350 20 0 EBADMM Cc1nc([C@H](C)N2CCC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001007799895 810021575 /nfs/dbraw/zinc/02/15/75/810021575.db2.gz LKTQIXKAVBNDBR-CBAPKCEASA-N -1 1 348.367 -0.335 20 0 EBADMM COc1nn(C)cc1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001008167104 810094422 /nfs/dbraw/zinc/09/44/22/810094422.db2.gz DXTFHXHQTQTVNH-SNVBAGLBSA-N -1 1 349.395 -0.755 20 0 EBADMM Cn1nnc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])CC2(C)C)n1 ZINC001008446662 810165202 /nfs/dbraw/zinc/16/52/02/810165202.db2.gz DQRKRIAQBRGEGN-SNVBAGLBSA-N -1 1 345.363 -0.409 20 0 EBADMM Cn1nnc(C(=O)N[C@H]2CN(C(=O)c3ncccc3[O-])CC2(C)C)n1 ZINC001008446660 810165228 /nfs/dbraw/zinc/16/52/28/810165228.db2.gz DQRKRIAQBRGEGN-JTQLQIEISA-N -1 1 345.363 -0.409 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)c3cccc(=O)n3C)C2)nc1=O ZINC001008490274 810174777 /nfs/dbraw/zinc/17/47/77/810174777.db2.gz ZFMMCIFNEZTPKA-NSHDSACASA-N -1 1 346.391 -0.799 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)[C@@H]3C[C@H]4CC[C@@H]3O4)C2)nc1=O ZINC001008598373 810199607 /nfs/dbraw/zinc/19/96/07/810199607.db2.gz NIKCNOGXYYWDGJ-LOWDOPEQSA-N -1 1 335.408 -0.244 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCO[C@@H]([C@H](C)NC(C)=O)C1 ZINC001009714855 810256360 /nfs/dbraw/zinc/25/63/60/810256360.db2.gz ODZKQPQPSRNZGY-IONNQARKSA-N -1 1 340.336 -0.738 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)Cn1nccn1 ZINC001011787786 810348454 /nfs/dbraw/zinc/34/84/54/810348454.db2.gz HVBDPWAHYZTUCR-WDEREUQCSA-N -1 1 330.348 -0.202 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)Cn1nccn1 ZINC001011787785 810348753 /nfs/dbraw/zinc/34/87/53/810348753.db2.gz HVBDPWAHYZTUCR-QWRGUYRKSA-N -1 1 330.348 -0.202 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1C[C@H](C)N(C(=O)C(F)F)C1 ZINC001012121431 810368391 /nfs/dbraw/zinc/36/83/91/810368391.db2.gz MHFMHDIQMQOLMI-NTSWFWBYSA-N -1 1 346.290 -0.119 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)Cn1ncnn1 ZINC001012687620 810379835 /nfs/dbraw/zinc/37/98/35/810379835.db2.gz MKRGCPHUVCJLHN-VHSXEESVSA-N -1 1 331.336 -0.807 20 0 EBADMM CC(C)S(=O)(=O)CC(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001216781707 810390000 /nfs/dbraw/zinc/39/00/00/810390000.db2.gz PCOTWYIWUPOWJN-NXEZZACHSA-N -1 1 345.425 -0.730 20 0 EBADMM CSCCC(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21 ZINC001217254624 810414864 /nfs/dbraw/zinc/41/48/64/810414864.db2.gz DHSUQKJDWKRWMZ-WDEREUQCSA-N -1 1 341.437 -0.727 20 0 EBADMM CC/C=C(\C)C(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21 ZINC001217435005 810433112 /nfs/dbraw/zinc/43/31/12/810433112.db2.gz KCFGGIWGYYZTOL-BFHNITGGSA-N -1 1 335.408 -0.124 20 0 EBADMM Cc1n[nH]c(=O)c(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC001015470312 810485698 /nfs/dbraw/zinc/48/56/98/810485698.db2.gz FWBHFMYGNLSXMA-JTQLQIEISA-N -1 1 347.379 -0.775 20 0 EBADMM CCCc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC001015471990 810486205 /nfs/dbraw/zinc/48/62/05/810486205.db2.gz WCJHDBFCBDQCOU-LLVKDONJSA-N -1 1 333.396 -0.212 20 0 EBADMM O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CCCc2n[nH]nc21 ZINC001015619928 810545147 /nfs/dbraw/zinc/54/51/47/810545147.db2.gz FVHHFPIQUWVFLM-IUCAKERBSA-N -1 1 332.368 -0.561 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ccc4nonc4c3)C2)nc1=O ZINC001015645356 810553877 /nfs/dbraw/zinc/55/38/77/810553877.db2.gz FXLDZNFUPWYOPV-JTQLQIEISA-N -1 1 343.347 -0.351 20 0 EBADMM COc1ncccc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001015647823 810553954 /nfs/dbraw/zinc/55/39/54/810553954.db2.gz LYZMCNHDRJBCQY-JTQLQIEISA-N -1 1 332.364 -0.484 20 0 EBADMM COc1ncccc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001015647824 810554402 /nfs/dbraw/zinc/55/44/02/810554402.db2.gz LYZMCNHDRJBCQY-SNVBAGLBSA-N -1 1 332.364 -0.484 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)Cn3cc(Cl)cn3)C2)nc1=O ZINC001015775806 810579601 /nfs/dbraw/zinc/57/96/01/810579601.db2.gz SOOGXUDFPBWEPO-JTQLQIEISA-N -1 1 339.787 -0.651 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cnn(C(F)F)c3)C2)nc1=O ZINC001015907551 810616411 /nfs/dbraw/zinc/61/64/11/810616411.db2.gz JAPQUKIOHUGMBH-VIFPVBQESA-N -1 1 341.322 -0.296 20 0 EBADMM CC(C)Cn1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001015911164 810617839 /nfs/dbraw/zinc/61/78/39/810617839.db2.gz YLPGKTKVMOEZRH-ZDUSSCGKSA-N -1 1 347.423 -0.035 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ncoc3C3CC3)C2)nc1=O ZINC001015945721 810622461 /nfs/dbraw/zinc/62/24/61/810622461.db2.gz LAXMMHNBLQVOHH-SNVBAGLBSA-N -1 1 332.364 -0.022 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCN(Cc2ncnn2C)C1 ZINC001015989002 810642704 /nfs/dbraw/zinc/64/27/04/810642704.db2.gz JRUMUEUKLWOROA-QMMMGPOBSA-N -1 1 349.351 -0.971 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCN(Cc2nccn2C)C1 ZINC001015989469 810644012 /nfs/dbraw/zinc/64/40/12/810644012.db2.gz QIMGENOCAZCOBX-SECBINFHSA-N -1 1 348.363 -0.366 20 0 EBADMM CN(C)c1ccncc1C(=O)N[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001016008551 810651427 /nfs/dbraw/zinc/65/14/27/810651427.db2.gz JLLIQZZUHRKAEK-SNVBAGLBSA-N -1 1 331.380 -0.024 20 0 EBADMM Cc1nc(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cs1 ZINC001016192027 810687929 /nfs/dbraw/zinc/68/79/29/810687929.db2.gz BWNMTICQROJUDK-JTQLQIEISA-N -1 1 336.421 -0.193 20 0 EBADMM C[C@@H](NC(=O)CN1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1)C1CC1 ZINC001219503503 810725061 /nfs/dbraw/zinc/72/50/61/810725061.db2.gz AJNUEORHDBZRTI-QKCSRTOESA-N -1 1 348.403 -0.523 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CC23CCN([C@H]2CCNC2=O)CC3)c1[O-] ZINC001016571117 810754337 /nfs/dbraw/zinc/75/43/37/810754337.db2.gz CGOJNTWYIFOGLI-QWRGUYRKSA-N -1 1 333.392 -0.103 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)[C@H]3C[C@H]4C[C@H]4C3)C2)nc1=O ZINC001035479366 810839920 /nfs/dbraw/zinc/83/99/20/810839920.db2.gz ZJWCTFDIGIGPJA-LOWDOPEQSA-N -1 1 335.408 -0.529 20 0 EBADMM C[C@H](C(N)=O)N1CCO[C@@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001035536845 810884227 /nfs/dbraw/zinc/88/42/27/810884227.db2.gz YMKACIWUHXOSGP-KOLCDFICSA-N -1 1 349.391 -0.636 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035551172 810899007 /nfs/dbraw/zinc/89/90/07/810899007.db2.gz SQRBQKTUUFBQBX-NSHDSACASA-N -1 1 349.395 -0.921 20 0 EBADMM CC(C)=CCN1C[C@@H](NC(=O)CCc2n[nH]c(=O)[n-]c2=O)[C@@H](O)C1 ZINC001219939920 810916437 /nfs/dbraw/zinc/91/64/37/810916437.db2.gz PQVZXFUQOUWATP-NEPJUHHUSA-N -1 1 337.380 -0.657 20 0 EBADMM Cn1cncc1C(=O)N1CCC2(CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001035742952 811009336 /nfs/dbraw/zinc/00/93/36/811009336.db2.gz FRENKCWBEZFKAK-UHFFFAOYSA-N -1 1 345.407 -0.420 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)Cc2cnc[nH]2)CC3)nc1=O ZINC001035805285 811030199 /nfs/dbraw/zinc/03/01/99/811030199.db2.gz CPDCDVITJGIUSU-UHFFFAOYSA-N -1 1 345.407 -0.501 20 0 EBADMM C[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC[C@@H]1NCc1cnon1 ZINC001036093609 811095363 /nfs/dbraw/zinc/09/53/63/811095363.db2.gz MNUNHDFHJGMJQA-CBAPKCEASA-N -1 1 335.324 -0.694 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)c1 ZINC001036692716 811208544 /nfs/dbraw/zinc/20/85/44/811208544.db2.gz LQNLPERRKGRPKW-JQWIXIFHSA-N -1 1 331.380 -0.020 20 0 EBADMM N[C@@H](Cc1nnn[n-]1)C(=O)N[C@H]1Cc2ccc(Cl)cc2NC1=O ZINC001220709022 811212422 /nfs/dbraw/zinc/21/24/22/811212422.db2.gz PJXUMOHKXHIQSL-WPRPVWTQSA-N -1 1 335.755 -0.598 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001036707159 811221263 /nfs/dbraw/zinc/22/12/63/811221263.db2.gz JYTUKPSKVOOCCB-NXEZZACHSA-N -1 1 332.368 -0.625 20 0 EBADMM CCn1ncc(C(=O)N2CC[C@@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001036710831 811222307 /nfs/dbraw/zinc/22/23/07/811222307.db2.gz QSVFYLYBGWASQT-CMPLNLGQSA-N -1 1 346.395 -0.142 20 0 EBADMM Cn1ccc(CC(=O)N2CC[C@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001036711523 811222928 /nfs/dbraw/zinc/22/29/28/811222928.db2.gz DBRUFSPAFBAKSK-AAEUAGOBSA-N -1 1 345.407 -0.091 20 0 EBADMM O=C(Cn1nccn1)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036727956 811232673 /nfs/dbraw/zinc/23/26/73/811232673.db2.gz MHWLWZFTZVZXNW-MNOVXSKESA-N -1 1 332.368 -0.775 20 0 EBADMM O=C(c1cnc[nH]c1=O)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036746132 811238829 /nfs/dbraw/zinc/23/88/29/811238829.db2.gz LCUVMLAMSYBKRV-KOLCDFICSA-N -1 1 345.363 -0.258 20 0 EBADMM O=C(c1cn[nH]c(=O)c1)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036744722 811239209 /nfs/dbraw/zinc/23/92/09/811239209.db2.gz NWSOMDXIJXPRJD-ONGXEEELSA-N -1 1 345.363 -0.258 20 0 EBADMM O=C([C@H]1[C@@H]2COC[C@@H]21)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036795581 811261149 /nfs/dbraw/zinc/26/11/49/811261149.db2.gz OPOXMVYAGLFHJO-JLDUMIBSSA-N -1 1 333.392 -0.175 20 0 EBADMM Cn1cc(C(=O)N2CC[C@@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)[nH]c1=O ZINC001036809395 811269910 /nfs/dbraw/zinc/26/99/10/811269910.db2.gz KIBHEIZSIMVTFY-GXSJLCMTSA-N -1 1 347.379 -0.314 20 0 EBADMM O=C(N[C@H]1CCC[C@H]1CNCc1ncccn1)c1n[nH]c(=O)[n-]c1=O ZINC001037038608 811347080 /nfs/dbraw/zinc/34/70/80/811347080.db2.gz QNUWGUJHHGJECR-UWVGGRQHSA-N -1 1 345.363 -0.239 20 0 EBADMM O=C(N[C@@H]1CCCC[C@H]1CNCc1cnon1)c1n[nH]c(=O)[n-]c1=O ZINC001037197610 811384293 /nfs/dbraw/zinc/38/42/93/811384293.db2.gz FPMPGEZNQQRYHO-WCBMZHEXSA-N -1 1 349.351 -0.256 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)CCn3ccnn3)CC2)nc1=O ZINC001222982220 811675292 /nfs/dbraw/zinc/67/52/92/811675292.db2.gz PMZGYMPCMFMONS-UHFFFAOYSA-N -1 1 348.411 -0.882 20 0 EBADMM CNC(=O)CCCC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001225803325 811829121 /nfs/dbraw/zinc/82/91/21/811829121.db2.gz RKEUPESSSKQVPA-GHMZBOCLSA-N -1 1 338.412 -0.056 20 0 EBADMM O=C(CO[C@H]1CCOC1)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001226226721 811854261 /nfs/dbraw/zinc/85/42/61/811854261.db2.gz AQEZTARMOIFTIZ-QJPTWQEYSA-N -1 1 339.396 -0.167 20 0 EBADMM CCCC(=O)NCC(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001226377542 811862570 /nfs/dbraw/zinc/86/25/70/811862570.db2.gz PSEBBUKEZLMTSE-UHFFFAOYSA-N -1 1 338.412 -0.895 20 0 EBADMM CNC(=O)NC(=O)CN1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC001226978026 811895360 /nfs/dbraw/zinc/89/53/60/811895360.db2.gz MCXWIUXXPRHJCY-UHFFFAOYSA-N -1 1 335.364 -0.563 20 0 EBADMM C/C=C(/C)C(=O)N1CC(N(CC)C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001080100417 811898436 /nfs/dbraw/zinc/89/84/36/811898436.db2.gz ZIGFLPUKQRZKMR-QCDXTXTGSA-N -1 1 348.403 -0.048 20 0 EBADMM C/C=C(\C)C(=O)N1CC(N(CC)C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001080100418 811898551 /nfs/dbraw/zinc/89/85/51/811898551.db2.gz ZIGFLPUKQRZKMR-UUILKARUSA-N -1 1 348.403 -0.048 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)CCc3ncc[nH]3)CC2)nc1=O ZINC001227099835 811903459 /nfs/dbraw/zinc/90/34/59/811903459.db2.gz NRZSBJVBTOJBNZ-UHFFFAOYSA-N -1 1 333.396 -0.455 20 0 EBADMM Cn1ncc(CCC(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)n1 ZINC001228633000 811992406 /nfs/dbraw/zinc/99/24/06/811992406.db2.gz RHHYJSAMUQGYPF-VXGBXAGGSA-N -1 1 348.411 -0.211 20 0 EBADMM Cc1cc(=O)[nH]cc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001080417425 812021581 /nfs/dbraw/zinc/02/15/81/812021581.db2.gz GTNTXPDAHPYTFV-ZYHUDNBSSA-N -1 1 346.391 -0.232 20 0 EBADMM NC(=O)NCCC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001230094900 812076681 /nfs/dbraw/zinc/07/66/81/812076681.db2.gz SWBKFIHDCWRWKA-RKDXNWHRSA-N -1 1 325.373 -0.914 20 0 EBADMM CCc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)n(C)n1 ZINC001080667475 812123632 /nfs/dbraw/zinc/12/36/32/812123632.db2.gz FXOPZENRGJCEAF-ZYHUDNBSSA-N -1 1 347.423 -0.345 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@@]1(C)CCCOC1 ZINC001080683276 812135614 /nfs/dbraw/zinc/13/56/14/812135614.db2.gz OGXJHUMLAJWDIA-HSMVNMDESA-N -1 1 337.424 -0.138 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1c[nH]nc1C1CC1 ZINC001080704928 812152977 /nfs/dbraw/zinc/15/29/77/812152977.db2.gz SLAUXRNSERIFBV-BXKDBHETSA-N -1 1 345.407 -0.041 20 0 EBADMM O=C(NC1(CO)CCN(C(=O)[C@H]2CCOC2)CC1)c1ncccc1[O-] ZINC001080826395 812260599 /nfs/dbraw/zinc/26/05/99/812260599.db2.gz KGBBKBPHXGSXBH-LBPRGKRZSA-N -1 1 349.387 -0.093 20 0 EBADMM C[C@H](OCC1CC1)C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001231084583 812272135 /nfs/dbraw/zinc/27/21/35/812272135.db2.gz CQMQJBBMLYMGGS-AAEUAGOBSA-N -1 1 337.424 -0.044 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)C[C@@](C)(O)C1CC1 ZINC001233801836 812344054 /nfs/dbraw/zinc/34/40/54/812344054.db2.gz RUILCIUMVSYISA-MLGOLLRUSA-N -1 1 337.424 -0.308 20 0 EBADMM CNC(=O)NC(=O)[C@H](C)N1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001233836169 812352600 /nfs/dbraw/zinc/35/26/00/812352600.db2.gz AJWIDIKNCFJPEU-WDEREUQCSA-N -1 1 349.391 -0.222 20 0 EBADMM CNC(=O)CCCC(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001233836610 812352950 /nfs/dbraw/zinc/35/29/50/812352950.db2.gz HHKFHFRQLGZJQO-LLVKDONJSA-N -1 1 338.412 -0.943 20 0 EBADMM Cc1nnc(CC(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)o1 ZINC001234100042 812384915 /nfs/dbraw/zinc/38/49/15/812384915.db2.gz RDAHUPBIQUKEEM-SNVBAGLBSA-N -1 1 335.368 -0.925 20 0 EBADMM CCN(CC1CCN(C(=O)c2ncccc2[O-])CC1)C(=O)C(N)=O ZINC001081074986 812462914 /nfs/dbraw/zinc/46/29/14/812462914.db2.gz BANJVLBVXOFZOI-UHFFFAOYSA-N -1 1 334.376 -0.027 20 0 EBADMM C[S@@](=O)CCCCNC(=S)Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001247020973 812595729 /nfs/dbraw/zinc/59/57/29/812595729.db2.gz XVHWADMAYQJHPP-PXKKQCTMSA-N -1 1 336.464 -0.855 20 0 EBADMM CSCC(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001081237904 812602299 /nfs/dbraw/zinc/60/22/99/812602299.db2.gz VOSCCLGQZYXHPB-KOLCDFICSA-N -1 1 325.390 -0.301 20 0 EBADMM N=c1nc(OS(=O)(=O)[O-])c(N)c(N)n1-c1ccc2cncn2c1 ZINC001250327062 812610644 /nfs/dbraw/zinc/61/06/44/812610644.db2.gz HOIGFOBPZAAUIO-UHFFFAOYSA-N -1 1 337.321 -0.655 20 0 EBADMM Cc1nn(C)c(-n2cccn2)c1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001255280088 812712204 /nfs/dbraw/zinc/71/22/04/812712204.db2.gz DQNFCTQHMBBSKR-JTQLQIEISA-N -1 1 343.351 -0.359 20 0 EBADMM NC(=O)CNC(=O)C[N-]S(=O)(=O)c1cc(Cl)c(Cl)s1 ZINC001257544208 812766550 /nfs/dbraw/zinc/76/65/50/812766550.db2.gz XZSPCIFNWXSXOX-UHFFFAOYSA-N -1 1 346.217 -0.065 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ccc(C)n1C ZINC001081350753 812785337 /nfs/dbraw/zinc/78/53/37/812785337.db2.gz RYPCRGWVVDVCLY-DGCLKSJQSA-N -1 1 348.407 -0.615 20 0 EBADMM COC(=O)[C@@H](Cc1ccccc1)[N-]S(=O)(=O)CS(C)(=O)=O ZINC001260582855 812836084 /nfs/dbraw/zinc/83/60/84/812836084.db2.gz FYMHWUMOTRARGA-LLVKDONJSA-N -1 1 335.403 -0.308 20 0 EBADMM COc1cccc(C2([N-]S(=O)(=O)CS(C)(=O)=O)COC2)c1 ZINC001260597790 812843672 /nfs/dbraw/zinc/84/36/72/812843672.db2.gz QOGSWIPZIXZNCN-UHFFFAOYSA-N -1 1 335.403 -0.158 20 0 EBADMM COc1ccc(S(=O)(=O)Nc2c([O-])[nH]c(=O)[nH]c2=S)cc1F ZINC001260665126 812845823 /nfs/dbraw/zinc/84/58/23/812845823.db2.gz HBWRLBKRGLBMLX-MRVPVSSYSA-N -1 1 347.349 -0.352 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ncoc1C ZINC001081415849 812892541 /nfs/dbraw/zinc/89/25/41/812892541.db2.gz SLDSTXCUPCNQCB-NXEZZACHSA-N -1 1 336.352 -0.966 20 0 EBADMM CC(C)OCC(=O)N1C[C@H](O)[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001081424836 812914089 /nfs/dbraw/zinc/91/40/89/812914089.db2.gz KBUPEVAOKVETFP-YPMHNXCESA-N -1 1 337.376 -0.239 20 0 EBADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H](C)N3C(=O)CCC3=O)nc2n1 ZINC001261780942 812983805 /nfs/dbraw/zinc/98/38/05/812983805.db2.gz BYNYCUXZAFBCKO-MRVPVSSYSA-N -1 1 346.347 -0.154 20 0 EBADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H](C)N3C(=O)CCC3=O)nc2n1 ZINC001261781422 812983893 /nfs/dbraw/zinc/98/38/93/812983893.db2.gz UUYAVEIUMGFLSG-SSDOTTSWSA-N -1 1 332.320 -0.544 20 0 EBADMM O=C([N-]OC[C@@H]1CCOC1)C(=O)NC[C@@H]1CCN1C1CCOCC1 ZINC001262318797 813066852 /nfs/dbraw/zinc/06/68/52/813066852.db2.gz PFYUXGFYWGTFJS-OCCSQVGLSA-N -1 1 341.408 -0.560 20 0 EBADMM Cn1c(-c2nnn[n-]2)nnc1N1CCN(C(=O)c2ccccc2)CC1 ZINC001263483313 813113450 /nfs/dbraw/zinc/11/34/50/813113450.db2.gz KPURJVUJELCISJ-UHFFFAOYSA-N -1 1 339.363 -0.042 20 0 EBADMM Cn1c(-c2nn[n-]n2)nnc1N1CCN(C(=O)c2ccccc2)CC1 ZINC001263483313 813113454 /nfs/dbraw/zinc/11/34/54/813113454.db2.gz KPURJVUJELCISJ-UHFFFAOYSA-N -1 1 339.363 -0.042 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cc1cccnc1 ZINC001081543102 813146714 /nfs/dbraw/zinc/14/67/14/813146714.db2.gz JLMAAJJEYUCHDK-CHWSQXEVSA-N -1 1 346.391 -0.939 20 0 EBADMM Cc1nn[nH]c1C(=O)N(C)CCOCCN(C)Cc1n[nH]c(=O)[n-]1 ZINC001264666045 813198834 /nfs/dbraw/zinc/19/88/34/813198834.db2.gz BOHZHGVTLSNSQN-UHFFFAOYSA-N -1 1 338.372 -0.843 20 0 EBADMM CO[C@@H]1CN(Cc2cnnn2C)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001081578662 813226666 /nfs/dbraw/zinc/22/66/66/813226666.db2.gz PMTAYFFHMMXCST-DGCLKSJQSA-N -1 1 332.364 -0.455 20 0 EBADMM Cc1cc(CN(C)CCNC(=O)CCn2cc[n-]c(=O)c2=O)ncn1 ZINC001266340312 813538687 /nfs/dbraw/zinc/53/86/87/813538687.db2.gz SAOUAFKOQQUHOY-UHFFFAOYSA-N -1 1 346.391 -0.727 20 0 EBADMM CCN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)Cc1cnc(C)o1 ZINC001266422897 813564882 /nfs/dbraw/zinc/56/48/82/813564882.db2.gz UPQWGYZXHHAXOI-UHFFFAOYSA-N -1 1 349.391 -0.139 20 0 EBADMM CO[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001081814582 813622500 /nfs/dbraw/zinc/62/25/00/813622500.db2.gz SJSHTLKMZUJFIP-CNVPUSNMSA-N -1 1 347.379 -0.648 20 0 EBADMM O=C(Cn1cnnn1)NC[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001082022646 813720206 /nfs/dbraw/zinc/72/02/06/813720206.db2.gz KEIFNEXMOSPXAJ-NSHDSACASA-N -1 1 345.363 -0.415 20 0 EBADMM C[C@H](NC(=O)c1ccccc1)C(=O)N(C)CCNCc1n[nH]c(=O)[n-]1 ZINC001267034429 813740756 /nfs/dbraw/zinc/74/07/56/813740756.db2.gz IDAMWMUPSMCXHI-NSHDSACASA-N -1 1 346.391 -0.123 20 0 EBADMM CO[C@@H]1CN(CCCF)C[C@H]1NC(=O)c1c[n-]n2c1nccc2=O ZINC001082210522 813825556 /nfs/dbraw/zinc/82/55/56/813825556.db2.gz JSKQYFZPEHXIHB-VXGBXAGGSA-N -1 1 337.355 -0.189 20 0 EBADMM CN(CCNCc1ccns1)C(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC001267442510 813897051 /nfs/dbraw/zinc/89/70/51/813897051.db2.gz RAKNQPSGWSPSCY-UHFFFAOYSA-N -1 1 347.404 -0.224 20 0 EBADMM C[C@H](NC(=O)CC(C)(C)C)C(=O)N(C)CCNCc1n[nH]c(=O)[n-]1 ZINC001267455372 813900928 /nfs/dbraw/zinc/90/09/28/813900928.db2.gz OIUBABXVMXCFGE-JTQLQIEISA-N -1 1 340.428 -0.001 20 0 EBADMM C[C@H](C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1)c1ccnn1C ZINC001082518625 813961295 /nfs/dbraw/zinc/96/12/95/813961295.db2.gz WAVDYNHUBJFMCV-OUAUKWLOSA-N -1 1 333.396 -0.016 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2CCn3ccnc3C2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082604647 813977350 /nfs/dbraw/zinc/97/73/50/813977350.db2.gz WONWROZFGCPXIR-IJLUTSLNSA-N -1 1 345.407 -0.094 20 0 EBADMM C[C@@H]1CN(C(=O)C[C@H]2CCC(=O)N2C)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082680756 813989360 /nfs/dbraw/zinc/98/93/60/813989360.db2.gz YIRWDDVUHBFCMY-GMTAPVOTSA-N -1 1 336.396 -0.542 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2C[C@H]2C(=O)N(C)C)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082746252 814005009 /nfs/dbraw/zinc/00/50/09/814005009.db2.gz SVSPWMJYIJAORC-CHWFTXMASA-N -1 1 336.396 -0.829 20 0 EBADMM Cc1oncc1CN1CC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001267750824 814024687 /nfs/dbraw/zinc/02/46/87/814024687.db2.gz JKEFJRDEZMLUJJ-CYBMUJFWSA-N -1 1 347.375 -0.386 20 0 EBADMM CC(=O)N1CCC[C@@H]1C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001082949395 814030005 /nfs/dbraw/zinc/03/00/05/814030005.db2.gz LZAXRWIPRJYFNE-YUSALJHKSA-N -1 1 336.396 -0.542 20 0 EBADMM CS[C@H](C)C(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21 ZINC001083007568 814051744 /nfs/dbraw/zinc/05/17/44/814051744.db2.gz DBVXIYJQQMEBGW-OUAUKWLOSA-N -1 1 341.437 -0.729 20 0 EBADMM Cc1nnc(CN2C[C@H]3OCCN(C(=O)c4ncccc4[O-])[C@H]3C2)[nH]1 ZINC001083059383 814098073 /nfs/dbraw/zinc/09/80/73/814098073.db2.gz BMPJMVNJKOGPPX-WCQYABFASA-N -1 1 344.375 -0.061 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)c4cc[nH]c4)[C@H]3C2)nc1=O ZINC001083090141 814121816 /nfs/dbraw/zinc/12/18/16/814121816.db2.gz JAZSTBKJDITHJM-NWDGAFQWSA-N -1 1 332.364 -0.838 20 0 EBADMM CC(C)[C@@H](O)C(=O)NC1CN(CCC[N-]C(=O)C(F)(F)F)C1 ZINC001268294565 814174301 /nfs/dbraw/zinc/17/43/01/814174301.db2.gz AJBIBGQQHGILRT-SNVBAGLBSA-N -1 1 325.331 -0.128 20 0 EBADMM Cc1coc(C(=O)N2CCO[C@@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@@H]32)c1 ZINC001083170940 814179825 /nfs/dbraw/zinc/17/98/25/814179825.db2.gz CXGDQCDLDVLEKH-WCQYABFASA-N -1 1 347.375 -0.265 20 0 EBADMM C[C@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)c1cnn2cccnc12 ZINC001268739504 814371619 /nfs/dbraw/zinc/37/16/19/814371619.db2.gz AVQSWHYGWINNKP-SNVBAGLBSA-N -1 1 344.379 -0.599 20 0 EBADMM CN(CCCNCc1ncccn1)C(=O)CCc1n[nH]c(=O)[n-]c1=O ZINC001268805348 814393010 /nfs/dbraw/zinc/39/30/10/814393010.db2.gz YPXCIWXGFMQXEV-UHFFFAOYSA-N -1 1 347.379 -0.356 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C3=CCCCCC3)[C@@H](O)C2)nc1=O ZINC001083428196 814408215 /nfs/dbraw/zinc/40/82/15/814408215.db2.gz QEEXOCZSLPTFET-OLZOCXBDSA-N -1 1 335.408 -0.340 20 0 EBADMM CC[C@H](C(N)=O)N1CC2(CN(C(=O)c3ncccc3[O-])C2)OCC1=O ZINC001268843076 814410435 /nfs/dbraw/zinc/41/04/35/814410435.db2.gz SCSJJHIAINYHLJ-SNVBAGLBSA-N -1 1 348.359 -0.896 20 0 EBADMM NC(=O)C1=NO[C@@H]2CN(C(=O)c3cc(=O)c4cc(F)ccc4[n-]3)C[C@H]12 ZINC001269143142 814549675 /nfs/dbraw/zinc/54/96/75/814549675.db2.gz ANIFUKBOVCNJKR-TVQRCGJNSA-N -1 1 344.302 -0.021 20 0 EBADMM CCN(C(=O)COCCOC)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001269314420 814630881 /nfs/dbraw/zinc/63/08/81/814630881.db2.gz ULJXJECAPLZJIE-GFCCVEGCSA-N -1 1 341.412 -0.806 20 0 EBADMM O=C(c1ccc([O-])cn1)N1CCOC[C@@]2(CC(=O)N(C3COC3)C2)C1 ZINC001269354178 814658367 /nfs/dbraw/zinc/65/83/67/814658367.db2.gz BSHMKEFGCQPISP-KRWDZBQOSA-N -1 1 347.371 -0.123 20 0 EBADMM CCn1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c1C ZINC001083718631 814723523 /nfs/dbraw/zinc/72/35/23/814723523.db2.gz CIUDWFMLQQBVMZ-OLZOCXBDSA-N -1 1 348.407 -0.787 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@@]2(C1)CN(C1CCCC1)C(=O)CO2 ZINC001269576651 814734282 /nfs/dbraw/zinc/73/42/82/814734282.db2.gz RFCJIQXVXIEOLF-OAHLLOKOSA-N -1 1 334.380 -0.485 20 0 EBADMM Cc1noc(CN2C(=O)CC[C@@H]3[C@H]2CCN3C(=O)Cc2nn[n-]n2)n1 ZINC001269577409 814736026 /nfs/dbraw/zinc/73/60/26/814736026.db2.gz IAMTTWBJBKZELH-NXEZZACHSA-N -1 1 346.351 -0.774 20 0 EBADMM COCCCN1C[C@]2(CC1=O)CCCCN2C(=O)Cc1nn[n-]n1 ZINC001269578291 814737319 /nfs/dbraw/zinc/73/73/19/814737319.db2.gz ZTYFQIPJLLQFNG-OAHLLOKOSA-N -1 1 336.396 -0.238 20 0 EBADMM Cn1ncnc1C(=O)N1C[C@H]2C[C@@H](C1)N2C(=O)c1ccc([O-])cn1 ZINC001269891405 815629620 /nfs/dbraw/zinc/62/96/20/815629620.db2.gz NQRKOEZJOUGKDL-AOOOYVTPSA-N -1 1 328.332 -0.345 20 0 EBADMM C[C@@H](O)CN1C[C@@H](NC(=O)c2n[n-]c3ccccc3c2=O)[C@@H](O)C1 ZINC001083826723 815632441 /nfs/dbraw/zinc/63/24/41/815632441.db2.gz HKALDHOXWMRGKV-WQAKAFBOSA-N -1 1 332.360 -0.509 20 0 EBADMM CC(C)CCN1C[C@@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)[C@@H](O)C1 ZINC001083870289 815664108 /nfs/dbraw/zinc/66/41/08/815664108.db2.gz ZMIJRNVJVQWRNS-OLZOCXBDSA-N -1 1 348.407 -0.721 20 0 EBADMM O=C(Cc1nn[n-]n1)N1[C@@H]2C[C@H]1CN(C(=O)Cc1ccsc1)C2 ZINC001270183533 815714520 /nfs/dbraw/zinc/71/45/20/815714520.db2.gz YJRFOCVZGLDVIO-PHIMTYICSA-N -1 1 332.389 -0.142 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C34CCC(CC3)CC4)[C@@H](O)C2)nc1=O ZINC001084077435 815817506 /nfs/dbraw/zinc/81/75/06/815817506.db2.gz VBMJABYDORBPDC-HASVCBABSA-N -1 1 349.435 -0.260 20 0 EBADMM Cc1cnn(CC(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)c1 ZINC001084218058 815868351 /nfs/dbraw/zinc/86/83/51/815868351.db2.gz GHPCUYQNIHIWKV-CHWSQXEVSA-N -1 1 345.407 -0.654 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)C[C@@H]4COC(=O)C4)[C@@H]3C2)nc1=O ZINC001084279488 815879315 /nfs/dbraw/zinc/87/93/15/815879315.db2.gz GJXSJGZGECKPGJ-QJPTWQEYSA-N -1 1 349.391 -0.906 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)Cc4cncnc4)[C@@H]3C2)nc1=O ZINC001084310409 815888796 /nfs/dbraw/zinc/88/87/96/815888796.db2.gz WEXJKVXKMKGHPH-CHWSQXEVSA-N -1 1 343.391 -0.826 20 0 EBADMM CC(C)(C)NC(=O)c1cnc2n1CCN(C(=O)Cc1nn[n-]n1)C2 ZINC001270785503 815889605 /nfs/dbraw/zinc/88/96/05/815889605.db2.gz VAGVPNOXVKALCX-UHFFFAOYSA-N -1 1 332.368 -0.491 20 0 EBADMM CCN(C(=O)c1cnc2n1CCN(C(=O)Cc1nn[n-]n1)C2)C(C)C ZINC001270788620 815890570 /nfs/dbraw/zinc/89/05/70/815890570.db2.gz IARUNZRXGNMVMT-UHFFFAOYSA-N -1 1 346.395 -0.148 20 0 EBADMM O=C(Cc1nn[n-]n1)N1C[C@H]2C[C@H](CC(=O)N3CC=CC3)O[C@H]2C1 ZINC001270788625 815891792 /nfs/dbraw/zinc/89/17/92/815891792.db2.gz ICILFBNISVCZFB-UTUOFQBUSA-N -1 1 332.364 -0.853 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCc2onc(C(=O)N3CCCCO3)c2C1 ZINC001270788963 815891934 /nfs/dbraw/zinc/89/19/34/815891934.db2.gz KJOZGTVBKXZBQF-UHFFFAOYSA-N -1 1 347.335 -0.517 20 0 EBADMM O=C(Cc1nn[n-]n1)N1C[C@H]2C[C@@]2(C(=O)NC2CCC(F)CC2)C1 ZINC001270789880 815893556 /nfs/dbraw/zinc/89/35/56/815893556.db2.gz WFXQBKCLWOXUBI-YEJVQYHQSA-N -1 1 336.371 -0.012 20 0 EBADMM C[C@H](NC(=O)C12CC(NC(=O)Cc3nn[n-]n3)(C1)C2)c1ncc[nH]1 ZINC001270789938 815893721 /nfs/dbraw/zinc/89/37/21/815893721.db2.gz XUJPGRALUCJANN-AHOQVSSZSA-N -1 1 330.352 -0.618 20 0 EBADMM Cc1cn(C)nc1C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001084336902 815895672 /nfs/dbraw/zinc/89/56/72/815895672.db2.gz NFOGAKHPKYIDFL-VXGBXAGGSA-N -1 1 345.407 -0.503 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4csnn4)[C@@H]3C2)nc1=O ZINC001084554138 815936839 /nfs/dbraw/zinc/93/68/39/815936839.db2.gz IJCPJYPDVFSHQC-PSASIEDQSA-N -1 1 335.393 -0.694 20 0 EBADMM CCc1nc[nH]c1C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001084803556 815985168 /nfs/dbraw/zinc/98/51/68/815985168.db2.gz DJWMEJLTNDBBJU-ZYHUDNBSSA-N -1 1 345.407 -0.260 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)Cn4ccnc4)[C@@H]3C2)nc1=O ZINC001084866015 815996697 /nfs/dbraw/zinc/99/66/97/815996697.db2.gz OTBBOVXJMYOLEQ-VXGBXAGGSA-N -1 1 331.380 -0.962 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)[C@@]4(C)CCOC4)C[C@@H]32)nc1=O ZINC001085063611 816018643 /nfs/dbraw/zinc/01/86/43/816018643.db2.gz ARVVAVUWWXEZNM-IGCXYCKISA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1cc(C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)ncc1=O ZINC001085279619 816036159 /nfs/dbraw/zinc/03/61/59/816036159.db2.gz UUVJNBMCPQUCSA-NXEZZACHSA-N -1 1 347.379 -0.565 20 0 EBADMM CCn1cc(C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)nn1 ZINC001085378386 816045055 /nfs/dbraw/zinc/04/50/55/816045055.db2.gz WAIWTCPSXYNHDB-NXEZZACHSA-N -1 1 334.384 -0.048 20 0 EBADMM Cc1cnc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001085468176 816051900 /nfs/dbraw/zinc/05/19/00/816051900.db2.gz JOBITXRQVCNYMS-NSHDSACASA-N -1 1 331.380 -0.447 20 0 EBADMM Cc1cc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)nn1C ZINC001085504922 816059370 /nfs/dbraw/zinc/05/93/70/816059370.db2.gz IFPUBOZEVHMDLN-LLVKDONJSA-N -1 1 333.396 -0.503 20 0 EBADMM Cc1[nH]nc(C(=O)N(C)C[C@H]2CCN2C[C@H](O)Cn2cccn2)c1[O-] ZINC001085544213 816068490 /nfs/dbraw/zinc/06/84/90/816068490.db2.gz MNEIGPJUCAYOAU-OLZOCXBDSA-N -1 1 348.407 -0.172 20 0 EBADMM CCc1n[nH]cc1C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085689181 816111487 /nfs/dbraw/zinc/11/14/87/816111487.db2.gz ARCINSWJVJIPJE-JTQLQIEISA-N -1 1 333.396 -0.260 20 0 EBADMM COc1cc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)nn1C ZINC001085713967 816121094 /nfs/dbraw/zinc/12/10/94/816121094.db2.gz XRPXDZLUPAPJSI-JTQLQIEISA-N -1 1 349.395 -0.803 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC001085731352 816126612 /nfs/dbraw/zinc/12/66/12/816126612.db2.gz YJSFZRUYFJJZIV-SFDCQRBFSA-N -1 1 349.435 -0.044 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)[C@H]1CC12CCOCC2 ZINC001086006704 816209706 /nfs/dbraw/zinc/20/97/06/816209706.db2.gz MDSDOSPVEUKCFP-CHWSQXEVSA-N -1 1 349.435 -0.042 20 0 EBADMM NC(=O)c1[nH]nc2c1CN(C(=O)CCC[N-]C(=O)C(F)(F)F)C2 ZINC001271838860 816225373 /nfs/dbraw/zinc/22/53/73/816225373.db2.gz RCGNNIHHIOQSHU-UHFFFAOYSA-N -1 1 333.270 -0.191 20 0 EBADMM CN(CCN(C)C(=O)c1cc2cccn2cn1)Cc1nc(=O)n(C)[n-]1 ZINC001272041120 816297803 /nfs/dbraw/zinc/29/78/03/816297803.db2.gz MLAZWJYEATUNHF-UHFFFAOYSA-N -1 1 343.391 -0.040 20 0 EBADMM O=C(NC[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCCS1(=O)=O ZINC001086555998 816376000 /nfs/dbraw/zinc/37/60/00/816376000.db2.gz GUSCAOGOHPNRSH-GUBZILKMSA-N -1 1 343.409 -0.928 20 0 EBADMM C[C@@H]1CCN(C(=O)c2c[nH]c(=O)cn2)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087205821 816473106 /nfs/dbraw/zinc/47/31/06/816473106.db2.gz NPXUVFUACRCNJA-ZJUUUORDSA-N -1 1 347.379 -0.376 20 0 EBADMM C[C@@H]1CCN(C(=O)c2cn(C)c(=O)[nH]2)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087208544 816474655 /nfs/dbraw/zinc/47/46/55/816474655.db2.gz KGCUVKLRVWNXAW-ZJUUUORDSA-N -1 1 349.395 -0.020 20 0 EBADMM Cc1[nH]nc(C(=O)N2C[C@H]3[C@H](C2)[C@@H]2CC[C@H]3CN2CC(N)=O)c1[O-] ZINC001087351167 816506655 /nfs/dbraw/zinc/50/66/55/816506655.db2.gz NQHJEQRHRIMGEZ-USZNOCQGSA-N -1 1 333.392 -0.309 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2nnn(C)c2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087528320 816528125 /nfs/dbraw/zinc/52/81/25/816528125.db2.gz BKCYBOCRTYUTOU-WDEREUQCSA-N -1 1 348.411 -0.672 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CCN(CCN3CCNC3=O)[C@@H]2C)c1[O-] ZINC001088524510 816694521 /nfs/dbraw/zinc/69/45/21/816694521.db2.gz ZXPIQKQUXFYRDM-MNOVXSKESA-N -1 1 336.396 -0.358 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cc3n(n2)CCC3)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088607403 816711872 /nfs/dbraw/zinc/71/18/72/816711872.db2.gz VVVDEEUFBGSASC-PWSUYJOCSA-N -1 1 345.407 -0.356 20 0 EBADMM Cc1ncn(C)c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001088639756 816719044 /nfs/dbraw/zinc/71/90/44/816719044.db2.gz OGEJDADEOKOYAG-MNOVXSKESA-N -1 1 333.396 -0.457 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1nc2ncccn2n1 ZINC001273668772 816720240 /nfs/dbraw/zinc/72/02/40/816720240.db2.gz ZFSHKISHAAVZSN-UHFFFAOYSA-N -1 1 331.340 -0.800 20 0 EBADMM CC(C)n1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)nn1 ZINC001088946872 816769032 /nfs/dbraw/zinc/76/90/32/816769032.db2.gz WZFXLRNLHNHMCC-MNOVXSKESA-N -1 1 348.411 -0.326 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCCN1C(=O)Cc1ncc[nH]1 ZINC001089246256 816822317 /nfs/dbraw/zinc/82/23/17/816822317.db2.gz AFKOROOHCLAFQS-LBPRGKRZSA-N -1 1 347.423 -0.113 20 0 EBADMM CN(C)c1cnc(C(=O)NC/C=C/C[NH2+]Cc2nc(=O)n(C)[nH]2)cn1 ZINC001274022975 816841888 /nfs/dbraw/zinc/84/18/88/816841888.db2.gz AHOMSSIISRTWPR-SNAWJCMRSA-N -1 1 346.395 -0.960 20 0 EBADMM Cn1[n-]c(CNCc2cn([C@@H]3CCN(C(=O)C4CC4)C3)nn2)nc1=O ZINC001089574306 816874877 /nfs/dbraw/zinc/87/48/77/816874877.db2.gz NNUGWVMIVPICJY-GFCCVEGCSA-N -1 1 346.395 -0.827 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ccccc3)[C@@H](O)C2)nc1=O ZINC001090027977 816972425 /nfs/dbraw/zinc/97/24/25/816972425.db2.gz YKGPBOVHGANLCQ-OLZOCXBDSA-N -1 1 331.376 -0.526 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)CC3CCCC3)[C@H](O)C2)nc1=O ZINC001090033856 816977028 /nfs/dbraw/zinc/97/70/28/816977028.db2.gz MILZOCWWJHXHNP-QWHCGFSZSA-N -1 1 337.424 -0.260 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ccsc3)[C@H](O)C2)nc1=O ZINC001090047024 816982988 /nfs/dbraw/zinc/98/29/88/816982988.db2.gz QVDHXGGPVCQYPL-GHMZBOCLSA-N -1 1 337.405 -0.465 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CCN(CCn3cccn3)C[C@@H]2O)c1[O-] ZINC001090201826 817116922 /nfs/dbraw/zinc/11/69/22/817116922.db2.gz SZGPATHKNQBVNF-RYUDHWBXSA-N -1 1 334.380 -0.515 20 0 EBADMM Cc1n[nH]c(C(=O)N2CCc3onc(C(=O)[N-]c4nnco4)c3C2)n1 ZINC001274928035 817124621 /nfs/dbraw/zinc/12/46/21/817124621.db2.gz ZLFCITXMJNWWGC-UHFFFAOYSA-N -1 1 344.291 -0.065 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C3CC(C)(C)C3)[C@H](O)C2)nc1=O ZINC001090245128 817175856 /nfs/dbraw/zinc/17/58/56/817175856.db2.gz YWJDCYYGOCUABQ-VXGBXAGGSA-N -1 1 337.424 -0.404 20 0 EBADMM Cc1cnc(CN(C)[C@@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)o1 ZINC001275956791 817324060 /nfs/dbraw/zinc/32/40/60/817324060.db2.gz XRADIYCVIXUJNL-NSHDSACASA-N -1 1 349.391 -0.140 20 0 EBADMM C[C@@H]1C[C@H]1CN1CCn2c(nnc2C(=O)N=c2nn[n-]n2C)C[C@@H]1C ZINC001277134098 817522979 /nfs/dbraw/zinc/52/29/79/817522979.db2.gz MPNHJRJCROLXNJ-VWYCJHECSA-N -1 1 345.411 -0.622 20 0 EBADMM C[C@H](CN(C)C(=O)c1cn2cccnc2n1)NCc1n[nH]c(=O)[n-]1 ZINC001277869760 817648786 /nfs/dbraw/zinc/64/87/86/817648786.db2.gz CACGEJZWLJFCFR-SECBINFHSA-N -1 1 330.352 -0.197 20 0 EBADMM Cc1ccnc2nc(C(=O)N(C)C[C@@H](C)NCc3n[nH]c(=O)[n-]3)nn21 ZINC001278002017 817672275 /nfs/dbraw/zinc/67/22/75/817672275.db2.gz GOAFCEOSYBXCQY-MRVPVSSYSA-N -1 1 345.367 -0.493 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCN(CCF)C[C@@H]1O ZINC001090721922 817696730 /nfs/dbraw/zinc/69/67/30/817696730.db2.gz IPTYCTKIUHDLKN-SFYZADRCSA-N -1 1 330.316 -0.969 20 0 EBADMM CC[C@H](C)CN1CCn2c(nnc2C(=O)N=c2nn[n-]n2C)C[C@@H]1C ZINC001278124015 817704356 /nfs/dbraw/zinc/70/43/56/817704356.db2.gz QGVKTVQMWGDJDJ-QWRGUYRKSA-N -1 1 347.427 -0.232 20 0 EBADMM CC/C=C(/C)C(=O)N(C)C[C@H](O)CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001278849309 817864015 /nfs/dbraw/zinc/86/40/15/817864015.db2.gz BFSQBLABDSIXRT-SEVUFMINSA-N -1 1 325.413 -0.284 20 0 EBADMM O=C(NCC1CC(NCc2cnns2)C1)c1n[nH]c(=O)[n-]c1=O ZINC001091121169 817892712 /nfs/dbraw/zinc/89/27/12/817892712.db2.gz DBGBUCUEWLXYQI-UHFFFAOYSA-N -1 1 337.365 -0.568 20 0 EBADMM CCn1cc(CNC2CC(CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)nn1 ZINC001091122309 817894052 /nfs/dbraw/zinc/89/40/52/817894052.db2.gz HLELSLYKXSFAKI-UHFFFAOYSA-N -1 1 348.367 -0.808 20 0 EBADMM CC(C)(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CCCNC1=O ZINC001278972669 817897422 /nfs/dbraw/zinc/89/74/22/817897422.db2.gz GUJHJBYDUNWCCM-VHSXEESVSA-N -1 1 338.412 -0.343 20 0 EBADMM COCCOCC(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001279520677 817947799 /nfs/dbraw/zinc/94/77/99/817947799.db2.gz NTGWTMRDDWWVHP-UHFFFAOYSA-N -1 1 339.396 -0.980 20 0 EBADMM Cc1cc(C(=O)N(CCO)CCNCc2n[nH]c(=O)[n-]2)ns1 ZINC001279704522 817971973 /nfs/dbraw/zinc/97/19/73/817971973.db2.gz COYPJWOXNBRHEL-UHFFFAOYSA-N -1 1 326.382 -0.500 20 0 EBADMM O=C(c1c(F)cncc1F)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001279723973 817975144 /nfs/dbraw/zinc/97/51/44/817975144.db2.gz BTRLEMYURNRRFQ-UHFFFAOYSA-N -1 1 342.306 -0.592 20 0 EBADMM O=C(C1Cc2ccccc2C1)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001279838878 817992304 /nfs/dbraw/zinc/99/23/04/817992304.db2.gz QOJWHBYSVGGPAV-UHFFFAOYSA-N -1 1 345.403 -0.164 20 0 EBADMM O=C(c1ccnc(C2CC2)n1)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001279846185 817993552 /nfs/dbraw/zinc/99/35/52/817993552.db2.gz HTFVAVNFAVOLIF-UHFFFAOYSA-N -1 1 347.379 -0.598 20 0 EBADMM O=C(Cc1ccccc1F)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001279893702 818001413 /nfs/dbraw/zinc/00/14/13/818001413.db2.gz WWHVGEBLJXEYNA-UHFFFAOYSA-N -1 1 337.355 -0.197 20 0 EBADMM Cc1nc(C(=O)N2C[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@@]3(C)C2)co1 ZINC001091621341 818018530 /nfs/dbraw/zinc/01/85/30/818018530.db2.gz XJYHPEPZAJVAKR-BZNIZROVSA-N -1 1 346.391 -0.001 20 0 EBADMM COCc1cc(NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)ncn1 ZINC001093761351 818097403 /nfs/dbraw/zinc/09/74/03/818097403.db2.gz CZXLHKQUOIQFCY-UHFFFAOYSA-N -1 1 344.335 -0.804 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCCNc1cnc(F)cn1 ZINC001094498886 818276122 /nfs/dbraw/zinc/27/61/22/818276122.db2.gz DGNKOEUDJDMIPW-UHFFFAOYSA-N -1 1 336.327 -0.526 20 0 EBADMM COc1ccnc(NCCCNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001094502728 818279977 /nfs/dbraw/zinc/27/99/77/818279977.db2.gz XMKVQMLBTUYHTQ-UHFFFAOYSA-N -1 1 344.335 -0.552 20 0 EBADMM C[C@H](NC(=O)CCOCC(F)F)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001282715745 818476861 /nfs/dbraw/zinc/47/68/61/818476861.db2.gz ZNJSJAIZMAYVNF-VIFPVBQESA-N -1 1 347.366 -0.283 20 0 EBADMM O=C(CCn1cncn1)NCCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001282912873 818526458 /nfs/dbraw/zinc/52/64/58/818526458.db2.gz JLOYKMBSJGEQKG-UHFFFAOYSA-N -1 1 345.363 -0.413 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2cnccn2)C3)nc1=O ZINC001095123556 818566939 /nfs/dbraw/zinc/56/69/39/818566939.db2.gz SLTCFHURXBFLTG-SCVCMEIPSA-N -1 1 329.364 -0.566 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)C[C@@H]2CCOC2)C3)nc1=O ZINC001095255387 818592651 /nfs/dbraw/zinc/59/26/51/818592651.db2.gz PSRDNQUWLABZRC-RNJOBUHISA-N -1 1 335.408 -0.244 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@@H]2CC2(F)F)C3)nc1=O ZINC001095390446 818616624 /nfs/dbraw/zinc/61/66/24/818616624.db2.gz FNDQIDFMNACKOK-KATARQTJSA-N -1 1 327.335 -0.015 20 0 EBADMM CN(CCOCCNC(=O)C1(F)CCCC1)Cc1nc(=O)n(C)[n-]1 ZINC001283388752 818791060 /nfs/dbraw/zinc/79/10/60/818791060.db2.gz RQHVHLFSTDMPPV-UHFFFAOYSA-N -1 1 343.403 -0.045 20 0 EBADMM Cc1nn(C)cc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001096880638 818899049 /nfs/dbraw/zinc/89/90/49/818899049.db2.gz NRTYOPIUFABRPZ-RTXFEEFZSA-N -1 1 345.407 -0.314 20 0 EBADMM C[C@H](CN(C)C(=O)c1nccn2ccnc12)NCc1n[nH]c(=O)[n-]1 ZINC001283680496 818904210 /nfs/dbraw/zinc/90/42/10/818904210.db2.gz UFYZFUXDBJPLQU-SECBINFHSA-N -1 1 330.352 -0.197 20 0 EBADMM O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2[C@@H]1CCC(=O)NC1=O)c1ncccc1[O-] ZINC001096991566 818922342 /nfs/dbraw/zinc/92/23/42/818922342.db2.gz ZXSCUFVUEJNVMF-WISYIIOYSA-N -1 1 344.371 -0.073 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@]24C[C@H]2COC4)C3)nc1=O ZINC001097108113 818943352 /nfs/dbraw/zinc/94/33/52/818943352.db2.gz JBWIFIMJXUTOHA-ODSPTNCSSA-N -1 1 333.392 -0.634 20 0 EBADMM Cc1cnn(C)c1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001097669487 819017715 /nfs/dbraw/zinc/01/77/15/819017715.db2.gz AQDAFBFCLPHEFU-UTUOFQBUSA-N -1 1 345.407 -0.314 20 0 EBADMM Cc1nsc(N[C@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001097939831 819057418 /nfs/dbraw/zinc/05/74/18/819057418.db2.gz OLMKCCTVWBKDME-MRVPVSSYSA-N -1 1 338.393 -0.297 20 0 EBADMM Cc1nccc(N[C@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001097939826 819057822 /nfs/dbraw/zinc/05/78/22/819057822.db2.gz OJEHDMLTOJELMB-SNVBAGLBSA-N -1 1 332.364 -0.358 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)Nc1ncc(F)cn1 ZINC001097940292 819059179 /nfs/dbraw/zinc/05/91/79/819059179.db2.gz XNJYZZYLPWDGJK-SECBINFHSA-N -1 1 336.327 -0.527 20 0 EBADMM COCCOCCC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC001284032083 819073501 /nfs/dbraw/zinc/07/35/01/819073501.db2.gz LRJWRMPJZMFCDL-QWRGUYRKSA-N -1 1 327.385 -0.358 20 0 EBADMM C[C@H](O)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cn1[n-]cc3c(=O)ncnc1-3)C2 ZINC001098058516 819082732 /nfs/dbraw/zinc/08/27/32/819082732.db2.gz QLDQMDSDEVWCEZ-YGNMPJRFSA-N -1 1 346.391 -0.827 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)c2ccncn2)C3)nc1=O ZINC001098064651 819086406 /nfs/dbraw/zinc/08/64/06/819086406.db2.gz DBQAHGKVRHNWBG-JLLWLGSASA-N -1 1 329.364 -0.566 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@]2(C)CCOC2)C3)nc1=O ZINC001098110138 819091750 /nfs/dbraw/zinc/09/17/50/819091750.db2.gz HNLLUKDRTTZZJI-LSSIXWDNSA-N -1 1 335.408 -0.244 20 0 EBADMM CNC(=O)C1(C(=O)N2CC[C@@H](CNC(=O)c3ncccc3[O-])C2)CC1 ZINC001284369650 819203274 /nfs/dbraw/zinc/20/32/74/819203274.db2.gz BROBJDRYIRGADL-NSHDSACASA-N -1 1 346.387 -0.108 20 0 EBADMM CN(CCNC(=O)c1cnc(C2CC2)[n-]c1=O)C(=O)[C@@H]1CCNC1=O ZINC001284526288 819272357 /nfs/dbraw/zinc/27/23/57/819272357.db2.gz FZQQFCUVIRGWFG-SNVBAGLBSA-N -1 1 347.375 -0.616 20 0 EBADMM C[C@H]1C[C@H]1CC(=O)N(C)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001284554155 819285630 /nfs/dbraw/zinc/28/56/30/819285630.db2.gz HELAHCOUVAPTAS-RYUDHWBXSA-N -1 1 336.392 -0.453 20 0 EBADMM CCC(=O)N1CC[C@H](CN(C)C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001284824499 819363878 /nfs/dbraw/zinc/36/38/78/819363878.db2.gz YWQOBGWLGNCADK-GFCCVEGCSA-N -1 1 336.392 -0.356 20 0 EBADMM CN(CCOCCNCc1n[nH]c(=O)[n-]1)C(=O)c1csc(=O)[nH]1 ZINC001284874280 819383518 /nfs/dbraw/zinc/38/35/18/819383518.db2.gz AEZCERJWCHUUHV-UHFFFAOYSA-N -1 1 342.381 -0.449 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCC[C@]3(NC(=O)c3csnn3)C2)nc1=O ZINC001098964330 819394390 /nfs/dbraw/zinc/39/43/90/819394390.db2.gz JLJXXGDWQGZZLD-XPTSAGLGSA-N -1 1 349.420 -0.256 20 0 EBADMM O=C(Cc1nnc[nH]1)N[C@]12CCC[C@H]1CN(Cc1n[nH]c(=O)[n-]1)C2 ZINC001099113490 819420224 /nfs/dbraw/zinc/42/02/24/819420224.db2.gz QVOHAEHRNXLRIK-XPTSAGLGSA-N -1 1 332.368 -0.658 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)Cn3ccnc3)CC2)nc1=O ZINC001284994726 819449372 /nfs/dbraw/zinc/44/93/72/819449372.db2.gz JSUYPBNZLGJWDA-UHFFFAOYSA-N -1 1 331.380 -0.747 20 0 EBADMM C/C=C(/C)C(=O)N[C@H](C)[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285341617 819548868 /nfs/dbraw/zinc/54/88/68/819548868.db2.gz LLYNGDSXNZIJGM-JUYDSFNJSA-N -1 1 336.392 -0.098 20 0 EBADMM CCCCCC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001099674508 819560019 /nfs/dbraw/zinc/56/00/19/819560019.db2.gz BOQIVELKOIVECX-NEPJUHHUSA-N -1 1 325.413 -0.260 20 0 EBADMM CC(C)SCC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001099678278 819564478 /nfs/dbraw/zinc/56/44/78/819564478.db2.gz IPHZIWRTGLFHEN-QWRGUYRKSA-N -1 1 343.453 -0.699 20 0 EBADMM COCCOCCN1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])[C@@H](O)C1 ZINC001099773424 819684611 /nfs/dbraw/zinc/68/46/11/819684611.db2.gz SWDLEPVGXUGBAP-RYUDHWBXSA-N -1 1 342.396 -0.748 20 0 EBADMM O=C(N[C@H]1CCN(CCNC(=O)C2CC2)C[C@H]1O)c1ncccc1[O-] ZINC001099783462 819692332 /nfs/dbraw/zinc/69/23/32/819692332.db2.gz QVIXSMCAQQCCEZ-GXTWGEPZSA-N -1 1 348.403 -0.522 20 0 EBADMM Cc1cc(C(=O)N[C@H](C)CNC(=O)c2cc(=O)n3[n-]cnc3n2)co1 ZINC001285637205 819703120 /nfs/dbraw/zinc/70/31/20/819703120.db2.gz FEQDZOICKFQQEW-MRVPVSSYSA-N -1 1 344.331 -0.133 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)N(C)C(=O)/C=C/C1CC1 ZINC001285748194 819752271 /nfs/dbraw/zinc/75/22/71/819752271.db2.gz CDRQAYYORXIVAC-FYJFLYSWSA-N -1 1 348.403 -0.144 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)N(C)C(=O)[C@H]1CC12CC2 ZINC001285749808 819753463 /nfs/dbraw/zinc/75/34/63/819753463.db2.gz NARSWBMIDMHMDQ-VXGBXAGGSA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@@H](CNC(=O)c1c[n-]n2c1nccc2=O)NC(=O)c1[nH]ncc1F ZINC001285775237 819767265 /nfs/dbraw/zinc/76/72/65/819767265.db2.gz GVQAOQXFKGRWON-ZETCQYMHSA-N -1 1 347.310 -0.567 20 0 EBADMM CCC(C)(C)CC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001099843965 819773848 /nfs/dbraw/zinc/77/38/48/819773848.db2.gz ADRXIIRSTPJJEW-VXGBXAGGSA-N -1 1 339.440 -0.014 20 0 EBADMM CNC(=O)C1(C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])CC1 ZINC001285853174 819789432 /nfs/dbraw/zinc/78/94/32/819789432.db2.gz CCDGQXCSTQICJL-SNVBAGLBSA-N -1 1 332.360 -0.356 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)CCC(C)(F)F)[C@@H](O)C2)nc1=O ZINC001099897197 819859997 /nfs/dbraw/zinc/85/99/97/819859997.db2.gz ROLFAFYYLGBAQR-ZJUUUORDSA-N -1 1 347.366 -0.405 20 0 EBADMM C/C=C(/C)C(=O)N1CC([C@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001286203697 819947451 /nfs/dbraw/zinc/94/74/51/819947451.db2.gz RDJRFPKSWVIALW-MCWZUMHDSA-N -1 1 348.403 -0.144 20 0 EBADMM CCCC1(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)CC1 ZINC001100003547 820011730 /nfs/dbraw/zinc/01/17/30/820011730.db2.gz IMWZTKYFSSVPBQ-VXGBXAGGSA-N -1 1 337.424 -0.260 20 0 EBADMM C[C@H](CN(C)C(=O)C(F)F)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001287549527 820072643 /nfs/dbraw/zinc/07/26/43/820072643.db2.gz ANOYVCSLHANRSD-MRVPVSSYSA-N -1 1 332.307 -0.845 20 0 EBADMM C[C@H](CN(C)C(=O)C(=O)NCC1CC1)NC(=O)c1ncccc1[O-] ZINC001287698881 820098964 /nfs/dbraw/zinc/09/89/64/820098964.db2.gz KNJWAONBGYHROF-SNVBAGLBSA-N -1 1 334.376 -0.110 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNC(=O)CC(F)(F)F ZINC001292557496 820557241 /nfs/dbraw/zinc/55/72/41/820557241.db2.gz BSTPJGFUQYCPTM-UHFFFAOYSA-N -1 1 336.270 -0.889 20 0 EBADMM Cc1csc(=O)n1CC(=O)NCCNC(=O)c1ncccc1[O-] ZINC001292666894 820581571 /nfs/dbraw/zinc/58/15/71/820581571.db2.gz YRWZIXXWMYXLHM-UHFFFAOYSA-N -1 1 336.373 -0.135 20 0 EBADMM CNS(=O)(=O)[C@H]1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC001295352303 821082862 /nfs/dbraw/zinc/08/28/62/821082862.db2.gz HMVQUHRDLXHOIV-VIFPVBQESA-N -1 1 341.418 -0.218 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ccc2nnnn2c1 ZINC001295469211 821099127 /nfs/dbraw/zinc/09/91/27/821099127.db2.gz JYIODOQOQHNDQO-VIFPVBQESA-N -1 1 341.331 -0.227 20 0 EBADMM CC[C@]1(C(=O)NC[C@@H](C)NC(=O)c2ncccc2[O-])CCNC1=O ZINC001295546893 821118662 /nfs/dbraw/zinc/11/86/62/821118662.db2.gz ZLGUSJRTFGHUDG-HWPZZCPQSA-N -1 1 334.376 -0.062 20 0 EBADMM C[C@@H]1CN(Cc2ccn(C)n2)C[C@H]1CNC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001102530718 821138409 /nfs/dbraw/zinc/13/84/09/821138409.db2.gz FMNAZKRQZBITIF-GHMZBOCLSA-N -1 1 349.395 -0.693 20 0 EBADMM COCCCn1nc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)ccc1=O ZINC001296063457 821199831 /nfs/dbraw/zinc/19/98/31/821199831.db2.gz IHAROVDHQICMCU-SNVBAGLBSA-N -1 1 335.368 -0.331 20 0 EBADMM CC[C@@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)Nc1ncccn1 ZINC001103135826 821264915 /nfs/dbraw/zinc/26/49/15/821264915.db2.gz MMNOSRWKRNJEGZ-VIFPVBQESA-N -1 1 328.336 -0.172 20 0 EBADMM CC[C@@H](C)CC(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001297039320 821305982 /nfs/dbraw/zinc/30/59/82/821305982.db2.gz UMGMZRBEPXEHOG-LLVKDONJSA-N -1 1 336.392 -0.310 20 0 EBADMM CC[C@@H](CNC(=O)c1cnco1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001297450967 821375709 /nfs/dbraw/zinc/37/57/09/821375709.db2.gz YNLVGAIESOTXCF-JTQLQIEISA-N -1 1 349.347 -0.761 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2CCNC2=O)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001104489980 821501476 /nfs/dbraw/zinc/50/14/76/821501476.db2.gz KSQMOSSNLGGSSG-MXWKQRLJSA-N -1 1 336.396 -0.779 20 0 EBADMM Cn1c(C(=O)NCc2ccc([O-])nn2)cc2c1n(C)c(=O)n(C)c2=O ZINC001298297877 821503363 /nfs/dbraw/zinc/50/33/63/821503363.db2.gz KJUJAYDSCPIWRK-UHFFFAOYSA-N -1 1 344.331 -0.999 20 0 EBADMM C[C@@H](CNc1nccnc1F)N(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001104617609 821522916 /nfs/dbraw/zinc/52/29/16/821522916.db2.gz RNQBLPNVJRGDOU-QMMMGPOBSA-N -1 1 346.326 -0.081 20 0 EBADMM Cc1ccc(NC[C@H](C)N(C)C(=O)CCn2cc[n-]c(=O)c2=O)nn1 ZINC001104618611 821523151 /nfs/dbraw/zinc/52/31/51/821523151.db2.gz CRVQARPTBDTJQP-LBPRGKRZSA-N -1 1 346.391 -0.016 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC[C@H]1CNC(=O)CC(N)=O ZINC001299001817 821624086 /nfs/dbraw/zinc/62/40/86/821624086.db2.gz VBXYODVEYUTHAC-JTQLQIEISA-N -1 1 349.391 -0.180 20 0 EBADMM O=C(NCC(F)F)C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001299011555 821624885 /nfs/dbraw/zinc/62/48/85/821624885.db2.gz AHQBFARILMAENY-QMMMGPOBSA-N -1 1 342.302 -0.501 20 0 EBADMM C[C@@H]1C[C@@H]1CC(=O)N1CC(N(C)C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001299381538 821687775 /nfs/dbraw/zinc/68/77/75/821687775.db2.gz YJKXZNFMOGDAAL-VXGBXAGGSA-N -1 1 348.403 -0.358 20 0 EBADMM COCCNC(=O)C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC001308998214 821774811 /nfs/dbraw/zinc/77/48/11/821774811.db2.gz HHGHAZDOAPVCRA-UHFFFAOYSA-N -1 1 330.288 -0.531 20 0 EBADMM O=C(c1ncccc1[O-])N1CCN(CCN2C(=O)CNC2=O)CC1 ZINC001313444822 821804055 /nfs/dbraw/zinc/80/40/55/821804055.db2.gz KTGRCGSFSLGSLH-UHFFFAOYSA-N -1 1 333.348 -0.903 20 0 EBADMM CNC(=O)[C@H](C)N(C)CCOCCN(C)C(=O)c1n[nH]c(C)c1[O-] ZINC001316760735 821848751 /nfs/dbraw/zinc/84/87/51/821848751.db2.gz IMIJVHGCEDFPAX-NSHDSACASA-N -1 1 341.412 -0.421 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CCCN(Cc2ccon2)C1 ZINC001316956206 821933596 /nfs/dbraw/zinc/93/35/96/821933596.db2.gz NUFSTOICFRJECI-LBPRGKRZSA-N -1 1 347.375 -0.305 20 0 EBADMM Cc1cnc2c(C(=O)NC[C@H](C)NCc3n[nH]c(=O)[n-]3)cnn2c1 ZINC001317269327 822055743 /nfs/dbraw/zinc/05/57/43/822055743.db2.gz BYYVXBHRRQQRMB-VIFPVBQESA-N -1 1 330.352 -0.230 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1ccc(-n2cncn2)nc1 ZINC001317617335 822179817 /nfs/dbraw/zinc/17/98/17/822179817.db2.gz UTDGTPNMAJEFNL-UHFFFAOYSA-N -1 1 343.351 -0.652 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)Cn1nnc2c1CCCC2 ZINC001317627489 822184053 /nfs/dbraw/zinc/18/40/53/822184053.db2.gz IPSAHIZCQGBGRK-UHFFFAOYSA-N -1 1 334.384 -0.771 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1ccnc(-n2cccn2)c1 ZINC001317630840 822185280 /nfs/dbraw/zinc/18/52/80/822185280.db2.gz XPNKEKUERIEWDL-UHFFFAOYSA-N -1 1 342.363 -0.047 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1nnn(C)c1C(F)(F)F ZINC001317640691 822188659 /nfs/dbraw/zinc/18/86/59/822188659.db2.gz QYPDOBAAFPYZKE-UHFFFAOYSA-N -1 1 348.289 -0.481 20 0 EBADMM CCCCS(=O)(=O)N1CCC(C(=O)NN2CC(=O)[N-]C2=O)CC1 ZINC001317885275 822230215 /nfs/dbraw/zinc/23/02/15/822230215.db2.gz MCELRDMPEFHYCM-UHFFFAOYSA-N -1 1 346.409 -0.589 20 0 EBADMM C[C@@H](c1ncccn1)N1CC(NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001318070663 822252861 /nfs/dbraw/zinc/25/28/61/822252861.db2.gz DKXVVRJRAFLUGI-NSHDSACASA-N -1 1 344.375 -0.722 20 0 EBADMM CCN(CCNc1cnc(F)cn1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001106800376 822333461 /nfs/dbraw/zinc/33/34/61/822333461.db2.gz SWSGAPXLEFLQHC-UHFFFAOYSA-N -1 1 346.326 -0.079 20 0 EBADMM Cn1[n-]c(CN2CCn3ncc(CNC(=O)CC4CC4)c3C2)nc1=O ZINC001128151149 828422200 /nfs/dbraw/zinc/42/22/00/828422200.db2.gz RPNXKSOIXJJZBX-UHFFFAOYSA-N -1 1 345.407 -0.263 20 0 EBADMM CC[C@@H](C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1n1ccnn1 ZINC001128710155 828514032 /nfs/dbraw/zinc/51/40/32/828514032.db2.gz AVKFDCXDJVYVBA-UTUOFQBUSA-N -1 1 348.411 -0.712 20 0 EBADMM CC(C)CC(=O)NCc1cn(C2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001107114891 823732378 /nfs/dbraw/zinc/73/23/78/823732378.db2.gz OHTFHVXFXRVGDS-UHFFFAOYSA-N -1 1 348.411 -0.581 20 0 EBADMM CC(C)C(=O)NCc1cn(C2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001107125943 823739348 /nfs/dbraw/zinc/73/93/48/823739348.db2.gz GXSZKSWNFWNXBK-UHFFFAOYSA-N -1 1 334.384 -0.971 20 0 EBADMM Cc1nccc(NC/C=C\CNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001107262691 823830462 /nfs/dbraw/zinc/83/04/62/823830462.db2.gz FKHLQQLOTVABKQ-IHWYPQMZSA-N -1 1 340.347 -0.086 20 0 EBADMM Cn1cccc1C(=O)NC[C@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107746805 823935493 /nfs/dbraw/zinc/93/54/93/823935493.db2.gz KBSCNWCZTGPONF-MRXNPFEDSA-N -1 1 348.407 -0.532 20 0 EBADMM Cc1ncc(C(=O)NC[C@]2(C)CN(Cc3nc(=O)n(C)[n-]3)CCO2)[nH]1 ZINC001107814354 823976910 /nfs/dbraw/zinc/97/69/10/823976910.db2.gz AQGIFVGOXWUQGS-OAHLLOKOSA-N -1 1 349.395 -0.839 20 0 EBADMM C[C@H](C(=O)NC[C@@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1)C1CC1 ZINC001107845770 823997408 /nfs/dbraw/zinc/99/74/08/823997408.db2.gz KSKUVXNITDYLOS-ZBEGNZNMSA-N -1 1 337.424 -0.138 20 0 EBADMM Cc1nccc(NC[C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001107855713 824000690 /nfs/dbraw/zinc/00/06/90/824000690.db2.gz SKOOKIZGRWNBMB-MRVPVSSYSA-N -1 1 328.336 -0.254 20 0 EBADMM Cn1[n-]c(CN2CCO[C@](C)(CNC(=O)Cc3ccoc3)C2)nc1=O ZINC001107890665 824021142 /nfs/dbraw/zinc/02/11/42/824021142.db2.gz SGKBPHJORFPFCJ-MRXNPFEDSA-N -1 1 349.391 -0.349 20 0 EBADMM C[C@]1(CNC(=O)c2ncccc2[O-])CN(CCn2cncn2)CCO1 ZINC001107889798 824021344 /nfs/dbraw/zinc/02/13/44/824021344.db2.gz DORAWKRNTNFRDU-INIZCTEOSA-N -1 1 346.391 -0.100 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@](C)(CNC(=O)c3cc[nH]c3)C2)nc1=O ZINC001107960636 824059743 /nfs/dbraw/zinc/05/97/43/824059743.db2.gz LETTYAZYJYGUNZ-HNNXBMFYSA-N -1 1 334.380 -0.543 20 0 EBADMM CNC(=O)c1cccc(C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)n1 ZINC001129519896 828629007 /nfs/dbraw/zinc/62/90/07/828629007.db2.gz JFSAEWWNGIVNNW-UHFFFAOYSA-N -1 1 327.304 -0.267 20 0 EBADMM C[C@@H](CNc1cnc(F)cn1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001108461493 824295420 /nfs/dbraw/zinc/29/54/20/824295420.db2.gz SYNTTXGTQXSFLF-ZETCQYMHSA-N -1 1 332.299 -0.423 20 0 EBADMM Cc1nsc(N(C)C[C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001109038867 824474636 /nfs/dbraw/zinc/47/46/36/824474636.db2.gz AZBKFAYIGBWXTC-SSDOTTSWSA-N -1 1 348.392 -0.168 20 0 EBADMM Cc1ccc(NCCCN(C)C(=O)CCn2cc[n-]c(=O)c2=O)nn1 ZINC001109480482 824549180 /nfs/dbraw/zinc/54/91/80/824549180.db2.gz MIQRQIRFWKPCEQ-UHFFFAOYSA-N -1 1 346.391 -0.014 20 0 EBADMM CN(CCCNc1ncnc2[nH]cnc21)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001109666092 824581493 /nfs/dbraw/zinc/58/14/93/824581493.db2.gz ZOKQTKDVKDQNKW-UHFFFAOYSA-N -1 1 345.323 -0.525 20 0 EBADMM O=C(NCC1(Nc2cc(F)ncn2)CC1)c1cc(=O)n2[n-]cnc2n1 ZINC001110182575 824672630 /nfs/dbraw/zinc/67/26/30/824672630.db2.gz OCEXHDSUJRSPBT-UHFFFAOYSA-N -1 1 344.310 -0.279 20 0 EBADMM CO[C@H](C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001110516030 824746124 /nfs/dbraw/zinc/74/61/24/824746124.db2.gz HDTOOJSZQUBEBO-NMKXLXIOSA-N -1 1 335.408 -0.245 20 0 EBADMM CCC(=O)NCc1c[nH]nc1[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001130340995 828791305 /nfs/dbraw/zinc/79/13/05/828791305.db2.gz OCLSQDJWTBBHPB-JTQLQIEISA-N -1 1 333.396 -0.153 20 0 EBADMM O=C(CN1CCN(C(=O)c2ncccc2[O-])CC1)NC[C@H]1CCCO1 ZINC001112782329 825636008 /nfs/dbraw/zinc/63/60/08/825636008.db2.gz OAOSLTHQHNOVHV-CYBMUJFWSA-N -1 1 348.403 -0.160 20 0 EBADMM CCOCCNC(=O)CN1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001112782050 825636066 /nfs/dbraw/zinc/63/60/66/825636066.db2.gz AYWMMHKZLQTNKK-UHFFFAOYSA-N -1 1 336.392 -0.302 20 0 EBADMM CC[C@@H](C)[C@H](OC)C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001113044043 825736214 /nfs/dbraw/zinc/73/62/14/825736214.db2.gz JNUAIHCOQVQSER-YPMHNXCESA-N -1 1 325.413 -0.186 20 0 EBADMM C[C@@H](NC(=O)c1n[nH]c(=O)[n-]c1=O)[C@@H](C)Nc1ncnc2[nH]cnc21 ZINC001113363761 825844638 /nfs/dbraw/zinc/84/46/38/825844638.db2.gz DHQDELCLFHRQHS-PHDIDXHHSA-N -1 1 345.323 -0.432 20 0 EBADMM CCOCCO[C@@H](C)C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001113676039 825975573 /nfs/dbraw/zinc/97/55/73/825975573.db2.gz CUIAOCFGUQXBFE-LBPRGKRZSA-N -1 1 341.412 -0.806 20 0 EBADMM CO[N-]C(=O)CNC(=O)c1nc(C)n(-c2nnc(C(F)(F)F)[nH]2)n1 ZINC001179623574 832995310 /nfs/dbraw/zinc/99/53/10/832995310.db2.gz PYULDFJRRWFORI-UHFFFAOYSA-N -1 1 348.245 -0.880 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)COC[C@@H]1CCOC1 ZINC001179648568 832997696 /nfs/dbraw/zinc/99/76/96/832997696.db2.gz NCFOMVKAXKXZFG-TUAOUCFPSA-N -1 1 339.396 -0.358 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CC[C@H]2CCCO2)nc1=O ZINC001113891366 826061777 /nfs/dbraw/zinc/06/17/77/826061777.db2.gz QNGHHLDDOQWGEU-FJJYHAOUSA-N -1 1 335.408 -0.386 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C[C@@](C)(O)C2CC2)nc1=O ZINC001114149294 826149956 /nfs/dbraw/zinc/14/99/56/826149956.db2.gz SJJHGOXBBDZKNO-PSHZPRKYSA-N -1 1 335.408 -0.794 20 0 EBADMM COCCCNC(=O)CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC001114164269 826156243 /nfs/dbraw/zinc/15/62/43/826156243.db2.gz RRFDGJFBEIBWHW-JYAVWHMHSA-N -1 1 348.403 -0.400 20 0 EBADMM Cn1cc(CCC(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)cn1 ZINC001115289471 826494769 /nfs/dbraw/zinc/49/47/69/826494769.db2.gz ASRZLVMUWJPHFU-JYAVWHMHSA-N -1 1 345.407 -0.979 20 0 EBADMM C[C@H](CN(C)C(=O)c1cc(=O)n2[n-]cnc2n1)Nc1cnc(F)cn1 ZINC001115777627 826584559 /nfs/dbraw/zinc/58/45/59/826584559.db2.gz DYTPNCWOCFOVSN-MRVPVSSYSA-N -1 1 346.326 -0.081 20 0 EBADMM CNC(=O)Cc1ccccc1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001115972472 826593076 /nfs/dbraw/zinc/59/30/76/826593076.db2.gz URXBPLYVYLNXMG-UHFFFAOYSA-N -1 1 330.344 -0.146 20 0 EBADMM COC(=O)[C@H](CCC(=O)[O-])NC(=O)CN1CCN(C(C)C)CC1 ZINC001180349566 833076630 /nfs/dbraw/zinc/07/66/30/833076630.db2.gz QJXZVZLLGSMPIS-LBPRGKRZSA-N -1 1 329.397 -0.465 20 0 EBADMM COC(=O)[C@H]1C[C@H](OC)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001116492933 826622961 /nfs/dbraw/zinc/62/29/61/826622961.db2.gz WOIOHVAPMSPKDP-GHMZBOCLSA-N -1 1 339.348 -0.894 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@@H]1CCCCS1(=O)=O ZINC001116549935 826626157 /nfs/dbraw/zinc/62/61/57/826626157.db2.gz JZLXSIUNIRMWLL-JTQLQIEISA-N -1 1 329.378 -0.990 20 0 EBADMM O=C([N-]OC[C@@H]1CCOC1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001116754110 826640405 /nfs/dbraw/zinc/64/04/05/826640405.db2.gz LGQLQQMKLBNZPK-WOPDTQHZSA-N -1 1 346.405 -0.894 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N[C@@H]3CCc4n[nH]cc4C3)ccnc1-2 ZINC001117385844 826738125 /nfs/dbraw/zinc/73/81/25/826738125.db2.gz UMQDBDGCKHLKOV-SNVBAGLBSA-N -1 1 339.359 -0.323 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCC3([S@](C)=O)CC3)ccnc1-2 ZINC001117397928 826740429 /nfs/dbraw/zinc/74/04/29/826740429.db2.gz XAOHWWZVSAFMQO-QHCPKHFHSA-N -1 1 335.389 -0.692 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCCCO[C@H]3CCOC3)ccnc1-2 ZINC001117427941 826746680 /nfs/dbraw/zinc/74/66/80/826746680.db2.gz KLRYIYLMQTUOAZ-NSHDSACASA-N -1 1 347.375 -0.408 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)C[C@@H]1CCOC1 ZINC001180924898 833124031 /nfs/dbraw/zinc/12/40/31/833124031.db2.gz CNHNWEUYYCATQC-JQWIXIFHSA-N -1 1 338.364 -0.546 20 0 EBADMM Cn1nnc2c1ncnc2N[C@H](CO)CNC(=O)c1ncccc1[O-] ZINC001121338873 827346382 /nfs/dbraw/zinc/34/63/82/827346382.db2.gz CWMDZGBSSKLBPJ-QMMMGPOBSA-N -1 1 344.335 -0.938 20 0 EBADMM Cc1cc(N[C@@H](CO)CNC(=O)c2ncccc2[O-])nc(CO)n1 ZINC001121340137 827347114 /nfs/dbraw/zinc/34/71/14/827347114.db2.gz RWMOOPZVCOCNKK-SNVBAGLBSA-N -1 1 333.348 -0.419 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H](CCO)NC(C)=O)c1 ZINC001182130308 833205191 /nfs/dbraw/zinc/20/51/91/833205191.db2.gz NQAVNMIWGCMJCK-JTQLQIEISA-N -1 1 345.377 -0.874 20 0 EBADMM COc1ccccc1N1CCN(C(=O)[C@@]2(C(=O)[O-])CNCCO2)CC1 ZINC001121974068 827493472 /nfs/dbraw/zinc/49/34/72/827493472.db2.gz HXFPJDSOPUQCHJ-QGZVFWFLSA-N -1 1 349.387 -0.213 20 0 EBADMM Cc1n[nH]c(C(=O)NCC[NH2+]CCC[N-]C(=O)C(F)(F)F)c1[O-] ZINC001125733881 828128615 /nfs/dbraw/zinc/12/86/15/828128615.db2.gz DQFCWDSUKHRIEX-UHFFFAOYSA-N -1 1 337.302 -0.188 20 0 EBADMM Cc1n[nH]c(C(=O)NCCN[C@H]2CCN(c3ccn(C)n3)C2=O)c1[O-] ZINC001125746123 828130476 /nfs/dbraw/zinc/13/04/76/828130476.db2.gz ZHHDMUAOUNFXOQ-JTQLQIEISA-N -1 1 347.379 -0.718 20 0 EBADMM CN(C)C(=O)Cn1cnc([N-]C(=O)c2nnc3ccccc3n2)n1 ZINC001126089828 828154415 /nfs/dbraw/zinc/15/44/15/828154415.db2.gz LVPNLANBKFLSJP-UHFFFAOYSA-N -1 1 326.320 -0.043 20 0 EBADMM O=C(C[C@@H]1NC(=O)CNC1=O)Nc1nc(Br)ccc1[O-] ZINC001183714900 833302982 /nfs/dbraw/zinc/30/29/82/833302982.db2.gz ZFDYFCCBINGPNA-YFKPBYRVSA-N -1 1 343.137 -0.507 20 0 EBADMM Cc1nc2ccnn2c(C)c1C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001130594156 828894137 /nfs/dbraw/zinc/89/41/37/828894137.db2.gz SIUXBTLUIAVPQF-UHFFFAOYSA-N -1 1 330.352 -0.311 20 0 EBADMM COc1cccc(COCC(=O)NCCNCc2n[nH]c(=O)[n-]2)c1 ZINC001130691373 828915024 /nfs/dbraw/zinc/91/50/24/828915024.db2.gz RVLHMHBPHZEMSY-UHFFFAOYSA-N -1 1 335.364 -0.059 20 0 EBADMM Cc1nc([C@@H](C)NCCNC(=O)CCc2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC001130756889 828929517 /nfs/dbraw/zinc/92/95/17/828929517.db2.gz GLTDKHJLAWODBO-SSDOTTSWSA-N -1 1 336.356 -0.891 20 0 EBADMM O=C(CCCCc1c[nH]nn1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001184594397 833334892 /nfs/dbraw/zinc/33/48/92/833334892.db2.gz PDMYEQZKKPDRIS-LLVKDONJSA-N -1 1 334.384 -0.268 20 0 EBADMM CCC(=O)NCc1n[nH]c([C@@H]2COCCN2Cc2n[nH]c(=O)[n-]2)n1 ZINC001130825858 828951633 /nfs/dbraw/zinc/95/16/33/828951633.db2.gz NDHJFRXTJNFAFZ-QMMMGPOBSA-N -1 1 336.356 -0.772 20 0 EBADMM CCC(=O)NCc1nnc([C@@H]2COCCN2Cc2n[nH]c(=O)[n-]2)[nH]1 ZINC001130825858 828951640 /nfs/dbraw/zinc/95/16/40/828951640.db2.gz NDHJFRXTJNFAFZ-QMMMGPOBSA-N -1 1 336.356 -0.772 20 0 EBADMM CCn1c(=O)c2ccccc2n(CC(=O)N(C)c2nn[n-]n2)c1=O ZINC001131169883 829065350 /nfs/dbraw/zinc/06/53/50/829065350.db2.gz PMIJQNNJQYEVHG-UHFFFAOYSA-N -1 1 329.320 -0.641 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)CCc2nc[nH]n2)CN1Cc1n[nH]c(=O)[n-]1 ZINC001131955328 829279281 /nfs/dbraw/zinc/27/92/81/829279281.db2.gz KGAATICEDAJJSF-VHSXEESVSA-N -1 1 334.384 -0.270 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)CCc2ncc[nH]2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001132025564 829306952 /nfs/dbraw/zinc/30/69/52/829306952.db2.gz RHGKWZBAHXVZDN-VXGBXAGGSA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)COCC(F)F)CN1Cc1nc(=O)n(C)[n-]1 ZINC001132202118 829376955 /nfs/dbraw/zinc/37/69/55/829376955.db2.gz CNSZHWHCHBPICT-VHSXEESVSA-N -1 1 347.366 -0.141 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)CCCC(N)=O)CN1Cc1nc(=O)n(C)[n-]1 ZINC001132537729 829480836 /nfs/dbraw/zinc/48/08/36/829480836.db2.gz QEFSTXYNYBXHDX-QWRGUYRKSA-N -1 1 338.412 -0.767 20 0 EBADMM CC(C)(C)N1CCC[C@H](C(=O)NCCNCc2n[nH]c(=O)[n-]2)C1=O ZINC001132723323 829510857 /nfs/dbraw/zinc/51/08/57/829510857.db2.gz SOSZVPKXZNNHGR-SNVBAGLBSA-N -1 1 338.412 -0.247 20 0 EBADMM CC(=O)NCc1ccc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)s1 ZINC001134390210 829764299 /nfs/dbraw/zinc/76/42/99/829764299.db2.gz DKGDDUDJWWAHBY-UHFFFAOYSA-N -1 1 338.393 -0.273 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cncc(N2CCCC2)n1 ZINC001134838854 829856716 /nfs/dbraw/zinc/85/67/16/829856716.db2.gz HSEAEVJBRSVITN-UHFFFAOYSA-N -1 1 332.368 -0.580 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1csc(-c2nc[nH]n2)n1 ZINC001135231897 829925759 /nfs/dbraw/zinc/92/57/59/829925759.db2.gz QKKLLJVSMCWBGU-UHFFFAOYSA-N -1 1 335.353 -0.729 20 0 EBADMM O=C(CCC1CCCC1)NCC(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001135340836 829949370 /nfs/dbraw/zinc/94/93/70/829949370.db2.gz KKXIXWLDWARAMD-UHFFFAOYSA-N -1 1 338.412 -0.197 20 0 EBADMM C[C@@H](NCCNC(=O)CCn1cc[n-]c(=O)c1=O)c1csnn1 ZINC001135390793 829961067 /nfs/dbraw/zinc/96/10/67/829961067.db2.gz OYUSSQUPUFNCAB-SECBINFHSA-N -1 1 338.393 -0.755 20 0 EBADMM O=C(CCc1nn[n-]n1)Nc1ccc(C[C@H]2CC(=O)NC2=O)cc1 ZINC001136872093 830089458 /nfs/dbraw/zinc/08/94/58/830089458.db2.gz DEOUILAIPUSMDL-JTQLQIEISA-N -1 1 328.332 -0.024 20 0 EBADMM COC(=O)[C@@H]1CCS(=O)(=O)C12CN(Cc1ncccc1[O-])C2 ZINC001138394307 830100113 /nfs/dbraw/zinc/10/01/13/830100113.db2.gz NELJAJQQFGWXAR-JTQLQIEISA-N -1 1 326.374 -0.051 20 0 EBADMM Cc1cc(C=O)c([O-])c(C(=O)NCCOCCS(N)(=O)=O)c1 ZINC001140236415 830106807 /nfs/dbraw/zinc/10/68/07/830106807.db2.gz HIZMRWRTNIIQEM-UHFFFAOYSA-N -1 1 330.362 -0.452 20 0 EBADMM CN1CC(C(=O)Nc2ccc(CC(=O)[N-]S(C)(=O)=O)cc2)C1 ZINC001142598584 830133871 /nfs/dbraw/zinc/13/38/71/830133871.db2.gz AEHCBFKRHNTIIQ-UHFFFAOYSA-N -1 1 325.390 -0.195 20 0 EBADMM O=C(NCCOP(=O)([O-])[O-])[C@H]1[C@@H](O)CC[N@@H+]1Cc1ccccc1 ZINC001143675663 830155972 /nfs/dbraw/zinc/15/59/72/830155972.db2.gz JPWWBYFWOABQSZ-QWHCGFSZSA-N -1 1 344.304 -0.153 20 0 EBADMM O=C(NCCOP(=O)([O-])[O-])[C@H]1[C@@H](O)CC[N@H+]1Cc1ccccc1 ZINC001143675663 830155978 /nfs/dbraw/zinc/15/59/78/830155978.db2.gz JPWWBYFWOABQSZ-QWHCGFSZSA-N -1 1 344.304 -0.153 20 0 EBADMM CCO[C@H](CC)C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001147095057 830286731 /nfs/dbraw/zinc/28/67/31/830286731.db2.gz SBGNAQGIXHANKL-GFCCVEGCSA-N -1 1 337.424 -0.042 20 0 EBADMM CSCC[C@H](NC(=O)CN1CC(=O)N(C)C1=O)c1nn[n-]n1 ZINC001148260349 830462098 /nfs/dbraw/zinc/46/20/98/830462098.db2.gz FKMZWWNYPCGAIS-ZETCQYMHSA-N -1 1 327.370 -0.996 20 0 EBADMM Cc1cc(=O)n(-c2ccc(C(=O)N[C@@H]3C[C@H](CO)[C@@H](O)[C@H]3O)cc2)[n-]1 ZINC001148337009 830471978 /nfs/dbraw/zinc/47/19/78/830471978.db2.gz UXMCNDMDWRPVRT-CUBALJKWSA-N -1 1 347.371 -0.368 20 0 EBADMM COC[C@H](C)CC(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001148512797 830500232 /nfs/dbraw/zinc/50/02/32/830500232.db2.gz YEYGUSNKPNUQKR-GFCCVEGCSA-N -1 1 337.424 -0.185 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CCSC ZINC001211816267 837470929 /nfs/dbraw/zinc/47/09/29/837470929.db2.gz AEVWNTUGEDIMRW-NXEZZACHSA-N -1 1 329.426 -0.823 20 0 EBADMM CC[C@@H](C)C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149168171 830609532 /nfs/dbraw/zinc/60/95/32/830609532.db2.gz OJJSMCKDQCPYDT-NEPJUHHUSA-N -1 1 325.413 -0.138 20 0 EBADMM NS(=O)(=O)N1CCC(NC(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC001149393662 830655733 /nfs/dbraw/zinc/65/57/33/830655733.db2.gz TWBTWKRMCBCQMY-UHFFFAOYSA-N -1 1 327.362 -0.641 20 0 EBADMM Cc1cc(CC(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)CCCO2)[nH]n1 ZINC001149529160 830686322 /nfs/dbraw/zinc/68/63/22/830686322.db2.gz UHVVDDZGDVACFG-GFCCVEGCSA-N -1 1 349.395 -0.508 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@H](CNC(=O)Cc3ccoc3)C2)nc1=O ZINC001149608654 830710354 /nfs/dbraw/zinc/71/03/54/830710354.db2.gz RZAJNYFGBDQEQK-CYBMUJFWSA-N -1 1 349.391 -0.349 20 0 EBADMM O=C(CNC(=O)Cc1ccccc1)NCCNCc1n[nH]c(=O)[n-]1 ZINC001150084827 830815171 /nfs/dbraw/zinc/81/51/71/830815171.db2.gz ATAOROWEMRYRBB-UHFFFAOYSA-N -1 1 332.364 -0.925 20 0 EBADMM O=C(Cn1ccc(C(F)(F)F)n1)NCCNCc1n[nH]c(=O)[n-]1 ZINC001150317365 830861475 /nfs/dbraw/zinc/86/14/75/830861475.db2.gz RZQLQRMQHGUICE-UHFFFAOYSA-N -1 1 333.274 -0.368 20 0 EBADMM CC[C@]1(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])CCNC1=O ZINC001152169497 831137966 /nfs/dbraw/zinc/13/79/66/831137966.db2.gz WBUNJUATZGHKHR-ZDUSSCGKSA-N -1 1 327.362 -0.106 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1[nH]nnc1-c1ccccc1 ZINC001152645241 831181987 /nfs/dbraw/zinc/18/19/87/831181987.db2.gz FKOSYXAWLSYOMJ-UHFFFAOYSA-N -1 1 328.336 -0.185 20 0 EBADMM COC(=O)CNC(=O)CNC(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152681925 831182497 /nfs/dbraw/zinc/18/24/97/831182497.db2.gz SGENRRCTTXKVFO-UHFFFAOYSA-N -1 1 333.300 -0.335 20 0 EBADMM COC[C@@H](NC(=O)c1cccc(Cn2cncn2)c1)c1nn[n-]n1 ZINC001155067757 831383410 /nfs/dbraw/zinc/38/34/10/831383410.db2.gz BUSPHRRFSALHAV-GFCCVEGCSA-N -1 1 328.336 -0.043 20 0 EBADMM C[C@H]1[C@H](Nc2nc(Cl)nc3c2CCNC3)C(=O)N1S(=O)(=O)[O-] ZINC001157067590 831529966 /nfs/dbraw/zinc/52/99/66/831529966.db2.gz IYHUMHJIERFLAW-XNCJUZBTSA-N -1 1 347.784 -0.410 20 0 EBADMM CCOCC(=O)N1CCCC[C@@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001157517101 831575207 /nfs/dbraw/zinc/57/52/07/831575207.db2.gz RVTZTANDXNBOLV-GFCCVEGCSA-N -1 1 325.413 -0.042 20 0 EBADMM CCN(C)C(=O)CN1C[C@@H](NC(=O)c2ncccc2[O-])[C@H](OC)C1 ZINC001212156570 837560017 /nfs/dbraw/zinc/56/00/17/837560017.db2.gz SFCGQAYJHKNEAL-DGCLKSJQSA-N -1 1 336.392 -0.306 20 0 EBADMM Cn1[n-]c(CN2CC=C(CCNC(=O)c3cnns3)CC2)nc1=O ZINC001159391558 831748414 /nfs/dbraw/zinc/74/84/14/831748414.db2.gz XTWGFHRJSFCAQF-UHFFFAOYSA-N -1 1 349.420 -0.088 20 0 EBADMM Cn1[n-]c(CN2CC=C(CCNC(=O)CC(C)(C)O)CC2)nc1=O ZINC001159979316 831802335 /nfs/dbraw/zinc/80/23/35/831802335.db2.gz LVSRESPAAVELRE-UHFFFAOYSA-N -1 1 337.424 -0.092 20 0 EBADMM CC(C)Cn1[n-]c(CC(=O)NCCCNCc2ncnn2C)cc1=O ZINC001161942044 832004529 /nfs/dbraw/zinc/00/45/29/832004529.db2.gz WAFJPZYVBZGJIU-UHFFFAOYSA-N -1 1 349.439 -0.201 20 0 EBADMM Cn1cc(CC(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)cn1 ZINC001163742044 832134001 /nfs/dbraw/zinc/13/40/01/832134001.db2.gz XCZHHIJTXFFICL-UHFFFAOYSA-N -1 1 333.396 -0.137 20 0 EBADMM COCC1(C(=O)NCCCNC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001350283989 832148048 /nfs/dbraw/zinc/14/80/48/832148048.db2.gz NUIFZMLTBBGTJM-UHFFFAOYSA-N -1 1 348.363 -0.920 20 0 EBADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)[C@H]1CC(=O)N(C)C1 ZINC001351407209 832235365 /nfs/dbraw/zinc/23/53/65/832235365.db2.gz QMTRWITYSUHCPR-NSHDSACASA-N -1 1 334.376 -0.156 20 0 EBADMM Cc1nonc1CNCC1(CCNC(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001165993300 832245334 /nfs/dbraw/zinc/24/53/34/832245334.db2.gz UIDVTEMTKAKRMU-UHFFFAOYSA-N -1 1 349.351 -0.336 20 0 EBADMM CCN(CCCNC(=O)COC)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001352005736 832301567 /nfs/dbraw/zinc/30/15/67/832301567.db2.gz AXZAWNJGFCDHSW-UHFFFAOYSA-N -1 1 342.352 -0.489 20 0 EBADMM O=C(NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)C(=O)NCC1CC1 ZINC001167552581 832356782 /nfs/dbraw/zinc/35/67/82/832356782.db2.gz HAYGAVQTOJYVFP-UHFFFAOYSA-N -1 1 336.396 -0.587 20 0 EBADMM Cn1nccc1C[C@@H]1CCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001353335277 832422837 /nfs/dbraw/zinc/42/28/37/832422837.db2.gz UUFYYWLYFFHOKK-ZDUSSCGKSA-N -1 1 331.376 -0.106 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N[C@H]1C[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001353703112 832441349 /nfs/dbraw/zinc/44/13/49/832441349.db2.gz HMJGPSXTWHVJCX-YFKTTZPYSA-N -1 1 334.376 -0.654 20 0 EBADMM C[C@@]1(C(F)(F)F)CN(C(=O)[C@@]2(C(=O)[O-])CNCCO2)CCO1 ZINC001354513274 832496115 /nfs/dbraw/zinc/49/61/15/832496115.db2.gz ZNPJGIUAPMUINO-WDEREUQCSA-N -1 1 326.271 -0.391 20 0 EBADMM C[C@H](CNC(=O)Cc1ccco1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001354661459 832510977 /nfs/dbraw/zinc/51/09/77/832510977.db2.gz JZFFNPSBNPGKCX-SECBINFHSA-N -1 1 344.331 -0.512 20 0 EBADMM CCC1(C(=O)NC[C@@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001354706050 832513650 /nfs/dbraw/zinc/51/36/50/832513650.db2.gz KERBDHPQVKNLHI-LLVKDONJSA-N -1 1 336.392 -0.262 20 0 EBADMM Cn1ncnc1CNCCCNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001171079621 832542734 /nfs/dbraw/zinc/54/27/34/832542734.db2.gz JLUJJKXLENJRPZ-UHFFFAOYSA-N -1 1 331.336 -0.033 20 0 EBADMM COC(=O)c1cc([N-]c2nccnc2CN)nc(S(C)(=O)=O)n1 ZINC001171441935 832560161 /nfs/dbraw/zinc/56/01/61/832560161.db2.gz WKRQGIFPHVURIJ-UHFFFAOYSA-N -1 1 338.349 -0.341 20 0 EBADMM Cc1coc(C(=O)NCCN(C)C(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC001355834258 832586904 /nfs/dbraw/zinc/58/69/04/832586904.db2.gz IOOCTCNOAZSZLX-UHFFFAOYSA-N -1 1 348.359 -0.283 20 0 EBADMM Cc1cn2nnc(C(=O)N(Cc3nn[n-]n3)CC(C)C)c2c(=O)[nH]1 ZINC001176602530 832681395 /nfs/dbraw/zinc/68/13/95/832681395.db2.gz JOYYJRIGSVMORO-UHFFFAOYSA-N -1 1 331.340 -0.050 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCO[C@]2(CCCN(c3cnccn3)C2)C1 ZINC001176839793 832693578 /nfs/dbraw/zinc/69/35/78/832693578.db2.gz COSHAAOUJHYVMR-HNNXBMFYSA-N -1 1 344.379 -0.570 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@]2(C[C@H]2C(=O)NCc2cccnc2)C1 ZINC001176841356 832694242 /nfs/dbraw/zinc/69/42/42/832694242.db2.gz XCJOTXZGQGCUEX-LRDDRELGSA-N -1 1 341.375 -0.308 20 0 EBADMM CCNC(=O)CN1CCO[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC001176982761 832724754 /nfs/dbraw/zinc/72/47/54/832724754.db2.gz ISQLCAFNMWERQQ-GXTWGEPZSA-N -1 1 348.403 -0.161 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H]3CCN(C(=O)CCCF)C[C@H]32)nc1=O ZINC001177072546 832746698 /nfs/dbraw/zinc/74/66/98/832746698.db2.gz HZQMALCAMCXANZ-NEPJUHHUSA-N -1 1 341.387 -0.340 20 0 EBADMM CC(C)(C)OC(=O)N[C@@H](Cn1cccn1)C(=O)NCc1nn[n-]n1 ZINC001177705544 832799566 /nfs/dbraw/zinc/79/95/66/832799566.db2.gz WWTGNKCAOGJGKX-VIFPVBQESA-N -1 1 336.356 -0.394 20 0 EBADMM CC(C)(C)OC(=O)N1C[C@H](C(=O)NCc2nn[n-]n2)C[C@H](O)C1 ZINC001178664416 832897522 /nfs/dbraw/zinc/89/75/22/832897522.db2.gz MIQRJINOUPYFBI-BDAKNGLRSA-N -1 1 326.357 -0.566 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCCCNC(N)=O ZINC001179087490 832950814 /nfs/dbraw/zinc/95/08/14/832950814.db2.gz QWVPTEYHFZRWPN-UWVGGRQHSA-N -1 1 339.400 -0.572 20 0 EBADMM CCCCOCC(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001187424210 833928191 /nfs/dbraw/zinc/92/81/91/833928191.db2.gz AEPZZZWSHKEWHG-QWHCGFSZSA-N -1 1 337.424 -0.042 20 0 EBADMM COC(=O)CCS(=O)(=O)[N-][C@H](Cc1cccnc1)C(=O)OC ZINC001188420327 834046077 /nfs/dbraw/zinc/04/60/77/834046077.db2.gz MFCXYPGDQUMFHM-LLVKDONJSA-N -1 1 330.362 -0.352 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)c1cc(F)c[nH]1 ZINC001188491671 834056274 /nfs/dbraw/zinc/05/62/74/834056274.db2.gz QIVWMBUEGMUZNX-NSHDSACASA-N -1 1 337.311 -0.191 20 0 EBADMM CC(C)OCCC(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001188983711 834113154 /nfs/dbraw/zinc/11/31/54/834113154.db2.gz SNECCTCBRUUYEH-GFCCVEGCSA-N -1 1 325.413 -0.044 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC[C@H](N(C)[C@@H](C)C(=O)NC(N)=O)C2)c1[O-] ZINC001189674361 834177110 /nfs/dbraw/zinc/17/71/10/834177110.db2.gz PPUJSUUQBNFMJN-IUCAKERBSA-N -1 1 338.368 -0.847 20 0 EBADMM CN(CCNS(C)(=O)=O)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001189788325 834192131 /nfs/dbraw/zinc/19/21/31/834192131.db2.gz VTJFEMVYOXPODW-NSHDSACASA-N -1 1 342.421 -0.517 20 0 EBADMM CCOCC1(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001191010711 834395992 /nfs/dbraw/zinc/39/59/92/834395992.db2.gz OPEVOUNKTUNUMR-GFCCVEGCSA-N -1 1 337.424 -0.042 20 0 EBADMM COCC(C)(C)C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001191360443 834465228 /nfs/dbraw/zinc/46/52/28/834465228.db2.gz OYGTZRSCCAYFOT-LLVKDONJSA-N -1 1 325.413 -0.186 20 0 EBADMM COCC1(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)CCC1 ZINC001191413295 834472554 /nfs/dbraw/zinc/47/25/54/834472554.db2.gz CNHXMVUSRNKNKO-GFCCVEGCSA-N -1 1 337.424 -0.042 20 0 EBADMM CCC[C@H](OC)C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001191697592 834532626 /nfs/dbraw/zinc/53/26/26/834532626.db2.gz WEVPJOWWRHWXCO-RYUDHWBXSA-N -1 1 325.413 -0.044 20 0 EBADMM CS(=O)(=O)N1CC([N-]S(=O)(=O)C(Cl)(Cl)Cl)C1 ZINC001192043593 834599396 /nfs/dbraw/zinc/59/93/96/834599396.db2.gz ZENKZNPLQAOAQS-UHFFFAOYSA-N -1 1 331.630 -0.123 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCOC(=O)N(C)C ZINC001192245147 834629241 /nfs/dbraw/zinc/62/92/41/834629241.db2.gz JRHJKRZBGAUHIA-UHFFFAOYSA-N -1 1 334.354 -0.437 20 0 EBADMM O=C(CN1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1)NCC1CC1 ZINC001192374744 834650399 /nfs/dbraw/zinc/65/03/99/834650399.db2.gz JUQNZVBNCHLTJD-DGCLKSJQSA-N -1 1 334.376 -0.912 20 0 EBADMM COCCOCCN1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001192374404 834651533 /nfs/dbraw/zinc/65/15/33/834651533.db2.gz DNAIADOGKCJZJH-DGCLKSJQSA-N -1 1 325.365 -0.775 20 0 EBADMM CCCC[C@H](C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001192599435 834698251 /nfs/dbraw/zinc/69/82/51/834698251.db2.gz JBSZYBWEMMMYPA-QJPTWQEYSA-N -1 1 325.413 -0.404 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)CC(C)(C)C3CC3)C2)nc1=O ZINC001192693559 834716575 /nfs/dbraw/zinc/71/65/75/834716575.db2.gz YEXYAQKSWASQKR-VXGBXAGGSA-N -1 1 337.424 -0.404 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)CCC(C)(F)F)C2)nc1=O ZINC001194212004 835050209 /nfs/dbraw/zinc/05/02/09/835050209.db2.gz ZAHRHPAFIGBUKL-RKDXNWHRSA-N -1 1 333.339 -0.795 20 0 EBADMM COC(=O)c1cc(C(=O)Nc2cnn(CCN3CCOCC3)c2)[n-]n1 ZINC001194283677 835071724 /nfs/dbraw/zinc/07/17/24/835071724.db2.gz PWZHWRMHIHJKDA-UHFFFAOYSA-N -1 1 348.363 -0.023 20 0 EBADMM CCCC1(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)CCC1 ZINC001195501732 835234102 /nfs/dbraw/zinc/23/41/02/835234102.db2.gz SDKUOBFSLSRXOT-VXGBXAGGSA-N -1 1 337.424 -0.260 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)C3(C(F)F)CCC3)C2)nc1=O ZINC001195587415 835248728 /nfs/dbraw/zinc/24/87/28/835248728.db2.gz HXZYDHCQCCIRDL-RKDXNWHRSA-N -1 1 345.350 -0.795 20 0 EBADMM COCC1(CC(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)CC1 ZINC001195781290 835288536 /nfs/dbraw/zinc/28/85/36/835288536.db2.gz TYALNZPOWSDGQI-UHFFFAOYSA-N -1 1 337.424 -0.041 20 0 EBADMM CCCCC1(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)CC1 ZINC001195914215 835308727 /nfs/dbraw/zinc/30/87/27/835308727.db2.gz BTTMFUABBWKTRK-VXGBXAGGSA-N -1 1 337.424 -0.260 20 0 EBADMM COC(=O)[C@@H](Cc1cncn1C)NC(=O)c1c[nH]c(=S)[n-]c1=O ZINC001196027148 835330094 /nfs/dbraw/zinc/33/00/94/835330094.db2.gz SBGLLQSJILAZRG-SECBINFHSA-N -1 1 337.361 -0.282 20 0 EBADMM CCCCCN1C[C@@H](O)[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001196993048 835493405 /nfs/dbraw/zinc/49/34/05/835493405.db2.gz OGFAMCSSVLOSDY-CHWSQXEVSA-N -1 1 348.407 -0.577 20 0 EBADMM CCC(CC)CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001197215825 835523684 /nfs/dbraw/zinc/52/36/84/835523684.db2.gz VKROBAXOHHQNDH-VXGBXAGGSA-N -1 1 325.413 -0.404 20 0 EBADMM COCCn1cc(C(=O)NCCCC[P@](=O)([O-])O)cnc1=O ZINC001197713172 835599953 /nfs/dbraw/zinc/59/99/53/835599953.db2.gz XNMASQNYRQPVCW-UHFFFAOYSA-N -1 1 333.281 -0.423 20 0 EBADMM CC[C@@H](CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O)C(C)C ZINC001197936028 835623426 /nfs/dbraw/zinc/62/34/26/835623426.db2.gz ZGHJRCPCRODUJO-YNEHKIRRSA-N -1 1 339.440 -0.158 20 0 EBADMM C[C@@H](CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O)C(C)(C)C ZINC001198151935 835647350 /nfs/dbraw/zinc/64/73/50/835647350.db2.gz VUPOYHDZFUSQCL-QJPTWQEYSA-N -1 1 339.440 -0.158 20 0 EBADMM COCCn1cc(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)ccc1=O ZINC001198838993 835730222 /nfs/dbraw/zinc/73/02/22/835730222.db2.gz YZPWSTSTJDALIL-UHFFFAOYSA-N -1 1 346.303 -0.224 20 0 EBADMM Cc1ccc(CCC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)o1 ZINC001199004486 835747613 /nfs/dbraw/zinc/74/76/13/835747613.db2.gz RBKHNIJFBBIVQD-CHWSQXEVSA-N -1 1 349.391 -0.696 20 0 EBADMM NC(=O)NCCCCC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001208519451 837075319 /nfs/dbraw/zinc/07/53/19/837075319.db2.gz YWVBGEIJZJSEJI-JTQLQIEISA-N -1 1 339.400 -0.571 20 0 EBADMM COCCNC(=O)C1CCN(Cc2cc(=O)n3[n-]ccc3n2)CC1 ZINC001319879971 838189328 /nfs/dbraw/zinc/18/93/28/838189328.db2.gz SZEMHGJUDSUTEB-UHFFFAOYSA-N -1 1 333.392 -0.003 20 0 EBADMM CO[N-]C(=O)C(=O)Nc1ccc(OCCN2CCN(C)CC2)cc1 ZINC001320923008 838863855 /nfs/dbraw/zinc/86/38/55/838863855.db2.gz JMZXPDUCGQKQDS-UHFFFAOYSA-N -1 1 336.392 -0.071 20 0 EBADMM Cc1nc(NC(=O)C(=O)NCc2nn[n-]n2)ccc1Br ZINC001320996948 838878317 /nfs/dbraw/zinc/87/83/17/838878317.db2.gz YBGOPHWBROEGMZ-UHFFFAOYSA-N -1 1 340.141 -0.079 20 0 EBADMM CS(=O)(=O)C1(CNC(=O)CCn2cc[n-]c(=O)c2=O)CCC1 ZINC001321517298 839009349 /nfs/dbraw/zinc/00/93/49/839009349.db2.gz NPQXGEDBJWTANT-UHFFFAOYSA-N -1 1 329.378 -0.990 20 0 EBADMM Cc1c(C(=O)OCc2nc(=O)n(C)[n-]2)nnn1Cc1ccncc1 ZINC001321957960 839111128 /nfs/dbraw/zinc/11/11/28/839111128.db2.gz HRQNQDRGRJMTSO-UHFFFAOYSA-N -1 1 329.320 -0.191 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCc1nnc2n1CCCCC2 ZINC001322364389 839176186 /nfs/dbraw/zinc/17/61/86/839176186.db2.gz RLWJINDXGURNJZ-UHFFFAOYSA-N -1 1 346.391 -0.397 20 0 EBADMM C[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1nnc2ccccn21 ZINC001322780641 839259477 /nfs/dbraw/zinc/25/94/77/839259477.db2.gz SLZDMMQUJDRYRB-JTQLQIEISA-N -1 1 328.332 -0.153 20 0 EBADMM Cn1nc(C(=O)Nc2n[n-]c(S(C)(=O)=O)n2)c2ccccc2c1=O ZINC001322805491 839269116 /nfs/dbraw/zinc/26/91/16/839269116.db2.gz IFDDUPLVCIOTKP-UHFFFAOYSA-N -1 1 348.344 -0.293 20 0 EBADMM Cn1nc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)c2ccccc2c1=O ZINC001322805491 839269126 /nfs/dbraw/zinc/26/91/26/839269126.db2.gz IFDDUPLVCIOTKP-UHFFFAOYSA-N -1 1 348.344 -0.293 20 0 EBADMM C[C@@H](CO[C@H]1CCOC1)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001323664725 839496040 /nfs/dbraw/zinc/49/60/40/839496040.db2.gz MGMODOVCORPFSH-QWRGUYRKSA-N -1 1 347.375 -0.410 20 0 EBADMM CCOc1ccc(OC)cc1NC(=O)C(=O)NN1CC(=O)[N-]C1=O ZINC001323712105 839505881 /nfs/dbraw/zinc/50/58/81/839505881.db2.gz SRQIROZVQZKABE-UHFFFAOYSA-N -1 1 336.304 -0.385 20 0 EBADMM Cn1[n-]c(CN2CC[C@](O)(CNC(=O)[C@H]3CC=CCC3)C2)nc1=O ZINC001325069611 839768302 /nfs/dbraw/zinc/76/83/02/839768302.db2.gz UEVXTJISLKCFOW-LRDDRELGSA-N -1 1 335.408 -0.482 20 0 EBADMM CC1(C)C(=O)N[C@@H]1C1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001325073304 839770092 /nfs/dbraw/zinc/77/00/92/839770092.db2.gz JPKOTWUDKPNOQV-CYBMUJFWSA-N -1 1 348.403 -0.310 20 0 EBADMM O=C(CCCn1cncn1)N1CC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001326406093 840100746 /nfs/dbraw/zinc/10/07/46/840100746.db2.gz ZGWBVMHMCSQWSA-LBPRGKRZSA-N -1 1 348.411 -0.090 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CC[C@@](O)(C4CC4)C3)ccnc1-2 ZINC001326807931 840205754 /nfs/dbraw/zinc/20/57/54/840205754.db2.gz XNXDDOZTFOEHHQ-INIZCTEOSA-N -1 1 329.360 -0.346 20 0 EBADMM O=C(Nc1cccc(-n2cccn2)c1)C(=O)NN1CC(=O)[N-]C1=O ZINC001326807295 840205884 /nfs/dbraw/zinc/20/58/84/840205884.db2.gz MLBLDHAEWPXXJQ-UHFFFAOYSA-N -1 1 328.288 -0.606 20 0 EBADMM Cc1cncc(CCNC(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)n1 ZINC001327370682 840387561 /nfs/dbraw/zinc/38/75/61/840387561.db2.gz ZFKSLXMQWOFQBJ-UHFFFAOYSA-N -1 1 339.359 -0.262 20 0 EBADMM O=C(CCCN1C(=O)CNC1=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC001328369669 840663772 /nfs/dbraw/zinc/66/37/72/840663772.db2.gz SXRLJTWNBQGPMS-SECBINFHSA-N -1 1 337.336 -0.187 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)c1ccnc2ccnn21 ZINC001329057265 840828715 /nfs/dbraw/zinc/82/87/15/840828715.db2.gz PXVTUGYRMNANTJ-BDAKNGLRSA-N -1 1 330.352 -0.150 20 0 EBADMM C[C@@H](NC(=O)c1ccnc2ccnn21)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001329057266 840828819 /nfs/dbraw/zinc/82/88/19/840828819.db2.gz PXVTUGYRMNANTJ-DTWKUNHWSA-N -1 1 330.352 -0.150 20 0 EBADMM NC(=O)[C@@H]1CCCN1CCCNC(=O)CSc1n[nH]c(=O)[n-]1 ZINC001330114135 841117743 /nfs/dbraw/zinc/11/77/43/841117743.db2.gz GVUSZNMQZUDLFR-QMMMGPOBSA-N -1 1 328.398 -0.942 20 0 EBADMM COCCN(CC=C(C)C)CCNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001332921775 841763550 /nfs/dbraw/zinc/76/35/50/841763550.db2.gz CNFSXOUTONIGNN-UHFFFAOYSA-N -1 1 325.369 -0.073 20 0 EBADMM CCOCCS(=O)(=O)[N-]Cc1nc(-c2ccc[nH]c2=O)no1 ZINC001333201676 841818824 /nfs/dbraw/zinc/81/88/24/841818824.db2.gz KCHLUIUIAMFIGL-SECBINFHSA-N -1 1 328.350 -0.224 20 0 EBADMM COCCN(CCC(=O)[O-])C(=O)C(=O)N1CC[C@H](N2CC=CC2)C1 ZINC001336302038 842433209 /nfs/dbraw/zinc/43/32/09/842433209.db2.gz ZFJDPISIGGSCEU-ZDUSSCGKSA-N -1 1 339.392 -0.591 20 0 EBADMM CN(Cc1ccc2c(c1)OCCO2)C(=O)[C@]1(C(=O)[O-])CNCCO1 ZINC001336690849 842535920 /nfs/dbraw/zinc/53/59/20/842535920.db2.gz AFJBOMMLLKFBHX-INIZCTEOSA-N -1 1 336.344 -0.141 20 0 EBADMM CNC(=O)CC(=O)NC[C@H](C1CC1)N(C)C(=O)c1ncccc1[O-] ZINC001336896248 842557221 /nfs/dbraw/zinc/55/72/21/842557221.db2.gz BRCPTYJKJPOEFV-LLVKDONJSA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@H](CN(C)C(=O)CC1CC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001336986891 842568454 /nfs/dbraw/zinc/56/84/54/842568454.db2.gz INRJWEIJLISJDB-LLVKDONJSA-N -1 1 336.392 -0.310 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)CC[C@@H]1NC(=O)NC1=O ZINC001339094424 842893051 /nfs/dbraw/zinc/89/30/51/842893051.db2.gz MWOIXRXWPAAYPE-JTQLQIEISA-N -1 1 346.347 -0.344 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)CC[C@H]1NC(=O)NC1=O ZINC001339094432 842893579 /nfs/dbraw/zinc/89/35/79/842893579.db2.gz MWOIXRXWPAAYPE-SNVBAGLBSA-N -1 1 346.347 -0.344 20 0 EBADMM CCN(C(=O)CCn1cc[n-]c(=O)c1=O)[C@H](C)CNC(=O)C(C)C ZINC001340037010 842964991 /nfs/dbraw/zinc/96/49/91/842964991.db2.gz JJTWWTSYUQEBPE-GFCCVEGCSA-N -1 1 338.408 -0.064 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2CCN(c3cccs3)CC2)CNCCO1 ZINC001340879226 843040388 /nfs/dbraw/zinc/04/03/88/843040388.db2.gz CTTCPYSIDUEPFN-CQSZACIVSA-N -1 1 325.390 -0.160 20 0 EBADMM CN(C)[C@H](CCNC(=O)[C@@]1(C(=O)[O-])CNCCO1)C(F)(F)F ZINC001341798278 843114795 /nfs/dbraw/zinc/11/47/95/843114795.db2.gz VYWDCZUKXXWSLC-LDYMZIIASA-N -1 1 327.303 -0.572 20 0 EBADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)c1cnc(N(C)C)cn1 ZINC001344058194 843270763 /nfs/dbraw/zinc/27/07/63/843270763.db2.gz KLVFSJYERQIZIJ-UHFFFAOYSA-N -1 1 343.347 -0.018 20 0 EBADMM CO[N-]C(=O)CNC(=O)NCCc1ccc(N2CCN(C)CC2)cc1 ZINC001346357826 843507883 /nfs/dbraw/zinc/50/78/83/843507883.db2.gz JUUVQGGZIHUIMX-UHFFFAOYSA-N -1 1 349.435 -0.042 20 0 EBADMM NC(=O)N1CCCC[C@@H]1C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001348838990 843755536 /nfs/dbraw/zinc/75/55/36/843755536.db2.gz PBFXKHODVOFFJM-SNVBAGLBSA-N -1 1 335.364 -0.434 20 0 EBADMM Cc1ccc(=O)n(CC(=O)NCCCNC(=O)c2ncccc2[O-])n1 ZINC001349939801 843951639 /nfs/dbraw/zinc/95/16/39/843951639.db2.gz GABHIBDTBVNXME-UHFFFAOYSA-N -1 1 345.359 -0.411 20 0 EBADMM C[C@@H]1CN(C2CCOCC2)CCN1C(=O)C(=O)N(C)CCC(=O)[O-] ZINC001611100525 970891942 /nfs/dbraw/zinc/89/19/42/970891942.db2.gz WXSGKHSKBOWFLT-GFCCVEGCSA-N -1 1 341.408 -0.369 20 0 EBADMM Cn1cc([C@H]2CN(CC(=O)NCC(=O)[O-])CC23CCOCC3)cn1 ZINC001611277415 970966518 /nfs/dbraw/zinc/96/65/18/970966518.db2.gz ABFXJLDEZAHZPI-CYBMUJFWSA-N -1 1 336.392 -0.183 20 0 EBADMM O=C([O-])[C@@H]1CCN(C(=O)C(=O)NCCCCN2CCOCC2)C1 ZINC001601496455 971007705 /nfs/dbraw/zinc/00/77/05/971007705.db2.gz BQNXYQBIJZSVHB-GFCCVEGCSA-N -1 1 327.381 -0.852 20 0 EBADMM CC(=O)N1CCN([C@@H]2CCN(c3cnc(-c4nn[n-]n4)cn3)C2)CC1 ZINC001573451442 945839152 /nfs/dbraw/zinc/83/91/52/945839152.db2.gz WLVNBPOQINZUTG-GFCCVEGCSA-N -1 1 343.395 -0.601 20 0 EBADMM CC(=O)N[C@](C)(C(=O)NCCn1cnc(-c2nn[n-]n2)n1)C(C)C ZINC001573455847 945889141 /nfs/dbraw/zinc/88/91/41/945889141.db2.gz HGSZINGNTLIVDI-ZDUSSCGKSA-N -1 1 335.372 -0.875 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N1CC[C@@H](Nc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001573472622 946068873 /nfs/dbraw/zinc/06/88/73/946068873.db2.gz WOANKWSWKSHOJZ-PSASIEDQSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N1CC[C@@H](Nc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001573472622 946068891 /nfs/dbraw/zinc/06/88/91/946068891.db2.gz WOANKWSWKSHOJZ-PSASIEDQSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001573476196 946115525 /nfs/dbraw/zinc/11/55/25/946115525.db2.gz DJLLZUWDLVYJIR-JGVFFNPUSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001573476196 946115529 /nfs/dbraw/zinc/11/55/29/946115529.db2.gz DJLLZUWDLVYJIR-JGVFFNPUSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@H](C)CN(C)c1nccnc1-c1nnn[n-]1 ZINC001573476409 946118822 /nfs/dbraw/zinc/11/88/22/946118822.db2.gz GOIJLVMFVCCKLI-BDAKNGLRSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@H](C)CN(C)c1nccnc1-c1nn[n-]n1 ZINC001573476409 946118847 /nfs/dbraw/zinc/11/88/47/946118847.db2.gz GOIJLVMFVCCKLI-BDAKNGLRSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NCC1(Nc2cnc(-c3nnn[n-]3)cn2)CC1 ZINC001573482609 946201780 /nfs/dbraw/zinc/20/17/80/946201780.db2.gz YPGBGGBDGXIUIL-QMMMGPOBSA-N -1 1 345.367 -0.758 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NCC1(Nc2cnc(-c3nn[n-]n3)cn2)CC1 ZINC001573482609 946201787 /nfs/dbraw/zinc/20/17/87/946201787.db2.gz YPGBGGBDGXIUIL-QMMMGPOBSA-N -1 1 345.367 -0.758 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@@H](C)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001573482842 946203410 /nfs/dbraw/zinc/20/34/10/946203410.db2.gz ANSCFCKZMSSNBA-YUMQZZPRSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@@H](C)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001573482842 946203420 /nfs/dbraw/zinc/20/34/20/946203420.db2.gz ANSCFCKZMSSNBA-YUMQZZPRSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@H]1CCN(S(=O)(=O)c2cccnc2-c2nn[n-]n2)C1 ZINC001573497240 946346233 /nfs/dbraw/zinc/34/62/33/946346233.db2.gz OMCKMGMTRVZQRY-VIFPVBQESA-N -1 1 337.365 -0.839 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@H](Nc2nc(C)cc(-c3nnn[n-]3)n2)C1 ZINC001573504072 946405920 /nfs/dbraw/zinc/40/59/20/946405920.db2.gz DUZYOPPALHPDKJ-JTQLQIEISA-N -1 1 345.367 -0.886 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@H](Nc2nc(C)cc(-c3nn[n-]n3)n2)C1 ZINC001573504072 946405924 /nfs/dbraw/zinc/40/59/24/946405924.db2.gz DUZYOPPALHPDKJ-JTQLQIEISA-N -1 1 345.367 -0.886 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@@H](Nc2nccnc2-c2nnn[n-]2)[C@@H]1C ZINC001573507233 946444895 /nfs/dbraw/zinc/44/48/95/946444895.db2.gz VXVBEEIKOGPUOB-WCBMZHEXSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@@H](Nc2nccnc2-c2nn[n-]n2)[C@@H]1C ZINC001573507233 946444903 /nfs/dbraw/zinc/44/49/03/946444903.db2.gz VXVBEEIKOGPUOB-WCBMZHEXSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)C[C@@H](C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001573510292 946475645 /nfs/dbraw/zinc/47/56/45/946475645.db2.gz DPICTOGMJWYELR-BDAKNGLRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)C[C@@H](C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001573510292 946475652 /nfs/dbraw/zinc/47/56/52/946475652.db2.gz DPICTOGMJWYELR-BDAKNGLRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NCC(=O)NC1CC(CNc2cncc(-c3nnn[n-]3)n2)C1 ZINC001573514321 946525325 /nfs/dbraw/zinc/52/53/25/946525325.db2.gz XENGPRPPZDMEAO-UHFFFAOYSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)NC1CC(CNc2cncc(-c3nn[n-]n3)n2)C1 ZINC001573514321 946525337 /nfs/dbraw/zinc/52/53/37/946525337.db2.gz XENGPRPPZDMEAO-UHFFFAOYSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)NC[C@H]1C[C@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001573520626 946602393 /nfs/dbraw/zinc/60/23/93/946602393.db2.gz MULFUKSWLWKDTJ-MGCOHNPYSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)NC[C@H]1C[C@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001573520626 946602401 /nfs/dbraw/zinc/60/24/01/946602401.db2.gz MULFUKSWLWKDTJ-MGCOHNPYSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)NCC[C@@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001573520918 946602457 /nfs/dbraw/zinc/60/24/57/946602457.db2.gz PRIZTHZRYPQUIT-MRVPVSSYSA-N -1 1 333.356 -0.901 20 0 EBADMM CC(=O)NCC(=O)NCC[C@@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001573520918 946602462 /nfs/dbraw/zinc/60/24/62/946602462.db2.gz PRIZTHZRYPQUIT-MRVPVSSYSA-N -1 1 333.356 -0.901 20 0 EBADMM CC(=O)NC[C@H]1CN(C(=O)c2csc(-c3nn[n-]n3)c2)CCO1 ZINC001573529165 946671268 /nfs/dbraw/zinc/67/12/68/946671268.db2.gz LUCPDXAFAKRJAR-JTQLQIEISA-N -1 1 336.377 -0.095 20 0 EBADMM CC(=O)NC[C@]1(C)CN(c2nc(C)cc(-c3nnn[n-]3)n2)CCO1 ZINC001573530749 946703534 /nfs/dbraw/zinc/70/35/34/946703534.db2.gz XZSBKDNPOVOMCI-CQSZACIVSA-N -1 1 332.368 -0.303 20 0 EBADMM CC(=O)NC[C@]1(C)CN(c2nc(C)cc(-c3nn[n-]n3)n2)CCO1 ZINC001573530749 946703541 /nfs/dbraw/zinc/70/35/41/946703541.db2.gz XZSBKDNPOVOMCI-CQSZACIVSA-N -1 1 332.368 -0.303 20 0 EBADMM C=C/C=C/CCNC(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001573314932 947241342 /nfs/dbraw/zinc/24/13/42/947241342.db2.gz HZGCHIDWBYJLAA-ONEGZZNKSA-N -1 1 331.336 -0.232 20 0 EBADMM C[C@H](C(=O)N1CC[C@H](c2n[nH]cc2N)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573622478 947680313 /nfs/dbraw/zinc/68/03/13/947680313.db2.gz ACRBNDNFQDQUAR-SFYZADRCSA-N -1 1 343.355 -0.659 20 0 EBADMM CC(=O)CCCC(=O)NC[C@@H](O)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001573380328 947857697 /nfs/dbraw/zinc/85/76/97/947857697.db2.gz BBCHWEYSASLHBF-JTQLQIEISA-N -1 1 348.367 -0.695 20 0 EBADMM CC(=O)CCCC(=O)NC[C@@H](O)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001573380328 947857704 /nfs/dbraw/zinc/85/77/04/947857704.db2.gz BBCHWEYSASLHBF-JTQLQIEISA-N -1 1 348.367 -0.695 20 0 EBADMM C[C@@H](C(=O)N1CCc2nccnc2C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573647838 947904289 /nfs/dbraw/zinc/90/42/89/947904289.db2.gz UJAWCTHMKJFEPT-QMMMGPOBSA-N -1 1 326.324 -0.606 20 0 EBADMM CC(=O)N(C)CC(=O)N1CC[C@@H](Nc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001573391437 947936382 /nfs/dbraw/zinc/93/63/82/947936382.db2.gz IFTWROVELHGRAR-SNVBAGLBSA-N -1 1 345.367 -0.852 20 0 EBADMM CC(=O)N(C)CC(=O)N1CC[C@@H](Nc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001573391437 947936389 /nfs/dbraw/zinc/93/63/89/947936389.db2.gz IFTWROVELHGRAR-SNVBAGLBSA-N -1 1 345.367 -0.852 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)[C@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001573399597 947993799 /nfs/dbraw/zinc/99/37/99/947993799.db2.gz XGXOAFPNTNLSBV-IUCAKERBSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)[C@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001573399597 947993806 /nfs/dbraw/zinc/99/38/06/947993806.db2.gz XGXOAFPNTNLSBV-IUCAKERBSA-N -1 1 347.383 -0.560 20 0 EBADMM C[C@H](C(=O)N[C@@H](CO)c1cccnc1)n1cnc(-c2nn[n-]n2)n1 ZINC001573657022 947997507 /nfs/dbraw/zinc/99/75/07/947997507.db2.gz JSZPNLVNZIMSCG-SCZZXKLOSA-N -1 1 329.324 -0.736 20 0 EBADMM CC(=O)N1C[C@@H](C)O[C@]2(CCN(c3cnc(-c4nnn[n-]4)cn3)C2)C1 ZINC001573427262 948164196 /nfs/dbraw/zinc/16/41/96/948164196.db2.gz WNJKKPZSXXEBKE-BMIGLBTASA-N -1 1 344.379 -0.127 20 0 EBADMM CC(=O)N1C[C@@H](C)O[C@]2(CCN(c3cnc(-c4nn[n-]n4)cn3)C2)C1 ZINC001573427262 948164201 /nfs/dbraw/zinc/16/42/01/948164201.db2.gz WNJKKPZSXXEBKE-BMIGLBTASA-N -1 1 344.379 -0.127 20 0 EBADMM C[C@H](C(=O)NC[C@H](CO)Nc1cncc(-c2nnn[n-]2)n1)C1CC1 ZINC001573681872 948217719 /nfs/dbraw/zinc/21/77/19/948217719.db2.gz YGQFRBMKBMLNPB-WCBMZHEXSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@H](C(=O)NC[C@H](CO)Nc1cncc(-c2nn[n-]n2)n1)C1CC1 ZINC001573681872 948217725 /nfs/dbraw/zinc/21/77/25/948217725.db2.gz YGQFRBMKBMLNPB-WCBMZHEXSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@@H](C(=O)NC[C@H](O)CNc1cnc(-c2nnn[n-]2)cn1)C1CCC1 ZINC001573694421 948294148 /nfs/dbraw/zinc/29/41/48/948294148.db2.gz VJUPVRYALWNNFX-MWLCHTKSSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](C(=O)NC[C@H](O)CNc1cnc(-c2nn[n-]n2)cn1)C1CCC1 ZINC001573694421 948294156 /nfs/dbraw/zinc/29/41/56/948294156.db2.gz VJUPVRYALWNNFX-MWLCHTKSSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](C(=O)NC[C@H](O)CNc1ccnc(-c2nn[n-]n2)n1)C1CCC1 ZINC001573695043 948299719 /nfs/dbraw/zinc/29/97/19/948299719.db2.gz WQTLGRQPSIDBHJ-MWLCHTKSSA-N -1 1 346.395 -0.596 20 0 EBADMM C[C@@H](C(=O)NCC[C@H]1CC(=O)N(C)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573705095 948392031 /nfs/dbraw/zinc/39/20/31/948392031.db2.gz CQWBOBLBSPBUMP-IUCAKERBSA-N -1 1 333.356 -0.996 20 0 EBADMM C[C@H](C(=O)NCCN(C)c1cncc(-c2nnn[n-]2)n1)n1cncn1 ZINC001573720148 948531244 /nfs/dbraw/zinc/53/12/44/948531244.db2.gz PFRHWRWTVXDNLA-SECBINFHSA-N -1 1 343.355 -0.938 20 0 EBADMM C[C@H](C(=O)NCCN(C)c1cncc(-c2nn[n-]n2)n1)n1cncn1 ZINC001573720148 948531253 /nfs/dbraw/zinc/53/12/53/948531253.db2.gz PFRHWRWTVXDNLA-SECBINFHSA-N -1 1 343.355 -0.938 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CN(c2nccnc2-c2nnn[n-]2)C[C@H]1O)C1CC1 ZINC001573739439 948619232 /nfs/dbraw/zinc/61/92/32/948619232.db2.gz CNJSJRHQOIBUKF-JMJZKYOTSA-N -1 1 344.379 -0.632 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CN(c2nccnc2-c2nn[n-]n2)C[C@H]1O)C1CC1 ZINC001573739439 948619237 /nfs/dbraw/zinc/61/92/37/948619237.db2.gz CNJSJRHQOIBUKF-JMJZKYOTSA-N -1 1 344.379 -0.632 20 0 EBADMM C[C@H](CNc1nccnc1-c1nnn[n-]1)NC(=O)[C@H](C)n1cncn1 ZINC001573741558 948655992 /nfs/dbraw/zinc/65/59/92/948655992.db2.gz CQVKWQCYRSMVID-BDAKNGLRSA-N -1 1 343.355 -0.574 20 0 EBADMM C[C@H](CNc1nccnc1-c1nn[n-]n1)NC(=O)[C@H](C)n1cncn1 ZINC001573741558 948655998 /nfs/dbraw/zinc/65/59/98/948655998.db2.gz CQVKWQCYRSMVID-BDAKNGLRSA-N -1 1 343.355 -0.574 20 0 EBADMM O=C(C[C@H]1CCCS(=O)(=O)C1)Nc1n[nH]cc1-c1nnn[n-]1 ZINC001570925207 948663698 /nfs/dbraw/zinc/66/36/98/948663698.db2.gz BMCXLPBPVYIPLI-SSDOTTSWSA-N -1 1 325.354 -0.257 20 0 EBADMM O=C(C[C@H]1CCCS(=O)(=O)C1)Nc1n[nH]cc1-c1nn[n-]n1 ZINC001570925207 948663699 /nfs/dbraw/zinc/66/36/99/948663699.db2.gz BMCXLPBPVYIPLI-SSDOTTSWSA-N -1 1 325.354 -0.257 20 0 EBADMM Cc1nn(C)c(NC(=O)CCn2cnccc2=O)c1-c1nnn[n-]1 ZINC001570926443 948690126 /nfs/dbraw/zinc/69/01/26/948690126.db2.gz YWKNMTFURQGERO-UHFFFAOYSA-N -1 1 329.324 -0.506 20 0 EBADMM Cc1nn(C)c(NC(=O)CCn2cnccc2=O)c1-c1nn[n-]n1 ZINC001570926443 948690129 /nfs/dbraw/zinc/69/01/29/948690129.db2.gz YWKNMTFURQGERO-UHFFFAOYSA-N -1 1 329.324 -0.506 20 0 EBADMM Cc1cncnc1N1CC(n2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)C1 ZINC001570926571 948692316 /nfs/dbraw/zinc/69/23/16/948692316.db2.gz ADOIIXVOYMBUFD-UHFFFAOYSA-N -1 1 327.308 -0.711 20 0 EBADMM O=c1c(-c2nn[n-]n2)cn(CCO)c(=O)n1Cc1ccccc1F ZINC001570928051 948724836 /nfs/dbraw/zinc/72/48/36/948724836.db2.gz CYVFDHZHOHTHRC-UHFFFAOYSA-N -1 1 332.295 -0.630 20 0 EBADMM O=C(Nc1cccc(-c2nnn[n-]2)n1)N1CC2(C1)CCNC(=O)O2 ZINC001570928116 948727359 /nfs/dbraw/zinc/72/73/59/948727359.db2.gz NBUHHQAYDAYOTM-UHFFFAOYSA-N -1 1 330.308 -0.022 20 0 EBADMM O=C(Nc1cccc(-c2nn[n-]n2)n1)N1CC2(C1)CCNC(=O)O2 ZINC001570928116 948727365 /nfs/dbraw/zinc/72/73/65/948727365.db2.gz NBUHHQAYDAYOTM-UHFFFAOYSA-N -1 1 330.308 -0.022 20 0 EBADMM O=C(N[C@H]1CCN(c2cnc(-c3nnn[n-]3)cn2)C1)[C@@H]1CCCO1 ZINC001570928540 948735237 /nfs/dbraw/zinc/73/52/37/948735237.db2.gz RPUOPYODNWJJBJ-ONGXEEELSA-N -1 1 330.352 -0.469 20 0 EBADMM O=C(N[C@H]1CCN(c2cnc(-c3nn[n-]n3)cn2)C1)[C@@H]1CCCO1 ZINC001570928540 948735240 /nfs/dbraw/zinc/73/52/40/948735240.db2.gz RPUOPYODNWJJBJ-ONGXEEELSA-N -1 1 330.352 -0.469 20 0 EBADMM O=C(Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)NC1(C2CCC2)CC1 ZINC001570928718 948739550 /nfs/dbraw/zinc/73/95/50/948739550.db2.gz ASOSVVPAWWJUTP-UHFFFAOYSA-N -1 1 331.336 -0.422 20 0 EBADMM CC(=O)N[C@@H]1CN(c2snc(Cl)c2-c2nnn[n-]2)C[C@@H]1O ZINC001570929017 948750460 /nfs/dbraw/zinc/75/04/60/948750460.db2.gz YUWQVPPUAJBVAJ-RITPCOANSA-N -1 1 329.773 -0.338 20 0 EBADMM CC(=O)N[C@@H]1CN(c2snc(Cl)c2-c2nn[n-]n2)C[C@@H]1O ZINC001570929017 948750471 /nfs/dbraw/zinc/75/04/71/948750471.db2.gz YUWQVPPUAJBVAJ-RITPCOANSA-N -1 1 329.773 -0.338 20 0 EBADMM C[C@H](CCNc1cnc(-c2nnn[n-]2)cn1)NC(=O)[C@]12C[C@H]1COC2 ZINC001574678612 948752627 /nfs/dbraw/zinc/75/26/27/948752627.db2.gz HIUUURPZOQIBLM-FTGAXOIBSA-N -1 1 344.379 0.000 20 0 EBADMM C[C@H](CCNc1cnc(-c2nn[n-]n2)cn1)NC(=O)[C@]12C[C@H]1COC2 ZINC001574678612 948752643 /nfs/dbraw/zinc/75/26/43/948752643.db2.gz HIUUURPZOQIBLM-FTGAXOIBSA-N -1 1 344.379 0.000 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)[C@@H]1CCNC1=O ZINC001574678978 948762896 /nfs/dbraw/zinc/76/28/96/948762896.db2.gz LFTMDRKQJMAKGG-DTWKUNHWSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)[C@@H]1CCNC1=O ZINC001574678978 948762902 /nfs/dbraw/zinc/76/29/02/948762902.db2.gz LFTMDRKQJMAKGG-DTWKUNHWSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)[C@@H]1CCNC1=O ZINC001574678980 948763804 /nfs/dbraw/zinc/76/38/04/948763804.db2.gz LFTMDRKQJMAKGG-RKDXNWHRSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)[C@@H]1CCNC1=O ZINC001574678980 948763817 /nfs/dbraw/zinc/76/38/17/948763817.db2.gz LFTMDRKQJMAKGG-RKDXNWHRSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(C)[C@@H](O)C1(CNc2[nH]c(=O)n(C)c(=O)c2-c2nn[n-]n2)CC1 ZINC001574079560 948771851 /nfs/dbraw/zinc/77/18/51/948771851.db2.gz KDZSELUWFWMKSU-SECBINFHSA-N -1 1 335.368 -0.125 20 0 EBADMM C[C@@H](CNC(=O)CCc1cn[nH]c1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574916546 948779327 /nfs/dbraw/zinc/77/93/27/948779327.db2.gz DCVWPQZZHNNKOK-VIFPVBQESA-N -1 1 342.367 -0.071 20 0 EBADMM C[C@@H](CNC(=O)CCc1cn[nH]c1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574916546 948779339 /nfs/dbraw/zinc/77/93/39/948779339.db2.gz DCVWPQZZHNNKOK-VIFPVBQESA-N -1 1 342.367 -0.071 20 0 EBADMM CC(C)C(=O)N[C@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C[C@@H]1O ZINC001570930979 948832556 /nfs/dbraw/zinc/83/25/56/948832556.db2.gz RGRCBJMZVABAPU-ONGXEEELSA-N -1 1 332.368 -0.632 20 0 EBADMM CC(C)C(=O)N[C@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C[C@@H]1O ZINC001570930979 948832573 /nfs/dbraw/zinc/83/25/73/948832573.db2.gz RGRCBJMZVABAPU-ONGXEEELSA-N -1 1 332.368 -0.632 20 0 EBADMM C[C@@H](C(=O)Nc1n[nH]c2c1COCC2)n1cnc(-c2nn[n-]n2)n1 ZINC001573757307 948834287 /nfs/dbraw/zinc/83/42/87/948834287.db2.gz ZRSFTTMVCWHYGP-LURJTMIESA-N -1 1 330.312 -0.546 20 0 EBADMM CO[C@@H](C)C(=O)N1C[C@@H](C)[C@H](Nc2cncc(-c3nnn[n-]3)n2)C1 ZINC001570931171 948835376 /nfs/dbraw/zinc/83/53/76/948835376.db2.gz SWMMWNHJQQGYPU-WCABBAIRSA-N -1 1 332.368 -0.050 20 0 EBADMM CO[C@@H](C)C(=O)N1C[C@@H](C)[C@H](Nc2cncc(-c3nn[n-]n3)n2)C1 ZINC001570931171 948835392 /nfs/dbraw/zinc/83/53/92/948835392.db2.gz SWMMWNHJQQGYPU-WCABBAIRSA-N -1 1 332.368 -0.050 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)Cn1ccnc1 ZINC001574683360 948848575 /nfs/dbraw/zinc/84/85/75/948848575.db2.gz YIJANCLZSSTDBG-JTQLQIEISA-N -1 1 342.367 -0.140 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)Cn1ccnc1 ZINC001574683360 948848588 /nfs/dbraw/zinc/84/85/88/948848588.db2.gz YIJANCLZSSTDBG-JTQLQIEISA-N -1 1 342.367 -0.140 20 0 EBADMM CC(C)c1coc(NC(=O)Cn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)n1 ZINC001574424587 948857099 /nfs/dbraw/zinc/85/70/99/948857099.db2.gz QZCZCOMXWYTQIQ-UHFFFAOYSA-N -1 1 346.307 -0.121 20 0 EBADMM COc1nscc1Cn1c(=O)c(-c2nn[n-]n2)c(C)n(C)c1=O ZINC001570933114 948874329 /nfs/dbraw/zinc/87/43/29/948874329.db2.gz FEZYKCSBKGPIAD-UHFFFAOYSA-N -1 1 335.349 -0.451 20 0 EBADMM CC(F)(F)CC(=O)NC[C@@H](CO)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001575233067 948877150 /nfs/dbraw/zinc/87/71/50/948877150.db2.gz GNPFPIWXAHJNSF-ZETCQYMHSA-N -1 1 342.310 -0.409 20 0 EBADMM CC(F)(F)CC(=O)NC[C@@H](CO)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575233067 948877166 /nfs/dbraw/zinc/87/71/66/948877166.db2.gz GNPFPIWXAHJNSF-ZETCQYMHSA-N -1 1 342.310 -0.409 20 0 EBADMM COC(=O)[C@@H]1O[C@@H](C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C[C@H]1C ZINC001570933613 948901539 /nfs/dbraw/zinc/90/15/39/948901539.db2.gz RHVLHJSOOQYWLT-FTLITQJKSA-N -1 1 335.324 -0.495 20 0 EBADMM COC(=O)[C@@H]1O[C@@H](C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C[C@H]1C ZINC001570933613 948901547 /nfs/dbraw/zinc/90/15/47/948901547.db2.gz RHVLHJSOOQYWLT-FTLITQJKSA-N -1 1 335.324 -0.495 20 0 EBADMM O=C(c1ccncn1)N1CC[C@@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001570935783 948995656 /nfs/dbraw/zinc/99/56/56/948995656.db2.gz QFPOGDLJKYCCAP-SECBINFHSA-N -1 1 338.335 -0.227 20 0 EBADMM O=C(c1ccncn1)N1CC[C@@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001570935783 948995662 /nfs/dbraw/zinc/99/56/62/948995662.db2.gz QFPOGDLJKYCCAP-SECBINFHSA-N -1 1 338.335 -0.227 20 0 EBADMM CCOC(=O)[C@@H]1CCN(C(=O)[C@H](C)n2cnc(-c3nn[n-]n3)n2)C1 ZINC001570935951 949007115 /nfs/dbraw/zinc/00/71/15/949007115.db2.gz WQPXTJJNYDLTFK-DTWKUNHWSA-N -1 1 334.340 -0.569 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)[C@H](C)CC(N)=O ZINC001574690730 949008813 /nfs/dbraw/zinc/00/88/13/949008813.db2.gz QQEUAHDPNAHXQC-RKDXNWHRSA-N -1 1 347.383 -0.525 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)[C@H](C)CC(N)=O ZINC001574690730 949008832 /nfs/dbraw/zinc/00/88/32/949008832.db2.gz QQEUAHDPNAHXQC-RKDXNWHRSA-N -1 1 347.383 -0.525 20 0 EBADMM O=C(c1ccncn1)N1CCN(c2ccnc(-c3nn[n-]n3)n2)CC1 ZINC001570936065 949009848 /nfs/dbraw/zinc/00/98/48/949009848.db2.gz TXVGTTYLSNJVIP-UHFFFAOYSA-N -1 1 338.335 -0.591 20 0 EBADMM C[C@@H](F)CCNC(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001575245487 949024602 /nfs/dbraw/zinc/02/46/02/949024602.db2.gz CJAIEGKQGCZCBJ-SSDOTTSWSA-N -1 1 340.319 -0.824 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)[C@H]1COCCO1 ZINC001574691929 949029884 /nfs/dbraw/zinc/02/98/84/949029884.db2.gz ZJDGVFMVIFAAAI-NXEZZACHSA-N -1 1 348.367 -0.621 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)[C@H]1COCCO1 ZINC001574691929 949029905 /nfs/dbraw/zinc/02/99/05/949029905.db2.gz ZJDGVFMVIFAAAI-NXEZZACHSA-N -1 1 348.367 -0.621 20 0 EBADMM C[C@@H](CNC(=O)CO[C@@H]1CCOC1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574929299 949088595 /nfs/dbraw/zinc/08/85/95/949088595.db2.gz CLZWCEVMGBSQTR-VHSXEESVSA-N -1 1 348.367 -0.621 20 0 EBADMM C[C@@H](CNC(=O)CO[C@@H]1CCOC1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574929299 949088616 /nfs/dbraw/zinc/08/86/16/949088616.db2.gz CLZWCEVMGBSQTR-VHSXEESVSA-N -1 1 348.367 -0.621 20 0 EBADMM O=C(Cc1cc[nH]n1)N[C@H]1CCN(c2nccnc2-c2nnn[n-]2)C1 ZINC001570937903 949089749 /nfs/dbraw/zinc/08/97/49/949089749.db2.gz LNPUZIVQFNDNMO-JTQLQIEISA-N -1 1 340.351 -0.683 20 0 EBADMM O=C(Cc1cc[nH]n1)N[C@H]1CCN(c2nccnc2-c2nn[n-]n2)C1 ZINC001570937903 949089770 /nfs/dbraw/zinc/08/97/70/949089770.db2.gz LNPUZIVQFNDNMO-JTQLQIEISA-N -1 1 340.351 -0.683 20 0 EBADMM C[C@@H](CN(C)C(=O)C(C)(C)C(N)=O)Nc1nccnc1-c1nnn[n-]1 ZINC001574694741 949092954 /nfs/dbraw/zinc/09/29/54/949092954.db2.gz VVTDORAWCHWVKO-QMMMGPOBSA-N -1 1 347.383 -0.573 20 0 EBADMM C[C@@H](CN(C)C(=O)C(C)(C)C(N)=O)Nc1nccnc1-c1nn[n-]n1 ZINC001574694741 949092969 /nfs/dbraw/zinc/09/29/69/949092969.db2.gz VVTDORAWCHWVKO-QMMMGPOBSA-N -1 1 347.383 -0.573 20 0 EBADMM Cc1ncc(C(=O)N[C@@H]2CCN(c3cnc(-c4nn[n-]n4)cn3)C2)[nH]1 ZINC001570938683 949113442 /nfs/dbraw/zinc/11/34/42/949113442.db2.gz XHBUWYZIKXNSOR-SECBINFHSA-N -1 1 340.351 -0.303 20 0 EBADMM C[C@@H](CNC(=O)C[C@H]1CCOC1)Nc1nccnc1-c1nnn[n-]1 ZINC001574936288 949215426 /nfs/dbraw/zinc/21/54/26/949215426.db2.gz JHWGKROURPMGHQ-VHSXEESVSA-N -1 1 332.368 0.000 20 0 EBADMM C[C@@H](CNC(=O)C[C@H]1CCOC1)Nc1nccnc1-c1nn[n-]n1 ZINC001574936288 949215448 /nfs/dbraw/zinc/21/54/48/949215448.db2.gz JHWGKROURPMGHQ-VHSXEESVSA-N -1 1 332.368 0.000 20 0 EBADMM C[C@@H](CNC(=O)C[C@H]1CCOC1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574937238 949218692 /nfs/dbraw/zinc/21/86/92/949218692.db2.gz NEQSVXOPMLWXDE-VHSXEESVSA-N -1 1 332.368 0.000 20 0 EBADMM C[C@@H](CNC(=O)C[C@H]1CCOC1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574937238 949218708 /nfs/dbraw/zinc/21/87/08/949218708.db2.gz NEQSVXOPMLWXDE-VHSXEESVSA-N -1 1 332.368 0.000 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@@H](Nc3cnc(-c4nnn[n-]4)cn3)C2)n1 ZINC001570941607 949234128 /nfs/dbraw/zinc/23/41/28/949234128.db2.gz YBSJFXPSNSHZQB-MRVPVSSYSA-N -1 1 341.339 -0.888 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@@H](Nc3cnc(-c4nn[n-]n4)cn3)C2)n1 ZINC001570941607 949234153 /nfs/dbraw/zinc/23/41/53/949234153.db2.gz YBSJFXPSNSHZQB-MRVPVSSYSA-N -1 1 341.339 -0.888 20 0 EBADMM Cc1cc(-c2nn[nH]n2)ccc1C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001570942217 949274673 /nfs/dbraw/zinc/27/46/73/949274673.db2.gz MXNHMFVUOYILTN-LLVKDONJSA-N -1 1 341.335 -0.098 20 0 EBADMM C[C@@H](NC(=O)C1=COCCO1)[C@@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575258256 949295018 /nfs/dbraw/zinc/29/50/18/949295018.db2.gz GEZXCFVPWYCDGF-RKDXNWHRSA-N -1 1 346.351 -0.150 20 0 EBADMM C[C@@H](NC(=O)C1=COCCO1)[C@@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575258256 949295036 /nfs/dbraw/zinc/29/50/36/949295036.db2.gz GEZXCFVPWYCDGF-RKDXNWHRSA-N -1 1 346.351 -0.150 20 0 EBADMM C[C@@H](CNC(=O)Cc1cc[nH]n1)Nc1nccnc1-c1nnn[n-]1 ZINC001574941703 949318422 /nfs/dbraw/zinc/31/84/22/949318422.db2.gz GIPUYISCJFXHGJ-QMMMGPOBSA-N -1 1 328.340 -0.461 20 0 EBADMM C[C@@H](CNC(=O)Cc1cc[nH]n1)Nc1nccnc1-c1nn[n-]n1 ZINC001574941703 949318445 /nfs/dbraw/zinc/31/84/45/949318445.db2.gz GIPUYISCJFXHGJ-QMMMGPOBSA-N -1 1 328.340 -0.461 20 0 EBADMM CC1=C(C(=O)Nc2n[nH]cc2-c2nnn[n-]2)[C@@H](C)n2nnnc2N1C ZINC001575861197 949318488 /nfs/dbraw/zinc/31/84/88/949318488.db2.gz PGCUGKIDVKKXOA-ZCFIWIBFSA-N -1 1 342.327 -0.500 20 0 EBADMM CC1=C(C(=O)Nc2n[nH]cc2-c2nn[n-]n2)[C@@H](C)n2nnnc2N1C ZINC001575861197 949318508 /nfs/dbraw/zinc/31/85/08/949318508.db2.gz PGCUGKIDVKKXOA-ZCFIWIBFSA-N -1 1 342.327 -0.500 20 0 EBADMM CC1=C(C(=O)Nc2n[nH]cc2-c2nnn[n-]2)[C@H](C)n2nnnc2N1C ZINC001575861196 949319465 /nfs/dbraw/zinc/31/94/65/949319465.db2.gz PGCUGKIDVKKXOA-LURJTMIESA-N -1 1 342.327 -0.500 20 0 EBADMM CC1=C(C(=O)Nc2n[nH]cc2-c2nn[n-]n2)[C@H](C)n2nnnc2N1C ZINC001575861196 949319498 /nfs/dbraw/zinc/31/94/98/949319498.db2.gz PGCUGKIDVKKXOA-LURJTMIESA-N -1 1 342.327 -0.500 20 0 EBADMM CC(C)(C)C(=O)N[C@H]1CCN(c2cnc(-c3nnn[n-]3)cn2)C[C@@H]1O ZINC001573798409 949352132 /nfs/dbraw/zinc/35/21/32/949352132.db2.gz BCIOKOOSCHNKJX-ONGXEEELSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)(C)C(=O)N[C@H]1CCN(c2cnc(-c3nn[n-]n3)cn2)C[C@@H]1O ZINC001573798409 949352153 /nfs/dbraw/zinc/35/21/53/949352153.db2.gz BCIOKOOSCHNKJX-ONGXEEELSA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@@H](CNC(=O)Cc1cc[nH]n1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001574942434 949354333 /nfs/dbraw/zinc/35/43/33/949354333.db2.gz OFJXIZGLBGBUQU-VIFPVBQESA-N -1 1 342.367 -0.437 20 0 EBADMM C[C@H](CNC(=O)Cc1cc[nH]n1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001574942707 949365766 /nfs/dbraw/zinc/36/57/66/949365766.db2.gz RLEUDSJRXRDBOR-VIFPVBQESA-N -1 1 342.367 -0.213 20 0 EBADMM C[C@H](CNC(=O)Cc1cc[nH]n1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001574942707 949365790 /nfs/dbraw/zinc/36/57/90/949365790.db2.gz RLEUDSJRXRDBOR-VIFPVBQESA-N -1 1 342.367 -0.213 20 0 EBADMM C[C@H](CNC(=O)Cc1ccn(C)n1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574943354 949373623 /nfs/dbraw/zinc/37/36/23/949373623.db2.gz WQJUWDBQRKCINW-SECBINFHSA-N -1 1 342.367 -0.451 20 0 EBADMM C[C@H](CNC(=O)Cc1ccn(C)n1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574943354 949373643 /nfs/dbraw/zinc/37/36/43/949373643.db2.gz WQJUWDBQRKCINW-SECBINFHSA-N -1 1 342.367 -0.451 20 0 EBADMM C[C@@H](CNC(=O)Cc1ccon1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001574943298 949378246 /nfs/dbraw/zinc/37/82/46/949378246.db2.gz VTSHQDKSYQDIRN-VIFPVBQESA-N -1 1 343.351 -0.172 20 0 EBADMM C[C@@H](CNC(=O)Cc1ccon1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001574943298 949378269 /nfs/dbraw/zinc/37/82/69/949378269.db2.gz VTSHQDKSYQDIRN-VIFPVBQESA-N -1 1 343.351 -0.172 20 0 EBADMM CC(C)(C)C(=O)N[C@@H]1CCN(c2nccnc2-c2nnn[n-]2)C[C@H]1O ZINC001573799944 949384183 /nfs/dbraw/zinc/38/41/83/949384183.db2.gz RQQMUBZYZFHBST-NXEZZACHSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)(C)C(=O)N[C@@H]1CCN(c2nccnc2-c2nn[n-]n2)C[C@H]1O ZINC001573799944 949384207 /nfs/dbraw/zinc/38/42/07/949384207.db2.gz RQQMUBZYZFHBST-NXEZZACHSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)(C)C(=O)N[C@@H]1CCN(c2nccnc2-c2nnn[n-]2)C[C@@H]1O ZINC001573799947 949385575 /nfs/dbraw/zinc/38/55/75/949385575.db2.gz RQQMUBZYZFHBST-ZJUUUORDSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)(C)C(=O)N[C@@H]1CCN(c2nccnc2-c2nn[n-]n2)C[C@@H]1O ZINC001573799947 949385598 /nfs/dbraw/zinc/38/55/98/949385598.db2.gz RQQMUBZYZFHBST-ZJUUUORDSA-N -1 1 346.395 -0.241 20 0 EBADMM O=C(CCc1ccoc1)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570944024 949399534 /nfs/dbraw/zinc/39/95/34/949399534.db2.gz CHCWTOBIHCMOOP-UHFFFAOYSA-N -1 1 345.319 -0.529 20 0 EBADMM C[C@@H](CNC(=O)Cc1cnoc1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001574944874 949401631 /nfs/dbraw/zinc/40/16/31/949401631.db2.gz HQJYQIGKBAHAPY-VIFPVBQESA-N -1 1 343.351 -0.172 20 0 EBADMM C[C@@H](CNC(=O)Cc1cnoc1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001574944874 949401643 /nfs/dbraw/zinc/40/16/43/949401643.db2.gz HQJYQIGKBAHAPY-VIFPVBQESA-N -1 1 343.351 -0.172 20 0 EBADMM C[C@H](CN(C)C(=O)C1=CCOCC1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574705079 949413213 /nfs/dbraw/zinc/41/32/13/949413213.db2.gz QZJBFALOMXUDGW-SNVBAGLBSA-N -1 1 344.379 -0.316 20 0 EBADMM C[C@@H]1CC=C(C(=O)NCCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)CC1 ZINC001570944323 949415026 /nfs/dbraw/zinc/41/50/26/949415026.db2.gz NBZLYQLGGKCRJH-SECBINFHSA-N -1 1 345.363 -0.008 20 0 EBADMM Cn1c(=O)[nH]c(N2CCOC[C@H]2c2ccco2)c(-c2nn[n-]n2)c1=O ZINC001570944337 949415601 /nfs/dbraw/zinc/41/56/01/949415601.db2.gz NGOYEYCPDMVEJA-QMMMGPOBSA-N -1 1 345.319 -0.575 20 0 EBADMM C[C@H]1CCC[C@H](C)N1NC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001576155735 949418599 /nfs/dbraw/zinc/41/85/99/949418599.db2.gz UYWVCVAORGWFGB-IUCAKERBSA-N -1 1 348.367 -0.577 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1CC1(F)F)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574705737 949433920 /nfs/dbraw/zinc/43/39/20/949433920.db2.gz UNKYRYAXXNUNGF-SFYZADRCSA-N -1 1 338.322 -0.007 20 0 EBADMM CC(C)CC(=O)N[C@H]1CCN(c2nccnc2-c2nnn[n-]2)C[C@H]1O ZINC001574116997 949443095 /nfs/dbraw/zinc/44/30/95/949443095.db2.gz IWZKNBIRUUDPLX-WDEREUQCSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)CC(=O)N[C@H]1CCN(c2nccnc2-c2nn[n-]n2)C[C@H]1O ZINC001574116997 949443108 /nfs/dbraw/zinc/44/31/08/949443108.db2.gz IWZKNBIRUUDPLX-WDEREUQCSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)CC(=O)N[C@H]1CCN(c2cncc(-c3nnn[n-]3)n2)C[C@@H]1O ZINC001574117078 949449119 /nfs/dbraw/zinc/44/91/19/949449119.db2.gz KZXKDTOVFAXGCJ-JQWIXIFHSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)CC(=O)N[C@H]1CCN(c2cncc(-c3nn[n-]n3)n2)C[C@@H]1O ZINC001574117078 949449134 /nfs/dbraw/zinc/44/91/34/949449134.db2.gz KZXKDTOVFAXGCJ-JQWIXIFHSA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@@H]1CCN(S(=O)(=O)c2ccc(-c3nn[n-]n3)o2)CC[S@]1=O ZINC001570944969 949450246 /nfs/dbraw/zinc/45/02/46/949450246.db2.gz IGBWUJKICNASCB-IJSAXESFSA-N -1 1 345.406 -0.009 20 0 EBADMM C[C@H](CNC(=O)Cn1cccn1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574949594 949499972 /nfs/dbraw/zinc/49/99/72/949499972.db2.gz YFKDUAQXFICFDL-SECBINFHSA-N -1 1 328.340 -0.530 20 0 EBADMM C[C@H](CNC(=O)Cn1cccn1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574949594 949499995 /nfs/dbraw/zinc/49/99/95/949499995.db2.gz YFKDUAQXFICFDL-SECBINFHSA-N -1 1 328.340 -0.530 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)NC[C@H](O)c1cnn(C)c1 ZINC001570945863 949510543 /nfs/dbraw/zinc/51/05/43/949510543.db2.gz JVXYPILOEGLWEP-JTQLQIEISA-N -1 1 344.335 -0.527 20 0 EBADMM C[C@@H](CNC(=O)[C@@H]1CCC(=O)N1)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001574949782 949510371 /nfs/dbraw/zinc/51/03/71/949510371.db2.gz DBTDASJWOVDDEK-BDAKNGLRSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@@H](CNC(=O)[C@@H]1CCC(=O)N1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001574949782 949510387 /nfs/dbraw/zinc/51/03/87/949510387.db2.gz DBTDASJWOVDDEK-BDAKNGLRSA-N -1 1 345.367 -0.901 20 0 EBADMM CN(C(=O)[C@@H]1CCOC1)[C@@H]1CCN(c2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570946198 949522967 /nfs/dbraw/zinc/52/29/67/949522967.db2.gz OEEFKJHAUXBXAQ-GHMZBOCLSA-N -1 1 344.379 -0.270 20 0 EBADMM CO[C@H](C)C(=O)N1CCCC[C@@H]1CNc1ccnc(-c2nn[n-]n2)n1 ZINC001570946135 949524359 /nfs/dbraw/zinc/52/43/59/949524359.db2.gz JXDUJPPWVCXKPO-GHMZBOCLSA-N -1 1 346.395 -0.094 20 0 EBADMM CC[C@@H](C)C(=O)N1CCO[C@@H](CNc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570946832 949546298 /nfs/dbraw/zinc/54/62/98/949546298.db2.gz RACWCNSBPMLWCP-MNOVXSKESA-N -1 1 346.395 -0.236 20 0 EBADMM C[C@H](CNC(=O)[C@@H]1CCC(=O)N1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001574951265 949552013 /nfs/dbraw/zinc/55/20/13/949552013.db2.gz YBTHGVAKPKMOOY-IUCAKERBSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@H](CNC(=O)[C@@H]1CCC(=O)N1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001574951265 949552033 /nfs/dbraw/zinc/55/20/33/949552033.db2.gz YBTHGVAKPKMOOY-IUCAKERBSA-N -1 1 345.367 -0.901 20 0 EBADMM CCC(=O)N1CC[C@]2(C1)CN(c1ccc(-c3nnn[n-]3)nn1)CCO2 ZINC001570947415 949572834 /nfs/dbraw/zinc/57/28/34/949572834.db2.gz ZBEAEUBCDYDZDU-OAHLLOKOSA-N -1 1 344.379 -0.126 20 0 EBADMM CCC(=O)N1CC[C@]2(C1)CN(c1ccc(-c3nn[n-]n3)nn1)CCO2 ZINC001570947415 949572860 /nfs/dbraw/zinc/57/28/60/949572860.db2.gz ZBEAEUBCDYDZDU-OAHLLOKOSA-N -1 1 344.379 -0.126 20 0 EBADMM CC(C)CC(=O)N[C@@H]1CN(c2cnc(-c3nnn[n-]3)cn2)C[C@H]1O ZINC001574124739 949579540 /nfs/dbraw/zinc/57/95/40/949579540.db2.gz LRNOTSGMSUJEIE-GHMZBOCLSA-N -1 1 332.368 -0.632 20 0 EBADMM CC(C)CC(=O)N[C@@H]1CN(c2cnc(-c3nn[n-]n3)cn2)C[C@H]1O ZINC001574124739 949579563 /nfs/dbraw/zinc/57/95/63/949579563.db2.gz LRNOTSGMSUJEIE-GHMZBOCLSA-N -1 1 332.368 -0.632 20 0 EBADMM Cc1onc(CC(=O)N[C@@H](CO)Cc2cnn(C)c2)c1-c1nnn[n-]1 ZINC001570947644 949580202 /nfs/dbraw/zinc/58/02/02/949580202.db2.gz XVLPDBQRMYFXOV-SNVBAGLBSA-N -1 1 346.351 -0.841 20 0 EBADMM Cc1onc(CC(=O)N[C@@H](CO)Cc2cnn(C)c2)c1-c1nn[n-]n1 ZINC001570947644 949580220 /nfs/dbraw/zinc/58/02/20/949580220.db2.gz XVLPDBQRMYFXOV-SNVBAGLBSA-N -1 1 346.351 -0.841 20 0 EBADMM C[C@@]1(C(=O)N2CCN(c3cncc(-c4nnn[n-]4)n3)CC2)CCOC1 ZINC001575548248 949664861 /nfs/dbraw/zinc/66/48/61/949664861.db2.gz VJQBZEKYFUDICW-OAHLLOKOSA-N -1 1 344.379 -0.268 20 0 EBADMM C[C@@]1(C(=O)N2CCN(c3cncc(-c4nn[n-]n4)n3)CC2)CCOC1 ZINC001575548248 949664881 /nfs/dbraw/zinc/66/48/81/949664881.db2.gz VJQBZEKYFUDICW-OAHLLOKOSA-N -1 1 344.379 -0.268 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CCCC(=O)N1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574964557 949779196 /nfs/dbraw/zinc/77/91/96/949779196.db2.gz YBCUYBRAVWAFFP-RKDXNWHRSA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CCCC(=O)N1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574964557 949779214 /nfs/dbraw/zinc/77/92/14/949779214.db2.gz YBCUYBRAVWAFFP-RKDXNWHRSA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CCCC(=O)N1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574964556 949783688 /nfs/dbraw/zinc/78/36/88/949783688.db2.gz YBCUYBRAVWAFFP-DTWKUNHWSA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CCCC(=O)N1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574964556 949783701 /nfs/dbraw/zinc/78/37/01/949783701.db2.gz YBCUYBRAVWAFFP-DTWKUNHWSA-N -1 1 345.367 -0.758 20 0 EBADMM CC(C)(C)/C=C/C(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001573825737 949894924 /nfs/dbraw/zinc/89/49/24/949894924.db2.gz BYCFCKOGQVRFOG-SNAWJCMRSA-N -1 1 333.352 -0.153 20 0 EBADMM C[C@]1(C(=O)N[C@@H]2CCN(c3nccnc3-c3nnn[n-]3)C2)CCOC1 ZINC001575569161 949912767 /nfs/dbraw/zinc/91/27/67/949912767.db2.gz HBXOGYJGGGNJLD-BMIGLBTASA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@]1(C(=O)N[C@@H]2CCN(c3nccnc3-c3nn[n-]n3)C2)CCOC1 ZINC001575569161 949912779 /nfs/dbraw/zinc/91/27/79/949912779.db2.gz HBXOGYJGGGNJLD-BMIGLBTASA-N -1 1 344.379 -0.222 20 0 EBADMM O=C([O-])C1=NO[C@@H](C(=O)N2CCN([C@H]3CCNC(=O)CC3)CC2)C1 ZINC001595285257 950011143 /nfs/dbraw/zinc/01/11/43/950011143.db2.gz IUGUINKOAXZDSC-ZYHUDNBSSA-N -1 1 338.364 -0.971 20 0 EBADMM C[C@H](CN(C)C(=O)Cc1nnc[nH]1)Nc1nccnc1-c1nn[n-]n1 ZINC001574730723 950037946 /nfs/dbraw/zinc/03/79/46/950037946.db2.gz BFCXMNDJBXBWFS-MRVPVSSYSA-N -1 1 343.355 -0.724 20 0 EBADMM C[C@H](CN(C)C(=O)Cn1cccn1)Nc1nccnc1-c1nnn[n-]1 ZINC001574733037 950091007 /nfs/dbraw/zinc/09/10/07/950091007.db2.gz QKROBBPITXTSOW-SNVBAGLBSA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@H](CN(C)C(=O)Cn1cccn1)Nc1nccnc1-c1nn[n-]n1 ZINC001574733037 950091028 /nfs/dbraw/zinc/09/10/28/950091028.db2.gz QKROBBPITXTSOW-SNVBAGLBSA-N -1 1 342.367 -0.188 20 0 EBADMM CC(C)[C@@H](O)C(=O)N(C)C[C@H](C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574733416 950110639 /nfs/dbraw/zinc/11/06/39/950110639.db2.gz AHINJDNAPCPYAD-JOYOIKCWSA-N -1 1 334.384 -0.068 20 0 EBADMM CC(C)[C@@H](O)C(=O)N(C)C[C@H](C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574733416 950110644 /nfs/dbraw/zinc/11/06/44/950110644.db2.gz AHINJDNAPCPYAD-JOYOIKCWSA-N -1 1 334.384 -0.068 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21)Nc1nccnc1-c1nnn[n-]1 ZINC001574733908 950123978 /nfs/dbraw/zinc/12/39/78/950123978.db2.gz GSBMXEABZGTVOQ-ZNSHCXBVSA-N -1 1 344.379 -0.192 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21)Nc1nccnc1-c1nn[n-]n1 ZINC001574733908 950123984 /nfs/dbraw/zinc/12/39/84/950123984.db2.gz GSBMXEABZGTVOQ-ZNSHCXBVSA-N -1 1 344.379 -0.192 20 0 EBADMM CC(C)(C)CC(=O)N[C@@H]1CN(c2ccc(-c3nnn[n-]3)nn2)C[C@H]1O ZINC001573839683 950134652 /nfs/dbraw/zinc/13/46/52/950134652.db2.gz VLZDVVUUNWXJRK-GHMZBOCLSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)(C)CC(=O)N[C@@H]1CN(c2ccc(-c3nn[n-]n3)nn2)C[C@H]1O ZINC001573839683 950134666 /nfs/dbraw/zinc/13/46/66/950134666.db2.gz VLZDVVUUNWXJRK-GHMZBOCLSA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1C[C@H]1C(N)=O)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574741573 950249729 /nfs/dbraw/zinc/24/97/29/950249729.db2.gz JJKRIMVSIUCYRY-HLTSFMKQSA-N -1 1 345.367 -0.963 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1C[C@H]1C(N)=O)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574741573 950249747 /nfs/dbraw/zinc/24/97/47/950249747.db2.gz JJKRIMVSIUCYRY-HLTSFMKQSA-N -1 1 345.367 -0.963 20 0 EBADMM CC(C)(C)NC(=O)[C@@H]1CCCN1C(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC001573855624 950386165 /nfs/dbraw/zinc/38/61/65/950386165.db2.gz YPBFDUCZLMVDBX-VIFPVBQESA-N -1 1 347.383 -0.636 20 0 EBADMM C[C@H](CN(C)C(=O)c1cc(-c2nn[nH]n2)c[nH]1)NCc1n[nH]c(=O)[n-]1 ZINC001574747192 950406039 /nfs/dbraw/zinc/40/60/39/950406039.db2.gz SCQANOIDNVKZPJ-SSDOTTSWSA-N -1 1 346.355 -0.731 20 0 EBADMM C[C@H](CN(C)C(=O)c1cn[nH]c1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574760630 950672765 /nfs/dbraw/zinc/67/27/65/950672765.db2.gz KINKJDDEMGBFJN-MRVPVSSYSA-N -1 1 328.340 -0.048 20 0 EBADMM C[C@H](CN(C)C(=O)c1cn[nH]c1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574760630 950672774 /nfs/dbraw/zinc/67/27/74/950672774.db2.gz KINKJDDEMGBFJN-MRVPVSSYSA-N -1 1 328.340 -0.048 20 0 EBADMM C[C@H](CNC(=O)c1cc[n+]([O-])cc1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575005568 950689437 /nfs/dbraw/zinc/68/94/37/950689437.db2.gz HWFQPCGULRNQEF-SECBINFHSA-N -1 1 341.335 -0.480 20 0 EBADMM C[C@H](CNC(=O)c1cc[n+]([O-])cc1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575005568 950689454 /nfs/dbraw/zinc/68/94/54/950689454.db2.gz HWFQPCGULRNQEF-SECBINFHSA-N -1 1 341.335 -0.480 20 0 EBADMM C[C@@H](CNC(=O)c1cc[n+]([O-])cc1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575005569 950689470 /nfs/dbraw/zinc/68/94/70/950689470.db2.gz HWFQPCGULRNQEF-VIFPVBQESA-N -1 1 341.335 -0.480 20 0 EBADMM C[C@@H](CNC(=O)c1cc[n+]([O-])cc1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575005569 950689480 /nfs/dbraw/zinc/68/94/80/950689480.db2.gz HWFQPCGULRNQEF-VIFPVBQESA-N -1 1 341.335 -0.480 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cncn1C)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574763628 950722578 /nfs/dbraw/zinc/72/25/78/950722578.db2.gz GYYVRLOFTXFGRY-VIFPVBQESA-N -1 1 342.367 -0.615 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cnnn1C)Nc1nccnc1-c1nnn[n-]1 ZINC001574764169 950742511 /nfs/dbraw/zinc/74/25/11/950742511.db2.gz QKTYDRDLSPHHMG-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cnnn1C)Nc1nccnc1-c1nn[n-]n1 ZINC001574764169 950742525 /nfs/dbraw/zinc/74/25/25/950742525.db2.gz QKTYDRDLSPHHMG-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cncn1C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574764516 950752943 /nfs/dbraw/zinc/75/29/43/950752943.db2.gz UUHKOUKQXVYYFI-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cncn1C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574764516 950752950 /nfs/dbraw/zinc/75/29/50/950752950.db2.gz UUHKOUKQXVYYFI-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM CC(C)(/C=C\Cl)NC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001573893771 950904412 /nfs/dbraw/zinc/90/44/12/950904412.db2.gz VOXZGWBBPPZJTJ-ARJAWSKDSA-N -1 1 339.743 -0.224 20 0 EBADMM C[C@H](CNC(=O)c1ccncn1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001575035259 951269827 /nfs/dbraw/zinc/26/98/27/951269827.db2.gz UXBDSLVCSGTLON-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@H](CNC(=O)c1ccncn1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575035259 951269835 /nfs/dbraw/zinc/26/98/35/951269835.db2.gz UXBDSLVCSGTLON-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@H](CNC(=O)c1ccns1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575039719 951335464 /nfs/dbraw/zinc/33/54/64/951335464.db2.gz SHDPJYPKVBHVLP-SSDOTTSWSA-N -1 1 331.365 -0.235 20 0 EBADMM C[C@H](CNC(=O)c1ccon1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575040023 951336006 /nfs/dbraw/zinc/33/60/06/951336006.db2.gz SXKNSWSQGLCDPK-MRVPVSSYSA-N -1 1 329.324 -0.101 20 0 EBADMM C[C@H](CNC(=O)c1ccon1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575040023 951336015 /nfs/dbraw/zinc/33/60/15/951336015.db2.gz SXKNSWSQGLCDPK-MRVPVSSYSA-N -1 1 329.324 -0.101 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)c(=O)[nH]1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575042627 951367915 /nfs/dbraw/zinc/36/79/15/951367915.db2.gz LIXZMOIEHWGKPB-SSDOTTSWSA-N -1 1 344.339 -0.674 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)cn1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575043090 951380661 /nfs/dbraw/zinc/38/06/61/951380661.db2.gz OPXFVMNMSKZSJS-MRVPVSSYSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)cn1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575043090 951380675 /nfs/dbraw/zinc/38/06/75/951380675.db2.gz OPXFVMNMSKZSJS-MRVPVSSYSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@@H](CNC(=O)c1cncn1C)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001575046721 951444920 /nfs/dbraw/zinc/44/49/20/951444920.db2.gz RLAOGHKJQXVXES-SECBINFHSA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@@H](CNC(=O)c1cncn1C)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575046721 951444925 /nfs/dbraw/zinc/44/49/25/951444925.db2.gz RLAOGHKJQXVXES-SECBINFHSA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@@H](CNC(=O)c1cncnc1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575047030 951453316 /nfs/dbraw/zinc/45/33/16/951453316.db2.gz XNZUNGGJFRGLLL-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@@H](CNC(=O)c1cncnc1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575047030 951453321 /nfs/dbraw/zinc/45/33/21/951453321.db2.gz XNZUNGGJFRGLLL-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1cnns1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575053417 951478585 /nfs/dbraw/zinc/47/85/85/951478585.db2.gz CKEAHSWPLBXLIM-SSDOTTSWSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@H](CNC(=O)c1cnns1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575053417 951478594 /nfs/dbraw/zinc/47/85/94/951478594.db2.gz CKEAHSWPLBXLIM-SSDOTTSWSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@@H](CNC(=O)c1cnns1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575055295 951501584 /nfs/dbraw/zinc/50/15/84/951501584.db2.gz PUBXZQMXNVWPCG-SSDOTTSWSA-N -1 1 346.380 -0.592 20 0 EBADMM C[C@H](CNC(=O)c1cnon1)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001575055599 951509834 /nfs/dbraw/zinc/50/98/34/951509834.db2.gz XZUSGJYHNNCXAA-ZETCQYMHSA-N -1 1 330.312 -0.482 20 0 EBADMM C[C@H](CNC(=O)c1cnon1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001575055599 951509840 /nfs/dbraw/zinc/50/98/40/951509840.db2.gz XZUSGJYHNNCXAA-ZETCQYMHSA-N -1 1 330.312 -0.482 20 0 EBADMM C[C@@H](CNC(=O)c1cnnn1C)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001575055616 951509925 /nfs/dbraw/zinc/50/99/25/951509925.db2.gz YGNDQOVKHGXNNU-MRVPVSSYSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@@H](CNC(=O)c1cnnn1C)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575055616 951509934 /nfs/dbraw/zinc/50/99/34/951509934.db2.gz YGNDQOVKHGXNNU-MRVPVSSYSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@H](CNC(=O)c1cnnn1C)CNc1nccnc1-c1nnn[n-]1 ZINC001575055597 951510137 /nfs/dbraw/zinc/51/01/37/951510137.db2.gz XZGZLAVUZYZUJE-QMMMGPOBSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@H](CNC(=O)c1cnnn1C)CNc1nccnc1-c1nn[n-]n1 ZINC001575055597 951510144 /nfs/dbraw/zinc/51/01/44/951510144.db2.gz XZGZLAVUZYZUJE-QMMMGPOBSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@H](CNC(=O)c1cocn1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001575059265 951540949 /nfs/dbraw/zinc/54/09/49/951540949.db2.gz YPOSUSBCYBMUFT-MRVPVSSYSA-N -1 1 329.324 -0.101 20 0 EBADMM C[C@H](CNC(=O)c1cocn1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575059265 951540958 /nfs/dbraw/zinc/54/09/58/951540958.db2.gz YPOSUSBCYBMUFT-MRVPVSSYSA-N -1 1 329.324 -0.101 20 0 EBADMM C[C@H](CNC(=O)c1csnn1)Nc1nccnc1-c1nnn[n-]1 ZINC001575060923 951552584 /nfs/dbraw/zinc/55/25/84/951552584.db2.gz JVBPAZCWNLQYGZ-ZCFIWIBFSA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@H](CNC(=O)c1csnn1)Nc1nccnc1-c1nn[n-]n1 ZINC001575060923 951552591 /nfs/dbraw/zinc/55/25/91/951552591.db2.gz JVBPAZCWNLQYGZ-ZCFIWIBFSA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1O)Nc1nccnc1-c1nnn[n-]1 ZINC001575067603 951616140 /nfs/dbraw/zinc/61/61/40/951616140.db2.gz MWBFQLZVRFPXSH-QMMMGPOBSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])Nc1nccnc1-c1nn[nH]n1 ZINC001575067603 951616152 /nfs/dbraw/zinc/61/61/52/951616152.db2.gz MWBFQLZVRFPXSH-QMMMGPOBSA-N -1 1 341.335 -0.012 20 0 EBADMM O=C([O-])CN1CC[C@@H](N2CCC[C@H](C(=O)N3CCOCC3)C2)C1=O ZINC001595073930 951621212 /nfs/dbraw/zinc/62/12/12/951621212.db2.gz KFGWSUWGADQDRD-QWHCGFSZSA-N -1 1 339.392 -0.757 20 0 EBADMM C[C@@H](CNC(=O)c1ncn(C)n1)Nc1nccnc1-c1nnn[n-]1 ZINC001575067917 951626127 /nfs/dbraw/zinc/62/61/27/951626127.db2.gz RUPSNFDPLHZPBM-ZETCQYMHSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@@H](CNC(=O)c1ncn(C)n1)Nc1nccnc1-c1nn[n-]n1 ZINC001575067917 951626138 /nfs/dbraw/zinc/62/61/38/951626138.db2.gz RUPSNFDPLHZPBM-ZETCQYMHSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@H](CNC(=O)c1ncn(C)n1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575068084 951629245 /nfs/dbraw/zinc/62/92/45/951629245.db2.gz XFXDAQOYNKQOTC-MRVPVSSYSA-N -1 1 343.355 -0.960 20 0 EBADMM O=C([O-])C[NH+]1CCC([N-]S(=O)(=O)N=S2(=O)CCCC2)CC1 ZINC001595080610 951673705 /nfs/dbraw/zinc/67/37/05/951673705.db2.gz DZBOMFVCAJGJMD-UHFFFAOYSA-N -1 1 339.439 -0.368 20 0 EBADMM O=C([O-])CS(=O)(=O)CCNCCN1C[C@H]2CCCCN2C1=O ZINC001595125162 952008004 /nfs/dbraw/zinc/00/80/04/952008004.db2.gz NLOTYUYVONWBSK-LLVKDONJSA-N -1 1 333.410 -0.635 20 0 EBADMM C[C@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)c1cn[nH]n1 ZINC001575132176 952090814 /nfs/dbraw/zinc/09/08/14/952090814.db2.gz DFSCVJADOHCAJE-SSDOTTSWSA-N -1 1 329.328 -0.653 20 0 EBADMM C[C@@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)c1ccn(C)n1 ZINC001575132811 952098904 /nfs/dbraw/zinc/09/89/04/952098904.db2.gz BJFGEGLDQSKACR-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)c1ccn(C)n1 ZINC001575132811 952098912 /nfs/dbraw/zinc/09/89/12/952098912.db2.gz BJFGEGLDQSKACR-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)c1cn[nH]c1 ZINC001575135214 952116460 /nfs/dbraw/zinc/11/64/60/952116460.db2.gz LGGXYNOYJSTLIO-QMMMGPOBSA-N -1 1 328.340 -0.048 20 0 EBADMM C[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)c1cn[nH]c1 ZINC001575135214 952116463 /nfs/dbraw/zinc/11/64/63/952116463.db2.gz LGGXYNOYJSTLIO-QMMMGPOBSA-N -1 1 328.340 -0.048 20 0 EBADMM C[C@@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)Cn1cncn1 ZINC001575136280 952123755 /nfs/dbraw/zinc/12/37/55/952123755.db2.gz UGUUHHYMTMYOGZ-VIFPVBQESA-N -1 1 343.355 -0.793 20 0 EBADMM C[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)Cn1cncn1 ZINC001575136280 952123760 /nfs/dbraw/zinc/12/37/60/952123760.db2.gz UGUUHHYMTMYOGZ-VIFPVBQESA-N -1 1 343.355 -0.793 20 0 EBADMM C[C@@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001575136383 952124190 /nfs/dbraw/zinc/12/41/90/952124190.db2.gz VDKMXAXIYAIBPD-QISWUMQESA-N -1 1 344.379 -0.192 20 0 EBADMM C[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001575136383 952124198 /nfs/dbraw/zinc/12/41/98/952124198.db2.gz VDKMXAXIYAIBPD-QISWUMQESA-N -1 1 344.379 -0.192 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)Cc1ncc[nH]1 ZINC001575140493 952142337 /nfs/dbraw/zinc/14/23/37/952142337.db2.gz DHOJIMQOXCJVHD-VIFPVBQESA-N -1 1 342.367 -0.697 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1ccnn1C ZINC001575143455 952153223 /nfs/dbraw/zinc/15/32/23/952153223.db2.gz AQQXAQGRBFTCGG-SECBINFHSA-N -1 1 342.367 -0.615 20 0 EBADMM C[C@@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)c1ncn(C)n1 ZINC001575154323 952219222 /nfs/dbraw/zinc/21/92/22/952219222.db2.gz BDRBBTSGAYKDMH-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)c1ncn(C)n1 ZINC001575154323 952219224 /nfs/dbraw/zinc/21/92/24/952219224.db2.gz BDRBBTSGAYKDMH-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)Cn1cncn1 ZINC001575180575 952418484 /nfs/dbraw/zinc/41/84/84/952418484.db2.gz WHFMQLJQVXRFRK-VIFPVBQESA-N -1 1 343.355 -0.793 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)Cn1cncn1 ZINC001575180575 952418492 /nfs/dbraw/zinc/41/84/92/952418492.db2.gz WHFMQLJQVXRFRK-VIFPVBQESA-N -1 1 343.355 -0.793 20 0 EBADMM O=C([O-])[C@@H]1CCN(C(=O)C(=O)NC[C@@H]2CCN2C2CCOCC2)C1 ZINC001594750481 953419932 /nfs/dbraw/zinc/41/99/32/953419932.db2.gz BWGIVFLAVPUBSG-YPMHNXCESA-N -1 1 339.392 -0.711 20 0 EBADMM O=C(N[C@H]1C[C@@H](C(=O)[O-])C1)C(=O)N1CC[C@H]2[C@H]1CCCN2CCO ZINC001594792614 953697652 /nfs/dbraw/zinc/69/76/52/953697652.db2.gz SCPXVROXBCSPJF-QNWHQSFQSA-N -1 1 339.392 -0.977 20 0 EBADMM CN(C(=O)C(=O)Nc1ccn(CC(=O)[O-])n1)[C@@H]1CCN(C2CC2)C1 ZINC001593676930 953939234 /nfs/dbraw/zinc/93/92/34/953939234.db2.gz YYYLALJRXJYRHC-LLVKDONJSA-N -1 1 335.364 -0.399 20 0 EBADMM C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)N1CCS(=O)(=O)C[C@H]1C(=O)[O-] ZINC001589364431 954178441 /nfs/dbraw/zinc/17/84/41/954178441.db2.gz SOAIGWLFPCSSGQ-ZHAHWJHGSA-N -1 1 341.389 -0.214 20 0 EBADMM O=C([O-])[C@@H]1[C@H](NC(=O)C(F)(F)F)CCCN1C(=O)Cc1nnc[nH]1 ZINC001594888370 954484562 /nfs/dbraw/zinc/48/45/62/954484562.db2.gz CCOVJRZSPDPWOQ-MUWHJKNJSA-N -1 1 349.269 -0.530 20 0 EBADMM CN1CCC[C@@H]1CNC(=O)C(=O)Nc1ccn(C(C)(C)C(=O)[O-])n1 ZINC001593780169 954639494 /nfs/dbraw/zinc/63/94/94/954639494.db2.gz PRGLIYBNTBSELZ-SNVBAGLBSA-N -1 1 337.380 -0.148 20 0 EBADMM CN1CCN(C2CN(C(=O)[C@H]3CO[C@@H](CCC(=O)[O-])C3)C2)CC1 ZINC001593785531 954699271 /nfs/dbraw/zinc/69/92/71/954699271.db2.gz XJLKDDYRVAXVSS-OCCSQVGLSA-N -1 1 325.409 -0.286 20 0 EBADMM CNS(=O)(=O)c1cc(NC(=O)[C@@]2(C(=O)[O-])CCCO2)ccc1O ZINC001593799259 954846878 /nfs/dbraw/zinc/84/68/78/954846878.db2.gz WHXXAHMPKCTACA-CYBMUJFWSA-N -1 1 344.345 -0.127 20 0 EBADMM COC(=O)C1CC2(C1)CN(S(=O)(=O)c1ncc[nH]1)C[C@H]2C(=O)[O-] ZINC001593826585 955107379 /nfs/dbraw/zinc/10/73/79/955107379.db2.gz TYZOTNWCHFVEGG-JVVWGOMOSA-N -1 1 343.361 -0.316 20 0 EBADMM C[C@H](CN1CCOCC1)C(=O)N[C@@H]1CCCCN(CC(=O)[O-])C1=O ZINC001589039316 955578980 /nfs/dbraw/zinc/57/89/80/955578980.db2.gz MOJVPAPOGKEXDA-CHWSQXEVSA-N -1 1 341.408 -0.463 20 0 EBADMM C[C@@H](CN1CCOCC1)C(=O)N[C@@H]1CCCCN(CC(=O)[O-])C1=O ZINC001589039491 955581589 /nfs/dbraw/zinc/58/15/89/955581589.db2.gz MOJVPAPOGKEXDA-QWHCGFSZSA-N -1 1 341.408 -0.463 20 0 EBADMM C[C@H](CNC(=O)N[C@H]1CCN(CCN2CCOCC2)C1)C(=O)[O-] ZINC001589051729 955668403 /nfs/dbraw/zinc/66/84/03/955668403.db2.gz ICABOMWGYNNCEJ-OLZOCXBDSA-N -1 1 328.413 -0.587 20 0 EBADMM Cn1cnnc1CN1CCC[C@@H](NC(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC001594559214 955792327 /nfs/dbraw/zinc/79/23/27/955792327.db2.gz ATDUPJQUONZFSZ-VXNVDRBHSA-N -1 1 335.286 -0.089 20 0 EBADMM CO[C@](C)(C(=O)[O-])C(=O)N1CCN([C@H]2CCNC(=O)CC2)CC1 ZINC001593922311 956092843 /nfs/dbraw/zinc/09/28/43/956092843.db2.gz KTRRNYPYUNCEIS-ABAIWWIYSA-N -1 1 327.381 -0.711 20 0 EBADMM COC[C@]1(C(=O)[O-])CN(C(=O)C(C)(C)CN2CCOCC2)CCO1 ZINC001593975984 956665228 /nfs/dbraw/zinc/66/52/28/956665228.db2.gz TUZOUGBFDGEFGM-INIZCTEOSA-N -1 1 344.408 -0.327 20 0 EBADMM COCCOCCc1ncc(C(=O)N2CCOC[C@@H]2C(=O)[O-])[nH]1 ZINC001594017717 957047494 /nfs/dbraw/zinc/04/74/94/957047494.db2.gz WZHZGEKGKGBXEV-LLVKDONJSA-N -1 1 327.337 -0.459 20 0 EBADMM C[C@H](CNC(=O)NCC1(C(=O)[O-])CCOCC1)N1CCN(C)CC1 ZINC001603176807 972280867 /nfs/dbraw/zinc/28/08/67/972280867.db2.gz WFTXLSFLKYAQGQ-CYBMUJFWSA-N -1 1 342.440 -0.197 20 0 EBADMM C[C@@H](NC(=O)N1C[C@@H]2COC[C@]2(C(=O)[O-])C1)[C@@H]1CN(C)CCN1C ZINC001603227391 972346147 /nfs/dbraw/zinc/34/61/47/972346147.db2.gz NLTANUWXBSHZLA-NFFDBFGFSA-N -1 1 340.424 -0.637 20 0 EBADMM CSCc1n[nH]c(CNS(=O)(=O)N2CCC[C@H]2C(=O)[O-])n1 ZINC001594188879 959146118 /nfs/dbraw/zinc/14/61/18/959146118.db2.gz JFERDQSBMUTRPU-ZETCQYMHSA-N -1 1 335.411 -0.449 20 0 EBADMM CSCc1nnc(CNS(=O)(=O)N2CCC[C@H]2C(=O)[O-])[nH]1 ZINC001594188879 959146127 /nfs/dbraw/zinc/14/61/27/959146127.db2.gz JFERDQSBMUTRPU-ZETCQYMHSA-N -1 1 335.411 -0.449 20 0 EBADMM Cc1[nH+]c2c(n1CCO)CC[C@@H](NC(=O)c1cc(C(=O)[O-])n[n-]1)C2 ZINC001594464024 959488397 /nfs/dbraw/zinc/48/83/97/959488397.db2.gz YBIQCOSPUYCQKM-SECBINFHSA-N -1 1 333.348 -0.108 20 0 EBADMM C[C@H](O)CN1CCN(C(=O)NCCOCCOCC(=O)[O-])[C@@H](C)C1 ZINC001603268626 972468443 /nfs/dbraw/zinc/46/84/43/972468443.db2.gz LFPICQQYJQGWLC-STQMWFEESA-N -1 1 347.412 -0.799 20 0 EBADMM CN(C)S(=O)(=O)CCN1C[C@H](C(=O)[O-])C[C@H](c2cnn(C)c2)C1 ZINC001604075636 972473017 /nfs/dbraw/zinc/47/30/17/972473017.db2.gz OWFCUBDSFVDMKK-NWDGAFQWSA-N -1 1 344.437 -0.198 20 0 EBADMM CN1C[C@@H]2CC[C@H](NC(=O)C3(C(=O)[O-])CCS(=O)(=O)CC3)[C@H]2C1 ZINC001574021408 961962304 /nfs/dbraw/zinc/96/23/04/961962304.db2.gz BDDMDNGCBHUYQE-SRVKXCTJSA-N -1 1 344.433 -0.278 20 0 EBADMM O=C([O-])[C@H]1CC(C(=O)NCCN2CC[C@@H](O)C2)=NN1c1ccccc1 ZINC001574570699 962053990 /nfs/dbraw/zinc/05/39/90/962053990.db2.gz CXNDMRYVNHDKFG-UKRRQHHQSA-N -1 1 346.387 -0.111 20 0 EBADMM CC[C@H](C)[C@H](NC(=O)[O-])C(=O)N1CCC(O)(c2nn[nH]n2)CC1 ZINC001571173526 962070958 /nfs/dbraw/zinc/07/09/58/962070958.db2.gz VEJXAKCGUUPENM-IUCAKERBSA-N -1 1 326.357 -0.308 20 0 EBADMM CN1CC[C@@H](N2CCC(NC(=O)CSCC(=O)[O-])CC2)C1=O ZINC001588871149 962084892 /nfs/dbraw/zinc/08/48/92/962084892.db2.gz XRPCIEZCFMMHMT-LLVKDONJSA-N -1 1 329.422 -0.385 20 0 EBADMM CSCc1n[nH]c(CNC(=O)NC[C@H]2CCO[C@H]2C(=O)[O-])n1 ZINC001571186665 962215087 /nfs/dbraw/zinc/21/50/87/962215087.db2.gz SPNDGHIWNPCBFP-GMSGAONNSA-N -1 1 329.382 -0.043 20 0 EBADMM CSCc1nnc(CNC(=O)NC[C@H]2CCO[C@H]2C(=O)[O-])[nH]1 ZINC001571186665 962215104 /nfs/dbraw/zinc/21/51/04/962215104.db2.gz SPNDGHIWNPCBFP-GMSGAONNSA-N -1 1 329.382 -0.043 20 0 EBADMM O=C([O-])c1nccc(NC[C@@H]2CCCN2C(=O)Cc2ncn[nH]2)n1 ZINC001571195638 962304441 /nfs/dbraw/zinc/30/44/41/962304441.db2.gz DKWHOEBMKFPIBJ-VIFPVBQESA-N -1 1 331.336 -0.640 20 0 EBADMM Cc1nn[nH]c1C(=O)N1C[C@@H](Nc2ccnc(C(=O)[O-])n2)C[C@H]1C ZINC001571195742 962304660 /nfs/dbraw/zinc/30/46/60/962304660.db2.gz DZMZARUITKSBKY-APPZFPTMSA-N -1 1 331.336 -0.262 20 0 EBADMM Cc1nc([C@@H]2CCN(C(=O)Cn3cccc(C(=O)[O-])c3=O)C2)n[nH]1 ZINC001571196267 962315171 /nfs/dbraw/zinc/31/51/71/962315171.db2.gz RIOVJELRLZWGEX-SNVBAGLBSA-N -1 1 331.332 -0.011 20 0 EBADMM Cn1nnnc1CNC1CC2(C1)CC(NC(=O)[C@H]1C[C@H]1C(=O)[O-])C2 ZINC001571221383 962540578 /nfs/dbraw/zinc/54/05/78/962540578.db2.gz JQXCCUAFDMOJNV-GFLVDNSYSA-N -1 1 334.380 -0.552 20 0 EBADMM CN(C(=O)Cc1ncn[nH]1)C1CCN(C(=O)[C@@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001571224482 962576670 /nfs/dbraw/zinc/57/66/70/962576670.db2.gz XJPODBPUJBSCTL-MNOVXSKESA-N -1 1 335.364 -0.483 20 0 EBADMM CSCc1n[nH]c(CNC(=O)[C@H]2CCCN(CC(=O)[O-])C2=O)n1 ZINC001604958368 972539787 /nfs/dbraw/zinc/53/97/87/972539787.db2.gz MKFQTOSIIPNCEZ-MRVPVSSYSA-N -1 1 341.393 -0.393 20 0 EBADMM CSCc1nnc(CNC(=O)[C@H]2CCCN(CC(=O)[O-])C2=O)[nH]1 ZINC001604958368 972539795 /nfs/dbraw/zinc/53/97/95/972539795.db2.gz MKFQTOSIIPNCEZ-MRVPVSSYSA-N -1 1 341.393 -0.393 20 0 EBADMM CN(CCCNC(=O)CN1CCC(C)(C(=O)[O-])CC1)S(C)(=O)=O ZINC001604168559 972586213 /nfs/dbraw/zinc/58/62/13/972586213.db2.gz JGILGERFPQGVQB-UHFFFAOYSA-N -1 1 349.453 -0.429 20 0 EBADMM O=C([O-])C1(C(=O)N[C@@H]2CCc3nc[nH]c3C2)CCS(=O)(=O)CC1 ZINC001605923198 972819056 /nfs/dbraw/zinc/81/90/56/972819056.db2.gz WSSUGKGDDCVSOX-SECBINFHSA-N -1 1 341.389 -0.337 20 0 EBADMM COC1(CS(=O)(=O)N(CCN(C)C)CC(=O)[O-])CCOCC1 ZINC001604491988 973402682 /nfs/dbraw/zinc/40/26/82/973402682.db2.gz UEZKMFXVWKFQDU-UHFFFAOYSA-N -1 1 338.426 -0.540 20 0 EBADMM COC[C@]1(C(=O)[O-])CN(C(=O)c2cn[nH]c2-c2cnn(C)c2)CCO1 ZINC001604557014 973591954 /nfs/dbraw/zinc/59/19/54/973591954.db2.gz JWWZWNOYYSXJPD-HNNXBMFYSA-N -1 1 349.347 -0.248 20 0 EBADMM O=C([O-])CS(=O)(=O)CCNCCn1c(=O)oc2ccccc21 ZINC001606296247 973699642 /nfs/dbraw/zinc/69/96/42/973699642.db2.gz ALLSPXHHBYQGJK-UHFFFAOYSA-N -1 1 328.346 -0.317 20 0 EBADMM CC(C)c1nc(CN2C[C@@H](N3C(=O)CNC3=O)C[C@H]2C(=O)[O-])n[nH]1 ZINC001592020313 976711720 /nfs/dbraw/zinc/71/17/20/976711720.db2.gz WQHVIORNWIITHK-IUCAKERBSA-N -1 1 336.352 -0.493 20 0 EBADMM C[C@@H](CN1CCN(C)CC1)NS(=O)(=O)c1csnc1C(=O)[O-] ZINC001592616029 978578012 /nfs/dbraw/zinc/57/80/12/978578012.db2.gz PHSGAXYEBNQAFX-VIFPVBQESA-N -1 1 348.450 -0.245 20 0 EBADMM CC[C@](COC)(NC(=O)CN(C)c1ncnc2[nH]cnc21)C(=O)[O-] ZINC001595188499 979860702 /nfs/dbraw/zinc/86/07/02/979860702.db2.gz PRYRADYNYLPOEO-CQSZACIVSA-N -1 1 336.352 -0.215 20 0 EBADMM CC[C@](COC)(NS(=O)(=O)CC(C)(C)C(=O)[O-])C(=O)OC ZINC001595199456 979941137 /nfs/dbraw/zinc/94/11/37/979941137.db2.gz HJSNDXXTSPDGAI-GFCCVEGCSA-N -1 1 325.383 -0.015 20 0 EBADMM CC[C@@H](O)Cn1cc(C(=O)N2CCN(CCC(=O)[O-])C[C@H]2C)nn1 ZINC001595332610 980580879 /nfs/dbraw/zinc/58/08/79/980580879.db2.gz XVOZRLGVJOQCPK-VXGBXAGGSA-N -1 1 339.396 -0.330 20 0 EBADMM CCCN1C(=O)C(=O)N(CN2C[C@H]3COCC[C@@]3(C(=O)[O-])C2)C1=O ZINC001595894357 982928573 /nfs/dbraw/zinc/92/85/73/982928573.db2.gz ABZDTYYYRKNQAU-ZUZCIYMTSA-N -1 1 339.348 -0.432 20 0 EBADMM CCN(C(=O)C(=O)N1C[C@@H](C)O[C@@H](C(=O)[O-])C1)[C@@H]1CCN(C)C1 ZINC001596128175 983471806 /nfs/dbraw/zinc/47/18/06/983471806.db2.gz KQYFBEOIJZCUAY-IJLUTSLNSA-N -1 1 327.381 -0.761 20 0 EBADMM CCOC(=O)[C@H]1CCCCN1C(=O)CN1CC[C@@](O)(C(=O)[O-])C1 ZINC001596570762 984464809 /nfs/dbraw/zinc/46/48/09/984464809.db2.gz SUIXELPNMWXVKC-ABAIWWIYSA-N -1 1 328.365 -0.548 20 0 EBADMM CCOC(=O)[C@H]1CCCN(C(=O)CN2CC[C@@](O)(C(=O)[O-])C2)C1 ZINC001596575073 984475098 /nfs/dbraw/zinc/47/50/98/984475098.db2.gz VABBJYZAQBJRAL-NHYWBVRUSA-N -1 1 328.365 -0.691 20 0 EBADMM CCOC(=O)c1cc(C(=O)N2CCN(C)C[C@@H](C(=O)[O-])C2)on1 ZINC001596700412 984871240 /nfs/dbraw/zinc/87/12/40/984871240.db2.gz LXYSJZHDNWHMNO-SECBINFHSA-N -1 1 325.321 -0.060 20 0 EBADMM CC(=O)CSCC(=O)N(CCN1CCN(C)CC1)CC(=O)[O-] ZINC001589040812 985092527 /nfs/dbraw/zinc/09/25/27/985092527.db2.gz DWQLDDNLDYTJQP-UHFFFAOYSA-N -1 1 331.438 -0.531 20 0 EBADMM C[C@H]1C[C@@H](C(=O)NC[C@]2(C(=O)[O-])C[C@@H]2S(C)(=O)=O)CCN1C ZINC001599934456 985371858 /nfs/dbraw/zinc/37/18/58/985371858.db2.gz VFDZUDSSBAPBKB-AYGWYOGXSA-N -1 1 332.422 -0.279 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@@H]1CCC2N=NC(=O)N2C1 ZINC001594514658 985849793 /nfs/dbraw/zinc/84/97/93/985849793.db2.gz IJCGMJBXKLRYLW-WDEREUQCSA-N -1 1 337.380 -0.447 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NC[C@@H]1COCCN1C ZINC001594515653 985879954 /nfs/dbraw/zinc/87/99/54/985879954.db2.gz JOZHOHGYDKNJEE-QWHCGFSZSA-N -1 1 328.413 -0.493 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NC[C@@H]1CCCNC1=O ZINC001594516303 985899198 /nfs/dbraw/zinc/89/91/98/985899198.db2.gz NTDIPLBTIAXEHT-NEPJUHHUSA-N -1 1 326.397 -0.297 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NCCC1CS(=O)(=O)C1 ZINC001594516327 985901769 /nfs/dbraw/zinc/90/17/69/985901769.db2.gz OAKFGXNZVIWESX-NSHDSACASA-N -1 1 347.437 -0.389 20 0 EBADMM CCOCCN1CCN(CCN(C)C(=O)[C@@H]2C[C@H]2C(=O)[O-])CC1 ZINC001596928725 986005085 /nfs/dbraw/zinc/00/50/85/986005085.db2.gz ADNFQXSFHNXINQ-ZIAGYGMSSA-N -1 1 327.425 -0.180 20 0 EBADMM C[C@@H]1CN(CCNS(=O)(=O)c2cc(C(=O)[O-])no2)[C@@H](C)CO1 ZINC001594531992 986127484 /nfs/dbraw/zinc/12/74/84/986127484.db2.gz RPGSYMAJDWPMIK-DTWKUNHWSA-N -1 1 333.366 -0.240 20 0 EBADMM C[C@@H](C(=O)N1CCC(C(N)=O)CC1)N1CCC([C@H](O)C(=O)[O-])CC1 ZINC001589352294 986322720 /nfs/dbraw/zinc/32/27/20/986322720.db2.gz JFNPQUBLLJAQFH-GWCFXTLKSA-N -1 1 341.408 -0.744 20 0 EBADMM C[C@@H]1CNCCN1C(=O)C(=O)N1CCOc2cc(C(=O)[O-])ccc2C1 ZINC001594584238 986458542 /nfs/dbraw/zinc/45/85/42/986458542.db2.gz DKYVLJHEMJSPAN-LLVKDONJSA-N -1 1 347.371 -0.074 20 0 EBADMM C[C@H]1COC[C@H]1NC(=O)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC001594592758 986497955 /nfs/dbraw/zinc/49/79/55/986497955.db2.gz MAZYHOHNXVOZMW-WDEREUQCSA-N -1 1 327.381 -0.855 20 0 EBADMM C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CC[C@@H](C(=O)[O-])[C@H]1C ZINC001589553846 987295257 /nfs/dbraw/zinc/29/52/57/987295257.db2.gz SYBSOKSBNGFNJV-IWSPIJDZSA-N -1 1 338.364 -0.968 20 0 EBADMM C[C@@H]1CN(C(=O)C(=O)N2CCOc3c(cccc3C(=O)[O-])C2)CCN1 ZINC001599851207 989015079 /nfs/dbraw/zinc/01/50/79/989015079.db2.gz YSAYLYYIGKXDNL-LLVKDONJSA-N -1 1 347.371 -0.074 20 0 EBADMM CCc1nnc(C(=O)N(CCN2CCN(C)CC2)CC(=O)[O-])s1 ZINC001597724519 989020563 /nfs/dbraw/zinc/02/05/63/989020563.db2.gz PXGCPRHSADDJER-UHFFFAOYSA-N -1 1 341.437 -0.125 20 0 EBADMM C[C@@H]1COCCN1C(=O)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC001599872108 989365903 /nfs/dbraw/zinc/36/59/03/989365903.db2.gz YFEYEGFXYJVXDP-LLVKDONJSA-N -1 1 327.381 -0.759 20 0 EBADMM CN(C(=O)C(=O)Nc1c(C(=O)[O-])cnn1C)[C@H]1CCN(C2CC2)C1 ZINC001597984729 990072800 /nfs/dbraw/zinc/07/28/00/990072800.db2.gz XKMYFJJCFMIGTJ-JTQLQIEISA-N -1 1 335.364 -0.248 20 0 EBADMM CN(CC(=O)N1CCSC[C@H]1C(=O)[O-])c1ncnc2[nH]cnc21 ZINC001598380313 991580311 /nfs/dbraw/zinc/58/03/11/991580311.db2.gz CCVARNCYSSHUGE-QMMMGPOBSA-N -1 1 336.377 -0.182 20 0 EBADMM COc1nsc(CN2CC[C@H](CS(N)(=O)=O)C2)c1C(=O)[O-] ZINC001599740419 991843547 /nfs/dbraw/zinc/84/35/47/991843547.db2.gz RUKRNNRMIOTGME-ZETCQYMHSA-N -1 1 335.407 -0.040 20 0 EBADMM CS(=O)(=O)Nc1cccc(C(=O)N2CCN(CC(=O)[O-])CC2)c1 ZINC001599760072 992108847 /nfs/dbraw/zinc/10/88/47/992108847.db2.gz KYWJCBHECIEARR-UHFFFAOYSA-N -1 1 341.389 -0.100 20 0 EBADMM CS(=O)(=O)Nc1ccc(C(=O)N[C@@]2(C(=O)[O-])CCOC2)cn1 ZINC001599760859 992119963 /nfs/dbraw/zinc/11/99/63/992119963.db2.gz PUKWKQYCOKMNGE-LBPRGKRZSA-N -1 1 329.334 -0.573 20 0 EBADMM CS(=O)(=O)[C@H]1C[C@]1(CNC(=O)[C@@]12CC[N@@H+](C1)CCC2)C(=O)[O-] ZINC001599763178 992150096 /nfs/dbraw/zinc/15/00/96/992150096.db2.gz VNMOPIZFYATJJZ-LEWSCRJBSA-N -1 1 330.406 -0.524 20 0 EBADMM CC(C)CN(CC(C)(C)C(=O)[O-])C(=O)C1CNCCS1(=O)=O ZINC001591310229 992271410 /nfs/dbraw/zinc/27/14/10/992271410.db2.gz UEWOADJASHOMCU-LLVKDONJSA-N -1 1 334.438 -0.032 20 0 EBADMM CN(CC1CN(C)C1)C(=O)C(=O)N1CCSC[C@@H](C(=O)[O-])C1 ZINC001598566840 993155583 /nfs/dbraw/zinc/15/55/83/993155583.db2.gz NNVPZRZIYAHAFL-NSHDSACASA-N -1 1 329.422 -0.717 20 0 EBADMM CN1CC[C@@H](N2CCC(NC(=O)[C@H]3CC[C@@H](C(=O)[O-])O3)CC2)C1=O ZINC001598585759 993380557 /nfs/dbraw/zinc/38/05/57/993380557.db2.gz MZZBHJWOLQBYIP-UPJWGTAASA-N -1 1 339.392 -0.570 20 0 EBADMM CN1CC[C@@H](N2CCC(NC(=O)c3cnc(C(=O)[O-])cn3)CC2)C1=O ZINC001598586471 993391394 /nfs/dbraw/zinc/39/13/94/993391394.db2.gz SMWPRCLJRLRIJW-CYBMUJFWSA-N -1 1 347.375 -0.400 20 0 EBADMM CN1CCC[C@@H]1C(=O)NCC1CC(Nc2ccnc(C(=O)[O-])n2)C1 ZINC001598594227 993480106 /nfs/dbraw/zinc/48/01/06/993480106.db2.gz PKEGNYFTACVNOH-HTAVTVPLSA-N -1 1 333.392 -0.002 20 0 EBADMM CN1CCC[C@@H](NC(=O)C(=O)Nc2cnn(C(C)(C)C(=O)[O-])c2)C1 ZINC001598595982 993506556 /nfs/dbraw/zinc/50/65/56/993506556.db2.gz GJMFWFSZXHPYOV-SNVBAGLBSA-N -1 1 337.380 -0.148 20 0 EBADMM CN1CCN(C(=O)C(=O)Nc2ccnn2C2CC2)C[C@H](C(=O)[O-])C1 ZINC001598604234 993617562 /nfs/dbraw/zinc/61/75/62/993617562.db2.gz RHFPEXYJSRBADU-SNVBAGLBSA-N -1 1 335.364 -0.369 20 0 EBADMM Cn1cc(NC(=O)C(=O)NC[C@H]2CN(C)CCN2C)cc1C(=O)[O-] ZINC001598612639 993810741 /nfs/dbraw/zinc/81/07/41/993810741.db2.gz VWBKHHORWUWXOA-NSHDSACASA-N -1 1 337.380 -0.976 20 0 EBADMM CN1CCN(C)[C@@H](CNC(=O)NCc2ncc(C(=O)[O-])s2)C1 ZINC001598614978 993862378 /nfs/dbraw/zinc/86/23/78/993862378.db2.gz UNPIDBPTFRMRKB-VIFPVBQESA-N -1 1 327.410 -0.114 20 0 EBADMM CN1CCN(CC2CN(S(=O)(=O)c3cc(C(=O)[O-])co3)C2)CC1 ZINC001598615227 993865013 /nfs/dbraw/zinc/86/50/13/993865013.db2.gz ATUQPYMMMZVHPR-UHFFFAOYSA-N -1 1 343.405 -0.154 20 0 EBADMM Cn1cc(CCC(=O)N(CCN2CCN(C)CC2)CC(=O)[O-])cn1 ZINC001598616711 993895503 /nfs/dbraw/zinc/89/55/03/993895503.db2.gz OQNISTZWNUYXKB-UHFFFAOYSA-N -1 1 337.424 -0.487 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)COCC(F)(F)F)CC1 ZINC001598616973 993902791 /nfs/dbraw/zinc/90/27/91/993902791.db2.gz RBMKBYLXFFEZDQ-UHFFFAOYSA-N -1 1 341.330 -0.274 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)/C=C\c2cncc(O)c2)CC1 ZINC001598617678 993922448 /nfs/dbraw/zinc/92/24/48/993922448.db2.gz BUQZWWDEAKUPSH-IHWYPQMZSA-N -1 1 348.403 -0.039 20 0 EBADMM C[C@@H](NC(=O)c1n[nH]c2ccccc21)C(=O)NCC[C@H](O)C(=O)[O-] ZINC001593140851 993925136 /nfs/dbraw/zinc/92/51/36/993925136.db2.gz QMYVYOSWZIBEJG-KCJUWKMLSA-N -1 1 334.332 -0.367 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)[C@H]2CCn3ccnc3C2)CC1 ZINC001598617975 993928839 /nfs/dbraw/zinc/92/88/39/993928839.db2.gz NSUNKBZAKZCAOD-AWEZNQCLSA-N -1 1 349.435 -0.394 20 0 EBADMM CN1CCN(CCCS(=O)(=O)NC[C@@]2(C(=O)[O-])CCOC2)CC1 ZINC001598618221 993935848 /nfs/dbraw/zinc/93/58/48/993935848.db2.gz WXPWKVCXFZZFNM-AWEZNQCLSA-N -1 1 349.453 -0.965 20 0 EBADMM CNC(=O)[C@]1(C)CCN(CN2C(=O)N[C@@](C)(CCC(=O)[O-])C2=O)C1 ZINC001598635417 994239450 /nfs/dbraw/zinc/23/94/50/994239450.db2.gz DTWBWRMQYCBCQJ-CABCVRRESA-N -1 1 340.380 -0.423 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[NH2+]C[C@H]2C(=O)[O-])co1 ZINC001598673282 994795777 /nfs/dbraw/zinc/79/57/77/994795777.db2.gz KYOKYCZRFLPMCR-VIFPVBQESA-N -1 1 331.350 -0.924 20 0 EBADMM COC(=O)[C@H]1CN(C)CCN(C(=O)Nc2c(C(=O)[O-])cnn2C)C1 ZINC001598817307 996284184 /nfs/dbraw/zinc/28/41/84/996284184.db2.gz GEEUCBKQQFAARG-VIFPVBQESA-N -1 1 339.352 -0.313 20 0 EBADMM COC(=O)[C@H]1CN(CC(=O)N2CCOC(C)(C)C2)C[C@@H]1C(=O)[O-] ZINC001598820158 996316178 /nfs/dbraw/zinc/31/61/78/996316178.db2.gz GUYQAIHJDYPTNE-QWRGUYRKSA-N -1 1 328.365 -0.571 20 0 EBADMM COC(=O)[C@@H]1CN(Cc2ccc(S(N)(=O)=O)cc2)C[C@@H]1C(=O)[O-] ZINC001598830258 996428532 /nfs/dbraw/zinc/42/85/32/996428532.db2.gz WNFGVYQVCGAIQV-NWDGAFQWSA-N -1 1 342.373 -0.360 20 0 EBADMM COC(=O)CC1(CS(=O)(=O)N2CCN(C)C[C@@H](C(=O)[O-])C2)CC1 ZINC001598842547 996537658 /nfs/dbraw/zinc/53/76/58/996537658.db2.gz IKMCVNLZIBAWMK-LLVKDONJSA-N -1 1 348.421 -0.392 20 0 EBADMM COC(=O)C[C@@]1(NS(=O)(=O)c2cc(C(=O)[O-])no2)CCOC1 ZINC001598844165 996550186 /nfs/dbraw/zinc/55/01/86/996550186.db2.gz ZXBGDLRQLLQRDJ-NSHDSACASA-N -1 1 334.306 -0.627 20 0 EBADMM COC(=O)CN(CC(=O)[O-])C(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C ZINC001598860036 996666863 /nfs/dbraw/zinc/66/68/63/996666863.db2.gz ISXKNLOUTXDXKW-VIFPVBQESA-N -1 1 342.270 -0.224 20 0 EBADMM COc1cc(C(=O)[O-])ccc1OC[C@H](O)CN1CC(C)(C(N)=O)C1 ZINC001599380454 996831074 /nfs/dbraw/zinc/83/10/74/996831074.db2.gz IMPBZRZGJILANA-LLVKDONJSA-N -1 1 338.360 -0.060 20 0 EBADMM CO[C@@H]1CC[C@H](C(=O)N(CCN2CCN(C)CC2)CC(=O)[O-])C1 ZINC001599100045 997365298 /nfs/dbraw/zinc/36/52/98/997365298.db2.gz JVIRPVXVWBJJBI-UONOGXRCSA-N -1 1 327.425 -0.038 20 0 EBADMM COC[C@H]1CCCN1C(=O)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC001599204002 997369679 /nfs/dbraw/zinc/36/96/79/997369679.db2.gz SAFLQBHHOBXXSH-GFCCVEGCSA-N -1 1 341.408 -0.369 20 0 EBADMM CO[C@@H]1CN(c2ncc(C(=O)[O-])cn2)C[C@H]1NC(=O)Cc1cnc[nH]1 ZINC001599323161 997616622 /nfs/dbraw/zinc/61/66/22/997616622.db2.gz BTQLKEQIXMYCGA-VXGBXAGGSA-N -1 1 346.347 -0.540 20 0 EBADMM CO[C@@H]1CN(c2ccnc(C(=O)[O-])n2)C[C@H]1NC(=O)[C@H]1CCCN1C ZINC001599323401 997620954 /nfs/dbraw/zinc/62/09/54/997620954.db2.gz QERDTKNAGARHKL-IJLUTSLNSA-N -1 1 349.391 -0.411 20 0 EBADMM COCCN1CC[C@@H](NC(=O)C(=O)Nc2cc(C)[nH]c2C(=O)[O-])C1 ZINC001599239410 998009857 /nfs/dbraw/zinc/00/98/57/998009857.db2.gz BODIAZHSPVVSHS-SNVBAGLBSA-N -1 1 338.364 -0.203 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)N2CC[C@H](C(=O)[O-])[C@H](C)C2)C1 ZINC001599240141 998023087 /nfs/dbraw/zinc/02/30/87/998023087.db2.gz OHBQJKDBJZHLGX-AGIUHOORSA-N -1 1 341.408 -0.608 20 0 EBADMM COCCN1C[C@H](C(=O)N2CCN(CCC(=O)[O-])C[C@@H]2C)CC1=O ZINC001599241320 998047986 /nfs/dbraw/zinc/04/79/86/998047986.db2.gz XLJXTTYIFMQEQD-QWHCGFSZSA-N -1 1 341.408 -0.511 20 0 EBADMM CC1=NS(=O)(=O)N(C)C=C1C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001594120801 998274475 /nfs/dbraw/zinc/27/44/75/998274475.db2.gz XBTRACVKUHAYHW-JTQLQIEISA-N -1 1 341.349 -0.943 20 0 EBADMM COC(=O)c1ccc(CNC[C@]2(C(=O)[O-])C[C@@H]2S(C)(=O)=O)nc1 ZINC001598975359 998592649 /nfs/dbraw/zinc/59/26/49/998592649.db2.gz HHVMTTPOVLQKLZ-SMDDNHRTSA-N -1 1 342.373 -0.154 20 0 EBADMM C[C@@H]1CCN(C(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)CCO1 ZINC001594394332 999485950 /nfs/dbraw/zinc/48/59/50/999485950.db2.gz UUTIEDJJMPKAIO-GFCCVEGCSA-N -1 1 341.408 -0.369 20 0 EBADMM Cc1ncoc1CN(C)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001690984862 1125796680 /nfs/dbraw/zinc/79/66/80/1125796680.db2.gz JEMKMRAURWLXAW-UHFFFAOYSA-N -1 1 335.364 -0.529 20 0 EBADMM Cc1cc(C(=O)NCCN(C)Cc2nc(=O)n(C)[n-]2)c2nccn2c1 ZINC001690965244 1125795228 /nfs/dbraw/zinc/79/52/28/1125795228.db2.gz WCUUQZKTMPJRCQ-UHFFFAOYSA-N -1 1 343.391 -0.074 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCc2ncncc2C1 ZINC001691343254 1125852889 /nfs/dbraw/zinc/85/28/89/1125852889.db2.gz HZHNEHMRMPRXJT-SNVBAGLBSA-N -1 1 331.380 -0.347 20 0 EBADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)c1ccc(C(N)=O)o1 ZINC001408554198 1125862094 /nfs/dbraw/zinc/86/20/94/1125862094.db2.gz FTDZMDBNQPMCKH-UHFFFAOYSA-N -1 1 332.316 -0.019 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCN(C)C(=O)[C@H](C)OC ZINC001408633348 1125896321 /nfs/dbraw/zinc/89/63/21/1125896321.db2.gz KUNKTEQVHVJWSZ-ZETCQYMHSA-N -1 1 328.325 -0.881 20 0 EBADMM Cn1cc(CCC(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001481072124 1125916592 /nfs/dbraw/zinc/91/65/92/1125916592.db2.gz VWVSWTLXXWHQJN-ZDUSSCGKSA-N -1 1 347.423 -0.445 20 0 EBADMM CCCN(CCNC(=O)c1[nH]nc(C)c1[O-])[C@@H]1CCC(=O)NC1=O ZINC001481134564 1125929642 /nfs/dbraw/zinc/92/96/42/1125929642.db2.gz OJFMCLMLBWSZSF-SNVBAGLBSA-N -1 1 337.380 -0.329 20 0 EBADMM Cn1ccnc1CCC(=O)NCCN(Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001481178765 1125934253 /nfs/dbraw/zinc/93/42/53/1125934253.db2.gz DTDMFTMNWVMVNE-UHFFFAOYSA-N -1 1 347.423 -0.445 20 0 EBADMM CCN(CCNC(=O)CN1CCCC1=O)C(=O)c1ncccc1[O-] ZINC001408713921 1125935264 /nfs/dbraw/zinc/93/52/64/1125935264.db2.gz OXHMFQTYXKDLHR-UHFFFAOYSA-N -1 1 334.376 -0.012 20 0 EBADMM CC(C)C[C@@]1(C(=O)N(C)CCNCc2n[nH]c(=O)[n-]2)CCNC1=O ZINC001481242890 1125951080 /nfs/dbraw/zinc/95/10/80/1125951080.db2.gz ZDLVIWYFMQHGNJ-HNNXBMFYSA-N -1 1 338.412 -0.389 20 0 EBADMM O=C(CN1CC(NC(=O)c2cnc(C3CC3)[n-]c2=O)C1)NCC1CC1 ZINC001481586758 1126018911 /nfs/dbraw/zinc/01/89/11/1126018911.db2.gz IHUBVGSCDQCAEP-UHFFFAOYSA-N -1 1 345.403 0.000 20 0 EBADMM Cc1cc(S(=O)(=O)[N-]CCS(=O)(=O)NC2CC2)sn1 ZINC001414201803 1126044694 /nfs/dbraw/zinc/04/46/94/1126044694.db2.gz WGVZWVOGXGXHTH-UHFFFAOYSA-N -1 1 325.437 -0.188 20 0 EBADMM CO[C@@H](C)CC(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCOCC1 ZINC001422003028 1126051626 /nfs/dbraw/zinc/05/16/26/1126051626.db2.gz UNQFYMBAVGUTSS-JTQLQIEISA-N -1 1 327.385 -0.310 20 0 EBADMM CC[C@@H](NC(C)=O)C(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001481906921 1126065904 /nfs/dbraw/zinc/06/59/04/1126065904.db2.gz YAISRTLAUIQRJN-LLVKDONJSA-N -1 1 338.412 -0.056 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)NCCN2CCNC(=O)C2)c1 ZINC001414250334 1126095748 /nfs/dbraw/zinc/09/57/48/1126095748.db2.gz WKYFHTCBVZGUNQ-UHFFFAOYSA-N -1 1 329.378 -0.889 20 0 EBADMM CC(C)CS(=O)(=O)CC(=O)N(C)[C@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001482298630 1126122730 /nfs/dbraw/zinc/12/27/30/1126122730.db2.gz KBFKRXXOYPEOLH-SNVBAGLBSA-N -1 1 347.441 -0.482 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)CC(C)C ZINC001482376653 1126141654 /nfs/dbraw/zinc/14/16/54/1126141654.db2.gz RRWKMQVJODWKHK-GXSJLCMTSA-N -1 1 326.401 -0.345 20 0 EBADMM CC(C)[C@H](CNC(=O)[C@H]1CCN(C)C(=O)C1)NCc1n[nH]c(=O)[n-]1 ZINC001482540390 1126194460 /nfs/dbraw/zinc/19/44/60/1126194460.db2.gz XGYSPOCMJOYCQW-QWRGUYRKSA-N -1 1 338.412 -0.391 20 0 EBADMM CC(C)[C@@H](CNC(=O)[C@H]1CCN(C)C(=O)C1)NCc1n[nH]c(=O)[n-]1 ZINC001482540391 1126194703 /nfs/dbraw/zinc/19/47/03/1126194703.db2.gz XGYSPOCMJOYCQW-WDEREUQCSA-N -1 1 338.412 -0.391 20 0 EBADMM CCN1C[C@@H](C(=O)NC[C@H](NCc2n[nH]c(=O)[n-]2)C(C)C)CC1=O ZINC001482531086 1126190957 /nfs/dbraw/zinc/19/09/57/1126190957.db2.gz CFEWLRSKUHJFAJ-QWRGUYRKSA-N -1 1 338.412 -0.391 20 0 EBADMM CC(C)[C@@H](CNC(=O)Cn1ccccc1=O)[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001482569188 1126199129 /nfs/dbraw/zinc/19/91/29/1126199129.db2.gz VLIHBKXLEPRTPP-GFCCVEGCSA-N -1 1 348.407 -0.799 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC2(c3ccccn3)CC2)c(=O)[nH]c1=O ZINC001414225502 1126207246 /nfs/dbraw/zinc/20/72/46/1126207246.db2.gz CGDGUBCKYOUQKG-UHFFFAOYSA-N -1 1 336.373 -0.109 20 0 EBADMM Cc1nnc(CC(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)[nH]1 ZINC001409139267 1126212094 /nfs/dbraw/zinc/21/20/94/1126212094.db2.gz DDCQCJMXNQUHTP-UHFFFAOYSA-N -1 1 330.348 -0.355 20 0 EBADMM Cc1nc(CC(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)n[nH]1 ZINC001409139267 1126212096 /nfs/dbraw/zinc/21/20/96/1126212096.db2.gz DDCQCJMXNQUHTP-UHFFFAOYSA-N -1 1 330.348 -0.355 20 0 EBADMM O=S(=O)([N-]CC1(CO)COC1)c1nc[nH]c1Br ZINC001423839594 1126225295 /nfs/dbraw/zinc/22/52/95/1126225295.db2.gz AAPLFWSLTRSDNI-UHFFFAOYSA-N -1 1 326.172 -0.541 20 0 EBADMM CCNC(=O)CC(=O)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001482662909 1126248430 /nfs/dbraw/zinc/24/84/30/1126248430.db2.gz UBZCNRGLVHPRTL-WDEREUQCSA-N -1 1 336.396 -0.541 20 0 EBADMM CCc1nc(C)c(C(=O)NC[C@@H](O)CNCc2n[nH]c(=O)[n-]2)s1 ZINC001482774405 1126284710 /nfs/dbraw/zinc/28/47/10/1126284710.db2.gz AOOUYZVWYVVVNM-QMMMGPOBSA-N -1 1 340.409 -0.282 20 0 EBADMM CN(C)c1cccc(C(=O)NC[C@H](O)CNCc2n[nH]c(=O)[n-]2)c1 ZINC001482788459 1126291344 /nfs/dbraw/zinc/29/13/44/1126291344.db2.gz OUMRBRJYOFQZAT-GFCCVEGCSA-N -1 1 334.380 -0.543 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC/C=C\CNCc1ccon1 ZINC001483069742 1126328936 /nfs/dbraw/zinc/32/89/36/1126328936.db2.gz AIDJMWWZULOKQZ-UPHRSURJSA-N -1 1 333.348 -0.623 20 0 EBADMM Cc1nc(CNC/C=C\CNC(=O)CCn2cc[n-]c(=O)c2=O)co1 ZINC001483071182 1126329112 /nfs/dbraw/zinc/32/91/12/1126329112.db2.gz KPVDYBCMYDZBMB-IHWYPQMZSA-N -1 1 347.375 -0.315 20 0 EBADMM Cc1nc(CNC/C=C/CNC(=O)CCn2cc[n-]c(=O)c2=O)co1 ZINC001483071183 1126329292 /nfs/dbraw/zinc/32/92/92/1126329292.db2.gz KPVDYBCMYDZBMB-NSCUHMNNSA-N -1 1 347.375 -0.315 20 0 EBADMM CCO[C@@H](C(=O)N[C@H](C)CNCc1n[nH]c(=O)[n-]1)C1CCOCC1 ZINC001483154419 1126347897 /nfs/dbraw/zinc/34/78/97/1126347897.db2.gz XWONSQULKSJZOI-ZWNOBZJWSA-N -1 1 341.412 -0.064 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)COCc1nccn1C ZINC001409343815 1126356411 /nfs/dbraw/zinc/35/64/11/1126356411.db2.gz DNMJKNJFQGAOME-UWVGGRQHSA-N -1 1 337.384 -0.557 20 0 EBADMM C[C@H](NCc1cc2n(n1)CCC2)[C@H](C)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001409387046 1126362331 /nfs/dbraw/zinc/36/23/31/1126362331.db2.gz OUYUCRQYQKHOKQ-IUCAKERBSA-N -1 1 347.379 -0.278 20 0 EBADMM C[C@@H](CNC(=O)c1ccc(N(C)C)nc1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001409566418 1126377740 /nfs/dbraw/zinc/37/77/40/1126377740.db2.gz JJZLPRYCYQUSBF-NSHDSACASA-N -1 1 347.423 -0.180 20 0 EBADMM CCOc1cc(C(=O)NC[C@@H](C)N(C)Cc2nc(=O)n(C)[n-]2)on1 ZINC001409584263 1126380079 /nfs/dbraw/zinc/38/00/79/1126380079.db2.gz QGKZGARJHNZULH-SECBINFHSA-N -1 1 338.368 -0.255 20 0 EBADMM Cn1[n-]c(CN2CC(CCO)(NC(=O)CCCC3CC3)C2)nc1=O ZINC001409743062 1126405029 /nfs/dbraw/zinc/40/50/29/1126405029.db2.gz QOTABJBLCHIAPX-UHFFFAOYSA-N -1 1 337.424 -0.258 20 0 EBADMM C[C@@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)c1ccnc2n[nH]nc21 ZINC001483361410 1126420296 /nfs/dbraw/zinc/42/02/96/1126420296.db2.gz HUXAARFDRMPLQE-QMMMGPOBSA-N -1 1 345.367 -0.975 20 0 EBADMM CC(C)S(=O)(=O)CC(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001483608002 1126439862 /nfs/dbraw/zinc/43/98/62/1126439862.db2.gz RWJFTIQGTCFXET-JTQLQIEISA-N -1 1 345.425 -0.682 20 0 EBADMM Cn1ncc(CCC(=O)N[C@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)n1 ZINC001483587180 1126437951 /nfs/dbraw/zinc/43/79/51/1126437951.db2.gz APEJXNJKCJAXDL-LLVKDONJSA-N -1 1 334.384 -0.744 20 0 EBADMM Cn1[n-]c(CN2CCCCC[C@@H]2CNC(=O)Cn2cncn2)nc1=O ZINC001409830143 1126444784 /nfs/dbraw/zinc/44/47/84/1126444784.db2.gz FZUKNGDNWXSJKK-GFCCVEGCSA-N -1 1 348.411 -0.739 20 0 EBADMM Cc1nnc(CC(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)o1 ZINC001484046317 1126500528 /nfs/dbraw/zinc/50/05/28/1126500528.db2.gz PYWZEFPTHJHFFM-AWEZNQCLSA-N -1 1 335.368 -0.877 20 0 EBADMM C[C@]1(NC(=O)c2n[nH]c(=O)[n-]c2=O)CCN(CCOCC(F)F)C1 ZINC001484050815 1126501481 /nfs/dbraw/zinc/50/14/81/1126501481.db2.gz KGYZIJARHHVUJW-ZDUSSCGKSA-N -1 1 347.322 -0.241 20 0 EBADMM C[C@@H](C(=O)NC[C@@]1(O)CCN(Cc2nc(=O)n(C)[n-]2)C1)n1cccc1 ZINC001484217252 1126519908 /nfs/dbraw/zinc/51/99/08/1126519908.db2.gz ZZPWUZXEJUPFII-LRDDRELGSA-N -1 1 348.407 -0.776 20 0 EBADMM CC(C)N1CC[C@H](N2CC(O)(CNC(=O)c3ncccc3[O-])C2)C1=O ZINC001484267386 1126547236 /nfs/dbraw/zinc/54/72/36/1126547236.db2.gz FLIYTPKLMPRLGY-LBPRGKRZSA-N -1 1 348.403 -0.427 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)CCC(F)F)nc1=O ZINC001484596439 1126609402 /nfs/dbraw/zinc/60/94/02/1126609402.db2.gz BQELWFXDWNHUCJ-VIFPVBQESA-N -1 1 333.339 -0.529 20 0 EBADMM C[C@@H](C(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1)C1CCC1 ZINC001484596750 1126609837 /nfs/dbraw/zinc/60/98/37/1126609837.db2.gz IMLIWAJQHNYQKQ-YPMHNXCESA-N -1 1 337.424 -0.138 20 0 EBADMM O=C(NCC1(NCc2n[nH]c(=O)[n-]2)CCC1)[C@H]1CCS(=O)(=O)C1 ZINC001484642850 1126612981 /nfs/dbraw/zinc/61/29/81/1126612981.db2.gz IKUROPMQUKEXRY-VIFPVBQESA-N -1 1 343.409 -0.927 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H](C)NC(=O)C1(S(C)(=O)=O)CCC1 ZINC001485211617 1126681881 /nfs/dbraw/zinc/68/18/81/1126681881.db2.gz ZNPAROVHZZILIA-RKDXNWHRSA-N -1 1 345.425 -0.540 20 0 EBADMM CC[C@@H](C)CN1CC(CCO)(NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001485408379 1126703307 /nfs/dbraw/zinc/70/33/07/1126703307.db2.gz FZYDISHCZXJNTM-SECBINFHSA-N -1 1 325.369 -0.505 20 0 EBADMM C[C@@H](NC(=O)CCc1ccncn1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001485506273 1126736519 /nfs/dbraw/zinc/73/65/19/1126736519.db2.gz LWZYYXVFINNVRS-LLVKDONJSA-N -1 1 345.407 -0.532 20 0 EBADMM C[C@@H](CCCNCc1ncccn1)NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001485747144 1126822674 /nfs/dbraw/zinc/82/26/74/1126822674.db2.gz VMMSAOXSPUNLOO-JTQLQIEISA-N -1 1 335.368 -0.446 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1C[C@H]1c1cnn(C)c1)NCc1n[nH]c(=O)[n-]1 ZINC001485924401 1126916631 /nfs/dbraw/zinc/91/66/31/1126916631.db2.gz AJCYVARDFYQADY-ADEWGFFLSA-N -1 1 333.396 -0.016 20 0 EBADMM C[C@@H](CN(C)C(=O)C(C)(C)S(C)(=O)=O)NCc1n[nH]c(=O)[n-]1 ZINC001485929682 1126922798 /nfs/dbraw/zinc/92/27/98/1126922798.db2.gz CBYMJMVTYWFZOI-QMMMGPOBSA-N -1 1 333.414 -0.730 20 0 EBADMM CC[C@@H](C(=O)N(C)C[C@@H](C)NCc1n[nH]c(=O)[n-]1)N1CCCC1=O ZINC001485958376 1126938443 /nfs/dbraw/zinc/93/84/43/1126938443.db2.gz IQGWXKAOSBXULJ-MNOVXSKESA-N -1 1 338.412 -0.152 20 0 EBADMM Cn1[n-]c(CN[C@@H](CO)CNC(=O)c2csc(Cl)n2)nc1=O ZINC001486051668 1127020815 /nfs/dbraw/zinc/02/08/15/1127020815.db2.gz RTGQSUZUQQGRFS-ZCFIWIBFSA-N -1 1 346.800 -0.901 20 0 EBADMM NC(=O)NC(=O)CN1CC[C@]2(NC(=O)c3ncccc3[O-])CCC[C@H]12 ZINC001486152118 1127050562 /nfs/dbraw/zinc/05/05/62/1127050562.db2.gz ODFDVKHUBGSUDO-MEDUHNTESA-N -1 1 347.375 -0.291 20 0 EBADMM NC(=O)NC(=O)CN1CC[C@@]2(NC(=O)c3ncccc3[O-])CCC[C@@H]12 ZINC001486152117 1127050749 /nfs/dbraw/zinc/05/07/49/1127050749.db2.gz ODFDVKHUBGSUDO-BZNIZROVSA-N -1 1 347.375 -0.291 20 0 EBADMM CN(C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)c1c(Cl)cnn1C ZINC001486276818 1127073268 /nfs/dbraw/zinc/07/32/68/1127073268.db2.gz QEYMIBIRSFBWDE-SSDOTTSWSA-N -1 1 343.775 -0.880 20 0 EBADMM CN(C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CCC(F)(F)C1 ZINC001486279497 1127075180 /nfs/dbraw/zinc/07/51/80/1127075180.db2.gz RUKBLLKCNWAZIJ-IUCAKERBSA-N -1 1 333.339 -0.145 20 0 EBADMM CN(C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CCC(F)(F)C1 ZINC001486279495 1127075576 /nfs/dbraw/zinc/07/55/76/1127075576.db2.gz RUKBLLKCNWAZIJ-DTWKUNHWSA-N -1 1 333.339 -0.145 20 0 EBADMM CCn1ccc(C(=O)N(C)C[C@@H](O)CNCc2n[nH]c(=O)[n-]2)c1C ZINC001486294633 1127103023 /nfs/dbraw/zinc/10/30/23/1127103023.db2.gz AYWCDGZLJOBDDX-NSHDSACASA-N -1 1 336.396 -0.137 20 0 EBADMM CN(C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)c1ccc2c(c1)CCO2 ZINC001486302880 1127107478 /nfs/dbraw/zinc/10/74/78/1127107478.db2.gz YCVJNVFNURTHAF-LBPRGKRZSA-N -1 1 347.375 -0.332 20 0 EBADMM CC(C)SCC(=O)N(C)C[C@H](O)CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001486325371 1127127837 /nfs/dbraw/zinc/12/78/37/1127127837.db2.gz MVTVCDVYADJVIQ-LLVKDONJSA-N -1 1 345.469 -0.499 20 0 EBADMM Cc1coc(C(=O)N(C)C[C@@H](O)CN(C)Cc2nc(=O)n(C)[n-]2)c1 ZINC001486346402 1127157637 /nfs/dbraw/zinc/15/76/37/1127157637.db2.gz GHPZUFMTTIAVNC-NSHDSACASA-N -1 1 337.380 -0.425 20 0 EBADMM COCCN(CCNC(=O)c1ncccc1[O-])[C@@H]1CCCNC1=O ZINC001486427319 1127170772 /nfs/dbraw/zinc/17/07/72/1127170772.db2.gz AVGHOPGSSXRZHD-GFCCVEGCSA-N -1 1 336.392 -0.256 20 0 EBADMM C[C@H](CNC(=O)C(C)(F)F)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001486785544 1127254334 /nfs/dbraw/zinc/25/43/34/1127254334.db2.gz TYBBQDVHYKLHQC-SECBINFHSA-N -1 1 346.334 -0.455 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)N(C)C(=O)c1ccco1 ZINC001486928205 1127339848 /nfs/dbraw/zinc/33/98/48/1127339848.db2.gz AZOJRKFPXBCIAJ-NSHDSACASA-N -1 1 348.359 -0.203 20 0 EBADMM COC[C@@](C)(O)C[N-]S(=O)(=O)c1nc[nH]c1Br ZINC001434548181 1127348777 /nfs/dbraw/zinc/34/87/77/1127348777.db2.gz QXFFGWOTPHTMHL-QMMMGPOBSA-N -1 1 328.188 -0.152 20 0 EBADMM COc1cc(S(=O)(=O)[N-]C[C@H]2CCS(=O)(=O)C2)sn1 ZINC001364778190 1127429864 /nfs/dbraw/zinc/42/98/64/1127429864.db2.gz AAPUHSJRKZYDQY-SSDOTTSWSA-N -1 1 326.421 -0.135 20 0 EBADMM Cc1noc(C)c1CC(=O)NC[C@@H](O)CNC(=O)c1ncccc1[O-] ZINC001410114142 1127577606 /nfs/dbraw/zinc/57/76/06/1127577606.db2.gz ZAWLAKRVPKPDAN-LLVKDONJSA-N -1 1 348.359 -0.158 20 0 EBADMM C[C@@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)C1CC(C)C1 ZINC001410345068 1127684469 /nfs/dbraw/zinc/68/44/69/1127684469.db2.gz IOFMFUKKOPDJPY-KYHHOPLUSA-N -1 1 332.364 -0.302 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1CCn2ccnc2C1)NCc1n[nH]c(=O)[n-]1 ZINC001410371088 1127690934 /nfs/dbraw/zinc/69/09/34/1127690934.db2.gz BWOTVMOVIGFFDN-QWRGUYRKSA-N -1 1 333.396 -0.094 20 0 EBADMM Cc1nnc(CN[C@H](CO)CNC(=O)c2ncccc2[O-])n1C1CC1 ZINC001410594490 1127761340 /nfs/dbraw/zinc/76/13/40/1127761340.db2.gz LUDABOHKRLMEBT-NSHDSACASA-N -1 1 346.391 -0.097 20 0 EBADMM C[C@H](C(=O)NC[C@@H](CO)NCc1cc(=O)n2[n-]ccc2n1)C1CC1 ZINC001410586859 1127761521 /nfs/dbraw/zinc/76/15/21/1127761521.db2.gz UCDXACPMWDHOHC-GWCFXTLKSA-N -1 1 333.392 -0.365 20 0 EBADMM C[C@@H](C(=O)NC1(CNC(=O)c2ncccc2[O-])CC1)N1CCOCC1 ZINC001410696324 1127824170 /nfs/dbraw/zinc/82/41/70/1127824170.db2.gz UAXJIWIDHALUIJ-LBPRGKRZSA-N -1 1 348.403 -0.114 20 0 EBADMM O=C(CCn1cncn1)NC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001410697650 1127824930 /nfs/dbraw/zinc/82/49/30/1127824930.db2.gz VJNRYVGUTYAUHO-UHFFFAOYSA-N -1 1 330.348 -0.152 20 0 EBADMM Cc1ccc(C(=O)NC[C@H](CO)NCc2nc(=O)n(C)[n-]2)c(F)c1 ZINC001410728327 1127846381 /nfs/dbraw/zinc/84/63/81/1127846381.db2.gz REBWZFAUVCFVNN-SNVBAGLBSA-N -1 1 337.355 -0.564 20 0 EBADMM Cn1[n-]c(CN[C@@H](CO)CNC(=O)Cc2cccc(F)c2)nc1=O ZINC001410774544 1127877779 /nfs/dbraw/zinc/87/77/79/1127877779.db2.gz DIEFBKKTMVQELA-GFCCVEGCSA-N -1 1 337.355 -0.943 20 0 EBADMM COc1ccsc1C(=O)NC[C@H](CO)NCc1nc(=O)n(C)[n-]1 ZINC001410801243 1127900743 /nfs/dbraw/zinc/90/07/43/1127900743.db2.gz QYFXUNVCVWZHQL-MRVPVSSYSA-N -1 1 341.393 -0.941 20 0 EBADMM COCC1(C(=O)N(C)C[C@H](O)CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001411037756 1127983308 /nfs/dbraw/zinc/98/33/08/1127983308.db2.gz CYPMZXVKXHIGAH-LLVKDONJSA-N -1 1 341.412 -0.374 20 0 EBADMM CN(C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1C[C@H]1c1ccncc1 ZINC001411052798 1127989902 /nfs/dbraw/zinc/98/99/02/1127989902.db2.gz CYDNWDHIEGDEEN-FRRDWIJNSA-N -1 1 346.391 -0.382 20 0 EBADMM C[C@@]1(CNC(=O)CCNC(N)=O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001411073523 1128001823 /nfs/dbraw/zinc/00/18/23/1128001823.db2.gz ROYBCGXDIIYZGS-INIZCTEOSA-N -1 1 349.391 -0.186 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H](O)CN(C)C(=O)c1ccccc1 ZINC001411133278 1128028750 /nfs/dbraw/zinc/02/87/50/1128028750.db2.gz AOAISZNQVSNDOE-ZDUSSCGKSA-N -1 1 333.392 -0.327 20 0 EBADMM CC(C)OCC(=O)NC[C@]1(O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001411142373 1128035771 /nfs/dbraw/zinc/03/57/71/1128035771.db2.gz FREQJWUTTUJQOO-MRXNPFEDSA-N -1 1 337.376 -0.095 20 0 EBADMM O=C(NC[C@@]1(O)CCN(C(=O)c2ncccn2)C1)c1ncccc1[O-] ZINC001411159845 1128057262 /nfs/dbraw/zinc/05/72/62/1128057262.db2.gz NBMIGNZYDGAFGL-INIZCTEOSA-N -1 1 343.343 -0.416 20 0 EBADMM CS[C@@H](C)CC(=O)N(C)C[C@H](O)CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001411163309 1128061228 /nfs/dbraw/zinc/06/12/28/1128061228.db2.gz PQLNDNOFVNDYKD-WDEREUQCSA-N -1 1 345.469 -0.499 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)[C@@H]1CCNC(=O)C1 ZINC001092541421 1128254614 /nfs/dbraw/zinc/25/46/14/1128254614.db2.gz JSPDRFMAWSRHDF-GMTAPVOTSA-N -1 1 336.396 -0.779 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)c1cn(C)c(=O)[nH]1 ZINC001092937537 1128288421 /nfs/dbraw/zinc/28/84/21/1128288421.db2.gz VNKINGRMELWMCG-RKDXNWHRSA-N -1 1 335.368 -0.553 20 0 EBADMM CC(C)C(=O)N1CC(n2cc(CNCc3nc(=O)n(C)[n-]3)nn2)C1 ZINC001093306305 1128314658 /nfs/dbraw/zinc/31/46/58/1128314658.db2.gz UKWWIDJGFSFPBA-UHFFFAOYSA-N -1 1 334.384 -0.971 20 0 EBADMM CC[C@H](C)C(=O)N1CC(n2cc(CNCc3nc(=O)n(C)[n-]3)nn2)C1 ZINC001093305858 1128314798 /nfs/dbraw/zinc/31/47/98/1128314798.db2.gz KQKXYWOZXONXSW-JTQLQIEISA-N -1 1 348.411 -0.581 20 0 EBADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)[C@H](C)NC(=O)C1CCC1 ZINC001411340709 1128334938 /nfs/dbraw/zinc/33/49/38/1128334938.db2.gz LNOCEOAVLJJENI-DTWKUNHWSA-N -1 1 332.364 -0.159 20 0 EBADMM CC(C)CC(=O)N(C)C[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001487697084 1128398236 /nfs/dbraw/zinc/39/82/36/1128398236.db2.gz IZYNERDYRLEILV-LBPRGKRZSA-N -1 1 338.408 -0.064 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C[C@H](C)NC(=O)[C@H](C)OC ZINC001487843383 1128431346 /nfs/dbraw/zinc/43/13/46/1128431346.db2.gz PYKDTUFLXNQDAX-YUMQZZPRSA-N -1 1 342.352 -0.492 20 0 EBADMM COCC1(CC(=O)N[C@H](CO)CNC(=O)c2ncccc2[O-])CC1 ZINC001487979529 1128475593 /nfs/dbraw/zinc/47/55/93/1128475593.db2.gz ZNGBUFUOWSPXBA-NSHDSACASA-N -1 1 337.376 -0.189 20 0 EBADMM CCc1ocnc1C(=O)NC[C@@H](CO)NC(=O)c1ncccc1[O-] ZINC001487967115 1128502268 /nfs/dbraw/zinc/50/22/68/1128502268.db2.gz YNBPJEABNPMEBH-VIFPVBQESA-N -1 1 334.332 -0.142 20 0 EBADMM O=C(N[C@@H](CO)CNC(=O)[C@H]1C[C@@H]1C(F)F)c1ncccc1[O-] ZINC001487986135 1128522073 /nfs/dbraw/zinc/52/20/73/1128522073.db2.gz XRZHEWQYEINIAN-VGMNWLOBSA-N -1 1 329.303 -0.105 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)NC1CC(N(C)C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001488585276 1128799096 /nfs/dbraw/zinc/79/90/96/1128799096.db2.gz PABRFVJYHZOQHN-IKWCTNDRSA-N -1 1 348.403 -0.312 20 0 EBADMM COC(=O)NCC(=O)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001488823911 1128851844 /nfs/dbraw/zinc/85/18/44/1128851844.db2.gz DIRBMVDNMSSUSY-UHFFFAOYSA-N -1 1 348.359 -0.182 20 0 EBADMM CO[C@H](C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C)C(C)C ZINC001489288407 1128943075 /nfs/dbraw/zinc/94/30/75/1128943075.db2.gz BYCBQNOEDAVIPX-MDZLAQPJSA-N -1 1 325.413 -0.142 20 0 EBADMM C[C@@H](CC(N)=O)C(=O)N1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001489735085 1129056242 /nfs/dbraw/zinc/05/62/42/1129056242.db2.gz PUEGBPUMIXDMNJ-WDEREUQCSA-N -1 1 334.376 -0.028 20 0 EBADMM CCOCCC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001490178641 1129134649 /nfs/dbraw/zinc/13/46/49/1129134649.db2.gz MKZJVMVRZGUNDQ-YPMHNXCESA-N -1 1 337.376 -0.095 20 0 EBADMM CCN(CCCNC(=O)[C@H]1CCNC(=O)CC1)Cc1n[nH]c(=O)[n-]1 ZINC001490337650 1129166987 /nfs/dbraw/zinc/16/69/87/1129166987.db2.gz KHJDZCAGSYNPLO-LLVKDONJSA-N -1 1 338.412 -0.245 20 0 EBADMM C/C=C(\C)C(=O)NCC1(NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001358654741 1131244836 /nfs/dbraw/zinc/24/48/36/1131244836.db2.gz ALDPBGWJGKYSHM-YCRREMRBSA-N -1 1 330.348 -0.238 20 0 EBADMM CN(C(=O)C(=O)NCC(F)F)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001358738379 1131271463 /nfs/dbraw/zinc/27/14/63/1131271463.db2.gz KISCRBVSZJBERO-UHFFFAOYSA-N -1 1 342.302 -0.549 20 0 EBADMM O=C(NCCS(=O)(=O)N1CCSCC1)c1ccc([O-])cn1 ZINC001411770938 1129377554 /nfs/dbraw/zinc/37/75/54/1129377554.db2.gz DOQHKWOQRVRZKY-UHFFFAOYSA-N -1 1 331.419 -0.104 20 0 EBADMM CSc1ncc(C(=O)N(C)C[C@@H](O)CN2CCOCC2)c(=O)[n-]1 ZINC001411878761 1129396774 /nfs/dbraw/zinc/39/67/74/1129396774.db2.gz KIVPZZKICJMZRZ-SNVBAGLBSA-N -1 1 342.421 -0.331 20 0 EBADMM COCC(=O)N[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1(C)C ZINC001412033218 1129431969 /nfs/dbraw/zinc/43/19/69/1129431969.db2.gz PJUIZUQVLWLADF-JTQLQIEISA-N -1 1 348.363 -0.969 20 0 EBADMM CC(C)CN1N=C(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)CCC1=O ZINC001412054307 1129436722 /nfs/dbraw/zinc/43/67/22/1129436722.db2.gz CXWCCPOKSADPEN-UHFFFAOYSA-N -1 1 349.395 -0.356 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N1CCC[C@@H](CN2CCOCC2)C1 ZINC001412075822 1129441532 /nfs/dbraw/zinc/44/15/32/1129441532.db2.gz ULRAVBPMQHJTSF-NSHDSACASA-N -1 1 325.369 -0.740 20 0 EBADMM CC(C)[C@@H](CCNC(=O)[C@H]1CNC(=O)N1)NC(=O)c1ncccc1[O-] ZINC001412321294 1129501869 /nfs/dbraw/zinc/50/18/69/1129501869.db2.gz JLHDDNNQYATITM-GHMZBOCLSA-N -1 1 349.391 -0.271 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCN(C[C@@H]2CCCO2)CC1 ZINC001412351540 1129506896 /nfs/dbraw/zinc/50/68/96/1129506896.db2.gz KYSGMFUFPHKJTK-ZDUSSCGKSA-N -1 1 348.403 -0.160 20 0 EBADMM Cc1cnc(C(=O)NC2(C(N)=O)CCS(=O)(=O)CC2)c([O-])c1 ZINC001412473846 1129536673 /nfs/dbraw/zinc/53/66/73/1129536673.db2.gz RREQWSMATPMTLU-UHFFFAOYSA-N -1 1 327.362 -0.742 20 0 EBADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)[C@@H]1CC(=O)N(C)C1 ZINC001412489500 1129540689 /nfs/dbraw/zinc/54/06/89/1129540689.db2.gz JEPGXXVVPFJAFG-GHMZBOCLSA-N -1 1 334.376 -0.158 20 0 EBADMM O=C(CCn1cnccc1=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC001412493676 1129541369 /nfs/dbraw/zinc/54/13/69/1129541369.db2.gz RKJNAJUAIBVEOL-UHFFFAOYSA-N -1 1 332.282 -0.230 20 0 EBADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)[C@@H]1CCNC(=O)C1 ZINC001412501608 1129543932 /nfs/dbraw/zinc/54/39/32/1129543932.db2.gz WGRZFNRVPXJGFD-GHMZBOCLSA-N -1 1 334.376 -0.110 20 0 EBADMM COC[C@@H](NC(=O)CN1CCc2ccccc2C1=O)c1nn[n-]n1 ZINC001412598592 1129571240 /nfs/dbraw/zinc/57/12/40/1129571240.db2.gz BALYGQIFPIKMFE-GFCCVEGCSA-N -1 1 330.348 -0.298 20 0 EBADMM O=C(C[C@@H]1C=CS(=O)(=O)C1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001412614830 1129577455 /nfs/dbraw/zinc/57/74/55/1129577455.db2.gz TWBPOZHLWIPNOO-QWRGUYRKSA-N -1 1 325.394 -0.071 20 0 EBADMM Cc1cc(O)cc(=O)n1CC(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001412618884 1129578266 /nfs/dbraw/zinc/57/82/66/1129578266.db2.gz YBBCVGHTJBLNMW-NSHDSACASA-N -1 1 332.364 -0.143 20 0 EBADMM O=C(NC[C@H](CO)NC(=O)c1ncccc1F)c1ncccc1[O-] ZINC001412803906 1129661563 /nfs/dbraw/zinc/66/15/63/1129661563.db2.gz FGHISCFBKZVLMO-SECBINFHSA-N -1 1 334.307 -0.158 20 0 EBADMM C[C@@H](CC(=O)N[C@H](CO)CNC(=O)c1ncccc1[O-])n1cccn1 ZINC001412804757 1129661875 /nfs/dbraw/zinc/66/18/75/1129661875.db2.gz FWGWBZDXXWDPEL-RYUDHWBXSA-N -1 1 347.375 -0.158 20 0 EBADMM COC(=O)[C@@]1(CNC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)CCOC1 ZINC001412814405 1129670264 /nfs/dbraw/zinc/67/02/64/1129670264.db2.gz SFLLDBGGCCEYBW-CYBMUJFWSA-N -1 1 327.293 -0.794 20 0 EBADMM O=C(CCC(=O)N1CCC(O)(c2nn[n-]n2)CC1)NC1CCCC1 ZINC001412899772 1129763856 /nfs/dbraw/zinc/76/38/56/1129763856.db2.gz MIXJYTSBDVNFPJ-UHFFFAOYSA-N -1 1 336.396 -0.151 20 0 EBADMM C[C@@H](C(=O)N1CCC(O)(c2nn[n-]n2)CC1)[C@H](C)S(C)(=O)=O ZINC001412897890 1129767030 /nfs/dbraw/zinc/76/70/30/1129767030.db2.gz IKTFBSLWUQQYET-BDAKNGLRSA-N -1 1 331.398 -0.921 20 0 EBADMM C[C@H]([C@@H](C)C(=O)N1CCC(O)(c2nn[n-]n2)CC1)S(C)(=O)=O ZINC001412897932 1129767150 /nfs/dbraw/zinc/76/71/50/1129767150.db2.gz IKTFBSLWUQQYET-RKDXNWHRSA-N -1 1 331.398 -0.921 20 0 EBADMM CC(=O)Nc1cnccc1C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001412906509 1129778462 /nfs/dbraw/zinc/77/84/62/1129778462.db2.gz ZYIBOLKRPDPZDQ-UHFFFAOYSA-N -1 1 331.336 -0.323 20 0 EBADMM CSc1nc(CNC(=O)CN(C)CC(=O)NC(C)C)cc(=O)[n-]1 ZINC001413202820 1129947417 /nfs/dbraw/zinc/94/74/17/1129947417.db2.gz YGDIFXAZIQTINY-UHFFFAOYSA-N -1 1 341.437 -0.023 20 0 EBADMM CCO[C@@H](C)C(=O)NC[C@@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001413251985 1129972225 /nfs/dbraw/zinc/97/22/25/1129972225.db2.gz SFXJRDBRJUWORP-WDEREUQCSA-N -1 1 325.365 -0.239 20 0 EBADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H](C)CS(C)(=O)=O)n[n-]1 ZINC001413275153 1129983819 /nfs/dbraw/zinc/98/38/19/1129983819.db2.gz VXOZEIQGXXMJSI-YUMQZZPRSA-N -1 1 332.382 -0.161 20 0 EBADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H](C)CS(C)(=O)=O)[n-]1 ZINC001413275153 1129983823 /nfs/dbraw/zinc/98/38/23/1129983823.db2.gz VXOZEIQGXXMJSI-YUMQZZPRSA-N -1 1 332.382 -0.161 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H](C)CS(C)(=O)=O)n1 ZINC001413275153 1129983825 /nfs/dbraw/zinc/98/38/25/1129983825.db2.gz VXOZEIQGXXMJSI-YUMQZZPRSA-N -1 1 332.382 -0.161 20 0 EBADMM CNC(=O)NC[C@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)CCO1 ZINC001413275170 1129983853 /nfs/dbraw/zinc/98/38/53/1129983853.db2.gz ZXDKRMNJMUPHMM-JTQLQIEISA-N -1 1 335.364 -0.170 20 0 EBADMM COC(=O)[C@@H]1CN(S(=O)(=O)c2cc(OC)ccc2[O-])CCO1 ZINC001413293190 1129996424 /nfs/dbraw/zinc/99/64/24/1129996424.db2.gz WNXGULVNOVCIBG-NSHDSACASA-N -1 1 331.346 -0.037 20 0 EBADMM CCS(=O)(=O)C[C@@H](C)NS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001413322294 1130014349 /nfs/dbraw/zinc/01/43/49/1130014349.db2.gz TXJCDUAFPDOKNC-SSDOTTSWSA-N -1 1 339.395 -0.702 20 0 EBADMM CCS(=O)(=O)C[C@@H](C)NS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001413322294 1130014352 /nfs/dbraw/zinc/01/43/52/1130014352.db2.gz TXJCDUAFPDOKNC-SSDOTTSWSA-N -1 1 339.395 -0.702 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H](CCO)C2CCOCC2)[n-]n1 ZINC001413328345 1130016798 /nfs/dbraw/zinc/01/67/98/1130016798.db2.gz RINRSRUQONYLTK-JTQLQIEISA-N -1 1 347.393 -0.348 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H](CCO)C2CCOCC2)n[n-]1 ZINC001413328345 1130016801 /nfs/dbraw/zinc/01/68/01/1130016801.db2.gz RINRSRUQONYLTK-JTQLQIEISA-N -1 1 347.393 -0.348 20 0 EBADMM COc1ccc(C(=O)CNC(=O)CCC(=O)N(C)c2nn[n-]n2)cc1 ZINC001413329254 1130018153 /nfs/dbraw/zinc/01/81/53/1130018153.db2.gz VCZPOMMGENRORX-UHFFFAOYSA-N -1 1 346.347 -0.050 20 0 EBADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)C[C@H]1CCCS(=O)(=O)C1 ZINC001413366806 1130052736 /nfs/dbraw/zinc/05/27/36/1130052736.db2.gz SICJWMZEZAEZMM-VHSXEESVSA-N -1 1 339.435 -0.318 20 0 EBADMM CCN1C[C@H](S(=O)(=O)[N-]C2(C(=O)OC)CCOCC2)CC1=O ZINC001413342698 1130030651 /nfs/dbraw/zinc/03/06/51/1130030651.db2.gz VINQBNWURMBSFR-SNVBAGLBSA-N -1 1 334.394 -0.751 20 0 EBADMM COCCC(=O)N(C)C[C@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001413343552 1130031232 /nfs/dbraw/zinc/03/12/32/1130031232.db2.gz WGVXHUQDCKJKJM-NSHDSACASA-N -1 1 325.365 -0.285 20 0 EBADMM O=S(=O)([N-]Cc1cc(Br)no1)[C@@H]1COC[C@H]1O ZINC001413355288 1130040140 /nfs/dbraw/zinc/04/01/40/1130040140.db2.gz MGSHRNHZVCSXKR-RNFRBKRXSA-N -1 1 327.156 -0.384 20 0 EBADMM CN(C[C@@H](O)CN(C)C(=O)c1ncccc1[O-])C(=O)Cc1cnoc1 ZINC001413370610 1130056958 /nfs/dbraw/zinc/05/69/58/1130056958.db2.gz FEMAVPFPXJXOGK-GFCCVEGCSA-N -1 1 348.359 -0.091 20 0 EBADMM CN(C[C@@H](O)CN(C)C(=O)c1ncccc1[O-])C(=O)c1cnn(C)n1 ZINC001413379140 1130064405 /nfs/dbraw/zinc/06/44/05/1130064405.db2.gz RLBJYOSRHCNJSO-SNVBAGLBSA-N -1 1 348.363 -0.879 20 0 EBADMM Cn1cc2c(n1)CCC[C@@H]2[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001413423976 1130082562 /nfs/dbraw/zinc/08/25/62/1130082562.db2.gz BWRVQVCEOJXISD-JTQLQIEISA-N -1 1 339.377 -0.425 20 0 EBADMM Cn1cc2c(n1)CCC[C@H]2[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001413423987 1130082824 /nfs/dbraw/zinc/08/28/24/1130082824.db2.gz BWRVQVCEOJXISD-SNVBAGLBSA-N -1 1 339.377 -0.425 20 0 EBADMM COC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)CCS(=O)(=O)N(C)C ZINC001413428285 1130084931 /nfs/dbraw/zinc/08/49/31/1130084931.db2.gz KESPYEHNMHKFKJ-JTQLQIEISA-N -1 1 344.455 -0.615 20 0 EBADMM CCN1CCC[C@H]([N-]S(=O)(=O)c2n[nH]c(C)c2C(=O)OC)C1=O ZINC001413436799 1130088634 /nfs/dbraw/zinc/08/86/34/1130088634.db2.gz LHZALOKQCDJPEG-VIFPVBQESA-N -1 1 344.393 -0.206 20 0 EBADMM O=C(c1cc(N2CCNC(=O)C2)ccn1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001413474529 1130100683 /nfs/dbraw/zinc/10/06/83/1130100683.db2.gz ZDTSOANHBBJLTK-JTQLQIEISA-N -1 1 342.363 -0.839 20 0 EBADMM COC[C@H](NC(=O)Cn1ncc(Br)c1C)c1nn[n-]n1 ZINC001413554889 1130132130 /nfs/dbraw/zinc/13/21/30/1130132130.db2.gz PAMAENKQUVVVNG-QMMMGPOBSA-N -1 1 344.173 -0.029 20 0 EBADMM Cc1cc(C(=O)NCCNC(=O)CCn2cc[n-]c(=O)c2=O)oc1C ZINC001491228343 1130366459 /nfs/dbraw/zinc/36/64/59/1130366459.db2.gz VKISFPHQDLXUDY-UHFFFAOYSA-N -1 1 348.359 -0.317 20 0 EBADMM O=C(NCCNC(=O)[C@@H]1OC[C@@H]2COCC[C@H]12)c1ncccc1[O-] ZINC001491244958 1130370879 /nfs/dbraw/zinc/37/08/79/1130370879.db2.gz FOAOPLBGMSTDHG-COPLHBTASA-N -1 1 335.360 -0.315 20 0 EBADMM CCCNC(=O)NC(=O)CN(C)CCNC(=O)c1ncccc1[O-] ZINC001492232166 1130605183 /nfs/dbraw/zinc/60/51/83/1130605183.db2.gz QTBHESQRBLQSIF-UHFFFAOYSA-N -1 1 337.380 -0.315 20 0 EBADMM CCNC(=O)NC(=O)[C@@H](C)N(C)CCNC(=O)c1ncccc1[O-] ZINC001492231427 1130605552 /nfs/dbraw/zinc/60/55/52/1130605552.db2.gz AKJKNHVMBJDSPL-SNVBAGLBSA-N -1 1 337.380 -0.317 20 0 EBADMM CN(CCNC(=O)c1cnc2nccnc2c1)Cc1nc(=O)n(C)[n-]1 ZINC001492285088 1130618384 /nfs/dbraw/zinc/61/83/84/1130618384.db2.gz WJRDYIMHQATTPT-UHFFFAOYSA-N -1 1 342.363 -0.692 20 0 EBADMM Cc1nc[nH]c(=O)c1CCC(=O)NCC[N@@H+](C)[C@@H]1CCN(C)C1=O ZINC001492318469 1130625876 /nfs/dbraw/zinc/62/58/76/1130625876.db2.gz YISGIXGMKUMDGE-CYBMUJFWSA-N -1 1 335.408 -0.298 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)[C@]1(F)CCOC1 ZINC001479240532 1130732734 /nfs/dbraw/zinc/73/27/34/1130732734.db2.gz GXFXGPYCHDPDOY-YGRLFVJLSA-N -1 1 327.360 -0.730 20 0 EBADMM C/C=C(\C)C(=O)NC[C@H](C)CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001357016012 1131024965 /nfs/dbraw/zinc/02/49/65/1131024965.db2.gz VBNTZFVIYRKMMF-YNYSXPKMSA-N -1 1 332.364 -0.134 20 0 EBADMM CC(C)[C@@H](CNC(=O)[C@H]1CCNC1=O)NC(=O)c1ncccc1[O-] ZINC001357108673 1131051594 /nfs/dbraw/zinc/05/15/94/1131051594.db2.gz PEDAMGJKUATQQX-WDEREUQCSA-N -1 1 334.376 -0.206 20 0 EBADMM CN(CCN(C)C(=O)c1cnc(C2CC2)[n-]c1=O)C(=O)CC(N)=O ZINC001357190143 1131057227 /nfs/dbraw/zinc/05/72/27/1131057227.db2.gz MWLXSXDVELIVTA-UHFFFAOYSA-N -1 1 335.364 -0.535 20 0 EBADMM CN(CCN(C)C(=O)c1cc(=O)n2[n-]cnc2n1)C(=O)c1cc[nH]c1 ZINC001357245079 1131061133 /nfs/dbraw/zinc/06/11/33/1131061133.db2.gz KWPFODINKNKFKA-UHFFFAOYSA-N -1 1 343.347 -0.410 20 0 EBADMM CN(Cc1nc2ccccc2n1C)C(=O)[C@@]1(C(=O)[O-])CNCCO1 ZINC001357404424 1131080398 /nfs/dbraw/zinc/08/03/98/1131080398.db2.gz MTQYPAXCICHWMU-MRXNPFEDSA-N -1 1 332.360 -0.025 20 0 EBADMM CC[C@@H](OC)C(=O)NC/C=C\CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001357645527 1131110766 /nfs/dbraw/zinc/11/07/66/1131110766.db2.gz FGNNSRVDNODAMY-DOGVGXBMSA-N -1 1 348.363 -0.755 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2Cc3cccnc3N3CCC[C@H]3C2)CNCCO1 ZINC001357999523 1131160090 /nfs/dbraw/zinc/16/00/90/1131160090.db2.gz HKQVWMXSABIGIJ-SUMWQHHRSA-N -1 1 346.387 -0.164 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ccn2nnnc2c1 ZINC001358300823 1131179145 /nfs/dbraw/zinc/17/91/45/1131179145.db2.gz JFZJHRJIRVPRRK-SECBINFHSA-N -1 1 341.331 -0.227 20 0 EBADMM CCN(C(=O)c1[nH]c(=O)[n-]c(=O)c1OC)[C@@H]1CCS(=O)(=O)C1 ZINC001361626517 1131430428 /nfs/dbraw/zinc/43/04/28/1131430428.db2.gz QUNRSKVEVVKWRA-SSDOTTSWSA-N -1 1 331.350 -0.454 20 0 EBADMM CNC(=O)Cn1cnc([N-]C(=O)c2coc(S(C)(=O)=O)c2)n1 ZINC001361718693 1131444715 /nfs/dbraw/zinc/44/47/15/1131444715.db2.gz WQNKQCOKHGIAJW-UHFFFAOYSA-N -1 1 327.322 -0.727 20 0 EBADMM NC(=O)c1ccc(N2CCN(C(=O)CCCc3nn[n-]n3)CC2)cc1 ZINC001361984113 1131492617 /nfs/dbraw/zinc/49/26/17/1131492617.db2.gz WDRZTFMNBDLDRR-UHFFFAOYSA-N -1 1 343.391 -0.030 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCS(=O)(=O)CC1CC1 ZINC001362084716 1131512293 /nfs/dbraw/zinc/51/22/93/1131512293.db2.gz DEBLAYCDXLMIKY-UHFFFAOYSA-N -1 1 331.350 -0.549 20 0 EBADMM NC(=O)c1ccc(CCC(=O)N2CCO[C@H](c3nn[n-]n3)C2)cc1 ZINC001362121321 1131519088 /nfs/dbraw/zinc/51/90/88/1131519088.db2.gz DPIPMVATDHDKCY-LBPRGKRZSA-N -1 1 330.348 -0.169 20 0 EBADMM COC(=O)N1CCC[C@@H](NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC001362198010 1131534087 /nfs/dbraw/zinc/53/40/87/1131534087.db2.gz GEDQJSJXAMVCGO-SSDOTTSWSA-N -1 1 326.309 -0.143 20 0 EBADMM COC(=O)Cc1nc([N-]C(=O)c2nnn(C)c2C(F)(F)F)n[nH]1 ZINC001362334264 1131562607 /nfs/dbraw/zinc/56/26/07/1131562607.db2.gz BYZSWKGCJJEXKI-UHFFFAOYSA-N -1 1 333.230 -0.080 20 0 EBADMM O=C(CCc1nn[n-]n1)N[C@H]1CCCN(Cc2ccccn2)C1=O ZINC001362349098 1131565479 /nfs/dbraw/zinc/56/54/79/1131565479.db2.gz DIAKSTRUGJTPDL-LBPRGKRZSA-N -1 1 329.364 -0.165 20 0 EBADMM CC(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362405270 1131576509 /nfs/dbraw/zinc/57/65/09/1131576509.db2.gz FFFZOQWXIXZPRL-NEPJUHHUSA-N -1 1 349.395 -0.967 20 0 EBADMM C[C@H](O)CN1CCN(C(=O)CCCn2c(=O)[n-][nH]c2=O)[C@@H](C)C1 ZINC001362411525 1131578049 /nfs/dbraw/zinc/57/80/49/1131578049.db2.gz WRCXGTAQXVMJPN-QWRGUYRKSA-N -1 1 327.385 -0.617 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N1CCC[C@H](CN2CCOCC2)C1 ZINC001362438374 1131583515 /nfs/dbraw/zinc/58/35/15/1131583515.db2.gz ULRAVBPMQHJTSF-LLVKDONJSA-N -1 1 325.369 -0.740 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@@H](C)O[C@]2(CCOC2)C1 ZINC001362440329 1131584109 /nfs/dbraw/zinc/58/41/09/1131584109.db2.gz STVQDLJCOXRBJO-XLKFXECMSA-N -1 1 325.321 -0.084 20 0 EBADMM O=C(c1cnc2c(c1)NC(=O)CO2)N1CCSC[C@H]1c1nn[n-]n1 ZINC001362522765 1131601285 /nfs/dbraw/zinc/60/12/85/1131601285.db2.gz XVLRMRBWHYNQGF-VIFPVBQESA-N -1 1 347.360 -0.144 20 0 EBADMM CCOC(=O)[C@H](NC(=O)CCCc1nn[n-]n1)[C@@H]1CCCOC1 ZINC001362534854 1131604759 /nfs/dbraw/zinc/60/47/59/1131604759.db2.gz UEIKZSOOQXQNAA-ZWNOBZJWSA-N -1 1 325.369 -0.003 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1COC2(CCOCC2)O1 ZINC001362573731 1131615251 /nfs/dbraw/zinc/61/52/51/1131615251.db2.gz YEZRKCPZBCTEOV-QMMMGPOBSA-N -1 1 341.320 -0.452 20 0 EBADMM CCS(=O)(=O)CCn1cc(C)c(NC(=O)CCc2nn[n-]n2)n1 ZINC001362599220 1131621059 /nfs/dbraw/zinc/62/10/59/1131621059.db2.gz KQAXBOXQHJDIIS-UHFFFAOYSA-N -1 1 341.397 -0.289 20 0 EBADMM CS(=O)(=O)N[C@H]1CCCC[C@@H]1CNC(=O)CCc1nn[n-]n1 ZINC001362639060 1131629959 /nfs/dbraw/zinc/62/99/59/1131629959.db2.gz MYVJAUNOKCZJDU-ZJUUUORDSA-N -1 1 330.414 -0.644 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCN([C@@H]2CCOC2)CC1 ZINC001362645260 1131631741 /nfs/dbraw/zinc/63/17/41/1131631741.db2.gz CZPKKAKHNVIGAO-GFCCVEGCSA-N -1 1 334.376 -0.550 20 0 EBADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C2CN(S(C)(=O)=O)C2)n[n-]1 ZINC001362658397 1131634899 /nfs/dbraw/zinc/63/48/99/1131634899.db2.gz HEXWEGGXMRMNHO-SSDOTTSWSA-N -1 1 345.381 -0.950 20 0 EBADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C2CN(S(C)(=O)=O)C2)[n-]1 ZINC001362658397 1131634902 /nfs/dbraw/zinc/63/49/02/1131634902.db2.gz HEXWEGGXMRMNHO-SSDOTTSWSA-N -1 1 345.381 -0.950 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C2CN(S(C)(=O)=O)C2)n1 ZINC001362658397 1131634906 /nfs/dbraw/zinc/63/49/06/1131634906.db2.gz HEXWEGGXMRMNHO-SSDOTTSWSA-N -1 1 345.381 -0.950 20 0 EBADMM COC(=O)[C@@H]1CC[C@H](C(=O)OC)N(C(=O)CCCc2nn[n-]n2)C1 ZINC001362670484 1131638269 /nfs/dbraw/zinc/63/82/69/1131638269.db2.gz IRFGUISMWRSNKT-NXEZZACHSA-N -1 1 339.352 -0.524 20 0 EBADMM CCC[C@@H](C(=O)N1CCSC[C@@H]1c1nn[n-]n1)S(N)(=O)=O ZINC001362676053 1131638508 /nfs/dbraw/zinc/63/85/08/1131638508.db2.gz XTGRRJDMJJHVRD-SFYZADRCSA-N -1 1 334.427 -0.727 20 0 EBADMM O=C([C@@H]1C[C@H](O)CN1c1ccncn1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362690650 1131642394 /nfs/dbraw/zinc/64/23/94/1131642394.db2.gz UYPSASVBWLJGDW-SRVKXCTJSA-N -1 1 344.379 -0.665 20 0 EBADMM CS(=O)(=O)CC1(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)COC1 ZINC001362701389 1131645850 /nfs/dbraw/zinc/64/58/50/1131645850.db2.gz GTCFIAKGOSCAEP-SECBINFHSA-N -1 1 345.377 -0.458 20 0 EBADMM COC(=O)[C@H](CO)NC(=O)Cc1c(C)nc(-c2ccncc2)[n-]c1=O ZINC001362710115 1131649045 /nfs/dbraw/zinc/64/90/45/1131649045.db2.gz BRHXYJZXAWGRKJ-LBPRGKRZSA-N -1 1 346.343 -0.667 20 0 EBADMM CC(C)(NS(C)(=O)=O)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001362716034 1131650812 /nfs/dbraw/zinc/65/08/12/1131650812.db2.gz NLTSFCFRTVNWAR-SNVBAGLBSA-N -1 1 338.393 -0.267 20 0 EBADMM NC(=O)COc1ccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc1 ZINC001362748038 1131661648 /nfs/dbraw/zinc/66/16/48/1131661648.db2.gz MGKUWNFAKCLZLG-LLVKDONJSA-N -1 1 332.320 -0.723 20 0 EBADMM COC(=O)CCNC(=O)CCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001362757283 1131664757 /nfs/dbraw/zinc/66/47/57/1131664757.db2.gz KDEILILITCGUQE-UHFFFAOYSA-N -1 1 336.348 -0.141 20 0 EBADMM NC(=O)c1ncccc1C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC001362810698 1131682260 /nfs/dbraw/zinc/68/22/60/1131682260.db2.gz LNJVNEQLWGBMFD-UHFFFAOYSA-N -1 1 330.266 -0.069 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCNC(=O)CC12CCC2 ZINC001362856677 1131696794 /nfs/dbraw/zinc/69/67/94/1131696794.db2.gz CGAYWIXSNOCJAN-UHFFFAOYSA-N -1 1 332.360 -0.212 20 0 EBADMM C[C@@H]1CCCCN1NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC001362872035 1131701889 /nfs/dbraw/zinc/70/18/89/1131701889.db2.gz HXPMIBVVKIXNHG-SECBINFHSA-N -1 1 344.393 -0.438 20 0 EBADMM NC(=O)c1ccc(CC(=O)N2CCSC[C@@H]2c2nn[n-]n2)cn1 ZINC001362966496 1131727485 /nfs/dbraw/zinc/72/74/85/1131727485.db2.gz ARGQYCDMLLFQPY-SNVBAGLBSA-N -1 1 333.377 -0.447 20 0 EBADMM CC(C)(C(=O)N1CCC(O)(c2nn[n-]n2)CC1)S(=O)(=O)C1CC1 ZINC001363028645 1131753607 /nfs/dbraw/zinc/75/36/07/1131753607.db2.gz NTQJNPGMUBPBFO-UHFFFAOYSA-N -1 1 343.409 -0.635 20 0 EBADMM COc1cnc([C@@H]2CCCN2C(=O)[C@H]2CCS(=O)(=O)N2)[n-]c1=O ZINC001363039821 1131757433 /nfs/dbraw/zinc/75/74/33/1131757433.db2.gz LVERHMSJZFEVLG-BDAKNGLRSA-N -1 1 342.377 -0.454 20 0 EBADMM CCOC(=O)[C@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])CCO1 ZINC001363039900 1131757580 /nfs/dbraw/zinc/75/75/80/1131757580.db2.gz NDYPMDDBCKWTPU-LLVKDONJSA-N -1 1 337.332 -0.693 20 0 EBADMM CC(C)C(=O)N1CCC(C(=O)N2CCOC[C@H]2c2nn[n-]n2)CC1 ZINC001363115821 1131790445 /nfs/dbraw/zinc/79/04/45/1131790445.db2.gz GDGMTXQIALVUNC-LBPRGKRZSA-N -1 1 336.396 -0.006 20 0 EBADMM COC(=O)c1c(NC(=O)CCc2cncn2C)n[n-]c1OCCO ZINC001363119928 1131792424 /nfs/dbraw/zinc/79/24/24/1131792424.db2.gz RDWSGUBITKLLLV-UHFFFAOYSA-N -1 1 337.336 -0.128 20 0 EBADMM COC(=O)c1c(OCCO)n[n-]c1NC(=O)CCc1cncn1C ZINC001363119928 1131792434 /nfs/dbraw/zinc/79/24/34/1131792434.db2.gz RDWSGUBITKLLLV-UHFFFAOYSA-N -1 1 337.336 -0.128 20 0 EBADMM CNC(=O)NC[C@H]1CCCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC001363180535 1131818578 /nfs/dbraw/zinc/81/85/78/1131818578.db2.gz VUDAJPFZXJZLFV-LLVKDONJSA-N -1 1 349.391 -0.173 20 0 EBADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@H]2CC[C@@H](C(N)=O)O2)C1 ZINC001363196152 1131825995 /nfs/dbraw/zinc/82/59/95/1131825995.db2.gz OMBNMSTZDHBQFD-SXMVTHIZSA-N -1 1 337.298 -0.311 20 0 EBADMM CCOC(=O)c1c[n-]c(NC(=O)c2cn(C(F)(F)CO)nn2)n1 ZINC001363212507 1131833796 /nfs/dbraw/zinc/83/37/96/1131833796.db2.gz VTMFDWBNNFJIRY-UHFFFAOYSA-N -1 1 330.251 -0.028 20 0 EBADMM CCOC(=O)c1nc([C@H](C)NC(=O)CCCS(C)(=O)=O)n[n-]1 ZINC001363232811 1131841135 /nfs/dbraw/zinc/84/11/35/1131841135.db2.gz TWUXAURXLAUGFY-QMMMGPOBSA-N -1 1 332.382 -0.017 20 0 EBADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CCCS(C)(=O)=O)[n-]1 ZINC001363232811 1131841142 /nfs/dbraw/zinc/84/11/42/1131841142.db2.gz TWUXAURXLAUGFY-QMMMGPOBSA-N -1 1 332.382 -0.017 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CCCS(C)(=O)=O)n1 ZINC001363232811 1131841148 /nfs/dbraw/zinc/84/11/48/1131841148.db2.gz TWUXAURXLAUGFY-QMMMGPOBSA-N -1 1 332.382 -0.017 20 0 EBADMM C[C@H](NC(=O)CN1CCC(c2n[n-]c(=O)o2)CC1)C(=O)N(C)C ZINC001363235783 1131842860 /nfs/dbraw/zinc/84/28/60/1131842860.db2.gz MZZBDASFYJIPEY-VIFPVBQESA-N -1 1 325.369 -0.453 20 0 EBADMM CS(=O)(=O)N1CCC(CC(=O)N2CC[C@@H](c3nn[n-]n3)C2)CC1 ZINC001363313991 1131892701 /nfs/dbraw/zinc/89/27/01/1131892701.db2.gz CBTPPBNVIFBEGP-LLVKDONJSA-N -1 1 342.425 -0.423 20 0 EBADMM NS(=O)(=O)c1ccsc1C(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001363326680 1131900699 /nfs/dbraw/zinc/90/06/99/1131900699.db2.gz WUIKAYOJTDKNNS-ZCFIWIBFSA-N -1 1 328.379 -0.462 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H](CO)C[C@H]2CCCO2)co1 ZINC001363441818 1131951360 /nfs/dbraw/zinc/95/13/60/1131951360.db2.gz UJAKPYVUPHFQHF-WDEREUQCSA-N -1 1 332.378 -0.153 20 0 EBADMM C[C@H]1CN(CCNC(=O)CNC(=O)c2ncccc2[O-])[C@@H](C)CO1 ZINC001363473181 1131963437 /nfs/dbraw/zinc/96/34/37/1131963437.db2.gz FGTANKFTKDVGLF-RYUDHWBXSA-N -1 1 336.392 -0.258 20 0 EBADMM Cc1nc(CS(C)(=O)=O)sc1CC(=O)N(C)c1nn[n-]n1 ZINC001363492051 1131972928 /nfs/dbraw/zinc/97/29/28/1131972928.db2.gz WHSUGKPOIOMHBU-UHFFFAOYSA-N -1 1 330.395 -0.285 20 0 EBADMM CC(C)(C)[C@H](NC(N)=O)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001363528481 1131995741 /nfs/dbraw/zinc/99/57/41/1131995741.db2.gz PGCXFBFDOUFCDJ-LLVKDONJSA-N -1 1 337.380 -0.046 20 0 EBADMM CO[C@@]1(CNC(=O)c2cnc(C3CC3)[n-]c2=O)CCS(=O)(=O)C1 ZINC001363534841 1132000294 /nfs/dbraw/zinc/00/02/94/1132000294.db2.gz OVYIWOGBZIPATJ-CQSZACIVSA-N -1 1 341.389 -0.007 20 0 EBADMM C[C@H](CS(C)(=O)=O)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001363694839 1132059572 /nfs/dbraw/zinc/05/95/72/1132059572.db2.gz ZUHKNSSNNXDQEA-MRVPVSSYSA-N -1 1 329.378 -0.448 20 0 EBADMM CN(CC[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)c1ccccc1 ZINC001363748787 1132075005 /nfs/dbraw/zinc/07/50/05/1132075005.db2.gz NXPZVPFCMVVVHC-UHFFFAOYSA-N -1 1 338.389 -0.099 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC(F)CC2)o1 ZINC001363775104 1132084063 /nfs/dbraw/zinc/08/40/63/1132084063.db2.gz OJRDNGJONIXGBH-UHFFFAOYSA-N -1 1 333.341 -0.383 20 0 EBADMM CC(C)C(=O)NC[C@@H]1CCCN(Cc2cc(=O)n3[n-]c(N)nc3n2)C1 ZINC001363781737 1132086851 /nfs/dbraw/zinc/08/68/51/1132086851.db2.gz URFDIDWRYHHGRH-NSHDSACASA-N -1 1 347.423 -0.016 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@H](O)c2ccccc2F)c(=O)[nH]c1=O ZINC001363787784 1132088175 /nfs/dbraw/zinc/08/81/75/1132088175.db2.gz SZKDOOWQZQTDRU-JTQLQIEISA-N -1 1 343.336 -0.363 20 0 EBADMM NS(=O)(=O)c1ccc([O-])c(C(=O)N[C@@H]2CN3CCC2CC3)c1 ZINC001363796932 1132091558 /nfs/dbraw/zinc/09/15/58/1132091558.db2.gz SHSZZCNUHAHMMI-GFCCVEGCSA-N -1 1 325.390 -0.136 20 0 EBADMM CNC(=O)NC[C@@H]1CN(Cc2nc3c(c(=O)[n-]2)COCC3)CCO1 ZINC001363806058 1132094556 /nfs/dbraw/zinc/09/45/56/1132094556.db2.gz KPKPLORKFUPPBL-SNVBAGLBSA-N -1 1 337.380 -0.615 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC2=C(CCC(=O)N2)C1 ZINC001363942978 1132137655 /nfs/dbraw/zinc/13/76/55/1132137655.db2.gz XIHWUVCRPKHHEH-UHFFFAOYSA-N -1 1 330.344 -0.087 20 0 EBADMM C[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)C(=O)NC(C)(C)C ZINC001363950375 1132140534 /nfs/dbraw/zinc/14/05/34/1132140534.db2.gz ZSCBRLSKUBSMLI-SSDOTTSWSA-N -1 1 332.382 -0.933 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)[C@H](C)N1CCOCC1 ZINC001363974947 1132149903 /nfs/dbraw/zinc/14/99/03/1132149903.db2.gz PBCPTBYHRQTXKT-UWVGGRQHSA-N -1 1 346.409 -0.416 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@@H](O)C2CCOCC2)[n-]n1 ZINC001363986590 1132154375 /nfs/dbraw/zinc/15/43/75/1132154375.db2.gz UXAJILUUZHDVHV-SNVBAGLBSA-N -1 1 333.366 -0.738 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@@H](O)C2CCOCC2)n[n-]1 ZINC001363986590 1132154378 /nfs/dbraw/zinc/15/43/78/1132154378.db2.gz UXAJILUUZHDVHV-SNVBAGLBSA-N -1 1 333.366 -0.738 20 0 EBADMM COc1cc(S(=O)(=O)[N-][C@H]2CCN(S(C)(=O)=O)C2)sn1 ZINC001363990306 1132156160 /nfs/dbraw/zinc/15/61/60/1132156160.db2.gz HEEWKRZDZLVRHZ-ZETCQYMHSA-N -1 1 341.436 -0.536 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]CC(=O)N2CCN(C)CC2)c1 ZINC001364026171 1132170911 /nfs/dbraw/zinc/17/09/11/1132170911.db2.gz IVSYBTFXUFGFSC-UHFFFAOYSA-N -1 1 345.377 -0.882 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1C(=O)NCC12CCOCC2 ZINC001364047147 1132182343 /nfs/dbraw/zinc/18/23/43/1132182343.db2.gz GSJNQFNDKYMVPS-SECBINFHSA-N -1 1 330.366 -0.861 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@H]2C[C@@H]3COC[C@@H]3O2)[n-]n1 ZINC001364082490 1132201352 /nfs/dbraw/zinc/20/13/52/1132201352.db2.gz NGPBSEDCTTYFDE-MRTMQBJTSA-N -1 1 331.350 -0.722 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@H]2C[C@@H]3COC[C@@H]3O2)n[n-]1 ZINC001364082490 1132201358 /nfs/dbraw/zinc/20/13/58/1132201358.db2.gz NGPBSEDCTTYFDE-MRTMQBJTSA-N -1 1 331.350 -0.722 20 0 EBADMM COC(=O)c1c(NC(=O)[C@H]2C[C@]23CCOC3)n[n-]c1OCCO ZINC001364105462 1132207606 /nfs/dbraw/zinc/20/76/06/1132207606.db2.gz RVVRSRCHLRUWCW-CLAHSXSESA-N -1 1 325.321 -0.068 20 0 EBADMM COC(=O)c1c(NC(=O)[C@H]2C[C@]23CCOC3)[n-]nc1OCCO ZINC001364105462 1132207613 /nfs/dbraw/zinc/20/76/13/1132207613.db2.gz RVVRSRCHLRUWCW-CLAHSXSESA-N -1 1 325.321 -0.068 20 0 EBADMM CCCNC(=O)[C@@H](C)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001364331885 1132279587 /nfs/dbraw/zinc/27/95/87/1132279587.db2.gz POEVWDORXRAXAW-SECBINFHSA-N -1 1 337.380 -0.031 20 0 EBADMM COC[C@H](CCO)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001364333727 1132280470 /nfs/dbraw/zinc/28/04/70/1132280470.db2.gz QSTYIBLKIHCBMU-VIFPVBQESA-N -1 1 326.353 -0.549 20 0 EBADMM O=C(CNS(=O)(=O)c1cccs1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001364567836 1132363342 /nfs/dbraw/zinc/36/33/42/1132363342.db2.gz NBSAQWKBABFHGI-MRVPVSSYSA-N -1 1 342.406 -0.444 20 0 EBADMM CC1=NS(=O)(=O)N(C)C=C1C(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001364566227 1132364229 /nfs/dbraw/zinc/36/42/29/1132364229.db2.gz ARGDHAPURLBCMQ-QMMMGPOBSA-N -1 1 325.354 -0.949 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N1CC(=O)N(C2CC2)C1 ZINC001364731539 1132406974 /nfs/dbraw/zinc/40/69/74/1132406974.db2.gz VIPWWTSGNSCPBJ-UHFFFAOYSA-N -1 1 333.348 -0.271 20 0 EBADMM CCOc1ccc(C(=O)NCC(=O)N[C@H](COC)c2nn[n-]n2)cc1 ZINC001364813106 1132436384 /nfs/dbraw/zinc/43/63/84/1132436384.db2.gz HADBZMVLGGCQDS-GFCCVEGCSA-N -1 1 348.363 -0.168 20 0 EBADMM COC[C@@H](NC(=O)C1CCN(Cc2nccn2C)CC1)c1nn[n-]n1 ZINC001364816187 1132437184 /nfs/dbraw/zinc/43/71/84/1132437184.db2.gz TYRGPIFJAIWRFZ-GFCCVEGCSA-N -1 1 348.411 -0.351 20 0 EBADMM O=C(CNC(=O)c1ccncc1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001364818384 1132438350 /nfs/dbraw/zinc/43/83/50/1132438350.db2.gz ITWFXJJDNODMQA-LLVKDONJSA-N -1 1 329.364 -0.194 20 0 EBADMM CCO[C@@H]1COCC[C@H]1NS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001364818552 1132438720 /nfs/dbraw/zinc/43/87/20/1132438720.db2.gz LOPCDDSIQWZQAK-PSASIEDQSA-N -1 1 333.366 -0.331 20 0 EBADMM CCO[C@@H]1COCC[C@H]1NS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001364818552 1132438722 /nfs/dbraw/zinc/43/87/22/1132438722.db2.gz LOPCDDSIQWZQAK-PSASIEDQSA-N -1 1 333.366 -0.331 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2Cc3cccc(O)c3C2)c(=O)[nH]c1=O ZINC001364819769 1132439796 /nfs/dbraw/zinc/43/97/96/1132439796.db2.gz ICUDBARZNHWPNG-VIFPVBQESA-N -1 1 337.357 -0.363 20 0 EBADMM COC(=O)CNC(=O)C[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC001364827188 1132444337 /nfs/dbraw/zinc/44/43/37/1132444337.db2.gz MSEKTDWJALHLNZ-UHFFFAOYSA-N -1 1 326.277 -0.215 20 0 EBADMM CC(C)[C@@H](O)C1(C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)CC1 ZINC001364830604 1132445636 /nfs/dbraw/zinc/44/56/36/1132445636.db2.gz OFBMNDYBABEECZ-SNVBAGLBSA-N -1 1 331.394 -0.439 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CCN(C(C)(C)C)C2=O)c(=O)[nH]c1=O ZINC001364868754 1132461066 /nfs/dbraw/zinc/46/10/66/1132461066.db2.gz CGRBTHUGVFZPRI-MRVPVSSYSA-N -1 1 344.393 -0.836 20 0 EBADMM CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@H](CC)C(=O)NC ZINC001364881859 1132467597 /nfs/dbraw/zinc/46/75/97/1132467597.db2.gz QJHBWRYCUCXDRY-MRVPVSSYSA-N -1 1 332.382 -0.302 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1C[C@@H](C)n2ncnc21 ZINC001364905892 1132478727 /nfs/dbraw/zinc/47/87/27/1132478727.db2.gz USAUMMSTQDGBRC-HTRCEHHLSA-N -1 1 326.338 -0.228 20 0 EBADMM COC(=O)NC1CCN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)CC1 ZINC001364908400 1132479525 /nfs/dbraw/zinc/47/95/25/1132479525.db2.gz AODOBMKTOSPRKX-UHFFFAOYSA-N -1 1 346.365 -0.295 20 0 EBADMM COC(=O)NC1CCN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)CC1 ZINC001364908400 1132479530 /nfs/dbraw/zinc/47/95/30/1132479530.db2.gz AODOBMKTOSPRKX-UHFFFAOYSA-N -1 1 346.365 -0.295 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCS(=O)(=O)[C@@H]1C ZINC001364922758 1132487057 /nfs/dbraw/zinc/48/70/57/1132487057.db2.gz WUFPGQOYSXIWST-HTRCEHHLSA-N -1 1 337.379 -0.950 20 0 EBADMM C[C@@H]1CN(C(=O)CN2CCN(C(=O)c3ncccc3[O-])CC2)CCO1 ZINC001364944878 1132497631 /nfs/dbraw/zinc/49/76/31/1132497631.db2.gz JTKSOZYFOUKARB-CYBMUJFWSA-N -1 1 348.403 -0.208 20 0 EBADMM Cn1ncc2c1CCC[C@@H]2[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001365017061 1132520343 /nfs/dbraw/zinc/52/03/43/1132520343.db2.gz QKHOIASJTACTPN-VIFPVBQESA-N -1 1 339.377 -0.425 20 0 EBADMM CCOC(=O)[C@H](NS(=O)(=O)c1cc(C(=O)OC)n[n-]1)C1CC1 ZINC001365033364 1132524940 /nfs/dbraw/zinc/52/49/40/1132524940.db2.gz UIQHTZJXTXHXHN-SNVBAGLBSA-N -1 1 331.350 -0.184 20 0 EBADMM CCOC(=O)[C@H](NS(=O)(=O)c1cc(C(=O)OC)[n-]n1)C1CC1 ZINC001365033364 1132524945 /nfs/dbraw/zinc/52/49/45/1132524945.db2.gz UIQHTZJXTXHXHN-SNVBAGLBSA-N -1 1 331.350 -0.184 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N1CC[C@@]2(CNC(=O)C2)C1 ZINC001365237144 1132587749 /nfs/dbraw/zinc/58/77/49/1132587749.db2.gz CKWRIPURHFHUGO-MRXNPFEDSA-N -1 1 347.375 -0.324 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)[N-]C[C@@](C)(O)C(F)(F)F)n[nH]1 ZINC001365371588 1132637644 /nfs/dbraw/zinc/63/76/44/1132637644.db2.gz DDFXCWIKEZVQAJ-MRVPVSSYSA-N -1 1 331.272 -0.212 20 0 EBADMM CCO[C@@H]1C[C@H](NS(=O)(=O)c2cc(C(=O)OC)n[n-]2)[C@@H]1OC ZINC001365383185 1132644726 /nfs/dbraw/zinc/64/47/26/1132644726.db2.gz YGMOSNQGQHOUAH-NMLBEHRDSA-N -1 1 333.366 -0.333 20 0 EBADMM CCO[C@@H]1C[C@H](NS(=O)(=O)c2cc(C(=O)OC)[n-]n2)[C@@H]1OC ZINC001365383185 1132644730 /nfs/dbraw/zinc/64/47/30/1132644730.db2.gz YGMOSNQGQHOUAH-NMLBEHRDSA-N -1 1 333.366 -0.333 20 0 EBADMM CCO[C@@H]1C[C@H](NS(=O)(=O)c2cc(C(=O)OC)n[n-]2)[C@H]1OC ZINC001365383182 1132644748 /nfs/dbraw/zinc/64/47/48/1132644748.db2.gz YGMOSNQGQHOUAH-JVUFJMBOSA-N -1 1 333.366 -0.333 20 0 EBADMM CCO[C@@H]1C[C@H](NS(=O)(=O)c2cc(C(=O)OC)[n-]n2)[C@H]1OC ZINC001365383182 1132644752 /nfs/dbraw/zinc/64/47/52/1132644752.db2.gz YGMOSNQGQHOUAH-JVUFJMBOSA-N -1 1 333.366 -0.333 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H](CO)CCC(C)(C)C)c(=O)[nH]c1=O ZINC001365386556 1132647416 /nfs/dbraw/zinc/64/74/16/1132647416.db2.gz XTHJTUURABAXSL-SECBINFHSA-N -1 1 333.410 -0.049 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H]3NC(=O)CC[C@H]3C2)[n-]n1 ZINC001365435341 1132672579 /nfs/dbraw/zinc/67/25/79/1132672579.db2.gz CMZTUOQJXWPNGY-DTWKUNHWSA-N -1 1 342.377 -0.515 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H]3NC(=O)CC[C@H]3C2)n[n-]1 ZINC001365435341 1132672586 /nfs/dbraw/zinc/67/25/86/1132672586.db2.gz CMZTUOQJXWPNGY-DTWKUNHWSA-N -1 1 342.377 -0.515 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@](C)(CCF)C(=O)OC)[n-]n1 ZINC001365446041 1132681194 /nfs/dbraw/zinc/68/11/94/1132681194.db2.gz BIKMTIYMDKCMFO-NSHDSACASA-N -1 1 337.329 -0.234 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@](C)(CCF)C(=O)OC)n[n-]1 ZINC001365446041 1132681197 /nfs/dbraw/zinc/68/11/97/1132681197.db2.gz BIKMTIYMDKCMFO-NSHDSACASA-N -1 1 337.329 -0.234 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H](CO)Cc1ccoc1 ZINC001365456720 1132688158 /nfs/dbraw/zinc/68/81/58/1132688158.db2.gz RVAUSJWLNLZCNO-JTQLQIEISA-N -1 1 343.361 -0.081 20 0 EBADMM CCN1C[C@H](S(=O)(=O)[N-][C@H](C[C@@H]2CCCO2)C(=O)OC)CC1=O ZINC001365459168 1132691047 /nfs/dbraw/zinc/69/10/47/1132691047.db2.gz QEGYXMXNIVGCPT-QJPTWQEYSA-N -1 1 348.421 -0.363 20 0 EBADMM COc1cc(S(=O)(=O)[N-][C@H]2C(=O)NCC23CCOCC3)sn1 ZINC001365459189 1132691686 /nfs/dbraw/zinc/69/16/86/1132691686.db2.gz RIMROBOODZJFAY-JTQLQIEISA-N -1 1 347.418 -0.275 20 0 EBADMM Cc1cnc(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)c(C)c1 ZINC001365459481 1132691752 /nfs/dbraw/zinc/69/17/52/1132691752.db2.gz WFLUWLMNLJKHKV-UHFFFAOYSA-N -1 1 338.389 -0.426 20 0 EBADMM Cn1nc(C2CC2)cc1C[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001365462733 1132694785 /nfs/dbraw/zinc/69/47/85/1132694785.db2.gz NUJAVXFUMVQGDF-UHFFFAOYSA-N -1 1 339.377 -0.425 20 0 EBADMM O=C([C@H]1CCCc2[nH]ncc21)N1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001365631742 1132739838 /nfs/dbraw/zinc/73/98/38/1132739838.db2.gz ZADSYPRNJVFVSR-JTQLQIEISA-N -1 1 331.380 -0.002 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H](C)C(=O)NC(C)(C)C)[n-]n1 ZINC001365635869 1132741427 /nfs/dbraw/zinc/74/14/27/1132741427.db2.gz DGGLBMCMXHLFHK-SSDOTTSWSA-N -1 1 332.382 -0.222 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H](C)C(=O)NC(C)(C)C)n[n-]1 ZINC001365635869 1132741432 /nfs/dbraw/zinc/74/14/32/1132741432.db2.gz DGGLBMCMXHLFHK-SSDOTTSWSA-N -1 1 332.382 -0.222 20 0 EBADMM C[C@]1(CNC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CCC(=O)N1 ZINC001365643290 1132744814 /nfs/dbraw/zinc/74/48/14/1132744814.db2.gz ZAINPDFNBNXGSO-OAHLLOKOSA-N -1 1 335.364 -0.277 20 0 EBADMM CCOC(=O)[C@@H](C)NC(=O)[C@H](C)[N-]S(=O)(=O)c1ccns1 ZINC001365703883 1132768480 /nfs/dbraw/zinc/76/84/80/1132768480.db2.gz SDYOPPXLOYXAKJ-JGVFFNPUSA-N -1 1 335.407 -0.122 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCC2(N3CCOCC3)CC2)[n-]n1 ZINC001365704843 1132769542 /nfs/dbraw/zinc/76/95/42/1132769542.db2.gz ZMWAYGLXOMWSDZ-UHFFFAOYSA-N -1 1 344.393 -0.661 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCC2(N3CCOCC3)CC2)n[n-]1 ZINC001365704843 1132769550 /nfs/dbraw/zinc/76/95/50/1132769550.db2.gz ZMWAYGLXOMWSDZ-UHFFFAOYSA-N -1 1 344.393 -0.661 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CCCn3nccc32)c(=O)n(C)c1=O ZINC001365728155 1132779070 /nfs/dbraw/zinc/77/90/70/1132779070.db2.gz GITLNZDMSBPEGT-SECBINFHSA-N -1 1 339.377 -0.906 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2cc(C3CC3)no2)c(=O)[nH]c1=O ZINC001365735190 1132783928 /nfs/dbraw/zinc/78/39/28/1132783928.db2.gz JMSQLEKNWUVQLW-UHFFFAOYSA-N -1 1 326.334 -0.170 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)N3CC[C@@H](CS(N)(=O)=O)C3)ccnc1-2 ZINC001365784236 1132797090 /nfs/dbraw/zinc/79/70/90/1132797090.db2.gz DPDXWKALOAQPDJ-SECBINFHSA-N -1 1 338.393 -0.516 20 0 EBADMM Cn1ccnc1CCC(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001365938691 1132900991 /nfs/dbraw/zinc/90/09/91/1132900991.db2.gz AGFXYCXABNIAGK-LBPRGKRZSA-N -1 1 347.423 -0.445 20 0 EBADMM Cn1cnnc1CN1CCN(CCNC(=O)c2ncccc2[O-])CC1 ZINC001365956031 1132915430 /nfs/dbraw/zinc/91/54/30/1132915430.db2.gz SSVWLMCBGISMGF-UHFFFAOYSA-N -1 1 345.407 -0.537 20 0 EBADMM O=C(NC[C@@H](NCc1n[nH]c(=O)[n-]1)C1CC1)c1cc2n(n1)CCCO2 ZINC001366039572 1132976097 /nfs/dbraw/zinc/97/60/97/1132976097.db2.gz JGMRKHNKIAGBCK-LLVKDONJSA-N -1 1 347.379 -0.213 20 0 EBADMM Cc1cc(CN[C@@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C2CC2)nn1C ZINC001366044820 1132979741 /nfs/dbraw/zinc/97/97/41/1132979741.db2.gz STFBXSLKIZVSBY-NSHDSACASA-N -1 1 347.379 -0.377 20 0 EBADMM CC[C@@H](NC(C)=O)C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001366223787 1133063469 /nfs/dbraw/zinc/06/34/69/1133063469.db2.gz FWNJQKXLACIEEH-NWDGAFQWSA-N -1 1 338.412 -0.104 20 0 EBADMM CNC(=O)NC(=O)CN(CCNC(=O)c1ncccc1[O-])C1CC1 ZINC001366734918 1133275251 /nfs/dbraw/zinc/27/52/51/1133275251.db2.gz OICWKSHEMYFXLH-UHFFFAOYSA-N -1 1 335.364 -0.563 20 0 EBADMM Cn1nccc1CCC(=O)NCCN(Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001366738974 1133277189 /nfs/dbraw/zinc/27/71/89/1133277189.db2.gz LWEOXPIEOMJVNH-UHFFFAOYSA-N -1 1 347.423 -0.445 20 0 EBADMM Cn1[n-]c(CN(CCNC(=O)c2ccc(C(N)=O)o2)C2CC2)nc1=O ZINC001366743851 1133279403 /nfs/dbraw/zinc/27/94/03/1133279403.db2.gz DMNIYTNFDGJFAV-UHFFFAOYSA-N -1 1 348.363 -0.805 20 0 EBADMM Cc1cc(C)n(CC(=O)NCCN(Cc2nc(=O)n(C)[n-]2)C2CC2)n1 ZINC001366743682 1133279479 /nfs/dbraw/zinc/27/94/79/1133279479.db2.gz CPKFCYIMFQCEIL-UHFFFAOYSA-N -1 1 347.423 -0.297 20 0 EBADMM Cn1[n-]c(CN(CCNC(=O)c2n[nH]c3c2CCC3)C2CC2)nc1=O ZINC001366749373 1133281929 /nfs/dbraw/zinc/28/19/29/1133281929.db2.gz SITGLHMGEJEWNO-UHFFFAOYSA-N -1 1 345.407 -0.285 20 0 EBADMM CC(C)CC(=O)N(C)CCN1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001366856441 1133323644 /nfs/dbraw/zinc/32/36/44/1133323644.db2.gz UKNISQPKMWIPSF-UHFFFAOYSA-N -1 1 338.456 -0.270 20 0 EBADMM COc1cncc(C(=O)N[C@@](C)(CNCc2n[nH]c(=O)[n-]2)C2CC2)n1 ZINC001367188429 1133498257 /nfs/dbraw/zinc/49/82/57/1133498257.db2.gz ZCFHMLBFZZVCIM-HNNXBMFYSA-N -1 1 347.379 -0.003 20 0 EBADMM CC(C)[C@H](CNC(=O)[C@@H]1CCCC(=O)N1C)NCc1n[nH]c(=O)[n-]1 ZINC001367483868 1133600068 /nfs/dbraw/zinc/60/00/68/1133600068.db2.gz QIPURSHZVLALGA-QWRGUYRKSA-N -1 1 338.412 -0.248 20 0 EBADMM CN(CCN(C)C(=O)[C@H]1CCc2nccn2C1)Cc1nc(=O)n(C)[n-]1 ZINC001367519050 1133612133 /nfs/dbraw/zinc/61/21/33/1133612133.db2.gz MJSVTARNIKUFHK-LBPRGKRZSA-N -1 1 347.423 -0.542 20 0 EBADMM CO[C@H](C(=O)N(C)CCN(C)Cc1nc(=O)n(C)[n-]1)[C@@H]1CCOC1 ZINC001367527581 1133615217 /nfs/dbraw/zinc/61/52/17/1133615217.db2.gz DTLAXBQFVLSPGU-YPMHNXCESA-N -1 1 341.412 -0.950 20 0 EBADMM C[C@H](CNCc1cc2n(n1)CCCC2)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001367681154 1133743931 /nfs/dbraw/zinc/74/39/31/1133743931.db2.gz SRVQXTHDEIEFNW-SECBINFHSA-N -1 1 347.379 -0.276 20 0 EBADMM C[C@@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)CCc1cncnc1 ZINC001367817978 1133798128 /nfs/dbraw/zinc/79/81/28/1133798128.db2.gz JRIRFZHMULDUEI-NSHDSACASA-N -1 1 333.396 -0.532 20 0 EBADMM Cc1cc(C)n(CCC(=O)N[C@H](C)CN(C)Cc2nc(=O)n(C)[n-]2)n1 ZINC001367821669 1133800114 /nfs/dbraw/zinc/80/01/14/1133800114.db2.gz OZBXRMSQBBCINU-GFCCVEGCSA-N -1 1 349.439 -0.051 20 0 EBADMM C[C@H](C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)c1cccnc1 ZINC001367984323 1133879493 /nfs/dbraw/zinc/87/94/93/1133879493.db2.gz QPCHRZVBZUJVIL-AAEUAGOBSA-N -1 1 330.392 -0.002 20 0 EBADMM O=C(CNC1(CNC(=O)c2c[n-]n3c2nccc3=O)CC1)N1CCC1 ZINC001368027805 1133902603 /nfs/dbraw/zinc/90/26/03/1133902603.db2.gz UXHXQUUJUHRESM-UHFFFAOYSA-N -1 1 344.375 -0.893 20 0 EBADMM COCCN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)c2ncc[nH]2)CC1 ZINC001368052756 1133914089 /nfs/dbraw/zinc/91/40/89/1133914089.db2.gz RZEZQJAYRCYNAM-UHFFFAOYSA-N -1 1 349.395 -0.013 20 0 EBADMM O=C(NCC1(NCc2n[nH]c(=O)[n-]2)CCOCC1)[C@H]1[C@@H]2COC[C@@H]21 ZINC001368234208 1134002184 /nfs/dbraw/zinc/00/21/84/1134002184.db2.gz NQWYDIVRBJHCNU-IAZYJMLFSA-N -1 1 337.380 -0.842 20 0 EBADMM Cn1[n-]c(CN2CC[C@](O)(CNC(=O)CC(F)(F)F)C2)nc1=O ZINC001368239574 1134008054 /nfs/dbraw/zinc/00/80/54/1134008054.db2.gz LMYCJCQVUKLIBN-NSHDSACASA-N -1 1 337.302 -0.886 20 0 EBADMM Cn1ncnc1CN1CC[C@](O)(CNC(=O)c2ncccc2[O-])C1 ZINC001368268998 1134070176 /nfs/dbraw/zinc/07/01/76/1134070176.db2.gz WCGMZSWHFMSMDR-HNNXBMFYSA-N -1 1 332.364 -0.718 20 0 EBADMM C[C@H](NC(=O)CN1CC(O)(CNC(=O)c2ncccc2[O-])C1)C1CC1 ZINC001368290659 1134107891 /nfs/dbraw/zinc/10/78/91/1134107891.db2.gz ROTSQPOALMFGQM-NSHDSACASA-N -1 1 348.403 -0.522 20 0 EBADMM CCCC(C)(C)C(=O)NCC1(O)CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001368308491 1134132730 /nfs/dbraw/zinc/13/27/30/1134132730.db2.gz SLSZZKKMTWVKQN-UHFFFAOYSA-N -1 1 325.413 -0.402 20 0 EBADMM Cn1[n-]c(CN2CC(O)(CNC(=O)C34CCC(CC3)CC4)C2)nc1=O ZINC001368373788 1134178664 /nfs/dbraw/zinc/17/86/64/1134178664.db2.gz LULMWVFPDHCPKO-UHFFFAOYSA-N -1 1 349.435 -0.258 20 0 EBADMM Cn1cnc(C(=O)NC2(CNCc3n[nH]c(=O)[n-]3)CCOCC2)c1 ZINC001368667398 1134364604 /nfs/dbraw/zinc/36/46/04/1134364604.db2.gz DHUHGUHXWLGPSX-UHFFFAOYSA-N -1 1 335.368 -0.687 20 0 EBADMM CO[C@H](C(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCOCC1)C1CC1 ZINC001368670996 1134369092 /nfs/dbraw/zinc/36/90/92/1134369092.db2.gz KHIQSFAXVFXWMB-LBPRGKRZSA-N -1 1 339.396 -0.310 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)COCC1CC1 ZINC001368790025 1134442161 /nfs/dbraw/zinc/44/21/61/1134442161.db2.gz YNESPQCTXFILAE-CYBMUJFWSA-N -1 1 337.424 -0.042 20 0 EBADMM C[C@H](C[S@@](C)=O)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001369042966 1134544908 /nfs/dbraw/zinc/54/49/08/1134544908.db2.gz IGLUROZACHRFJS-CWMRNNLJSA-N -1 1 328.394 -0.179 20 0 EBADMM C[C@H](C[S@](C)=O)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001369042972 1134544926 /nfs/dbraw/zinc/54/49/26/1134544926.db2.gz IGLUROZACHRFJS-PJLCYMMNSA-N -1 1 328.394 -0.179 20 0 EBADMM CCN(CC)C(=O)[C@H](C)N[C@@H](CO)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001369323987 1134664348 /nfs/dbraw/zinc/66/43/48/1134664348.db2.gz RTRNZTZZFWGRCA-WDEREUQCSA-N -1 1 341.412 -0.639 20 0 EBADMM Cn1[n-]c(CN[C@@H](CO)CNC(=O)[C@@H]2CCCCC2(F)F)nc1=O ZINC001369337826 1134683322 /nfs/dbraw/zinc/68/33/22/1134683322.db2.gz BTISDJFZQKBKPH-ZJUUUORDSA-N -1 1 347.366 -0.499 20 0 EBADMM CCCC1(C(=O)NC[C@@H](CO)NCc2nc(=O)n(C)[n-]2)CCC1 ZINC001369352440 1134702424 /nfs/dbraw/zinc/70/24/24/1134702424.db2.gz QRERTPUEBZCSIG-NSHDSACASA-N -1 1 325.413 -0.355 20 0 EBADMM Cc1ccc(CCC(=O)NC[C@H](CO)NCc2nc(=O)n(C)[n-]2)cc1 ZINC001369354538 1134705793 /nfs/dbraw/zinc/70/57/93/1134705793.db2.gz ZGGIRXXAZGRIAA-CQSZACIVSA-N -1 1 347.419 -0.384 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCS(C)(=O)=O ZINC001369391964 1134752557 /nfs/dbraw/zinc/75/25/57/1134752557.db2.gz YQDXQNUTDZXEMD-BDAKNGLRSA-N -1 1 331.398 -0.976 20 0 EBADMM CCCNC(=O)CCC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001369400843 1134760547 /nfs/dbraw/zinc/76/05/47/1134760547.db2.gz LUJBZYONNDUHNA-MNOVXSKESA-N -1 1 338.412 -0.104 20 0 EBADMM COCCN(CCNC(=O)c1[nH]ccc1C)Cc1nc(=O)n(C)[n-]1 ZINC001369555396 1134935561 /nfs/dbraw/zinc/93/55/61/1134935561.db2.gz XKMXKUKLAPLFBZ-UHFFFAOYSA-N -1 1 336.396 -0.377 20 0 EBADMM COCCN(CCNC(=O)[C@@]1(C)CCOC1)Cc1nc(=O)n(C)[n-]1 ZINC001369556286 1134937154 /nfs/dbraw/zinc/93/71/54/1134937154.db2.gz NORLURXKSKAQGD-HNNXBMFYSA-N -1 1 341.412 -0.900 20 0 EBADMM COCCN(CCNC(=O)[C@]1(C)CCOC1)Cc1nc(=O)n(C)[n-]1 ZINC001369556288 1134937211 /nfs/dbraw/zinc/93/72/11/1134937211.db2.gz NORLURXKSKAQGD-OAHLLOKOSA-N -1 1 341.412 -0.900 20 0 EBADMM CNC(=O)NCCC(=O)N[C@@H](C)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001369680974 1134985881 /nfs/dbraw/zinc/98/58/81/1134985881.db2.gz GLLDOBBHTFBMOA-VHSXEESVSA-N -1 1 337.380 -0.271 20 0 EBADMM C[C@H](NC(=O)CN1CCOCC1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001369682878 1134986503 /nfs/dbraw/zinc/98/65/03/1134986503.db2.gz HJGGXBSRIREDHB-RYUDHWBXSA-N -1 1 336.392 -0.258 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)C1CS(=O)(=O)C1 ZINC001369834256 1135039913 /nfs/dbraw/zinc/03/99/13/1135039913.db2.gz OLRGQVBUQBRWKR-SECBINFHSA-N -1 1 341.389 -0.592 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)CCc3ccncc3)C2)nc1=O ZINC001370001444 1135163146 /nfs/dbraw/zinc/16/31/46/1135163146.db2.gz ZLHKVYRMLLHGCE-ZDUSSCGKSA-N -1 1 330.392 -0.173 20 0 EBADMM CCC(=O)NCC(=O)N1CC([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001370105716 1135203729 /nfs/dbraw/zinc/20/37/29/1135203729.db2.gz VLYBBEKZLWWMBY-JTQLQIEISA-N -1 1 334.376 -0.110 20 0 EBADMM Cn1c(=O)cccc1C(=O)NC[C@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001370221616 1135255859 /nfs/dbraw/zinc/25/58/59/1135255859.db2.gz GZAIWYXYFQGXAX-JTQLQIEISA-N -1 1 332.364 -0.493 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H](CNC(=O)[C@H]1CNC(=O)N1)C1CC1 ZINC001370396430 1135333322 /nfs/dbraw/zinc/33/33/22/1135333322.db2.gz JVSYGDGJPDXAPK-MNOVXSKESA-N -1 1 347.375 -0.565 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H](CNC(=O)[C@H]1C[C@H]1C(N)=O)C1CC1 ZINC001370398223 1135334128 /nfs/dbraw/zinc/33/41/28/1135334128.db2.gz MLHQSCGKECSKJG-WOPDTQHZSA-N -1 1 346.387 -0.125 20 0 EBADMM COCC(=O)NC[C@H](CO)NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001370635419 1135438743 /nfs/dbraw/zinc/43/87/43/1135438743.db2.gz DQAGNKKGTHFRMN-SNVBAGLBSA-N -1 1 340.380 -0.533 20 0 EBADMM Cc1cnc(C(=O)NC[C@H](CO)NC(=O)c2ncccc2[O-])cn1 ZINC001370634910 1135439090 /nfs/dbraw/zinc/43/90/90/1135439090.db2.gz HXKDKKIVDXXTFS-SNVBAGLBSA-N -1 1 331.332 -0.594 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@@H]1C ZINC001370814604 1135655396 /nfs/dbraw/zinc/65/53/96/1135655396.db2.gz DJQZAYJEOLLKEJ-PWSUYJOCSA-N -1 1 334.376 -0.015 20 0 EBADMM CNC(=O)NCC(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001370907072 1135691897 /nfs/dbraw/zinc/69/18/97/1135691897.db2.gz IXJYJOAMJISGKO-WDEREUQCSA-N -1 1 349.391 -0.175 20 0 EBADMM CCn1cc(C(=O)N(C)C[C@H](O)CNC(=O)c2ncccc2[O-])cn1 ZINC001370969556 1135717616 /nfs/dbraw/zinc/71/76/16/1135717616.db2.gz FEJWWJZLJIULBZ-GFCCVEGCSA-N -1 1 347.375 -0.134 20 0 EBADMM COCC[C@@H](C)C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001371525505 1135950570 /nfs/dbraw/zinc/95/05/70/1135950570.db2.gz XBKXTQFKMYSTSR-WZRBSPASSA-N -1 1 337.376 -0.239 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCO[C@](C)(CNC(C)=O)C1 ZINC001371906028 1136053950 /nfs/dbraw/zinc/05/39/50/1136053950.db2.gz SBSQCGRAXRWQNU-CQSZACIVSA-N -1 1 340.336 -0.736 20 0 EBADMM CCn1ncc(Cl)c1C(=O)NCCN(C)Cc1nc(=O)n(C)[n-]1 ZINC001371981199 1136076167 /nfs/dbraw/zinc/07/61/67/1136076167.db2.gz ZNOKZYKWJJXMKN-UHFFFAOYSA-N -1 1 341.803 -0.160 20 0 EBADMM O=C(NC[C@H](NC(=O)c1c[nH]c(=O)cn1)C1CC1)c1ncccc1[O-] ZINC001372383926 1136186798 /nfs/dbraw/zinc/18/67/98/1136186798.db2.gz SRXNYPFTTBOVGY-JTQLQIEISA-N -1 1 343.343 -0.191 20 0 EBADMM CC[C@@H](C)C(=O)N[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001372538893 1136226646 /nfs/dbraw/zinc/22/66/46/1136226646.db2.gz RBCFEHYUHNLVQB-OPRDCNLKSA-N -1 1 332.364 -0.159 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H]2CNC(=O)CCc2cnccn2)nc1=O ZINC001372552781 1136229417 /nfs/dbraw/zinc/22/94/17/1136229417.db2.gz JZVJBZKSIQQZHH-CYBMUJFWSA-N -1 1 345.407 -0.388 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H](C)N1CCOCC1 ZINC001372831939 1136299628 /nfs/dbraw/zinc/29/96/28/1136299628.db2.gz HCDRJXJWLZUGQK-NEPJUHHUSA-N -1 1 336.392 -0.258 20 0 EBADMM CC[C@@H](F)C(=O)NC[C@H](C)NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001373022632 1136355314 /nfs/dbraw/zinc/35/53/14/1136355314.db2.gz QXOJSUJQJIBMHT-NKWVEPMBSA-N -1 1 330.316 -0.121 20 0 EBADMM O=C(C[C@@H]1COC(=O)C1)NCC[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001373172733 1136389977 /nfs/dbraw/zinc/38/99/77/1136389977.db2.gz FRLGOOWTNOTTIE-QWRGUYRKSA-N -1 1 337.380 -0.208 20 0 EBADMM CCN(CCNC(=O)[C@H](C)OC)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001373764058 1136555229 /nfs/dbraw/zinc/55/52/29/1136555229.db2.gz CSAGIDYMBGEMLW-VIFPVBQESA-N -1 1 336.352 -0.969 20 0 EBADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)[C@H](C)S(C)(=O)=O ZINC001373829245 1136568635 /nfs/dbraw/zinc/56/86/35/1136568635.db2.gz YPSUYAQDZAGPOE-JTQLQIEISA-N -1 1 343.405 -0.201 20 0 EBADMM O=C(NCCC1CCN(Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1COC(=O)N1 ZINC001373943583 1136590622 /nfs/dbraw/zinc/59/06/22/1136590622.db2.gz IEAGREJLVQEFBR-SNVBAGLBSA-N -1 1 338.368 -0.663 20 0 EBADMM CCCN(CCNC(=O)C1(NC(N)=O)CC1)C(=O)c1ncccc1[O-] ZINC001374118775 1136626307 /nfs/dbraw/zinc/62/63/07/1136626307.db2.gz ZYSGNUSMEXSZPH-UHFFFAOYSA-N -1 1 349.391 -0.044 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)C[C@@H]3C[C@H]3C3CC3)C2)nc1=O ZINC001374132825 1136633224 /nfs/dbraw/zinc/63/32/24/1136633224.db2.gz VXMKAWVELCCMTI-MJBXVCDLSA-N -1 1 349.435 -0.138 20 0 EBADMM Cc1cnc(CNC[C@H](C)N(C)C(=O)c2n[nH]c(=O)[n-]c2=O)cn1 ZINC001374253430 1136659254 /nfs/dbraw/zinc/65/92/54/1136659254.db2.gz JXBFGOONRPIXCH-VIFPVBQESA-N -1 1 333.352 -0.368 20 0 EBADMM CC(=O)N(C)CC(=O)N1CCC[C@H](C)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001374399637 1136683503 /nfs/dbraw/zinc/68/35/03/1136683503.db2.gz UZYCXZPPUIYVPV-CMPLNLGQSA-N -1 1 338.412 -0.295 20 0 EBADMM Cn1ncc(CCC(=O)NC2CN(C(=O)c3ncccc3[O-])C2)n1 ZINC001374770048 1136828072 /nfs/dbraw/zinc/82/80/72/1136828072.db2.gz NMSIGJKXEFBZCH-UHFFFAOYSA-N -1 1 330.348 -0.511 20 0 EBADMM Cc1ccc(C)c(S(=O)(=O)NCCC(=O)NCc2nn[n-]n2)c1 ZINC000600496873 376421695 /nfs/dbraw/zinc/42/16/95/376421695.db2.gz XIQYTKCMMVZTOB-UHFFFAOYSA-N -1 1 338.393 -0.199 20 0 EBADMM COC(=O)C[C@@H](O)CNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000600466065 376368384 /nfs/dbraw/zinc/36/83/84/376368384.db2.gz YCHKBDNAGFXPOE-SNVBAGLBSA-N -1 1 336.348 -0.366 20 0 EBADMM O=C([O-])CNC(=O)CCNS(=O)(=O)c1c(F)cc(F)cc1F ZINC000569432687 376434349 /nfs/dbraw/zinc/43/43/49/376434349.db2.gz PORJMTJMBGJFPV-UHFFFAOYSA-N -1 1 340.279 -0.027 20 0 EBADMM O=S(=O)([N-]C[C@H](O)CN1CCOCC1)c1cc(F)ccc1F ZINC000097008399 376507474 /nfs/dbraw/zinc/50/74/74/376507474.db2.gz QIYGSTPHKHUEGZ-NSHDSACASA-N -1 1 336.360 -0.064 20 0 EBADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CC(=O)N(C4CC4)C3)nc2n1 ZINC000622995364 376606917 /nfs/dbraw/zinc/60/69/17/376606917.db2.gz YXXOKVSQGGDWJW-MRVPVSSYSA-N -1 1 330.348 -0.071 20 0 EBADMM CCC(=O)N1CSC[C@H]1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370863797 376591363 /nfs/dbraw/zinc/59/13/63/376591363.db2.gz YHALUPAZNILXGZ-IUCAKERBSA-N -1 1 326.382 -0.589 20 0 EBADMM O=C([C@@H]1Cc2ccccc2C(=O)O1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370861698 376591881 /nfs/dbraw/zinc/59/18/81/376591881.db2.gz OFOCIZJRGKQVOS-RYUDHWBXSA-N -1 1 329.316 -0.119 20 0 EBADMM O=C([C@H]1CC(=O)N(CC(F)(F)F)C1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370863565 376592226 /nfs/dbraw/zinc/59/22/26/376592226.db2.gz WSIOPIYEVGVDDL-JGVFFNPUSA-N -1 1 348.285 -0.490 20 0 EBADMM C[C@@H](NC(=O)c1cccs1)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370861678 376593021 /nfs/dbraw/zinc/59/30/21/376593021.db2.gz OCRGFZZPGNQBFB-RKDXNWHRSA-N -1 1 336.377 -0.020 20 0 EBADMM CNS(=O)(=O)c1cccc2c1CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC000560181776 376650080 /nfs/dbraw/zinc/65/00/80/376650080.db2.gz CYILFVICQVOHEL-UHFFFAOYSA-N -1 1 337.405 -0.425 20 0 EBADMM C[C@@H](C(=O)N(C)C)S(=O)(=O)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000288853915 376657653 /nfs/dbraw/zinc/65/76/53/376657653.db2.gz FGIQEBIRDPNFCM-DTWKUNHWSA-N -1 1 331.398 -0.916 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1CC(=O)N(C)[C@@H]1c1ccnn1C)c1nn[n-]n1 ZINC000560370965 376688026 /nfs/dbraw/zinc/68/80/26/376688026.db2.gz FEFIYRRFOVMTAI-BREBYQMCSA-N -1 1 346.395 -0.285 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2c[nH]c(C(N)=O)c2)c1 ZINC000642991025 377442463 /nfs/dbraw/zinc/44/24/63/377442463.db2.gz WDXZONJUIBOBPC-UHFFFAOYSA-N -1 1 338.345 -0.020 20 0 EBADMM CCOC(=O)C[C@H](CO)NC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000590392171 377486274 /nfs/dbraw/zinc/48/62/74/377486274.db2.gz RVBNAKCNXRKCMA-SECBINFHSA-N -1 1 334.350 -0.768 20 0 EBADMM CCN1C[C@H](S(=O)(=O)[N-][C@]2(C(=O)OC)CCSC2)CC1=O ZINC000601380599 377552815 /nfs/dbraw/zinc/55/28/15/377552815.db2.gz XGAFBCHCMGNSIT-BXKDBHETSA-N -1 1 336.435 -0.425 20 0 EBADMM COC(=O)[C@@](C)(CCF)[N-]S(=O)(=O)C[C@H]1CN(C)CCO1 ZINC000601466606 377589753 /nfs/dbraw/zinc/58/97/53/377589753.db2.gz JZOVRZITQZQINU-ZYHUDNBSSA-N -1 1 326.390 -0.472 20 0 EBADMM O=C(CN1C(=O)[C@@H]2CCCC[C@@H]2C1=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000364527907 377621799 /nfs/dbraw/zinc/62/17/99/377621799.db2.gz CGMOEDRJRRCDOC-OUAUKWLOSA-N -1 1 348.363 -0.725 20 0 EBADMM COC(=O)[C@@H](O)C[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000592041980 377787182 /nfs/dbraw/zinc/78/71/82/377787182.db2.gz WMLDYJQHBJKFAX-ZETCQYMHSA-N -1 1 328.268 -0.087 20 0 EBADMM COC(=O)[C@H](C)CS(=O)(=O)[N-][C@H](C(=O)OC)c1ccnn1C ZINC000592091414 377798776 /nfs/dbraw/zinc/79/87/76/377798776.db2.gz JTFIFSTUWRXERA-SCZZXKLOSA-N -1 1 333.366 -0.637 20 0 EBADMM COC(=O)c1sccc1N1C(=O)C[C@@H](NCc2nn[n-]n2)C1=O ZINC000593920841 377919559 /nfs/dbraw/zinc/91/95/59/377919559.db2.gz PSGOMRKFUMOSLA-ZCFIWIBFSA-N -1 1 336.333 -0.531 20 0 EBADMM COC(=O)[C@H](CO)[N-]S(=O)(=O)c1ncccc1Br ZINC000594767730 377953407 /nfs/dbraw/zinc/95/34/07/377953407.db2.gz NVZOTOVUHQMSAY-ZETCQYMHSA-N -1 1 339.167 -0.344 20 0 EBADMM COC[C@@H]([N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1)C(=O)OC ZINC000595321937 377996553 /nfs/dbraw/zinc/99/65/53/377996553.db2.gz OHNJKGPPGMYLAP-MRVPVSSYSA-N -1 1 335.334 -0.159 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-]C(C)(C)[C@H](O)C(=O)OC)cc1C ZINC000595323869 377998481 /nfs/dbraw/zinc/99/84/81/377998481.db2.gz BJXIMYFZWVZJFH-SNVBAGLBSA-N -1 1 349.361 -0.035 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H](OC)[C@H]2CCOC2)co1 ZINC000617135028 378472043 /nfs/dbraw/zinc/47/20/43/378472043.db2.gz JZGVREWXSGDPQU-GXSJLCMTSA-N -1 1 332.378 -0.031 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1ccc2c(c1)OC(F)(F)O2 ZINC000606117574 378530102 /nfs/dbraw/zinc/53/01/02/378530102.db2.gz POGOONXOUMHQCG-UHFFFAOYSA-N -1 1 326.219 -0.224 20 0 EBADMM O=C(Nc1nnn[n-]1)[C@@H]1CCN(c2ccc3c(c2)OCCO3)C1=O ZINC000365439767 378531553 /nfs/dbraw/zinc/53/15/53/378531553.db2.gz XXZUTZKGPFERMO-VIFPVBQESA-N -1 1 330.304 -0.038 20 0 EBADMM O=C(Nc1nn[n-]n1)[C@@H]1CCN(c2ccc3c(c2)OCCO3)C1=O ZINC000365439767 378531556 /nfs/dbraw/zinc/53/15/56/378531556.db2.gz XXZUTZKGPFERMO-VIFPVBQESA-N -1 1 330.304 -0.038 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@@H]1[C@H]1COCC[C@@H]1O ZINC000337158675 378620568 /nfs/dbraw/zinc/62/05/68/378620568.db2.gz REBOSTRHHXIJQL-UPJWGTAASA-N -1 1 349.387 -0.095 20 0 EBADMM CCN(C(=O)CNC(=O)c1ncccc1[O-])[C@H](C)CS(C)(=O)=O ZINC000337913976 378706155 /nfs/dbraw/zinc/70/61/55/378706155.db2.gz RTCOJJZKQMJLJL-SNVBAGLBSA-N -1 1 343.405 -0.201 20 0 EBADMM C[C@H]([C@H](C)N(C)C(=O)CNC(=O)c1ncccc1[O-])S(C)(=O)=O ZINC000337905542 378707189 /nfs/dbraw/zinc/70/71/89/378707189.db2.gz MPZFGJGZFPKDGZ-VHSXEESVSA-N -1 1 343.405 -0.203 20 0 EBADMM C[C@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000337905543 378707554 /nfs/dbraw/zinc/70/75/54/378707554.db2.gz MPZFGJGZFPKDGZ-ZJUUUORDSA-N -1 1 343.405 -0.203 20 0 EBADMM COC(=O)[C@H](CO)[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000338943176 378832363 /nfs/dbraw/zinc/83/23/63/378832363.db2.gz UNAMXJXGQFWPMC-YFKPBYRVSA-N -1 1 331.272 -0.749 20 0 EBADMM COc1cc2[n-]cc(C(=O)N[C@@H]3CN(C)NC3=O)c(=O)c2c(OC)c1 ZINC000626360355 379180986 /nfs/dbraw/zinc/18/09/86/379180986.db2.gz OPABDVGMGMYBCR-LLVKDONJSA-N -1 1 346.343 -0.380 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CCC[C@@H]3OCC[C@H]32)c(=O)n(C)c1=O ZINC000268528790 379310677 /nfs/dbraw/zinc/31/06/77/379310677.db2.gz WVMNDEIVEHWSGT-AXFHLTTASA-N -1 1 343.405 -0.680 20 0 EBADMM CN1CCC[C@H]1CNS(=O)(=O)c1c[nH]c2nc(=O)[n-]c(=O)c-2c1 ZINC000271808631 379546159 /nfs/dbraw/zinc/54/61/59/379546159.db2.gz XZWYEIDJDQBKHH-QMMMGPOBSA-N -1 1 339.377 -0.192 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@](C)(COC)CC(=O)OC)o1 ZINC000487976580 379509499 /nfs/dbraw/zinc/50/94/99/379509499.db2.gz UYRCYMDMJZJHCA-ZDUSSCGKSA-N -1 1 348.377 -0.114 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCC(=O)N1CCOCC1 ZINC000272074149 379559300 /nfs/dbraw/zinc/55/93/00/379559300.db2.gz PUNTUCRJVHNIFB-UHFFFAOYSA-N -1 1 347.375 -0.843 20 0 EBADMM CC(C)c1nnc(NC(=O)CCC(=O)NN2CC(=O)[N-]C2=O)s1 ZINC000272940977 379617220 /nfs/dbraw/zinc/61/72/20/379617220.db2.gz MBQUXMWSKISKDC-UHFFFAOYSA-N -1 1 340.365 -0.037 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCOC[C@H]2C[C@@H](C)O)co1 ZINC000274404814 379686968 /nfs/dbraw/zinc/68/69/68/379686968.db2.gz VQGLSYFNTGHWAU-MWLCHTKSSA-N -1 1 332.378 -0.200 20 0 EBADMM CC(C)CN1C(=O)C(=CN[C@@H]2CCS(=O)(=O)C2)C(=O)[N-]C1=S ZINC000031433818 379678224 /nfs/dbraw/zinc/67/82/24/379678224.db2.gz MWBZSWKCIQDROH-JRZFIGEHSA-N -1 1 345.446 -0.454 20 0 EBADMM Cn1cc(S(=O)(=O)N[C@H](Cc2cnc[nH]2)C(=O)[O-])nc1Cl ZINC000274941529 379725791 /nfs/dbraw/zinc/72/57/91/379725791.db2.gz QZLAHISRMDOOPP-SSDOTTSWSA-N -1 1 333.757 -0.229 20 0 EBADMM CN(Cc1cnn(C)c1)C(=O)CS(=O)(=O)c1n[n-]c(C2CC2)n1 ZINC000171091447 379738360 /nfs/dbraw/zinc/73/83/60/379738360.db2.gz ZZGBGVAYEPGSJO-UHFFFAOYSA-N -1 1 338.393 -0.152 20 0 EBADMM C[C@H](CN(C)C(=O)CN(C)c1ncnc2nc[nH]c21)c1nn[n-]n1 ZINC000274753372 379711317 /nfs/dbraw/zinc/71/13/17/379711317.db2.gz IADJLXZCDXZCND-MRVPVSSYSA-N -1 1 330.356 -0.436 20 0 EBADMM COC(=O)[C@@H](NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C)[C@H](C)O ZINC000275947481 379795335 /nfs/dbraw/zinc/79/53/35/379795335.db2.gz FXJOTPASKGVYQG-XPTSAGLGSA-N -1 1 336.348 -0.779 20 0 EBADMM COC(=O)[C@H](NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C)[C@H](C)O ZINC000275947474 379795685 /nfs/dbraw/zinc/79/56/85/379795685.db2.gz FXJOTPASKGVYQG-LKFCYVNXSA-N -1 1 336.348 -0.779 20 0 EBADMM COC(=O)[C@H](O)C(C)(C)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000276876015 379866575 /nfs/dbraw/zinc/86/65/75/379866575.db2.gz BNWKZKXZZBUZCW-LURJTMIESA-N -1 1 325.774 -0.336 20 0 EBADMM C[C@@H](O)[C@@H](NC(=O)C1CC1)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614472989 379933235 /nfs/dbraw/zinc/93/32/35/379933235.db2.gz GEOZFSALFKOKAO-LDYMZIIASA-N -1 1 338.364 -0.243 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC(=O)N(CC3CC3)C2)o1 ZINC000279539757 379956081 /nfs/dbraw/zinc/95/60/81/379956081.db2.gz RZFDLJZIIXGGKY-UHFFFAOYSA-N -1 1 327.362 -0.160 20 0 EBADMM O=C(CN1C(=O)NC2(CCCC2)C1=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000539325671 379994656 /nfs/dbraw/zinc/99/46/56/379994656.db2.gz IUEVSYGLZGGSRL-JTQLQIEISA-N -1 1 347.379 -0.230 20 0 EBADMM C[C@@H](C(=O)N(C)CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1)n1cccn1 ZINC000540422757 380009644 /nfs/dbraw/zinc/00/96/44/380009644.db2.gz FMAIIJHQZNHGPE-NWDGAFQWSA-N -1 1 346.395 -0.178 20 0 EBADMM C[C@H](C(=O)N(C)CC(=O)N1CCC[C@H](c2nn[n-]n2)C1)n1cccn1 ZINC000540422743 380010162 /nfs/dbraw/zinc/01/01/62/380010162.db2.gz FMAIIJHQZNHGPE-NEPJUHHUSA-N -1 1 346.395 -0.178 20 0 EBADMM CCOC(=O)[C@H](CCOC)NC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000281532069 380028481 /nfs/dbraw/zinc/02/84/81/380028481.db2.gz JGOOLBXGKGYJAW-JTQLQIEISA-N -1 1 348.377 -0.114 20 0 EBADMM NC(=O)c1cccc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])n1 ZINC000542923981 380060663 /nfs/dbraw/zinc/06/06/63/380060663.db2.gz OWWFNBFSIGRABV-UHFFFAOYSA-N -1 1 336.329 -0.214 20 0 EBADMM O=C(NCCCN1C(=O)CNC1=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283051124 380100987 /nfs/dbraw/zinc/10/09/87/380100987.db2.gz MACJCNOMGVHIOZ-UHFFFAOYSA-N -1 1 334.361 -0.310 20 0 EBADMM O=C(CCNC(=O)c1ccc2n[n-]c(=S)n2c1)N1CCOCC1 ZINC000283077433 380103246 /nfs/dbraw/zinc/10/32/46/380103246.db2.gz VKEUFJOKIDOVKW-UHFFFAOYSA-N -1 1 335.389 -0.003 20 0 EBADMM Cc1cnc(C(=O)N2CCN(C(=O)C(=O)NC3CC3)CC2)c([O-])c1 ZINC000547971732 380175060 /nfs/dbraw/zinc/17/50/60/380175060.db2.gz OWQJEVVSHQBFEE-UHFFFAOYSA-N -1 1 332.360 -0.341 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCN1CCO[C@H](C)C1 ZINC000548369677 380201494 /nfs/dbraw/zinc/20/14/94/380201494.db2.gz OFINNURWLIVQIJ-SNVBAGLBSA-N -1 1 346.409 -0.415 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ccc(S(N)(=O)=O)cc1F)c1nn[n-]n1 ZINC000548465376 380210134 /nfs/dbraw/zinc/21/01/34/380210134.db2.gz IKKNWLQTHCTZDX-ZETCQYMHSA-N -1 1 342.356 -0.138 20 0 EBADMM C[C@H](C(=O)N(C)C)S(=O)(=O)[N-][C@H]1COc2ccccc2[C@H]1O ZINC000288398462 380406875 /nfs/dbraw/zinc/40/68/75/380406875.db2.gz MJGGKCBLAJOFSG-SUZMYJTESA-N -1 1 328.390 -0.123 20 0 EBADMM CS(=O)(=O)CCS(=O)(=O)[N-][C@@H]1COc2ccccc2[C@H]1O ZINC000288498320 380408819 /nfs/dbraw/zinc/40/88/19/380408819.db2.gz WYJMPBAQVGJXJC-ZYHUDNBSSA-N -1 1 335.403 -0.555 20 0 EBADMM CNC(=O)Cn1cc([N-]S(=O)(=O)CC(C)(C)C(=O)OC)cn1 ZINC000288571156 380411348 /nfs/dbraw/zinc/41/13/48/380411348.db2.gz QVNNVXJHDBVIJG-UHFFFAOYSA-N -1 1 332.382 -0.430 20 0 EBADMM C[C@@H](CN(C)C(=O)Cn1nc2n(c1=O)CCCCC2)c1nn[n-]n1 ZINC000368520030 380510191 /nfs/dbraw/zinc/51/01/91/380510191.db2.gz WGGMEAUHQBWUPK-JTQLQIEISA-N -1 1 334.384 -0.454 20 0 EBADMM O=C(Cn1cnnn1)N[C@@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1 ZINC000368651522 380518375 /nfs/dbraw/zinc/51/83/75/380518375.db2.gz HHAGBYSCMWZPRC-SNVBAGLBSA-N -1 1 337.706 -0.116 20 0 EBADMM NC(=O)[C@@]1([N-]S(=O)(=O)Cc2noc3ccccc32)CCOC1 ZINC000566478135 380473839 /nfs/dbraw/zinc/47/38/39/380473839.db2.gz OABIRIWMMLEBEV-CYBMUJFWSA-N -1 1 325.346 -0.108 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCC(=O)N(C)C1 ZINC000269666459 380523046 /nfs/dbraw/zinc/52/30/46/380523046.db2.gz COBWQXSMEJAHIX-QMMMGPOBSA-N -1 1 330.366 -0.515 20 0 EBADMM CCOC(=O)CC[C@@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000340600023 380564817 /nfs/dbraw/zinc/56/48/17/380564817.db2.gz WRRAIOUDVUTIRX-SECBINFHSA-N -1 1 347.393 -0.906 20 0 EBADMM CCC[C@@H](NC(=O)Cn1nc2n(c1=O)CCCCC2)c1nn[n-]n1 ZINC000370220914 380595969 /nfs/dbraw/zinc/59/59/69/380595969.db2.gz RSMOCPPVQZITME-SNVBAGLBSA-N -1 1 334.384 -0.058 20 0 EBADMM CCC[C@@H](C(=O)[O-])N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000573617086 380679882 /nfs/dbraw/zinc/67/98/82/380679882.db2.gz PYLDWYNNPSZWPU-LBPRGKRZSA-N -1 1 335.426 -0.566 20 0 EBADMM CC(C)O[C@H]1C[C@@H]([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)C1 ZINC000416527119 380784819 /nfs/dbraw/zinc/78/48/19/380784819.db2.gz LPDACSINEGEUQX-AOOOYVTPSA-N -1 1 331.394 -0.682 20 0 EBADMM CCOCCS(=O)(=O)[N-]Cc1nc(-c2cc(=O)[nH]cn2)no1 ZINC000351366088 380921050 /nfs/dbraw/zinc/92/10/50/380921050.db2.gz GERUWRQGRMOKDV-UHFFFAOYSA-N -1 1 329.338 -0.312 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC(=O)N2CCCCC2)o1 ZINC000053075196 380955352 /nfs/dbraw/zinc/95/53/52/380955352.db2.gz SAVUFYWGXPWEMN-UHFFFAOYSA-N -1 1 329.378 -0.070 20 0 EBADMM CS(=O)(=O)N1CCC(C(=O)NC2(c3nn[n-]n3)CCCC2)CC1 ZINC000346349639 381058724 /nfs/dbraw/zinc/05/87/24/381058724.db2.gz LOYMITLHCIPMHB-UHFFFAOYSA-N -1 1 342.425 -0.243 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCCC2(O)CCC2)c(=O)n(C)c1=O ZINC000581261140 381065124 /nfs/dbraw/zinc/06/51/24/381065124.db2.gz OHBDDQXEVPOLAG-UHFFFAOYSA-N -1 1 331.394 -0.943 20 0 EBADMM CC[C@@H](COC)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000346667281 381095217 /nfs/dbraw/zinc/09/52/17/381095217.db2.gz QLMHEJDWJZNSPE-QMMMGPOBSA-N -1 1 333.366 -0.802 20 0 EBADMM O=C([O-])CNC(=O)CNS(=O)(=O)c1c(F)cc(F)cc1F ZINC000037908970 381188933 /nfs/dbraw/zinc/18/89/33/381188933.db2.gz QRBXSSXTDQWDJU-UHFFFAOYSA-N -1 1 326.252 -0.417 20 0 EBADMM CC(C)(NS(C)(=O)=O)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000347913007 381283428 /nfs/dbraw/zinc/28/34/28/381283428.db2.gz NELDXODPPXQOOD-UHFFFAOYSA-N -1 1 332.382 -0.191 20 0 EBADMM CCOC[C@H](C(=O)OC)N(C)C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000611117384 381317261 /nfs/dbraw/zinc/31/72/61/381317261.db2.gz CFEUBXXXIULARB-SNVBAGLBSA-N -1 1 348.377 -0.162 20 0 EBADMM CNS(=O)(=O)c1cc(C(=O)NC2(c3nn[n-]n3)CC2)c(C)o1 ZINC000348274804 381352604 /nfs/dbraw/zinc/35/26/04/381352604.db2.gz JOMRWIMGYCDMSZ-UHFFFAOYSA-N -1 1 326.338 -0.572 20 0 EBADMM C[C@H](NS(=O)(=O)c1c[nH]c2nc(=O)[n-]c(=O)c-2c1)c1nnc[nH]1 ZINC000349118212 381435402 /nfs/dbraw/zinc/43/54/02/381435402.db2.gz DYYLSYLBPRVBBU-YFKPBYRVSA-N -1 1 337.321 -0.406 20 0 EBADMM COc1ccc(C)cc1N1C[C@@H](C(=O)NN2CC(=O)[N-]C2=O)CC1=O ZINC000029023460 381515653 /nfs/dbraw/zinc/51/56/53/381515653.db2.gz UQHSORFCSDOQDP-JTQLQIEISA-N -1 1 346.343 -0.060 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H](COC)C(=O)OC)o1 ZINC000350629175 381532069 /nfs/dbraw/zinc/53/20/69/381532069.db2.gz ZUMKXOUNZIXYPJ-QMMMGPOBSA-N -1 1 335.334 -0.077 20 0 EBADMM CO[C@H](C(=O)NCC[N-]S(=O)(=O)c1sc(=O)[nH]c1C)C1CC1 ZINC000350656340 381533370 /nfs/dbraw/zinc/53/33/70/381533370.db2.gz JCKYSKLKJLHPQK-VIFPVBQESA-N -1 1 349.434 -0.023 20 0 EBADMM CO[C@H]1C[C@@H]([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)C1(C)C ZINC000332486909 381802259 /nfs/dbraw/zinc/80/22/59/381802259.db2.gz WUCTTYVOUNODEX-ZJUUUORDSA-N -1 1 331.394 -0.824 20 0 EBADMM C[C@H]1[C@@H](NC(=O)CNC(=O)c2ncccc2[O-])CCS1(=O)=O ZINC000351971187 381853767 /nfs/dbraw/zinc/85/37/67/381853767.db2.gz QMLFPMBXNNDOPE-IUCAKERBSA-N -1 1 327.362 -0.791 20 0 EBADMM C[C@H]1[C@H](NC(=O)CNC(=O)c2ncccc2[O-])CCS1(=O)=O ZINC000351971186 381854532 /nfs/dbraw/zinc/85/45/32/381854532.db2.gz QMLFPMBXNNDOPE-DTWKUNHWSA-N -1 1 327.362 -0.791 20 0 EBADMM C[C@@H](C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])N1C(=O)CCC1=O ZINC000537502119 381891295 /nfs/dbraw/zinc/89/12/95/381891295.db2.gz GQYGNAFNEZQJLO-ZETCQYMHSA-N -1 1 341.345 -0.484 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC2(C(F)(F)F)CC2)c(=O)n(C)c1=O ZINC000352336890 381969431 /nfs/dbraw/zinc/96/94/31/381969431.db2.gz BDTPYRUOKPWROU-UHFFFAOYSA-N -1 1 341.311 -0.295 20 0 EBADMM CNS(=O)(=O)c1cc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c(C)o1 ZINC000352473884 381989446 /nfs/dbraw/zinc/98/94/46/381989446.db2.gz YZVUEIATFWPDBL-SSDOTTSWSA-N -1 1 342.381 -0.115 20 0 EBADMM CN(CC(=O)NC1(c2nn[n-]n2)CCCC1)[C@H]1CCS(=O)(=O)C1 ZINC000433968904 382056811 /nfs/dbraw/zinc/05/68/11/382056811.db2.gz WVQDEBKRGAYYIJ-JTQLQIEISA-N -1 1 342.425 -0.796 20 0 EBADMM COC(=O)[C@H](O)C[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000352939078 382077696 /nfs/dbraw/zinc/07/76/96/382077696.db2.gz HPCIOERLWVQPBR-RXMQYKEDSA-N -1 1 328.140 -0.146 20 0 EBADMM CCOC(=O)COc1cccc(NC(=O)C(=O)NCc2nn[n-]n2)c1 ZINC000609952928 382093660 /nfs/dbraw/zinc/09/36/60/382093660.db2.gz COEWPQWDYMZYPN-UHFFFAOYSA-N -1 1 348.319 -0.604 20 0 EBADMM COC(=O)c1cc(NC(=O)C(=O)NCc2nn[n-]n2)ccc1Cl ZINC000609952949 382093680 /nfs/dbraw/zinc/09/36/80/382093680.db2.gz IIQYQHIIIZBZKG-UHFFFAOYSA-N -1 1 338.711 -0.105 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@H]2CCC[C@H](CO)C2)c(=O)n(C)c1=O ZINC000323354029 155183028 /nfs/dbraw/zinc/18/30/28/155183028.db2.gz UKBWTJSKZWNDGM-QWRGUYRKSA-N -1 1 345.421 -0.839 20 0 EBADMM C[C@@H]1CCCCN1C(=O)CN1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000329260064 155215419 /nfs/dbraw/zinc/21/54/19/155215419.db2.gz CSLFILIKPSJYQD-CYBMUJFWSA-N -1 1 336.440 -0.373 20 0 EBADMM Cn1nnc(C[N-]S(=O)(=O)c2cnn(CC(F)(F)F)c2)n1 ZINC000330126234 155254578 /nfs/dbraw/zinc/25/45/78/155254578.db2.gz BXZBAMSXMSIQQB-UHFFFAOYSA-N -1 1 325.276 -0.553 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCO[C@H](C(=O)OC)C2)co1 ZINC000330445384 155270170 /nfs/dbraw/zinc/27/01/70/155270170.db2.gz GUZSTXZSMYSVGO-VIFPVBQESA-N -1 1 332.334 -0.798 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@H](NC(C)=O)C2)co1 ZINC000330455814 155271557 /nfs/dbraw/zinc/27/15/57/155271557.db2.gz NHNXUDIRKSSGEX-NSHDSACASA-N -1 1 329.378 -0.072 20 0 EBADMM CCc1cnc(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)o1 ZINC000331045760 155333441 /nfs/dbraw/zinc/33/34/41/155333441.db2.gz UKDRMOJHAOAOKY-UHFFFAOYSA-N -1 1 328.350 -0.887 20 0 EBADMM C[C@@H](C(=O)N(C)C)S(=O)(=O)[N-]Cc1noc(C(F)(F)F)n1 ZINC000331256821 155355294 /nfs/dbraw/zinc/35/52/94/155355294.db2.gz BXFBCYTVUNTEND-YFKPBYRVSA-N -1 1 330.288 -0.016 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1CCCCNC1=O ZINC000171674087 154094593 /nfs/dbraw/zinc/09/45/93/154094593.db2.gz LPUBWFTUXBRBLL-GFCCVEGCSA-N -1 1 331.376 -0.033 20 0 EBADMM CC[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1ccncc1 ZINC000173220661 154098900 /nfs/dbraw/zinc/09/89/00/154098900.db2.gz FUNMFYHFEDOGDA-NSHDSACASA-N -1 1 338.389 -0.091 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N(C[C@H](C)O)[C@H](C)CO ZINC000189310958 154152342 /nfs/dbraw/zinc/15/23/42/154152342.db2.gz GMIIKIBEGZSDSW-ZJUUUORDSA-N -1 1 336.392 -0.228 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1C(=O)NCC[C@@H]1C ZINC000194232853 154163966 /nfs/dbraw/zinc/16/39/66/154163966.db2.gz RIBNSGPIUCXESL-AYVTZFPOSA-N -1 1 331.376 -0.177 20 0 EBADMM NC(=O)CC(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccc1[O-] ZINC000265809432 154328692 /nfs/dbraw/zinc/32/86/92/154328692.db2.gz LXODXVPQRPFUTD-UHFFFAOYSA-N -1 1 327.362 -0.009 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCN2C(=S)N=NC2C)o1 ZINC000266106953 154335930 /nfs/dbraw/zinc/33/59/30/154335930.db2.gz RRLFJCFWQISEJB-UHFFFAOYSA-N -1 1 345.406 -0.194 20 0 EBADMM COC[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1ccco1 ZINC000267056856 154363813 /nfs/dbraw/zinc/36/38/13/154363813.db2.gz OQRXBIWRPYXRGS-SECBINFHSA-N -1 1 343.361 -0.657 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]CCCN2C(=O)CNC2=O)c1 ZINC000269888333 154471873 /nfs/dbraw/zinc/47/18/73/154471873.db2.gz BARZYOQKCVSBRU-UHFFFAOYSA-N -1 1 345.333 -0.714 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCN(CCOC)C(=O)C2)co1 ZINC000271084249 154512865 /nfs/dbraw/zinc/51/28/65/154512865.db2.gz YFDYNWIMDWFSNL-UHFFFAOYSA-N -1 1 345.377 -0.882 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1C(=O)NCC[C@@H]1C ZINC000282634378 154804262 /nfs/dbraw/zinc/80/42/62/154804262.db2.gz SXRIUPGBLPWMMG-CBAPKCEASA-N -1 1 330.366 -0.611 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)COCC(=O)OC)c1 ZINC000292184198 155029464 /nfs/dbraw/zinc/02/94/64/155029464.db2.gz JQTZVNABXKUVHO-UHFFFAOYSA-N -1 1 332.334 -0.572 20 0 EBADMM CCOC(=O)CCS(=O)(=O)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000293181232 155042447 /nfs/dbraw/zinc/04/24/47/155042447.db2.gz SPWNWJNMJCRKMY-VIFPVBQESA-N -1 1 332.382 -0.440 20 0 EBADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F)[C@H](C)O ZINC000293148645 155042492 /nfs/dbraw/zinc/04/24/92/155042492.db2.gz QUNMRVCMOQXUTA-FSPLSTOPSA-N -1 1 345.299 -0.360 20 0 EBADMM Cc1[nH]c(=O)sc1S(=O)(=O)[N-]CCNC(=O)[C@@](C)(O)C1CC1 ZINC000342570813 156042546 /nfs/dbraw/zinc/04/25/46/156042546.db2.gz PLIMZUQCKWASHN-LBPRGKRZSA-N -1 1 349.434 -0.287 20 0 EBADMM CC1(C)COCCN1CCNC(=O)CNC(=O)c1ncccc1[O-] ZINC000343277705 156118166 /nfs/dbraw/zinc/11/81/66/156118166.db2.gz VOCRYHOCOIAWQZ-UHFFFAOYSA-N -1 1 336.392 -0.256 20 0 EBADMM CCC[C@@H](NC(=O)C1CCN(S(N)(=O)=O)CC1)c1nn[n-]n1 ZINC000343719863 156160953 /nfs/dbraw/zinc/16/09/53/156160953.db2.gz GCSXVUWVLXTXRH-SECBINFHSA-N -1 1 331.402 -0.927 20 0 EBADMM COC[C@H](C)CNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000345112992 156302206 /nfs/dbraw/zinc/30/22/06/156302206.db2.gz NSQVBVNIQHDJNB-MRVPVSSYSA-N -1 1 333.366 -0.945 20 0 EBADMM Cc1noc([C@@H]2COCCN2C(=O)CNC(=O)c2ncccc2[O-])n1 ZINC000345496484 156337618 /nfs/dbraw/zinc/33/76/18/156337618.db2.gz XGPOESXKURQNKH-JTQLQIEISA-N -1 1 347.331 -0.191 20 0 EBADMM COC(=O)CC[C@H]([N-]S(=O)(=O)C[C@H]1CCCCO1)C(=O)OC ZINC000345632320 156351144 /nfs/dbraw/zinc/35/11/44/156351144.db2.gz ARIVADMQIOAORE-MNOVXSKESA-N -1 1 337.394 -0.030 20 0 EBADMM CC(C)(NC(=O)c1cc(N2CCNC(=O)C2)ccn1)c1nn[n-]n1 ZINC000345993799 156376616 /nfs/dbraw/zinc/37/66/16/156376616.db2.gz HMWMPWRSQJLUEM-UHFFFAOYSA-N -1 1 330.352 -0.804 20 0 EBADMM Cn1cccc1CNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000347753668 156531233 /nfs/dbraw/zinc/53/12/33/156531233.db2.gz MOWFDKLQZKCHRF-UHFFFAOYSA-N -1 1 340.361 -0.688 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)Nc2nccs2)o1 ZINC000355386269 157000659 /nfs/dbraw/zinc/00/06/59/157000659.db2.gz QIVMCINTLLXYSZ-UHFFFAOYSA-N -1 1 330.347 -0.248 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000355506890 157006541 /nfs/dbraw/zinc/00/65/41/157006541.db2.gz RIQOXOVBOQECIS-SNVBAGLBSA-N -1 1 338.389 -0.102 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H](O)COCC(C)C)o1 ZINC000356533576 157075718 /nfs/dbraw/zinc/07/57/18/157075718.db2.gz MTMDTVOEYQKFGA-JTQLQIEISA-N -1 1 334.394 -0.049 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H](CO)Cc2ccncc2)co1 ZINC000357276758 157132868 /nfs/dbraw/zinc/13/28/68/157132868.db2.gz WUKKNXPTZREMBB-GFCCVEGCSA-N -1 1 339.373 -0.084 20 0 EBADMM CC(=O)N1CCC[C@@H](CNC(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000357293725 157135137 /nfs/dbraw/zinc/13/51/37/157135137.db2.gz BGWHVYQPCOUZEF-LBPRGKRZSA-N -1 1 334.376 -0.108 20 0 EBADMM NC(=O)[C@H]1CCCN(C(=O)CS(=O)(=O)c2n[n-]c(C3CC3)n2)C1 ZINC000357956516 157189827 /nfs/dbraw/zinc/18/98/27/157189827.db2.gz ZGXPWMLIRCEZHU-VIFPVBQESA-N -1 1 341.393 -0.820 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCN3C(=O)N=NC3C2)o1 ZINC000358534551 157256537 /nfs/dbraw/zinc/25/65/37/157256537.db2.gz NKAGWRHWBYIMEO-UHFFFAOYSA-N -1 1 327.322 -0.859 20 0 EBADMM CS(=O)(=O)[C@H]1CCCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000359557343 157330939 /nfs/dbraw/zinc/33/09/39/157330939.db2.gz BRDSPLAUQGLVDA-JTQLQIEISA-N -1 1 341.389 -0.447 20 0 EBADMM C[C@@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])C[C@@H](C)S1(=O)=O ZINC000359572811 157332824 /nfs/dbraw/zinc/33/28/24/157332824.db2.gz SVLNKXLIGFARCY-NXEZZACHSA-N -1 1 341.389 -0.449 20 0 EBADMM CN(C)S(=O)(=O)CCNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000359800855 157342764 /nfs/dbraw/zinc/34/27/64/157342764.db2.gz QPRQFKRACDRUAW-UHFFFAOYSA-N -1 1 327.366 -0.705 20 0 EBADMM C[C@H](C(=O)NC(C)(C)c1nn[n-]n1)N1C(=O)NC2(CCCC2)C1=O ZINC000359804410 157345176 /nfs/dbraw/zinc/34/51/76/157345176.db2.gz XQNRUMAWMODHAP-MRVPVSSYSA-N -1 1 335.368 -0.196 20 0 EBADMM COc1ccc(C(=O)NCC(=O)NC(C)(C)c2nn[n-]n2)cc1OC ZINC000360356971 157376438 /nfs/dbraw/zinc/37/64/38/157376438.db2.gz XTHBMVZIBLVNOV-UHFFFAOYSA-N -1 1 348.363 -0.002 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H](CCSC)C(=O)NC)o1 ZINC000360821735 157399175 /nfs/dbraw/zinc/39/91/75/157399175.db2.gz ZFJLNRWMSBEXQY-QMMMGPOBSA-N -1 1 349.434 -0.215 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2ccccc2CO)c(=O)n(C)c1=O ZINC000532775136 419622720 /nfs/dbraw/zinc/62/27/20/419622720.db2.gz SQQOCOIHHOPMFD-UHFFFAOYSA-N -1 1 339.373 -0.945 20 0 EBADMM O=C(Cn1nc2n(c1=O)CCCC2)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000653028436 419784593 /nfs/dbraw/zinc/78/45/93/419784593.db2.gz FSLZLFZTSAXONQ-SNVBAGLBSA-N -1 1 348.363 -0.124 20 0 EBADMM COc1ccnc(N2CCN(C(=O)C(=O)NCCC(=O)[O-])CC2)c1 ZINC000420594590 419846308 /nfs/dbraw/zinc/84/63/08/419846308.db2.gz DFWPNXLLRHWOED-UHFFFAOYSA-N -1 1 336.348 -0.670 20 0 EBADMM C[C@@H]1COCCN1C1CCN(C(=O)c2cnc([O-])n(C)c2=O)CC1 ZINC000646319436 420545938 /nfs/dbraw/zinc/54/59/38/420545938.db2.gz VZOLTDYTZDPAMC-LLVKDONJSA-N -1 1 336.392 -0.189 20 0 EBADMM COCCN(CC(=O)NN1CC(=O)[N-]C1=O)C(=O)OC(C)(C)C ZINC000491487579 420560496 /nfs/dbraw/zinc/56/04/96/420560496.db2.gz FDPOMPUHFKWNOH-UHFFFAOYSA-N -1 1 330.341 -0.547 20 0 EBADMM Cn1cc(C=CC(=O)NC2(c3nn[n-]n3)CCCC2)c(=O)n(C)c1=O ZINC000491859203 420563735 /nfs/dbraw/zinc/56/37/35/420563735.db2.gz LLUHJNCCMXWVDV-AATRIKPKSA-N -1 1 345.363 -0.804 20 0 EBADMM COc1cc[nH]c(=N[N-]S(=O)(=O)c2c(C)nn(C)c2Cl)n1 ZINC000055585219 420563755 /nfs/dbraw/zinc/56/37/55/420563755.db2.gz ZHUBJYHONBFSDQ-UHFFFAOYSA-N -1 1 332.773 0.486 20 0 EBADMM CNS(=O)(=O)c1cc(C=CC(=O)Nc2nnn[n-]2)ccc1OC ZINC000491882756 420566092 /nfs/dbraw/zinc/56/60/92/420566092.db2.gz JLIJXCXJDJVZAI-GQCTYLIASA-N -1 1 338.349 -0.232 20 0 EBADMM CNS(=O)(=O)c1cc(C=CC(=O)Nc2nn[n-]n2)ccc1OC ZINC000491882756 420566098 /nfs/dbraw/zinc/56/60/98/420566098.db2.gz JLIJXCXJDJVZAI-GQCTYLIASA-N -1 1 338.349 -0.232 20 0 EBADMM CS(=O)(=O)c1nc(NC(=O)c2cc(OCC(F)F)ccn2)n[n-]1 ZINC000351667597 420579162 /nfs/dbraw/zinc/57/91/62/420579162.db2.gz YXKPWEBTBUKJTB-UHFFFAOYSA-N -1 1 347.303 0.499 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2cc(OCC(F)F)ccn2)n1 ZINC000351667597 420579166 /nfs/dbraw/zinc/57/91/66/420579166.db2.gz YXKPWEBTBUKJTB-UHFFFAOYSA-N -1 1 347.303 0.499 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCN3C(=O)OCC[C@H]3C2)o1 ZINC000494033698 420581129 /nfs/dbraw/zinc/58/11/29/420581129.db2.gz NVRSAZROTUOYPK-VIFPVBQESA-N -1 1 343.361 -0.146 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@H]2CCC(C)(C)O2)c(=O)n(C)c1=O ZINC000494278014 420588064 /nfs/dbraw/zinc/58/80/64/420588064.db2.gz WPHQFZFUHIGBLQ-SECBINFHSA-N -1 1 331.394 -0.680 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCN3C(=O)OCC[C@H]3C2)co1 ZINC000494316740 420588201 /nfs/dbraw/zinc/58/82/01/420588201.db2.gz OFKJSTPRHFOHKK-JTQLQIEISA-N -1 1 343.361 -0.146 20 0 EBADMM CC(C)(C)OC(=O)N1CSC[C@H]1C(=O)NN1CC(=O)[N-]C1=O ZINC000496139208 420620993 /nfs/dbraw/zinc/62/09/93/420620993.db2.gz HKHIYAXEIGPZPA-ZETCQYMHSA-N -1 1 330.366 -0.121 20 0 EBADMM CCOC(=O)[C@H](C)NC(=O)[C@H](C)[N-]S(=O)(=O)c1c(N)noc1C ZINC000584378751 420626863 /nfs/dbraw/zinc/62/68/63/420626863.db2.gz XEKQWJDTNSNJHY-BQBZGAKWSA-N -1 1 348.381 -0.700 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]CCC(C)(C)S(C)(=O)=O ZINC000416594666 420657969 /nfs/dbraw/zinc/65/79/69/420657969.db2.gz DNLKWQBLGURABC-UHFFFAOYSA-N -1 1 325.412 0.057 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2CC[C@@H]3C[C@@H]3C2)c(=O)n(C)c1=O ZINC000528453313 420750471 /nfs/dbraw/zinc/75/04/71/420750471.db2.gz RFXAFZVFFGIBPA-MXWKQRLJSA-N -1 1 327.406 -0.202 20 0 EBADMM C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000528545623 420751150 /nfs/dbraw/zinc/75/11/50/420751150.db2.gz ICEPOHGJECJAPZ-LOKLDPHHSA-N -1 1 331.394 -0.826 20 0 EBADMM CNC(=O)[C@@H](CO)[N-]S(=O)(=O)c1ncccc1Br ZINC000656960098 420906472 /nfs/dbraw/zinc/90/64/72/420906472.db2.gz FWCAEAMOCWZLNM-SSDOTTSWSA-N -1 1 338.183 -0.771 20 0 EBADMM C[C@@H](C(=O)N(C)C)S(=O)(=O)[N-][C@@H]1Cc2ccccc2N(C)C1=O ZINC000657070117 420912530 /nfs/dbraw/zinc/91/25/30/420912530.db2.gz BPKJJXQIIROLOT-CMPLNLGQSA-N -1 1 339.417 -0.030 20 0 EBADMM Cn1[n-]c(CN2CC(S(=O)(=O)N3CCc4ccccc43)C2)nc1=O ZINC000660294807 421042816 /nfs/dbraw/zinc/04/28/16/421042816.db2.gz FRDACZDOKSCMEY-UHFFFAOYSA-N -1 1 349.416 -0.315 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@@H](n2ccnn2)C1 ZINC000425000917 265079991 /nfs/dbraw/zinc/07/99/91/265079991.db2.gz JDVMOBFIZCXFQO-LLVKDONJSA-N -1 1 330.348 -0.028 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCC(=O)N(C)C2=O)o1 ZINC000425225340 265087805 /nfs/dbraw/zinc/08/78/05/265087805.db2.gz PBMMTLFMTABNRG-QMMMGPOBSA-N -1 1 344.345 -0.118 20 0 EBADMM Cc1cnc(C(=O)NCCNS(=O)(=O)Cc2ccon2)c([O-])c1 ZINC000427135189 265103139 /nfs/dbraw/zinc/10/31/39/265103139.db2.gz BEQQMECMNOYMSP-UHFFFAOYSA-N -1 1 340.361 -0.067 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2c(C)[nH]c(=O)[nH]c2=O)co1 ZINC000427148471 265103728 /nfs/dbraw/zinc/10/37/28/265103728.db2.gz XHHMVDDUCUXIRY-UHFFFAOYSA-N -1 1 328.306 -0.050 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)Cn2cccnc2=O)c1 ZINC000427904670 265112634 /nfs/dbraw/zinc/11/26/34/265112634.db2.gz CWRWDSUOUPZSIR-UHFFFAOYSA-N -1 1 338.345 -0.504 20 0 EBADMM CN(CCC1CC1)C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000438110930 265252582 /nfs/dbraw/zinc/25/25/82/265252582.db2.gz SDNYLMSRFODEIL-UHFFFAOYSA-N -1 1 329.378 -0.085 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](CCO)CSC ZINC000443254267 265343434 /nfs/dbraw/zinc/34/34/34/265343434.db2.gz UMOLGJNRZYXGOE-QMMMGPOBSA-N -1 1 337.423 -0.021 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)COCCOC ZINC000443252126 265343604 /nfs/dbraw/zinc/34/36/04/265343604.db2.gz RQDQVYACHVOWFC-SECBINFHSA-N -1 1 335.382 -0.084 20 0 EBADMM CN(C)CCN(C(=O)c1ncccc1[O-])[C@H]1CCS(=O)(=O)C1 ZINC000275116765 289125973 /nfs/dbraw/zinc/12/59/73/289125973.db2.gz GWVJSDNZISXPSR-NSHDSACASA-N -1 1 327.406 -0.022 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCOC[C@@H]1[C@H]1CCC[C@H]1O ZINC000347198824 295518860 /nfs/dbraw/zinc/51/88/60/295518860.db2.gz NLSNXSVPNYLVMB-JHJVBQTASA-N -1 1 349.387 -0.095 20 0 EBADMM CS(=O)(=O)[N-]c1nnc(NC(=O)CNC[C@H]2CCCO2)s1 ZINC000269275648 295541749 /nfs/dbraw/zinc/54/17/49/295541749.db2.gz ZYJSDEIQYCHGSK-SSDOTTSWSA-N -1 1 335.411 -0.383 20 0 EBADMM C[C@H](CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1)N1CCCCC1=O ZINC000338730463 298309183 /nfs/dbraw/zinc/30/91/83/298309183.db2.gz USPQDYPMRAVMIU-MRVPVSSYSA-N -1 1 329.382 -0.062 20 0 EBADMM C[C@H](CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1)N1CCCCC1=O ZINC000338730463 298309185 /nfs/dbraw/zinc/30/91/85/298309185.db2.gz USPQDYPMRAVMIU-MRVPVSSYSA-N -1 1 329.382 -0.062 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCOC[C@@]23CCOC3)o1 ZINC000669625531 545916552 /nfs/dbraw/zinc/91/65/52/545916552.db2.gz XJRREXFOUXDBJC-ZDUSSCGKSA-N -1 1 330.362 -0.181 20 0 EBADMM CC(C)(C)n1nnc(C(=O)Nc2nnc([N-]S(C)(=O)=O)s2)n1 ZINC000666974250 546047053 /nfs/dbraw/zinc/04/70/53/546047053.db2.gz LSRZSUJBSFJKIX-UHFFFAOYSA-N -1 1 346.398 -0.097 20 0 EBADMM CN1CCC[C@H](NS(=O)(=O)c2c[nH]c3nc(=O)[n-]c(=O)c-3c2)C1 ZINC000674487385 546165671 /nfs/dbraw/zinc/16/56/71/546165671.db2.gz PGYKMLAUEHKOIU-QMMMGPOBSA-N -1 1 339.377 -0.192 20 0 EBADMM CC[N@H+]1CCN(C(=O)CNS(=O)(=O)c2cn(C)cn2)C[C@H]1C ZINC000677182190 546226761 /nfs/dbraw/zinc/22/67/61/546226761.db2.gz DHWGDZRFYIODTN-LLVKDONJSA-N -1 1 329.426 -0.749 20 0 EBADMM COC(=O)N1CCC(CNC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000804687192 582533588 /nfs/dbraw/zinc/53/35/88/582533588.db2.gz MROWAAHCOQWHKX-UHFFFAOYSA-N -1 1 338.364 -0.479 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1cccnc1N1CCOCC1 ZINC000727755679 582656219 /nfs/dbraw/zinc/65/62/19/582656219.db2.gz BIBJMLMGIXCRCD-UHFFFAOYSA-N -1 1 345.359 -0.203 20 0 EBADMM COc1ccccc1[C@@H](O)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC000727850190 582660929 /nfs/dbraw/zinc/66/09/29/582660929.db2.gz SECMELGPEGOESG-LBPRGKRZSA-N -1 1 333.344 -0.215 20 0 EBADMM CCOC(=O)N[C@@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000727850606 582661471 /nfs/dbraw/zinc/66/14/71/582661471.db2.gz WLOSPXLDZWPVCZ-LLVKDONJSA-N -1 1 338.364 -0.336 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCC(=O)Nc1cccnc1 ZINC000727854319 582661662 /nfs/dbraw/zinc/66/16/62/582661662.db2.gz YNHYTZDYSAUHGU-UHFFFAOYSA-N -1 1 331.332 -0.533 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCOc1ccc2c(c1)OCO2 ZINC000727853651 582661735 /nfs/dbraw/zinc/66/17/35/582661735.db2.gz VLHBIRKABFUBCY-UHFFFAOYSA-N -1 1 347.327 -0.149 20 0 EBADMM CCNC(=O)NC(=O)COC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000729288624 582690321 /nfs/dbraw/zinc/69/03/21/582690321.db2.gz QPDGCVFUKDHBFP-UHFFFAOYSA-N -1 1 349.347 -0.017 20 0 EBADMM CNC(=O)COc1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC000729542401 582697973 /nfs/dbraw/zinc/69/79/73/582697973.db2.gz BZPSYVHOTKJCEF-UHFFFAOYSA-N -1 1 346.343 -0.310 20 0 EBADMM CC(C)(CN1CCOCC1)C(=O)N1CCN(C(=O)C(=O)[O-])CC1 ZINC000902773769 582806268 /nfs/dbraw/zinc/80/62/68/582806268.db2.gz YEFJVIMGZZGNEG-UHFFFAOYSA-N -1 1 327.381 -0.900 20 0 EBADMM CC(C)C[N@H+]1CCO[C@@H](CNC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC000730518928 582828873 /nfs/dbraw/zinc/82/88/73/582828873.db2.gz SKXRUMQLDCBRDI-LBPRGKRZSA-N -1 1 348.407 -0.456 20 0 EBADMM O=C(CNC(=O)NCc1ccco1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000732090909 582844566 /nfs/dbraw/zinc/84/45/66/582844566.db2.gz QCUCXIVVGGRFCG-JTQLQIEISA-N -1 1 335.324 -0.808 20 0 EBADMM O=C(CS(=O)(=O)C1CCCC1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000732091752 582844606 /nfs/dbraw/zinc/84/46/06/582844606.db2.gz PGRPCGSSOYMPNH-SNVBAGLBSA-N -1 1 329.382 -0.543 20 0 EBADMM O=C(CNC(=O)Nc1ccccc1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000732090957 582844627 /nfs/dbraw/zinc/84/46/27/582844627.db2.gz WPKLURHVYRGXNB-LLVKDONJSA-N -1 1 331.336 -0.079 20 0 EBADMM C[C@@H](NC(=O)c1ccccc1)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000732092415 582844652 /nfs/dbraw/zinc/84/46/52/582844652.db2.gz ZNBZUIMROADSON-PWSUYJOCSA-N -1 1 330.348 -0.082 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@H](C(=O)N2CCCC2)C1 ZINC000732306292 582847838 /nfs/dbraw/zinc/84/78/38/582847838.db2.gz XNMCDSKMJLHXOF-ZDUSSCGKSA-N -1 1 348.403 -0.212 20 0 EBADMM C[C@](NC(=O)CCn1cc[n-]c(=O)c1=O)(C(N)=O)c1ccccc1 ZINC000733142710 582855912 /nfs/dbraw/zinc/85/59/12/582855912.db2.gz QZYLHUYQDSKAJM-MRXNPFEDSA-N -1 1 330.344 -0.556 20 0 EBADMM COC(=O)[C@@H]1CC12CCN(C(=O)CCn1cc[n-]c(=O)c1=O)CC2 ZINC000733788450 582867593 /nfs/dbraw/zinc/86/75/93/582867593.db2.gz PHSCTTRDPZJFPA-NSHDSACASA-N -1 1 335.360 -0.272 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCc1cccc(-n2cncn2)c1 ZINC000735480477 582897287 /nfs/dbraw/zinc/89/72/87/582897287.db2.gz JLROMYPVUQHTSL-UHFFFAOYSA-N -1 1 340.343 -0.176 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@H](CO)Cc1ccccc1 ZINC000736197129 582923699 /nfs/dbraw/zinc/92/36/99/582923699.db2.gz SPHVQNHTCDAAHC-CQSZACIVSA-N -1 1 331.372 -0.106 20 0 EBADMM CC[C@H](C)NC(=O)CN1CCN(c2nccnc2-c2nn[n-]n2)CC1 ZINC000736327231 582930516 /nfs/dbraw/zinc/93/05/16/582930516.db2.gz PNIFDCRZHCRXCR-NSHDSACASA-N -1 1 345.411 -0.307 20 0 EBADMM CCC(CC)[C@H](O)CNc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000736338551 582930564 /nfs/dbraw/zinc/93/05/64/582930564.db2.gz XDKYGXOYKXCAKC-SECBINFHSA-N -1 1 337.384 -0.527 20 0 EBADMM CC(C)[C@H](NC(=O)[O-])C(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC000736367246 582931816 /nfs/dbraw/zinc/93/18/16/582931816.db2.gz ZEIJRZRHBKJDHE-KGLIPLIRSA-N -1 1 342.440 -0.199 20 0 EBADMM CC(C)N1CCO[C@@H](CN2CCN(C(=O)CCNC(=O)[O-])CC2)C1 ZINC000736474713 582935368 /nfs/dbraw/zinc/93/53/68/582935368.db2.gz PGWMPJJLOXLFJI-AWEZNQCLSA-N -1 1 342.440 -0.103 20 0 EBADMM C[C@@H](CN(C)CC(=O)Nc1ccc(S(N)(=O)=O)cc1)C(=O)[O-] ZINC000736572827 582942902 /nfs/dbraw/zinc/94/29/02/582942902.db2.gz SDKIFCZTOWCUNZ-VIFPVBQESA-N -1 1 329.378 -0.075 20 0 EBADMM C[C@H](O)CN1CCN(CCCN2C(=O)CS/C2=C\C(=O)[O-])CC1 ZINC000736678575 582950015 /nfs/dbraw/zinc/95/00/15/582950015.db2.gz WESGHWUHXHNOQS-CJAAZZPWSA-N -1 1 343.449 -0.124 20 0 EBADMM CCc1cc(CNc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)on1 ZINC000736677369 582950106 /nfs/dbraw/zinc/95/01/06/582950106.db2.gz YLWLGZOBPBKCIY-UHFFFAOYSA-N -1 1 332.324 -0.573 20 0 EBADMM CN(C)C(=O)CCCNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736745405 582952259 /nfs/dbraw/zinc/95/22/59/582952259.db2.gz HGCQKAVVASKLSO-UHFFFAOYSA-N -1 1 339.381 -0.592 20 0 EBADMM CN(C)C(=O)CCCNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736745405 582952260 /nfs/dbraw/zinc/95/22/60/582952260.db2.gz HGCQKAVVASKLSO-UHFFFAOYSA-N -1 1 339.381 -0.592 20 0 EBADMM COC(=O)[C@@H]1CCN(S(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000736913155 582972116 /nfs/dbraw/zinc/97/21/16/582972116.db2.gz VDLJWDCHKYPJJG-MRVPVSSYSA-N -1 1 338.349 -0.555 20 0 EBADMM COC(=O)[C@@H]1CCN(S(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000736913155 582972118 /nfs/dbraw/zinc/97/21/18/582972118.db2.gz VDLJWDCHKYPJJG-MRVPVSSYSA-N -1 1 338.349 -0.555 20 0 EBADMM CCC[C@@](C)(NCC(=O)N1CCN(CC(=O)N(C)C)CC1)C(=O)[O-] ZINC000736959641 582977464 /nfs/dbraw/zinc/97/74/64/582977464.db2.gz JDAXFMBWBRESOJ-MRXNPFEDSA-N -1 1 342.440 -0.548 20 0 EBADMM CS(=O)(=O)CCOCCNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737161698 582994151 /nfs/dbraw/zinc/99/41/51/582994151.db2.gz CKHPRNXLQLICDL-UHFFFAOYSA-N -1 1 340.365 -0.947 20 0 EBADMM CS(=O)(=O)CCOCCNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737161698 582994153 /nfs/dbraw/zinc/99/41/53/582994153.db2.gz CKHPRNXLQLICDL-UHFFFAOYSA-N -1 1 340.365 -0.947 20 0 EBADMM Cc1oc(-n2cccc2)c(-c2nnn[n-]2)c1C(=O)NCCNC(N)=O ZINC000737547841 583014479 /nfs/dbraw/zinc/01/44/79/583014479.db2.gz LWHPLWAESBXOIR-UHFFFAOYSA-N -1 1 344.335 -0.043 20 0 EBADMM Cc1oc(-n2cccc2)c(-c2nn[n-]n2)c1C(=O)NCCNC(N)=O ZINC000737547841 583014481 /nfs/dbraw/zinc/01/44/81/583014481.db2.gz LWHPLWAESBXOIR-UHFFFAOYSA-N -1 1 344.335 -0.043 20 0 EBADMM COCCN(C(=O)CNC(C)(C)C(=O)[O-])[C@H]1CCS(=O)(=O)C1 ZINC000737766225 583026644 /nfs/dbraw/zinc/02/66/44/583026644.db2.gz XGUURYMEXQJISN-JTQLQIEISA-N -1 1 336.410 -0.899 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1cccc(-c2nn[nH]n2)c1 ZINC000737861706 583029246 /nfs/dbraw/zinc/02/92/46/583029246.db2.gz IKLREKGMPOLWKI-UHFFFAOYSA-N -1 1 327.304 -0.255 20 0 EBADMM O=C(CCNC(=O)c1ccc(-c2nnn[n-]2)nc1)N1CCOCC1 ZINC000738054202 583040202 /nfs/dbraw/zinc/04/02/02/583040202.db2.gz CJHDAPVANMLKFT-UHFFFAOYSA-N -1 1 331.336 -0.760 20 0 EBADMM O=C(CCNC(=O)c1ccc(-c2nn[n-]n2)nc1)N1CCOCC1 ZINC000738054202 583040203 /nfs/dbraw/zinc/04/02/03/583040203.db2.gz CJHDAPVANMLKFT-UHFFFAOYSA-N -1 1 331.336 -0.760 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1ccc(Cl)c(-c2nn[nH]n2)c1 ZINC000738123670 583043088 /nfs/dbraw/zinc/04/30/88/583043088.db2.gz YHPVJXMFSUYREQ-UHFFFAOYSA-N -1 1 348.714 -0.712 20 0 EBADMM O=C1CCN(S(=O)(=O)c2ccc(F)c(-c3nn[n-]n3)c2)CCN1 ZINC000738303153 583048947 /nfs/dbraw/zinc/04/89/47/583048947.db2.gz FKGYKEYGZKBCIU-UHFFFAOYSA-N -1 1 340.340 -0.484 20 0 EBADMM Cn1ncc(S(=O)(=O)[N-]C[C@]2(O)CCOC2)c1C(F)(F)F ZINC000867012581 583067220 /nfs/dbraw/zinc/06/72/20/583067220.db2.gz HXUSANBQJJUHEC-SECBINFHSA-N -1 1 329.300 -0.131 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H](O)c1cnn(C)c1 ZINC000751450309 583144770 /nfs/dbraw/zinc/14/47/70/583144770.db2.gz LLGAFNMYYKKUMT-JTQLQIEISA-N -1 1 343.365 -0.668 20 0 EBADMM CCOC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)CCS(C)(=O)=O ZINC000751982682 583151007 /nfs/dbraw/zinc/15/10/07/583151007.db2.gz PNIZWVGDPKATKA-JTQLQIEISA-N -1 1 327.424 -0.318 20 0 EBADMM NC(=O)C[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C1CCCCC1 ZINC000752457260 583154244 /nfs/dbraw/zinc/15/42/44/583154244.db2.gz NFOYCYZCYCSVSL-LBPRGKRZSA-N -1 1 336.392 -0.133 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCn1c(=O)[nH]c2ccccc21 ZINC000752498444 583154370 /nfs/dbraw/zinc/15/43/70/583154370.db2.gz VHWDHSGXQFAWDR-UHFFFAOYSA-N -1 1 343.343 -0.202 20 0 EBADMM CO[C@@H]1CC[C@@H]2OCCN(C(=O)CCn3cc[n-]c(=O)c3=O)[C@H]2C1 ZINC000754881171 583171957 /nfs/dbraw/zinc/17/19/57/583171957.db2.gz USIBVHDPUXLLQP-AGIUHOORSA-N -1 1 337.376 -0.278 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC(=O)NC[C@@H]2CCCO2)co1 ZINC000756405900 583183440 /nfs/dbraw/zinc/18/34/40/583183440.db2.gz SHVNLXZNLWFOJY-JTQLQIEISA-N -1 1 346.361 -0.360 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@@H]1[C@@H](O)C(F)(F)F ZINC000759650359 583215971 /nfs/dbraw/zinc/21/59/71/583215971.db2.gz KMIJGXRASBVUHI-PSASIEDQSA-N -1 1 335.282 -0.159 20 0 EBADMM COC[C@H](NC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C)C(N)=O ZINC000760079201 583223925 /nfs/dbraw/zinc/22/39/25/583223925.db2.gz UGZGZISEOIZOGL-NSHDSACASA-N -1 1 335.364 -0.399 20 0 EBADMM CS[C@@H]1CN(C(=O)Cn2[n-]cc3c(=O)ncnc2-3)C[C@@H]1N(C)C ZINC000765257997 583279990 /nfs/dbraw/zinc/27/99/90/583279990.db2.gz CCTFZLRAAPIULA-WDEREUQCSA-N -1 1 336.421 -0.425 20 0 EBADMM Cn1[n-]c(COC(=O)Cn2nc(-c3ccc(F)cc3)oc2=O)nc1=O ZINC000765420938 583281719 /nfs/dbraw/zinc/28/17/19/583281719.db2.gz KPKVZQQSRVGHEN-UHFFFAOYSA-N -1 1 349.278 -0.192 20 0 EBADMM Cn1[n-]c(COC(=O)c2cc(S(N)(=O)=O)ccc2F)nc1=O ZINC000765415215 583281900 /nfs/dbraw/zinc/28/19/00/583281900.db2.gz CEKTWMBTAILMFD-UHFFFAOYSA-N -1 1 330.297 -0.748 20 0 EBADMM C[C@H](C(=O)OCc1nc(=O)n(C)[n-]1)N1C(=O)NC2(CCCC2)C1=O ZINC000765462784 583282763 /nfs/dbraw/zinc/28/27/63/583282763.db2.gz JGRYEMKGHLEBBZ-MRVPVSSYSA-N -1 1 337.336 -0.595 20 0 EBADMM Cc1ccc(NC(=O)NN2CC(=O)[N-]C2=O)cc1S(C)(=O)=O ZINC000770626077 583340173 /nfs/dbraw/zinc/34/01/73/583340173.db2.gz OXSQGRYYGYOOQO-UHFFFAOYSA-N -1 1 326.334 -0.013 20 0 EBADMM COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)Nc2nnn[n-]2)C1=O ZINC000771497255 583348114 /nfs/dbraw/zinc/34/81/14/583348114.db2.gz OODXVLYKIFYFMZ-AWEZNQCLSA-N -1 1 345.319 -0.386 20 0 EBADMM COc1ccccc1[C@]1(C)NC(=O)N(CC(=O)Nc2nn[n-]n2)C1=O ZINC000771497255 583348118 /nfs/dbraw/zinc/34/81/18/583348118.db2.gz OODXVLYKIFYFMZ-AWEZNQCLSA-N -1 1 345.319 -0.386 20 0 EBADMM CCCCCCn1cc(S(=O)(=O)[N-][C@H](C)C(N)=O)c(=O)[nH]c1=O ZINC000772640374 583363523 /nfs/dbraw/zinc/36/35/23/583363523.db2.gz WALDNYICLHJWLT-SECBINFHSA-N -1 1 346.409 -0.319 20 0 EBADMM CCOCCO[N-]C(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000772699899 583364369 /nfs/dbraw/zinc/36/43/69/583364369.db2.gz XPEWJFVDUAEOKY-QWRGUYRKSA-N -1 1 334.394 -0.894 20 0 EBADMM C[C@H](CN(C)C(=O)c1ccc(F)c(S(N)(=O)=O)c1)c1nn[n-]n1 ZINC000773999971 583378742 /nfs/dbraw/zinc/37/87/42/583378742.db2.gz KWLAMGPZVSGEST-SSDOTTSWSA-N -1 1 342.356 -0.138 20 0 EBADMM CC1(C)NC(=O)N(CC(=O)OCCC[N-]C(=O)C(F)(F)F)C1=O ZINC000774873141 583391329 /nfs/dbraw/zinc/39/13/29/583391329.db2.gz LZAHVFXEVWLHSR-UHFFFAOYSA-N -1 1 339.270 -0.071 20 0 EBADMM Cn1c(=O)ccn(CC(=O)OCCC[N-]C(=O)C(F)(F)F)c1=O ZINC000774919834 583392299 /nfs/dbraw/zinc/39/22/99/583392299.db2.gz SSBDOUJZQMEKNP-UHFFFAOYSA-N -1 1 337.254 -0.841 20 0 EBADMM COC(=O)[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1cccc(O)c1 ZINC000775796175 583399109 /nfs/dbraw/zinc/39/91/09/583399109.db2.gz KQGFYZYZYFAJLL-CYBMUJFWSA-N -1 1 347.327 -0.337 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NS(=O)(=O)c1cnn(CC2CC2)c1 ZINC000777907848 583416427 /nfs/dbraw/zinc/41/64/27/583416427.db2.gz CEAVXFWHXLLKAX-LBPRGKRZSA-N -1 1 339.377 -0.010 20 0 EBADMM C[C@@H](OC(=O)c1cn[n-]n1)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000805608210 583421942 /nfs/dbraw/zinc/42/19/42/583421942.db2.gz AZZRLRKSLSHICK-SSDOTTSWSA-N -1 1 339.333 -0.364 20 0 EBADMM CC(C)CN1CCO[C@@H](CNC(=O)N2CCOC[C@H]2C(=O)[O-])C1 ZINC000780361265 583448621 /nfs/dbraw/zinc/44/86/21/583448621.db2.gz VVEUFTOJELDLDJ-STQMWFEESA-N -1 1 329.397 -0.162 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NN1CCc2ccccc2C1=O ZINC000780723575 583451170 /nfs/dbraw/zinc/45/11/70/583451170.db2.gz RHQHUTVBZQKEDR-UHFFFAOYSA-N -1 1 328.328 -0.344 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CN(c2ccccc2)C1=O ZINC000782877453 583474738 /nfs/dbraw/zinc/47/47/38/583474738.db2.gz OECHQTJWOPYIIK-GFCCVEGCSA-N -1 1 328.328 -0.542 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)OCC(=O)C2CC2)o1 ZINC000786198977 583507079 /nfs/dbraw/zinc/50/70/79/583507079.db2.gz WQCICEFXIVTEOF-UHFFFAOYSA-N -1 1 330.318 -0.821 20 0 EBADMM COC(=O)C[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1ccncc1 ZINC000786245891 583507358 /nfs/dbraw/zinc/50/73/58/583507358.db2.gz IHYREWPIBBMRID-LBPRGKRZSA-N -1 1 346.343 -0.258 20 0 EBADMM CN(C)c1nc(C(=O)OCCN2C(=O)NC(C)(C)C2=O)cc(=O)[n-]1 ZINC000786495923 583510442 /nfs/dbraw/zinc/51/04/42/583510442.db2.gz CNTYXVZBERIFDL-UHFFFAOYSA-N -1 1 337.336 -0.265 20 0 EBADMM Cc1cc(O)cc(=O)n1CC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000787902806 583524302 /nfs/dbraw/zinc/52/43/02/583524302.db2.gz PXNLXOJMTNPIOM-JTQLQIEISA-N -1 1 336.377 -0.308 20 0 EBADMM CCn1nnnc1-c1cccc(NC(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000789838407 583539354 /nfs/dbraw/zinc/53/93/54/583539354.db2.gz BHXHCBIDOWRSSK-UHFFFAOYSA-N -1 1 330.308 -0.052 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)OC(C)(C)C ZINC000792524271 583560971 /nfs/dbraw/zinc/56/09/71/583560971.db2.gz ZHJSRLZGQHAQLE-JTQLQIEISA-N -1 1 340.380 -0.044 20 0 EBADMM COC(=O)c1ccc(C(=O)OCC(=O)N2CCN(C(C)=O)CC2)[n-]1 ZINC000796370587 583595621 /nfs/dbraw/zinc/59/56/21/583595621.db2.gz XVOUCXWMZCLHTN-UHFFFAOYSA-N -1 1 337.332 -0.351 20 0 EBADMM C[C@@H](NC(=O)OC(C)(C)C)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000798147514 583602509 /nfs/dbraw/zinc/60/25/09/583602509.db2.gz BAQKSZHOVVPFDD-SECBINFHSA-N -1 1 340.384 -0.077 20 0 EBADMM CC(C)NC(=O)CN(C)CC(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000803696154 583632261 /nfs/dbraw/zinc/63/22/61/583632261.db2.gz FZXMDBQLGBEGAZ-UHFFFAOYSA-N -1 1 349.387 -0.057 20 0 EBADMM CCOC(=O)CCN(C)C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000807003948 583656675 /nfs/dbraw/zinc/65/66/75/583656675.db2.gz GOAIDAFYAZWLOV-UHFFFAOYSA-N -1 1 333.348 -0.308 20 0 EBADMM O=C(Nc1ccc(Br)cc1)C(=O)NN1CC(=O)[N-]C1=O ZINC000807062275 583657641 /nfs/dbraw/zinc/65/76/41/583657641.db2.gz REWICBLBRLDIRU-UHFFFAOYSA-N -1 1 341.121 -0.029 20 0 EBADMM CNC(=O)[C@H](O)C[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000809838330 583680788 /nfs/dbraw/zinc/68/07/88/583680788.db2.gz VNQBCDFTJAQERY-RXMQYKEDSA-N -1 1 327.156 -0.573 20 0 EBADMM CS(=O)(=O)[N-]C(=O)CCNC(=O)c1cn[nH]c1-c1ccccn1 ZINC000813534565 583699662 /nfs/dbraw/zinc/69/96/62/583699662.db2.gz ZVEZPQBRERHWOQ-UHFFFAOYSA-N -1 1 337.361 -0.333 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCOCCNC(C)=O)o1 ZINC000813942382 583701957 /nfs/dbraw/zinc/70/19/57/583701957.db2.gz CRIAZAAKGVBNJZ-UHFFFAOYSA-N -1 1 334.350 -0.503 20 0 EBADMM CC[C@H](C(=O)[N-]S(=O)(=O)N1CCN(C(C)=O)CC1)N(CC)CC ZINC000813946975 583702697 /nfs/dbraw/zinc/70/26/97/583702697.db2.gz UIFZLHNRGSVWQE-CYBMUJFWSA-N -1 1 348.469 -0.368 20 0 EBADMM CC(Nc1ccc(-n2cnnn2)cc1)=C1C(=O)[N-]C(=S)NC1=O ZINC000814327945 583705231 /nfs/dbraw/zinc/70/52/31/583705231.db2.gz VLPGTPFNEGSAPJ-UHFFFAOYSA-N -1 1 329.345 -0.121 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H]3[C@H](C(=O)OC)[C@H]3C2)o1 ZINC000816964836 583724499 /nfs/dbraw/zinc/72/44/99/583724499.db2.gz LRZWQCHCJJUELK-VROVMSAKSA-N -1 1 328.346 -0.321 20 0 EBADMM C[C@@H](NC(=O)C(=O)Nc1cccc(CN2CCN(C)CC2)c1)C(=O)[O-] ZINC000818022226 583733561 /nfs/dbraw/zinc/73/35/61/583733561.db2.gz GFHYCEDBYYRANB-GFCCVEGCSA-N -1 1 348.403 -0.038 20 0 EBADMM CC(C)(C)OC(=O)[C@H]1CCCN1C(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000819921870 583763433 /nfs/dbraw/zinc/76/34/33/583763433.db2.gz CIKGTAJJTBBGEI-SECBINFHSA-N -1 1 348.367 -0.209 20 0 EBADMM CC(C)[C@H]1OCC[C@H]1CNc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000820045611 583765388 /nfs/dbraw/zinc/76/53/88/583765388.db2.gz OJMPONTVYGNVSL-GXSJLCMTSA-N -1 1 349.395 -0.263 20 0 EBADMM C[C@H](COCC(F)(F)F)NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000820286617 583770687 /nfs/dbraw/zinc/77/06/87/583770687.db2.gz CXIGYHOXCQAJLG-ZCFIWIBFSA-N -1 1 334.262 -0.458 20 0 EBADMM C[C@@H](Cc1cccc(O)c1)NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000820300637 583771230 /nfs/dbraw/zinc/77/12/30/583771230.db2.gz SJEKSEJRNOGLSH-VIFPVBQESA-N -1 1 328.336 -0.089 20 0 EBADMM C[C@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)[C@H](O)c1cccc(F)c1 ZINC000820334021 583771514 /nfs/dbraw/zinc/77/15/14/583771514.db2.gz IYORPCGOTWCDEM-UFBFGSQYSA-N -1 1 346.326 -0.164 20 0 EBADMM C[C@@H](O)c1ccc(CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)cc1 ZINC000820480754 583773932 /nfs/dbraw/zinc/77/39/32/583773932.db2.gz OKWPPGRFWRLNEN-SECBINFHSA-N -1 1 328.336 -0.172 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nnn[n-]2)CCN1C(=O)c1cn[nH]c1 ZINC000820788369 583780005 /nfs/dbraw/zinc/78/00/05/583780005.db2.gz FIWQRVGKOSVKAK-SECBINFHSA-N -1 1 340.351 -0.269 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1C(=O)c1cn[nH]c1 ZINC000820788369 583780008 /nfs/dbraw/zinc/78/00/08/583780008.db2.gz FIWQRVGKOSVKAK-SECBINFHSA-N -1 1 340.351 -0.269 20 0 EBADMM CC[C@H]1CO[C@H](C)CN1c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000821000777 583783767 /nfs/dbraw/zinc/78/37/67/583783767.db2.gz JGJXXXYKCVMGJP-BDAKNGLRSA-N -1 1 335.368 -0.732 20 0 EBADMM CCC[C@H](CCO)CNc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000821020564 583784132 /nfs/dbraw/zinc/78/41/32/583784132.db2.gz FDYHQPSCUXSNPG-SECBINFHSA-N -1 1 337.384 -0.525 20 0 EBADMM CCCCC[C@@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)C(=O)OC ZINC000821049235 583784196 /nfs/dbraw/zinc/78/41/96/583784196.db2.gz JHWWVJSLSDTSEL-SECBINFHSA-N -1 1 336.356 -0.304 20 0 EBADMM CCN(CC)C(=O)[C@H]1CCCN1C(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821170441 583786158 /nfs/dbraw/zinc/78/61/58/583786158.db2.gz QNVUJAGVQKHCJA-SNVBAGLBSA-N -1 1 347.383 -0.682 20 0 EBADMM CCNC(=O)CN(C)S(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000821286585 583787966 /nfs/dbraw/zinc/78/79/66/583787966.db2.gz SHEQGLOKCVYSBD-UHFFFAOYSA-N -1 1 342.356 -0.238 20 0 EBADMM CCNC(=O)CN(C)S(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000821286585 583787969 /nfs/dbraw/zinc/78/79/69/583787969.db2.gz SHEQGLOKCVYSBD-UHFFFAOYSA-N -1 1 342.356 -0.238 20 0 EBADMM CCOC(=O)CN(CC(C)C)C(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821330452 583788942 /nfs/dbraw/zinc/78/89/42/583788942.db2.gz KQEZHHFHURUJHB-UHFFFAOYSA-N -1 1 336.356 -0.494 20 0 EBADMM CNC(=O)CN1CCN(C(=O)c2ccc(-c3nnn[n-]3)s2)CC1 ZINC000821632880 583798148 /nfs/dbraw/zinc/79/81/48/583798148.db2.gz NBIBTFCTBKHZJI-UHFFFAOYSA-N -1 1 335.393 -0.568 20 0 EBADMM CNC(=O)CN1CCN(C(=O)c2ccc(-c3nn[n-]n3)s2)CC1 ZINC000821632880 583798150 /nfs/dbraw/zinc/79/81/50/583798150.db2.gz NBIBTFCTBKHZJI-UHFFFAOYSA-N -1 1 335.393 -0.568 20 0 EBADMM COC(=O)[C@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)C1CCCCC1 ZINC000821640393 583798542 /nfs/dbraw/zinc/79/85/42/583798542.db2.gz HQPAOTYIDGIRFI-LLVKDONJSA-N -1 1 348.367 -0.304 20 0 EBADMM COC(=O)[C@]1(F)CCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000821653845 583799012 /nfs/dbraw/zinc/79/90/12/583799012.db2.gz MUTDYXCTTSKKRD-LBPRGKRZSA-N -1 1 338.303 -0.281 20 0 EBADMM COC(=O)[C@]1(F)CCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000821653845 583799015 /nfs/dbraw/zinc/79/90/15/583799015.db2.gz MUTDYXCTTSKKRD-LBPRGKRZSA-N -1 1 338.303 -0.281 20 0 EBADMM COC(=O)[C@H]1CN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C[C@@H]1C ZINC000821655776 583799286 /nfs/dbraw/zinc/79/92/86/583799286.db2.gz RKICSSSVDAFZKR-YUMQZZPRSA-N -1 1 334.340 -0.127 20 0 EBADMM COC(=O)[C@H]1CN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C[C@@H]1C ZINC000821655776 583799287 /nfs/dbraw/zinc/79/92/87/583799287.db2.gz RKICSSSVDAFZKR-YUMQZZPRSA-N -1 1 334.340 -0.127 20 0 EBADMM COC(=O)c1[nH]c(C)cc1NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821667149 583800380 /nfs/dbraw/zinc/80/03/80/583800380.db2.gz XCJQABFRGMCMLV-UHFFFAOYSA-N -1 1 331.296 -0.480 20 0 EBADMM COC[C@](C)(CC(=O)OC)NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821680199 583800713 /nfs/dbraw/zinc/80/07/13/583800713.db2.gz BQAZNYGFMNUQBY-AWEZNQCLSA-N -1 1 334.336 -0.040 20 0 EBADMM COC[C@](C)(CC(=O)OC)NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821680199 583800715 /nfs/dbraw/zinc/80/07/15/583800715.db2.gz BQAZNYGFMNUQBY-AWEZNQCLSA-N -1 1 334.336 -0.040 20 0 EBADMM COc1cccc([C@@H](O)CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)c1 ZINC000821863454 583804888 /nfs/dbraw/zinc/80/48/88/583804888.db2.gz KQMKFEBQXVKLCD-NSHDSACASA-N -1 1 344.335 -0.683 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)Cc2cccc(-c3nn[nH]n3)c2)n1 ZINC000821941074 583806344 /nfs/dbraw/zinc/80/63/44/583806344.db2.gz BOXBCMCSTPVFJI-UHFFFAOYSA-N -1 1 348.348 -0.431 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)Cc2cccc(-c3nn[nH]n3)c2)[n-]1 ZINC000821941074 583806345 /nfs/dbraw/zinc/80/63/45/583806345.db2.gz BOXBCMCSTPVFJI-UHFFFAOYSA-N -1 1 348.348 -0.431 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NCC2(CCO)CCC2)n(C)c1=O ZINC000822599202 583813380 /nfs/dbraw/zinc/81/33/80/583813380.db2.gz BPEMFAPAZUGOGK-UHFFFAOYSA-N -1 1 335.368 -0.771 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N2CCO[C@H]3CCCC[C@@H]32)n(C)c1=O ZINC000822601417 583813830 /nfs/dbraw/zinc/81/38/30/583813830.db2.gz ZJGROLPXMVXJHF-UWVGGRQHSA-N -1 1 347.379 -0.588 20 0 EBADMM Cn1cc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)c(=O)[nH]c1=O ZINC000822670020 583816386 /nfs/dbraw/zinc/81/63/86/583816386.db2.gz YLLZWNXZHXRTBN-UHFFFAOYSA-N -1 1 329.276 -0.582 20 0 EBADMM Cn1cc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)c(=O)[nH]c1=O ZINC000822670020 583816389 /nfs/dbraw/zinc/81/63/89/583816389.db2.gz YLLZWNXZHXRTBN-UHFFFAOYSA-N -1 1 329.276 -0.582 20 0 EBADMM NC(=O)CN1C[C@@H](OC(=O)c2ccc(-c3nnn[n-]3)s2)CC1=O ZINC000822869144 583820323 /nfs/dbraw/zinc/82/03/23/583820323.db2.gz PDIUJOKVRPMFOY-LURJTMIESA-N -1 1 336.333 -0.829 20 0 EBADMM NC(=O)CN1C[C@@H](OC(=O)c2ccc(-c3nn[n-]n3)s2)CC1=O ZINC000822869144 583820326 /nfs/dbraw/zinc/82/03/26/583820326.db2.gz PDIUJOKVRPMFOY-LURJTMIESA-N -1 1 336.333 -0.829 20 0 EBADMM Nc1n[nH]c(CCCNC(=O)Nc2cccnn2)c1-c1nnn[n-]1 ZINC000822907511 583821532 /nfs/dbraw/zinc/82/15/32/583821532.db2.gz QCUXOFUXPYJNKL-UHFFFAOYSA-N -1 1 329.328 -0.284 20 0 EBADMM Nc1n[nH]c(CCCNC(=O)Nc2cccnn2)c1-c1nn[n-]n1 ZINC000822907511 583821534 /nfs/dbraw/zinc/82/15/34/583821534.db2.gz QCUXOFUXPYJNKL-UHFFFAOYSA-N -1 1 329.328 -0.284 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NC[C@@H](O)c1ccccc1Cl ZINC000823127218 583825002 /nfs/dbraw/zinc/82/50/02/583825002.db2.gz WVPYWAVRHUKRST-SNVBAGLBSA-N -1 1 348.754 -0.039 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCCC2(CCOCC2)C1 ZINC000823127291 583825051 /nfs/dbraw/zinc/82/50/51/583825051.db2.gz YZCUJBMBVMRRTP-UHFFFAOYSA-N -1 1 332.368 -0.123 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCN(CC(F)(F)F)CC1 ZINC000823127162 583825273 /nfs/dbraw/zinc/82/52/73/583825273.db2.gz VNIPSJVKYYTTQI-UHFFFAOYSA-N -1 1 345.289 -0.835 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCc1cccc2c1OCO2 ZINC000823131997 583825353 /nfs/dbraw/zinc/82/53/53/583825353.db2.gz UVDXYKIVRKBOFE-UHFFFAOYSA-N -1 1 328.292 -0.497 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCCCOC[C@@H]1CCCO1 ZINC000823131746 583825611 /nfs/dbraw/zinc/82/56/11/583825611.db2.gz NEJNEZRSXSRQLM-JTQLQIEISA-N -1 1 336.356 -0.840 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)Nc1n[nH]c(-c2ccccn2)n1 ZINC000823136352 583826034 /nfs/dbraw/zinc/82/60/34/583826034.db2.gz XILYWXHMDWYNCR-UHFFFAOYSA-N -1 1 338.295 -0.723 20 0 EBADMM C[C@H](C(=O)N1CCC(C(N)=O)CC1)N1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000823664502 583835340 /nfs/dbraw/zinc/83/53/40/583835340.db2.gz XNGQQUTXMDGIHV-ZYHUDNBSSA-N -1 1 326.397 -0.217 20 0 EBADMM CC(=O)NCCNS(=O)(=O)c1cccc(Cl)c1-c1nnn[n-]1 ZINC000823994191 583839867 /nfs/dbraw/zinc/83/98/67/583839867.db2.gz DBHZEJQWEFTAGO-UHFFFAOYSA-N -1 1 344.784 -0.066 20 0 EBADMM CC(=O)NCCNS(=O)(=O)c1cccc(Cl)c1-c1nn[n-]n1 ZINC000823994191 583839869 /nfs/dbraw/zinc/83/98/69/583839869.db2.gz DBHZEJQWEFTAGO-UHFFFAOYSA-N -1 1 344.784 -0.066 20 0 EBADMM CC(=O)c1cn(Cc2ccnc(-c3nnn[n-]3)c2)c(=O)n(C)c1=O ZINC000824029041 583840337 /nfs/dbraw/zinc/84/03/37/583840337.db2.gz AENRYUMHRCJISO-UHFFFAOYSA-N -1 1 327.304 -0.627 20 0 EBADMM CC(=O)c1cn(Cc2ccnc(-c3nn[n-]n3)c2)c(=O)n(C)c1=O ZINC000824029041 583840340 /nfs/dbraw/zinc/84/03/40/583840340.db2.gz AENRYUMHRCJISO-UHFFFAOYSA-N -1 1 327.304 -0.627 20 0 EBADMM C[C@@H](C(N)=O)N(C)S(=O)(=O)c1ccc(F)c(-c2nn[n-]n2)c1 ZINC000824059280 583840891 /nfs/dbraw/zinc/84/08/91/583840891.db2.gz RSRWUZIYUFTCNY-LURJTMIESA-N -1 1 328.329 -0.500 20 0 EBADMM CC(C)OCCOC[C@@H](O)CN(C)c1ccc(-c2nnn[n-]2)nn1 ZINC000824315528 583843886 /nfs/dbraw/zinc/84/38/86/583843886.db2.gz QZDNABKZXIRZIZ-NSHDSACASA-N -1 1 337.384 -0.105 20 0 EBADMM CC(C)OCCOC[C@@H](O)CN(C)c1ccc(-c2nn[n-]n2)nn1 ZINC000824315528 583843888 /nfs/dbraw/zinc/84/38/88/583843888.db2.gz QZDNABKZXIRZIZ-NSHDSACASA-N -1 1 337.384 -0.105 20 0 EBADMM C[C@H](Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C)C1=CC[N@H+](C)CC1 ZINC000824648938 583848202 /nfs/dbraw/zinc/84/82/02/583848202.db2.gz JSLVNHAYINNYSW-VIFPVBQESA-N -1 1 346.395 -0.674 20 0 EBADMM C[C@@H](CNC(=O)CO[C@H]1CCCN(C(=O)[O-])C1)N1CCN(C)CC1 ZINC000824920964 583852364 /nfs/dbraw/zinc/85/23/64/583852364.db2.gz ABMUUCSELPUOOW-KBPBESRZSA-N -1 1 342.440 -0.103 20 0 EBADMM C[C@@H]1OCC[C@@H]1NC(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000825022669 583854361 /nfs/dbraw/zinc/85/43/61/583854361.db2.gz YVWAJRUIUPMQPM-IUCAKERBSA-N -1 1 349.399 -0.077 20 0 EBADMM C[C@@H]1OCC[C@@H]1NC(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000825022669 583854363 /nfs/dbraw/zinc/85/43/63/583854363.db2.gz YVWAJRUIUPMQPM-IUCAKERBSA-N -1 1 349.399 -0.077 20 0 EBADMM C[C@H]1OCC[C@@H]1C(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000825012970 583854405 /nfs/dbraw/zinc/85/44/05/583854405.db2.gz MTTIDOUDFKBDSP-BDAKNGLRSA-N -1 1 334.384 -0.012 20 0 EBADMM C[C@H]1OCC[C@@H]1C(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000825012970 583854407 /nfs/dbraw/zinc/85/44/07/583854407.db2.gz MTTIDOUDFKBDSP-BDAKNGLRSA-N -1 1 334.384 -0.012 20 0 EBADMM CC[C@@H](NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)C(=O)OC ZINC000825148665 583855761 /nfs/dbraw/zinc/85/57/61/583855761.db2.gz RPSWHNVCZAZACV-MRVPVSSYSA-N -1 1 326.338 -0.508 20 0 EBADMM CC[C@@H](NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)C(=O)OC ZINC000825148665 583855763 /nfs/dbraw/zinc/85/57/63/583855763.db2.gz RPSWHNVCZAZACV-MRVPVSSYSA-N -1 1 326.338 -0.508 20 0 EBADMM C[C@@H](NC(=O)[O-])c1ccc(NC(=O)C(=O)NCc2nn[nH]n2)cc1 ZINC000825414904 583860477 /nfs/dbraw/zinc/86/04/77/583860477.db2.gz BTJKILBMJGHAER-SSDOTTSWSA-N -1 1 333.308 -0.217 20 0 EBADMM CCNC(=O)N1CC[C@H](NC(=O)Cc2noc(C)c2-c2nnn[n-]2)C1 ZINC000825470371 583861341 /nfs/dbraw/zinc/86/13/41/583861341.db2.gz CFHHVPDTMDBHEI-VIFPVBQESA-N -1 1 348.367 -0.374 20 0 EBADMM CCNC(=O)N1CC[C@H](NC(=O)Cc2noc(C)c2-c2nn[n-]n2)C1 ZINC000825470371 583861342 /nfs/dbraw/zinc/86/13/42/583861342.db2.gz CFHHVPDTMDBHEI-VIFPVBQESA-N -1 1 348.367 -0.374 20 0 EBADMM CCS(=O)(=O)NCCCOC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000825663388 583865192 /nfs/dbraw/zinc/86/51/92/583865192.db2.gz MYIPWJMEKHCDHJ-UHFFFAOYSA-N -1 1 340.365 -0.252 20 0 EBADMM CCS(=O)(=O)NCCCOC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000825663388 583865194 /nfs/dbraw/zinc/86/51/94/583865194.db2.gz MYIPWJMEKHCDHJ-UHFFFAOYSA-N -1 1 340.365 -0.252 20 0 EBADMM CN(C)c1cccc(CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)n1 ZINC000825880209 583869925 /nfs/dbraw/zinc/86/99/25/583869925.db2.gz YJZVJVQSRCCQFN-UHFFFAOYSA-N -1 1 328.340 -0.764 20 0 EBADMM CN(CC1(O)CCCC1)c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000825902183 583870376 /nfs/dbraw/zinc/87/03/76/583870376.db2.gz QABGHXRZPNFPQO-UHFFFAOYSA-N -1 1 335.368 -0.995 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nnn[n-]1)C(=O)CCn1ccnn1 ZINC000825930323 583871013 /nfs/dbraw/zinc/87/10/13/583871013.db2.gz SMEDMJKBKDGWGN-UHFFFAOYSA-N -1 1 345.371 -0.755 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nn[n-]n1)C(=O)CCn1ccnn1 ZINC000825930323 583871016 /nfs/dbraw/zinc/87/10/16/583871016.db2.gz SMEDMJKBKDGWGN-UHFFFAOYSA-N -1 1 345.371 -0.755 20 0 EBADMM CN1C[C@H](NS(=O)(=O)c2ccc(F)cc2-c2nn[n-]n2)CC1=O ZINC000826017669 583873761 /nfs/dbraw/zinc/87/37/61/583873761.db2.gz NAUCTRALSHFZLQ-MRVPVSSYSA-N -1 1 340.340 -0.485 20 0 EBADMM CNC(=O)[C@H]1CCC[C@@H]1NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000826050826 583875515 /nfs/dbraw/zinc/87/55/15/583875515.db2.gz FHESKJUEZLHSOM-IUCAKERBSA-N -1 1 333.352 -0.263 20 0 EBADMM CNC(=O)[C@H]1CCC[C@@H]1NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000826050826 583875517 /nfs/dbraw/zinc/87/55/17/583875517.db2.gz FHESKJUEZLHSOM-IUCAKERBSA-N -1 1 333.352 -0.263 20 0 EBADMM C[C@@H]1OC(C)(C)N(C(=O)[O-])[C@H]1C(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000826111076 583879233 /nfs/dbraw/zinc/87/92/33/583879233.db2.gz YLKDZCHUXZRUGZ-XHNCKOQMSA-N -1 1 340.340 -0.397 20 0 EBADMM C[C@@H]1OC(C)(C)N(C(=O)[O-])[C@H]1C(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000826111075 583879371 /nfs/dbraw/zinc/87/93/71/583879371.db2.gz YLKDZCHUXZRUGZ-DJLDLDEBSA-N -1 1 340.340 -0.397 20 0 EBADMM COC[C@H](CCO)NS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000826149302 583883567 /nfs/dbraw/zinc/88/35/67/583883567.db2.gz FDQIAWDHXGSTAO-VIFPVBQESA-N -1 1 345.356 -0.319 20 0 EBADMM CS(=O)(=O)N1CCCN(Cc2ccc(-c3nnn[n-]3)o2)CC1 ZINC000826223641 583888649 /nfs/dbraw/zinc/88/86/49/583888649.db2.gz UUTNCJWYKWGUBE-UHFFFAOYSA-N -1 1 326.382 -0.073 20 0 EBADMM CS(=O)(=O)N1CCCN(Cc2ccc(-c3nn[n-]n3)o2)CC1 ZINC000826223641 583888650 /nfs/dbraw/zinc/88/86/50/583888650.db2.gz UUTNCJWYKWGUBE-UHFFFAOYSA-N -1 1 326.382 -0.073 20 0 EBADMM CS(=O)(=O)N[C@@H]1CCCN(Cc2ccc(-c3nnn[n-]3)o2)C1 ZINC000826223523 583888669 /nfs/dbraw/zinc/88/86/69/583888669.db2.gz POUDWGRUGHNISS-SECBINFHSA-N -1 1 326.382 -0.027 20 0 EBADMM CSC[C@H](CCO)NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000826228139 583888970 /nfs/dbraw/zinc/88/89/70/583888970.db2.gz GDDOPLNLOATRPR-QMMMGPOBSA-N -1 1 326.382 -0.064 20 0 EBADMM CSC[C@H](CCO)NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000826228139 583888973 /nfs/dbraw/zinc/88/89/73/583888973.db2.gz GDDOPLNLOATRPR-QMMMGPOBSA-N -1 1 326.382 -0.064 20 0 EBADMM Cc1c(CNS(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)cnn1C ZINC000826236594 583889477 /nfs/dbraw/zinc/88/94/77/583889477.db2.gz SWLUIHDJJDQNTL-UHFFFAOYSA-N -1 1 334.365 -0.218 20 0 EBADMM Cc1c(CNS(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)cnn1C ZINC000826236594 583889479 /nfs/dbraw/zinc/88/94/79/583889479.db2.gz SWLUIHDJJDQNTL-UHFFFAOYSA-N -1 1 334.365 -0.218 20 0 EBADMM Cc1cncc(CNc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)c1 ZINC000826319943 583891311 /nfs/dbraw/zinc/89/13/11/583891311.db2.gz ZVLWLDDCYANTTA-UHFFFAOYSA-N -1 1 328.336 -0.420 20 0 EBADMM Cc1onc(CC(=O)N(C)[C@H]2CCCCNC2=O)c1-c1nnn[n-]1 ZINC000826343077 583892066 /nfs/dbraw/zinc/89/20/66/583892066.db2.gz LJLJKQHEJNEWCK-JTQLQIEISA-N -1 1 333.352 -0.167 20 0 EBADMM Cc1onc(CC(=O)N(C)[C@H]2CCCCNC2=O)c1-c1nn[n-]n1 ZINC000826343077 583892067 /nfs/dbraw/zinc/89/20/67/583892067.db2.gz LJLJKQHEJNEWCK-JTQLQIEISA-N -1 1 333.352 -0.167 20 0 EBADMM Cc1onc(CC(=O)N2CC(=O)N[C@H]3CCCC[C@@H]32)c1-c1nnn[n-]1 ZINC000826343672 583892086 /nfs/dbraw/zinc/89/20/86/583892086.db2.gz JQGPRFLPYNSHFI-ONGXEEELSA-N -1 1 345.363 -0.025 20 0 EBADMM Cc1onc(CC(=O)N2CC(=O)N[C@H]3CCCC[C@@H]32)c1-c1nn[n-]n1 ZINC000826343672 583892088 /nfs/dbraw/zinc/89/20/88/583892088.db2.gz JQGPRFLPYNSHFI-ONGXEEELSA-N -1 1 345.363 -0.025 20 0 EBADMM Cc1onc(CC(=O)N2C[C@@H](C(N)=O)CC[C@H]2C)c1-c1nnn[n-]1 ZINC000826343571 583892231 /nfs/dbraw/zinc/89/22/31/583892231.db2.gz GPBVWIJUICMPKS-APPZFPTMSA-N -1 1 333.352 -0.182 20 0 EBADMM Cc1onc(CC(=O)N2C[C@@H](C(N)=O)CC[C@H]2C)c1-c1nn[n-]n1 ZINC000826343571 583892233 /nfs/dbraw/zinc/89/22/33/583892233.db2.gz GPBVWIJUICMPKS-APPZFPTMSA-N -1 1 333.352 -0.182 20 0 EBADMM Cc1onc(CC(=O)N[C@H]2CC(=O)N(C(C)C)C2)c1-c1nnn[n-]1 ZINC000826346402 583892849 /nfs/dbraw/zinc/89/28/49/583892849.db2.gz LPYUALPUFIEICY-VIFPVBQESA-N -1 1 333.352 -0.169 20 0 EBADMM Cc1onc(CC(=O)N[C@H]2CC(=O)N(C(C)C)C2)c1-c1nn[n-]n1 ZINC000826346402 583892850 /nfs/dbraw/zinc/89/28/50/583892850.db2.gz LPYUALPUFIEICY-VIFPVBQESA-N -1 1 333.352 -0.169 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N2CCC[C@]2(C)CCCO)n(C)c1=O ZINC000826355592 583893437 /nfs/dbraw/zinc/89/34/37/583893437.db2.gz GSELITDUKOBYKJ-OAHLLOKOSA-N -1 1 349.395 -0.605 20 0 EBADMM Cc1onc(CC(=O)Nc2ccc(C(N)=O)nc2)c1-c1nnn[n-]1 ZINC000826348482 583893550 /nfs/dbraw/zinc/89/35/50/583893550.db2.gz OIWYEIVYBQTCCT-UHFFFAOYSA-N -1 1 328.292 -0.162 20 0 EBADMM Cc1onc(CC(=O)Nc2ccc(C(N)=O)nc2)c1-c1nn[n-]n1 ZINC000826348482 583893552 /nfs/dbraw/zinc/89/35/52/583893552.db2.gz OIWYEIVYBQTCCT-UHFFFAOYSA-N -1 1 328.292 -0.162 20 0 EBADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)c1cncc(N2CCOCC2)c1 ZINC000826395449 583898661 /nfs/dbraw/zinc/89/86/61/583898661.db2.gz BVTDGISDHLGBQI-UHFFFAOYSA-N -1 1 341.335 -0.435 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@@H](CO)c1ccc(Cl)cc1 ZINC000826424806 583900648 /nfs/dbraw/zinc/90/06/48/583900648.db2.gz DKDMFAVWQRRGAY-JTQLQIEISA-N -1 1 348.754 -0.039 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCC[C@H]([C@H]2CCOC2)C1 ZINC000826424884 583900728 /nfs/dbraw/zinc/90/07/28/583900728.db2.gz HJMRNQPGZZLBRD-QWRGUYRKSA-N -1 1 332.368 -0.267 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@@H](CO)c1ccc(F)cc1 ZINC000826424793 583900746 /nfs/dbraw/zinc/90/07/46/583900746.db2.gz CZRBTLYSJIYBHK-JTQLQIEISA-N -1 1 332.299 -0.553 20 0 EBADMM O=C(NCCNC(=O)c1ccc(-c2nn[n-]n2)s1)c1ccn[nH]1 ZINC000826437305 583901947 /nfs/dbraw/zinc/90/19/47/583901947.db2.gz FZDCRGQJNQDDSH-UHFFFAOYSA-N -1 1 332.349 -0.189 20 0 EBADMM O=C1CN(CCNc2c3ccccc3nnc2-c2nnn[n-]2)CCN1 ZINC000826481054 583904070 /nfs/dbraw/zinc/90/40/70/583904070.db2.gz DSNYTSUNHZAJOC-UHFFFAOYSA-N -1 1 339.363 -0.346 20 0 EBADMM O=C1CN(CCNc2c3ccccc3nnc2-c2nn[n-]n2)CCN1 ZINC000826481054 583904071 /nfs/dbraw/zinc/90/40/71/583904071.db2.gz DSNYTSUNHZAJOC-UHFFFAOYSA-N -1 1 339.363 -0.346 20 0 EBADMM O=S(=O)(NCCn1ccnn1)c1cccc(F)c1-c1nnn[n-]1 ZINC000826491388 583905607 /nfs/dbraw/zinc/90/56/07/583905607.db2.gz HNFCNEJJTYFMLT-UHFFFAOYSA-N -1 1 338.328 -0.424 20 0 EBADMM O=S(=O)(NCCn1ccnn1)c1cccc(F)c1-c1nn[n-]n1 ZINC000826491388 583905609 /nfs/dbraw/zinc/90/56/09/583905609.db2.gz HNFCNEJJTYFMLT-UHFFFAOYSA-N -1 1 338.328 -0.424 20 0 EBADMM O=S(=O)(c1ccc(F)c(-c2nn[n-]n2)c1)N1C[C@H](O)C[C@H](O)C1 ZINC000826495390 583906000 /nfs/dbraw/zinc/90/60/00/583906000.db2.gz NZHDRXNLKSXJGD-OCAPTIKFSA-N -1 1 343.340 -0.878 20 0 EBADMM C[C@@H]1CCN(C(=O)C[C@H]2CC(=O)NC2=O)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000826687312 583909640 /nfs/dbraw/zinc/90/96/40/583909640.db2.gz ZWMIONYMDWLSGR-HLTSFMKQSA-N -1 1 349.309 -0.045 20 0 EBADMM CO[C@@](C)(C(=O)OC(C)(C)C)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000826833290 583911133 /nfs/dbraw/zinc/91/11/33/583911133.db2.gz AWRUXMYXTLWVHD-LKFCYVNXSA-N -1 1 341.368 -0.154 20 0 EBADMM O=C([C@H]1CC(=O)N[C@@H]2CCCC[C@@H]21)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000826834764 583911152 /nfs/dbraw/zinc/91/11/52/583911152.db2.gz WLQBSCMHYBWLGR-KXNHARMFSA-N -1 1 334.380 -0.206 20 0 EBADMM CCN1CCN(CC(=O)N2CCN(C)C[C@H]2CNC(=O)[O-])CC1 ZINC000827222596 583916780 /nfs/dbraw/zinc/91/67/80/583916780.db2.gz LNPLGRGVZKYCLO-CYBMUJFWSA-N -1 1 327.429 -0.966 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)CNC(=O)c2cccnc2)CC1 ZINC000827361545 583919919 /nfs/dbraw/zinc/91/99/19/583919919.db2.gz BFLFLFIYSHJZAC-LBPRGKRZSA-N -1 1 334.376 -0.181 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)Cn2cnc3c2ncnc3N)CC1 ZINC000827368929 583920182 /nfs/dbraw/zinc/92/01/82/583920182.db2.gz KWIBYOAXAJAGKP-JTQLQIEISA-N -1 1 347.379 -0.584 20 0 EBADMM CN(Cc1ccccc1NC(=O)C(=O)NCc1nn[nH]n1)C(=O)[O-] ZINC000828394364 583938318 /nfs/dbraw/zinc/93/83/18/583938318.db2.gz VEMPBTBCINDXAC-UHFFFAOYSA-N -1 1 333.308 -0.436 20 0 EBADMM C[C@@H]1CN(CN2C(=O)C[C@H](Cc3cnn(C)c3)C2=O)C[C@@H]1C(=O)[O-] ZINC000828448774 583939484 /nfs/dbraw/zinc/93/94/84/583939484.db2.gz GRQNVGKUBKNFJM-WXHSDQCUSA-N -1 1 334.376 -0.052 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(C)(C)N2CCN(C(=O)[O-])CC2)C1 ZINC000828984824 583949502 /nfs/dbraw/zinc/94/95/02/583949502.db2.gz JCWBEXYUTBIRAZ-ZDUSSCGKSA-N -1 1 342.440 -0.103 20 0 EBADMM CCc1nnc([C@@H]2CN(S(=O)(=O)C[C@@H](C)C(=O)[O-])CCO2)[nH]1 ZINC000830304543 583965807 /nfs/dbraw/zinc/96/58/07/583965807.db2.gz POTLWRVJMYNGIF-BDAKNGLRSA-N -1 1 332.382 -0.209 20 0 EBADMM CCc1nc(CN2CCN(CCC(=O)NCC(=O)[O-])CC2)no1 ZINC000830317614 583966097 /nfs/dbraw/zinc/96/60/97/583966097.db2.gz GDECZFSOHDGRMG-UHFFFAOYSA-N -1 1 325.369 -0.660 20 0 EBADMM CN(C)CC(=O)N1CCN(S(=O)(=O)CC2(CC(=O)[O-])CC2)CC1 ZINC000830596467 583968316 /nfs/dbraw/zinc/96/83/16/583968316.db2.gz ODITWKKLEJKVHV-UHFFFAOYSA-N -1 1 347.437 -0.723 20 0 EBADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)CN2CCOCC2=O)C1 ZINC000830802588 583971048 /nfs/dbraw/zinc/97/10/48/583971048.db2.gz XPYQOPALVKEPRC-LBPRGKRZSA-N -1 1 337.298 -0.485 20 0 EBADMM CN(CCCN1CCN(C)CC1)S(=O)(=O)N(C)CCC(=O)[O-] ZINC000830955005 583972885 /nfs/dbraw/zinc/97/28/85/583972885.db2.gz WMMOOYMINTXMEC-UHFFFAOYSA-N -1 1 336.458 -0.793 20 0 EBADMM Cc1cc(C)n(CC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)c(=O)n1 ZINC000831134633 583977023 /nfs/dbraw/zinc/97/70/23/583977023.db2.gz OFKDUSVFKAKHPZ-UHFFFAOYSA-N -1 1 346.309 -0.003 20 0 EBADMM O=C([O-])N1C[C@@H](O)C[C@H]1C(=O)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000831271140 583981213 /nfs/dbraw/zinc/98/12/13/583981213.db2.gz ANGIDNIUULAVJM-QNWHQSFQSA-N -1 1 327.381 -0.843 20 0 EBADMM O=C([O-])N1CC(OCC(=O)N2CCN(C[C@@H]3CCOC3)CC2)C1 ZINC000831858568 583993067 /nfs/dbraw/zinc/99/30/67/583993067.db2.gz MZBISZRIJUSBTL-LBPRGKRZSA-N -1 1 327.381 -0.454 20 0 EBADMM O=C([O-])N1CCO[C@H](C(=O)N2CCN(C3CCOCC3)CC2)C1 ZINC000832050024 583995168 /nfs/dbraw/zinc/99/51/68/583995168.db2.gz MNLQIKIRWLPVRI-ZDUSSCGKSA-N -1 1 327.381 -0.312 20 0 EBADMM Cc1ccc(NC(=O)C(=O)NC[C@@H]2CN(C)CCN2C)cc1C(=O)[O-] ZINC000832941803 584002604 /nfs/dbraw/zinc/00/26/04/584002604.db2.gz ZKUQQPGXNKBLQY-CYBMUJFWSA-N -1 1 348.403 -0.006 20 0 EBADMM O=C([O-])[C@H]1COCCN1C(=O)CCN1CCN(c2ccccn2)CC1 ZINC000833192093 584006704 /nfs/dbraw/zinc/00/67/04/584006704.db2.gz KDQOHCCOWIXHRB-CQSZACIVSA-N -1 1 348.403 -0.094 20 0 EBADMM O=C([O-])Cn1cc(S(=O)(=O)N[C@H]2CCN(CC3CC3)C2)cn1 ZINC000833273934 584007966 /nfs/dbraw/zinc/00/79/66/584007966.db2.gz GGOKDBGZEITCFJ-NSHDSACASA-N -1 1 328.394 -0.270 20 0 EBADMM CC(=O)N[C@@H]1CCCN(C(=O)CN2CCC([C@@H](O)C(=O)[O-])CC2)C1 ZINC000833395722 584010017 /nfs/dbraw/zinc/01/00/17/584010017.db2.gz KDKGYWRLCTYALH-UKRRQHHQSA-N -1 1 341.408 -0.729 20 0 EBADMM CC(C)NC(=O)CNC(=S)N1CCN(CCC(=O)[O-])C[C@@H]1C ZINC000833501639 584011283 /nfs/dbraw/zinc/01/12/83/584011283.db2.gz FIRLBUONLWOKKV-NSHDSACASA-N -1 1 330.454 -0.134 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@@H]1CCCN1S(C)(=O)=O ZINC000833619541 584012346 /nfs/dbraw/zinc/01/23/46/584012346.db2.gz IYHAQOAJCQGEDU-RYUDHWBXSA-N -1 1 347.437 -0.582 20 0 EBADMM CCc1nc([C@H](C)NS(=O)(=O)c2cnn(CC(=O)[O-])c2)n[nH]1 ZINC000833673343 584013646 /nfs/dbraw/zinc/01/36/46/584013646.db2.gz JNGKGOAFQXBBCI-ZETCQYMHSA-N -1 1 328.354 -0.312 20 0 EBADMM COCCN1CC[C@@H](NC(=O)N2CCC([C@H](O)C(=O)[O-])CC2)C1 ZINC000833724385 584015492 /nfs/dbraw/zinc/01/54/92/584015492.db2.gz LBYROCNIVVPCQC-OLZOCXBDSA-N -1 1 329.397 -0.426 20 0 EBADMM O=C([O-])N1CC(NC(=O)C(=O)Nc2ccn(-c3ccncc3)n2)C1 ZINC000834058777 584025462 /nfs/dbraw/zinc/02/54/62/584025462.db2.gz MABPDESIGDLXKJ-UHFFFAOYSA-N -1 1 330.304 -0.316 20 0 EBADMM O=C([O-])N1CC(OCCC(=O)N2CCN([C@H]3CCC[C@@H]3O)CC2)C1 ZINC000834075579 584025790 /nfs/dbraw/zinc/02/57/90/584025790.db2.gz ZYPDGOQGLDQJPP-KBPBESRZSA-N -1 1 341.408 -0.187 20 0 EBADMM O=C([O-])NCCCC(=O)N1CCC(O)(CN2CCOCC2)CC1 ZINC000834230614 584029766 /nfs/dbraw/zinc/02/97/66/584029766.db2.gz XCTLLOIPJVHUTH-UHFFFAOYSA-N -1 1 329.397 -0.280 20 0 EBADMM COCCn1cc(N2C[C@H](C(=O)[N-]OCC(C)(C)O)CC2=O)cn1 ZINC000834618568 584036926 /nfs/dbraw/zinc/03/69/26/584036926.db2.gz UXEZQTIFPXVNGD-LLVKDONJSA-N -1 1 340.380 -0.299 20 0 EBADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@@H]2CCNC(=O)CC2)CCC1 ZINC000843014096 584069677 /nfs/dbraw/zinc/06/96/77/584069677.db2.gz KOPFLLFQRCLICD-NSHDSACASA-N -1 1 331.438 -0.167 20 0 EBADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)COCc2nncn2C)CCC1 ZINC000843015987 584069724 /nfs/dbraw/zinc/06/97/24/584069724.db2.gz ZXYPIUDOWOORIA-UHFFFAOYSA-N -1 1 345.425 -0.738 20 0 EBADMM COCC(=O)N(C)CC(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000843016291 584069832 /nfs/dbraw/zinc/06/98/32/584069832.db2.gz OKKZQVRXVVJQAN-UHFFFAOYSA-N -1 1 335.426 -0.979 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)Cn3nc4n(c3=O)CCCC4)ccnc1-2 ZINC000844502028 584094523 /nfs/dbraw/zinc/09/45/23/584094523.db2.gz FLDNLFXTIAANEG-UHFFFAOYSA-N -1 1 327.348 -0.325 20 0 EBADMM NS(=O)(=O)C1(C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CC1 ZINC000845204384 584102989 /nfs/dbraw/zinc/10/29/89/584102989.db2.gz TWRKSCWFZUIIRM-UHFFFAOYSA-N -1 1 326.330 -0.693 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-]C[C@@]2(OCCO)CCOC2)c1Cl ZINC000849428632 584170396 /nfs/dbraw/zinc/17/03/96/584170396.db2.gz ZVMXXLOWFCHZQR-NSHDSACASA-N -1 1 339.801 -0.480 20 0 EBADMM NC(=O)c1coc(C[N-]S(=O)(=O)c2cnn(CC3CC3)c2)n1 ZINC000849424003 584170471 /nfs/dbraw/zinc/17/04/71/584170471.db2.gz RUOKBIQWEODVFR-UHFFFAOYSA-N -1 1 325.350 -0.142 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@@H]1[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000849432869 584170754 /nfs/dbraw/zinc/17/07/54/584170754.db2.gz VOLKMPRMUMLIQJ-NTSWFWBYSA-N -1 1 329.787 -0.847 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCC(O)(C3CC3)C3CC3)ccnc1-2 ZINC000850668336 584184898 /nfs/dbraw/zinc/18/48/98/584184898.db2.gz UMLUPYVEPNTUBL-UHFFFAOYSA-N -1 1 343.387 -0.052 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CC[C@@H]4COC[C@@H]4C3)ccnc1-2 ZINC000850695696 584186637 /nfs/dbraw/zinc/18/66/37/584186637.db2.gz WKCUYIKDNKPUIM-MNOVXSKESA-N -1 1 329.360 -0.225 20 0 EBADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)c1nnn(C(C)(C)C)n1 ZINC000850940189 584190078 /nfs/dbraw/zinc/19/00/78/584190078.db2.gz IUYVBAIMYCWOJP-UHFFFAOYSA-N -1 1 346.351 -0.133 20 0 EBADMM CCOC(=O)N1CCN(C(=O)[C@@H](C)S(=O)(=O)c2ncn[n-]2)CC1 ZINC000853017730 584222336 /nfs/dbraw/zinc/22/23/36/584222336.db2.gz MHYAALHVVRLMQP-SECBINFHSA-N -1 1 345.381 -0.732 20 0 EBADMM CCOC(=O)N1CCN(C(=O)[C@@H](C)S(=O)(=O)c2nc[n-]n2)CC1 ZINC000853017730 584222339 /nfs/dbraw/zinc/22/23/39/584222339.db2.gz MHYAALHVVRLMQP-SECBINFHSA-N -1 1 345.381 -0.732 20 0 EBADMM O=C([C@@H]1[C@H]2CC[C@]3(COC(=O)[C@H]13)O2)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000860348653 584315187 /nfs/dbraw/zinc/31/51/87/584315187.db2.gz FBWIJGUSBHCGST-JAPZVGMSSA-N -1 1 333.348 -0.374 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N[C@@H]3CO[C@@H](C4CC4)C3)ccnc1-2 ZINC000862117634 584347498 /nfs/dbraw/zinc/34/74/98/584347498.db2.gz CEMUNLQJOUKJNL-GXFFZTMASA-N -1 1 329.360 -0.036 20 0 EBADMM COC[C@@]1(C)CN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)CCO1 ZINC000862203011 584349627 /nfs/dbraw/zinc/34/96/27/584349627.db2.gz HXCBYOZGAXLEGB-MRXNPFEDSA-N -1 1 347.375 -0.456 20 0 EBADMM CN(C)S(=O)(=O)N1CC(NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000864076715 584383202 /nfs/dbraw/zinc/38/32/02/584383202.db2.gz COYAOANELLBQEY-UHFFFAOYSA-N -1 1 341.393 -0.720 20 0 EBADMM CNC(=O)c1ccc(=O)n(CC(=O)CC[N-]C(=O)C(F)(F)F)c1 ZINC000865352381 584394534 /nfs/dbraw/zinc/39/45/34/584394534.db2.gz JDCXZDZYGSOJKT-UHFFFAOYSA-N -1 1 333.266 -0.154 20 0 EBADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]CCC(=O)N1CCN(C)CC1 ZINC000866525453 584401848 /nfs/dbraw/zinc/40/18/48/584401848.db2.gz ULRGMMUTRAQYJB-HXUWFJFHSA-N -1 1 340.471 -0.897 20 0 EBADMM COC(=O)[C@@H]1OCC[C@@H]1C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000867774795 584417080 /nfs/dbraw/zinc/41/70/80/584417080.db2.gz KKFYRBWYBVWVOQ-HTQZYQBOSA-N -1 1 337.785 -0.070 20 0 EBADMM Cc1cc(S(=O)(=O)[N-]C[C@@]23COCCN2C(=O)COC3)sn1 ZINC000867789531 584417192 /nfs/dbraw/zinc/41/71/92/584417192.db2.gz JTPCVQKHFOSESB-LBPRGKRZSA-N -1 1 347.418 -0.642 20 0 EBADMM CN1CC[C@@](O)(C[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C)C1 ZINC000872473809 584462125 /nfs/dbraw/zinc/46/21/25/584462125.db2.gz URBUUBMOPVBPMT-NSPYISDASA-N -1 1 327.472 -0.216 20 0 EBADMM COC[C@]1(C(=O)OC(C)(C)C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC000879359914 584551840 /nfs/dbraw/zinc/55/18/40/584551840.db2.gz WYLJOSMMFWNSOE-HNNXBMFYSA-N -1 1 342.396 -0.333 20 0 EBADMM Cn1c(=O)cc(CN2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)n(C)c1=O ZINC000879764418 584557454 /nfs/dbraw/zinc/55/74/54/584557454.db2.gz XEQDTTFEEYFRFZ-ZDUSSCGKSA-N -1 1 348.325 -0.273 20 0 EBADMM CO[C@@H](C[N-]S(=O)(=O)CCS(=O)(=O)N(C)C)C(F)(F)F ZINC000881892032 584578916 /nfs/dbraw/zinc/57/89/16/584578916.db2.gz GSSRJPNENVCJTI-ZETCQYMHSA-N -1 1 342.361 -0.626 20 0 EBADMM CO[C@H](C[N-]S(=O)(=O)N=[S@](C)(=O)N(C)C)[C@H]1CCOC1 ZINC000882386341 584588587 /nfs/dbraw/zinc/58/85/87/584588587.db2.gz XUGGRXHSOLUZBZ-DQPNGWRMSA-N -1 1 329.444 -0.553 20 0 EBADMM CSc1nc2nc(C)c(CC(=O)NN3CC(=O)[N-]C3=O)c(C)n2n1 ZINC000029020050 584607744 /nfs/dbraw/zinc/60/77/44/584607744.db2.gz JVZLAQDFTAEFOX-UHFFFAOYSA-N -1 1 349.376 -0.411 20 0 EBADMM C[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000885624477 584651011 /nfs/dbraw/zinc/65/10/11/584651011.db2.gz WZAZJTNXABENKX-CHWFTXMASA-N -1 1 327.406 -0.346 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCOC[C@@H]1C[C@H]1CCCO1 ZINC000887837723 584683124 /nfs/dbraw/zinc/68/31/24/584683124.db2.gz MMNIKTSUDTVVRS-QWHCGFSZSA-N -1 1 337.376 -0.277 20 0 EBADMM COc1cnc([C@@H]2CCCN2C(=O)CN2CCN(C)C(=O)C2)[n-]c1=O ZINC000889790296 584689825 /nfs/dbraw/zinc/68/98/25/584689825.db2.gz PPRLWTPZMNVDSO-NSHDSACASA-N -1 1 349.391 -0.372 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]C1CCN(CC(=O)N(C)C)CC1 ZINC000349639949 584696259 /nfs/dbraw/zinc/69/62/59/584696259.db2.gz UVDDCAIBQIROPA-UHFFFAOYSA-N -1 1 345.425 -0.604 20 0 EBADMM O=S(=O)(NCCCn1cccn1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738339565 598264409 /nfs/dbraw/zinc/26/44/09/598264409.db2.gz RQLSSIGXFKYMDF-UHFFFAOYSA-N -1 1 334.365 -0.173 20 0 EBADMM O=S(=O)(NCCCn1cccn1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738339565 598264411 /nfs/dbraw/zinc/26/44/11/598264411.db2.gz RQLSSIGXFKYMDF-UHFFFAOYSA-N -1 1 334.365 -0.173 20 0 EBADMM O=C([O-])c1cc(S(=O)(=O)NC[C@H]2CN3CCC[C@@H]3CO2)c[nH]1 ZINC000317833876 600729412 /nfs/dbraw/zinc/72/94/12/600729412.db2.gz WRCIRBQEUHYQSU-ZJUUUORDSA-N -1 1 329.378 -0.146 20 0 EBADMM CC[C@@H](C)[C@@H](NC(=O)[O-])C(=O)N1CCN(C(=O)CN(C)C)CC1 ZINC000739229879 602191254 /nfs/dbraw/zinc/19/12/54/602191254.db2.gz INQLKBNMAORNLL-DGCLKSJQSA-N -1 1 328.413 -0.099 20 0 EBADMM CC[C@@H](C)[C@H](NC(=O)[O-])C(=O)N1CCN(C(=O)CN(C)C)CC1 ZINC000739229883 602191263 /nfs/dbraw/zinc/19/12/63/602191263.db2.gz INQLKBNMAORNLL-YPMHNXCESA-N -1 1 328.413 -0.099 20 0 EBADMM O=C([O-])NCCNC(=O)NC[C@@H](O)CN1CCc2ccccc2C1 ZINC000740662329 602321525 /nfs/dbraw/zinc/32/15/25/602321525.db2.gz HWWBYFJXPKTOQN-CQSZACIVSA-N -1 1 336.392 -0.028 20 0 EBADMM COC[C@@H](C)NC(=O)NC(=O)CN1CC[C@H](CN(C)C(=O)[O-])C1 ZINC000739803097 602537879 /nfs/dbraw/zinc/53/78/79/602537879.db2.gz CARGPRAYBIZBOO-GHMZBOCLSA-N -1 1 330.385 -0.221 20 0 EBADMM CN1CCN(C)[C@H](CNC(=O)N2CCC[C@H](CNC(=O)[O-])C2)C1 ZINC000739733595 602637084 /nfs/dbraw/zinc/63/70/84/602637084.db2.gz FSTKGQIDZMRWHR-CHWSQXEVSA-N -1 1 327.429 -0.079 20 0 EBADMM CC(=O)N1CCN(C(=O)CN2CC[C@H](NC(=O)[O-])[C@H](C)C2)CC1 ZINC000738525507 602770028 /nfs/dbraw/zinc/77/00/28/602770028.db2.gz XELHHTRANZWHTJ-YPMHNXCESA-N -1 1 326.397 -0.345 20 0 EBADMM O=C([O-])N1CCC[C@@H]1C(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC000740400070 602770853 /nfs/dbraw/zinc/77/08/53/602770853.db2.gz OMJCSUBQZNMOFK-ZIAGYGMSSA-N -1 1 340.424 -0.349 20 0 EBADMM C[C@@H]1CN(C(=O)NC[C@H]2CN(C)CCN2C)CC[C@@H]1NC(=O)[O-] ZINC000739130702 602835002 /nfs/dbraw/zinc/83/50/02/602835002.db2.gz AVBACDYVQXCLKC-AGIUHOORSA-N -1 1 327.429 -0.080 20 0 EBADMM CN(CC(=O)N[C@@H](CNC(=O)[O-])C1CC1)c1ncnc2[nH]cnc21 ZINC000739621494 603036054 /nfs/dbraw/zinc/03/60/54/603036054.db2.gz FYWCOUMDRUQWRL-VIFPVBQESA-N -1 1 333.352 -0.049 20 0 EBADMM COCCN(CC(=O)N1CCN([C@@H]2CCC[C@@H]2O)CC1)C(=O)[O-] ZINC000739817501 603111861 /nfs/dbraw/zinc/11/18/61/603111861.db2.gz RGHDOLBEQHCMOM-OLZOCXBDSA-N -1 1 329.397 -0.330 20 0 EBADMM O=C([O-])N1CC[C@@H](C(=O)N[C@@H]2CCN(CCN3CCOCC3)C2)C1 ZINC000740400588 603316482 /nfs/dbraw/zinc/31/64/82/603316482.db2.gz QIQIDXCNUXXRML-ZIAGYGMSSA-N -1 1 340.424 -0.491 20 0 EBADMM CN(CCC[N-]S(=O)(=O)c1ncn(C)c1Cl)S(C)(=O)=O ZINC000030430796 649937229 /nfs/dbraw/zinc/93/72/29/649937229.db2.gz DOPFTXRPLBBPJF-UHFFFAOYSA-N -1 1 344.846 -0.367 20 0 EBADMM CCNC(=O)NC(=O)COC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000042264051 649941531 /nfs/dbraw/zinc/94/15/31/649941531.db2.gz AHOVSHJOXBXLKZ-UHFFFAOYSA-N -1 1 333.322 -0.810 20 0 EBADMM CC(C)CN1CCO[C@@H](CNC(=O)CN2CC[C@@H](NC(=O)[O-])C2)C1 ZINC000738825311 604379041 /nfs/dbraw/zinc/37/90/41/604379041.db2.gz ORVCTNYYMURQTK-KGLIPLIRSA-N -1 1 342.440 -0.199 20 0 EBADMM COC(=O)CCS(=O)(=O)[N-]c1cc(S(N)(=O)=O)ccc1F ZINC000048441161 649948878 /nfs/dbraw/zinc/94/88/78/649948878.db2.gz QSWHHOBMUSTNBJ-UHFFFAOYSA-N -1 1 340.354 -0.222 20 0 EBADMM Cn1cnn(CC(=O)N[N-]C(=O)c2ccc(Cl)cc2F)c1=O ZINC000182280682 649980874 /nfs/dbraw/zinc/98/08/74/649980874.db2.gz UJHNUPIODFQHQC-UHFFFAOYSA-N -1 1 327.703 -0.165 20 0 EBADMM COCCN(CCC(=O)[O-])C(=O)C(=O)N(C)[C@@H]1CCN(C2CC2)C1 ZINC000904935603 650050434 /nfs/dbraw/zinc/05/04/34/650050434.db2.gz BLZOMBKXUPZQCO-CYBMUJFWSA-N -1 1 341.408 -0.369 20 0 EBADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCOC12CCOCC2 ZINC000908195631 650097833 /nfs/dbraw/zinc/09/78/33/650097833.db2.gz ZKQKFDKCDNITLU-CFMSYZGJSA-N -1 1 341.455 -0.267 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)CN1CCOCC1 ZINC000912529064 650206467 /nfs/dbraw/zinc/20/64/67/650206467.db2.gz AQCVVOGJJMHLDI-VIFPVBQESA-N -1 1 332.382 -0.805 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC(C)(C)N1CCOCC1 ZINC000912528556 650206353 /nfs/dbraw/zinc/20/63/53/650206353.db2.gz WMVITZRQARZTOB-UHFFFAOYSA-N -1 1 346.409 -0.415 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCCC[C@H]1C(N)=O ZINC000912540772 650206638 /nfs/dbraw/zinc/20/66/38/650206638.db2.gz CMFCYOMOLAUTTF-APPZFPTMSA-N -1 1 330.366 -0.481 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)CCS(C)(=O)=O ZINC000912540659 650206927 /nfs/dbraw/zinc/20/69/27/650206927.db2.gz DZTXFFWVPVUCOW-SSDOTTSWSA-N -1 1 339.395 -0.702 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)CN1CCCC1=O ZINC000912533215 650207118 /nfs/dbraw/zinc/20/71/18/650207118.db2.gz COPDSAQQGNZPJP-MRVPVSSYSA-N -1 1 330.366 -0.515 20 0 EBADMM CCS(=O)(=O)C[C@@H](C)[N-]S(=O)(=O)c1n[nH]cc1C(=O)OC ZINC000912542237 650207310 /nfs/dbraw/zinc/20/73/10/650207310.db2.gz MWFDHIWMVWWYBT-SSDOTTSWSA-N -1 1 339.395 -0.702 20 0 EBADMM CO[N-]C(=O)CNC(=O)NCCN1CCN(Cc2ccccc2)CC1 ZINC000913889726 650248331 /nfs/dbraw/zinc/24/83/31/650248331.db2.gz DXFOBNYDLJPLJV-UHFFFAOYSA-N -1 1 349.435 -0.219 20 0 EBADMM O=C1[C@H]([N-]S(=O)(=O)[C@@H]2COC[C@H]2O)CCCN1c1ccccc1 ZINC000914114491 650252487 /nfs/dbraw/zinc/25/24/87/650252487.db2.gz YEWGRGRXESJADM-MGPQQGTHSA-N -1 1 340.401 -0.139 20 0 EBADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC(=O)NCC12COC2 ZINC000921797115 650325276 /nfs/dbraw/zinc/32/52/76/650325276.db2.gz PQQZOMNWPDONFA-UHFFFAOYSA-N -1 1 333.373 -0.251 20 0 EBADMM O=C(NCCc1c(F)cc([O-])cc1F)NCCN1CCNC(=O)C1 ZINC000921880564 650326200 /nfs/dbraw/zinc/32/62/00/650326200.db2.gz GAJGOOFIOGDPNS-UHFFFAOYSA-N -1 1 342.346 -0.056 20 0 EBADMM C[C@H](CN1CCN2C(=O)C(=O)NC[C@@H]2C1)C(=O)c1ccc([O-])cc1 ZINC000929845280 651566729 /nfs/dbraw/zinc/56/67/29/651566729.db2.gz WQQWKVCFKOFKHW-DGCLKSJQSA-N -1 1 331.372 -0.146 20 0 EBADMM CN(C(=O)[C@H]1COCCN1C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937212431 651679744 /nfs/dbraw/zinc/67/97/44/651679744.db2.gz WABUFZYWPRXCNR-CHWSQXEVSA-N -1 1 348.403 -0.209 20 0 EBADMM CN(C(=O)[C@H]1CCN(C)C1=O)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216749 651684845 /nfs/dbraw/zinc/68/48/45/651684845.db2.gz LOQUXOVHLFONNQ-NEPJUHHUSA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C(=O)[C@@H]1CCNC1=O)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216753 651685008 /nfs/dbraw/zinc/68/50/08/651685008.db2.gz LPCMMSRGCNLOBU-GHMZBOCLSA-N -1 1 332.360 -0.404 20 0 EBADMM CN(C(=O)[C@@H]1CCCNC1=O)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216498 651685105 /nfs/dbraw/zinc/68/51/05/651685105.db2.gz FKOFGLSPRKXYCH-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)[C@@H]1CC(=O)N(C)C1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216438 651685170 /nfs/dbraw/zinc/68/51/70/651685170.db2.gz CNJWPFYTUXLAPP-VXGBXAGGSA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C(=O)[C@]1(C)CCNC1=O)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937217571 651685754 /nfs/dbraw/zinc/68/57/54/651685754.db2.gz WXWCYKJDWDLCEL-APPDUMDISA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)[C@@H]1CCC(=O)N1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937220079 651686785 /nfs/dbraw/zinc/68/67/85/651686785.db2.gz LPXVLUVOTXERKQ-MNOVXSKESA-N -1 1 332.360 -0.261 20 0 EBADMM CCOCCO[N-]C(=O)C(=O)NC[C@H]1CCN1C1CCOCC1 ZINC000932956729 651704194 /nfs/dbraw/zinc/70/41/94/651704194.db2.gz HFIFOSZYTKFKTJ-CYBMUJFWSA-N -1 1 329.397 -0.560 20 0 EBADMM Cn1nnc(C(=O)N2CCCC[C@H]2CNC(=O)c2ncccc2[O-])n1 ZINC000937452635 651782037 /nfs/dbraw/zinc/78/20/37/651782037.db2.gz QULWJUUBXKVBDO-JTQLQIEISA-N -1 1 345.363 -0.265 20 0 EBADMM CN1CCO[C@@H](C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000937861862 651957933 /nfs/dbraw/zinc/95/79/33/651957933.db2.gz VVJMMYYYHFDEFH-WCQYABFASA-N -1 1 334.376 -0.552 20 0 EBADMM CN1C[C@H](C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)NC1=O ZINC000937863921 651959014 /nfs/dbraw/zinc/95/90/14/651959014.db2.gz KQUIXGRFHQQGIB-VHSXEESVSA-N -1 1 333.348 -0.859 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)[nH]1 ZINC000937866194 651960200 /nfs/dbraw/zinc/96/02/00/651960200.db2.gz WDAHQJHNMMCVCN-VIFPVBQESA-N -1 1 343.343 -0.141 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H](NC(=O)c2cc[nH]c2)C1 ZINC000938082583 652069714 /nfs/dbraw/zinc/06/97/14/652069714.db2.gz UMSWZJFPSVUOPX-VIFPVBQESA-N -1 1 347.331 -0.131 20 0 EBADMM CCN1CCOC[C@H]1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000938205448 652136069 /nfs/dbraw/zinc/13/60/69/652136069.db2.gz IKKDEKREKUVHLJ-STQMWFEESA-N -1 1 348.403 -0.161 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1cnco1 ZINC000938343256 652203447 /nfs/dbraw/zinc/20/34/47/652203447.db2.gz VTHFBVQYPIAECK-QMMMGPOBSA-N -1 1 343.303 -0.950 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000938411225 652246868 /nfs/dbraw/zinc/24/68/68/652246868.db2.gz XGRRHSYOLNDZLY-KXUCPTDWSA-N -1 1 330.348 -0.596 20 0 EBADMM CC[C@@H](C(N)=O)N1CC(N2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC000941387740 652709129 /nfs/dbraw/zinc/70/91/29/652709129.db2.gz NDXHAYVNFVSDID-ZDUSSCGKSA-N -1 1 347.419 -0.507 20 0 EBADMM CC[C@H](C(N)=O)N1CC(N2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC000941387739 652709375 /nfs/dbraw/zinc/70/93/75/652709375.db2.gz NDXHAYVNFVSDID-CYBMUJFWSA-N -1 1 347.419 -0.507 20 0 EBADMM CCn1cnc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)c1 ZINC000944193677 652709586 /nfs/dbraw/zinc/70/95/86/652709586.db2.gz ZJBBGJIBLQZHSE-RYUDHWBXSA-N -1 1 347.423 -0.035 20 0 EBADMM O=C(CCn1cnnn1)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000944234991 652722034 /nfs/dbraw/zinc/72/20/34/652722034.db2.gz VOGITAUWGOHXDF-LLVKDONJSA-N -1 1 345.363 -0.415 20 0 EBADMM O=C(Cn1cncn1)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000944309711 652742246 /nfs/dbraw/zinc/74/22/46/652742246.db2.gz POCOXUXVWHTRLF-LLVKDONJSA-N -1 1 330.348 -0.200 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@@]2(C)CNC(=O)C2)C1 ZINC000938890325 652760870 /nfs/dbraw/zinc/76/08/70/652760870.db2.gz MFLQDPJEQBTHJG-PIGZYNQJSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)CC1CC1)[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000938901956 652766432 /nfs/dbraw/zinc/76/64/32/652766432.db2.gz UMNZSYONXIBRLT-LLVKDONJSA-N -1 1 344.375 -0.109 20 0 EBADMM Cn1cc(C(=O)NC[C@@H]2CN(C(=O)c3ncccc3[O-])CCO2)cn1 ZINC000944468621 652780299 /nfs/dbraw/zinc/78/02/99/652780299.db2.gz BXXPWRRBISZIKP-GFCCVEGCSA-N -1 1 345.359 -0.208 20 0 EBADMM Cc1ncc(C(=O)N(C)[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC000938942996 652781322 /nfs/dbraw/zinc/78/13/22/652781322.db2.gz PSZKIXSGVGZNCF-MRVPVSSYSA-N -1 1 347.335 -0.699 20 0 EBADMM CO[C@@H]1CN(C(=O)[C@H]2CCCO2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941601389 652825880 /nfs/dbraw/zinc/82/58/80/652825880.db2.gz VGBZQOCJJOYWRY-RAIGVLPGSA-N -1 1 335.360 -0.078 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CC[C@H](N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000939121456 652834982 /nfs/dbraw/zinc/83/49/82/652834982.db2.gz OLIQAQDJLHJBPR-DCAQKATOSA-N -1 1 344.375 -0.254 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@H](CNC(=O)c3[nH]nc(C)c3[O-])C2)n[nH]1 ZINC000944551484 652852332 /nfs/dbraw/zinc/85/23/32/652852332.db2.gz WYTRVLWCBHIEJR-SNVBAGLBSA-N -1 1 348.363 -0.274 20 0 EBADMM Cn1ncc(C(=O)N2CCO[C@@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC000944559657 652858725 /nfs/dbraw/zinc/85/87/25/652858725.db2.gz DHHALCPELPWQBY-JTQLQIEISA-N -1 1 346.347 -0.813 20 0 EBADMM Cn1cnc(C(=O)N2CCO[C@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC000944561220 652860656 /nfs/dbraw/zinc/86/06/56/652860656.db2.gz OJCZZZJSYHBWDC-SNVBAGLBSA-N -1 1 346.347 -0.813 20 0 EBADMM O=C(Cc1cnc[nH]1)N1CCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944565741 652864965 /nfs/dbraw/zinc/86/49/65/652864965.db2.gz ZZWXANSWMXHKHV-GFCCVEGCSA-N -1 1 345.359 -0.290 20 0 EBADMM CC[C@H](OC)C(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000941664132 652866520 /nfs/dbraw/zinc/86/65/20/652866520.db2.gz OJBIFSDPMXPORW-KOLCDFICSA-N -1 1 348.363 -0.827 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1cn(C)nn1 ZINC000941678748 652877495 /nfs/dbraw/zinc/87/74/95/652877495.db2.gz SZKCDBHNTBFXQH-BXKDBHETSA-N -1 1 346.347 -0.815 20 0 EBADMM C[C@H]1CN(C(=O)c2ccc3nncn3c2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939305352 652888006 /nfs/dbraw/zinc/88/80/06/652888006.db2.gz ZEZHHRAFNAJNIP-ONGXEEELSA-N -1 1 342.363 -0.197 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)C1CCOCC1 ZINC000941728918 652896963 /nfs/dbraw/zinc/89/69/63/652896963.db2.gz HGLVOVVBBDIYMT-YPMHNXCESA-N -1 1 337.424 -0.138 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1cnco1 ZINC000941766689 652904774 /nfs/dbraw/zinc/90/47/74/652904774.db2.gz VTHFBVQYPIAECK-MRVPVSSYSA-N -1 1 343.303 -0.950 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1cc[n+]([O-])cc1 ZINC000941782992 652907281 /nfs/dbraw/zinc/90/72/81/652907281.db2.gz WZHHSKYLCRCMOP-DGCLKSJQSA-N -1 1 346.391 -0.618 20 0 EBADMM CN(C(=O)c1cnc(C2CC2)[n-]c1=O)[C@H]1CCN(C(=O)C(N)=O)C1 ZINC000939382681 652919621 /nfs/dbraw/zinc/91/96/21/652919621.db2.gz CEVGVACAURZFNB-VIFPVBQESA-N -1 1 333.348 -0.782 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1cnns1 ZINC000941857949 652932574 /nfs/dbraw/zinc/93/25/74/652932574.db2.gz NLLXXHXYOVHKKG-BDAKNGLRSA-N -1 1 337.409 -0.400 20 0 EBADMM COc1ccc(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)nn1 ZINC000939469753 652941778 /nfs/dbraw/zinc/94/17/78/652941778.db2.gz LYJHJRDSAGHYSA-PSASIEDQSA-N -1 1 333.352 -0.441 20 0 EBADMM Cc1cc(CC(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)no1 ZINC000941899507 652946002 /nfs/dbraw/zinc/94/60/02/652946002.db2.gz JCFRIFSTTRQOAS-ZWNOBZJWSA-N -1 1 348.407 -0.026 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnn3cc[nH]c23)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939623738 653011922 /nfs/dbraw/zinc/01/19/22/653011922.db2.gz VIIPBBZJKCVELN-PSASIEDQSA-N -1 1 330.352 -0.264 20 0 EBADMM CCn1nncc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1C ZINC000942023234 653023050 /nfs/dbraw/zinc/02/30/50/653023050.db2.gz XQMGYZNPDZDBMB-WDEREUQCSA-N -1 1 348.411 -0.640 20 0 EBADMM CC1(C(=O)N[C@@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)CCC1 ZINC000942025431 653023486 /nfs/dbraw/zinc/02/34/86/653023486.db2.gz LBLYNCRBNLFXNQ-GFCCVEGCSA-N -1 1 348.403 -0.166 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)Cc1ccn(C)n1 ZINC000942039548 653025523 /nfs/dbraw/zinc/02/55/23/653025523.db2.gz CCXBIEUYJSBELM-YPMHNXCESA-N -1 1 347.423 -0.589 20 0 EBADMM CCn1ncc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)n1 ZINC000942038434 653025883 /nfs/dbraw/zinc/02/58/83/653025883.db2.gz ZLGMMHWSACZYLQ-WDEREUQCSA-N -1 1 348.411 -0.640 20 0 EBADMM C[C@@H]1CN(C(=O)Cn2ccccc2=O)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939711869 653031033 /nfs/dbraw/zinc/03/10/33/653031033.db2.gz MENKHJKDOOMIOX-GHMZBOCLSA-N -1 1 332.364 -0.691 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC000939714280 653031369 /nfs/dbraw/zinc/03/13/69/653031369.db2.gz MMFDAUCLFULRIF-MXWKQRLJSA-N -1 1 332.360 -0.419 20 0 EBADMM CC1CC(C(=O)N[C@@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)C1 ZINC000939954919 653069932 /nfs/dbraw/zinc/06/99/32/653069932.db2.gz FTCCPGVZPMBRPZ-VQXHTEKXSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@@H](C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1)N1CCOCC1 ZINC000940130686 653084661 /nfs/dbraw/zinc/08/46/61/653084661.db2.gz SMVCMCJSXKAAGQ-QWHCGFSZSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@@]1(C)CCNC1=O ZINC000940254082 653108429 /nfs/dbraw/zinc/10/84/29/653108429.db2.gz DUZJBFKPOUIHGT-AGKHESDQSA-N -1 1 346.387 -0.110 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)[C@H]1CC12CC2 ZINC000942506320 653128610 /nfs/dbraw/zinc/12/86/10/653128610.db2.gz BXQMRULRNILPTB-NXEZZACHSA-N -1 1 342.359 -0.452 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)Cn2ncnn2)C1 ZINC000942758733 653197361 /nfs/dbraw/zinc/19/73/61/653197361.db2.gz QXTYOJLQLQNJCR-NSHDSACASA-N -1 1 345.363 -0.463 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)Cn2ncnn2)C1 ZINC000942758732 653197868 /nfs/dbraw/zinc/19/78/68/653197868.db2.gz QXTYOJLQLQNJCR-LLVKDONJSA-N -1 1 345.363 -0.463 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cn[nH]c3)C(C)(C)C2)nc1=O ZINC000940598352 653242361 /nfs/dbraw/zinc/24/23/61/653242361.db2.gz PVYCKASKXCTBDC-NSHDSACASA-N -1 1 333.396 -0.138 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1)c1n[nH]cc1F ZINC000944762682 653250474 /nfs/dbraw/zinc/25/04/74/653250474.db2.gz STRGDYYZMGZSRT-VIFPVBQESA-N -1 1 349.322 -0.080 20 0 EBADMM CCN(C(=O)c1nnn(C)n1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000942919152 653262017 /nfs/dbraw/zinc/26/20/17/653262017.db2.gz SJXPTNQPHDGPOD-JTQLQIEISA-N -1 1 345.363 -0.313 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cncnc3)C(C)(C)C2)nc1=O ZINC000940698544 653262334 /nfs/dbraw/zinc/26/23/34/653262334.db2.gz QCEJHRHWIBAFBN-GFCCVEGCSA-N -1 1 345.407 -0.071 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1)c1ccnnc1 ZINC000944801099 653267784 /nfs/dbraw/zinc/26/77/84/653267784.db2.gz FERFIESKHRBAFP-LBPRGKRZSA-N -1 1 343.343 -0.152 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2cc[n+]([O-])cc2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947020930 653292404 /nfs/dbraw/zinc/29/24/04/653292404.db2.gz HZZLAXIWAZASII-WCQYABFASA-N -1 1 346.391 -0.475 20 0 EBADMM CN1CC[C@H](C(=O)N2CCCN(C(=O)c3ncccc3[O-])CC2)C1=O ZINC000940831733 653297057 /nfs/dbraw/zinc/29/70/57/653297057.db2.gz MFGKFHKDPWGNMF-LBPRGKRZSA-N -1 1 346.387 -0.060 20 0 EBADMM Cn1nnc(C(=O)N2CCCN(C(=O)c3ncccc3[O-])CC2)n1 ZINC000940831723 653297583 /nfs/dbraw/zinc/29/75/83/653297583.db2.gz LMHHDERDACULQS-UHFFFAOYSA-N -1 1 331.336 -0.701 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC2(CN(C(C)=O)C2)CC1 ZINC000944983497 653308147 /nfs/dbraw/zinc/30/81/47/653308147.db2.gz LWVGTBFVYLLBBI-UHFFFAOYSA-N -1 1 336.348 -0.019 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H](C)C2)nn1C ZINC000947108867 653315709 /nfs/dbraw/zinc/31/57/09/653315709.db2.gz MEGAAPPMTAWHFA-NEPJUHHUSA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)Cc2cnn(C)c2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947111281 653316045 /nfs/dbraw/zinc/31/60/45/653316045.db2.gz CUSJTCFUZQSLNO-DGCLKSJQSA-N -1 1 347.423 -0.446 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1cc[nH]c1 ZINC000940925017 653330360 /nfs/dbraw/zinc/33/03/60/653330360.db2.gz ZGEAFEILEFSZQQ-SNVBAGLBSA-N -1 1 341.331 -0.610 20 0 EBADMM CCC(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H](C)C1 ZINC000945079459 653341562 /nfs/dbraw/zinc/34/15/62/653341562.db2.gz RWTUAIVVBHBMSO-VHSXEESVSA-N -1 1 332.364 -0.206 20 0 EBADMM Cc1nnc(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H](C)C2)o1 ZINC000947276556 653353321 /nfs/dbraw/zinc/35/33/21/653353321.db2.gz JWULSPNDJSRVSP-KOLCDFICSA-N -1 1 349.395 -0.488 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccn[nH]2)CC[C@@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC000945194332 653362245 /nfs/dbraw/zinc/36/22/45/653362245.db2.gz GAYHTXLUKGDXRL-SFYZADRCSA-N -1 1 347.335 -0.714 20 0 EBADMM C[C@@H]1CN(C(=O)Cn2cnnn2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000947398917 653392130 /nfs/dbraw/zinc/39/21/30/653392130.db2.gz JZXIINLNXJZNCQ-NXEZZACHSA-N -1 1 331.336 -0.949 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ccnnc3)C(C)(C)C2)nc1=O ZINC000941055437 653393451 /nfs/dbraw/zinc/39/34/51/653393451.db2.gz WCTKDSGTMZDOSI-LBPRGKRZSA-N -1 1 345.407 -0.071 20 0 EBADMM Cc1cc(CC(=O)N2CCC(NC(=O)c3cnn[nH]3)CC2)n(C)n1 ZINC000947414674 653396037 /nfs/dbraw/zinc/39/60/37/653396037.db2.gz NOJSXMBVOXLVIZ-UHFFFAOYSA-N -1 1 331.380 -0.190 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2cn[nH]c(=O)c2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947420693 653397487 /nfs/dbraw/zinc/39/74/87/653397487.db2.gz GNNJBCTUPIUKNZ-GXSJLCMTSA-N -1 1 347.379 -0.613 20 0 EBADMM CC(C)C(=O)NC1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000947443213 653404543 /nfs/dbraw/zinc/40/45/43/653404543.db2.gz RDQANUWBHIAVEW-UHFFFAOYSA-N -1 1 336.392 -0.310 20 0 EBADMM Cc1nc(CN2CC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C[C@H]2C)n[nH]1 ZINC000947506335 653418382 /nfs/dbraw/zinc/41/83/82/653418382.db2.gz NZZIWGRIIYBNJD-APPZFPTMSA-N -1 1 348.367 -0.508 20 0 EBADMM Cc1n[nH]cc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H](C)C1 ZINC000947593991 653435376 /nfs/dbraw/zinc/43/53/76/653435376.db2.gz SFMDFIAEJUVRRY-GXSJLCMTSA-N -1 1 333.396 -0.077 20 0 EBADMM C[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)CC[C@@H]1NC(=O)C1CC1 ZINC000945405544 653440459 /nfs/dbraw/zinc/44/04/59/653440459.db2.gz XTJUJKUQRJJOHK-YPMHNXCESA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@@H]1CN(C(=O)c2nnn(C)n2)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC000945459793 653459668 /nfs/dbraw/zinc/45/96/68/653459668.db2.gz ZQIULZVGZZSTES-ZJUUUORDSA-N -1 1 345.363 -0.409 20 0 EBADMM O=C(NC[C@H]1CCCN1C(=O)C1CCC1)c1cc(=O)n2[n-]cnc2n1 ZINC000943810679 653476741 /nfs/dbraw/zinc/47/67/41/653476741.db2.gz HGXKXRWSICZOGP-LLVKDONJSA-N -1 1 344.375 -0.062 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1cnns1 ZINC000941282207 653483632 /nfs/dbraw/zinc/48/36/32/653483632.db2.gz AKALGDARBZPHHS-PSASIEDQSA-N -1 1 349.372 -0.092 20 0 EBADMM Cn1nncc1C(=O)NC[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000943976234 653500614 /nfs/dbraw/zinc/50/06/14/653500614.db2.gz HZHKERLSXTTWEE-SNVBAGLBSA-N -1 1 330.348 -0.050 20 0 EBADMM O=C(NC[C@H]1CCCN1C(=O)c1ncccc1[O-])[C@H]1CCNC1=O ZINC000943980564 653501088 /nfs/dbraw/zinc/50/10/88/653501088.db2.gz SHUWMPYMJIETSD-MNOVXSKESA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(NC[C@H]1CCCN1C(=O)[C@@H]1COC(=O)N1)c1ncccc1[O-] ZINC000943995767 653504548 /nfs/dbraw/zinc/50/45/48/653504548.db2.gz RQGQSEQGZWPIIR-ZJUUUORDSA-N -1 1 334.332 -0.384 20 0 EBADMM CCC(=O)N1CC[C@H]2[C@@H](CCN2C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000945816254 653509918 /nfs/dbraw/zinc/50/99/18/653509918.db2.gz SREQTBUVRWWNSM-JQWIXIFHSA-N -1 1 344.375 -0.109 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2ccc(=O)[nH]c2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947998726 653510673 /nfs/dbraw/zinc/51/06/73/653510673.db2.gz NWZPSCFPGPZBOQ-JQWIXIFHSA-N -1 1 346.391 -0.008 20 0 EBADMM CC[C@@H]1CN(C(C)=O)CC[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000948176263 653528130 /nfs/dbraw/zinc/52/81/30/653528130.db2.gz UWAXEQZDXVGIKY-GHMZBOCLSA-N -1 1 332.364 -0.206 20 0 EBADMM O=C(Cn1cnnn1)N[C@@H]1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000946113771 653542465 /nfs/dbraw/zinc/54/24/65/653542465.db2.gz KDBISERVVDFWIA-WDEREUQCSA-N -1 1 345.363 -0.511 20 0 EBADMM Cc1c(CCC(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cnn1C ZINC000948561634 653558573 /nfs/dbraw/zinc/55/85/73/653558573.db2.gz IFFVABPGIRAWOS-UHFFFAOYSA-N -1 1 347.423 -0.573 20 0 EBADMM Cn1nnc(C(=O)N[C@@H]2CCC[C@H]2CNC(=O)c2ncccc2[O-])n1 ZINC000946284259 653560105 /nfs/dbraw/zinc/56/01/05/653560105.db2.gz UJCOCDAHTWUVRN-VHSXEESVSA-N -1 1 345.363 -0.361 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)Cn1ncnn1 ZINC000948629867 653564192 /nfs/dbraw/zinc/56/41/92/653564192.db2.gz GBEGWHQRHMZLBF-NXEZZACHSA-N -1 1 331.336 -0.949 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)C[C@H]3CC(C)(C)CO3)CC2)nc1=O ZINC000949249843 653849204 /nfs/dbraw/zinc/84/92/04/653849204.db2.gz CUSXHNXXOCRIRC-LBPRGKRZSA-N -1 1 337.424 -0.042 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cnc4nccn4c3)CC2)nc1=O ZINC000949302718 653857585 /nfs/dbraw/zinc/85/75/85/653857585.db2.gz LQAMJHNJCBVHDM-UHFFFAOYSA-N -1 1 342.363 -0.891 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1)C1=CCCC1 ZINC000949373966 653875737 /nfs/dbraw/zinc/87/57/37/653875737.db2.gz SLLLDKBULCZQJK-LLVKDONJSA-N -1 1 342.359 -0.141 20 0 EBADMM C[C@H](CC(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1)c1cnn(C)c1 ZINC000949460183 653899699 /nfs/dbraw/zinc/89/96/99/653899699.db2.gz GHBCAPBXJNQWSE-GFCCVEGCSA-N -1 1 347.423 -0.320 20 0 EBADMM Cc1nccc(CCC(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC000949471078 653900871 /nfs/dbraw/zinc/90/08/71/653900871.db2.gz MINCIWXKTVMQGA-UHFFFAOYSA-N -1 1 345.407 -0.516 20 0 EBADMM Cn1ncc(C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])c1N ZINC000949521377 653917000 /nfs/dbraw/zinc/91/70/00/653917000.db2.gz JOXATNDQXPLOOL-SECBINFHSA-N -1 1 330.348 -0.253 20 0 EBADMM O=C(CCn1ccnn1)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949535255 653921849 /nfs/dbraw/zinc/92/18/49/653921849.db2.gz QRGXWFQZNDNKQB-LLVKDONJSA-N -1 1 330.348 -0.200 20 0 EBADMM O=C(CCN1CCOCC1)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949535511 653922798 /nfs/dbraw/zinc/92/27/98/653922798.db2.gz WHRZDVGJIOKGAM-CYBMUJFWSA-N -1 1 348.403 -0.160 20 0 EBADMM Cn1ncc(C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])c1N ZINC000949535679 653923142 /nfs/dbraw/zinc/92/31/42/653923142.db2.gz YDDUDLIPCXTDEP-SECBINFHSA-N -1 1 330.348 -0.253 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)C1CS(=O)(=O)C1)c1ncccc1[O-] ZINC000949538816 653923664 /nfs/dbraw/zinc/92/36/64/653923664.db2.gz RKWPBVDTIUWUPG-JTQLQIEISA-N -1 1 339.373 -0.838 20 0 EBADMM CN1C(=O)CC[C@H]1C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949542214 653924331 /nfs/dbraw/zinc/92/43/31/653924331.db2.gz ZDHJAKTVBBOTHT-QWRGUYRKSA-N -1 1 332.360 -0.261 20 0 EBADMM O=C(C[C@@H]1CC(=O)NC1=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949541945 653924755 /nfs/dbraw/zinc/92/47/55/653924755.db2.gz SJNXXLORXNJFIV-UWVGGRQHSA-N -1 1 346.343 -0.829 20 0 EBADMM Cn1cc(C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])n(C)c1=O ZINC000949542100 653924800 /nfs/dbraw/zinc/92/48/00/653924800.db2.gz WTHLYESEZUPLGO-JTQLQIEISA-N -1 1 345.359 -0.531 20 0 EBADMM O=C(CC1CC1)N1CCC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000949670456 653946372 /nfs/dbraw/zinc/94/63/72/653946372.db2.gz ZUCWLYRTWPYGTH-NSHDSACASA-N -1 1 344.375 -0.062 20 0 EBADMM C[C@@H]1CN(C(=O)C[C@@H]2CCNC2=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000949732828 653961968 /nfs/dbraw/zinc/96/19/68/653961968.db2.gz XWEWWBKWEGALBB-GRYCIOLGSA-N -1 1 346.387 -0.110 20 0 EBADMM CCN(C(=O)c1cc(OC)no1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000949918736 654001280 /nfs/dbraw/zinc/00/12/80/654001280.db2.gz CHUPOAPCHMEKQQ-UHFFFAOYSA-N -1 1 336.352 -0.549 20 0 EBADMM Cc1cc(C(=O)N2CCC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC000950157207 654054916 /nfs/dbraw/zinc/05/49/16/654054916.db2.gz XHGHXKRUMGPUEX-MRVPVSSYSA-N -1 1 347.335 -0.651 20 0 EBADMM CN1C[C@@H](C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])CC1=O ZINC000950400370 654115486 /nfs/dbraw/zinc/11/54/86/654115486.db2.gz CSLWRUBKMLBPCV-WDEREUQCSA-N -1 1 332.360 -0.404 20 0 EBADMM CCOC1CC2(C[C@@H]2C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)C1 ZINC000950481239 654144661 /nfs/dbraw/zinc/14/46/61/654144661.db2.gz KKSAKMRJXIICOX-UIORMTCPSA-N -1 1 349.435 -0.042 20 0 EBADMM O=C(CN1CCOCC1)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000950494880 654148099 /nfs/dbraw/zinc/14/80/99/654148099.db2.gz SWNCRLDDMHVQGD-CYBMUJFWSA-N -1 1 348.403 -0.160 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)NC[C@@H]1CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000951478977 654157625 /nfs/dbraw/zinc/15/76/25/654157625.db2.gz SJRJXPXXTMSHBI-GRYCIOLGSA-N -1 1 334.376 -0.700 20 0 EBADMM CC(=O)N1CC(C(=O)N2CCC[C@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000950589250 654187270 /nfs/dbraw/zinc/18/72/70/654187270.db2.gz REHFGSOXBGZSDW-ZDUSSCGKSA-N -1 1 346.387 -0.014 20 0 EBADMM CCN(C(=O)[C@H]1CC[C@@H](OC)C1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950649042 654208958 /nfs/dbraw/zinc/20/89/58/654208958.db2.gz DMLLFGTWHINAKQ-WCQYABFASA-N -1 1 337.424 -0.044 20 0 EBADMM Cn1nnc(C(=O)N2CCC[C@@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC000951668626 654237522 /nfs/dbraw/zinc/23/75/22/654237522.db2.gz AUELYTVYTVUUGP-SECBINFHSA-N -1 1 331.336 -0.655 20 0 EBADMM C[C@@H]1OCCO[C@@H]1C(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC000950757066 654250422 /nfs/dbraw/zinc/25/04/22/654250422.db2.gz YJYQNGXVTDKZQU-MJVIPROJSA-N -1 1 335.360 -0.078 20 0 EBADMM CN1C(=O)CC[C@@H]1C(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC000951699991 654250997 /nfs/dbraw/zinc/25/09/97/654250997.db2.gz OKYHJURHRJAKCF-WDEREUQCSA-N -1 1 332.360 -0.261 20 0 EBADMM Cc1ncoc1CN1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000951777340 654278912 /nfs/dbraw/zinc/27/89/12/654278912.db2.gz JKDWIIZYXIKUBN-UHFFFAOYSA-N -1 1 347.375 -0.432 20 0 EBADMM CCN(C(=O)c1cc(OC)n(C)n1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950835983 654279944 /nfs/dbraw/zinc/27/99/44/654279944.db2.gz PJGWEDHDMIPBPX-UHFFFAOYSA-N -1 1 349.395 -0.803 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1ncccc1[O-])[C@@H]1CCC(=O)NC1 ZINC000950964948 654329255 /nfs/dbraw/zinc/32/92/55/654329255.db2.gz PYUMYUNSTMQYMF-MNOVXSKESA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(CCC1CC1)N1CC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951223179 654424572 /nfs/dbraw/zinc/42/45/72/654424572.db2.gz ZLJPMYZXUPRQQD-LLVKDONJSA-N -1 1 344.375 -0.062 20 0 EBADMM CC[C@@H](OC)C(=O)N1CC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951222871 654424694 /nfs/dbraw/zinc/42/46/94/654424694.db2.gz UPPPDQUAAFRXMS-GXSJLCMTSA-N -1 1 348.363 -0.827 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1ccoc1)c1cc(=O)n2[n-]cnc2n1 ZINC000951223152 654424868 /nfs/dbraw/zinc/42/48/68/654424868.db2.gz ZEWYOQRQYYKIQP-SNVBAGLBSA-N -1 1 342.315 -0.345 20 0 EBADMM CCC1(C(=O)N2CC[C@H]2CNC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000951224973 654426024 /nfs/dbraw/zinc/42/60/24/654426024.db2.gz RXEJCHIOERZTOK-JTQLQIEISA-N -1 1 344.375 -0.062 20 0 EBADMM C[C@@H](C(=O)N1CC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1)C1CC1 ZINC000951225219 654426279 /nfs/dbraw/zinc/42/62/79/654426279.db2.gz XCHVQMMUHDYMJG-MWLCHTKSSA-N -1 1 344.375 -0.206 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cnn(C(F)F)c3)CC2)nc1=O ZINC000952423997 654457429 /nfs/dbraw/zinc/45/74/29/654457429.db2.gz GGTFUTKIVIKZOA-UHFFFAOYSA-N -1 1 341.322 -0.342 20 0 EBADMM CCC(=O)N1CC[C@H]2CC[C@@H](C1)N2C(=O)CCn1cc[n-]c(=O)c1=O ZINC000952562980 654477535 /nfs/dbraw/zinc/47/75/35/654477535.db2.gz CWVAMNNZKHDHAM-OLZOCXBDSA-N -1 1 348.403 -0.071 20 0 EBADMM CCC(=O)N1CC[C@@]2(CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)C1 ZINC000953012801 654515189 /nfs/dbraw/zinc/51/51/89/654515189.db2.gz IGYZCPIXHYWNDC-QGZVFWFLSA-N -1 1 348.403 -0.212 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@]2(CCN(C(C)=O)C2)C1 ZINC000953020171 654515735 /nfs/dbraw/zinc/51/57/35/654515735.db2.gz FPRXPSRLVRPRKO-OAHLLOKOSA-N -1 1 336.348 -0.019 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)C1=CCOCC1 ZINC000965937247 724543607 /nfs/dbraw/zinc/54/36/07/724543607.db2.gz ZWXITEZIDWCIQF-YPMHNXCESA-N -1 1 335.408 -0.218 20 0 EBADMM Cc1ccnc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)n1 ZINC000965968928 724551328 /nfs/dbraw/zinc/55/13/28/724551328.db2.gz FEBOUJTYDUPJES-JQWIXIFHSA-N -1 1 345.407 -0.153 20 0 EBADMM C[C@@H]1CN(C(=O)CN2CCCC2=O)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966138714 724574213 /nfs/dbraw/zinc/57/42/13/724574213.db2.gz PQKIADSVGBGJJM-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1c(=O)cc(N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)n(C)c1=O ZINC000866283559 719421174 /nfs/dbraw/zinc/42/11/74/719421174.db2.gz XDAJBOTWLZYSQU-GFCCVEGCSA-N -1 1 334.298 -0.269 20 0 EBADMM CC(F)(F)C(=O)N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000939089796 719535491 /nfs/dbraw/zinc/53/54/91/719535491.db2.gz WWRQGJWNPBISFP-SSDOTTSWSA-N -1 1 340.290 -0.597 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC000954125206 719570042 /nfs/dbraw/zinc/57/00/42/719570042.db2.gz GKXOFCQQGYDKHF-NSHDSACASA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)COc2cnn(C)c2)C1 ZINC000954125592 719570180 /nfs/dbraw/zinc/57/01/80/719570180.db2.gz UNQREFJHRVOJMD-UHFFFAOYSA-N -1 1 345.359 -0.118 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)Cn2ccccc2=O)C1 ZINC000954127754 719570983 /nfs/dbraw/zinc/57/09/83/719570983.db2.gz FLEYNJVCPCRTCP-UHFFFAOYSA-N -1 1 342.355 -0.068 20 0 EBADMM C/C=C(\C)C(=O)N1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H](C)C1 ZINC000966310079 724609458 /nfs/dbraw/zinc/60/94/58/724609458.db2.gz SLTAOFFJNKCPND-UGMMJRETSA-N -1 1 344.375 -0.040 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)CN1CCCC1=O ZINC000966333540 724615586 /nfs/dbraw/zinc/61/55/86/724615586.db2.gz VYHVEZNOZWEXBU-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)Cn1nccn1 ZINC000966351957 724620745 /nfs/dbraw/zinc/62/07/45/724620745.db2.gz TZNCAQINHRXPIR-WDEREUQCSA-N -1 1 330.348 -0.344 20 0 EBADMM CN(C(=O)CN1CCCNC1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954734938 719873919 /nfs/dbraw/zinc/87/39/19/719873919.db2.gz HADFENDFDXFPMD-UHFFFAOYSA-N -1 1 347.375 -0.515 20 0 EBADMM CN(C(=O)C[C@H]1CCC(=O)N1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954748501 719884826 /nfs/dbraw/zinc/88/48/26/719884826.db2.gz CGPNNJFWEDSOPW-SNVBAGLBSA-N -1 1 332.360 -0.261 20 0 EBADMM CC1CC(C(=O)N2CC(N(C)C(=O)c3cc(=O)n4[n-]cnc4n3)C2)C1 ZINC000954832152 719926389 /nfs/dbraw/zinc/92/63/89/719926389.db2.gz MWXOMRXMKWESDO-UHFFFAOYSA-N -1 1 344.375 -0.254 20 0 EBADMM CN(C(=O)[C@H]1CC[C@H]1C(N)=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954957402 719985212 /nfs/dbraw/zinc/98/52/12/719985212.db2.gz KJPAKYVPCDKRTB-MNOVXSKESA-N -1 1 332.360 -0.419 20 0 EBADMM CC(=O)N1CC[C@@H](C(=O)N(C)C2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000955004213 720007650 /nfs/dbraw/zinc/00/76/50/720007650.db2.gz UNKFSTVNRXGBMZ-GFCCVEGCSA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C(=O)[C@@H]1CCN(C)C1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955043959 720026603 /nfs/dbraw/zinc/02/66/03/720026603.db2.gz MAJWJDKTGMOLBD-LLVKDONJSA-N -1 1 332.360 -0.452 20 0 EBADMM C[C@H]1CN(C(=O)Cn2ncnn2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966453392 724651181 /nfs/dbraw/zinc/65/11/81/724651181.db2.gz DDHVRHQXCSAKER-UWVGGRQHSA-N -1 1 331.336 -0.949 20 0 EBADMM C[C@H]1CN(C(=O)C2(C)CC2)C[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000966489793 724662080 /nfs/dbraw/zinc/66/20/80/724662080.db2.gz KEQFDAWEZIFETA-GXSJLCMTSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)C(N)=O)CCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000955692373 720226027 /nfs/dbraw/zinc/22/60/27/720226027.db2.gz ZTEHDIFNMNRDDE-SCZZXKLOSA-N -1 1 347.375 -0.346 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)C2CC2)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000955740058 720242368 /nfs/dbraw/zinc/24/23/68/720242368.db2.gz NMTHKXYPXQVJBG-AAEUAGOBSA-N -1 1 348.403 -0.168 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1nnn(C)n1 ZINC000955765750 720250048 /nfs/dbraw/zinc/25/00/48/720250048.db2.gz DWHMHPRMKYOFBI-UWVGGRQHSA-N -1 1 345.363 -0.266 20 0 EBADMM CCCC(=O)N1CCC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000955857268 720281633 /nfs/dbraw/zinc/28/16/33/720281633.db2.gz JAQKNVONHGIDHJ-JTQLQIEISA-N -1 1 332.364 -0.062 20 0 EBADMM CC(C)C(=O)N1CCC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000955895393 720288117 /nfs/dbraw/zinc/28/81/17/720288117.db2.gz MPSMRXHHRYTRPR-JTQLQIEISA-N -1 1 332.364 -0.206 20 0 EBADMM CO[C@H](C)C(=O)N1CCC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000955915424 720293415 /nfs/dbraw/zinc/29/34/15/720293415.db2.gz AEMQBRLPLQBDQM-ZJUUUORDSA-N -1 1 348.363 -0.827 20 0 EBADMM Cn1nnc(C(=O)NC[C@@H]2CCCN2C(=O)c2ncccc2[O-])n1 ZINC000955979444 720315308 /nfs/dbraw/zinc/31/53/08/720315308.db2.gz JPXIITBOMQHSKY-VIFPVBQESA-N -1 1 331.336 -0.655 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@H]1CNC(=O)C1CC1 ZINC000956100301 720360790 /nfs/dbraw/zinc/36/07/90/720360790.db2.gz BYZATMFTSDYOLN-LBPRGKRZSA-N -1 1 334.376 -0.556 20 0 EBADMM CN1C[C@H](C(=O)N2CCC[C@H]2CNC(=O)c2ncccc2[O-])NC1=O ZINC000956137147 720375374 /nfs/dbraw/zinc/37/53/74/720375374.db2.gz QJNWFOJFBFBMPH-WDEREUQCSA-N -1 1 347.375 -0.468 20 0 EBADMM C[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1NC(=O)C1(C)CC1 ZINC000966594777 724692704 /nfs/dbraw/zinc/69/27/04/724692704.db2.gz TUQKOWHHNBXYAH-NWDGAFQWSA-N -1 1 348.403 -0.310 20 0 EBADMM CC(=O)N(C)C[C@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000967095610 724797567 /nfs/dbraw/zinc/79/75/67/724797567.db2.gz QGSGUPFBPOIVLJ-LLVKDONJSA-N -1 1 332.364 -0.252 20 0 EBADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)Cn2cncn2)C1 ZINC000956850123 722119532 /nfs/dbraw/zinc/11/95/32/722119532.db2.gz FWPIQQOLMOMQAN-OAHLLOKOSA-N -1 1 330.348 -0.200 20 0 EBADMM CN1C[C@H](C(=O)N2CC[C@@](C)(NC(=O)c3ncccc3[O-])C2)NC1=O ZINC000956851788 722119759 /nfs/dbraw/zinc/11/97/59/722119759.db2.gz NDYRFGGTWOLSDD-QLJPJBMISA-N -1 1 347.375 -0.468 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC000957053487 722147658 /nfs/dbraw/zinc/14/76/58/722147658.db2.gz LDFMHOQEPVKUBV-DNRKLUKYSA-N -1 1 344.375 -0.350 20 0 EBADMM O=C([C@@H]1CCc2[nH]cnc2C1)N1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC000957104598 722157793 /nfs/dbraw/zinc/15/77/93/722157793.db2.gz CJGZJVLDUDMNBA-SNVBAGLBSA-N -1 1 331.380 -0.317 20 0 EBADMM CCN(C(=O)[C@H]1C[C@]12CCOC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000957108825 722158153 /nfs/dbraw/zinc/15/81/53/722158153.db2.gz ODFZRRVPQGMNDZ-WBMJQRKESA-N -1 1 335.408 -0.432 20 0 EBADMM CC[C@H]1C[C@@H](C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)CCO1 ZINC000957106950 722158238 /nfs/dbraw/zinc/15/82/38/722158238.db2.gz IDNNGLYXBVAQRH-STQMWFEESA-N -1 1 337.424 -0.042 20 0 EBADMM C[C@]1(NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN(C(=O)C(F)F)C1 ZINC000957220207 722176441 /nfs/dbraw/zinc/17/64/41/722176441.db2.gz VYQFVDBDXWECSF-ZDUSSCGKSA-N -1 1 340.290 -0.597 20 0 EBADMM Cc1ncc(C(=O)N2CC[C@](C)(NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC000957235340 722178037 /nfs/dbraw/zinc/17/80/37/722178037.db2.gz AUPVLLCCJUBCAS-AWEZNQCLSA-N -1 1 347.335 -0.651 20 0 EBADMM Cc1oncc1CN1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000957314874 722193225 /nfs/dbraw/zinc/19/32/25/722193225.db2.gz RMCZIZKUGFQHBM-UHFFFAOYSA-N -1 1 343.347 -0.328 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCN(Cc2csnn2)CC1 ZINC000957314625 722193269 /nfs/dbraw/zinc/19/32/69/722193269.db2.gz MPLSXCJLCRTAOM-UHFFFAOYSA-N -1 1 346.376 -0.773 20 0 EBADMM O=C([C@H]1CCc2[nH]nnc2C1)N1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC000957316972 722194079 /nfs/dbraw/zinc/19/40/79/722194079.db2.gz SFXYTIHLNMAKDO-VIFPVBQESA-N -1 1 332.368 -0.922 20 0 EBADMM O=C([C@H]1CCc2nn[nH]c2C1)N1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC000957316972 722194080 /nfs/dbraw/zinc/19/40/80/722194080.db2.gz SFXYTIHLNMAKDO-VIFPVBQESA-N -1 1 332.368 -0.922 20 0 EBADMM CCc1onc(C)c1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000957615624 722227846 /nfs/dbraw/zinc/22/78/46/722227846.db2.gz XXIZDKAOOYECML-UHFFFAOYSA-N -1 1 334.380 -0.075 20 0 EBADMM Cc1n[nH]c(C)c1CC(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000957629180 722229621 /nfs/dbraw/zinc/22/96/21/722229621.db2.gz WMWJZZAKBOHRCL-UHFFFAOYSA-N -1 1 333.396 -0.665 20 0 EBADMM O=C(NC[C@@H]1CN(Cc2cc(=O)n3[n-]ccc3n2)C[C@@H]1O)C1CC1 ZINC000957783184 722241215 /nfs/dbraw/zinc/24/12/15/722241215.db2.gz DAFDWVIVURJELB-YPMHNXCESA-N -1 1 331.376 -0.659 20 0 EBADMM O=C(NC[C@H]1CN(Cc2cc(=O)n3[n-]ccc3n2)C[C@@H]1O)C1CCC1 ZINC000957802836 722243195 /nfs/dbraw/zinc/24/31/95/722243195.db2.gz GTYTYUZHMOTGDN-JSGCOSHPSA-N -1 1 345.403 -0.268 20 0 EBADMM CC(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(C(=O)CCn1cc[n-]c(=O)c1=O)C2 ZINC000957833169 722246234 /nfs/dbraw/zinc/24/62/34/722246234.db2.gz WHJVTSHQEITOIX-XQQFMLRXSA-N -1 1 334.376 -0.558 20 0 EBADMM C[C@@H]1CN(C(=O)c2nnn(C)n2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000957837433 722247609 /nfs/dbraw/zinc/24/76/09/722247609.db2.gz UGDWUVGYYRIODF-RKDXNWHRSA-N -1 1 331.336 -0.799 20 0 EBADMM C[C@H](C[C@H]1CCCO1)C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000957845705 722250380 /nfs/dbraw/zinc/25/03/80/722250380.db2.gz NGRGLPMSDRKFDF-CHWSQXEVSA-N -1 1 337.424 -0.042 20 0 EBADMM Cc1ccc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)o1 ZINC000957850413 722252288 /nfs/dbraw/zinc/25/22/88/722252288.db2.gz BTZSMJATJVVGGW-WDEREUQCSA-N -1 1 335.364 -0.767 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2CN(Cc3conc3C)C[C@H]2O)c1[O-] ZINC000957975122 722280150 /nfs/dbraw/zinc/28/01/50/722280150.db2.gz MWAKZPHCJLIZIR-CMPLNLGQSA-N -1 1 335.364 -0.057 20 0 EBADMM Cc1ncoc1CN1C[C@@H](CNC(=O)c2[nH]nc(C)c2[O-])[C@H](O)C1 ZINC000957984926 722282452 /nfs/dbraw/zinc/28/24/52/722282452.db2.gz ATYAYHLUXUZWGU-GHMZBOCLSA-N -1 1 335.364 -0.057 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2CN(Cc3nccnc3C)C[C@@H]2O)c1[O-] ZINC000957985934 722282740 /nfs/dbraw/zinc/28/27/40/722282740.db2.gz LBGSBMLWRZAXHH-AAEUAGOBSA-N -1 1 346.391 -0.255 20 0 EBADMM Cc1noc(CN2C[C@H](O)[C@@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC000957990893 722284239 /nfs/dbraw/zinc/28/42/39/722284239.db2.gz PNEVTMWWAHUQLA-JQWIXIFHSA-N -1 1 333.348 -0.299 20 0 EBADMM CCc1noc(CN2C[C@H](O)[C@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC000957994522 722284892 /nfs/dbraw/zinc/28/48/92/722284892.db2.gz DCECLZCGPHPYRB-PWSUYJOCSA-N -1 1 347.375 -0.045 20 0 EBADMM O=C(NC[C@H]1CN(Cc2nncs2)C[C@H]1O)c1ncccc1[O-] ZINC000957994872 722285184 /nfs/dbraw/zinc/28/51/84/722285184.db2.gz JCTCRZVFZVRQSA-GXSJLCMTSA-N -1 1 335.389 -0.139 20 0 EBADMM Cc1nnc(CN2C[C@H](O)[C@H](CNC(=O)c3ncccc3[O-])C2)[nH]1 ZINC000957996046 722285369 /nfs/dbraw/zinc/28/53/69/722285369.db2.gz REYMWEBIQGROLS-PWSUYJOCSA-N -1 1 332.364 -0.564 20 0 EBADMM Cc1oncc1C[N@H+]1C[C@H](O)[C@H](CNC(=O)c2cnc[nH]c2=O)C1 ZINC000958168055 722317214 /nfs/dbraw/zinc/31/72/14/722317214.db2.gz SGVNEGHEGGSWAA-YPMHNXCESA-N -1 1 333.348 -0.299 20 0 EBADMM O=C(NC[C@@H]1CN(C/C=C/Cl)C[C@H]1O)c1n[nH]c(=O)[n-]c1=O ZINC000958222658 722329794 /nfs/dbraw/zinc/32/97/94/722329794.db2.gz FNUNWNOAXCQACJ-XLNBVVSQSA-N -1 1 329.744 -0.942 20 0 EBADMM CCc1ocnc1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000958440450 722366374 /nfs/dbraw/zinc/36/63/74/722366374.db2.gz LGUZDUNLBRPGGP-GDGBQDQQSA-N -1 1 332.364 -0.481 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)ccn1 ZINC000958507222 722382751 /nfs/dbraw/zinc/38/27/51/722382751.db2.gz MZWCMNAVYSEBOV-STQMWFEESA-N -1 1 346.391 -0.965 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C[C@H]2CCCCO2)nc1=O ZINC000958555618 722390192 /nfs/dbraw/zinc/39/01/92/722390192.db2.gz LJGQSIDNTXARHM-FJJYHAOUSA-N -1 1 335.408 -0.386 20 0 EBADMM CS(=O)(=O)CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000958637701 722407556 /nfs/dbraw/zinc/40/75/56/722407556.db2.gz KIPNPGLGXDAFIQ-IAZYJMLFSA-N -1 1 325.390 -0.508 20 0 EBADMM CCn1cccc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC000958685618 722417391 /nfs/dbraw/zinc/41/73/91/722417391.db2.gz TVCVIHKQXBHOMG-AAEUAGOBSA-N -1 1 348.407 -0.848 20 0 EBADMM NC(=O)CC(=O)N1CCc2ccccc2[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000958783489 722432540 /nfs/dbraw/zinc/43/25/40/722432540.db2.gz BRQHLLMYYIDJHB-GFCCVEGCSA-N -1 1 344.375 -0.399 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)Cc2ccon2)C[C@@H]1O ZINC000958830246 722604203 /nfs/dbraw/zinc/60/42/03/722604203.db2.gz MOPNKXDBKMNAIO-AAEUAGOBSA-N -1 1 346.343 -0.338 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)[C@H]2CCOC2)C[C@@H]1O ZINC000958830508 722604498 /nfs/dbraw/zinc/60/44/98/722604498.db2.gz XISHYTDXEUDCAA-GVXVVHGQSA-N -1 1 335.360 -0.533 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)c2cncn2C)C[C@@H]1O ZINC000958830956 722604730 /nfs/dbraw/zinc/60/47/30/722604730.db2.gz RSOKTZKJYMQCQU-AAEUAGOBSA-N -1 1 345.359 -0.522 20 0 EBADMM O=C([C@H]1CCc2ncncc2C1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001018956945 728754580 /nfs/dbraw/zinc/75/45/80/728754580.db2.gz VGARFVHXNMDRNY-JQWIXIFHSA-N -1 1 343.391 -0.204 20 0 EBADMM CN(C(=O)Cc1ncc[nH]1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958938209 722664780 /nfs/dbraw/zinc/66/47/80/722664780.db2.gz IIMHKPGFPJTBCE-JQWIXIFHSA-N -1 1 345.359 -0.603 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)c2nnn(C)n2)C1 ZINC000959233617 722701630 /nfs/dbraw/zinc/70/16/30/722701630.db2.gz LUUPTXZSEDPYQZ-ZJUUUORDSA-N -1 1 345.363 -0.409 20 0 EBADMM O=C(Cn1cnnn1)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001018961987 728756458 /nfs/dbraw/zinc/75/64/58/728756458.db2.gz AWIHPFVRDJPNBZ-UHFFFAOYSA-N -1 1 343.347 -0.852 20 0 EBADMM CC(C)C(=O)N1C[C@H](C)[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000959379307 722714360 /nfs/dbraw/zinc/71/43/60/722714360.db2.gz ZYNFLMQMWWRCTB-QWRGUYRKSA-N -1 1 346.391 -0.102 20 0 EBADMM O=C(Cn1cncn1)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001018962504 728756967 /nfs/dbraw/zinc/75/69/67/728756967.db2.gz RVQUAPLXPKNNNX-UHFFFAOYSA-N -1 1 342.359 -0.247 20 0 EBADMM C[C@H]1CN(C(=O)c2nnn(C)n2)C[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000959551323 722739870 /nfs/dbraw/zinc/73/98/70/722739870.db2.gz NHGSTOFVJTWTKJ-UWVGGRQHSA-N -1 1 345.363 -0.551 20 0 EBADMM C[C@@H]1CN(C(=O)C2(C(N)=O)CC2)C[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000959570265 722744223 /nfs/dbraw/zinc/74/42/23/722744223.db2.gz KPRSRGLBAUXRBW-MNOVXSKESA-N -1 1 346.387 -0.123 20 0 EBADMM O=C(c1ccc(=O)[nH]n1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959857736 722768632 /nfs/dbraw/zinc/76/86/32/722768632.db2.gz UGUQDMPIQPJQSH-VIFPVBQESA-N -1 1 333.352 -0.210 20 0 EBADMM Cn1cc(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)[nH]c1=O ZINC000960112189 722794564 /nfs/dbraw/zinc/79/45/64/722794564.db2.gz RVTQMUBQBYHRDY-VIFPVBQESA-N -1 1 335.368 -0.266 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2cnn3c2CCC3)nc1=O ZINC000960215094 722807207 /nfs/dbraw/zinc/80/72/07/722807207.db2.gz UCUNFMBWJDRZCZ-YABSGUDNSA-N -1 1 343.391 -0.889 20 0 EBADMM O=C(c1cnon1)N1C[C@H]2OCCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC000960278539 722813558 /nfs/dbraw/zinc/81/35/58/722813558.db2.gz YFIUMINBUFASEP-CMPLNLGQSA-N -1 1 345.315 -0.464 20 0 EBADMM Cc1cc(=O)c(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)cn1C ZINC001019005047 728771559 /nfs/dbraw/zinc/77/15/59/728771559.db2.gz LQIMQABWBPIIFA-JTQLQIEISA-N -1 1 332.364 -0.478 20 0 EBADMM Cc1[nH]nc(C(=O)N2CCC[C@@H]2CN(C)[C@H]2CCC(=O)NC2=O)c1[O-] ZINC000960551104 722838216 /nfs/dbraw/zinc/83/82/16/722838216.db2.gz AQBYXRHQKZUBOX-MNOVXSKESA-N -1 1 349.391 -0.235 20 0 EBADMM COCCn1nccc1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019017077 728774738 /nfs/dbraw/zinc/77/47/38/728774738.db2.gz MVYRQNMMCZQHHZ-JTQLQIEISA-N -1 1 335.368 -0.643 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2n[nH]c3c2CCC3)nc1=O ZINC000960729100 722858026 /nfs/dbraw/zinc/85/80/26/722858026.db2.gz LYDMNRCOONSWBP-IWIIMEHWSA-N -1 1 343.391 -0.820 20 0 EBADMM Cc1nnccc1C(=O)N1CCC[C@@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC000960741987 722859352 /nfs/dbraw/zinc/85/93/52/722859352.db2.gz WBLQAROXSVFQBS-GFCCVEGCSA-N -1 1 345.407 -0.057 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)[C@]1(C)CCOC1 ZINC000960954591 722881568 /nfs/dbraw/zinc/88/15/68/722881568.db2.gz HAFBCTSONDKFGA-MLGOLLRUSA-N -1 1 337.424 -0.042 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H]2Cc3ccccc32)nc1=O ZINC000961644172 723051511 /nfs/dbraw/zinc/05/15/11/723051511.db2.gz XUJFIZFWZUVLGL-TTZDDIAXSA-N -1 1 339.399 -0.005 20 0 EBADMM COc1ncccc1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000961657265 723053496 /nfs/dbraw/zinc/05/34/96/723053496.db2.gz CSCYAOHDGBTPHW-PJXYFTJBSA-N -1 1 344.375 -0.628 20 0 EBADMM Cc1nn(C)cc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000961931340 723122535 /nfs/dbraw/zinc/12/25/35/723122535.db2.gz HOQVGGXAQDXMSX-YPMHNXCESA-N -1 1 345.359 -0.556 20 0 EBADMM Cc1oncc1CN[C@H]1CCN(C(=O)CCc2n[nH]c(=O)[n-]c2=O)C1 ZINC001019082095 728790935 /nfs/dbraw/zinc/79/09/35/728790935.db2.gz LTZZCKVTCDUMQJ-NSHDSACASA-N -1 1 348.363 -0.097 20 0 EBADMM Cc1nocc1CN[C@H]1CCN(C(=O)CCc2n[nH]c(=O)[n-]c2=O)C1 ZINC001019081985 728790972 /nfs/dbraw/zinc/79/09/72/728790972.db2.gz GCOSXMSTLVUPNC-NSHDSACASA-N -1 1 348.363 -0.097 20 0 EBADMM Cc1[nH]nc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)c1C ZINC000961963748 723133442 /nfs/dbraw/zinc/13/34/42/723133442.db2.gz ORTNDOAKXFXFSI-PWSUYJOCSA-N -1 1 345.359 -0.258 20 0 EBADMM Cc1cc(CNCC2CC(NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)no1 ZINC000962041250 723156617 /nfs/dbraw/zinc/15/66/17/723156617.db2.gz YPEPXYPDMBQWDK-UHFFFAOYSA-N -1 1 334.336 -0.123 20 0 EBADMM Cc1nc(C)c(CN[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC001019094899 728795152 /nfs/dbraw/zinc/79/51/52/728795152.db2.gz RMDWYBCODZLQBA-VIFPVBQESA-N -1 1 334.336 -0.108 20 0 EBADMM CCc1nocc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC000962417021 723244954 /nfs/dbraw/zinc/24/49/54/723244954.db2.gz YVSIVDYADYQBOR-IWIIMEHWSA-N -1 1 348.363 -0.514 20 0 EBADMM COC1CC(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)C1 ZINC000962437594 723253498 /nfs/dbraw/zinc/25/34/98/723253498.db2.gz WPJZDQLANBTKKP-KMFOADKKSA-N -1 1 335.360 -0.486 20 0 EBADMM O=C(Cn1ncc2cccnc21)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019164514 728813391 /nfs/dbraw/zinc/81/33/91/728813391.db2.gz XUIJUCASYRZEDM-NSHDSACASA-N -1 1 342.363 -0.354 20 0 EBADMM CCc1cc(CC(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)n(C)n1 ZINC001019175015 728816514 /nfs/dbraw/zinc/81/65/14/728816514.db2.gz ZHKVSAURWBMGSM-NSHDSACASA-N -1 1 333.396 -0.261 20 0 EBADMM CC(=O)N1CC[C@H]2[C@@H]1CCCN2C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000963395540 723417342 /nfs/dbraw/zinc/41/73/42/723417342.db2.gz IJYVZSOIDLYQNJ-RYUDHWBXSA-N -1 1 330.348 -0.357 20 0 EBADMM CCCC(=O)N1CCC2(CN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)C1 ZINC001019193867 728824122 /nfs/dbraw/zinc/82/41/22/728824122.db2.gz NQYGLLWZKUTQFI-UHFFFAOYSA-N -1 1 348.403 -0.212 20 0 EBADMM CCC(=O)N1C[C@@H]2CCCN(C(=O)CCn3cc[n-]c(=O)c3=O)[C@@H]2C1 ZINC000963779049 723484938 /nfs/dbraw/zinc/48/49/38/723484938.db2.gz ADQFMJOVZMVKHR-QWHCGFSZSA-N -1 1 348.403 -0.214 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1ncccc1[O-])[C@@H]1CCCO1 ZINC000964070155 723534872 /nfs/dbraw/zinc/53/48/72/723534872.db2.gz VLGZROSJXHUTOH-AAEUAGOBSA-N -1 1 335.360 -0.077 20 0 EBADMM COc1ccc(CC(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)nc1 ZINC001019287460 728848465 /nfs/dbraw/zinc/84/84/65/728848465.db2.gz PNKAGUOHJHGSEG-NSHDSACASA-N -1 1 332.364 -0.153 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)[C@H]1CCOC1)c1ncccc1[O-] ZINC000964156667 723842654 /nfs/dbraw/zinc/84/26/54/723842654.db2.gz WJGPOTGAZNEHNS-NWDGAFQWSA-N -1 1 335.360 -0.219 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CCOC[C@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC000964158028 723843906 /nfs/dbraw/zinc/84/39/06/723843906.db2.gz WQCREWMJEBOOCM-SECBINFHSA-N -1 1 349.351 -0.879 20 0 EBADMM O=C(NC[C@H]1CCCCN1C(=O)c1ncccc1[O-])[C@H]1CNC(=O)N1 ZINC000964479480 724014093 /nfs/dbraw/zinc/01/40/93/724014093.db2.gz FDHYNJRETGGDDJ-GHMZBOCLSA-N -1 1 347.375 -0.421 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C1CCOCC1 ZINC000964627557 724044510 /nfs/dbraw/zinc/04/45/10/724044510.db2.gz DJADFUGNWOREEN-DGCLKSJQSA-N -1 1 337.424 -0.138 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1cnccn1 ZINC000964741377 724053533 /nfs/dbraw/zinc/05/35/33/724053533.db2.gz FXJBRLQRNDBZNV-PWSUYJOCSA-N -1 1 331.380 -0.461 20 0 EBADMM O=C(N[C@H]1CCCN(C(=O)c2ccn[nH]2)CC1)c1n[nH]c(=O)[n-]c1=O ZINC000965052337 724109322 /nfs/dbraw/zinc/10/93/22/724109322.db2.gz CJVPDSJOJZBIJW-QMMMGPOBSA-N -1 1 347.335 -0.569 20 0 EBADMM Cc1nn(C)cc1CCC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019462552 728893118 /nfs/dbraw/zinc/89/31/18/728893118.db2.gz SBSIPAQGORSVIR-LBPRGKRZSA-N -1 1 333.396 -0.124 20 0 EBADMM CO[C@H](C)C(=O)N1CC2(C1)C[C@@H](NC(=O)c1ncccc1[O-])CO2 ZINC000965678554 724360780 /nfs/dbraw/zinc/36/07/80/724360780.db2.gz BFANJNQWLUYNCL-GHMZBOCLSA-N -1 1 335.360 -0.078 20 0 EBADMM O=C(N[C@H]1COC2(CN(C(=O)[C@H]3CCOC3)C2)C1)c1ncccc1[O-] ZINC000965680026 724362148 /nfs/dbraw/zinc/36/21/48/724362148.db2.gz GSXXXSDIGYYGJP-NWDGAFQWSA-N -1 1 347.371 -0.077 20 0 EBADMM O=C(N[C@@H]1COC2(CN(C(=O)[C@@H]3CCOC3)C2)C1)c1ncccc1[O-] ZINC000965680025 724362241 /nfs/dbraw/zinc/36/22/41/724362241.db2.gz GSXXXSDIGYYGJP-NEPJUHHUSA-N -1 1 347.371 -0.077 20 0 EBADMM C[C@H]1CCN(CCn2cncn2)C[C@@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC000967256267 724856913 /nfs/dbraw/zinc/85/69/13/724856913.db2.gz KCOVIQYXYUEVFJ-UWVGGRQHSA-N -1 1 348.367 -0.985 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1c[nH]c(=O)n1C ZINC000967719582 724898426 /nfs/dbraw/zinc/89/84/26/724898426.db2.gz IEHGDQUBMZWFMB-UWVGGRQHSA-N -1 1 349.395 -0.812 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)Cn2cnnn2)C1 ZINC000967897952 724927425 /nfs/dbraw/zinc/92/74/25/724927425.db2.gz DKNBSWJTLPJMLU-UHFFFAOYSA-N -1 1 331.336 -0.853 20 0 EBADMM Cc1ncc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)n1C ZINC000968014999 724946297 /nfs/dbraw/zinc/94/62/97/724946297.db2.gz GVRANLRUKIQMOO-CMPLNLGQSA-N -1 1 347.423 -0.209 20 0 EBADMM CCN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)[C@@H]2C[C@H]2C)C1 ZINC000968155661 724971075 /nfs/dbraw/zinc/97/10/75/724971075.db2.gz YRTXXOYSTUCOIC-MWLCHTKSSA-N -1 1 344.375 -0.254 20 0 EBADMM C[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C1=NC(=O)N(C)C1 ZINC000968176239 724973939 /nfs/dbraw/zinc/97/39/39/724973939.db2.gz OKCOOPDZCYAUFA-BDAKNGLRSA-N -1 1 335.368 -0.410 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ccnnc1 ZINC000968357854 725055028 /nfs/dbraw/zinc/05/50/28/725055028.db2.gz URRYYVKCNCIBOV-CMPLNLGQSA-N -1 1 331.380 -0.461 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ncccn1 ZINC000968450074 725065318 /nfs/dbraw/zinc/06/53/18/725065318.db2.gz PGRFXBCPENEMQW-WDEREUQCSA-N -1 1 331.380 -0.461 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)Cc1ncc[nH]1 ZINC000968471231 725070495 /nfs/dbraw/zinc/07/04/95/725070495.db2.gz BCVOSMPOJVMIRO-QWRGUYRKSA-N -1 1 333.396 -0.599 20 0 EBADMM Cc1nonc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000968486582 725072892 /nfs/dbraw/zinc/07/28/92/725072892.db2.gz NUXBTBKWUGZZGU-SCZZXKLOSA-N -1 1 333.304 -0.906 20 0 EBADMM COCCn1cc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c(C)n1 ZINC000968659704 725097616 /nfs/dbraw/zinc/09/76/16/725097616.db2.gz LRWACGPPPAAVTM-LLVKDONJSA-N -1 1 349.395 -0.334 20 0 EBADMM O=C(Cc1cn2c(n1)CCCC2)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000968752176 725112245 /nfs/dbraw/zinc/11/22/45/725112245.db2.gz JOTNOYAIUXGXQS-LLVKDONJSA-N -1 1 345.407 -0.024 20 0 EBADMM O=C(Cn1nccn1)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000968886774 725125713 /nfs/dbraw/zinc/12/57/13/725125713.db2.gz ZGNRBQYVVACGTE-TXEJJXNPSA-N -1 1 342.359 -0.106 20 0 EBADMM CCn1cnc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)c1 ZINC000969099411 725151682 /nfs/dbraw/zinc/15/16/82/725151682.db2.gz NRIGIANFEATOLZ-MFKMUULPSA-N -1 1 345.359 -0.381 20 0 EBADMM O=C([C@@H]1CCc2cncn2C1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969136732 725155127 /nfs/dbraw/zinc/15/51/27/725155127.db2.gz ZWXFFQCWXFCKQO-GHMZBOCLSA-N -1 1 331.380 -0.340 20 0 EBADMM CN(C)Cc1cc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)no1 ZINC000969156741 725157198 /nfs/dbraw/zinc/15/71/98/725157198.db2.gz CALXOYFQDBKUAW-SECBINFHSA-N -1 1 335.368 -0.436 20 0 EBADMM Cc1cnc([C@@H](C)N[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)cn1 ZINC000969212181 725162102 /nfs/dbraw/zinc/16/21/02/725162102.db2.gz WDPVFBASBSSFBO-NXEZZACHSA-N -1 1 345.363 -0.053 20 0 EBADMM C[C@@H](NC(=O)[C@@H]1CCCOCC1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969585250 725194802 /nfs/dbraw/zinc/19/48/02/725194802.db2.gz RWEMZUNKHBJBGX-VXGBXAGGSA-N -1 1 337.424 -0.138 20 0 EBADMM C[C@H](NC(=O)c1cc2n(n1)CCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969803478 725218896 /nfs/dbraw/zinc/21/88/96/725218896.db2.gz JGZRAULNZPHOQS-JTQLQIEISA-N -1 1 345.407 -0.499 20 0 EBADMM O=C(c1cc2ncccn2n1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970311882 725276259 /nfs/dbraw/zinc/27/62/59/725276259.db2.gz GWKPNFIXYXEQGJ-SECBINFHSA-N -1 1 328.336 -0.443 20 0 EBADMM C[C@H](NC(=O)[C@H]1CCCc2n[nH]nc21)C1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC000970343142 725280381 /nfs/dbraw/zinc/28/03/81/725280381.db2.gz IRPXVFHHGBSYOU-WPRPVWTQSA-N -1 1 346.395 -0.315 20 0 EBADMM Cn1nc2c(c1C(=O)N1CC[C@@H](NCc3n[nH]c(=O)[n-]3)C1)CCC2 ZINC000970469324 725293902 /nfs/dbraw/zinc/29/39/02/725293902.db2.gz ZWRMCRIKTYWYJF-SECBINFHSA-N -1 1 331.380 -0.263 20 0 EBADMM C[C@H](NC(=O)c1cc(C2CC2)n[nH]1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970476492 725294718 /nfs/dbraw/zinc/29/47/18/725294718.db2.gz NDTKUXQJGLZXIX-VIFPVBQESA-N -1 1 345.407 -0.041 20 0 EBADMM CCCn1cc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC000970513080 725297004 /nfs/dbraw/zinc/29/70/04/725297004.db2.gz NITLMXWCFXMUML-NSHDSACASA-N -1 1 347.423 -0.035 20 0 EBADMM COCCn1cc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC000970592146 725303328 /nfs/dbraw/zinc/30/33/28/725303328.db2.gz HLBYICPKHVRMSK-LLVKDONJSA-N -1 1 335.368 -0.643 20 0 EBADMM CNC(=O)c1cccc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000970603610 725304231 /nfs/dbraw/zinc/30/42/31/725304231.db2.gz UJAPOHCHGVJUDE-SECBINFHSA-N -1 1 345.363 -0.731 20 0 EBADMM CC(=O)N1CCC(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC000970674151 725315028 /nfs/dbraw/zinc/31/50/28/725315028.db2.gz PULNAKAZTHQPGJ-GFCCVEGCSA-N -1 1 336.396 -0.541 20 0 EBADMM COc1ncc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC000970684765 725316704 /nfs/dbraw/zinc/31/67/04/725316704.db2.gz YJRGDGIHBZYEPZ-VIFPVBQESA-N -1 1 347.379 -0.843 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cc1 ZINC000970690794 725317541 /nfs/dbraw/zinc/31/75/41/725317541.db2.gz DLQJOCHOIORIRY-LLVKDONJSA-N -1 1 330.348 -0.387 20 0 EBADMM O=C([C@H]1CCc2c[nH]nc2C1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970762538 725325762 /nfs/dbraw/zinc/32/57/62/725325762.db2.gz MDMAYOIQIGMOQT-GXSJLCMTSA-N -1 1 331.380 -0.271 20 0 EBADMM CCc1nc[nH]c1C(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970817794 725331760 /nfs/dbraw/zinc/33/17/60/725331760.db2.gz YALHFSXOAPJYAK-SECBINFHSA-N -1 1 333.396 -0.356 20 0 EBADMM CCc1ncncc1C(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970889202 725337767 /nfs/dbraw/zinc/33/77/67/725337767.db2.gz QMFQWFBQRDZZBF-JTQLQIEISA-N -1 1 345.407 -0.289 20 0 EBADMM C[C@H](NC(=O)[C@@H]1CC12CCOCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970996836 725347488 /nfs/dbraw/zinc/34/74/88/725347488.db2.gz OMEDEOJKXSGRSE-AAEUAGOBSA-N -1 1 349.435 -0.138 20 0 EBADMM C[C@@H](NC(=O)[C@@H]1CC12CCOCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970996839 725347574 /nfs/dbraw/zinc/34/75/74/725347574.db2.gz OMEDEOJKXSGRSE-YPMHNXCESA-N -1 1 349.435 -0.138 20 0 EBADMM Cc1nnsc1C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000971058434 725349987 /nfs/dbraw/zinc/34/99/87/725349987.db2.gz HKIUZWYVMSWGLB-SECBINFHSA-N -1 1 337.409 -0.385 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC000971234800 725355261 /nfs/dbraw/zinc/35/52/61/725355261.db2.gz XRPYFMRPLNFWMZ-NSHDSACASA-N -1 1 333.396 -0.205 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)c2ccncc2F)C1 ZINC000971258553 725356587 /nfs/dbraw/zinc/35/65/87/725356587.db2.gz WRFSYCJIQZFTGP-SNVBAGLBSA-N -1 1 334.355 -0.011 20 0 EBADMM Cc1cc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)cnn1 ZINC000971418308 725364538 /nfs/dbraw/zinc/36/45/38/725364538.db2.gz PIRUDCPHKVOAOA-GFCCVEGCSA-N -1 1 331.380 -0.447 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)Cc2cncnc2)C1 ZINC000971428537 725365157 /nfs/dbraw/zinc/36/51/57/725365157.db2.gz ZCTWEPRERQNQAN-GFCCVEGCSA-N -1 1 331.380 -0.826 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)Cc2ccnn2C)C1 ZINC000971428601 725365307 /nfs/dbraw/zinc/36/53/07/725365307.db2.gz BCDZVXPOZLQUAJ-LBPRGKRZSA-N -1 1 333.396 -0.883 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)C2CCC(O)CC2)C1 ZINC000971437827 725366010 /nfs/dbraw/zinc/36/60/10/725366010.db2.gz BCUMQBLDZPJBKB-CPCZMJQVSA-N -1 1 337.424 -0.308 20 0 EBADMM COc1cc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)ncn1 ZINC000971543540 725419503 /nfs/dbraw/zinc/41/95/03/725419503.db2.gz ROTSJVZYQBINJT-SNVBAGLBSA-N -1 1 347.379 -0.747 20 0 EBADMM CN(Cc1cnn(C)c1)[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000971868807 725444151 /nfs/dbraw/zinc/44/41/51/725444151.db2.gz GEQMXTLWTGCCNG-SNVBAGLBSA-N -1 1 333.352 -0.637 20 0 EBADMM Cc1cc(C)n(CC(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000971899274 725446867 /nfs/dbraw/zinc/44/68/67/725446867.db2.gz SUVWRDDXFNMPCN-ZDUSSCGKSA-N -1 1 347.423 -0.345 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)CCc2ccon2)C1 ZINC000971942081 725449977 /nfs/dbraw/zinc/44/99/77/725449977.db2.gz CQYAQHPNLMHSTQ-LBPRGKRZSA-N -1 1 334.380 -0.238 20 0 EBADMM Cc1cc(=O)[nH]cc1C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000972298663 725493861 /nfs/dbraw/zinc/49/38/61/725493861.db2.gz AOHLAHNJRPKEDW-NSHDSACASA-N -1 1 346.391 -0.136 20 0 EBADMM Cn1[n-]c(CN2CCO[C@]3(CCN(C(=O)C4CC=CC4)C3)C2)nc1=O ZINC000972308411 725496889 /nfs/dbraw/zinc/49/68/89/725496889.db2.gz LTHSLHZHZQEPKG-QGZVFWFLSA-N -1 1 347.419 -0.122 20 0 EBADMM CC1CCN(C(=O)C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)CC1 ZINC000991643341 725506749 /nfs/dbraw/zinc/50/67/49/725506749.db2.gz ZUWUHTCTDMZRTC-UHFFFAOYSA-N -1 1 346.387 -0.014 20 0 EBADMM Cc1nc(C)c(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)[nH]1 ZINC000972362401 725507028 /nfs/dbraw/zinc/50/70/28/725507028.db2.gz NCUVYPNYCSHZQT-LLVKDONJSA-N -1 1 333.396 -0.205 20 0 EBADMM CCn1nc(C)cc1C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000972407129 725516588 /nfs/dbraw/zinc/51/65/88/725516588.db2.gz BJZMNEUCLSBFBW-LBPRGKRZSA-N -1 1 347.423 -0.020 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CC[C@@]2(C1)CN(Cc1n[nH]c(=O)[n-]1)CCO2 ZINC000972492509 725534731 /nfs/dbraw/zinc/53/47/31/725534731.db2.gz MSBQCYHKWRUYFZ-AWEZNQCLSA-N -1 1 348.367 -0.946 20 0 EBADMM CC(C)N1CCO[C@@]2(CCN(C(=O)c3cnc([O-])n(C)c3=O)C2)C1 ZINC000972492621 725534907 /nfs/dbraw/zinc/53/49/07/725534907.db2.gz NVZDXVWLWJTIHI-INIZCTEOSA-N -1 1 336.392 -0.189 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)c1ccncn1 ZINC000991689786 725545564 /nfs/dbraw/zinc/54/55/64/725545564.db2.gz KPSJWWJGCQZCKT-ZWNOBZJWSA-N -1 1 343.343 -0.417 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1cnon1 ZINC000991702375 725556050 /nfs/dbraw/zinc/55/60/50/725556050.db2.gz KZMRHOYYMFDXMI-KWQFWETISA-N -1 1 333.304 -0.824 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@H]3CCCOC3)C(C)(C)C2)nc1=O ZINC000972851354 725597846 /nfs/dbraw/zinc/59/78/46/725597846.db2.gz DZWYGQWYMNHZOY-NWDGAFQWSA-N -1 1 337.424 -0.138 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@H](NC(=O)c2cn[nH]c2)C1 ZINC000973058198 725625874 /nfs/dbraw/zinc/62/58/74/725625874.db2.gz HCJUCQNDUBAWJU-ZKCHVHJHSA-N -1 1 348.319 -0.690 20 0 EBADMM O=C(NC1CC(CNC(=O)C2CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000991836039 725651773 /nfs/dbraw/zinc/65/17/73/725651773.db2.gz LWDVZKPVRQRQJI-UHFFFAOYSA-N -1 1 330.348 -0.548 20 0 EBADMM CCN1CCO[C@H](C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000973198530 725667819 /nfs/dbraw/zinc/66/78/19/725667819.db2.gz YIOBHVFEGKWJBU-OBJOEFQTSA-N -1 1 348.403 -0.115 20 0 EBADMM O=C(NCC1CC(NC(=O)[C@H]2CCNC(=O)C2)C1)c1ncccc1[O-] ZINC000992049627 725709262 /nfs/dbraw/zinc/70/92/62/725709262.db2.gz PMMKXGOINCEKSQ-CXQJBGSLSA-N -1 1 346.387 -0.062 20 0 EBADMM O=C(NCC1CC(NC(=O)c2c[nH]c(=O)cn2)C1)c1ncccc1[O-] ZINC000992057188 725711377 /nfs/dbraw/zinc/71/13/77/725711377.db2.gz UMPYGBDNXDHECJ-UHFFFAOYSA-N -1 1 343.343 -0.191 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)c4n[nH]cc4F)CCC[C@@H]23)nc1=O ZINC000992155038 725737534 /nfs/dbraw/zinc/73/75/34/725737534.db2.gz SCURABSSZAVMBU-MEBBXXQBSA-N -1 1 349.370 -0.102 20 0 EBADMM CC(C)C(=O)NC1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000992241786 725758915 /nfs/dbraw/zinc/75/89/15/725758915.db2.gz PZSNVEYXOYLILD-UHFFFAOYSA-N -1 1 332.364 -0.302 20 0 EBADMM O=C(NCC1CC(NC(=O)C2CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000992241653 725759011 /nfs/dbraw/zinc/75/90/11/725759011.db2.gz OVGFKWXTKSGFQI-UHFFFAOYSA-N -1 1 330.348 -0.548 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)c4ccnnc4)CCC[C@H]23)nc1=O ZINC000992262485 725762774 /nfs/dbraw/zinc/76/27/74/725762774.db2.gz RUAHOAZWSDDQGX-LRDDRELGSA-N -1 1 343.391 -0.175 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)c4ncccn4)CCC[C@@H]23)nc1=O ZINC000992352966 725784764 /nfs/dbraw/zinc/78/47/64/725784764.db2.gz VAACUJRPNVQNBZ-BDJLRTHQSA-N -1 1 343.391 -0.175 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)NCC1CC(NC(=O)c2ncccc2[O-])C1 ZINC000992415267 725794660 /nfs/dbraw/zinc/79/46/60/725794660.db2.gz USOQWRGVOCIBBM-LXKPXOPUSA-N -1 1 332.360 -0.467 20 0 EBADMM Cc1nonc1C(=O)N[C@@]12CCC[C@H]1N(Cc1nc(=O)n(C)[n-]1)CC2 ZINC000992527911 725807365 /nfs/dbraw/zinc/80/73/65/725807365.db2.gz FNJUWNVEUKVWHW-MEBBXXQBSA-N -1 1 347.379 -0.273 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@@H](NC(=O)c2ccc[nH]2)C1 ZINC000973870821 725814566 /nfs/dbraw/zinc/81/45/66/725814566.db2.gz BEGOCRGUOWQPSE-OCAPTIKFSA-N -1 1 347.331 -0.085 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2cnccn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000992985298 725849321 /nfs/dbraw/zinc/84/93/21/725849321.db2.gz XDRQXPXBLIMVGF-QWRGUYRKSA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2cc[n+]([O-])cc2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993004780 725850187 /nfs/dbraw/zinc/85/01/87/725850187.db2.gz OLJXPWVTXJIDLZ-WCQYABFASA-N -1 1 346.391 -0.475 20 0 EBADMM Cc1ncncc1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1C ZINC000993093581 725854463 /nfs/dbraw/zinc/85/44/63/725854463.db2.gz PUHCSFZHXIODAM-AAEUAGOBSA-N -1 1 345.407 -0.010 20 0 EBADMM CN1C(=O)CC[C@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974054965 725858584 /nfs/dbraw/zinc/85/85/84/725858584.db2.gz VZALSYKSOFQKHI-AXFHLTTASA-N -1 1 332.360 -0.215 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2nccnc2N)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993167925 725859429 /nfs/dbraw/zinc/85/94/29/725859429.db2.gz FRVSETKESZAXGX-NXEZZACHSA-N -1 1 346.395 -0.737 20 0 EBADMM C[C@H]1[C@@H](NC(=O)Cc2ccnn2C)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993261817 725866385 /nfs/dbraw/zinc/86/63/85/725866385.db2.gz SEYRWFNSRQWVAS-AAEUAGOBSA-N -1 1 347.423 -0.446 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2ncn(C)n2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993300036 725870365 /nfs/dbraw/zinc/87/03/65/725870365.db2.gz ODLUKQUAWBUNPQ-VHSXEESVSA-N -1 1 334.384 -0.980 20 0 EBADMM Cc1nc(CC(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[n-]3)[C@@H]2C)n[nH]1 ZINC000993357947 725879829 /nfs/dbraw/zinc/87/98/29/725879829.db2.gz ORSWJJNMUMHAIP-PSASIEDQSA-N -1 1 334.384 -0.351 20 0 EBADMM Cc1ncn(C)c1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC000993458477 725890872 /nfs/dbraw/zinc/89/08/72/725890872.db2.gz SXWMXIPFKAXKDW-VXGBXAGGSA-N -1 1 347.423 -0.067 20 0 EBADMM Cc1nn[nH]c1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1C ZINC000993664179 725925329 /nfs/dbraw/zinc/92/53/29/725925329.db2.gz DKAOSUNDLLTEQH-VHSXEESVSA-N -1 1 334.384 -0.682 20 0 EBADMM C[C@H]1[C@@H](NC(=O)Cc2cnoc2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993711590 725930951 /nfs/dbraw/zinc/93/09/51/725930951.db2.gz AWKCCMMYVSAOCQ-JQWIXIFHSA-N -1 1 334.380 -0.192 20 0 EBADMM C[C@@H](C(=O)NC1CN(C(=O)c2ncccc2[O-])C1)N1C(=O)CCC1=O ZINC000993799050 725945215 /nfs/dbraw/zinc/94/52/15/725945215.db2.gz NLFFCPNKVFRKKV-VIFPVBQESA-N -1 1 346.343 -0.735 20 0 EBADMM O=C(NC[C@@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC000974416733 725964846 /nfs/dbraw/zinc/96/48/46/725964846.db2.gz DHWKNLPIBKEUIF-JTQLQIEISA-N -1 1 344.375 -0.204 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)CCCN1CCCO ZINC000994011765 725971510 /nfs/dbraw/zinc/97/15/10/725971510.db2.gz RWHVLNPOXVISIX-YPMHNXCESA-N -1 1 348.407 -0.577 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2ncccn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000994073308 725976986 /nfs/dbraw/zinc/97/69/86/725976986.db2.gz TUACORBEBUZAEI-GHMZBOCLSA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@H]1[C@H](NC(=O)Cc2cncn2C)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000994210330 725988125 /nfs/dbraw/zinc/98/81/25/725988125.db2.gz OLTKWOCSSVMJAJ-WCQYABFASA-N -1 1 347.423 -0.446 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2ccc(=O)[nH]c2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000994324769 725996152 /nfs/dbraw/zinc/99/61/52/725996152.db2.gz BXKXFUBWZXIWNO-CMPLNLGQSA-N -1 1 346.391 -0.008 20 0 EBADMM C[C@H](C(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CCC1 ZINC000994516135 726028474 /nfs/dbraw/zinc/02/84/74/726028474.db2.gz QCFJGOVJTCAUFP-VIFPVBQESA-N -1 1 344.375 -0.206 20 0 EBADMM Cc1nonc1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1C ZINC000994537699 726030562 /nfs/dbraw/zinc/03/05/62/726030562.db2.gz SWWJCCJTDALVTH-UWVGGRQHSA-N -1 1 335.368 -0.417 20 0 EBADMM Cn1nnnc1CN1C[C@@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC000974488642 726031953 /nfs/dbraw/zinc/03/19/53/726031953.db2.gz KBYNHYPQXSSEFB-LLVKDONJSA-N -1 1 331.380 -0.049 20 0 EBADMM Cc1cn(C)nc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000974580433 726041081 /nfs/dbraw/zinc/04/10/81/726041081.db2.gz USWMVPNHDBTICO-LLVKDONJSA-N -1 1 347.423 -0.209 20 0 EBADMM O=C(c1ncccc1[O-])N1CC[C@@H]2CN(C(=O)[C@@H]3CCC(=O)N3)[C@@H]2C1 ZINC000974675453 726051069 /nfs/dbraw/zinc/05/10/69/726051069.db2.gz CWDANOIIMOXTSY-GRYCIOLGSA-N -1 1 344.371 -0.261 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)c3c[nH]c(=O)n3C)C(C)(C)C2)nc1=O ZINC000974905284 726081752 /nfs/dbraw/zinc/08/17/52/726081752.db2.gz VDNQJKRYBZXXIV-JTQLQIEISA-N -1 1 349.395 -0.812 20 0 EBADMM CN1CC(C(=O)N[C@H]2CN(Cc3n[nH]c(=O)[n-]3)CC2(C)C)=NC1=O ZINC000974989271 726091528 /nfs/dbraw/zinc/09/15/28/726091528.db2.gz RPORBJBUPXUSBY-VIFPVBQESA-N -1 1 335.368 -0.410 20 0 EBADMM C[C@@H]1CCO[C@@H]1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000975069251 726099068 /nfs/dbraw/zinc/09/90/68/726099068.db2.gz ZXUPDJLKKHQGIZ-MDZLAQPJSA-N -1 1 337.424 -0.140 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CC[C@@H](CNCc3nnnn3C)C2)c1[O-] ZINC000994880726 726108127 /nfs/dbraw/zinc/10/81/27/726108127.db2.gz LEXBPZZBLQRMPV-NXEZZACHSA-N -1 1 334.384 -0.364 20 0 EBADMM NC(=O)C(=O)N1CCC[C@@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000975291898 726130368 /nfs/dbraw/zinc/13/03/68/726130368.db2.gz OHTQLTLAILFHSH-VIFPVBQESA-N -1 1 347.375 -0.487 20 0 EBADMM O=C(NC[C@@H]1CCCN(C(=O)C2CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000975402939 726146372 /nfs/dbraw/zinc/14/63/72/726146372.db2.gz BADHWSXPRGWGIM-JTQLQIEISA-N -1 1 344.375 -0.204 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)NC[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000975535581 726172425 /nfs/dbraw/zinc/17/24/25/726172425.db2.gz BJSLCQCCDIPWRH-UTUOFQBUSA-N -1 1 346.387 -0.123 20 0 EBADMM O=C(CCc1ccon1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051715047 735348300 /nfs/dbraw/zinc/34/83/00/735348300.db2.gz KSIMOUWXSKJMKE-LLVKDONJSA-N -1 1 336.352 -0.552 20 0 EBADMM COC(=O)[C@H]1C[C@@H]1C(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000976250117 726239857 /nfs/dbraw/zinc/23/98/57/726239857.db2.gz HYEFRUNSYVWSON-HKLXJQGRSA-N -1 1 345.355 -0.217 20 0 EBADMM COC(=O)[C@H]1C[C@H]1C(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000976250119 726239921 /nfs/dbraw/zinc/23/99/21/726239921.db2.gz HYEFRUNSYVWSON-OQEOFVATSA-N -1 1 345.355 -0.217 20 0 EBADMM Cn1cc(CC(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)cn1 ZINC000995540733 726271549 /nfs/dbraw/zinc/27/15/49/726271549.db2.gz RGUGVSARRNZAFM-LLVKDONJSA-N -1 1 333.396 -0.187 20 0 EBADMM Cn1cc(CC(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)cn1 ZINC000995540735 726271617 /nfs/dbraw/zinc/27/16/17/726271617.db2.gz RGUGVSARRNZAFM-NSHDSACASA-N -1 1 333.396 -0.187 20 0 EBADMM Cc1c(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)nnn1C ZINC000995580947 726276707 /nfs/dbraw/zinc/27/67/07/726276707.db2.gz QVNDZWPYVITRDX-VIFPVBQESA-N -1 1 334.384 -0.412 20 0 EBADMM CC1(C)CN(C(=O)C[C@@H]2COC(=O)C2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995669722 726285464 /nfs/dbraw/zinc/28/54/64/726285464.db2.gz PGDSIXJSLBKVQC-UWVGGRQHSA-N -1 1 337.380 -0.210 20 0 EBADMM CN1CCOC[C@@H]1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000995698277 726290140 /nfs/dbraw/zinc/29/01/40/726290140.db2.gz QLCCCRVTEJMCSD-MNOVXSKESA-N -1 1 338.412 -0.833 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)[C@@H]3CCC(=O)NC3)C[C@H]21)c1ncccc1[O-] ZINC000976395296 726291082 /nfs/dbraw/zinc/29/10/82/726291082.db2.gz VMQYZYYHZRHGPI-PUHVVEEASA-N -1 1 344.371 -0.500 20 0 EBADMM O=C(Cc1cnc[nH]1)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976396505 726291748 /nfs/dbraw/zinc/29/17/48/726291748.db2.gz YZHDQHWLJOAHLA-YABSGUDNSA-N -1 1 327.344 -0.060 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)c3cc[n+]([O-])cc3)C[C@H]21)c1ncccc1[O-] ZINC000976397635 726292392 /nfs/dbraw/zinc/29/23/92/726292392.db2.gz JKJUMGQSJAUOFB-IMRBUKKESA-N -1 1 340.339 -0.079 20 0 EBADMM Cn1nccc1CC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976406584 726295074 /nfs/dbraw/zinc/29/50/74/726295074.db2.gz XHVMAXOOBGYXQP-JYAVWHMHSA-N -1 1 341.371 -0.050 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)[C@@H]3CCC(=O)N3)C[C@H]21)c1ncccc1[O-] ZINC000976407718 726295476 /nfs/dbraw/zinc/29/54/76/726295476.db2.gz ZZIFIDOPNIBIMO-MPXOCVNLSA-N -1 1 330.344 -0.748 20 0 EBADMM Cn1oc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)cc1=O ZINC000995732819 726295770 /nfs/dbraw/zinc/29/57/70/726295770.db2.gz ZMJYMUGCHKQPMQ-SECBINFHSA-N -1 1 336.352 -0.558 20 0 EBADMM CC1(C)CN(C(=O)CO[C@H]2CCOC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995813386 726303421 /nfs/dbraw/zinc/30/34/21/726303421.db2.gz OCOIWYFZCPYJOR-WDEREUQCSA-N -1 1 339.396 -0.358 20 0 EBADMM CCn1ncc(C(=O)N[C@@H]2[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)n1 ZINC000976452385 726313159 /nfs/dbraw/zinc/31/31/59/726313159.db2.gz UVIAXBSYBGKUEV-IWIIMEHWSA-N -1 1 342.359 -0.101 20 0 EBADMM CC1(C)CN(C(=O)[C@H]2CCCC(=O)N2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995935028 726315270 /nfs/dbraw/zinc/31/52/70/726315270.db2.gz AQAFUCSVMLOIJE-NXEZZACHSA-N -1 1 336.396 -0.494 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000995938757 726315839 /nfs/dbraw/zinc/31/58/39/726315839.db2.gz DVNRLRPIXDZMNB-QMMMGPOBSA-N -1 1 335.368 -0.112 20 0 EBADMM CO[C@H](C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)C1CCC1 ZINC001051741188 735364209 /nfs/dbraw/zinc/36/42/09/735364209.db2.gz URGXYICZAUUDCI-AAEUAGOBSA-N -1 1 339.396 -0.358 20 0 EBADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)[C@H]1CCc2n[nH]nc2C1 ZINC000996392869 726383230 /nfs/dbraw/zinc/38/32/30/726383230.db2.gz UMBMMIXTXOYAFG-VIFPVBQESA-N -1 1 342.359 -0.349 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)C2CC=CC2)C1 ZINC000996397132 726385285 /nfs/dbraw/zinc/38/52/85/726385285.db2.gz MQPJEJKSKGSFGI-UHFFFAOYSA-N -1 1 334.332 -0.197 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)[C@H](F)C(C)C)C1 ZINC000996398288 726386570 /nfs/dbraw/zinc/38/65/70/726386570.db2.gz SFTNXXMNIMEDLU-MRVPVSSYSA-N -1 1 342.327 -0.169 20 0 EBADMM CC[C@H](F)C(=O)N1CC(NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC000996398465 726387488 /nfs/dbraw/zinc/38/74/88/726387488.db2.gz VILSNFJNUYACFS-ZETCQYMHSA-N -1 1 328.300 -0.415 20 0 EBADMM O=C(CCC1CCC1)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996403854 726387895 /nfs/dbraw/zinc/38/78/95/726387895.db2.gz BXRAKEJLOYMKLM-UHFFFAOYSA-N -1 1 344.375 -0.062 20 0 EBADMM CC(C)(C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC000996405760 726388473 /nfs/dbraw/zinc/38/84/73/726388473.db2.gz KEBBVHZNPYNGTM-UHFFFAOYSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(NC1CN(C(=O)[C@@H]2CC23CC3)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996410157 726389230 /nfs/dbraw/zinc/38/92/30/726389230.db2.gz ZPGIYDADEQAQTO-VIFPVBQESA-N -1 1 328.332 -0.842 20 0 EBADMM O=C(NC1CN(C(=O)[C@]23C[C@H]2CCC3)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996409576 726389453 /nfs/dbraw/zinc/38/94/53/726389453.db2.gz VBABTXKCBBLNND-JDNHERCYSA-N -1 1 342.359 -0.452 20 0 EBADMM O=C(CC1CCCC1)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996409033 726389523 /nfs/dbraw/zinc/38/95/23/726389523.db2.gz SBLDAVMJNDXEOZ-UHFFFAOYSA-N -1 1 344.375 -0.062 20 0 EBADMM Cn1cc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)n(C)c1=O ZINC000996697658 726423001 /nfs/dbraw/zinc/42/30/01/726423001.db2.gz WIFOGNULDWAIFK-JTQLQIEISA-N -1 1 349.395 -0.812 20 0 EBADMM Cc1nnc(CNC2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)CC2)[nH]1 ZINC000996762657 726425888 /nfs/dbraw/zinc/42/58/88/726425888.db2.gz LDHQMFVSNGMKGF-UHFFFAOYSA-N -1 1 334.340 -0.896 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCC(NCc2nncs2)CC1 ZINC000996763413 726425973 /nfs/dbraw/zinc/42/59/73/726425973.db2.gz QKFMFEQIVLLGAV-UHFFFAOYSA-N -1 1 337.365 -0.471 20 0 EBADMM NC(=O)c1c[nH]c(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)c1 ZINC000998443782 726487564 /nfs/dbraw/zinc/48/75/64/726487564.db2.gz AXSOGEHASPVWQV-UHFFFAOYSA-N -1 1 329.316 -0.531 20 0 EBADMM CC(C)[C@@H]1C[C@@H]1C(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000998838308 726499493 /nfs/dbraw/zinc/49/94/93/726499493.db2.gz SGGRWXGXQJLSDO-QWRGUYRKSA-N -1 1 344.375 -0.350 20 0 EBADMM O=C(CC1OCCCO1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999012425 726504490 /nfs/dbraw/zinc/50/44/90/726504490.db2.gz YWYJHAKALLOUFA-SNVBAGLBSA-N -1 1 325.369 -0.256 20 0 EBADMM C[C@@H]1C[C@@H]1CC(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000999097658 726507595 /nfs/dbraw/zinc/50/75/95/726507595.db2.gz XXJBKSDZWOWIPL-RKDXNWHRSA-N -1 1 330.348 -0.596 20 0 EBADMM O=C(CO[C@@H]1CCOC1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999148842 726509075 /nfs/dbraw/zinc/50/90/75/726509075.db2.gz OIWRULCCCSYDLU-GHMZBOCLSA-N -1 1 325.369 -0.604 20 0 EBADMM O=C(CO[C@H]1CCOC1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999148845 726509084 /nfs/dbraw/zinc/50/90/84/726509084.db2.gz OIWRULCCCSYDLU-QWRGUYRKSA-N -1 1 325.369 -0.604 20 0 EBADMM CC[C@@]1(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CCNC1=O ZINC000999404410 726518674 /nfs/dbraw/zinc/51/86/74/726518674.db2.gz ZZDCPBPTDDWFSO-MEBBXXQBSA-N -1 1 336.396 -0.493 20 0 EBADMM Cn1ccc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC000999517205 726521301 /nfs/dbraw/zinc/52/13/01/726521301.db2.gz TYHIDPXNFNJSRC-LLVKDONJSA-N -1 1 332.364 -0.397 20 0 EBADMM CCN1CCOC[C@H]1C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999553450 726522714 /nfs/dbraw/zinc/52/27/14/726522714.db2.gz AJFIGTKOTVTDMM-NEPJUHHUSA-N -1 1 338.412 -0.688 20 0 EBADMM Cn1ccc(CN[C@@H]2CCCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC000999611679 726524802 /nfs/dbraw/zinc/52/48/02/726524802.db2.gz WNMSNTHQLUKXHB-SNVBAGLBSA-N -1 1 333.352 -0.589 20 0 EBADMM Cc1ncc(CN[C@@H]2CCCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC000999623199 726525194 /nfs/dbraw/zinc/52/51/94/726525194.db2.gz WTYDUCSJNCLZSJ-SECBINFHSA-N -1 1 334.336 -0.026 20 0 EBADMM O=C(c1cc2n(n1)CCCO2)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999676282 726527154 /nfs/dbraw/zinc/52/71/54/726527154.db2.gz WFJOKYHSAYXFPB-SNVBAGLBSA-N -1 1 347.379 -0.116 20 0 EBADMM COCCn1ccc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000999676278 726527173 /nfs/dbraw/zinc/52/71/73/726527173.db2.gz WESYUDLHKQTOSR-NSHDSACASA-N -1 1 349.395 -0.252 20 0 EBADMM CCC(=O)N1CC[C@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000999685518 726527720 /nfs/dbraw/zinc/52/77/20/726527720.db2.gz KSCHRAOASJZQTN-NSHDSACASA-N -1 1 346.387 -0.014 20 0 EBADMM NC(=O)c1cc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)co1 ZINC000999795064 726532334 /nfs/dbraw/zinc/53/23/34/726532334.db2.gz XXDYBBYOLPLDFO-VIFPVBQESA-N -1 1 334.336 -0.403 20 0 EBADMM Cn1nncc1CN[C@@H]1CCCN(C(=O)c2cnc([O-])n(C)c2=O)C1 ZINC000999863796 726536473 /nfs/dbraw/zinc/53/64/73/726536473.db2.gz IYBBJINZWIFEAW-SNVBAGLBSA-N -1 1 347.379 -0.991 20 0 EBADMM NC(=O)[C@@H]1CC[C@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000999925618 726542032 /nfs/dbraw/zinc/54/20/32/726542032.db2.gz PNDQMOYFCOCHIV-ZJUUUORDSA-N -1 1 332.360 -0.371 20 0 EBADMM CC(C)(C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)S(C)(=O)=O ZINC001000365486 726565689 /nfs/dbraw/zinc/56/56/89/726565689.db2.gz CJSJPWWHSFYJAW-VIFPVBQESA-N -1 1 345.425 -0.586 20 0 EBADMM O=C(c1nc2ncccn2n1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000508665 726569077 /nfs/dbraw/zinc/56/90/77/726569077.db2.gz XVCBWMCBCQCPOO-SECBINFHSA-N -1 1 343.351 -0.658 20 0 EBADMM Cc1nn(C)cc1C(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001000535525 726569922 /nfs/dbraw/zinc/56/99/22/726569922.db2.gz UMIPZEDDMNPMDQ-UHFFFAOYSA-N -1 1 345.407 -0.288 20 0 EBADMM Cn1cnc(C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)c1 ZINC001000579680 726572051 /nfs/dbraw/zinc/57/20/51/726572051.db2.gz GZNHGLDUUSVTLY-UHFFFAOYSA-N -1 1 331.380 -0.596 20 0 EBADMM CCn1ccnc1C(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001000631803 726573413 /nfs/dbraw/zinc/57/34/13/726573413.db2.gz VFEDNUYSNLECHU-UHFFFAOYSA-N -1 1 345.407 -0.113 20 0 EBADMM Cc1cn(C)nc1C(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001000672726 726574902 /nfs/dbraw/zinc/57/49/02/726574902.db2.gz AACAPWSUHCZODD-UHFFFAOYSA-N -1 1 345.407 -0.288 20 0 EBADMM O=C(c1noc2c1COCC2)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000972657 726585642 /nfs/dbraw/zinc/58/56/42/726585642.db2.gz SHLXVOIVRWUVKP-VIFPVBQESA-N -1 1 348.363 -0.035 20 0 EBADMM NC(=O)c1ncccc1C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000982563 726586098 /nfs/dbraw/zinc/58/60/98/726586098.db2.gz PAYNCBCDFOLBQI-SECBINFHSA-N -1 1 345.363 -0.601 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)nc1 ZINC001001050036 726589775 /nfs/dbraw/zinc/58/97/75/726589775.db2.gz JADFUMCMRYMRSL-SNVBAGLBSA-N -1 1 345.363 -0.601 20 0 EBADMM NC(=O)N1CCC[C@H]1C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001001069017 726590858 /nfs/dbraw/zinc/59/08/58/726590858.db2.gz ASPUVHRZICUSFU-UWVGGRQHSA-N -1 1 337.384 -0.866 20 0 EBADMM C[C@@H](C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)N1CCOCC1 ZINC001001089167 726591557 /nfs/dbraw/zinc/59/15/57/726591557.db2.gz ZDCCXMVINCMEJD-NWDGAFQWSA-N -1 1 338.412 -0.688 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)c3cc[nH]c(=O)c3)CC2)nc1=O ZINC001001291733 726600874 /nfs/dbraw/zinc/60/08/74/726600874.db2.gz KQFAYUYWKQXOOG-UHFFFAOYSA-N -1 1 346.391 -0.149 20 0 EBADMM Cc1nccc(C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC001001456881 726606985 /nfs/dbraw/zinc/60/69/85/726606985.db2.gz QJSZUFDAFNQEIY-UHFFFAOYSA-N -1 1 343.391 -0.231 20 0 EBADMM CN1CCOC[C@@H]1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001541008 726611200 /nfs/dbraw/zinc/61/12/00/726611200.db2.gz HUZZVBZWOAMROB-GFCCVEGCSA-N -1 1 334.376 -0.694 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)c3cn4c(n3)CCC4)CC2)nc1=O ZINC001002850207 726650843 /nfs/dbraw/zinc/65/08/43/726650843.db2.gz XNMOAFZWMSVXHQ-UHFFFAOYSA-N -1 1 345.407 -0.355 20 0 EBADMM Cn1cc(CN2CCC(NC(=O)c3n[nH]c(=O)[n-]c3=O)CC2)cn1 ZINC001003251204 726666768 /nfs/dbraw/zinc/66/67/68/726666768.db2.gz RVODCZWOSFQQGS-UHFFFAOYSA-N -1 1 333.352 -0.589 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CN(C(=O)C2CCC2)C1 ZINC001003313609 726668851 /nfs/dbraw/zinc/66/88/51/726668851.db2.gz ATPPNXACAZVZAP-UHFFFAOYSA-N -1 1 336.348 -0.115 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CN(C(=O)[C@@H]2C[C@H]2C)C1 ZINC001003313648 726668887 /nfs/dbraw/zinc/66/88/87/726668887.db2.gz BHQDCXUONNRYLU-VXNVDRBHSA-N -1 1 336.348 -0.259 20 0 EBADMM CC[C@@H](C)C(=O)N1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001003319342 726669518 /nfs/dbraw/zinc/66/95/18/726669518.db2.gz NHYHRJVHQWLCMC-SECBINFHSA-N -1 1 332.364 -0.348 20 0 EBADMM CCn1cc(CC(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)nn1 ZINC001003358074 726671206 /nfs/dbraw/zinc/67/12/06/726671206.db2.gz HLFRZMBVSZDZKE-UHFFFAOYSA-N -1 1 348.411 -0.957 20 0 EBADMM C[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)Cn1cnnn1 ZINC001004479737 726709282 /nfs/dbraw/zinc/70/92/82/726709282.db2.gz WFLYMPMKLPIHMK-GHMZBOCLSA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)Cn1cnnn1 ZINC001004479744 726709296 /nfs/dbraw/zinc/70/92/96/726709296.db2.gz WFLYMPMKLPIHMK-QWRGUYRKSA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)Cn1cnnn1 ZINC001004479748 726709345 /nfs/dbraw/zinc/70/93/45/726709345.db2.gz WFLYMPMKLPIHMK-WDEREUQCSA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)c1cnn(C)c1N ZINC001004816098 726717110 /nfs/dbraw/zinc/71/71/10/726717110.db2.gz ISRUKZDAGYBKQT-KOLCDFICSA-N -1 1 348.411 -0.001 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)Cn1nccn1 ZINC001004874195 726718345 /nfs/dbraw/zinc/71/83/45/726718345.db2.gz QTTUILRAWHDCCO-WDEREUQCSA-N -1 1 334.384 -0.339 20 0 EBADMM C[C@H]1CO[C@@H](C(=O)N(C)C2CCN(Cc3nc(=O)n(C)[n-]3)CC2)C1 ZINC001005734510 726740235 /nfs/dbraw/zinc/74/02/35/726740235.db2.gz QVTVMHYKOYKLKG-DGCLKSJQSA-N -1 1 337.424 -0.044 20 0 EBADMM C[C@H](NC(=O)[C@H]1CCC(=O)N1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005812426 726743227 /nfs/dbraw/zinc/74/32/27/726743227.db2.gz VAMFIOKRTXVEAO-GXSJLCMTSA-N -1 1 332.360 -0.358 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)c2c[nH]c(=O)cn2)C1 ZINC001005947638 726749349 /nfs/dbraw/zinc/74/93/49/726749349.db2.gz AQGXCAFKRAFZJF-VIFPVBQESA-N -1 1 343.343 -0.239 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)c2ncn(C)n2)C1 ZINC001005950914 726749711 /nfs/dbraw/zinc/74/97/11/726749711.db2.gz MFUNLTLAJLDFSU-VIFPVBQESA-N -1 1 330.348 -0.194 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CCNC2=O)C1 ZINC001005956541 726750079 /nfs/dbraw/zinc/75/00/79/726750079.db2.gz LLTPQWHEDKBORI-KOLCDFICSA-N -1 1 332.360 -0.500 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CCC(=O)NC2)C1 ZINC001005957870 726750112 /nfs/dbraw/zinc/75/01/12/726750112.db2.gz PZVKYFFZDRDXNY-MNOVXSKESA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)[C@H]2C[C@@H]2C)C1 ZINC001006468956 726770385 /nfs/dbraw/zinc/77/03/85/726770385.db2.gz SZPKQLSYLXGPAH-NGZCFLSTSA-N -1 1 344.375 -0.350 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H](C)C1CN(C(=O)C(N)=O)C1 ZINC001006552223 726773091 /nfs/dbraw/zinc/77/30/91/726773091.db2.gz QKYUPAWZPNZKHS-MRVPVSSYSA-N -1 1 349.391 -0.324 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)Cc3cncnc3)C2)nc1=O ZINC001007230880 726790744 /nfs/dbraw/zinc/79/07/44/726790744.db2.gz BQLVZJUXXZVUPE-GFCCVEGCSA-N -1 1 331.380 -0.778 20 0 EBADMM Cc1nnc(CC(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)o1 ZINC001007265775 726791306 /nfs/dbraw/zinc/79/13/06/726791306.db2.gz CWJCOYJRJIKMJC-SNVBAGLBSA-N -1 1 335.368 -0.877 20 0 EBADMM Cc1nn(C)cc1CC(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001007507551 726795307 /nfs/dbraw/zinc/79/53/07/726795307.db2.gz KPPQWGOSBGGWLR-CYBMUJFWSA-N -1 1 347.423 -0.526 20 0 EBADMM CCc1c[nH]c(CC(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001007526461 726795965 /nfs/dbraw/zinc/79/59/65/726795965.db2.gz BJJXQMCGENQNTJ-LBPRGKRZSA-N -1 1 347.423 -0.283 20 0 EBADMM Cc1ccnn1CC(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001008740636 726800120 /nfs/dbraw/zinc/80/01/20/726800120.db2.gz UIZKJNUXRIDHHX-GFCCVEGCSA-N -1 1 333.396 -0.606 20 0 EBADMM COC[C@@H](C)N1CCC[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001008891772 726823528 /nfs/dbraw/zinc/82/35/28/726823528.db2.gz JIXPIFKGSSVOHL-NEPJUHHUSA-N -1 1 348.407 -0.313 20 0 EBADMM C[C@H](O)CN1CCC[C@@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001008891884 726823725 /nfs/dbraw/zinc/82/37/25/726823725.db2.gz KUXUOJJNUMEEKE-WDEREUQCSA-N -1 1 334.380 -0.967 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2[C@H]3CN(C(=O)Cc4ccn[nH]4)C[C@H]32)c1[O-] ZINC001008956074 726834826 /nfs/dbraw/zinc/83/48/26/726834826.db2.gz DUNCEDLIEJWMOG-CNDDSTCGSA-N -1 1 344.375 -0.176 20 0 EBADMM O=C(Cn1nccn1)N1C[C@@H]2[C@@H](CNC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001008976707 726837816 /nfs/dbraw/zinc/83/78/16/726837816.db2.gz NPUXWFLGNGRXCB-CNDDSTCGSA-N -1 1 342.359 -0.487 20 0 EBADMM O=C(Cc1nnc[nH]1)N1C[C@@H]2[C@@H](CNC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001008989082 726839263 /nfs/dbraw/zinc/83/92/63/726839263.db2.gz HBRDSCWQTXTBMF-RTCCRHLQSA-N -1 1 342.359 -0.418 20 0 EBADMM COc1cccc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001009043165 726850086 /nfs/dbraw/zinc/85/00/86/726850086.db2.gz ACAUOTSSPVDISB-LLVKDONJSA-N -1 1 346.391 -0.094 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])[C@@H]1CN(C(=O)c2nc[nH]n2)CCO1 ZINC001009730127 726983061 /nfs/dbraw/zinc/98/30/61/726983061.db2.gz RFXVDYXFSFCXIV-ONGXEEELSA-N -1 1 346.347 -0.435 20 0 EBADMM C[C@H](C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1)c1cnn(C)c1 ZINC001009777816 726999858 /nfs/dbraw/zinc/99/98/58/726999858.db2.gz DUKZIAHRZFKPGU-AAEUAGOBSA-N -1 1 347.423 -0.274 20 0 EBADMM C[C@@H]1C[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)CN1C(=O)C1CC1 ZINC001009905277 727012707 /nfs/dbraw/zinc/01/27/07/727012707.db2.gz JPCMYBXFGGZOPJ-NXEZZACHSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(C(=O)c2ccoc2)CC1 ZINC001010340430 727074448 /nfs/dbraw/zinc/07/44/48/727074448.db2.gz OOVQDWBLVCNCOY-UHFFFAOYSA-N -1 1 346.343 -0.496 20 0 EBADMM COC(=O)[C@H]1C[C@@H]1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001010678196 727127282 /nfs/dbraw/zinc/12/72/82/727127282.db2.gz SSKRWVGHVHMXCN-QWRGUYRKSA-N -1 1 333.344 -0.119 20 0 EBADMM CCc1ncoc1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051816195 735411684 /nfs/dbraw/zinc/41/16/84/735411684.db2.gz HURFRECVGITFNS-VIFPVBQESA-N -1 1 336.352 -0.309 20 0 EBADMM CC(=O)N1C[C@]2(C)CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@]2(C)C1 ZINC001010904317 727165346 /nfs/dbraw/zinc/16/53/46/727165346.db2.gz ROJOBCZVCYKLIH-CALCHBBNSA-N -1 1 348.403 -0.356 20 0 EBADMM CCn1cc(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)nn1 ZINC001011035827 727186138 /nfs/dbraw/zinc/18/61/38/727186138.db2.gz SSBUEYOQDLUUNK-UHFFFAOYSA-N -1 1 330.348 -0.003 20 0 EBADMM C[C@]1(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)CCNC1=O ZINC001011035900 727186140 /nfs/dbraw/zinc/18/61/40/727186140.db2.gz IKACSZPGLPPBSO-INIZCTEOSA-N -1 1 332.360 -0.402 20 0 EBADMM CN1C(=O)CC[C@H]1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011036876 727186558 /nfs/dbraw/zinc/18/65/58/727186558.db2.gz XPDZBFADXRHPSE-NSHDSACASA-N -1 1 332.360 -0.308 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CCN1C(=O)CC(N)=O ZINC001011585290 727544969 /nfs/dbraw/zinc/54/49/69/727544969.db2.gz IGVRDHVSYVVHCE-GZMMTYOYSA-N -1 1 347.375 -0.346 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1ncn(C)n1 ZINC001011719855 727565743 /nfs/dbraw/zinc/56/57/43/727565743.db2.gz MQCGEEYMBDEIGM-UWVGGRQHSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@]1(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)CCOC1 ZINC001051887815 735446795 /nfs/dbraw/zinc/44/67/95/735446795.db2.gz IZBNIKYTLMZTLB-HZMBPMFUSA-N -1 1 325.369 -0.746 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1c[nH]c(=O)cn1 ZINC001012019568 727624254 /nfs/dbraw/zinc/62/42/54/727624254.db2.gz HVICFDZSNZEAPU-VHSXEESVSA-N -1 1 343.343 -0.097 20 0 EBADMM Cc1cc(C(=O)N2C[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C[C@H]2C)n[nH]1 ZINC001012353405 727656538 /nfs/dbraw/zinc/65/65/38/727656538.db2.gz BEIWYJYVNMBIJY-SFYZADRCSA-N -1 1 347.335 -0.653 20 0 EBADMM Cc1cc(C(=O)N2C[C@@H](NC(=O)Cn3c(=O)[n-][nH]c3=O)C[C@@H]2C)[nH]n1 ZINC001012357769 727657013 /nfs/dbraw/zinc/65/70/13/727657013.db2.gz WHIKONXGWQDWGN-IUCAKERBSA-N -1 1 349.351 -0.860 20 0 EBADMM Cc1cc(C(=O)N2C[C@H](NC(=O)Cn3c(=O)[n-][nH]c3=O)C[C@@H]2C)[nH]n1 ZINC001012357768 727657038 /nfs/dbraw/zinc/65/70/38/727657038.db2.gz WHIKONXGWQDWGN-DTWKUNHWSA-N -1 1 349.351 -0.860 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2cnn(C)n2)CN1C(=O)c1ncccc1[O-] ZINC001012505426 727673186 /nfs/dbraw/zinc/67/31/86/727673186.db2.gz DNYVBCDECNKEDY-VHSXEESVSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2nnn(C)n2)CN1C(=O)c1ncccc1[O-] ZINC001012506344 727673214 /nfs/dbraw/zinc/67/32/14/727673214.db2.gz NUKSPDOYBVNLFL-IUCAKERBSA-N -1 1 331.336 -0.656 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)Cn2cnnn2)CN1C(=O)c1ncccc1[O-] ZINC001012507296 727673537 /nfs/dbraw/zinc/67/35/37/727673537.db2.gz SMRUKZJVEMTFGJ-VHSXEESVSA-N -1 1 331.336 -0.807 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2cnnn2C)CN1C(=O)c1ncccc1[O-] ZINC001012514390 727674137 /nfs/dbraw/zinc/67/41/37/727674137.db2.gz YDNFBWKNASLVHP-ZJUUUORDSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)Cn1nccn1 ZINC001012716059 727697604 /nfs/dbraw/zinc/69/76/04/727697604.db2.gz IHEQFSYUHQRTMR-GHMZBOCLSA-N -1 1 330.348 -0.202 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)C2CCC2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001013238315 727785975 /nfs/dbraw/zinc/78/59/75/727785975.db2.gz CPSMNYIDHQZENT-DGCLKSJQSA-N -1 1 348.403 -0.168 20 0 EBADMM CC(C)C(=O)N[C@@H]1C[C@@H](C)N(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001013238452 727785997 /nfs/dbraw/zinc/78/59/97/727785997.db2.gz DPQCMACDDGUEFY-VXGBXAGGSA-N -1 1 336.392 -0.312 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)CC2CC2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001013325085 727793885 /nfs/dbraw/zinc/79/38/85/727793885.db2.gz IKELOQSHSSEIEP-GXSJLCMTSA-N -1 1 344.375 -0.063 20 0 EBADMM C[C@H](C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1)c1cnn(C)c1 ZINC001051956407 735483396 /nfs/dbraw/zinc/48/33/96/735483396.db2.gz NHOTVVHWMKTSSA-CMPLNLGQSA-N -1 1 349.395 -0.636 20 0 EBADMM Cc1nn(C)cc1CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001014752723 728004862 /nfs/dbraw/zinc/00/48/62/728004862.db2.gz MQPNVZFITUHSMU-LBPRGKRZSA-N -1 1 333.396 -0.916 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cnc4[nH]ccc4c3)C2)nc1=O ZINC001014829755 728016635 /nfs/dbraw/zinc/01/66/35/728016635.db2.gz SFBPGLSLTYUNCQ-GFCCVEGCSA-N -1 1 341.375 -0.059 20 0 EBADMM CN(C)c1ccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001015049355 728063423 /nfs/dbraw/zinc/06/34/23/728063423.db2.gz KIFNEJLGABVYKE-LBPRGKRZSA-N -1 1 345.407 -0.426 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CCCN1C(=O)C1CC1 ZINC001015086380 728075847 /nfs/dbraw/zinc/07/58/47/728075847.db2.gz VVIBXVAWYWXUFM-ONGXEEELSA-N -1 1 344.375 -0.063 20 0 EBADMM O=C(N[C@@H]1CCN(CCO[C@@H]2CC2(F)F)C1)c1n[nH]c(=O)[n-]c1=O ZINC001015116411 728083648 /nfs/dbraw/zinc/08/36/48/728083648.db2.gz BLBHVFPNUWYBGW-HTQZYQBOSA-N -1 1 345.306 -0.489 20 0 EBADMM Cc1nc([C@H](C)N2CC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001015117824 728083847 /nfs/dbraw/zinc/08/38/47/728083847.db2.gz HAOJCMJDCHMNAF-POYBYMJQSA-N -1 1 334.340 -0.725 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@@H]3C[C@H]3C3CCOCC3)C2)nc1=O ZINC001015243711 728119328 /nfs/dbraw/zinc/11/93/28/728119328.db2.gz VCLONUOALZSWSI-HZSPNIEDSA-N -1 1 349.435 -0.138 20 0 EBADMM COc1coc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cc1=O ZINC001015278051 728393866 /nfs/dbraw/zinc/39/38/66/728393866.db2.gz JMIRKZRBGNBLNS-VIFPVBQESA-N -1 1 349.347 -0.926 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)Cc3ncccc3F)C2)nc1=O ZINC001015614085 728424615 /nfs/dbraw/zinc/42/46/15/728424615.db2.gz QVLKAGQFKWDTPN-SNVBAGLBSA-N -1 1 334.355 -0.424 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3noc4c3CCCC4)C2)nc1=O ZINC001015746539 728431065 /nfs/dbraw/zinc/43/10/65/728431065.db2.gz SCKAIJSHGNTZMC-JTQLQIEISA-N -1 1 346.391 -0.021 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cnn4c3CCCC4)C2)nc1=O ZINC001015951964 728439821 /nfs/dbraw/zinc/43/98/21/728439821.db2.gz UOJMSRYVNHBRDL-LLVKDONJSA-N -1 1 345.407 -0.355 20 0 EBADMM Cc1ncc(C(=O)N(C)C2CC(NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC001016330608 728457331 /nfs/dbraw/zinc/45/73/31/728457331.db2.gz RYYKFPIERJXSGV-UHFFFAOYSA-N -1 1 347.335 -0.653 20 0 EBADMM CN(C)c1ccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nc1 ZINC001016369401 728464347 /nfs/dbraw/zinc/46/43/47/728464347.db2.gz ZVXIZLRGNXMKAU-NSHDSACASA-N -1 1 345.407 -0.426 20 0 EBADMM Cc1nn[nH]c1C(=O)N(C)C1CC(NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001016823368 728512185 /nfs/dbraw/zinc/51/21/85/728512185.db2.gz DPMLVLOPSFUKBN-UHFFFAOYSA-N -1 1 333.352 -0.117 20 0 EBADMM CN(C(=O)[C@@H]1CCN(C)C1=O)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016889894 728522856 /nfs/dbraw/zinc/52/28/56/728522856.db2.gz YSURIHKXSXDZSU-HTAVTVPLSA-N -1 1 346.387 -0.015 20 0 EBADMM CN(C(=O)[C@H]1CNC(=O)N1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016943129 728529152 /nfs/dbraw/zinc/52/91/52/728529152.db2.gz FQHKXHUOTMSWBG-UDNWOFFPSA-N -1 1 333.348 -0.812 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)C[C@@H]2CCOC2)nc1=O ZINC001017432901 728576216 /nfs/dbraw/zinc/57/62/16/728576216.db2.gz UJLVCLJQNYDUNM-RWMBFGLXSA-N -1 1 335.408 -0.290 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)C2=CCOCC2)nc1=O ZINC001017493533 728580908 /nfs/dbraw/zinc/58/09/08/728580908.db2.gz DAPDUOLXQSZJOA-BETUJISGSA-N -1 1 333.392 -0.370 20 0 EBADMM C[C@@H]1CN(C(=O)C(N)=O)C[C@@]2(CCN(C(=O)c3ncccc3[O-])C2)O1 ZINC001017852984 728619121 /nfs/dbraw/zinc/61/91/21/728619121.db2.gz ZLVJRPGRBWGDCU-HWPZZCPQSA-N -1 1 348.359 -0.896 20 0 EBADMM CCC(=O)N[C@@H]1CC[C@@H](C)N(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001017863590 728620896 /nfs/dbraw/zinc/62/08/96/728620896.db2.gz JYUGWULKGQGBHP-NXEZZACHSA-N -1 1 332.364 -0.063 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)Cn2cnnn2)CN1C(=O)c1ncccc1[O-] ZINC001017960000 728625067 /nfs/dbraw/zinc/62/50/67/728625067.db2.gz CJKCHFPCNKRVIC-QWRGUYRKSA-N -1 1 345.363 -0.417 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CN1C(=O)C(N)=O ZINC001018160569 728639663 /nfs/dbraw/zinc/63/96/63/728639663.db2.gz JHHZAAWAWACULE-PSASIEDQSA-N -1 1 347.375 -0.346 20 0 EBADMM CC(=O)NC[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)CC[C@H]1C ZINC001018589780 728678016 /nfs/dbraw/zinc/67/80/16/728678016.db2.gz KHULUVKTGFSTNN-DGCLKSJQSA-N -1 1 336.392 -0.453 20 0 EBADMM Cc1nn(C)c(C)c1OCC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001018853718 728695250 /nfs/dbraw/zinc/69/52/50/728695250.db2.gz YLZFMWZPSZYKIS-NSHDSACASA-N -1 1 349.395 -0.370 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2ncccc2[O-])CCCO1)c1nnc[nH]1 ZINC001019644595 728956128 /nfs/dbraw/zinc/95/61/28/728956128.db2.gz GMAALFUYFLLALE-JTQLQIEISA-N -1 1 346.347 -0.434 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N1CC[C@H](NCc2cc3n(n2)CCC3)C1 ZINC001019710045 728975702 /nfs/dbraw/zinc/97/57/02/728975702.db2.gz FBBNIAUDLLITOT-JTQLQIEISA-N -1 1 347.379 -0.777 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@H]1CCC(=O)N1 ZINC001020122037 729076452 /nfs/dbraw/zinc/07/64/52/729076452.db2.gz GTDQPVBHUXXVHU-GARJFASQSA-N -1 1 332.360 -0.215 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CC[C@H](CNc2ncccn2)C1 ZINC001060894469 738409959 /nfs/dbraw/zinc/40/99/59/738409959.db2.gz MRMRGLQPRLUXHU-SNVBAGLBSA-N -1 1 340.347 -0.218 20 0 EBADMM Cc1nn(C)c(C)c1CC(=O)N[C@H]1C[C@H]([NH2+]Cc2nc(=O)n(C)[n-]2)C1 ZINC001020444157 729168633 /nfs/dbraw/zinc/16/86/33/729168633.db2.gz RZHDBOXNLKTELC-HAQNSBGRSA-N -1 1 347.423 -0.562 20 0 EBADMM Cc1cc(CN[C@H]2C[C@H](NC(=O)c3cnc([O-])n(C)c3=O)C2)nn1C ZINC001020459211 729175334 /nfs/dbraw/zinc/17/53/34/729175334.db2.gz CFFBHCBFBJKBKK-XYPYZODXSA-N -1 1 346.391 -0.422 20 0 EBADMM C[C@]1(C(=O)N[C@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C2)CCCS1(=O)=O ZINC001020734533 729224864 /nfs/dbraw/zinc/22/48/64/729224864.db2.gz HNJJUTQFGNREFG-JRKPZEMJSA-N -1 1 343.409 -0.786 20 0 EBADMM O=C(N[C@H]1C[C@H](NCc2cnsn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001020925243 729256881 /nfs/dbraw/zinc/25/68/81/729256881.db2.gz MCBXHSURGIQJJJ-ZKCHVHJHSA-N -1 1 346.376 -0.680 20 0 EBADMM O=C(NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1)[C@H]1CCNC(=O)C1 ZINC001021226305 729295515 /nfs/dbraw/zinc/29/55/15/729295515.db2.gz QHXUJERQLNWJQA-YEJSDXFRSA-N -1 1 348.407 -0.352 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)n1 ZINC001021541635 729321059 /nfs/dbraw/zinc/32/10/59/729321059.db2.gz BJOOXGXKWAZZOF-WDEREUQCSA-N -1 1 342.359 -0.098 20 0 EBADMM Cn1ncc(C(=O)N2CC[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)n1 ZINC001022151866 729460318 /nfs/dbraw/zinc/46/03/18/729460318.db2.gz KZEREVVXJWKNMQ-CMPLNLGQSA-N -1 1 342.359 -0.098 20 0 EBADMM CCCC(=O)N1C[C@@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)[C@@H]2C1 ZINC001022164022 729462629 /nfs/dbraw/zinc/46/26/29/729462629.db2.gz REZULXFPOINJHW-QWHCGFSZSA-N -1 1 348.403 -0.214 20 0 EBADMM Cc1cc(CN[C@H]2C[C@@H](NC(=O)c3cnc([O-])n(C)c3=O)C2)on1 ZINC001022528715 729503834 /nfs/dbraw/zinc/50/38/34/729503834.db2.gz LTDXCABJWDYNFU-AOOOYVTPSA-N -1 1 333.348 -0.167 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)c1cnccn1 ZINC001022949976 729560446 /nfs/dbraw/zinc/56/04/46/729560446.db2.gz FEZMBTIEOYTINL-ZYHUDNBSSA-N -1 1 329.316 -0.808 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)no1 ZINC001022997560 729574943 /nfs/dbraw/zinc/57/49/43/729574943.db2.gz MMRKOFPAZWYOCC-ZYHUDNBSSA-N -1 1 332.316 -0.301 20 0 EBADMM Cc1cnn(CC(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)c1 ZINC001023151466 729621365 /nfs/dbraw/zinc/62/13/65/729621365.db2.gz JFJUDDIQNKHJOY-DGCLKSJQSA-N -1 1 345.359 -0.706 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](CNC(=O)c3cnccn3)C2)nc1=O ZINC001023207480 729637086 /nfs/dbraw/zinc/63/70/86/729637086.db2.gz WXGBATNSWQDRCD-LLVKDONJSA-N -1 1 331.380 -0.460 20 0 EBADMM Cn1cc(C(=O)NC[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001023223025 729642922 /nfs/dbraw/zinc/64/29/22/729642922.db2.gz ICVNTCREYFIWKS-LLVKDONJSA-N -1 1 333.396 -0.516 20 0 EBADMM Cc1c(C(=O)NC[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001023236601 729646742 /nfs/dbraw/zinc/64/67/42/729646742.db2.gz DQCCDMNYZLEVMQ-GFCCVEGCSA-N -1 1 347.423 -0.208 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)c1ccncc1F ZINC001023266082 729652868 /nfs/dbraw/zinc/65/28/68/729652868.db2.gz ONJMPJYADPNFQW-DGCLKSJQSA-N -1 1 346.318 -0.063 20 0 EBADMM CCn1nncc1C(=O)NC[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001023358545 729670728 /nfs/dbraw/zinc/67/07/28/729670728.db2.gz KTGYASJLBVQPIX-LLVKDONJSA-N -1 1 348.411 -0.638 20 0 EBADMM CCc1ocnc1C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023488288 729702173 /nfs/dbraw/zinc/70/21/73/729702173.db2.gz AOHGQFRVFIJWLJ-MWLCHTKSSA-N -1 1 346.343 -0.047 20 0 EBADMM Cn1nccc1CC(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023488647 729702456 /nfs/dbraw/zinc/70/24/56/729702456.db2.gz QQPBDABHCFSJCA-DGCLKSJQSA-N -1 1 345.359 -0.935 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)[C@@H]2CCCOC2)C[C@H]1O)c1ncccc1[O-] ZINC001023488565 729702505 /nfs/dbraw/zinc/70/25/05/729702505.db2.gz NIOSVLZUYAXOQG-NQBHXWOUSA-N -1 1 335.360 -0.485 20 0 EBADMM Cc1nc(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)c[nH]1 ZINC001023488603 729702603 /nfs/dbraw/zinc/70/26/03/729702603.db2.gz PBARTSOSVXEVRT-ZYHUDNBSSA-N -1 1 331.332 -0.566 20 0 EBADMM CC(C)(C)C(=O)C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023488558 729702623 /nfs/dbraw/zinc/70/26/23/729702623.db2.gz NDBDUAVGCLMBHG-MWLCHTKSSA-N -1 1 335.360 -0.296 20 0 EBADMM O=C(C[C@@H]1CCCO1)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023488541 729702641 /nfs/dbraw/zinc/70/26/41/729702641.db2.gz MQNJVIBMKAJTDW-DMDPSCGWSA-N -1 1 335.360 -0.342 20 0 EBADMM CC1(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)CCOCC1 ZINC001023488610 729702649 /nfs/dbraw/zinc/70/26/49/729702649.db2.gz PGEWCERRLNTHDY-DGCLKSJQSA-N -1 1 349.387 -0.095 20 0 EBADMM Cc1cncn1CC(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023492195 729704105 /nfs/dbraw/zinc/70/41/05/729704105.db2.gz JNUAGNOBINLWCV-DGCLKSJQSA-N -1 1 345.359 -0.706 20 0 EBADMM CC[C@@H]1CCO[C@@H]1C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023493853 729704476 /nfs/dbraw/zinc/70/44/76/729704476.db2.gz OQXYSESWPNUNLR-CVMIBZJCSA-N -1 1 349.387 -0.096 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](CNC(=O)c3csnn3)C2)nc1=O ZINC001023514642 729709729 /nfs/dbraw/zinc/70/97/29/729709729.db2.gz OLRSNSFEVYXZNS-SECBINFHSA-N -1 1 337.409 -0.398 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](CNC(=O)c3ccc(=O)[nH]c3)C2)nc1=O ZINC001023733607 729759757 /nfs/dbraw/zinc/75/97/57/729759757.db2.gz QEHGUPCIOKLKLF-LLVKDONJSA-N -1 1 346.391 -0.149 20 0 EBADMM Cn1ccc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)n1 ZINC001023785220 729798164 /nfs/dbraw/zinc/79/81/64/729798164.db2.gz GSHFRZINSCSDLC-ZYHUDNBSSA-N -1 1 331.332 -0.864 20 0 EBADMM Cn1nccc1C(=O)NC[C@@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001024359776 729877051 /nfs/dbraw/zinc/87/70/51/729877051.db2.gz GSTKMSJGJSTAFN-NSHDSACASA-N -1 1 333.396 -0.374 20 0 EBADMM Cn1cnc(C(=O)NC[C@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)c1 ZINC001024392797 729881225 /nfs/dbraw/zinc/88/12/25/729881225.db2.gz WMCFRDZFTJQXBX-LLVKDONJSA-N -1 1 333.396 -0.374 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H]2CNC(=O)c2cncnc2)nc1=O ZINC001024406591 729882739 /nfs/dbraw/zinc/88/27/39/729882739.db2.gz YVVSPPKSUPPRID-LBPRGKRZSA-N -1 1 331.380 -0.317 20 0 EBADMM Cc1n[nH]cc1C(=O)NC[C@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001024586230 729907960 /nfs/dbraw/zinc/90/79/60/729907960.db2.gz MGLZMSQODLATLB-LLVKDONJSA-N -1 1 333.396 -0.076 20 0 EBADMM Cc1nonc1CC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001025426276 730040581 /nfs/dbraw/zinc/04/05/81/730040581.db2.gz VVZSPFHMXHCDOU-ZYHUDNBSSA-N -1 1 347.331 -0.977 20 0 EBADMM CCc1nocc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001025513168 730050859 /nfs/dbraw/zinc/05/08/59/730050859.db2.gz HVFFQOCEWPNCMH-DGCLKSJQSA-N -1 1 346.343 -0.047 20 0 EBADMM Cc1nc(C)c(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)[nH]1 ZINC001025699226 730074101 /nfs/dbraw/zinc/07/41/01/730074101.db2.gz OYBSJTNVBMDSPE-ZYHUDNBSSA-N -1 1 345.359 -0.258 20 0 EBADMM C[C@@H]1CC[C@H](C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)O1 ZINC001025757287 730084624 /nfs/dbraw/zinc/08/46/24/730084624.db2.gz SQFPWMLHIUAZEW-FPQZTECRSA-N -1 1 335.360 -0.344 20 0 EBADMM CO[C@@H]1CCC[C@@H]1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001025822915 730098017 /nfs/dbraw/zinc/09/80/17/730098017.db2.gz LFMMJTNWCMJRTL-YCGPCKTQSA-N -1 1 335.408 -0.530 20 0 EBADMM CO[C@@H]1CC[C@H](C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)C1 ZINC001025825371 730098449 /nfs/dbraw/zinc/09/84/49/730098449.db2.gz YBTLRUPLAWEIGP-SVPLCASGSA-N -1 1 335.408 -0.530 20 0 EBADMM C[C@@H]1CC[C@H](CC(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)O1 ZINC001026003937 730115807 /nfs/dbraw/zinc/11/58/07/730115807.db2.gz HXFDPAOBMQYAPZ-CYRTVHNOSA-N -1 1 335.408 -0.388 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1C[C@@H]2CC[C@@H](NCc3ccon3)[C@H]2C1 ZINC001026305436 730136600 /nfs/dbraw/zinc/13/66/00/730136600.db2.gz ZFXOLAMGLVWHHI-INTQDDNPSA-N -1 1 346.347 -0.089 20 0 EBADMM O=C(NC1CCC(NCc2n[nH]c(=O)[n-]2)CC1)C1CS(=O)(=O)C1 ZINC001026499906 730147321 /nfs/dbraw/zinc/14/73/21/730147321.db2.gz BIUHWVQSTYZYIN-UHFFFAOYSA-N -1 1 343.409 -0.928 20 0 EBADMM Cc1nnc(CNC2CCC(NC(=O)c3n[nH]c(=O)[n-]c3=O)CC2)[nH]1 ZINC001026522632 730148425 /nfs/dbraw/zinc/14/84/25/730148425.db2.gz QBPGGQHSIXIFBI-UHFFFAOYSA-N -1 1 348.367 -0.460 20 0 EBADMM Cc1noc([C@H](C)NC[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001026940952 730173861 /nfs/dbraw/zinc/17/38/61/730173861.db2.gz WACOIRSIHPXVRM-CBAPKCEASA-N -1 1 349.351 -0.213 20 0 EBADMM Cc1ncncc1C(=O)NC[C@@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001027763467 730237393 /nfs/dbraw/zinc/23/73/93/730237393.db2.gz JQNWETXGCJJIJB-NSHDSACASA-N -1 1 331.380 -0.399 20 0 EBADMM Cc1[nH]nc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)c1C ZINC001027800710 730239664 /nfs/dbraw/zinc/23/96/64/730239664.db2.gz YXEDHECUBMJMPM-LLVKDONJSA-N -1 1 333.396 -0.157 20 0 EBADMM Cc1cn(C)nc1C(=O)NC[C@@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001027879938 730245390 /nfs/dbraw/zinc/24/53/90/730245390.db2.gz CMTSZQZIHBQZHY-NSHDSACASA-N -1 1 333.396 -0.455 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H]2CNC(=O)c2cn(C)ccc2=O)nc1=O ZINC001028017602 730258010 /nfs/dbraw/zinc/25/80/10/730258010.db2.gz VPAGKMXJHRLONW-NSHDSACASA-N -1 1 346.391 -0.799 20 0 EBADMM CC[C@H](C(N)=O)N1CCC[C@H]1CNC(=O)c1c[n-]n2c1nccc2=O ZINC001028186837 730272761 /nfs/dbraw/zinc/27/27/61/730272761.db2.gz UIOCOPPDMDXAGQ-CMPLNLGQSA-N -1 1 346.391 -0.519 20 0 EBADMM CCn1cnc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)c1 ZINC001028267972 730279063 /nfs/dbraw/zinc/27/90/63/730279063.db2.gz POELKHVZRNBHRF-LLVKDONJSA-N -1 1 333.396 -0.281 20 0 EBADMM CS(=O)(=O)CCN1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001028499895 730301473 /nfs/dbraw/zinc/30/14/73/730301473.db2.gz MQJAYJAZCHXUDH-LLVKDONJSA-N -1 1 327.406 -0.117 20 0 EBADMM C[C@H](c1cnccn1)N1CC[C@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001028660729 730333542 /nfs/dbraw/zinc/33/35/42/730333542.db2.gz BRLVPNDKNVXNKW-NXEZZACHSA-N -1 1 345.363 -0.114 20 0 EBADMM Cc1ncc(CN2CC[C@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)cn1 ZINC001028661980 730334747 /nfs/dbraw/zinc/33/47/47/730334747.db2.gz RKHYHMCGKVYYIS-SNVBAGLBSA-N -1 1 345.363 -0.367 20 0 EBADMM O=C(NC[C@@H]1CCN(Cc2cncs2)C1)c1n[nH]c(=O)[n-]c1=O ZINC001028661794 730334844 /nfs/dbraw/zinc/33/48/44/730334844.db2.gz PITFJRCFKRTRHA-QMMMGPOBSA-N -1 1 336.377 -0.009 20 0 EBADMM COc1cnc(C(=O)NC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nc1 ZINC001028678434 730337540 /nfs/dbraw/zinc/33/75/40/730337540.db2.gz JOWFQLGSEMHEBL-SNVBAGLBSA-N -1 1 347.379 -0.841 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)[C@@H]3CCO[C@H]3C3CC3)C2)nc1=O ZINC001028701997 730342133 /nfs/dbraw/zinc/34/21/33/730342133.db2.gz MULYNOXAWQZTBJ-KYOSRNDESA-N -1 1 349.435 -0.138 20 0 EBADMM CCc1ncoc1C(=O)NC[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001028786541 730356555 /nfs/dbraw/zinc/35/65/55/730356555.db2.gz AESNYRQNJGAJAO-SNVBAGLBSA-N -1 1 334.380 -0.089 20 0 EBADMM Cc1nc(C(=O)NC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c(C)o1 ZINC001028888316 730367539 /nfs/dbraw/zinc/36/75/39/730367539.db2.gz ALXOKEVQXKSYIB-LLVKDONJSA-N -1 1 334.380 -0.035 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)c3c[nH]nc3C3CC3)C2)nc1=O ZINC001028905127 730369122 /nfs/dbraw/zinc/36/91/22/730369122.db2.gz GFJITFHEEKEAOT-SNVBAGLBSA-N -1 1 345.407 -0.039 20 0 EBADMM CCn1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)n1 ZINC001029503912 730408282 /nfs/dbraw/zinc/40/82/82/730408282.db2.gz PZPKHWFXGZQINR-TXEJJXNPSA-N -1 1 345.407 -0.186 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)c2cc[n+]([O-])cc2)C1 ZINC001029637708 730478231 /nfs/dbraw/zinc/47/82/31/730478231.db2.gz FKHCKPBISWBGFX-LLVKDONJSA-N -1 1 332.364 -0.262 20 0 EBADMM Cc1c(C(=O)N2CC[C@@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)nnn1C ZINC001029684744 730483488 /nfs/dbraw/zinc/48/34/88/730483488.db2.gz BGOULNGYIMOYSA-JTQLQIEISA-N -1 1 334.384 -0.459 20 0 EBADMM CCn1ncc(C(=O)N2CC[C@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001029731191 730490170 /nfs/dbraw/zinc/49/01/70/730490170.db2.gz SAPRXZFJKYLGOR-SNVBAGLBSA-N -1 1 334.384 -0.284 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)[C@H]2CCCC(=O)N2)C1 ZINC001029763742 730497888 /nfs/dbraw/zinc/49/78/88/730497888.db2.gz LQDDQJWXKAUGAF-WDEREUQCSA-N -1 1 336.396 -0.541 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001029773547 730498809 /nfs/dbraw/zinc/49/88/09/730498809.db2.gz CYIIRDFZXIOLJE-GHMZBOCLSA-N -1 1 336.396 -0.683 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)c2cccc(=O)n2C)C1 ZINC001029835562 730510208 /nfs/dbraw/zinc/51/02/08/730510208.db2.gz SZRWQVOGUYZTBM-LLVKDONJSA-N -1 1 346.391 -0.197 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)[C@@]2(C)CCNC2=O)C1 ZINC001029838994 730511332 /nfs/dbraw/zinc/51/13/32/730511332.db2.gz RXXAMEPIONCDTJ-BMIGLBTASA-N -1 1 336.396 -0.683 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)Cn2nccn2)CC1 ZINC001029969070 730529655 /nfs/dbraw/zinc/52/96/55/730529655.db2.gz RXHFRPQPUWUQEV-UHFFFAOYSA-N -1 1 334.384 -0.528 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)c2cn[nH]c(=O)c2)CC1 ZINC001029972391 730530143 /nfs/dbraw/zinc/53/01/43/730530143.db2.gz ONANWSSEKIMCCN-UHFFFAOYSA-N -1 1 347.379 -0.010 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)C2(C(N)=O)CC2)CC1 ZINC001029991158 730533476 /nfs/dbraw/zinc/53/34/76/730533476.db2.gz DRDLKPMDSMBCBE-UHFFFAOYSA-N -1 1 336.396 -0.554 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)C3(c4ccccn4)CC3)C2)nc1=O ZINC001030308197 730565289 /nfs/dbraw/zinc/56/52/89/730565289.db2.gz TVHSWALGYBWFSK-UHFFFAOYSA-N -1 1 328.376 -0.464 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@H]3CCc4ncncc4C3)C2)nc1=O ZINC001030426588 730583416 /nfs/dbraw/zinc/58/34/16/730583416.db2.gz ORSHSWUXQOABJP-JTQLQIEISA-N -1 1 343.391 -0.996 20 0 EBADMM C[C@@H]1CCc2nc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)cn2C1 ZINC001030521475 730598663 /nfs/dbraw/zinc/59/86/63/730598663.db2.gz IDBHFMKBFYSSMS-SNVBAGLBSA-N -1 1 345.407 -0.499 20 0 EBADMM COCc1sccc1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030527233 730600033 /nfs/dbraw/zinc/60/00/33/730600033.db2.gz NZUVTZPGDIIWGS-UHFFFAOYSA-N -1 1 337.405 -0.070 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)C(C)(C)c3cccnc3)C2)nc1=O ZINC001030582664 730608253 /nfs/dbraw/zinc/60/82/53/730608253.db2.gz IYPITPOSVXSWFS-UHFFFAOYSA-N -1 1 330.392 -0.218 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cc4c(cn3)CCCC4)C2)nc1=O ZINC001030649657 730619601 /nfs/dbraw/zinc/61/96/01/730619601.db2.gz YDUPGXUDQXMCFR-UHFFFAOYSA-N -1 1 342.403 -0.004 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@H]3Cc4ccc(F)cc43)C2)nc1=O ZINC001030847930 730647460 /nfs/dbraw/zinc/64/74/60/730647460.db2.gz OLOJRURKEPLWPN-ZDUSSCGKSA-N -1 1 331.351 -0.112 20 0 EBADMM CCCOc1cccnc1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030893032 730652748 /nfs/dbraw/zinc/65/27/48/730652748.db2.gz POGZLXOJCIHWHW-UHFFFAOYSA-N -1 1 346.391 -0.094 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@@H]3CCCc4nc[nH]c43)C2)nc1=O ZINC001030933854 730654946 /nfs/dbraw/zinc/65/49/46/730654946.db2.gz UTLABINTAJDAAA-SNVBAGLBSA-N -1 1 331.380 -0.748 20 0 EBADMM Cn1nc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c2c1CCCC2 ZINC001030985978 730662793 /nfs/dbraw/zinc/66/27/93/730662793.db2.gz UHCXJFVZTAWHGH-UHFFFAOYSA-N -1 1 345.407 -0.665 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ccc4c(c3)CCO4)C2)nc1=O ZINC001030996774 730663851 /nfs/dbraw/zinc/66/38/51/730663851.db2.gz JZBFSKJOTHCUEQ-UHFFFAOYSA-N -1 1 329.360 -0.343 20 0 EBADMM O=C(NC1CN(CCn2cncn2)C1)c1cnc(C2CC2)[n-]c1=O ZINC001031060372 730669845 /nfs/dbraw/zinc/66/98/45/730669845.db2.gz CUQNHHGEFMNCFK-UHFFFAOYSA-N -1 1 329.364 -0.235 20 0 EBADMM Cc1nc([C@H](C)N2CC(NC(=O)c3c[n-]n4c3nccc4=O)C2)n[nH]1 ZINC001031067653 730670429 /nfs/dbraw/zinc/67/04/29/730670429.db2.gz ALVYLRSZYQECIK-QMMMGPOBSA-N -1 1 342.363 -0.376 20 0 EBADMM O=C(NC1CN(CC[C@@H]2CCOC2)C1)c1c[n-]n2c1nccc2=O ZINC001031068302 730670604 /nfs/dbraw/zinc/67/06/04/730670604.db2.gz FWQHWRUTXKBURA-LLVKDONJSA-N -1 1 331.376 -0.137 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3coc(C4CCC4)n3)C2)nc1=O ZINC001031222319 730688885 /nfs/dbraw/zinc/68/88/85/730688885.db2.gz QICLKXPQRWCSEL-UHFFFAOYSA-N -1 1 332.364 -0.022 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN([C@@H](C)c2ncccn2)C1 ZINC001031249734 730693446 /nfs/dbraw/zinc/69/34/46/730693446.db2.gz FWUMCRUWTQPWDB-QMMMGPOBSA-N -1 1 346.347 -0.138 20 0 EBADMM CCn1ncc(CN2CC(NC(=O)c3[nH]c(=O)[n-]c(=O)c3OC)C2)n1 ZINC001031249921 730693763 /nfs/dbraw/zinc/69/37/63/730693763.db2.gz LSBVXGJWMXYZOQ-UHFFFAOYSA-N -1 1 349.351 -0.878 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(CCc2cnn(C)c2)C1 ZINC001031249972 730693886 /nfs/dbraw/zinc/69/38/86/730693886.db2.gz NIBGOWUMDULQPH-UHFFFAOYSA-N -1 1 348.363 -0.713 20 0 EBADMM Cc1nc(CN2CC(NC(=O)c3ccc4oc(=O)nc-4[n-]3)C2)n[nH]1 ZINC001031251579 730695164 /nfs/dbraw/zinc/69/51/64/730695164.db2.gz QOHDQYKAFYBSQP-UHFFFAOYSA-N -1 1 329.320 -0.031 20 0 EBADMM Cc1cc(CN2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)sn1 ZINC001031253484 730695535 /nfs/dbraw/zinc/69/55/35/730695535.db2.gz OXAVKDDPMNUFBZ-UHFFFAOYSA-N -1 1 345.388 -0.203 20 0 EBADMM O=C(NC1CN(C[C@H]2CCCCO2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001031252422 730695723 /nfs/dbraw/zinc/69/57/23/730695723.db2.gz GFPIOPINBJQSOB-LLVKDONJSA-N -1 1 332.364 -0.599 20 0 EBADMM Cc1cc(=O)[nH]c(C)c1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031422458 730721070 /nfs/dbraw/zinc/72/10/70/730721070.db2.gz VKRNBTIYKGECGY-UHFFFAOYSA-N -1 1 332.364 -0.560 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@@H]3C[C@H]3c3ccncc3)C2)nc1=O ZINC001031682261 730750219 /nfs/dbraw/zinc/75/02/19/730750219.db2.gz CBPOMLXNFMBDNG-UONOGXRCSA-N -1 1 342.403 -0.145 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cnc4[nH]cnc4c3)C2)nc1=O ZINC001031701539 730753444 /nfs/dbraw/zinc/75/34/44/730753444.db2.gz NFGZEXAUGDRXRH-UHFFFAOYSA-N -1 1 342.363 -0.759 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3ccn(C(C)(C)C)n3)C2)nc1=O ZINC001031711715 730754837 /nfs/dbraw/zinc/75/48/37/730754837.db2.gz QZJVTMGNTAYWJG-UHFFFAOYSA-N -1 1 347.423 -0.078 20 0 EBADMM CCOc1nc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)co1 ZINC001031814688 730773415 /nfs/dbraw/zinc/77/34/15/730773415.db2.gz QIQRFTAFHUHDRD-UHFFFAOYSA-N -1 1 336.352 -0.643 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cccc4c[nH]nc43)C2)nc1=O ZINC001031890545 730785761 /nfs/dbraw/zinc/78/57/61/730785761.db2.gz ZXSGZXXZWMVBSX-UHFFFAOYSA-N -1 1 341.375 -0.154 20 0 EBADMM Cc1ccc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)c(=O)[nH]1 ZINC001032007178 730802178 /nfs/dbraw/zinc/80/21/78/730802178.db2.gz WPVDQFMPAITYOM-UHFFFAOYSA-N -1 1 332.364 -0.621 20 0 EBADMM COc1c(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)c(C)nn1C ZINC001032021100 730803964 /nfs/dbraw/zinc/80/39/64/730803964.db2.gz GNPBVWDIUFWKHH-UHFFFAOYSA-N -1 1 349.395 -0.979 20 0 EBADMM Cc1ncc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)c(C)n1 ZINC001032058815 730808993 /nfs/dbraw/zinc/80/89/93/730808993.db2.gz RALHKRWGKKFKNI-UHFFFAOYSA-N -1 1 331.380 -0.623 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CN(Cc2cncn2C)C1 ZINC001032127001 730815854 /nfs/dbraw/zinc/81/58/54/730815854.db2.gz JVGHVWDKDADQIT-UHFFFAOYSA-N -1 1 348.363 -0.508 20 0 EBADMM Cn1ccc(CN2CC(CNC(=O)c3cc(=O)n4[n-]cnc4n3)C2)n1 ZINC001032127986 730816289 /nfs/dbraw/zinc/81/62/89/730816289.db2.gz MQKMJPOHJDVYGD-UHFFFAOYSA-N -1 1 342.363 -0.987 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CN(Cc2cnon2)C1 ZINC001032127415 730816421 /nfs/dbraw/zinc/81/64/21/730816421.db2.gz SJVMSJXKISBNNA-UHFFFAOYSA-N -1 1 336.308 -0.859 20 0 EBADMM COc1cccnc1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032149210 730818740 /nfs/dbraw/zinc/81/87/40/730818740.db2.gz WEHZRNCEYPIOGG-UHFFFAOYSA-N -1 1 332.364 -0.626 20 0 EBADMM COc1cc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)on1 ZINC001032366126 730846136 /nfs/dbraw/zinc/84/61/36/730846136.db2.gz WKZVJNGRPOXLSL-IUCAKERBSA-N -1 1 334.336 -0.796 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@@H]2C[C@H]1CN2[C@@H]1CCC(=O)NC1=O ZINC001032369747 730846818 /nfs/dbraw/zinc/84/68/18/730846818.db2.gz PSZINXWBXJHLOK-GARJFASQSA-N -1 1 330.344 -0.509 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)[C@@H]2CCCOCC2)nc1=O ZINC001032377541 730848666 /nfs/dbraw/zinc/84/86/66/730848666.db2.gz CZNPMGSIJMBMGO-AGIUHOORSA-N -1 1 335.408 -0.290 20 0 EBADMM Cc1ccnc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)n1 ZINC001032379640 730848808 /nfs/dbraw/zinc/84/88/08/730848808.db2.gz AAQDTTVQCZUORL-QWRGUYRKSA-N -1 1 329.364 -0.694 20 0 EBADMM CCc1c(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)cnn1C ZINC001032496453 730875401 /nfs/dbraw/zinc/87/54/01/730875401.db2.gz YYQDPFMAYKGIAU-QWRGUYRKSA-N -1 1 345.407 -0.497 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1C[C@@H]2C[C@H]1CN2CC(N)=O ZINC001032554782 730887436 /nfs/dbraw/zinc/88/74/36/730887436.db2.gz MJHJOLJGYPGHKG-QWRGUYRKSA-N -1 1 333.392 -0.002 20 0 EBADMM Cc1ncc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)c(C)n1 ZINC001032688135 730911714 /nfs/dbraw/zinc/91/17/14/730911714.db2.gz KQHVCGOFEYMARJ-RYUDHWBXSA-N -1 1 343.391 -0.386 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)c2cc(Cl)no2)nc1=O ZINC001032744293 730922888 /nfs/dbraw/zinc/92/28/88/730922888.db2.gz DQEBSBDYNAFUDP-YUMQZZPRSA-N -1 1 338.755 -0.151 20 0 EBADMM CCn1nccc1C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033034481 730960577 /nfs/dbraw/zinc/96/05/77/730960577.db2.gz ALJDZWYQXBBVQM-LLVKDONJSA-N -1 1 333.396 -0.329 20 0 EBADMM CCc1c[nH]c(CC(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001033152851 730978268 /nfs/dbraw/zinc/97/82/68/730978268.db2.gz AQSXGAFIHONGPZ-GFCCVEGCSA-N -1 1 347.423 -0.331 20 0 EBADMM Cc1nc(CN2CC[C@H](N(C)C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001033237972 730993385 /nfs/dbraw/zinc/99/33/85/730993385.db2.gz MWXHQNNCRLAYON-QMMMGPOBSA-N -1 1 334.340 -0.944 20 0 EBADMM CN(C(=O)c1cn(C)ccc1=O)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033257336 730997338 /nfs/dbraw/zinc/99/73/38/730997338.db2.gz PKDWRUPYXPJJLU-LLVKDONJSA-N -1 1 346.391 -0.846 20 0 EBADMM COc1nccc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001033257636 730997404 /nfs/dbraw/zinc/99/74/04/730997404.db2.gz SUHYRKUWKYQVQK-JTQLQIEISA-N -1 1 347.379 -0.747 20 0 EBADMM CN(C(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033282954 730999999 /nfs/dbraw/zinc/99/99/99/730999999.db2.gz QXIVMGSIWDFAPC-JWOJSXKRSA-N -1 1 347.419 -0.436 20 0 EBADMM COc1nc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)co1 ZINC001033303402 731003637 /nfs/dbraw/zinc/00/36/37/731003637.db2.gz JSLOLWCABFLKHW-SECBINFHSA-N -1 1 336.352 -0.549 20 0 EBADMM CCN(C(=O)c1cnn(C)c1C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033750868 731071788 /nfs/dbraw/zinc/07/17/88/731071788.db2.gz WTXMNQOVCIYIGE-LBPRGKRZSA-N -1 1 347.423 -0.113 20 0 EBADMM CCN(C(=O)c1c(C)ncn1C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033862317 731080637 /nfs/dbraw/zinc/08/06/37/731080637.db2.gz BEAXMGDZCKQWKO-GFCCVEGCSA-N -1 1 347.423 -0.113 20 0 EBADMM CCN(C(=O)c1[nH]c(=O)[nH]c1C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033863114 731081225 /nfs/dbraw/zinc/08/12/25/731081225.db2.gz ALIMKQYSSOKFGP-JTQLQIEISA-N -1 1 349.395 -0.418 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H](NC(=O)c3cccc(=O)[nH]3)C2)nc1=O ZINC001034146455 731105606 /nfs/dbraw/zinc/10/56/06/731105606.db2.gz LPCBUVKMXHFFEB-NSHDSACASA-N -1 1 346.391 -0.007 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1)n1cncn1 ZINC001034171500 731106910 /nfs/dbraw/zinc/10/69/10/731106910.db2.gz RTHBSWXWIIUMJH-VXGBXAGGSA-N -1 1 348.411 -0.568 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1 ZINC001034183996 731108833 /nfs/dbraw/zinc/10/88/33/731108833.db2.gz CKDPIDFMQNMZIS-CYBMUJFWSA-N -1 1 345.407 -0.009 20 0 EBADMM Cc1ncc(C(=O)N[C@@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)n1C ZINC001034365538 731125725 /nfs/dbraw/zinc/12/57/25/731125725.db2.gz ANWOQQRDIAIWIE-GFCCVEGCSA-N -1 1 347.423 -0.065 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H](NC(=O)Cc3c[nH]cn3)C2)nc1=O ZINC001034418353 731129839 /nfs/dbraw/zinc/12/98/39/731129839.db2.gz DZBCLPQURBDOEV-NSHDSACASA-N -1 1 333.396 -0.455 20 0 EBADMM Cc1c[nH]c(C(=O)N[C@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001034435758 731131298 /nfs/dbraw/zinc/13/12/98/731131298.db2.gz JKYQWWVIVAEGTP-NSHDSACASA-N -1 1 333.396 -0.076 20 0 EBADMM C[C@]1(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CCC(=O)NC1 ZINC001035027806 731178425 /nfs/dbraw/zinc/17/84/25/731178425.db2.gz UEIFEPBJHSPJLR-BMIGLBTASA-N -1 1 336.396 -0.493 20 0 EBADMM CN(C)c1ccc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)nn1 ZINC001035103605 731186314 /nfs/dbraw/zinc/18/63/14/731186314.db2.gz BQAIUHVVHRSXBQ-SNVBAGLBSA-N -1 1 346.395 -0.239 20 0 EBADMM O=C(Cn1ccccc1=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035121255 731188592 /nfs/dbraw/zinc/18/85/92/731188592.db2.gz ALBUNRUVMRCLAQ-LLVKDONJSA-N -1 1 332.364 -0.547 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)c3ccccc3)C2)nc1=O ZINC001035275753 731203527 /nfs/dbraw/zinc/20/35/27/731203527.db2.gz XXNCAMIKHQJNAU-CYBMUJFWSA-N -1 1 331.376 -0.261 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)C3CC(F)(F)C3)C2)nc1=O ZINC001035329876 731217525 /nfs/dbraw/zinc/21/75/25/731217525.db2.gz ADCMTCDTKOMBQH-SNVBAGLBSA-N -1 1 345.350 -0.529 20 0 EBADMM O=C(NC[C@@H]1CN(CCN2CCCC2=O)CCO1)c1ncccc1[O-] ZINC001035344756 731222419 /nfs/dbraw/zinc/22/24/19/731222419.db2.gz UTJCMEBQUKTFOT-CYBMUJFWSA-N -1 1 348.403 -0.160 20 0 EBADMM Cc1ccn(C)c1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035347979 731223778 /nfs/dbraw/zinc/22/37/78/731223778.db2.gz MELYIRFTGCLUHS-LBPRGKRZSA-N -1 1 348.407 -0.614 20 0 EBADMM Cc1nc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)co1 ZINC001035351101 731224630 /nfs/dbraw/zinc/22/46/30/731224630.db2.gz WCLCGXQLKNDXEI-JTQLQIEISA-N -1 1 336.352 -0.964 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)[C@@H]3CC3(F)F)C2)nc1=O ZINC001035366787 731231507 /nfs/dbraw/zinc/23/15/07/731231507.db2.gz HMHGKGBMAWHGBX-BDAKNGLRSA-N -1 1 331.323 -0.919 20 0 EBADMM Cn1ncc(C2CC2)c1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038337085 731309045 /nfs/dbraw/zinc/30/90/45/731309045.db2.gz RUCWXUCXUQMJKX-NSHDSACASA-N -1 1 345.407 -0.276 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2ncc(F)cc2F)nc1=O ZINC001038411246 731320617 /nfs/dbraw/zinc/32/06/17/731320617.db2.gz OMSCBMSEJGEHRE-SECBINFHSA-N -1 1 338.318 -0.214 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@@H]2CCc3nccn3C2)nc1=O ZINC001038427025 731323965 /nfs/dbraw/zinc/32/39/65/731323965.db2.gz NCFWFQNULCCGQM-NEPJUHHUSA-N -1 1 345.407 -0.742 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@H]2CCCn3nccc32)nc1=O ZINC001038429638 731324190 /nfs/dbraw/zinc/32/41/90/731324190.db2.gz AXWPMVBYQWIQRJ-RYUDHWBXSA-N -1 1 345.407 -0.427 20 0 EBADMM CCc1cc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)c(C)nn1 ZINC001038555706 731343366 /nfs/dbraw/zinc/34/33/66/731343366.db2.gz NMRBTRPYHIRDCC-LBPRGKRZSA-N -1 1 345.407 -0.226 20 0 EBADMM Cc1nn(C)c(C)c1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038568692 731345059 /nfs/dbraw/zinc/34/50/59/731345059.db2.gz OONWJCLLMZATLC-LLVKDONJSA-N -1 1 333.396 -0.537 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cccc3n[nH]cc32)nc1=O ZINC001038577416 731345199 /nfs/dbraw/zinc/34/51/99/731345199.db2.gz AQEVWGGCCOOVBY-JTQLQIEISA-N -1 1 341.375 -0.011 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCN1Cc1ccon1 ZINC001038917230 731391923 /nfs/dbraw/zinc/39/19/23/731391923.db2.gz OLJXUTFTQKIOGS-SECBINFHSA-N -1 1 335.320 -0.111 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCN1Cc1ncnn1C ZINC001038917974 731392450 /nfs/dbraw/zinc/39/24/50/731392450.db2.gz VMCYXACWAQHVCV-MRVPVSSYSA-N -1 1 349.351 -0.971 20 0 EBADMM Cc1nnc(CN2CC[C@@H]2CNC(=O)c2cc(=O)n3[n-]cnc3n2)o1 ZINC001038922590 731393310 /nfs/dbraw/zinc/39/33/10/731393310.db2.gz PJUTXWPLORNGQI-SECBINFHSA-N -1 1 344.335 -0.887 20 0 EBADMM O=C(NC[C@@H]1CCN1Cc1nccs1)c1cc(=O)n2[n-]cnc2n1 ZINC001038920989 731393365 /nfs/dbraw/zinc/39/33/65/731393365.db2.gz KFNIZSVJKSQKBM-VIFPVBQESA-N -1 1 345.388 -0.122 20 0 EBADMM Cn1cc(CN2CC[C@H]2CNC(=O)c2cc(=O)n3[n-]cnc3n2)cn1 ZINC001038922861 731393805 /nfs/dbraw/zinc/39/38/05/731393805.db2.gz QYIDNYGVTYINMU-NSHDSACASA-N -1 1 342.363 -0.845 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@H]2CCc3c[nH]nc3C2)nc1=O ZINC001039045405 731410991 /nfs/dbraw/zinc/41/09/91/731410991.db2.gz GPLRHMWNJHEDHX-CMPLNLGQSA-N -1 1 345.407 -0.673 20 0 EBADMM O=C(NC[C@]1(O)CCN(C(=O)c2ncccc2[O-])C1)c1n[nH]cc1F ZINC001040834718 731893856 /nfs/dbraw/zinc/89/38/56/731893856.db2.gz LPLRUCVFELAWPN-OAHLLOKOSA-N -1 1 349.322 -0.344 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)c1cccnc1 ZINC001041197131 732027808 /nfs/dbraw/zinc/02/78/08/732027808.db2.gz XKIUIKAQUCJPMP-UHFFFAOYSA-N -1 1 328.328 -0.201 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(CCN(C(=O)c4n[nH]cc4F)C3)C2)nc1=O ZINC001041645175 732181364 /nfs/dbraw/zinc/18/13/64/732181364.db2.gz IZHSQTDBLXCWLF-HNNXBMFYSA-N -1 1 349.370 -0.291 20 0 EBADMM CCO[C@H]1C[C@@H]1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001041684828 732194158 /nfs/dbraw/zinc/19/41/58/732194158.db2.gz DIGGKDCWAADRFD-JQWIXIFHSA-N -1 1 335.360 -0.485 20 0 EBADMM CSCC(=O)N1CC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1 ZINC001041918694 732254022 /nfs/dbraw/zinc/25/40/22/732254022.db2.gz CAAWKQOSPKZDDU-MNOVXSKESA-N -1 1 325.438 -0.106 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)c4n[nH]cc4F)C[C@@H]32)nc1=O ZINC001042217814 732363919 /nfs/dbraw/zinc/36/39/19/732363919.db2.gz CCVUXOUKBOJEHG-KOLCDFICSA-N -1 1 349.370 -0.293 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)c4n[nH]cc4F)C[C@H]32)nc1=O ZINC001042217813 732363950 /nfs/dbraw/zinc/36/39/50/732363950.db2.gz CCVUXOUKBOJEHG-GXSJLCMTSA-N -1 1 349.370 -0.293 20 0 EBADMM Cn1ccc(C(=O)N2CC[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)[C@H]3C2)n1 ZINC001042354688 732414723 /nfs/dbraw/zinc/41/47/23/732414723.db2.gz CGFFWUJNPCYDQO-YPMHNXCESA-N -1 1 345.407 -0.422 20 0 EBADMM CO[C@H](C)CCC(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001042692033 732534880 /nfs/dbraw/zinc/53/48/80/732534880.db2.gz OLUKSMCFDIKFMJ-LLVKDONJSA-N -1 1 337.376 -0.095 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2cc[nH]c(=O)c2)C1)c1ncccc1[O-] ZINC001042712857 732545908 /nfs/dbraw/zinc/54/59/08/732545908.db2.gz JNJDDFXTNJLUCV-UHFFFAOYSA-N -1 1 344.327 -0.495 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ccccn2)C1)c1ncccc1[O-] ZINC001042712518 732545979 /nfs/dbraw/zinc/54/59/79/732545979.db2.gz BLIMPUXINSMKCT-UHFFFAOYSA-N -1 1 328.328 -0.201 20 0 EBADMM O=C(NCC1(O)CN(C(=O)[C@@H]2CCCOC2)C1)c1ncccc1[O-] ZINC001042712959 732546633 /nfs/dbraw/zinc/54/66/33/732546633.db2.gz LMSWUQRMYXSWNM-LLVKDONJSA-N -1 1 335.360 -0.483 20 0 EBADMM COCCCCC(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042713089 732546729 /nfs/dbraw/zinc/54/67/29/732546729.db2.gz OAWRFDRHUVTHPP-UHFFFAOYSA-N -1 1 337.376 -0.093 20 0 EBADMM O=C(CC1CCOCC1)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042713296 732547416 /nfs/dbraw/zinc/54/74/16/732547416.db2.gz RSHGURCNOFHQBF-UHFFFAOYSA-N -1 1 349.387 -0.093 20 0 EBADMM C[C@H]1OCC[C@H]1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042716159 732548387 /nfs/dbraw/zinc/54/83/87/732548387.db2.gz ICVAHXFRZXPKMM-GHMZBOCLSA-N -1 1 335.360 -0.485 20 0 EBADMM C[C@H]1C[C@@H](C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)CO1 ZINC001042719455 732550138 /nfs/dbraw/zinc/55/01/38/732550138.db2.gz DJDBLLDDLJLSJF-WDEREUQCSA-N -1 1 335.360 -0.485 20 0 EBADMM CO[C@H]1CCC[C@@H]1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042719964 732550696 /nfs/dbraw/zinc/55/06/96/732550696.db2.gz OTJRMRHDVQHWIE-AAEUAGOBSA-N -1 1 349.387 -0.095 20 0 EBADMM COCc1cncc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001042960571 732684086 /nfs/dbraw/zinc/68/40/86/732684086.db2.gz QOZYWIOEMZRJQA-UHFFFAOYSA-N -1 1 346.391 -0.394 20 0 EBADMM COc1cncc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC001043084333 732747037 /nfs/dbraw/zinc/74/70/37/732747037.db2.gz ODGDFYKKOFUBGP-UHFFFAOYSA-N -1 1 346.391 -0.223 20 0 EBADMM O=C(NCC1(O)CN(C(=O)C2CC2)C1)c1cnc(C2CC2)[n-]c1=O ZINC001043097401 732754076 /nfs/dbraw/zinc/75/40/76/732754076.db2.gz LBCKGDVNXKBSOK-UHFFFAOYSA-N -1 1 332.360 -0.227 20 0 EBADMM Cc1cc(C)n(CC(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001043267715 732850581 /nfs/dbraw/zinc/85/05/81/732850581.db2.gz FWZZBJJJWCFXBX-UHFFFAOYSA-N -1 1 333.396 -0.735 20 0 EBADMM CCc1cc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cc(=O)[nH]1 ZINC001043367969 732894469 /nfs/dbraw/zinc/89/44/69/732894469.db2.gz VIUJZRJRMKIPEX-UHFFFAOYSA-N -1 1 346.391 -0.272 20 0 EBADMM O=C(NC[C@H]1CCN(c2ncccn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001061626367 738656912 /nfs/dbraw/zinc/65/69/12/738656912.db2.gz HLMILUCMTDWAHZ-SNVBAGLBSA-N -1 1 340.347 -0.536 20 0 EBADMM Cc1nn(C)c(C)c1CC(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043495969 732975382 /nfs/dbraw/zinc/97/53/82/732975382.db2.gz FDACEVFPTAACEJ-UHFFFAOYSA-N -1 1 347.423 -0.656 20 0 EBADMM CN(C(=O)C1(c2cccnc2)CC1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043610561 733022201 /nfs/dbraw/zinc/02/22/01/733022201.db2.gz WNKONGHBMROLND-UHFFFAOYSA-N -1 1 342.403 -0.122 20 0 EBADMM COc1c(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c(C)nn1C ZINC001043993154 733236110 /nfs/dbraw/zinc/23/61/10/733236110.db2.gz VDNAKJJZLGHZOW-UHFFFAOYSA-N -1 1 349.395 -0.885 20 0 EBADMM CCCn1ncc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC001044086657 733280442 /nfs/dbraw/zinc/28/04/42/733280442.db2.gz LOMRWWUKOQIHAS-UHFFFAOYSA-N -1 1 347.423 -0.020 20 0 EBADMM Cc1c(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)nnn1C(C)C ZINC001044108649 733289374 /nfs/dbraw/zinc/28/93/74/733289374.db2.gz QVEITAJTWJOYMA-UHFFFAOYSA-N -1 1 348.411 -0.454 20 0 EBADMM CN(C(=O)C1CC2(C1)CCOCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044180478 733320139 /nfs/dbraw/zinc/32/01/39/733320139.db2.gz CMAPURBAWKOXMF-UHFFFAOYSA-N -1 1 349.435 -0.042 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(Cc2ccnn2C)C1 ZINC001044182001 733321148 /nfs/dbraw/zinc/32/11/48/733321148.db2.gz MTBAWZCOMKJSPA-UHFFFAOYSA-N -1 1 342.363 -0.893 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C1CN(Cc2cnon2)C1 ZINC001044181574 733321175 /nfs/dbraw/zinc/32/11/75/733321175.db2.gz BSYDOSJZRVHUIB-UHFFFAOYSA-N -1 1 336.308 -0.764 20 0 EBADMM Cc1ncc(CN2CC(N(C)C(=O)c3cc(=O)n4[n-]cnc4n3)C2)o1 ZINC001044182405 733321985 /nfs/dbraw/zinc/32/19/85/733321985.db2.gz UGPWDXDBDZTIDB-UHFFFAOYSA-N -1 1 343.347 -0.330 20 0 EBADMM CN(C(=O)c1c[nH]c2cccnc12)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044189644 733323168 /nfs/dbraw/zinc/32/31/68/733323168.db2.gz HKPWBRSCYPDETI-UHFFFAOYSA-N -1 1 341.375 -0.059 20 0 EBADMM CN(C(=O)c1ccc2[nH]nnc2c1)C1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001044283406 733355320 /nfs/dbraw/zinc/35/53/20/733355320.db2.gz AIIAEXRAGRMKSO-UHFFFAOYSA-N -1 1 328.336 -0.262 20 0 EBADMM Cc1n[nH]cc1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001044345727 733393557 /nfs/dbraw/zinc/39/35/57/733393557.db2.gz LGWOOICHPUBMTO-UHFFFAOYSA-N -1 1 331.332 -0.564 20 0 EBADMM Cc1cccn(CC(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)c1=O ZINC001045038006 733562816 /nfs/dbraw/zinc/56/28/16/733562816.db2.gz VLAWAGUIKOHMPJ-LBPRGKRZSA-N -1 1 346.391 -0.239 20 0 EBADMM C[C@]1(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CCNC(=O)C1 ZINC001045091407 733577005 /nfs/dbraw/zinc/57/70/05/733577005.db2.gz VEKMDYPANRFQEX-BONVTDFDSA-N -1 1 336.396 -0.493 20 0 EBADMM O=C(c1ccnc2n[nH]nc21)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045117999 733589456 /nfs/dbraw/zinc/58/94/56/733589456.db2.gz UECQRZPHURZDHF-QMMMGPOBSA-N -1 1 343.351 -0.429 20 0 EBADMM O=C(c1cnn2cccnc12)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045146001 733599958 /nfs/dbraw/zinc/59/99/58/733599958.db2.gz IXRDYHUBGSXTQN-JTQLQIEISA-N -1 1 342.363 -0.053 20 0 EBADMM NC(=O)c1c[nH]c(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)c1 ZINC001045255635 733637408 /nfs/dbraw/zinc/63/74/08/733637408.db2.gz IZEQTGKZFOFIGN-VIFPVBQESA-N -1 1 333.352 -0.668 20 0 EBADMM CC(=O)N[C@@H]1CC[C@@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@@H]12 ZINC000979860409 805588663 /nfs/dbraw/zinc/58/86/63/805588663.db2.gz CYJCKBBFLJSJRL-JHJVBQTASA-N -1 1 334.376 -0.700 20 0 EBADMM Cn1cncc1CN1CCC(C)(NC(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001045495361 733706707 /nfs/dbraw/zinc/70/67/07/733706707.db2.gz FGTOOLYNODGEGZ-UHFFFAOYSA-N -1 1 347.379 -0.199 20 0 EBADMM Cn1[n-]c(CN2CCC(C)(NC(=O)c3ccnnc3)CC2)nc1=O ZINC001045571215 733728374 /nfs/dbraw/zinc/72/83/74/733728374.db2.gz MHSJMTVQVDRSKC-UHFFFAOYSA-N -1 1 331.380 -0.317 20 0 EBADMM Cc1nccc(C(=O)NC2(C)CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC001045642417 733744304 /nfs/dbraw/zinc/74/43/04/733744304.db2.gz DCHRVCCGDOCFDT-UHFFFAOYSA-N -1 1 345.407 -0.009 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](N3CCN(C(=O)C4(C)CC4)CC3)C2)nc1=O ZINC001046032289 733816959 /nfs/dbraw/zinc/81/69/59/733816959.db2.gz IKAARFPJCQRWIK-ZDUSSCGKSA-N -1 1 348.451 -0.373 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCN([C@H]2CCN(CCF)C2)CC1 ZINC001046041092 733825293 /nfs/dbraw/zinc/82/52/93/733825293.db2.gz CESXIJPZMGUXOP-JTQLQIEISA-N -1 1 340.359 -0.916 20 0 EBADMM CCn1cc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001046201278 733876750 /nfs/dbraw/zinc/87/67/50/733876750.db2.gz ZGTRDBONOUPYIW-HNNXBMFYSA-N -1 1 333.396 -0.281 20 0 EBADMM Cn1[n-]c(CN2CC[C@](C)(NC(=O)C3CCC(O)CC3)C2)nc1=O ZINC001046271451 733900181 /nfs/dbraw/zinc/90/01/81/733900181.db2.gz LPAONNONKVXYIR-PPUFBPAQSA-N -1 1 337.424 -0.260 20 0 EBADMM Cn1[n-]c(CN2CC[C@](C)(NC(=O)c3ccn(C)c(=O)c3)C2)nc1=O ZINC001046430242 733933633 /nfs/dbraw/zinc/93/36/33/733933633.db2.gz YZDKVWSUSSATAP-INIZCTEOSA-N -1 1 346.391 -0.799 20 0 EBADMM Cc1ncc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)c(C)n1 ZINC001046709687 734011766 /nfs/dbraw/zinc/01/17/66/734011766.db2.gz KZASTOVXMXPPGU-MRXNPFEDSA-N -1 1 345.407 -0.090 20 0 EBADMM CCn1cnc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001046776127 734025066 /nfs/dbraw/zinc/02/50/66/734025066.db2.gz ABBHJSHLUIRPIX-HNNXBMFYSA-N -1 1 333.396 -0.281 20 0 EBADMM O=C(Cn1cccn1)N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047025895 734083131 /nfs/dbraw/zinc/08/31/31/734083131.db2.gz GEWQRZZDWIRGBW-SRVKXCTJSA-N -1 1 331.380 -0.124 20 0 EBADMM Cn1nncc1C(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047065295 734087985 /nfs/dbraw/zinc/08/79/85/734087985.db2.gz SWXKJLCPCVQEOJ-AEJSXWLSSA-N -1 1 332.368 -0.578 20 0 EBADMM Cn1nncc1C(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047065299 734088068 /nfs/dbraw/zinc/08/80/68/734088068.db2.gz SWXKJLCPCVQEOJ-GUBZILKMSA-N -1 1 332.368 -0.578 20 0 EBADMM CCn1ncc(C(=O)N[C@@H]2C[C@H]3C[C@@H](C2)N(Cc2n[nH]c(=O)[n-]2)C3)n1 ZINC001047067006 734088548 /nfs/dbraw/zinc/08/85/48/734088548.db2.gz JSVVGHPSPFULHT-AXFHLTTASA-N -1 1 346.395 -0.095 20 0 EBADMM O=C(N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2)c1cnc[nH]c1=O ZINC001047093331 734093027 /nfs/dbraw/zinc/09/30/27/734093027.db2.gz NAFFKENCOYCSNT-AEJSXWLSSA-N -1 1 345.363 -0.211 20 0 EBADMM Cn1ncc(C(=O)N[C@H]2C[C@H]3C[C@@H](C2)N(Cc2n[nH]c(=O)[n-]2)C3)n1 ZINC001047159688 734103540 /nfs/dbraw/zinc/10/35/40/734103540.db2.gz BNXGZRYNMHQCRA-GUBZILKMSA-N -1 1 332.368 -0.578 20 0 EBADMM CN(C(=O)C1CC(F)(F)C1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047337461 734142001 /nfs/dbraw/zinc/14/20/01/734142001.db2.gz AMYGCYQZZQTSSC-UWVGGRQHSA-N -1 1 345.350 -0.843 20 0 EBADMM CN(C(=O)CN1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2[O-])C1)C1CC1 ZINC001047357660 734151227 /nfs/dbraw/zinc/15/12/27/734151227.db2.gz GXDJQXOMUPUIAW-JSGCOSHPSA-N -1 1 348.403 -0.475 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(Cc2cnns2)C[C@@H]1O ZINC001047358934 734152126 /nfs/dbraw/zinc/15/21/26/734152126.db2.gz MXRTXXWSQHTCBU-JQWIXIFHSA-N -1 1 335.389 -0.044 20 0 EBADMM CN(C(=O)c1ncccc1O)[C@H]1C[N@H+](CCc2cnn(C)c2)C[C@@H]1O ZINC001047359547 734152509 /nfs/dbraw/zinc/15/25/09/734152509.db2.gz ZOGOKWBZUQCEFU-ZFWWWQNUSA-N -1 1 345.403 -0.120 20 0 EBADMM CN(C(=O)C1CC2(CCC2)C1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047492612 734223864 /nfs/dbraw/zinc/22/38/64/734223864.db2.gz RBCNZEHKRMCBII-STQMWFEESA-N -1 1 349.435 -0.308 20 0 EBADMM C[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@H]1CNCc1ncccn1 ZINC001048303767 734385834 /nfs/dbraw/zinc/38/58/34/734385834.db2.gz JUZZPDDZSNNQGS-ZJUUUORDSA-N -1 1 345.363 -0.429 20 0 EBADMM C[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@H]1CNCc1ccon1 ZINC001048306634 734387064 /nfs/dbraw/zinc/38/70/64/734387064.db2.gz ZMLSJGOPVWMWQE-BDAKNGLRSA-N -1 1 334.336 -0.231 20 0 EBADMM CC[C@@H](OC)C(=O)N(C)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001048506254 734431381 /nfs/dbraw/zinc/43/13/81/734431381.db2.gz MJMWDKTYYDZWGY-WCFLWFBJSA-N -1 1 337.376 -0.144 20 0 EBADMM CCOCCC(=O)N(C)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001048534851 734437576 /nfs/dbraw/zinc/43/75/76/734437576.db2.gz GRVKDVINTOIYRN-AAEUAGOBSA-N -1 1 337.376 -0.143 20 0 EBADMM O=C(c1cn[nH]c(=O)c1)N1C[C@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C1 ZINC001048877463 734552815 /nfs/dbraw/zinc/55/28/15/734552815.db2.gz KERWSNLYGMJAHM-AOOOYVTPSA-N -1 1 331.336 -0.790 20 0 EBADMM NC(=O)CN1C[C@@H]2CN(C(=O)c3ccc4oc(=O)nc-4[n-]3)C[C@@H]2C1 ZINC001049170018 734673885 /nfs/dbraw/zinc/67/38/85/734673885.db2.gz ZQMNEKCWEIZZAB-DTORHVGOSA-N -1 1 331.332 -0.583 20 0 EBADMM Cn1cncc1C(=O)N1CCC[C@H]2[C@H]1CCN2Cc1nc(=O)n(C)[n-]1 ZINC001049576975 734758255 /nfs/dbraw/zinc/75/82/55/734758255.db2.gz NBRDRIIYHCICQJ-NWDGAFQWSA-N -1 1 345.407 -0.279 20 0 EBADMM CCC(=O)N1CC[C@H]([C@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001049640781 734771083 /nfs/dbraw/zinc/77/10/83/734771083.db2.gz ZMJHYEILLFRRLR-RYUDHWBXSA-N -1 1 336.392 -0.310 20 0 EBADMM Cn1ncc(C(=O)N2CCC[C@@H]3[C@H]2CCN3Cc2nc(=O)n(C)[n-]2)n1 ZINC001049788398 734790040 /nfs/dbraw/zinc/79/00/40/734790040.db2.gz NLNYBZIGXLQDHK-VXGBXAGGSA-N -1 1 346.395 -0.884 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@H]2CCCN3C(=O)c2ccncn2)nc1=O ZINC001049793684 734791008 /nfs/dbraw/zinc/79/10/08/734791008.db2.gz PUDMXFXWXQIDQM-OLZOCXBDSA-N -1 1 343.391 -0.223 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@@H]2CCCN3C(=O)c2cnon2)nc1=O ZINC001049801652 734791958 /nfs/dbraw/zinc/79/19/58/734791958.db2.gz IOXXNRARBGBVQI-WDEREUQCSA-N -1 1 333.352 -0.630 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCCN(C(=O)C4=COCCO4)[C@@H]3C2)nc1=O ZINC001049964115 734812636 /nfs/dbraw/zinc/81/26/36/734812636.db2.gz RDTSBWAWZBUKRP-NWDGAFQWSA-N -1 1 349.391 -0.581 20 0 EBADMM O=C(N[C@H]1CC[C@H](CNCc2cnon2)CC1)c1n[nH]c(=O)[n-]c1=O ZINC001050340927 734888667 /nfs/dbraw/zinc/88/86/67/734888667.db2.gz LJTPVYLGDUFEEB-KYZUINATSA-N -1 1 349.351 -0.256 20 0 EBADMM CNC(=O)CC(=O)N[C@@H](C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050529596 734919298 /nfs/dbraw/zinc/91/92/98/734919298.db2.gz IWMBIYTYBBSWHX-WDEREUQCSA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@H](NC(=O)CNC(N)=O)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050529587 734919474 /nfs/dbraw/zinc/91/94/74/734919474.db2.gz IUDNZZHOINVFPW-UWVGGRQHSA-N -1 1 335.364 -0.578 20 0 EBADMM Cc1occc1C(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001050812021 734965776 /nfs/dbraw/zinc/96/57/76/734965776.db2.gz HKTOHBYPLGCRTH-NSHDSACASA-N -1 1 335.364 -0.359 20 0 EBADMM Cc1ccoc1C(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001050819999 734966632 /nfs/dbraw/zinc/96/66/32/734966632.db2.gz IEUFGVFLVZAGLS-NSHDSACASA-N -1 1 335.364 -0.359 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)C2=COCCC2)nc1=O ZINC001050843441 734973593 /nfs/dbraw/zinc/97/35/93/734973593.db2.gz GKRXBACKZASIQE-LBPRGKRZSA-N -1 1 337.380 -0.880 20 0 EBADMM Cc1[nH]ccc1C(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001050863205 734982589 /nfs/dbraw/zinc/98/25/89/734982589.db2.gz DWJUYHKEDDKARE-NSHDSACASA-N -1 1 334.380 -0.624 20 0 EBADMM Cc1ccn(C)c1C(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001050882326 734988157 /nfs/dbraw/zinc/98/81/57/734988157.db2.gz OUNDSZZBEGQJPY-GFCCVEGCSA-N -1 1 348.407 -0.614 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)[C@@H]2C[C@H]2C2CCC2)nc1=O ZINC001051159217 735080715 /nfs/dbraw/zinc/08/07/15/735080715.db2.gz IJERVPIUVKMVOP-HZSPNIEDSA-N -1 1 349.435 -0.138 20 0 EBADMM O=C(c1ccnc(F)c1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051485331 735171024 /nfs/dbraw/zinc/17/10/24/735171024.db2.gz RDEUODJQSUVJHB-JTQLQIEISA-N -1 1 336.327 -0.325 20 0 EBADMM O=C(CC[C@H]1CCOC1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051535784 735224053 /nfs/dbraw/zinc/22/40/53/735224053.db2.gz YTZHNGRWTKOKPS-RYUDHWBXSA-N -1 1 339.396 -0.356 20 0 EBADMM C[C@](O)(CC(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001051542547 735225499 /nfs/dbraw/zinc/22/54/99/735225499.db2.gz OFKFFPJEAFCUQO-ABAIWWIYSA-N -1 1 339.396 -0.622 20 0 EBADMM O=C([C@H]1C[C@@H]1C(F)F)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051589436 735252153 /nfs/dbraw/zinc/25/21/53/735252153.db2.gz LJQYTKNUWHSADA-VGMNWLOBSA-N -1 1 331.323 -0.272 20 0 EBADMM CC(=O)NCC(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001052423508 735611605 /nfs/dbraw/zinc/61/16/05/735611605.db2.gz XHBUWZFJODFFDP-CMPLNLGQSA-N -1 1 334.376 -0.252 20 0 EBADMM CC(=O)NCC(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001052423510 735611785 /nfs/dbraw/zinc/61/17/85/735611785.db2.gz XHBUWZFJODFFDP-PWSUYJOCSA-N -1 1 334.376 -0.252 20 0 EBADMM Cn1nccc1CN1CCC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001052528580 735638070 /nfs/dbraw/zinc/63/80/70/735638070.db2.gz NGTVOBLZTBOKRH-SNVBAGLBSA-N -1 1 347.379 -0.199 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)c3cccnn3)CC2)nc1=O ZINC001052815767 735698896 /nfs/dbraw/zinc/69/88/96/735698896.db2.gz GKVSHJBZSKZTNU-NSHDSACASA-N -1 1 331.380 -0.317 20 0 EBADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCOC2(CN(CCO)C2)C1 ZINC001053460434 735881577 /nfs/dbraw/zinc/88/15/77/735881577.db2.gz BHCJZJOUVQWWJD-UHFFFAOYSA-N -1 1 334.376 -0.421 20 0 EBADMM CC[C@@]1(C)C[C@H]1C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053491714 735901091 /nfs/dbraw/zinc/90/10/91/735901091.db2.gz XNCFSZBPUKJALG-LRDDRELGSA-N -1 1 349.435 -0.042 20 0 EBADMM Cc1conc1C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053499827 735903932 /nfs/dbraw/zinc/90/39/32/735903932.db2.gz HRVOUHZNBHLDFY-UHFFFAOYSA-N -1 1 348.363 -0.868 20 0 EBADMM CCCN1CC2(C1)CN(C(=O)c1cc(=O)n3[n-]cnc3n1)CCO2 ZINC001053507611 735908967 /nfs/dbraw/zinc/90/89/67/735908967.db2.gz OXBZJDIBNXLUGK-UHFFFAOYSA-N -1 1 332.364 -0.646 20 0 EBADMM O=C(NC[C@H]1CCC2(CN([C@@H]3CCNC3=O)C2)O1)c1ncccc1[O-] ZINC001053594279 735936931 /nfs/dbraw/zinc/93/69/31/735936931.db2.gz IJZJFLWWVFVRMX-VXGBXAGGSA-N -1 1 346.387 -0.361 20 0 EBADMM C[C@@H](C(N)=O)N1CC2(C1)CC[C@H](CNC(=O)c1ncccc1[O-])O2 ZINC001053594974 735937561 /nfs/dbraw/zinc/93/75/61/735937561.db2.gz WIZOXFCWROYBCP-WDEREUQCSA-N -1 1 334.376 -0.376 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)c2cccs2)CO3)nc1=O ZINC001053727804 735980374 /nfs/dbraw/zinc/98/03/74/735980374.db2.gz HNBCAWPEXHUKPU-JTQLQIEISA-N -1 1 349.416 -0.057 20 0 EBADMM Cc1occc1C(=O)N[C@@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053733056 735982853 /nfs/dbraw/zinc/98/28/53/735982853.db2.gz LQQDYYQCBHWHKH-NSHDSACASA-N -1 1 347.375 -0.217 20 0 EBADMM CCCC(=O)NCC1(NC(=O)c2cc(=O)n3[n-]cnc3n2)CCC1 ZINC001062403325 738912187 /nfs/dbraw/zinc/91/21/87/738912187.db2.gz BAPMIHBVXFLZJE-UHFFFAOYSA-N -1 1 332.364 -0.014 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)[C@@H]2CC2(C)C)CO3)nc1=O ZINC001053779363 736010716 /nfs/dbraw/zinc/01/07/16/736010716.db2.gz QOTIZTGFKWJYQH-MNOVXSKESA-N -1 1 335.408 -0.386 20 0 EBADMM O=C(N[C@@H]1COC2(CN([C@H]3CCCNC3=O)C2)C1)c1ncccc1[O-] ZINC001053784559 736014108 /nfs/dbraw/zinc/01/41/08/736014108.db2.gz RCJBIAOYDRBKNO-RYUDHWBXSA-N -1 1 346.387 -0.361 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)c2cc[nH]c2)CO3)nc1=O ZINC001053812809 736033867 /nfs/dbraw/zinc/03/38/67/736033867.db2.gz HNGHBZPWKAQPHQ-NSHDSACASA-N -1 1 332.364 -0.790 20 0 EBADMM O=C(N[C@H]1COC2(CN(CC3CCC3)C2)C1)c1n[nH]c(=O)[n-]c1=O ZINC001053858626 736060998 /nfs/dbraw/zinc/06/09/98/736060998.db2.gz MSANOJYQVXUWRR-SNVBAGLBSA-N -1 1 335.364 -0.344 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)[C@@H]2CC24CC4)CO3)nc1=O ZINC001053938785 736104372 /nfs/dbraw/zinc/10/43/72/736104372.db2.gz YXAHFSALYXESMN-QWRGUYRKSA-N -1 1 333.392 -0.632 20 0 EBADMM CC(C)CCN1CC2(C1)C[C@@H](NC(=O)Cn1c(=O)[n-][nH]c1=O)CO2 ZINC001053993152 736137311 /nfs/dbraw/zinc/13/73/11/736137311.db2.gz GFONXZNWEOXJLU-LLVKDONJSA-N -1 1 339.396 -0.305 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@@H]2C[C@H]1CN2c1ccc2nnnn2n1 ZINC001062479905 738924133 /nfs/dbraw/zinc/92/41/33/738924133.db2.gz RQZFAJCETIRCMM-UWVGGRQHSA-N -1 1 338.331 -0.277 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(C2)CCCN(C(=O)c2cn[nH]c2)C3)nc1=O ZINC001054094555 736162476 /nfs/dbraw/zinc/16/24/76/736162476.db2.gz ROFLZUOXBAHMKJ-INIZCTEOSA-N -1 1 345.407 -0.040 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(C2)CCCN(C(=O)[C@@H]2CCOC2)C3)nc1=O ZINC001054098753 736162722 /nfs/dbraw/zinc/16/27/22/736162722.db2.gz XPWXTFTYGQZVKA-CXAGYDPISA-N -1 1 349.435 -0.041 20 0 EBADMM C[C@@H]1CN(C(=O)c2cn3c(n2)CCC3)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054658155 736227419 /nfs/dbraw/zinc/22/74/19/736227419.db2.gz TTYCKVNRHQFRPF-ZJUUUORDSA-N -1 1 331.380 -0.097 20 0 EBADMM C[C@@H]1CN(C(=O)CCc2ccncn2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054697659 736233079 /nfs/dbraw/zinc/23/30/79/736233079.db2.gz WCYIOMNRPAGNDE-PWSUYJOCSA-N -1 1 331.380 -0.130 20 0 EBADMM COCCn1nccc1C(=O)N1C[C@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001054768037 736243711 /nfs/dbraw/zinc/24/37/11/736243711.db2.gz JBVVOPKYEFJNQU-WDEREUQCSA-N -1 1 349.395 -0.397 20 0 EBADMM Cc1nc(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)c(=O)[nH]c1C ZINC001054791081 736247189 /nfs/dbraw/zinc/24/71/89/736247189.db2.gz MZQFQWPQIWOBEQ-GMSGAONNSA-N -1 1 347.379 -0.539 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccn(C)c(=O)c2)C[C@@H]1[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001054811779 736248495 /nfs/dbraw/zinc/24/84/95/736248495.db2.gz DBAWBOXVNKKWLA-PWSUYJOCSA-N -1 1 346.391 -0.943 20 0 EBADMM Cc1oncc1CN[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@H]1C ZINC001054858982 736256936 /nfs/dbraw/zinc/25/69/36/736256936.db2.gz FNXOKTNXNOXRRU-XVKPBYJWSA-N -1 1 334.336 -0.170 20 0 EBADMM Cc1cc(C)n(CC(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001054883017 736261932 /nfs/dbraw/zinc/26/19/32/736261932.db2.gz UGOCMADZNGLNQR-BXKDBHETSA-N -1 1 333.396 -0.040 20 0 EBADMM COc1cnc(C(=O)N2C[C@@H](C)[C@@H](NCc3n[nH]c(=O)[n-]3)C2)nc1 ZINC001054891182 736263787 /nfs/dbraw/zinc/26/37/87/736263787.db2.gz NPSPTLWOOSPEKJ-SCZZXKLOSA-N -1 1 333.352 -0.441 20 0 EBADMM CCc1cc(CC(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)n(C)n1 ZINC001054924162 736268975 /nfs/dbraw/zinc/26/89/75/736268975.db2.gz ABAAKTHVIVQCDY-ZWNOBZJWSA-N -1 1 347.423 -0.015 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnc([O-])n(C)c2=O)C[C@@H]1NCc1ccn(C)n1 ZINC001054967713 736274088 /nfs/dbraw/zinc/27/40/88/736274088.db2.gz XXBACDPHRZBGSH-MFKMUULPSA-N -1 1 346.391 -0.530 20 0 EBADMM COc1nn(C)cc1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H](C)C1 ZINC001054968488 736274601 /nfs/dbraw/zinc/27/46/01/736274601.db2.gz IZJKNBGDNPWGNR-WPRPVWTQSA-N -1 1 335.368 -0.497 20 0 EBADMM COc1nn(C)cc1C(=O)N1C[C@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001054968487 736274702 /nfs/dbraw/zinc/27/47/02/736274702.db2.gz IZJKNBGDNPWGNR-WCBMZHEXSA-N -1 1 335.368 -0.497 20 0 EBADMM COC(=O)NCC(=O)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC001055203344 736309849 /nfs/dbraw/zinc/30/98/49/736309849.db2.gz FHMAGDCRDKXBJU-PHIMTYICSA-N -1 1 348.359 -0.326 20 0 EBADMM O=C(N[C@]1(CO)CCCN(C(=O)c2cn[nH]n2)C1)c1ncccc1[O-] ZINC001055899573 736540551 /nfs/dbraw/zinc/54/05/51/736540551.db2.gz XAPISHGMHUOSSA-OAHLLOKOSA-N -1 1 346.347 -0.698 20 0 EBADMM O=C(N[C@@]1(CO)CCCN(C(=O)c2ncccc2[O-])C1)c1nnc[nH]1 ZINC001056045479 736612079 /nfs/dbraw/zinc/61/20/79/736612079.db2.gz IXTKUMGCKMTTGD-HNNXBMFYSA-N -1 1 346.347 -0.698 20 0 EBADMM NC(=O)NCC(=O)N1CC[C@H]2[C@@H]1CCCN2C(=O)c1ncccc1[O-] ZINC001056358083 736700360 /nfs/dbraw/zinc/70/03/60/736700360.db2.gz XCRRLFZUKYDTEN-QWRGUYRKSA-N -1 1 347.375 -0.339 20 0 EBADMM O=C(c1ncccc1[O-])N1CC[C@@H](Nc2nccn3nnnc23)C1 ZINC001056612364 736753104 /nfs/dbraw/zinc/75/31/04/736753104.db2.gz FKFDLNCUYXDDBJ-SECBINFHSA-N -1 1 326.320 -0.054 20 0 EBADMM Cc1ccnc(N[C@@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)n1 ZINC001056665718 736765080 /nfs/dbraw/zinc/76/50/80/736765080.db2.gz LRHCWQXZQMOKCZ-GFCCVEGCSA-N -1 1 344.375 -0.262 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1cc[n+]([O-])cc1)c1ncccc1[O-] ZINC001056880358 736848270 /nfs/dbraw/zinc/84/82/70/736848270.db2.gz BPOZLSANXBIGBU-UHFFFAOYSA-N -1 1 346.343 -0.715 20 0 EBADMM Cc1c(C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])nnn1C ZINC001057258489 737122763 /nfs/dbraw/zinc/12/27/63/737122763.db2.gz GFSSHHALFVNHGM-UHFFFAOYSA-N -1 1 348.363 -0.911 20 0 EBADMM Cc1ncc(C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])[nH]1 ZINC001057410068 737229426 /nfs/dbraw/zinc/22/94/26/737229426.db2.gz BHWHPPGZZQZDED-UHFFFAOYSA-N -1 1 333.348 -0.317 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccc1[O-])[C@@]1(F)CCOC1 ZINC001057409062 737229441 /nfs/dbraw/zinc/22/94/41/737229441.db2.gz TZOHFMQUTWCXGA-OAHLLOKOSA-N -1 1 341.339 -0.534 20 0 EBADMM CC(C)[C@@H](O)C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410267 737229763 /nfs/dbraw/zinc/22/97/63/737229763.db2.gz KJCFYLRQGLAXDW-CYBMUJFWSA-N -1 1 325.365 -0.645 20 0 EBADMM Nc1nccnc1C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410257 737229946 /nfs/dbraw/zinc/22/99/46/737229946.db2.gz KCPIPTNWUUHNJT-UHFFFAOYSA-N -1 1 346.347 -0.976 20 0 EBADMM CC(C)(O)CC(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410763 737231238 /nfs/dbraw/zinc/23/12/38/737231238.db2.gz WDFKRJIEFYCNNL-UHFFFAOYSA-N -1 1 325.365 -0.501 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccc1[O-])[C@H]1C[C@]12CCOC2 ZINC001057412030 737232791 /nfs/dbraw/zinc/23/27/91/737232791.db2.gz ULOYLEJJYHBCQI-PXAZEXFGSA-N -1 1 349.387 -0.236 20 0 EBADMM Cc1nn[nH]c1C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057416003 737235874 /nfs/dbraw/zinc/23/58/74/737235874.db2.gz ARDCXNSTOCULQO-UHFFFAOYSA-N -1 1 334.336 -0.922 20 0 EBADMM Cc1cc(C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])cnn1 ZINC001057433004 737246838 /nfs/dbraw/zinc/24/68/38/737246838.db2.gz CLOSEMLGDFAWGJ-UHFFFAOYSA-N -1 1 345.359 -0.250 20 0 EBADMM Cc1ncn(C)c1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057882696 737502880 /nfs/dbraw/zinc/50/28/80/737502880.db2.gz XYTWJNYYCVWGGH-UHFFFAOYSA-N -1 1 347.375 -0.306 20 0 EBADMM Cn1cncc1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001058029420 737596888 /nfs/dbraw/zinc/59/68/88/737596888.db2.gz DVBUPAJGWYGCLC-UHFFFAOYSA-N -1 1 333.348 -0.615 20 0 EBADMM O=C(CCc1ccon1)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001058090217 737641966 /nfs/dbraw/zinc/64/19/66/737641966.db2.gz MUVXAOAFQIYHNS-UHFFFAOYSA-N -1 1 348.359 -0.041 20 0 EBADMM O=C(N[C@H]1CCN(c2ccc3nnnn3n2)C1)c1ncccc1[O-] ZINC001058344110 737785991 /nfs/dbraw/zinc/78/59/91/737785991.db2.gz RDIFBDYCEFGZBF-VIFPVBQESA-N -1 1 326.320 -0.371 20 0 EBADMM Cn1nnc2c1ncnc2N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001058343569 737786034 /nfs/dbraw/zinc/78/60/34/737786034.db2.gz CEQFCCIRFNPYJX-SECBINFHSA-N -1 1 340.347 -0.132 20 0 EBADMM O=C(NCCN(CCO)C(=O)[C@]1(F)CCOC1)c1ncccc1[O-] ZINC001058492771 737856352 /nfs/dbraw/zinc/85/63/52/737856352.db2.gz FARHWWIRXHYZQG-HNNXBMFYSA-N -1 1 341.339 -0.534 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CC[C@H](Nc2cc(F)ncn2)C1 ZINC001058929590 738077883 /nfs/dbraw/zinc/07/78/83/738077883.db2.gz FXARKHGYPXPJFP-QMMMGPOBSA-N -1 1 344.310 -0.327 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC[C@@H]1CCN(C(=O)C2CC2)C1 ZINC001058987138 738095076 /nfs/dbraw/zinc/09/50/76/738095076.db2.gz ATRQXAYSOCEXGC-GFCCVEGCSA-N -1 1 348.403 -0.309 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059050391 738103156 /nfs/dbraw/zinc/10/31/56/738103156.db2.gz PZBBQDPVQZZRCD-GFCCVEGCSA-N -1 1 334.376 -0.108 20 0 EBADMM O=C(Cn1cnnn1)NCC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059514751 738187033 /nfs/dbraw/zinc/18/70/33/738187033.db2.gz JHSIQAAKESZUDC-LLVKDONJSA-N -1 1 345.363 -0.558 20 0 EBADMM O=C(N[C@H]1C[C@@H](Nc2nccn3nnnc23)C1)c1ncccc1[O-] ZINC001059614187 738210720 /nfs/dbraw/zinc/21/07/20/738210720.db2.gz VCKAACBWGGTIGB-DTORHVGOSA-N -1 1 326.320 -0.007 20 0 EBADMM O=C(Cn1ncnn1)N1CC[C@@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059695745 738231500 /nfs/dbraw/zinc/23/15/00/738231500.db2.gz RIMZAZRHSMQHLR-LLVKDONJSA-N -1 1 345.363 -0.558 20 0 EBADMM CNC(=O)NCC(=O)N1CC[C@@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059782176 738245346 /nfs/dbraw/zinc/24/53/46/738245346.db2.gz BANYSQNHQSJAGA-LLVKDONJSA-N -1 1 349.391 -0.315 20 0 EBADMM CCC(=O)NCC[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001059936609 738281264 /nfs/dbraw/zinc/28/12/64/738281264.db2.gz ONHAHRVKNBJBQK-LBPRGKRZSA-N -1 1 336.392 -0.309 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N1CC[C@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001060411398 738356754 /nfs/dbraw/zinc/35/67/54/738356754.db2.gz SPPCIVZUZZKTGV-TUAOUCFPSA-N -1 1 346.387 -0.123 20 0 EBADMM NC(=O)NCC(=O)NC[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC001060715946 738388849 /nfs/dbraw/zinc/38/88/49/738388849.db2.gz QVXWBBCDKJSQQD-XYPYZODXSA-N -1 1 349.391 -0.140 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NCC1(NC(=O)CCn2cc[n-]c(=O)c2=O)CCC1 ZINC001062436342 738915502 /nfs/dbraw/zinc/91/55/02/738915502.db2.gz VVCOQXWWCMSOGX-RYUDHWBXSA-N -1 1 348.403 -0.262 20 0 EBADMM C[C@H]1C[C@H]1C(=O)NCC1(NC(=O)c2cc(=O)n3[n-]cnc3n2)CCC1 ZINC001062434855 738915612 /nfs/dbraw/zinc/91/56/12/738915612.db2.gz DOEDYWZYGRECAJ-VHSXEESVSA-N -1 1 344.375 -0.158 20 0 EBADMM CNC(=O)NCCC(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062930933 739027632 /nfs/dbraw/zinc/02/76/32/739027632.db2.gz CANOZBZOJKHDMI-UHFFFAOYSA-N -1 1 349.391 -0.125 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@@H]1CNc1ncccn1 ZINC001063499162 739120702 /nfs/dbraw/zinc/12/07/02/739120702.db2.gz CAAKOAAOAPKNII-GFCCVEGCSA-N -1 1 344.375 -0.180 20 0 EBADMM CN(CCCN(C)C(=O)C(F)F)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001066574750 739997510 /nfs/dbraw/zinc/99/75/10/739997510.db2.gz PGMOBLVNNMYCLP-UHFFFAOYSA-N -1 1 342.306 -0.397 20 0 EBADMM CCOCC(=O)N1CCOC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001068283588 740276606 /nfs/dbraw/zinc/27/66/06/740276606.db2.gz GTNNOXWURJWOTO-UHFFFAOYSA-N -1 1 335.360 -0.123 20 0 EBADMM O=C(NC1(CNC(=O)c2ncccc2[O-])CCOCC1)c1nnc[nH]1 ZINC001070194019 740741904 /nfs/dbraw/zinc/74/19/04/740741904.db2.gz BZUZVBSEHYWLOQ-UHFFFAOYSA-N -1 1 346.347 -0.386 20 0 EBADMM CO[C@H](C)C(=O)N1C[C@@H](c2cn(C)cn2)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001070473876 740840494 /nfs/dbraw/zinc/84/04/94/740840494.db2.gz WNDKHLLIHAUUQD-JFGNBEQYSA-N -1 1 349.395 -0.637 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1C[C@@H](c2cn(C)cn2)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001070523739 740855029 /nfs/dbraw/zinc/85/50/29/740855029.db2.gz DUXOMJRMYWJRFS-MRBYEJRBSA-N -1 1 345.407 -0.016 20 0 EBADMM COCC(=O)N1CCC([C@@H]2OCC[C@H]2NCc2n[nH]c(=O)[n-]2)CC1 ZINC001071028287 741046316 /nfs/dbraw/zinc/04/63/16/741046316.db2.gz XVSNKLHSVKHCMK-RISCZKNCSA-N -1 1 339.396 -0.358 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)Cc2cc[nH]n2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071399075 741132974 /nfs/dbraw/zinc/13/29/74/741132974.db2.gz NRKNJYKWWODKPW-ZYHUDNBSSA-N -1 1 333.396 -0.457 20 0 EBADMM Cc1ccnc(C(=O)N[C@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001071476361 741143778 /nfs/dbraw/zinc/14/37/78/741143778.db2.gz FSKBYEMYAYJHSW-RYUDHWBXSA-N -1 1 345.407 -0.010 20 0 EBADMM Cc1nnc(CC(=O)N[C@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)o1 ZINC001071491352 741145355 /nfs/dbraw/zinc/14/53/55/741145355.db2.gz ZNKVVKQUJUKZFJ-ONGXEEELSA-N -1 1 349.395 -0.488 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N[C@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071589490 741173824 /nfs/dbraw/zinc/17/38/24/741173824.db2.gz VNKFVKXZCIPIHH-WPRPVWTQSA-N -1 1 349.395 -0.372 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071748459 741211211 /nfs/dbraw/zinc/21/12/11/741211211.db2.gz OJWNTTVBAUVLRA-MOWSAHLDSA-N -1 1 335.408 -0.530 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2n[nH]cc2F)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071800234 741218480 /nfs/dbraw/zinc/21/84/80/741218480.db2.gz SXKMHAMKJJZVDX-RKDXNWHRSA-N -1 1 337.359 -0.247 20 0 EBADMM Cc1ccn(CC(=O)N[C@@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001071831601 741228333 /nfs/dbraw/zinc/22/83/33/741228333.db2.gz JFJOEOGEMGLOKV-QWHCGFSZSA-N -1 1 347.423 -0.217 20 0 EBADMM CNC(=O)NCC(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001071952488 741263438 /nfs/dbraw/zinc/26/34/38/741263438.db2.gz MUBJUDKTMRNVJN-UWVGGRQHSA-N -1 1 335.364 -0.707 20 0 EBADMM Cc1nccc(C(=O)N[C@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001072077996 741301005 /nfs/dbraw/zinc/30/10/05/741301005.db2.gz DQRFWFRXOICUNU-JQWIXIFHSA-N -1 1 345.407 -0.010 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)C4CCOCC4)C3)C2)nc1=O ZINC001072381185 741384933 /nfs/dbraw/zinc/38/49/33/741384933.db2.gz VGDFBDYCLVPCBT-UHFFFAOYSA-N -1 1 335.408 -0.431 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)c4cc[n+]([O-])cc4)C3)C2)nc1=O ZINC001072434058 741399259 /nfs/dbraw/zinc/39/92/59/741399259.db2.gz PGTZFAUQMVUXHU-UHFFFAOYSA-N -1 1 344.375 -0.910 20 0 EBADMM Cn1cc(CC(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)cn1 ZINC001072532574 741425694 /nfs/dbraw/zinc/42/56/94/741425694.db2.gz OSGPIBKRASVQHK-UHFFFAOYSA-N -1 1 345.407 -0.881 20 0 EBADMM Cc1cc(CC(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)no1 ZINC001072559846 741429076 /nfs/dbraw/zinc/42/90/76/741429076.db2.gz VXNKGONRGZDZGH-UHFFFAOYSA-N -1 1 346.391 -0.318 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)Cc4ccon4)C3)C2)nc1=O ZINC001072563153 741429561 /nfs/dbraw/zinc/42/95/61/741429561.db2.gz IMZDYGAELUZCSF-UHFFFAOYSA-N -1 1 332.364 -0.627 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)[C@H]4CCCCO4)C3)C2)nc1=O ZINC001072631381 741446665 /nfs/dbraw/zinc/44/66/65/741446665.db2.gz XOFVIESTHBWSJX-GFCCVEGCSA-N -1 1 335.408 -0.288 20 0 EBADMM CCn1nccc1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072661150 741455473 /nfs/dbraw/zinc/45/54/73/741455473.db2.gz NPSFRBRMLIGJNR-UHFFFAOYSA-N -1 1 345.407 -0.327 20 0 EBADMM CO[C@H](C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2)C1CC1 ZINC001072686601 741461231 /nfs/dbraw/zinc/46/12/31/741461231.db2.gz QQGBOYLTHPZIDI-ZDUSSCGKSA-N -1 1 335.408 -0.432 20 0 EBADMM O=C(CCc1n[nH]c(=O)[n-]c1=O)N1CC2(C1)CCN(CCF)C2 ZINC001072894432 741514206 /nfs/dbraw/zinc/51/42/06/741514206.db2.gz RCAJRONOJYVJTB-UHFFFAOYSA-N -1 1 325.344 -0.281 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)c4n[nH]cc4F)C3)C2)nc1=O ZINC001072958912 741527598 /nfs/dbraw/zinc/52/75/98/741527598.db2.gz ZFJNIMMHRDWJEX-UHFFFAOYSA-N -1 1 335.343 -0.681 20 0 EBADMM Cc1nc(C)c(C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)[nH]1 ZINC001072992297 741536774 /nfs/dbraw/zinc/53/67/74/741536774.db2.gz UEWAKWDQTMPYGY-UHFFFAOYSA-N -1 1 345.407 -0.204 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@@H](CNC(=O)c3ccon3)C2)nc1=O ZINC001073541280 741640655 /nfs/dbraw/zinc/64/06/55/741640655.db2.gz MEMIBOZYYDDVAI-JTQLQIEISA-N -1 1 336.352 -0.883 20 0 EBADMM CC1CC(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CCCO2)C1 ZINC001073572044 741661005 /nfs/dbraw/zinc/66/10/05/741661005.db2.gz PYRRKXKQOZTJHN-BPCQOVAHSA-N -1 1 337.424 -0.138 20 0 EBADMM O=C(CN1CCCO[C@@H](CNC(=O)c2ncccc2[O-])C1)NC1CC1 ZINC001073583696 741668599 /nfs/dbraw/zinc/66/85/99/741668599.db2.gz CABLQPXJKMIBKX-ZDUSSCGKSA-N -1 1 348.403 -0.114 20 0 EBADMM COCC(=O)N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC001073633369 741690026 /nfs/dbraw/zinc/69/00/26/741690026.db2.gz XXCNXXQPDLGTQB-NXEZZACHSA-N -1 1 348.363 -0.969 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001073778696 741741345 /nfs/dbraw/zinc/74/13/45/741741345.db2.gz HCBGQHBRWOPYJD-JHJVBQTASA-N -1 1 337.424 -0.138 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001073899682 741780987 /nfs/dbraw/zinc/78/09/87/741780987.db2.gz ABVWRJKCPAYKSB-CMPLNLGQSA-N -1 1 334.376 -0.110 20 0 EBADMM CNC(=O)NCC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001074010911 741806047 /nfs/dbraw/zinc/80/60/47/741806047.db2.gz LJDROKXHRBDLJN-WDEREUQCSA-N -1 1 349.391 -0.317 20 0 EBADMM CNC(=O)NCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001074010910 741806161 /nfs/dbraw/zinc/80/61/61/741806161.db2.gz LJDROKXHRBDLJN-QWRGUYRKSA-N -1 1 349.391 -0.317 20 0 EBADMM C[C@H]1CN(C(=O)C2CC2)CC[C@@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001074064051 741824670 /nfs/dbraw/zinc/82/46/70/741824670.db2.gz UOPHSZJKOVOYRN-AAEUAGOBSA-N -1 1 348.403 -0.310 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H]3CCN(C(=O)C4CC=CC4)C[C@@H]32)nc1=O ZINC001074196599 741858328 /nfs/dbraw/zinc/85/83/28/741858328.db2.gz NVRZKTHYTVNUNR-KBPBESRZSA-N -1 1 347.419 -0.124 20 0 EBADMM CCN1CCO[C@@H]2CCN(C(=O)c3[nH]c(=O)[n-]c(=O)c3OC)C[C@@H]21 ZINC001074382513 741921586 /nfs/dbraw/zinc/92/15/86/741921586.db2.gz MKHIDGHPIOGBCL-VHSXEESVSA-N -1 1 338.364 -0.168 20 0 EBADMM CCN1CCO[C@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]21 ZINC001074382979 741922016 /nfs/dbraw/zinc/92/20/16/741922016.db2.gz UBAWVVYTMJEXIC-NEPJUHHUSA-N -1 1 332.364 -0.647 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@H]1C[C@@H](C)CC(=O)N1 ZINC001074656051 742049199 /nfs/dbraw/zinc/04/91/99/742049199.db2.gz SGQVKWJNUHUMOS-VPOLOUISSA-N -1 1 336.396 -0.496 20 0 EBADMM O=C(NCC1(O)CCN(C(=O)c2nc[nH]n2)CC1)c1ncccc1[O-] ZINC001074670110 742057573 /nfs/dbraw/zinc/05/75/73/742057573.db2.gz RQGKTOMMPSDPKD-UHFFFAOYSA-N -1 1 346.347 -0.698 20 0 EBADMM CNC(=O)NCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@H](C)C1 ZINC001075027326 742161229 /nfs/dbraw/zinc/16/12/29/742161229.db2.gz NXACGVZNJHGMLU-MNOVXSKESA-N -1 1 349.391 -0.175 20 0 EBADMM CCC(=O)N1CCC[C@@H](n2cc(CNCc3nc(=O)n(C)[n-]3)nn2)C1 ZINC001075371517 742219075 /nfs/dbraw/zinc/21/90/75/742219075.db2.gz UYNQMYGXIZTDEG-GFCCVEGCSA-N -1 1 348.411 -0.437 20 0 EBADMM CCOCC(=O)NCC[C@H](C)NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001075487408 742232986 /nfs/dbraw/zinc/23/29/86/742232986.db2.gz RVKDEWKEZHZIBH-QMMMGPOBSA-N -1 1 342.352 -0.443 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)CCNC(=O)[C@@H](C)OC ZINC001075794369 742299821 /nfs/dbraw/zinc/29/98/21/742299821.db2.gz IWQYNQDEMNOPNI-JGVFFNPUSA-N -1 1 342.352 -0.444 20 0 EBADMM CCS(=O)(=O)CC(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076248673 742419576 /nfs/dbraw/zinc/41/95/76/742419576.db2.gz YASUNWXLLHNEMF-JTQLQIEISA-N -1 1 343.405 -0.154 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)C(=O)NCC(F)F ZINC001076248329 742419605 /nfs/dbraw/zinc/41/96/05/742419605.db2.gz JHJHBSVOBKTVHM-QMMMGPOBSA-N -1 1 344.318 -0.207 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)CCS(C)(=O)=O ZINC001076246482 742419637 /nfs/dbraw/zinc/41/96/37/742419637.db2.gz UPLIOSAMKXBLNC-JTQLQIEISA-N -1 1 343.405 -0.154 20 0 EBADMM CNC(=O)CC(=O)NCC[C@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001076256427 742422916 /nfs/dbraw/zinc/42/29/16/742422916.db2.gz AHNXYVOUKTVSQJ-VIFPVBQESA-N -1 1 349.391 -0.180 20 0 EBADMM CCn1ccc(C(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)n1 ZINC001076322113 742438049 /nfs/dbraw/zinc/43/80/49/742438049.db2.gz GXUQPAIFUATLDZ-WCQYABFASA-N -1 1 345.407 -0.329 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)c4ccc(=O)[nH]c4)[C@@H]3C2)nc1=O ZINC001076525571 742490388 /nfs/dbraw/zinc/49/03/88/742490388.db2.gz OVQGDRJMMSCGCV-NWDGAFQWSA-N -1 1 344.375 -0.445 20 0 EBADMM O=C(N[C@@H]1C[N@@H+](CCN2CCCC2=O)C[C@H]1O)c1ccccc1O ZINC001076749947 742555887 /nfs/dbraw/zinc/55/58/87/742555887.db2.gz UBUHOAICVMTKOP-UKRRQHHQSA-N -1 1 333.388 -0.211 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)CC3=CCCCC3)C2)nc1=O ZINC001076810989 742583294 /nfs/dbraw/zinc/58/32/94/742583294.db2.gz WEWANGYRASDOEO-CHWSQXEVSA-N -1 1 335.408 -0.340 20 0 EBADMM CC(C)n1cc(CN2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)nn1 ZINC001076917121 742642511 /nfs/dbraw/zinc/64/25/11/742642511.db2.gz RDIUDMZYIQIHGR-TZMCWYRMSA-N -1 1 346.391 -0.065 20 0 EBADMM Cc1nnc([C@H](C)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)[nH]1 ZINC001076917154 742642564 /nfs/dbraw/zinc/64/25/64/742642564.db2.gz SUZCCVINFPABHS-MKPLZMMCSA-N -1 1 332.364 -0.250 20 0 EBADMM C[C@H](C(=O)NC1CC1)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001076917097 742642592 /nfs/dbraw/zinc/64/25/92/742642592.db2.gz PZDPMOKCFPTGPY-IRUJWGPZSA-N -1 1 334.376 -0.771 20 0 EBADMM CCc1cccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)n1 ZINC001077144437 742753221 /nfs/dbraw/zinc/75/32/21/742753221.db2.gz GTISBLNEYCUIBJ-CHWSQXEVSA-N -1 1 346.391 -0.959 20 0 EBADMM C[C@@H](CCNC(=O)C[C@@H]1CC(=O)NC1=O)NC(=O)c1ncccc1[O-] ZINC001077318045 742883737 /nfs/dbraw/zinc/88/37/37/742883737.db2.gz MPGVVZVYZSFOIA-VHSXEESVSA-N -1 1 348.359 -0.535 20 0 EBADMM C[C@@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)C(C)(F)F ZINC001077487457 743012158 /nfs/dbraw/zinc/01/21/58/743012158.db2.gz UWQDPFPZTIAZLU-ZETCQYMHSA-N -1 1 342.306 -0.303 20 0 EBADMM CCc1ccccc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001077587452 743103342 /nfs/dbraw/zinc/10/33/42/743103342.db2.gz IZMLEVNPIXFPJQ-ZIAGYGMSSA-N -1 1 345.403 -0.354 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)[C@H]3CCC(C)(C)C3)C2)nc1=O ZINC001077626186 743127435 /nfs/dbraw/zinc/12/74/35/743127435.db2.gz GJQZTQZNAPXGPJ-QJPTWQEYSA-N -1 1 337.424 -0.404 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c(C)[nH]1 ZINC001077749425 743228597 /nfs/dbraw/zinc/22/85/97/743228597.db2.gz QZLJHRSQJITNTD-VXGBXAGGSA-N -1 1 334.380 -0.972 20 0 EBADMM Cc1ccc([C@@H](C)C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)o1 ZINC001077800264 743256515 /nfs/dbraw/zinc/25/65/15/743256515.db2.gz WKORFHSMMXCRCH-IJLUTSLNSA-N -1 1 349.391 -0.525 20 0 EBADMM CCCCN1C[C@@H](O)[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001077854384 743296220 /nfs/dbraw/zinc/29/62/20/743296220.db2.gz HPFFRIVKTUYAJY-VXGBXAGGSA-N -1 1 334.380 -0.967 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)C3(C)CC(F)(F)C3)C2)nc1=O ZINC001077983446 743393688 /nfs/dbraw/zinc/39/36/88/743393688.db2.gz QSJTVNOPWDJBPA-RKDXNWHRSA-N -1 1 345.350 -0.795 20 0 EBADMM CO[C@@H](C)CN1C[C@@H](O)[C@H](NC(=O)c2ccc3oc(=O)nc-3[n-]2)C1 ZINC001077998450 743405106 /nfs/dbraw/zinc/40/51/06/743405106.db2.gz XSZUAUNUFOCWQV-JMJZKYOTSA-N -1 1 336.348 -0.262 20 0 EBADMM Cc1cc(C(=O)N2CCCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)n(C)n1 ZINC001078351683 743599329 /nfs/dbraw/zinc/59/93/29/743599329.db2.gz UGTCEPKCWBHMSE-LLVKDONJSA-N -1 1 349.395 -0.427 20 0 EBADMM O=C([C@H]1CCOC1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078352215 743600066 /nfs/dbraw/zinc/60/00/66/743600066.db2.gz ANFLUZZDBWAFIV-QWRGUYRKSA-N -1 1 325.369 -0.746 20 0 EBADMM Cn1nccc1C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078354297 743601412 /nfs/dbraw/zinc/60/14/12/743601412.db2.gz FJHDIXJCCFIKPI-JTQLQIEISA-N -1 1 335.368 -0.735 20 0 EBADMM O=C([C@@H]1CCCOC1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078356451 743601959 /nfs/dbraw/zinc/60/19/59/743601959.db2.gz LIJAWZXEHGEBAW-VXGBXAGGSA-N -1 1 339.396 -0.356 20 0 EBADMM Cc1ncoc1C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078367590 743611696 /nfs/dbraw/zinc/61/16/96/743611696.db2.gz NXSPHYKXFIXUJW-SNVBAGLBSA-N -1 1 336.352 -0.172 20 0 EBADMM Cn1ccc(C(=O)N2CCCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001078378405 743614643 /nfs/dbraw/zinc/61/46/43/743614643.db2.gz ADTPHQZIPCRUKH-GFCCVEGCSA-N -1 1 334.380 -0.130 20 0 EBADMM CO[C@@H](C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001078390793 743624393 /nfs/dbraw/zinc/62/43/93/743624393.db2.gz GAEZGHMZDGQUKT-WCQYABFASA-N -1 1 339.396 -0.358 20 0 EBADMM O=C(c1ccsn1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078418592 743640763 /nfs/dbraw/zinc/64/07/63/743640763.db2.gz RWCGIVWCRCKETI-VIFPVBQESA-N -1 1 338.393 -0.012 20 0 EBADMM Cc1ccn(CC(=O)N2CCCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001078470441 743664115 /nfs/dbraw/zinc/66/41/15/743664115.db2.gz PDQPSCSXFVFNMF-GFCCVEGCSA-N -1 1 349.395 -0.577 20 0 EBADMM C[C@@H]1CCO[C@@H]1C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078471900 743664267 /nfs/dbraw/zinc/66/42/67/743664267.db2.gz IJISLKIDGJSPBX-MDZLAQPJSA-N -1 1 339.396 -0.358 20 0 EBADMM C[C@@H]1CC[C@H](C(=O)N2CCCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)O1 ZINC001078475149 743666156 /nfs/dbraw/zinc/66/61/56/743666156.db2.gz GGUKMTQNABJMBM-IJLUTSLNSA-N -1 1 339.396 -0.215 20 0 EBADMM CCn1ccc(C(=O)N2CCCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001078483088 743668382 /nfs/dbraw/zinc/66/83/82/743668382.db2.gz MTRVLYBBKUVVSD-NSHDSACASA-N -1 1 349.395 -0.252 20 0 EBADMM Cc1cnoc1C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078495598 743677250 /nfs/dbraw/zinc/67/72/50/743677250.db2.gz ZMLSXYWFMXTPOX-JTQLQIEISA-N -1 1 336.352 -0.172 20 0 EBADMM O=C(c1ccc(=O)[nH]c1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078504016 743682639 /nfs/dbraw/zinc/68/26/39/743682639.db2.gz GQUVLQPKKWOWKU-NSHDSACASA-N -1 1 348.363 -0.368 20 0 EBADMM O=C(Cn1ccnc1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078513799 743686712 /nfs/dbraw/zinc/68/67/12/743686712.db2.gz BENUYRODNLWCRO-NSHDSACASA-N -1 1 335.368 -0.886 20 0 EBADMM O=C(Cn1ccnc1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078513798 743686812 /nfs/dbraw/zinc/68/68/12/743686812.db2.gz BENUYRODNLWCRO-LLVKDONJSA-N -1 1 335.368 -0.886 20 0 EBADMM O=C(CN1CCCC1=O)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078551438 743693141 /nfs/dbraw/zinc/69/31/41/743693141.db2.gz RYNJMLYXQKMUSS-GHMZBOCLSA-N -1 1 348.407 -0.350 20 0 EBADMM Cc1c(C(=O)N[C@@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)nnn1C ZINC001078585136 743700043 /nfs/dbraw/zinc/70/00/43/743700043.db2.gz BFUCRSOCCBYREX-VHSXEESVSA-N -1 1 346.395 -0.222 20 0 EBADMM O=C(Cc1cc[nH]n1)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078583761 743700203 /nfs/dbraw/zinc/70/02/03/743700203.db2.gz PGRHKNGYUIEKAJ-GHMZBOCLSA-N -1 1 331.380 -0.007 20 0 EBADMM CCn1nncc1C(=O)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078619294 743709224 /nfs/dbraw/zinc/70/92/24/743709224.db2.gz GURHNTLHEJPGQO-GHMZBOCLSA-N -1 1 346.395 -0.048 20 0 EBADMM O=C(N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2)c1cnc[nH]c1=O ZINC001078655205 743716444 /nfs/dbraw/zinc/71/64/44/743716444.db2.gz ZCKWKHXXNIEQGH-NXEZZACHSA-N -1 1 345.363 -0.163 20 0 EBADMM O=C(Cn1ccnc1)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078830429 743740725 /nfs/dbraw/zinc/74/07/25/743740725.db2.gz YZNNIGHLTCZZCS-GHMZBOCLSA-N -1 1 331.380 -0.076 20 0 EBADMM O=C(NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)[C@@H]1CNC(=O)N1 ZINC000980351416 805858586 /nfs/dbraw/zinc/85/85/86/805858586.db2.gz HIBQHZWHNNJCNO-GUBZILKMSA-N -1 1 333.348 -0.907 20 0 EBADMM Cc1noc(C)c1CC(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000980370493 805873323 /nfs/dbraw/zinc/87/33/23/805873323.db2.gz UTCWWFZSXRHIEH-GFCCVEGCSA-N -1 1 348.407 -0.011 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)CCc2cnn(C)c2)C1 ZINC000980474896 805909094 /nfs/dbraw/zinc/90/90/94/805909094.db2.gz ANNIFETXWKDIDL-ZDUSSCGKSA-N -1 1 347.423 -0.493 20 0 EBADMM Cc1cnn(CCC(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC000980483243 805911702 /nfs/dbraw/zinc/91/17/02/805911702.db2.gz LHGZDELUEKJZII-ZDUSSCGKSA-N -1 1 347.423 -0.264 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)[C@H]2CC23CCOCC3)C1 ZINC000980565094 805945140 /nfs/dbraw/zinc/94/51/40/805945140.db2.gz VLXZPMVULBBHAW-CHWSQXEVSA-N -1 1 349.435 -0.042 20 0 EBADMM C[C@H]1C[C@@H](CNCc2ccon2)CN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000980641480 805971880 /nfs/dbraw/zinc/97/18/80/805971880.db2.gz FTDRKOWDDLPPJW-IUCAKERBSA-N -1 1 334.336 -0.089 20 0 EBADMM C[C@@H](C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1)n1cccn1 ZINC000980748068 806006981 /nfs/dbraw/zinc/00/69/81/806006981.db2.gz DVYBIKSSYQGHMY-LBPRGKRZSA-N -1 1 333.396 -0.400 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)CC[C@H]3CCOC3)CC2)nc1=O ZINC000980939703 806044026 /nfs/dbraw/zinc/04/40/26/806044026.db2.gz VLPAKNBVCGUTAL-ZDUSSCGKSA-N -1 1 337.424 -0.041 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)Cc3cccnc3)CC2)nc1=O ZINC000980981635 806052528 /nfs/dbraw/zinc/05/25/28/806052528.db2.gz CGFNOLNOHIWVLN-UHFFFAOYSA-N -1 1 330.392 -0.220 20 0 EBADMM C[C@H]1OCCC[C@H]1C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000981033951 806065145 /nfs/dbraw/zinc/06/51/45/806065145.db2.gz STRCOSIOQUHJQO-CHWSQXEVSA-N -1 1 337.424 -0.042 20 0 EBADMM Cn1nccc1CC(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000981061055 806072410 /nfs/dbraw/zinc/07/24/10/806072410.db2.gz OCTUYPZEWJPMLM-UHFFFAOYSA-N -1 1 333.396 -0.881 20 0 EBADMM Cc1ccc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)nn1 ZINC000981133602 806090009 /nfs/dbraw/zinc/09/00/09/806090009.db2.gz IJPMEVIBJFGTAH-UHFFFAOYSA-N -1 1 331.380 -0.445 20 0 EBADMM Cc1noc(CN[C@H]2CCC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC000981202919 806107642 /nfs/dbraw/zinc/10/76/42/806107642.db2.gz XDRXJSDGGRFKHE-IUCAKERBSA-N -1 1 349.351 -0.195 20 0 EBADMM O=C(N[C@H]1CCC[C@@H](NCc2ncccn2)C1)c1n[nH]c(=O)[n-]c1=O ZINC000981200759 806106555 /nfs/dbraw/zinc/10/65/55/806106555.db2.gz BSRKZQXCWQULSF-ZJUUUORDSA-N -1 1 345.363 -0.096 20 0 EBADMM Cc1nnc(CN[C@@H]2CCC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC000981201903 806108206 /nfs/dbraw/zinc/10/82/06/806108206.db2.gz RIEPKBFKBLSAOT-RKDXNWHRSA-N -1 1 349.351 -0.195 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)c3ccn(C)c(=O)c3)CC2)nc1=O ZINC000981469776 806179176 /nfs/dbraw/zinc/17/91/76/806179176.db2.gz LCPWIWHYRYCEJB-UHFFFAOYSA-N -1 1 346.391 -0.845 20 0 EBADMM CO[C@H]1CC[C@H](C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)C1 ZINC000981497820 806183128 /nfs/dbraw/zinc/18/31/28/806183128.db2.gz HNGGBSZCILEAFD-STQMWFEESA-N -1 1 337.424 -0.042 20 0 EBADMM CC[C@H](OC)C(=O)N1CC2(C1)CCCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC000981658177 806226572 /nfs/dbraw/zinc/22/65/72/806226572.db2.gz CSEJBAHMXLRTEC-LBPRGKRZSA-N -1 1 337.424 -0.042 20 0 EBADMM O=C(N[C@@H]1CC[C@H](CNC(=O)[C@H]2CCNC2=O)C1)c1ncccc1[O-] ZINC000981878468 806314031 /nfs/dbraw/zinc/31/40/31/806314031.db2.gz AGLUPYSCOQWMLS-TUAOUCFPSA-N -1 1 346.387 -0.062 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)C3(C)CCOCC3)CC2)nc1=O ZINC000982222022 806426719 /nfs/dbraw/zinc/42/67/19/806426719.db2.gz LSLVDJIWSGRMFN-UHFFFAOYSA-N -1 1 337.424 -0.041 20 0 EBADMM CCCC(=O)N1CC[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000982360481 806472061 /nfs/dbraw/zinc/47/20/61/806472061.db2.gz ZOAVJMCMEJPVKM-JTQLQIEISA-N -1 1 332.364 -0.204 20 0 EBADMM CN1CCO[C@@H](C(=O)N2CC[C@@H](CNC(=O)c3ncccc3[O-])C2)C1 ZINC000982576965 806578127 /nfs/dbraw/zinc/57/81/27/806578127.db2.gz DLHMMKBSGZGTBF-GXTWGEPZSA-N -1 1 348.403 -0.304 20 0 EBADMM Cn1ncc(C(=O)NC[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)c1N ZINC000982669390 806625223 /nfs/dbraw/zinc/62/52/23/806625223.db2.gz CIBNXWLIYSPIIT-JTQLQIEISA-N -1 1 344.375 -0.005 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1COCCO1 ZINC000982671178 806627265 /nfs/dbraw/zinc/62/72/65/806627265.db2.gz OIQMRHIQQMDIBB-DGCLKSJQSA-N -1 1 335.360 -0.219 20 0 EBADMM CC(=O)N1CC(C(=O)NC[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000982672394 806628457 /nfs/dbraw/zinc/62/84/57/806628457.db2.gz WOYSYFYUXWMMLA-LBPRGKRZSA-N -1 1 346.387 -0.156 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1CCNC(=O)C1 ZINC000982672806 806628984 /nfs/dbraw/zinc/62/89/84/806628984.db2.gz ZJSCPFRPDKPLJE-NEPJUHHUSA-N -1 1 346.387 -0.108 20 0 EBADMM CN1C[C@H](C(=O)NC[C@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC000982675413 806631463 /nfs/dbraw/zinc/63/14/63/806631463.db2.gz LVZBJUSNFHCLLI-VXGBXAGGSA-N -1 1 346.387 -0.156 20 0 EBADMM Cn1nncc1C(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982706627 806651179 /nfs/dbraw/zinc/65/11/79/806651179.db2.gz WBIYLQLSEGFLFT-JTQLQIEISA-N -1 1 330.348 -0.192 20 0 EBADMM CC1(C(=O)N2CC[C@@H](CNC(=O)c3cc(=O)n4[n-]cnc4n3)C2)CC1 ZINC000982858663 806737597 /nfs/dbraw/zinc/73/75/97/806737597.db2.gz NYHAYGBFPIRMJQ-JTQLQIEISA-N -1 1 344.375 -0.204 20 0 EBADMM Cn1nnc(C(=O)N2CC[C@@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC000983128730 806896530 /nfs/dbraw/zinc/89/65/30/806896530.db2.gz LBSWOMRQGAYFDK-VIFPVBQESA-N -1 1 331.336 -0.797 20 0 EBADMM CS(=O)(=O)CS(=O)(=O)[N-][C@@H]1CCc2ccccc2NC1=O ZINC001260595985 812841422 /nfs/dbraw/zinc/84/14/22/812841422.db2.gz SCFOOEWYSFSHTR-LLVKDONJSA-N -1 1 332.403 -0.139 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCN(Cc2ccc3c(c2)OCO3)CC1 ZINC000169861564 803755485 /nfs/dbraw/zinc/75/54/85/803755485.db2.gz HVDWZNMHAUAWDT-UHFFFAOYSA-N -1 1 330.348 -0.185 20 0 EBADMM Cc1nn(C)c(C)c1C(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970237743 803821312 /nfs/dbraw/zinc/82/13/12/803821312.db2.gz SUNYMAXNWBZARE-VIFPVBQESA-N -1 1 347.423 -0.291 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)cnn1 ZINC000974504043 803826749 /nfs/dbraw/zinc/82/67/49/803826749.db2.gz WCLWWVJSJBEQLG-LBPRGKRZSA-N -1 1 345.407 -0.153 20 0 EBADMM CCCC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000976860062 803910571 /nfs/dbraw/zinc/91/05/71/803910571.db2.gz UIOIPDGUSLQTIR-WOFXILAISA-N -1 1 330.348 -0.596 20 0 EBADMM Cc1nn[nH]c1C(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000976929576 803970127 /nfs/dbraw/zinc/97/01/27/803970127.db2.gz JHKKQLNUQYPLRB-GDGBQDQQSA-N -1 1 328.332 -0.286 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)CCn1cc[n-]c(=O)c1=O)C(=O)C1CCC1 ZINC000977259027 804258318 /nfs/dbraw/zinc/25/83/18/804258318.db2.gz XMPJKSZWNKNBQK-ZDUSSCGKSA-N -1 1 348.403 -0.214 20 0 EBADMM Cc1ncc(C(=O)N(C)C[C@H]2CCN2C(=O)c2n[nH]c(=O)[n-]c2=O)[nH]1 ZINC000977466517 804337630 /nfs/dbraw/zinc/33/76/30/804337630.db2.gz JABSFDVZNRGCIA-MRVPVSSYSA-N -1 1 347.335 -0.699 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1CCNC(=O)C1 ZINC000977555691 804381249 /nfs/dbraw/zinc/38/12/49/804381249.db2.gz MJCOAMOIBMZOTG-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)[C@@H]1COC(=O)N1)C(=O)c1ncccc1[O-] ZINC000977619494 804417320 /nfs/dbraw/zinc/41/73/20/804417320.db2.gz RJKMOYNPZUDPFA-UWVGGRQHSA-N -1 1 334.332 -0.432 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)c1cn(C)nn1 ZINC000977653853 804444289 /nfs/dbraw/zinc/44/42/89/804444289.db2.gz RKTLVDSGEUZMQR-SNVBAGLBSA-N -1 1 330.348 -0.098 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)Cn3ccnc3)C(C)(C)C2)nc1=O ZINC000977664508 804453924 /nfs/dbraw/zinc/45/39/24/804453924.db2.gz GDHKZKONURGQHB-NSHDSACASA-N -1 1 333.396 -0.668 20 0 EBADMM CN(C[C@H]1CCN1C(=O)C1(C)CC1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000978055650 804636697 /nfs/dbraw/zinc/63/66/97/804636697.db2.gz QMPDWLKXAKSMGT-SNVBAGLBSA-N -1 1 344.375 -0.109 20 0 EBADMM Cc1cc(C(=O)N2CC[C@H]2CN(C)C(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC000978074215 804647780 /nfs/dbraw/zinc/64/77/80/804647780.db2.gz RUZWPWNAFLSARK-QMMMGPOBSA-N -1 1 347.335 -0.699 20 0 EBADMM CN1CCO[C@H](C(=O)NC[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000978685349 804924568 /nfs/dbraw/zinc/92/45/68/804924568.db2.gz IDCKJLFRXMVJAB-SCRDCRAPSA-N -1 1 348.403 -0.258 20 0 EBADMM O=C(NC[C@@H]1C[C@H](NC(=O)[C@@H]2CCC(=O)N2)C1)c1ncccc1[O-] ZINC000978738130 804950816 /nfs/dbraw/zinc/95/08/16/804950816.db2.gz BLLWQMZGRAESJG-AXFHLTTASA-N -1 1 332.360 -0.310 20 0 EBADMM O=C(NC[C@@H]1C[C@H](NC(=O)[C@@H]2COC(=O)N2)C1)c1ncccc1[O-] ZINC000978741222 804953019 /nfs/dbraw/zinc/95/30/19/804953019.db2.gz ILPYUOCDGSKSQN-AEJSXWLSSA-N -1 1 334.332 -0.480 20 0 EBADMM O=C(Cn1nccn1)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000978743045 804955114 /nfs/dbraw/zinc/95/51/14/804955114.db2.gz QMIIHEZALKBFHD-PHIMTYICSA-N -1 1 330.348 -0.297 20 0 EBADMM NC(=O)[C@@H]1CC[C@H]1C(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000978751567 804957884 /nfs/dbraw/zinc/95/78/84/804957884.db2.gz DGTGUCNZXAVYCY-WRWGMCAJSA-N -1 1 346.387 -0.077 20 0 EBADMM CC(=O)N1CC(C(=O)NC[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000978763043 804963080 /nfs/dbraw/zinc/96/30/80/804963080.db2.gz JWONLDIYEIBAIR-BJHJDKERSA-N -1 1 346.387 -0.110 20 0 EBADMM O=C(NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)[C@H]1COC(=O)N1 ZINC000978771322 804966979 /nfs/dbraw/zinc/96/69/79/804966979.db2.gz FTANXYQGNXDBHZ-KXUCPTDWSA-N -1 1 334.332 -0.480 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1C[C@@H](NC(=O)C(F)F)C1 ZINC000979042807 805106457 /nfs/dbraw/zinc/10/64/57/805106457.db2.gz CFEUZGWBRFQARQ-OLQVQODUSA-N -1 1 346.290 -0.214 20 0 EBADMM O=C(NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)[C@@H]1CCC(=O)N1 ZINC000979088201 805128979 /nfs/dbraw/zinc/12/89/79/805128979.db2.gz XFBWTXGQNAMKGE-AXFHLTTASA-N -1 1 332.360 -0.310 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)n(C)n1 ZINC000979218431 805215236 /nfs/dbraw/zinc/21/52/36/805215236.db2.gz PUZINWKFQZNUDX-ZYHUDNBSSA-N -1 1 349.395 -0.428 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)n(C)n1 ZINC000979218422 805215254 /nfs/dbraw/zinc/21/52/54/805215254.db2.gz PUZINWKFQZNUDX-JQWIXIFHSA-N -1 1 349.395 -0.428 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2ccn(C)c2)CCO1 ZINC000979270220 805250511 /nfs/dbraw/zinc/25/05/11/805250511.db2.gz LJCXAUFSXVJKRL-ZYHUDNBSSA-N -1 1 334.380 -0.132 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2cscn2)CCO1 ZINC000979269349 805250566 /nfs/dbraw/zinc/25/05/66/805250566.db2.gz ZGXDZXGPKVFZBX-WPRPVWTQSA-N -1 1 338.393 -0.014 20 0 EBADMM C[C@@H](NCc1ncnn1C)[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC000979280073 805258955 /nfs/dbraw/zinc/25/89/55/805258955.db2.gz UHVXCQAYUOKULO-DGCLKSJQSA-N -1 1 346.391 -0.065 20 0 EBADMM Cc1cn(C)nc1C(=O)N1CCO[C@@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979301322 805277710 /nfs/dbraw/zinc/27/77/10/805277710.db2.gz YIMZLEGRJKPGSW-GHMZBOCLSA-N -1 1 349.395 -0.428 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)Cc2cnoc2)CCO1 ZINC000979352563 805316919 /nfs/dbraw/zinc/31/69/19/805316919.db2.gz CHIQJTVUOXAGDY-KOLCDFICSA-N -1 1 336.352 -0.554 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)CCc2cn[nH]c2)CCO1 ZINC000979380836 805339537 /nfs/dbraw/zinc/33/95/37/805339537.db2.gz HFQXQSMEBABKJE-JQWIXIFHSA-N -1 1 349.395 -0.428 20 0 EBADMM Cc1ccn(CC(=O)N2CCO[C@@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000979395517 805349102 /nfs/dbraw/zinc/34/91/02/805349102.db2.gz WQHMONIYSDGANZ-NWDGAFQWSA-N -1 1 349.395 -0.579 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2ccncn2)CCO1 ZINC000979395857 805351152 /nfs/dbraw/zinc/35/11/52/805351152.db2.gz NBGIJUCWMVNXGF-MWLCHTKSSA-N -1 1 333.352 -0.680 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2ccncn2)CCO1 ZINC000979395860 805351891 /nfs/dbraw/zinc/35/18/91/805351891.db2.gz NBGIJUCWMVNXGF-ONGXEEELSA-N -1 1 333.352 -0.680 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2n[nH]cc2F)CCO1 ZINC000979398354 805352157 /nfs/dbraw/zinc/35/21/57/805352157.db2.gz PUDRDTQRKQYCCV-IONNQARKSA-N -1 1 339.331 -0.608 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)C2(CF)CC2)CCO1 ZINC000979410722 805358518 /nfs/dbraw/zinc/35/85/18/805358518.db2.gz PGNAIZUIANAYLT-VHSXEESVSA-N -1 1 327.360 -0.035 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)NC[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000979425048 805368988 /nfs/dbraw/zinc/36/89/88/805368988.db2.gz IWTGHPDVUNJGRA-GWOFURMSSA-N -1 1 344.375 -0.302 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CC[C@@H]2CN(C(C)=O)C[C@H]12 ZINC000979544862 805425089 /nfs/dbraw/zinc/42/50/89/805425089.db2.gz BVBOXBDFAKSANR-KXUCPTDWSA-N -1 1 336.348 -0.117 20 0 EBADMM O=C(NC[C@@H]1C[C@@H](NC(=O)[C@H]2CCNC(=O)C2)C1)c1ncccc1[O-] ZINC000979665164 805476476 /nfs/dbraw/zinc/47/64/76/805476476.db2.gz PMMKXGOINCEKSQ-SRVKXCTJSA-N -1 1 346.387 -0.062 20 0 EBADMM O=C(NC[C@@H]1C[C@@H](NC(=O)[C@@H]2CNC(=O)N2)C1)c1ncccc1[O-] ZINC000979674669 805482055 /nfs/dbraw/zinc/48/20/55/805482055.db2.gz RHCOZZYHZBFUQE-GUBZILKMSA-N -1 1 333.348 -0.907 20 0 EBADMM CCC(=O)N[C@@H]1CCC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000983376053 807010279 /nfs/dbraw/zinc/01/02/79/807010279.db2.gz MTAAZZIGZPOMRC-ZJUUUORDSA-N -1 1 332.364 -0.015 20 0 EBADMM CC(=O)NC[C@@H]1C[C@H](C)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000983915887 807119459 /nfs/dbraw/zinc/11/94/59/807119459.db2.gz UQROBTKZRASLQQ-YPMHNXCESA-N -1 1 336.392 -0.310 20 0 EBADMM CN(C(=O)[C@H]1COC(=O)N1)[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984116512 807168625 /nfs/dbraw/zinc/16/86/25/807168625.db2.gz UIKHRXDRWLSWMG-WDEREUQCSA-N -1 1 348.359 -0.041 20 0 EBADMM NC(=O)C(=O)N1C[C@H]2[C@@H](C1)[C@H]1CC[C@@H]2N(C(=O)c2ncccc2[O-])C1 ZINC000984277073 807243215 /nfs/dbraw/zinc/24/32/15/807243215.db2.gz LOMQJSGWORJVKL-BJDJZHNGSA-N -1 1 344.371 -0.419 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CCN1C(=O)c1ccn[nH]1 ZINC000985185367 807462612 /nfs/dbraw/zinc/46/26/12/807462612.db2.gz DCSMUHLCVZLSIL-IONNQARKSA-N -1 1 347.335 -0.571 20 0 EBADMM C[C@@H]1OCCO[C@@H]1C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC000985478941 807517140 /nfs/dbraw/zinc/51/71/40/807517140.db2.gz PJYYSACMCUCGHD-GWCFXTLKSA-N -1 1 339.396 -0.263 20 0 EBADMM CN(Cc1cnnn1C)C1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC000985521682 807527904 /nfs/dbraw/zinc/52/79/04/807527904.db2.gz QDHYVPSFIUHCSG-UHFFFAOYSA-N -1 1 348.367 -0.852 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)[C@@H]2CC[C@@H]2C(N)=O)CC1 ZINC000985572536 807539022 /nfs/dbraw/zinc/53/90/22/807539022.db2.gz ZFAWTTJNPRIPFY-WDEREUQCSA-N -1 1 336.396 -0.555 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)COc2cnn(C)c2)CC1 ZINC000985604694 807547057 /nfs/dbraw/zinc/54/70/57/807547057.db2.gz RTEUOHOXPAMGDU-UHFFFAOYSA-N -1 1 349.395 -0.254 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)c2cc(C(N)=O)co2)CC1 ZINC000985642490 807554623 /nfs/dbraw/zinc/55/46/23/807554623.db2.gz HHYFUMUKILMZJH-UHFFFAOYSA-N -1 1 348.363 -0.061 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)c2c[nH]c(C(N)=O)c2)CC1 ZINC000985791854 807582438 /nfs/dbraw/zinc/58/24/38/807582438.db2.gz HPDYMTCJDPVFJG-UHFFFAOYSA-N -1 1 347.379 -0.326 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnn2ccncc12 ZINC000986352267 807682403 /nfs/dbraw/zinc/68/24/03/807682403.db2.gz GCFUOSPGVKIMEW-KOLCDFICSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cc2n(n1)CCO2 ZINC000986356710 807683546 /nfs/dbraw/zinc/68/35/46/807683546.db2.gz IKBIARUUWCYGAU-BDAKNGLRSA-N -1 1 333.352 -0.508 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccnc2ccnn21 ZINC000986359270 807684824 /nfs/dbraw/zinc/68/48/24/807684824.db2.gz NYGDWOUQXKXKOI-ZJUUUORDSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnn2ncccc12 ZINC000986367476 807687335 /nfs/dbraw/zinc/68/73/35/807687335.db2.gz YTZXHFQFAHDHBK-GXSJLCMTSA-N -1 1 342.363 -0.054 20 0 EBADMM Cc1cc(OCC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)no1 ZINC000986389542 807694004 /nfs/dbraw/zinc/69/40/04/807694004.db2.gz VRLYXQRLLBBRNN-VHSXEESVSA-N -1 1 336.352 -0.035 20 0 EBADMM COCc1nn(C)cc1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000986450728 807707275 /nfs/dbraw/zinc/70/72/75/807707275.db2.gz VWKWXIGAWKKDDA-ONGXEEELSA-N -1 1 349.395 -0.217 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnn2c1OCCC2 ZINC000986476467 807712996 /nfs/dbraw/zinc/71/29/96/807712996.db2.gz QLDPGAGFVLCWHB-ONGXEEELSA-N -1 1 347.379 -0.118 20 0 EBADMM C[C@H]1[C@@H](NCc2ccn(C)n2)CCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000986485464 807716276 /nfs/dbraw/zinc/71/62/76/807716276.db2.gz CNMZAGOREUMSPV-WPRPVWTQSA-N -1 1 333.352 -0.591 20 0 EBADMM CCn1nncc1CN[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)[C@@H]1C ZINC000986486619 807717194 /nfs/dbraw/zinc/71/71/94/807717194.db2.gz IDWAVIFDYYBKKN-PSASIEDQSA-N -1 1 348.367 -0.713 20 0 EBADMM Cc1cc(CN[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)[C@@H]2C)nn1C ZINC000986488007 807718790 /nfs/dbraw/zinc/71/87/90/807718790.db2.gz NIHZBKLKVMFBHF-KOLCDFICSA-N -1 1 347.379 -0.282 20 0 EBADMM Cc1nccnc1CN[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)[C@H]1C ZINC000986491215 807720094 /nfs/dbraw/zinc/72/00/94/807720094.db2.gz ZTGNXMRNAORUOW-UWVGGRQHSA-N -1 1 345.363 -0.226 20 0 EBADMM Cc1nccnc1CN[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)[C@H]1C ZINC000986491218 807720181 /nfs/dbraw/zinc/72/01/81/807720181.db2.gz ZTGNXMRNAORUOW-VHSXEESVSA-N -1 1 345.363 -0.226 20 0 EBADMM COCCn1ccc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)n1 ZINC000986540320 807730498 /nfs/dbraw/zinc/73/04/98/807730498.db2.gz LDFZQXRKJLISPS-MNOVXSKESA-N -1 1 349.395 -0.254 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)Cc1ccc(=O)[nH]c1 ZINC000986580142 807740591 /nfs/dbraw/zinc/74/05/91/807740591.db2.gz IJMJUPZWQLKSOW-GXSJLCMTSA-N -1 1 332.364 -0.067 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)COc1cnn(C)c1 ZINC000986751352 807772460 /nfs/dbraw/zinc/77/24/60/807772460.db2.gz VUZOHKPRMYPMLC-ONGXEEELSA-N -1 1 335.368 -0.598 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc(C(N)=O)[nH]1 ZINC000986985360 807814590 /nfs/dbraw/zinc/81/45/90/807814590.db2.gz NSIZSOMERGYDAJ-JGVFFNPUSA-N -1 1 333.352 -0.670 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1nccn2ccnc12 ZINC000987004593 807819442 /nfs/dbraw/zinc/81/94/42/807819442.db2.gz URYQLJOVGUEBMV-UWVGGRQHSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)COC1CCOCC1 ZINC000987103201 807839848 /nfs/dbraw/zinc/83/98/48/807839848.db2.gz FFQNDIRUVSBNJS-JQWIXIFHSA-N -1 1 339.396 -0.215 20 0 EBADMM CC(C)c1nnnn1CC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000987132743 807850838 /nfs/dbraw/zinc/85/08/38/807850838.db2.gz IPRUZBWFBRGJME-VHSXEESVSA-N -1 1 349.399 -0.601 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnc2cccnn21 ZINC000987135422 807852740 /nfs/dbraw/zinc/85/27/40/807852740.db2.gz GUPHVGBIIZGAKY-ZJUUUORDSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@@H]1[C@H](NCc2ccon2)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000987353358 807899079 /nfs/dbraw/zinc/89/90/79/807899079.db2.gz OIDSOCRYFIEQFN-MWLCHTKSSA-N -1 1 343.347 -0.202 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnn2cc[nH]c12 ZINC000987418597 807912365 /nfs/dbraw/zinc/91/23/65/807912365.db2.gz YULRERUEUFRMOM-WPRPVWTQSA-N -1 1 330.352 -0.121 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)[C@H]2CN(C)CCO2)CCN1C(=O)c1ncccc1[O-] ZINC000987508023 807946690 /nfs/dbraw/zinc/94/66/90/807946690.db2.gz URTOPDUUHIVZMG-MBNYWOFBSA-N -1 1 348.403 -0.163 20 0 EBADMM Cc1cc(NC(=O)C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)no1 ZINC000987512624 807948804 /nfs/dbraw/zinc/94/88/04/807948804.db2.gz DVUCFJBVICJONU-BDAKNGLRSA-N -1 1 349.351 -0.476 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cccc(C(N)=O)n1 ZINC000987589886 807966513 /nfs/dbraw/zinc/96/65/13/807966513.db2.gz MDHLFSRQOUQPME-IUCAKERBSA-N -1 1 345.363 -0.603 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)C2CC2)C1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000987644849 807980841 /nfs/dbraw/zinc/98/08/41/807980841.db2.gz WUXGUBXADJEYPY-JTQLQIEISA-N -1 1 344.375 -0.252 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)Cn1cnnn1 ZINC000987669869 807986833 /nfs/dbraw/zinc/98/68/33/807986833.db2.gz BRTIYRRFPKDRSW-ZJUUUORDSA-N -1 1 331.336 -0.807 20 0 EBADMM CC(C)C(=O)N1CC[C@H](CN(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000987753162 808005957 /nfs/dbraw/zinc/00/59/57/808005957.db2.gz DXQSEDREOUQDDQ-LLVKDONJSA-N -1 1 346.391 -0.006 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)[C@H]2COC(=O)N2)C1)C(=O)c1ncccc1[O-] ZINC000987959582 808070114 /nfs/dbraw/zinc/07/01/14/808070114.db2.gz HPYNSPRXONRSFS-WDEREUQCSA-N -1 1 348.359 -0.184 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)Cn2nccn2)C1)C(=O)c1ncccc1[O-] ZINC000988025110 808096259 /nfs/dbraw/zinc/09/62/59/808096259.db2.gz WZKXEZARNWHKIE-LBPRGKRZSA-N -1 1 344.375 -0.001 20 0 EBADMM CN(C[C@H]1CCN(C(=O)Cn2c(=O)[n-][nH]c2=O)C1)C(=O)c1ccn[nH]1 ZINC000988174477 808136358 /nfs/dbraw/zinc/13/63/58/808136358.db2.gz VESZVZBGEIZJRS-SECBINFHSA-N -1 1 349.351 -0.967 20 0 EBADMM CN(C[C@H]1CCN(C(=O)[C@H]2C[C@H]2C(N)=O)C1)C(=O)c1ncccc1[O-] ZINC000988349420 808199646 /nfs/dbraw/zinc/19/96/46/808199646.db2.gz PKMVEKDDCRYWFU-UTUOFQBUSA-N -1 1 346.387 -0.171 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCc1ccn(C)n1 ZINC000988915089 808325755 /nfs/dbraw/zinc/32/57/55/808325755.db2.gz BYYDAFPZOIVPSA-JQWIXIFHSA-N -1 1 333.396 -0.044 20 0 EBADMM COCc1nn(C)cc1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC000989053856 808358541 /nfs/dbraw/zinc/35/85/41/808358541.db2.gz CVUIYCSGRQNRAH-UWVGGRQHSA-N -1 1 349.395 -0.217 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CN1CCCCC1=O ZINC000989098094 808373184 /nfs/dbraw/zinc/37/31/84/808373184.db2.gz IRHWZJSXYSCOCE-GHMZBOCLSA-N -1 1 336.396 -0.398 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc(=O)n(C)c1 ZINC000989100487 808374132 /nfs/dbraw/zinc/37/41/32/808374132.db2.gz RBQDPCUBHGNAJY-KOLCDFICSA-N -1 1 332.364 -0.398 20 0 EBADMM CCn1nncc1CN[C@H]1C[C@H](C)N(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000989117896 808380982 /nfs/dbraw/zinc/38/09/82/808380982.db2.gz DITJHQTYPVMWQP-IUCAKERBSA-N -1 1 348.367 -0.713 20 0 EBADMM COc1nccc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)n1 ZINC000989159697 808389119 /nfs/dbraw/zinc/38/91/19/808389119.db2.gz NIIRXHICYDDBTL-RKDXNWHRSA-N -1 1 333.352 -0.298 20 0 EBADMM COc1nccc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)n1 ZINC000989159683 808389615 /nfs/dbraw/zinc/38/96/15/808389615.db2.gz NIIRXHICYDDBTL-BDAKNGLRSA-N -1 1 333.352 -0.298 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc2nnnn2c1 ZINC000989187005 808397779 /nfs/dbraw/zinc/39/77/79/808397779.db2.gz XXQMVONVOKPXGI-WCBMZHEXSA-N -1 1 343.351 -0.659 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccoc1CC(N)=O ZINC000989359488 808456664 /nfs/dbraw/zinc/45/66/64/808456664.db2.gz ZZVCPSBHCLABPE-BDAKNGLRSA-N -1 1 348.363 -0.476 20 0 EBADMM COc1ccc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)nn1 ZINC000989412878 808469026 /nfs/dbraw/zinc/46/90/26/808469026.db2.gz ZAEKHUKLIVMQQS-UHFFFAOYSA-N -1 1 347.379 -0.745 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cccc(=O)n1C ZINC000989438515 808474371 /nfs/dbraw/zinc/47/43/71/808474371.db2.gz LPQYGSBQRFKCDX-NXEZZACHSA-N -1 1 332.364 -0.398 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCc1cnn(C)n1 ZINC000989454501 808479194 /nfs/dbraw/zinc/47/91/94/808479194.db2.gz UGZFJRKLTNMZPN-GXSJLCMTSA-N -1 1 334.384 -0.649 20 0 EBADMM CCCn1cc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)nn1 ZINC000989618247 808533808 /nfs/dbraw/zinc/53/38/08/808533808.db2.gz HCTSOHHMNNLCSM-VHSXEESVSA-N -1 1 334.384 -0.095 20 0 EBADMM Cc1cc(CN[C@@H]2C[C@@H](C)N(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)nn1C ZINC000989721312 808567026 /nfs/dbraw/zinc/56/70/26/808567026.db2.gz ASQKFCORSAJCFY-ZYHUDNBSSA-N -1 1 349.395 -0.490 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1c[nH]c(C(N)=O)c1 ZINC000989803300 808598207 /nfs/dbraw/zinc/59/82/07/808598207.db2.gz QYNOJIVMVQLFEV-APPZFPTMSA-N -1 1 333.352 -0.670 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)[C@H]4CCCO4)CCC[C@@H]23)nc1=O ZINC000989932680 808620583 /nfs/dbraw/zinc/62/05/83/808620583.db2.gz SJOYQWVWCUXDNK-XHBSWPGZSA-N -1 1 335.408 -0.099 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)c4ccn[nH]4)CCC[C@H]23)nc1=O ZINC000989968978 808628105 /nfs/dbraw/zinc/62/81/05/808628105.db2.gz FEMLCFYKAJXOTJ-XHDPSFHLSA-N -1 1 331.380 -0.242 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)Cc4cc[nH]n4)CCC[C@@H]23)nc1=O ZINC000990109070 808656280 /nfs/dbraw/zinc/65/62/80/808656280.db2.gz PSPCXVWSEFDJIQ-MLGOLLRUSA-N -1 1 345.407 -0.313 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cc2nc[nH]n2)CCN1C(=O)c1ncccc1[O-] ZINC000990319922 808749281 /nfs/dbraw/zinc/74/92/81/808749281.db2.gz XHKCJGAAOHRCOM-ZJUUUORDSA-N -1 1 330.348 -0.133 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cc2nnc[nH]2)CCN1C(=O)c1ncccc1[O-] ZINC000990319922 808749291 /nfs/dbraw/zinc/74/92/91/808749291.db2.gz XHKCJGAAOHRCOM-ZJUUUORDSA-N -1 1 330.348 -0.133 20 0 EBADMM Cc1nc(CC(=O)N[C@]23CCC[C@@H]2N(Cc2n[nH]c(=O)[n-]2)CC3)n[nH]1 ZINC000990640480 808883391 /nfs/dbraw/zinc/88/33/91/808883391.db2.gz VBLHXECJSKJGBE-BONVTDFDSA-N -1 1 346.395 -0.207 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)[C@H](C)C2CC2)C1 ZINC000990678430 808887941 /nfs/dbraw/zinc/88/79/41/808887941.db2.gz KJANXZKVBACQCK-SSDOTTSWSA-N -1 1 336.348 -0.117 20 0 EBADMM CC1(C)C[C@@H]1C(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000990881446 808962775 /nfs/dbraw/zinc/96/27/75/808962775.db2.gz QFKWDHLIKOXSMC-SECBINFHSA-N -1 1 330.348 -0.596 20 0 EBADMM CN1CC[C@H](C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000990975561 809021726 /nfs/dbraw/zinc/02/17/26/809021726.db2.gz UIHOKKOPGCZJHC-JTQLQIEISA-N -1 1 332.360 -0.404 20 0 EBADMM O=C(CCN1C(=O)CCC1=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990975840 809023191 /nfs/dbraw/zinc/02/31/91/809023191.db2.gz CEFJNHSJDVBVIC-UHFFFAOYSA-N -1 1 346.343 -0.733 20 0 EBADMM CCCN1C[C@@H](C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000990979144 809026693 /nfs/dbraw/zinc/02/66/93/809026693.db2.gz SZNQIRQPOATXHI-NSHDSACASA-N -1 1 346.387 -0.014 20 0 EBADMM Cc1cc(NC(=O)C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)no1 ZINC000990979946 809027715 /nfs/dbraw/zinc/02/77/15/809027715.db2.gz WXMAZIWTMSLTAF-UHFFFAOYSA-N -1 1 345.315 -0.337 20 0 EBADMM O=C(Cc1ccoc1)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000990983422 809030005 /nfs/dbraw/zinc/03/00/05/809030005.db2.gz BVJBYFKUPHWPIE-UHFFFAOYSA-N -1 1 342.315 -0.806 20 0 EBADMM Cc1cc(C(=O)N2CC[C@@H](NC(=O)c3[nH]nc(C)c3[O-])[C@H](O)C2)n[nH]1 ZINC000991386199 809390850 /nfs/dbraw/zinc/39/08/50/809390850.db2.gz HCCKOCIVXVZPGP-MWLCHTKSSA-N -1 1 348.363 -0.539 20 0 EBADMM Cc1cc(C(=O)N2CC[C@H](NC(=O)c3[nH]nc(C)c3[O-])[C@@H](O)C2)n[nH]1 ZINC000991386202 809390987 /nfs/dbraw/zinc/39/09/87/809390987.db2.gz HCCKOCIVXVZPGP-ONGXEEELSA-N -1 1 348.363 -0.539 20 0 EBADMM Cn1cncc1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991406168 809423484 /nfs/dbraw/zinc/42/34/84/809423484.db2.gz BWOAJKIPHZFVPC-GWCFXTLKSA-N -1 1 345.359 -0.474 20 0 EBADMM Cc1nonc1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991407525 809426276 /nfs/dbraw/zinc/42/62/76/809426276.db2.gz FHUHEJSGQUGEMQ-MWLCHTKSSA-N -1 1 347.331 -0.516 20 0 EBADMM Cc1nc(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)c[nH]1 ZINC000991424396 809448112 /nfs/dbraw/zinc/44/81/12/809448112.db2.gz JYYKVKLWXPWNEU-MFKMUULPSA-N -1 1 345.359 -0.176 20 0 EBADMM O=C(CC1(O)CCC1)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991424186 809448141 /nfs/dbraw/zinc/44/81/41/809448141.db2.gz JOVFMIJXNWLIMA-YPMHNXCESA-N -1 1 349.387 -0.216 20 0 EBADMM O=C(Cn1ccnc1)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000991574901 809661010 /nfs/dbraw/zinc/66/10/10/809661010.db2.gz QITMTFZLGJQOIY-AAEUAGOBSA-N -1 1 345.359 -0.625 20 0 EBADMM O=C(Cn1ccnc1)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC000991574916 809661069 /nfs/dbraw/zinc/66/10/69/809661069.db2.gz QITMTFZLGJQOIY-WCQYABFASA-N -1 1 345.359 -0.625 20 0 EBADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)c1cnc[nH]c1=O ZINC001002718606 809769616 /nfs/dbraw/zinc/76/96/16/809769616.db2.gz JKBUQZMTGAJDNP-UHFFFAOYSA-N -1 1 329.316 -0.215 20 0 EBADMM CCC(=O)N[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC[C@H]1C ZINC001004273175 809818487 /nfs/dbraw/zinc/81/84/87/809818487.db2.gz IJUSXAPCZJXQFV-KOLCDFICSA-N -1 1 332.364 -0.206 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)CCn1cnnn1 ZINC001004985661 809836981 /nfs/dbraw/zinc/83/69/81/809836981.db2.gz UJQOOYKAGZKPDY-MNOVXSKESA-N -1 1 349.399 -0.554 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CCN(Cc2nc(=O)n(C)[nH]2)CC1 ZINC001005303444 809850176 /nfs/dbraw/zinc/85/01/76/809850176.db2.gz QUZBDFAJFOMZAW-UHFFFAOYSA-N -1 1 346.391 -0.054 20 0 EBADMM O=C(N[C@H]1CCCN(CCn2cccn2)C1)c1n[nH]c(=O)[n-]c1=O ZINC001007799713 810020681 /nfs/dbraw/zinc/02/06/81/810020681.db2.gz KPJQALNARMUZIP-JTQLQIEISA-N -1 1 333.352 -0.626 20 0 EBADMM Cc1nnccc1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001007810073 810023654 /nfs/dbraw/zinc/02/36/54/810023654.db2.gz HCUUQNUZWSTUNZ-NSHDSACASA-N -1 1 331.380 -0.399 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)c3ccc(C(N)=O)o3)C2)nc1=O ZINC001007875862 810035498 /nfs/dbraw/zinc/03/54/98/810035498.db2.gz LCULCWMVTWDECX-VIFPVBQESA-N -1 1 348.363 -0.805 20 0 EBADMM COc1cc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC001007895014 810039337 /nfs/dbraw/zinc/03/93/37/810039337.db2.gz JSXSQAZISJQNML-JTQLQIEISA-N -1 1 349.395 -0.755 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)c3coc(C(N)=O)c3)C2)nc1=O ZINC001008053168 810066922 /nfs/dbraw/zinc/06/69/22/810066922.db2.gz SPFYAVDGDXOUOM-JTQLQIEISA-N -1 1 348.363 -0.805 20 0 EBADMM CC1(C)CN(C(=O)Cn2cnnn2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001008066340 810071474 /nfs/dbraw/zinc/07/14/74/810071474.db2.gz ABUUJPGAUWFDAJ-NSHDSACASA-N -1 1 345.363 -0.559 20 0 EBADMM CC1(C)CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@H]1CCNC1=O ZINC001008399733 810152682 /nfs/dbraw/zinc/15/26/82/810152682.db2.gz GWBTURHGNMFYEJ-CMPLNLGQSA-N -1 1 346.387 -0.110 20 0 EBADMM O=C(N[C@H]1CCCN(Cc2cnon2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001009199682 810233753 /nfs/dbraw/zinc/23/37/53/810233753.db2.gz CPOOPYOZHCHCAD-VIFPVBQESA-N -1 1 344.335 -0.805 20 0 EBADMM O=C(CC1CC1)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001009757138 810262822 /nfs/dbraw/zinc/26/28/22/810262822.db2.gz GWECEOICIKQHHM-UHFFFAOYSA-N -1 1 330.348 -0.498 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(C(=O)C(C)(F)F)CC1 ZINC001009792503 810264193 /nfs/dbraw/zinc/26/41/93/810264193.db2.gz MBZNMMUGFXHJCS-UHFFFAOYSA-N -1 1 346.290 -0.164 20 0 EBADMM CCC(=O)N1C[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC001009884469 810267137 /nfs/dbraw/zinc/26/71/37/810267137.db2.gz AIYQLWMENZMPPC-ZJUUUORDSA-N -1 1 332.364 -0.206 20 0 EBADMM COCCO[C@@H](C)C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001215764093 810343870 /nfs/dbraw/zinc/34/38/70/810343870.db2.gz QYARUBNQRUNPDC-OUAUKWLOSA-N -1 1 327.385 -0.502 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1C[C@@H](C)N(C(=O)C(F)F)C1 ZINC001012121432 810368230 /nfs/dbraw/zinc/36/82/30/810368230.db2.gz MHFMHDIQMQOLMI-PHDIDXHHSA-N -1 1 346.290 -0.119 20 0 EBADMM CCC[C@H](C)C(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21 ZINC001217578904 810441890 /nfs/dbraw/zinc/44/18/90/810441890.db2.gz BAHKWUBQDBJLCQ-RWMBFGLXSA-N -1 1 337.424 -0.044 20 0 EBADMM CCCNC(=O)CN1C[C@H]2OCCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC001217675162 810450383 /nfs/dbraw/zinc/45/03/83/810450383.db2.gz PHFQDCXZSDFSLV-GXTWGEPZSA-N -1 1 348.403 -0.161 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@H]3CCc4nccn4C3)C2)nc1=O ZINC001014984317 810458857 /nfs/dbraw/zinc/45/88/57/810458857.db2.gz QSURAZNMIBBJIY-NWDGAFQWSA-N -1 1 345.407 -0.742 20 0 EBADMM [NH3+][C@@H](Cc1nnn[n-]1)C(=O)Nc1nc(Br)ccc1[O-] ZINC001218513189 810497241 /nfs/dbraw/zinc/49/72/41/810497241.db2.gz GHTAAWYSRGWILG-BYPYZUCNSA-N -1 1 328.130 -0.429 20 0 EBADMM C[C@H](NC(=O)[C@@H]([NH3+])CO)C(=O)Nc1nc(Br)ccc1[O-] ZINC001218514692 810497282 /nfs/dbraw/zinc/49/72/82/810497282.db2.gz WURDVRUYEIIZAI-WDSKDSINSA-N -1 1 347.169 -0.688 20 0 EBADMM CCOC(=O)c1c[n-]c(NC(=O)Cn2cnc3c2nc(N)[nH]c3=O)n1 ZINC001218527961 810499696 /nfs/dbraw/zinc/49/96/96/810499696.db2.gz PWQBPDSOVICHQM-UHFFFAOYSA-N -1 1 346.307 -0.347 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2nc(N)cn2C)c1 ZINC001218901467 810542823 /nfs/dbraw/zinc/54/28/23/810542823.db2.gz HDLSPZOSXLMKBY-UHFFFAOYSA-N -1 1 325.350 -0.132 20 0 EBADMM Cn1nnc(CN2CC[C@H](NC(=O)c3cnc(C4CC4)[n-]c3=O)C2)n1 ZINC001015804418 810586287 /nfs/dbraw/zinc/58/62/87/810586287.db2.gz VWDGLAUTUXMUOZ-JTQLQIEISA-N -1 1 344.379 -0.413 20 0 EBADMM O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCc2[nH]cnc2C1 ZINC001015956397 810625253 /nfs/dbraw/zinc/62/52/53/810625253.db2.gz BAFRJEIUQBDKSS-NXEZZACHSA-N -1 1 331.380 -0.271 20 0 EBADMM Cc1cc(CN2CC[C@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)no1 ZINC001015988857 810642766 /nfs/dbraw/zinc/64/27/66/810642766.db2.gz GRQDFCFMGQVHDT-JTQLQIEISA-N -1 1 343.347 -0.282 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCN(Cc2nncn2C)C1 ZINC001015989567 810644719 /nfs/dbraw/zinc/64/47/19/810644719.db2.gz SLCCZICDCFOORZ-MRVPVSSYSA-N -1 1 349.351 -0.971 20 0 EBADMM Cn1nccc1CN1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001015991688 810645712 /nfs/dbraw/zinc/64/57/12/810645712.db2.gz FLUKVXULBGFPMO-SNVBAGLBSA-N -1 1 342.363 -0.845 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cc(Cl)no3)C2)nc1=O ZINC001016067014 810661626 /nfs/dbraw/zinc/66/16/26/810661626.db2.gz ODTZMAKFTHLVBW-SSDOTTSWSA-N -1 1 326.744 -0.246 20 0 EBADMM CN(C(=O)C1CC1)C1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001016151178 810681996 /nfs/dbraw/zinc/68/19/96/810681996.db2.gz ZLAZPDWVRHMYMQ-UHFFFAOYSA-N -1 1 330.348 -0.453 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)[C@]34C[C@H]3CCC4)C2)nc1=O ZINC001035456332 810812283 /nfs/dbraw/zinc/81/22/83/810812283.db2.gz OCHUFJHHEYBSHY-XHBSWPGZSA-N -1 1 335.408 -0.384 20 0 EBADMM CC[C@H](C)CN1CCO[C@@H](CNC(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001035468650 810825682 /nfs/dbraw/zinc/82/56/82/810825682.db2.gz YUZHTPNWOMOVFB-RYUDHWBXSA-N -1 1 338.408 -0.037 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)[C@@H]3CCC3(C)C)C2)nc1=O ZINC001035489995 810849040 /nfs/dbraw/zinc/84/90/40/810849040.db2.gz UKBRRCKUJDNHEK-NEPJUHHUSA-N -1 1 337.424 -0.138 20 0 EBADMM CCC[C@@H]1C[C@H]1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035497301 810855152 /nfs/dbraw/zinc/85/51/52/810855152.db2.gz UKZXDUURZJNJCC-JHJVBQTASA-N -1 1 337.424 -0.138 20 0 EBADMM Cc1nc(C)c(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)[nH]1 ZINC001035516817 810871841 /nfs/dbraw/zinc/87/18/41/810871841.db2.gz WJXYUMMHRQFAJM-NSHDSACASA-N -1 1 349.395 -0.921 20 0 EBADMM CCn1cccc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035615665 810968376 /nfs/dbraw/zinc/96/83/76/810968376.db2.gz ZVXFVRWOOFMHCU-LBPRGKRZSA-N -1 1 348.407 -0.439 20 0 EBADMM CCO[C@@H]1C[C@@H]1C(=O)N1CCC2(CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001035656818 810980902 /nfs/dbraw/zinc/98/09/02/810980902.db2.gz WHWJWLMIVJMZII-QWHCGFSZSA-N -1 1 349.435 -0.042 20 0 EBADMM CC[C@H](OC)C(=O)N1CCC2(CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001035664119 810982296 /nfs/dbraw/zinc/98/22/96/810982296.db2.gz LMVMDKPTIGTMGR-LBPRGKRZSA-N -1 1 337.424 -0.042 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)C2=CCOCC2)CC3)nc1=O ZINC001035692262 810990348 /nfs/dbraw/zinc/99/03/48/810990348.db2.gz XOSRDCIISDCRRH-UHFFFAOYSA-N -1 1 347.419 -0.121 20 0 EBADMM Cc1c[nH]c(C(=O)N2CCC3(CN(Cc4nc(=O)n(C)[n-]4)C3)CC2)n1 ZINC001035815542 811031171 /nfs/dbraw/zinc/03/11/71/811031171.db2.gz OCVAFPSXYDIATA-UHFFFAOYSA-N -1 1 345.407 -0.122 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)c2cnon2)CC3)nc1=O ZINC001035821197 811033877 /nfs/dbraw/zinc/03/38/77/811033877.db2.gz SGJZOYYDGOGZHL-UHFFFAOYSA-N -1 1 333.352 -0.770 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C(C)(C)C(F)F)[C@@H](O)C2)nc1=O ZINC001220321333 811056441 /nfs/dbraw/zinc/05/64/41/811056441.db2.gz WNKNYFMRCZKTGG-SFYZADRCSA-N -1 1 333.339 -0.939 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1NCc1nnn(C)n1 ZINC001036004843 811068943 /nfs/dbraw/zinc/06/89/43/811068943.db2.gz YQZYTGQHFVDHBA-MNOVXSKESA-N -1 1 331.380 -0.049 20 0 EBADMM O=C(c1cc[n+]([O-])cc1)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036635214 811187996 /nfs/dbraw/zinc/18/79/96/811187996.db2.gz GRDUMIRBEXBZAN-STQMWFEESA-N -1 1 344.375 -0.120 20 0 EBADMM Cn1nccc1CC(=O)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036700809 811212711 /nfs/dbraw/zinc/21/27/11/811212711.db2.gz LWVNCNCMFGHUBV-WCQYABFASA-N -1 1 345.407 -0.091 20 0 EBADMM O=C(Cc1cncnc1)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036703167 811215829 /nfs/dbraw/zinc/21/58/29/811215829.db2.gz XWSDMKBMZIDOAX-CHWSQXEVSA-N -1 1 343.391 -0.034 20 0 EBADMM Cc1nonc1C(=O)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036909374 811311246 /nfs/dbraw/zinc/31/12/46/811311246.db2.gz CTBIHHPWLMHWFE-ZJUUUORDSA-N -1 1 333.352 -0.062 20 0 EBADMM O=C(N[C@@H]1CCC[C@@H]1CNCc1cnon1)c1n[nH]c(=O)[n-]c1=O ZINC001037038778 811347710 /nfs/dbraw/zinc/34/77/10/811347710.db2.gz UIUZRCGLOSGZEV-VXNVDRBHSA-N -1 1 335.324 -0.646 20 0 EBADMM CCN(C(=O)CC1CC1)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001079192828 811443450 /nfs/dbraw/zinc/44/34/50/811443450.db2.gz CEEBWUYIFCCEHA-UHFFFAOYSA-N -1 1 344.375 -0.109 20 0 EBADMM COCC1(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)CC1 ZINC001221690204 811517788 /nfs/dbraw/zinc/51/77/88/811517788.db2.gz KXXZLWCYISTLHS-VXGBXAGGSA-N -1 1 335.408 -0.432 20 0 EBADMM CCN(C(=O)[C@@H](C)OC)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001079406617 811527928 /nfs/dbraw/zinc/52/79/28/811527928.db2.gz UCXQLSQPZZROHP-SECBINFHSA-N -1 1 348.363 -0.875 20 0 EBADMM CCN(C(=O)[C@H](C)OC)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001079406618 811528412 /nfs/dbraw/zinc/52/84/12/811528412.db2.gz UCXQLSQPZZROHP-VIFPVBQESA-N -1 1 348.363 -0.875 20 0 EBADMM COCC[C@H](C)C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001222033400 811584206 /nfs/dbraw/zinc/58/42/06/811584206.db2.gz RPJYHCPOHAPUML-YNEHKIRRSA-N -1 1 337.424 -0.186 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)c(C)nn1 ZINC001079763325 811707124 /nfs/dbraw/zinc/70/71/24/811707124.db2.gz GUHGHVQDOSVQBV-NOZJJQNGSA-N -1 1 345.407 -0.234 20 0 EBADMM CCN(C(=O)C1(C)CC1)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001079902737 811780771 /nfs/dbraw/zinc/78/07/71/811780771.db2.gz MAVSGOMKYBIPFT-UHFFFAOYSA-N -1 1 344.375 -0.109 20 0 EBADMM CCc1nn(C)cc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001079917029 811792056 /nfs/dbraw/zinc/79/20/56/811792056.db2.gz JGGPMWMPXQINIH-ZWNOBZJWSA-N -1 1 347.423 -0.345 20 0 EBADMM C[NH+](C)Cc1ncccc1OC(=O)[C@@H](CC(=O)[O-])[C@H](O)C(=O)[O-] ZINC001225281866 811801749 /nfs/dbraw/zinc/80/17/49/811801749.db2.gz LCFCPBKLQOZVSR-UFBFGSQYSA-N -1 1 326.305 -0.415 20 0 EBADMM COc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)ccn1 ZINC001080021767 811847877 /nfs/dbraw/zinc/84/78/77/811847877.db2.gz QIADMLSKIATURH-ZYHUDNBSSA-N -1 1 346.391 -0.238 20 0 EBADMM COc1cnc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)nc1 ZINC001080097243 811896310 /nfs/dbraw/zinc/89/63/10/811896310.db2.gz RJLHDTWZSXHLRY-MWLCHTKSSA-N -1 1 347.379 -0.843 20 0 EBADMM O=S(=O)([O-])C[C@H](C[NH+]1CCOCC1)Oc1ncnc2[n-]cnc21 ZINC001227532219 811928166 /nfs/dbraw/zinc/92/81/66/811928166.db2.gz RGEWLGRWZCFRAC-VIFPVBQESA-N -1 1 343.365 -0.680 20 0 EBADMM COC(=O)c1n[n-]c(OC[C@H]2O[C@H](OC)[C@@H]3OC(C)(C)O[C@H]23)n1 ZINC001228313133 811975119 /nfs/dbraw/zinc/97/51/19/811975119.db2.gz YYPPMVADSPGCOR-NKIIXMILSA-N -1 1 329.309 -0.139 20 0 EBADMM COC(=O)c1nc(OC[C@H]2O[C@H](OC)[C@@H]3OC(C)(C)O[C@H]23)n[n-]1 ZINC001228313133 811975122 /nfs/dbraw/zinc/97/51/22/811975122.db2.gz YYPPMVADSPGCOR-NKIIXMILSA-N -1 1 329.309 -0.139 20 0 EBADMM Cc1noc(CCC(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC001228534517 811985548 /nfs/dbraw/zinc/98/55/48/811985548.db2.gz IGDWJTLNGPRNHL-UHFFFAOYSA-N -1 1 349.395 -0.487 20 0 EBADMM COC(=O)c1c[n-]c(O[C@@H](C[n+]2ccccc2)CS(=O)(=O)[O-])n1 ZINC001230282823 812097357 /nfs/dbraw/zinc/09/73/57/812097357.db2.gz ZDHUPPQKENSVKA-JTQLQIEISA-O -1 1 342.353 -0.181 20 0 EBADMM COCCN1C[C@@H](C)[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001080636592 812105486 /nfs/dbraw/zinc/10/54/86/812105486.db2.gz CPJBAIWTEQRIQQ-ZYHUDNBSSA-N -1 1 334.380 -0.846 20 0 EBADMM Cc1cc(=O)c(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)c[nH]1 ZINC001080712332 812160574 /nfs/dbraw/zinc/16/05/74/812160574.db2.gz DFDIKYNVNWVTKY-BXKDBHETSA-N -1 1 346.391 -0.645 20 0 EBADMM CO[C@H](C)C(=O)NC1(CO)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001080751836 812196055 /nfs/dbraw/zinc/19/60/55/812196055.db2.gz VJMJRPJFMRVUFP-LLVKDONJSA-N -1 1 337.376 -0.095 20 0 EBADMM Cc1cnn(CC(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)c1 ZINC001230974926 812261049 /nfs/dbraw/zinc/26/10/49/812261049.db2.gz DNRWKSOGXYEYJD-LBPRGKRZSA-N -1 1 333.396 -0.654 20 0 EBADMM O=C(NC1(CO)CCN(C(=O)[C@@H]2CCOC2)CC1)c1ncccc1[O-] ZINC001080826394 812261087 /nfs/dbraw/zinc/26/10/87/812261087.db2.gz KGBBKBPHXGSXBH-GFCCVEGCSA-N -1 1 349.387 -0.093 20 0 EBADMM O=C(NC1(CO)CCN(C(=O)c2cn[nH]n2)CC1)c1ncccc1[O-] ZINC001080826798 812261901 /nfs/dbraw/zinc/26/19/01/812261901.db2.gz XDJRBNIUFFOAAL-UHFFFAOYSA-N -1 1 346.347 -0.698 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)CC[C@H]1CCOC1 ZINC001233580821 812318188 /nfs/dbraw/zinc/31/81/88/812318188.db2.gz NUJHRCGXXKCUGB-STQMWFEESA-N -1 1 337.424 -0.042 20 0 EBADMM COC(=O)CN1C[C@H](Oc2c(=O)[n-]cnc2C(=O)OC)CC1=O ZINC001233757326 812340137 /nfs/dbraw/zinc/34/01/37/812340137.db2.gz BETGYOKXMHMGDP-SSDOTTSWSA-N -1 1 325.277 -0.879 20 0 EBADMM CNC(=O)NC(=O)[C@@H](C)N1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001233836167 812352839 /nfs/dbraw/zinc/35/28/39/812352839.db2.gz AJWIDIKNCFJPEU-MNOVXSKESA-N -1 1 349.391 -0.222 20 0 EBADMM CNC(=O)NC(=O)CN1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001233836634 812353175 /nfs/dbraw/zinc/35/31/75/812353175.db2.gz HTGZGWIVQIPTFV-JTQLQIEISA-N -1 1 335.364 -0.611 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)CCOCC1CC1 ZINC001234276520 812406480 /nfs/dbraw/zinc/40/64/80/812406480.db2.gz OYNSYMGLKVOTFH-ZDUSSCGKSA-N -1 1 337.424 -0.042 20 0 EBADMM CCO[C@@H](C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001234334968 812413113 /nfs/dbraw/zinc/41/31/13/812413113.db2.gz SXJPXJLQBSQCSV-TZMCWYRMSA-N -1 1 337.424 -0.044 20 0 EBADMM CO[C@@H]1O[C@H](COc2c(C(N)=O)nc[n-]c2=O)[C@H]2OC(C)(C)O[C@@H]12 ZINC001234464551 812428551 /nfs/dbraw/zinc/42/85/51/812428551.db2.gz AHFSYWFXJLRNMW-CLOKMRPHSA-N -1 1 341.320 -0.449 20 0 EBADMM CCC(=O)N[C@H](C)C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001234490027 812433472 /nfs/dbraw/zinc/43/34/72/812433472.db2.gz NZAUYIUNBIDDAJ-MNOVXSKESA-N -1 1 338.412 -0.944 20 0 EBADMM COC(=O)CN1C[C@H](Oc2c(=O)[n-]c(C)nc2C(=O)OC)CC1=O ZINC001234564609 812438226 /nfs/dbraw/zinc/43/82/26/812438226.db2.gz MKRQHIPWHVEHFV-MRVPVSSYSA-N -1 1 339.304 -0.570 20 0 EBADMM COC(=O)CN1C[C@H](Oc2[n-]c(=O)c(F)cc2C(=O)OC)CC1=O ZINC001234607792 812442793 /nfs/dbraw/zinc/44/27/93/812442793.db2.gz HCBZKIVAIUHSIQ-SSDOTTSWSA-N -1 1 342.279 -0.134 20 0 EBADMM COC(=O)[C@@H]1C[C@H](N2CCN(CCCCS(=O)(=O)[O-])CC2)CN1 ZINC001246906076 812591716 /nfs/dbraw/zinc/59/17/16/812591716.db2.gz OUZFVMWXUFXXCF-STQMWFEESA-N -1 1 349.453 -0.825 20 0 EBADMM CN(CCOCCO)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001254488041 812705809 /nfs/dbraw/zinc/70/58/09/812705809.db2.gz GEHGTXKCFJGXIE-UHFFFAOYSA-N -1 1 326.353 -0.595 20 0 EBADMM CCCOCC(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001081326425 812738151 /nfs/dbraw/zinc/73/81/51/812738151.db2.gz CIGJJERSTORKKD-AAEUAGOBSA-N -1 1 337.376 -0.237 20 0 EBADMM COC(=O)CCS(=O)(=O)CC[C@H](C)[NH2+]CCP(=O)([O-])[O-] ZINC001257917174 812773277 /nfs/dbraw/zinc/77/32/77/812773277.db2.gz DKKYNNKNQFPUNQ-VIFPVBQESA-N -1 1 331.327 -0.490 20 0 EBADMM COC[C@@H]([N-]S(=O)(=O)CC(=O)OC)C(=O)NCc1ccccc1 ZINC001259028419 812804345 /nfs/dbraw/zinc/80/43/45/812804345.db2.gz BJPZZESGZSLIGS-GFCCVEGCSA-N -1 1 344.389 -0.590 20 0 EBADMM COC(=O)CS(=O)(=O)[N-][C@@H](CO)[C@@H](O)c1ccc(SC)cc1 ZINC001259030494 812805016 /nfs/dbraw/zinc/80/50/16/812805016.db2.gz GDFGADFSNDPOAK-AAEUAGOBSA-N -1 1 349.430 -0.105 20 0 EBADMM NC(=O)c1nc(C[N-]S(=O)(=O)c2ccc3c(c2)OCCO3)no1 ZINC001259346871 812809331 /nfs/dbraw/zinc/80/93/31/812809331.db2.gz BTYYPGYORMAUOL-UHFFFAOYSA-N -1 1 340.317 -0.582 20 0 EBADMM O=C(Cc1cc[nH]n1)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001081368425 812819263 /nfs/dbraw/zinc/81/92/63/812819263.db2.gz NNZRBBZNKKHEIO-GXFFZTMASA-N -1 1 345.359 -0.698 20 0 EBADMM CN1CCN(c2cncc([N-]S(=O)(=O)CS(C)(=O)=O)c2)CC1 ZINC001260584930 812836970 /nfs/dbraw/zinc/83/69/70/812836970.db2.gz FTWXIUQHQBOQLY-UHFFFAOYSA-N -1 1 348.450 -0.423 20 0 EBADMM COC(=O)[C@](C)([N-]S(=O)(=O)CS(C)(=O)=O)c1ccccc1 ZINC001260596958 812842696 /nfs/dbraw/zinc/84/26/96/812842696.db2.gz WFIXBWRYYJCZCR-GFCCVEGCSA-N -1 1 335.403 -0.004 20 0 EBADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)C[C@@H]3CC(=O)N3)CC2)n1 ZINC001261738992 812978847 /nfs/dbraw/zinc/97/88/47/812978847.db2.gz HJGXXJCSJKKZPQ-JTQLQIEISA-N -1 1 335.364 -0.034 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001081501350 813080810 /nfs/dbraw/zinc/08/08/10/813080810.db2.gz CWFVILWCULFQFA-OJROKELRSA-N -1 1 335.408 -0.530 20 0 EBADMM CN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)c1cnc(F)cn1 ZINC001263220566 813109703 /nfs/dbraw/zinc/10/97/03/813109703.db2.gz JDGRWCTWTOLAAT-UHFFFAOYSA-N -1 1 336.327 -0.892 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2CC[C@@H](Cc3nccs3)C2)CNCCO1 ZINC001263247889 813110494 /nfs/dbraw/zinc/11/04/94/813110494.db2.gz MIGPLGVCFVXRCD-HZMBPMFUSA-N -1 1 325.390 -0.023 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cc(C)c(C)o1 ZINC001081538100 813141513 /nfs/dbraw/zinc/14/15/13/813141513.db2.gz LECQXVCQAGGLOC-DGCLKSJQSA-N -1 1 349.391 -0.053 20 0 EBADMM CN(CCOCCN(C)C(=O)c1ccon1)Cc1nc(=O)n(C)[n-]1 ZINC001264661091 813194953 /nfs/dbraw/zinc/19/49/53/813194953.db2.gz DFXFFNKRSVBCHS-UHFFFAOYSA-N -1 1 338.368 -0.683 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1coc(C)n1 ZINC001081579080 813226643 /nfs/dbraw/zinc/22/66/43/813226643.db2.gz LODWZNPIISIYLI-MWLCHTKSSA-N -1 1 336.352 -0.966 20 0 EBADMM CCN(CCCNC(=O)[C@@H]1C[C@H]1C(=O)OC)Cc1n[nH]c(=O)[n-]1 ZINC001266025237 813440522 /nfs/dbraw/zinc/44/05/22/813440522.db2.gz FGFJGFMLDCQGFJ-NXEZZACHSA-N -1 1 325.369 -0.352 20 0 EBADMM C[C@]1(C(=O)NCCCN(Cc2n[nH]c(=O)[n-]2)C2CC2)CCNC1=O ZINC001266159655 813469074 /nfs/dbraw/zinc/46/90/74/813469074.db2.gz YNEFLNBWSPUXRB-OAHLLOKOSA-N -1 1 336.396 -0.493 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@@H](C)C1CCC1 ZINC001081843151 813636413 /nfs/dbraw/zinc/63/64/13/813636413.db2.gz ODCQOUXDEUSUSW-CYZMBNFOSA-N -1 1 337.424 -0.140 20 0 EBADMM Cc1sc(=O)n(CC(=O)N(C)CCNCc2n[nH]c(=O)[n-]2)c1C ZINC001267116691 813763966 /nfs/dbraw/zinc/76/39/66/813763966.db2.gz FDDZUQTUQWSSKI-UHFFFAOYSA-N -1 1 340.409 -0.401 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C1(C)CC=CC1 ZINC001082098954 813765305 /nfs/dbraw/zinc/76/53/05/813765305.db2.gz CRIXXDKEUIAOMS-VXGBXAGGSA-N -1 1 335.408 -0.220 20 0 EBADMM Cn1nnc(C(=O)NCCC[C@H]2CCCN2Cc2n[nH]c(=O)[n-]2)n1 ZINC001267174047 813777210 /nfs/dbraw/zinc/77/72/10/813777210.db2.gz JZISZERGMNMMSQ-VIFPVBQESA-N -1 1 335.372 -0.792 20 0 EBADMM COC(=O)NCC(=O)NCCCN(Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001267235588 813798177 /nfs/dbraw/zinc/79/81/77/813798177.db2.gz FLFQDMAHNXYBNQ-UHFFFAOYSA-N -1 1 328.373 -0.417 20 0 EBADMM CCO[C@@H](C(=O)N(C)CCNCc1n[nH]c(=O)[n-]1)[C@@H]1CCOC1 ZINC001267409428 813881092 /nfs/dbraw/zinc/88/10/92/813881092.db2.gz WZKJIJMUEWFTSD-ZYHUDNBSSA-N -1 1 327.385 -0.500 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)CCNC(=O)C(C)(C)C ZINC001267410296 813882406 /nfs/dbraw/zinc/88/24/06/813882406.db2.gz GYUWJDDLQPXJEE-UHFFFAOYSA-N -1 1 326.401 -0.389 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1C[C@H]2CC[C@@H](C1)N2CCOCC1CC1 ZINC001267417936 813885561 /nfs/dbraw/zinc/88/55/61/813885561.db2.gz SICARDFEKDRZRK-TXEJJXNPSA-N -1 1 349.391 -0.002 20 0 EBADMM CC(=O)N[C@H](CC1CCC1)C(=O)N(C)CCNCc1n[nH]c(=O)[n-]1 ZINC001267418535 813886841 /nfs/dbraw/zinc/88/68/41/813886841.db2.gz CYNAPDMKFKXEBU-GFCCVEGCSA-N -1 1 338.412 -0.247 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1cnc(C2CC2)[nH]c1=O ZINC001267436780 813893278 /nfs/dbraw/zinc/89/32/78/813893278.db2.gz JIMBWFRBUVSIFY-UHFFFAOYSA-N -1 1 333.352 -0.255 20 0 EBADMM CNC(=O)C1(C(=O)N2CC[C@@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001267592298 813944454 /nfs/dbraw/zinc/94/44/54/813944454.db2.gz RBPHJNJEPSSWKQ-JTQLQIEISA-N -1 1 336.396 -0.683 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)CCOCC(F)F)C2)nc1=O ZINC001267626446 813959238 /nfs/dbraw/zinc/95/92/38/813959238.db2.gz WEFFMGBMOHSKBI-JTQLQIEISA-N -1 1 347.366 -0.139 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2CCc3n[nH]cc3C2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082858202 814015482 /nfs/dbraw/zinc/01/54/82/814015482.db2.gz VLPWUESYMPRNTM-GBIKHYSHSA-N -1 1 345.407 -0.025 20 0 EBADMM CC(=O)N1CC[C@H](C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001082888355 814020194 /nfs/dbraw/zinc/02/01/94/814020194.db2.gz JZPSQVDBTFGCHG-ADEWGFFLSA-N -1 1 336.396 -0.685 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@@H]32)on1 ZINC001082998393 814048074 /nfs/dbraw/zinc/04/80/74/814048074.db2.gz NKSDZUSOJJWYHT-CMPLNLGQSA-N -1 1 348.363 -0.870 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)c4ccoc4)[C@H]3C2)nc1=O ZINC001082999197 814048751 /nfs/dbraw/zinc/04/87/51/814048751.db2.gz CTMJGJYUQVEJHL-NWDGAFQWSA-N -1 1 333.348 -0.573 20 0 EBADMM Cn1ncc(CN2C[C@H]3OCCN(C(=O)c4ncccc4[O-])[C@H]3C2)n1 ZINC001083059514 814097704 /nfs/dbraw/zinc/09/77/04/814097704.db2.gz HVYGJAOBHNQVFB-GXTWGEPZSA-N -1 1 344.375 -0.359 20 0 EBADMM C[C@@H](CNCc1cnnn1C)N(C)C(=O)c1c[n-]n2c1nccc2=O ZINC001268089534 814121381 /nfs/dbraw/zinc/12/13/81/814121381.db2.gz LGMPLZWVIXNWKX-JTQLQIEISA-N -1 1 344.379 -0.599 20 0 EBADMM CC(C)C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CCCNC1=O ZINC001268103566 814126720 /nfs/dbraw/zinc/12/67/20/814126720.db2.gz CUJHWBTZMBRIFY-MNOVXSKESA-N -1 1 338.412 -0.343 20 0 EBADMM CC[C@H](F)C(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21 ZINC001083164976 814175659 /nfs/dbraw/zinc/17/56/59/814175659.db2.gz JPIVUUZEHBHIEN-GARJFASQSA-N -1 1 327.360 -0.732 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)[C@H]4CC45CC5)[C@H]3C2)nc1=O ZINC001083205311 814201124 /nfs/dbraw/zinc/20/11/24/814201124.db2.gz WRJJKOOBYZZQBM-GRYCIOLGSA-N -1 1 333.392 -0.680 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)[C@H]4CC45CCC5)[C@H]3C2)nc1=O ZINC001083208346 814202005 /nfs/dbraw/zinc/20/20/05/814202005.db2.gz MLURDMVKPSFRHY-FRRDWIJNSA-N -1 1 347.419 -0.290 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)Cc4ccco4)[C@H]3C2)nc1=O ZINC001083229665 814219880 /nfs/dbraw/zinc/21/98/80/814219880.db2.gz MDNHKISRHYPYGF-QWHCGFSZSA-N -1 1 347.375 -0.644 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CCn2cncc2C1 ZINC001268460824 814251119 /nfs/dbraw/zinc/25/11/19/814251119.db2.gz WIHIVGIBECWYSJ-NSHDSACASA-N -1 1 333.396 -0.093 20 0 EBADMM Cc1ccccc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001083319048 814279207 /nfs/dbraw/zinc/27/92/07/814279207.db2.gz XKMIDYLMKUXPAJ-OLZOCXBDSA-N -1 1 331.376 -0.608 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)Cc3ccsc3)[C@@H](O)C2)nc1=O ZINC001083320828 814280979 /nfs/dbraw/zinc/28/09/79/814280979.db2.gz GBUTTZXXBZMAGK-MNOVXSKESA-N -1 1 337.405 -0.926 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)CC3=CCCCC3)[C@@H](O)C2)nc1=O ZINC001083373208 814342609 /nfs/dbraw/zinc/34/26/09/814342609.db2.gz WEWANGYRASDOEO-OLZOCXBDSA-N -1 1 335.408 -0.340 20 0 EBADMM C[C@@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)c1cccc2ncnn21 ZINC001268736903 814371291 /nfs/dbraw/zinc/37/12/91/814371291.db2.gz GVZQGFKLZAZJLB-JTQLQIEISA-N -1 1 344.379 -0.599 20 0 EBADMM Cc1ccnc(CN2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1 ZINC001083423176 814403022 /nfs/dbraw/zinc/40/30/22/814403022.db2.gz IPXSZLIOSLTMGI-YPMHNXCESA-N -1 1 329.360 -0.139 20 0 EBADMM Cc1cc(CN2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)ncn1 ZINC001083423504 814404543 /nfs/dbraw/zinc/40/45/43/814404543.db2.gz ZATCIERRAIBRMY-OCCSQVGLSA-N -1 1 329.360 -0.139 20 0 EBADMM CCCn1nnnc1CN1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001083423519 814404698 /nfs/dbraw/zinc/40/46/98/814404698.db2.gz ZMGWNUACRSVBML-PWSUYJOCSA-N -1 1 347.379 -0.841 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@H]3CCCC4(CC4)C3)[C@@H](O)C2)nc1=O ZINC001083457502 814449140 /nfs/dbraw/zinc/44/91/40/814449140.db2.gz RSZKNRVQUODPSL-XQQFMLRXSA-N -1 1 349.435 -0.260 20 0 EBADMM CCc1cccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)n1 ZINC001083528751 814525799 /nfs/dbraw/zinc/52/57/99/814525799.db2.gz GTISBLNEYCUIBJ-OLZOCXBDSA-N -1 1 346.391 -0.959 20 0 EBADMM O=C(NCCn1ccnn1)[C@H]1CC12CN(C(=O)c1ncccc1[O-])C2 ZINC001269346034 814650544 /nfs/dbraw/zinc/65/05/44/814650544.db2.gz IMKNJBFCRXUHKM-LLVKDONJSA-N -1 1 342.359 -0.343 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C3CC4(CCC4)C3)[C@@H](O)C2)nc1=O ZINC001083709341 814716803 /nfs/dbraw/zinc/71/68/03/814716803.db2.gz KRIAQIVETQRQGT-NEPJUHHUSA-N -1 1 335.408 -0.650 20 0 EBADMM CC(=O)[C@H](C)N1CC2(CCC1=O)CCN(C(=O)Cc1nn[n-]n1)CC2 ZINC001269575904 814732972 /nfs/dbraw/zinc/73/29/72/814732972.db2.gz ALHVAQBKTGRYRL-NSHDSACASA-N -1 1 348.407 -0.049 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c(F)c1 ZINC001083806519 815619762 /nfs/dbraw/zinc/61/97/62/815619762.db2.gz DSNGIHPRRNBCKB-OLZOCXBDSA-N -1 1 349.366 -0.469 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c(C)[nH]1 ZINC001083818120 815626094 /nfs/dbraw/zinc/62/60/94/815626094.db2.gz QZLJHRSQJITNTD-NEPJUHHUSA-N -1 1 334.380 -0.972 20 0 EBADMM Cc1ncc(CO)c(C(=O)N2C[C@@H]3C(C(=O)N(C)C)=NO[C@@H]3C2)c1[O-] ZINC001269979384 815655424 /nfs/dbraw/zinc/65/54/24/815655424.db2.gz HRAKOAIHPXZWRE-WDEREUQCSA-N -1 1 348.359 -0.497 20 0 EBADMM COC1(CC(=O)N2CC3(CN(C(=O)Cc4nn[n-]n4)C3)C2)CCC1 ZINC001270182713 815712989 /nfs/dbraw/zinc/71/29/89/815712989.db2.gz LGPQYEGPVIPIHM-UHFFFAOYSA-N -1 1 334.380 -0.628 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC[C@@]2(CC[N@H+](Cc3cn[nH]c3)C2)C1 ZINC001270182255 815713295 /nfs/dbraw/zinc/71/32/95/815713295.db2.gz AAQSHLOCWCFZET-HNNXBMFYSA-N -1 1 330.396 -0.020 20 0 EBADMM COCCOCC(=O)NC1(C)CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001270219656 815726841 /nfs/dbraw/zinc/72/68/41/815726841.db2.gz CPBZYZOOIBPNSO-UHFFFAOYSA-N -1 1 341.412 -0.758 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O)c1ccccc1 ZINC001083962893 815745066 /nfs/dbraw/zinc/74/50/66/815745066.db2.gz YFAPXISQSMESSL-YUTCNCBUSA-N -1 1 345.403 -0.427 20 0 EBADMM Cc1ncc(CO)c(C(=O)N2CC3(CCN3CC(=O)N(C)C)C2)c1[O-] ZINC001270374725 815761766 /nfs/dbraw/zinc/76/17/66/815761766.db2.gz WAKXXFLJHWSVEL-UHFFFAOYSA-N -1 1 348.403 -0.424 20 0 EBADMM Cn1cccc1C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001084193291 815865355 /nfs/dbraw/zinc/86/53/55/815865355.db2.gz DLOCKWGZHIYCCN-DGCLKSJQSA-N -1 1 330.392 -0.207 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)Cc4ccon4)[C@@H]3C2)nc1=O ZINC001084237971 815870677 /nfs/dbraw/zinc/87/06/77/815870677.db2.gz GMIJRRPVXPMZCO-ZYHUDNBSSA-N -1 1 332.364 -0.628 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)Cc4cccnc4)[C@@H]3C2)nc1=O ZINC001084282117 815880841 /nfs/dbraw/zinc/88/08/41/815880841.db2.gz KCRQNFWCKSHHJS-ZIAGYGMSSA-N -1 1 342.403 -0.221 20 0 EBADMM O=C(Cc1nn[n-]n1)N1C[C@@H]2CC[C@H](C1)[C@H]2C(=O)NCC(F)F ZINC001270788235 815890677 /nfs/dbraw/zinc/89/06/77/815890677.db2.gz CQMHIQJMMIRUPP-IJBDUVHLSA-N -1 1 328.323 -0.392 20 0 EBADMM O=C(C[C@@H]1C[C@@H]2CN(C(=O)Cc3nn[n-]n3)C[C@@H]2O1)NCC(F)F ZINC001270787968 815891172 /nfs/dbraw/zinc/89/11/72/815891172.db2.gz CEHLQFRHRVEGMH-VGMNWLOBSA-N -1 1 344.322 -0.871 20 0 EBADMM CN(C(=O)[C@]12C[C@H]1CCN2C(=O)Cc1nn[n-]n1)C1CC(F)(F)C1 ZINC001270788898 815892067 /nfs/dbraw/zinc/89/20/67/815892067.db2.gz JNNZUXACAVIRHM-CLAHSXSESA-N -1 1 340.334 -0.011 20 0 EBADMM Cc1nc(CN2CC[C@@H]3CN(C(=O)c4n[nH]c(=O)[n-]c4=O)[C@@H]3C2)n[nH]1 ZINC001084487212 815927065 /nfs/dbraw/zinc/92/70/65/815927065.db2.gz CSIRXNAYADEJAH-RKDXNWHRSA-N -1 1 346.351 -0.944 20 0 EBADMM Cn1[n-]c(CN2CC[C@](O)(CNC(=O)[C@]3(C)C=CCC3)C2)nc1=O ZINC001271177369 816020329 /nfs/dbraw/zinc/02/03/29/816020329.db2.gz XFARTIHUHROWRF-CVEARBPZSA-N -1 1 335.408 -0.482 20 0 EBADMM CN1C[C@H](C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)CC1=O ZINC001085216185 816030324 /nfs/dbraw/zinc/03/03/24/816030324.db2.gz HYAZPIVGCVJAJG-GMTAPVOTSA-N -1 1 336.396 -0.494 20 0 EBADMM Cn1ccnc1CN[C@@H]1CCCC[C@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001085260509 816034734 /nfs/dbraw/zinc/03/47/34/816034734.db2.gz QCUJIOULVXBYTQ-NXEZZACHSA-N -1 1 347.379 -0.153 20 0 EBADMM CN1CC[C@H](C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)C1=O ZINC001085302496 816039081 /nfs/dbraw/zinc/03/90/81/816039081.db2.gz RKKQRURGZAYGIU-GMTAPVOTSA-N -1 1 336.396 -0.494 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1ccc(F)cn1 ZINC001085557228 816072021 /nfs/dbraw/zinc/07/20/21/816072021.db2.gz NCEBAKPHZRTFLB-LLVKDONJSA-N -1 1 334.355 -0.011 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085656040 816100881 /nfs/dbraw/zinc/10/08/81/816100881.db2.gz DUMXDWVMDRJZCU-VIFPVBQESA-N -1 1 335.368 -0.808 20 0 EBADMM CN1C[C@@]2(F)CN(C(=O)c3c[nH]c(=S)[n-]c3=O)C[C@@]2(F)C1=O ZINC001271555923 816141389 /nfs/dbraw/zinc/14/13/89/816141389.db2.gz IBTDNTDMJGSWLD-VXGBXAGGSA-N -1 1 330.316 -0.185 20 0 EBADMM O=C(c1cc([O-])cc(F)c1)N1CC2(C1)COCC(=O)N2C1COC1 ZINC001271561333 816142004 /nfs/dbraw/zinc/14/20/04/816142004.db2.gz GGKFJIKMVPYYFF-UHFFFAOYSA-N -1 1 336.319 -0.017 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1csc(=O)[nH]1 ZINC001085773683 816143207 /nfs/dbraw/zinc/14/32/07/816143207.db2.gz JRFOCDGYDFEOBE-QMMMGPOBSA-N -1 1 338.393 -0.383 20 0 EBADMM CCn1nc(C)cc1C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085834296 816160292 /nfs/dbraw/zinc/16/02/92/816160292.db2.gz HWWDXNWQEXNBRL-GFCCVEGCSA-N -1 1 347.423 -0.020 20 0 EBADMM CC(C)n1cc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)nn1 ZINC001085897852 816174145 /nfs/dbraw/zinc/17/41/45/816174145.db2.gz PKISVYFNZXEYHL-NSHDSACASA-N -1 1 348.411 -0.373 20 0 EBADMM CCc1ncncc1C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085976703 816194315 /nfs/dbraw/zinc/19/43/15/816194315.db2.gz DDVBLMOYPFMWBA-NSHDSACASA-N -1 1 345.407 -0.193 20 0 EBADMM O=C(c1ccc(F)c(=O)[n-]1)N1CC2(C1)CN(C1COC1)C(=O)CO2 ZINC001271741760 816198449 /nfs/dbraw/zinc/19/84/49/816198449.db2.gz GAOCUECLIHCVMF-UHFFFAOYSA-N -1 1 337.307 -0.622 20 0 EBADMM NC(=O)c1cn2c(n1)CN(C(=O)CCC[N-]C(=O)C(F)(F)F)CC2 ZINC001271838863 816225638 /nfs/dbraw/zinc/22/56/38/816225638.db2.gz RDKXXJJWELHDGJ-UHFFFAOYSA-N -1 1 347.297 -0.217 20 0 EBADMM CCn1ccc(CN[C@H]2C[C@@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001086138653 816244682 /nfs/dbraw/zinc/24/46/82/816244682.db2.gz UOGOEGGKFZZEAL-JGZJWPJOSA-N -1 1 347.379 -0.203 20 0 EBADMM CSc1ncc(C(=O)N2CC[C@]3(C2)CN(C)C(=O)CO3)c(=O)[n-]1 ZINC001272234656 816363555 /nfs/dbraw/zinc/36/35/55/816363555.db2.gz RIYQOLBSFBGAOS-CQSZACIVSA-N -1 1 338.389 -0.023 20 0 EBADMM Cn1ncc(CN[C@@H]2CC[C@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001086746284 816399114 /nfs/dbraw/zinc/39/91/14/816399114.db2.gz QFKCFMGYPHVSLD-DTWKUNHWSA-N -1 1 348.367 -0.900 20 0 EBADMM O=C(NC[C@H](O)CNCc1n[nH]c(=O)[n-]1)c1cncc2ccccc21 ZINC001272583634 816486090 /nfs/dbraw/zinc/48/60/90/816486090.db2.gz JJUXXRNBPYBWQB-LLVKDONJSA-N -1 1 342.359 -0.061 20 0 EBADMM NC(=O)CC(=O)N1C[C@H]2[C@@H](C1)[C@H]1CC[C@@H]2N(Cc2n[nH]c(=O)[n-]2)C1 ZINC001087344298 816504632 /nfs/dbraw/zinc/50/46/32/816504632.db2.gz DKUOPLRKBSDHDR-NAKRPEOUSA-N -1 1 334.380 -0.946 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2cc(C)ncn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087544889 816529359 /nfs/dbraw/zinc/52/93/59/816529359.db2.gz FTFBPMJSTPPDRF-WCQYABFASA-N -1 1 345.407 -0.010 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2cncnc2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087550964 816531486 /nfs/dbraw/zinc/53/14/86/816531486.db2.gz CAAVCKZBICPLTL-NWDGAFQWSA-N -1 1 331.380 -0.319 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2ccnnc2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087642779 816542682 /nfs/dbraw/zinc/54/26/82/816542682.db2.gz HPNPZTGRCUSRTB-QWHCGFSZSA-N -1 1 345.407 -0.010 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2n[nH]cc2F)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087716200 816552775 /nfs/dbraw/zinc/55/27/75/816552775.db2.gz ZQFDUMIOUZRGCD-VHSXEESVSA-N -1 1 337.359 -0.247 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)Cc2ncc[nH]2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087774891 816558168 /nfs/dbraw/zinc/55/81/68/816558168.db2.gz OSNKJAZJWGPLNZ-WDEREUQCSA-N -1 1 333.396 -0.457 20 0 EBADMM O=C1CC[C@H](CN2CC3(CN(Cc4ccncc4[O-])C3)OCC2=O)N1 ZINC001273081954 816583296 /nfs/dbraw/zinc/58/32/96/816583296.db2.gz ZPOJIQKRJPMMLU-CYBMUJFWSA-N -1 1 346.387 -0.521 20 0 EBADMM O=C([C@@H]1COCCO1)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088268365 816657124 /nfs/dbraw/zinc/65/71/24/816657124.db2.gz MSFPUKJGLBUNJT-RYUDHWBXSA-N -1 1 339.396 -0.214 20 0 EBADMM O=C(Cn1ncnn1)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088313861 816662391 /nfs/dbraw/zinc/66/23/91/816662391.db2.gz ILOMODUHKQSHPV-JTQLQIEISA-N -1 1 335.372 -0.942 20 0 EBADMM Cn1nnc(C(=O)N2CCCCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001088364736 816671294 /nfs/dbraw/zinc/67/12/94/816671294.db2.gz ROUAVHZDXFMROO-VIFPVBQESA-N -1 1 335.372 -0.792 20 0 EBADMM O=C(c1c[nH]c(=O)cn1)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088370747 816671950 /nfs/dbraw/zinc/67/19/50/816671950.db2.gz RINJXEAOZLBXPF-JTQLQIEISA-N -1 1 347.379 -0.232 20 0 EBADMM Cc1nn(C)cc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001088498250 816691672 /nfs/dbraw/zinc/69/16/72/816691672.db2.gz PBLHMCRVRWYOKI-PWSUYJOCSA-N -1 1 333.396 -0.457 20 0 EBADMM CCn1nccc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001088540974 816699020 /nfs/dbraw/zinc/69/90/20/816699020.db2.gz FAIBIJJKEUQSSI-MNOVXSKESA-N -1 1 333.396 -0.282 20 0 EBADMM C[C@H](C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C)c1ccnn1C ZINC001088574988 816706394 /nfs/dbraw/zinc/70/63/94/816706394.db2.gz BNVOQNLMANRPEV-TUAOUCFPSA-N -1 1 347.423 -0.275 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cnn3c2CCC3)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088606821 816712229 /nfs/dbraw/zinc/71/22/29/816712229.db2.gz PKPJGIUYBFTEHV-PWSUYJOCSA-N -1 1 345.407 -0.356 20 0 EBADMM CCc1c[nH]c(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)n1 ZINC001088633721 816717984 /nfs/dbraw/zinc/71/79/84/816717984.db2.gz ZDESYYKSNLVKDD-PWSUYJOCSA-N -1 1 347.423 -0.284 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCCCN(C)C1=O ZINC001273710446 816738051 /nfs/dbraw/zinc/73/80/51/816738051.db2.gz VHXXVNDZTPULIQ-LLVKDONJSA-N -1 1 338.412 -0.293 20 0 EBADMM Cc1nc(CN2CC[C@H](NC(=O)c3cnc([O-])n(C)c3=O)[C@H]2C)n[nH]1 ZINC001088789719 816739434 /nfs/dbraw/zinc/73/94/34/816739434.db2.gz CXADFTHPKOCPQF-KCJUWKMLSA-N -1 1 347.379 -0.695 20 0 EBADMM C[C@H](C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C)c1cncnc1 ZINC001088812743 816747374 /nfs/dbraw/zinc/74/73/74/816747374.db2.gz PODRACBYWLDLHI-LOWVWBTDSA-N -1 1 345.407 -0.219 20 0 EBADMM COCCOCN1CCC12CN(C(=O)c1c[nH]c(=S)[n-]c1=O)C2 ZINC001273785183 816761502 /nfs/dbraw/zinc/76/15/02/816761502.db2.gz XQPFRDNIUPEZEC-UHFFFAOYSA-N -1 1 340.405 -0.008 20 0 EBADMM CCc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)n(C)n1 ZINC001088939652 816766742 /nfs/dbraw/zinc/76/67/42/816766742.db2.gz BLBKZGCTXIIXMJ-PWSUYJOCSA-N -1 1 347.423 -0.203 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cn2c(=O)[n-][nH]c2=O)CCN1Cc1ccccn1 ZINC001089007118 816778080 /nfs/dbraw/zinc/77/80/80/816778080.db2.gz PWKUERIFQMURNQ-PWSUYJOCSA-N -1 1 332.364 -0.137 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCCN1C(=O)c1cn(C)nn1 ZINC001089170850 816811521 /nfs/dbraw/zinc/81/15/21/816811521.db2.gz ZRMHNHYNPLWAML-NSHDSACASA-N -1 1 348.411 -0.636 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCCN1C(=O)c1ncc[nH]1 ZINC001089174047 816812618 /nfs/dbraw/zinc/81/26/18/816812618.db2.gz SJXLSRVAHDMAIL-NSHDSACASA-N -1 1 333.396 -0.042 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCCN1C(=O)Cn1cncn1 ZINC001089255334 816823744 /nfs/dbraw/zinc/82/37/44/816823744.db2.gz HKNFELROTTYOJQ-LBPRGKRZSA-N -1 1 348.411 -0.787 20 0 EBADMM CCC(=O)N1CC[C@@H](n2cc(CNCc3nc(=O)n(C)[n-]3)nn2)C1 ZINC001089574614 816875480 /nfs/dbraw/zinc/87/54/80/816875480.db2.gz PVPWFNNTBJEJNT-LLVKDONJSA-N -1 1 334.384 -0.827 20 0 EBADMM Cn1nccc1CNC/C=C\CNC(=O)CCc1n[nH]c(=O)[n-]c1=O ZINC001274165503 816884542 /nfs/dbraw/zinc/88/45/42/816884542.db2.gz YHJNEPHRQNONPE-IHWYPQMZSA-N -1 1 347.379 -0.589 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ccccc3F)[C@@H](O)C2)nc1=O ZINC001090018335 816971328 /nfs/dbraw/zinc/97/13/28/816971328.db2.gz ZUTNZNYXSRHIKH-STQMWFEESA-N -1 1 349.366 -0.387 20 0 EBADMM Cc1ccccc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001090033436 816976753 /nfs/dbraw/zinc/97/67/53/816976753.db2.gz JCOHKDDFAPANNO-UONOGXRCSA-N -1 1 345.403 -0.218 20 0 EBADMM O=C(c1c[nH]c(=O)c(=O)[n-]1)N1CC[C@H]2[C@@H]1CCN2Cc1ccc[nH]1 ZINC001274501804 816985175 /nfs/dbraw/zinc/98/51/75/816985175.db2.gz IMWAIWZFXOJWBS-STQMWFEESA-N -1 1 329.360 -0.120 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)o1 ZINC001090048971 816985691 /nfs/dbraw/zinc/98/56/91/816985691.db2.gz GEOGTOSOVXIOJW-GHMZBOCLSA-N -1 1 335.364 -0.625 20 0 EBADMM C[C@@H](CCNC(=O)c1c[n-]n2c1nccc2=O)NCc1cn(C)nn1 ZINC001274797912 817088737 /nfs/dbraw/zinc/08/87/37/817088737.db2.gz MZACDCFUNVJAEO-JTQLQIEISA-N -1 1 344.379 -0.551 20 0 EBADMM Cc1[nH]ccc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001090173859 817090921 /nfs/dbraw/zinc/09/09/21/817090921.db2.gz GMOWMJXWFAGRCF-NWDGAFQWSA-N -1 1 334.380 -0.890 20 0 EBADMM NC(=O)[C@H]1CCn2nccc2CN1C(=O)c1c[nH]c(=S)[n-]c1=O ZINC001275247090 817176254 /nfs/dbraw/zinc/17/62/54/817176254.db2.gz FUZYWCGSMMHVSO-SECBINFHSA-N -1 1 334.361 -0.433 20 0 EBADMM CN(C)C(=O)[C@@H]1CCC2(CN(C(=O)c3c[nH]c(=S)[n-]c3=O)C2)O1 ZINC001275246790 817176361 /nfs/dbraw/zinc/17/63/61/817176361.db2.gz BWBJLKMCYCXMNO-VIFPVBQESA-N -1 1 338.389 -0.067 20 0 EBADMM C[C@@H](NC(=O)c1cnn2ncccc12)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001275424626 817215201 /nfs/dbraw/zinc/21/52/01/817215201.db2.gz PWVLDBFSGNUQPZ-DTWKUNHWSA-N -1 1 330.352 -0.150 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)c1cnn2ncccc12 ZINC001275424625 817215314 /nfs/dbraw/zinc/21/53/14/817215314.db2.gz PWVLDBFSGNUQPZ-BDAKNGLRSA-N -1 1 330.352 -0.150 20 0 EBADMM CN(C)C(=O)CNC(=O)C12CC(NC(=O)c3cncc([O-])c3)(C1)C2 ZINC001275623133 817263380 /nfs/dbraw/zinc/26/33/80/817263380.db2.gz JUNYLBJAZIGPRW-UHFFFAOYSA-N -1 1 332.360 -0.356 20 0 EBADMM CCCC[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CCCNC1=O ZINC001276254891 817378524 /nfs/dbraw/zinc/37/85/24/817378524.db2.gz HZOORFMMLKJSGM-QWRGUYRKSA-N -1 1 338.412 -0.199 20 0 EBADMM Cn1cncc1CN1CC[C@@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001276938764 817498187 /nfs/dbraw/zinc/49/81/87/817498187.db2.gz BDAPXAXVBVGZDL-GFCCVEGCSA-N -1 1 346.391 -0.949 20 0 EBADMM CN(C)C(=O)CNC(=O)c1[nH]nc2c1CN(Cc1ncccc1[O-])C2 ZINC001277317547 817550670 /nfs/dbraw/zinc/55/06/70/817550670.db2.gz BXSVROSQFNOLPC-UHFFFAOYSA-N -1 1 344.375 -0.156 20 0 EBADMM Cc1cc(CN2CCc3[nH]nc(C(=O)N=c4nn[n-]n4C)c3C2)n[nH]1 ZINC001277348830 817557232 /nfs/dbraw/zinc/55/72/32/817557232.db2.gz ILLBIHUYBKETJJ-UHFFFAOYSA-N -1 1 342.367 -0.803 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c(C)[nH]1 ZINC001090606459 817580650 /nfs/dbraw/zinc/58/06/50/817580650.db2.gz QXOQTKDHUNAFMD-OLZOCXBDSA-N -1 1 348.407 -0.581 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)cc1 ZINC001090754219 817719977 /nfs/dbraw/zinc/71/99/77/817719977.db2.gz JHLRQRNBPYMPAT-ZIAGYGMSSA-N -1 1 345.403 -0.218 20 0 EBADMM CCOCCC(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCOCC1 ZINC001278699133 817795323 /nfs/dbraw/zinc/79/53/23/817795323.db2.gz OCEHUMMACIFTDZ-UHFFFAOYSA-N -1 1 327.385 -0.308 20 0 EBADMM O=C(c1cccn2nccc12)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001279753295 817981258 /nfs/dbraw/zinc/98/12/58/817981258.db2.gz GCWNLSAZMQDYDS-UHFFFAOYSA-N -1 1 345.363 -0.618 20 0 EBADMM Cn1nnc(CN2C[C@@H]3CN(C(=O)c4ncccc4[O-])C[C@]3(C)C2)n1 ZINC001091616245 818017876 /nfs/dbraw/zinc/01/78/76/818017876.db2.gz WLMBCTFVGNOROD-BZNIZROVSA-N -1 1 343.391 -0.095 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)NCc1cn(C2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001094271121 818192576 /nfs/dbraw/zinc/19/25/76/818192576.db2.gz NTYHRQCLMMEMFR-SKDRFNHKSA-N -1 1 346.395 -0.971 20 0 EBADMM Cn1[n-]c(CN2CCC(CO)(NC(=O)[C@@H]3CC=CCC3)CC2)nc1=O ZINC001281799356 818280444 /nfs/dbraw/zinc/28/04/44/818280444.db2.gz SLTOSLCLHNVYBX-CYBMUJFWSA-N -1 1 349.435 -0.092 20 0 EBADMM COCCOCC(=O)N1CCC[C@@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001281927884 818318705 /nfs/dbraw/zinc/31/87/05/818318705.db2.gz FTPIPPMPTGKKMD-GFCCVEGCSA-N -1 1 341.412 -0.806 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)CCN1C(=O)C(F)F ZINC001282368529 818397870 /nfs/dbraw/zinc/39/78/70/818397870.db2.gz YRABPBQIVLORAH-BDAKNGLRSA-N -1 1 344.318 -0.703 20 0 EBADMM C[C@@H](CNC(=O)c1cc2ncccn2n1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001282466458 818435381 /nfs/dbraw/zinc/43/53/81/818435381.db2.gz CGSCTTHALYLNJK-JTQLQIEISA-N -1 1 344.379 -0.599 20 0 EBADMM CC[C@H](C)OCC(=O)NCCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001282974992 818557318 /nfs/dbraw/zinc/55/73/18/818557318.db2.gz CWDVEORYPIEJGO-VIFPVBQESA-N -1 1 336.352 -0.921 20 0 EBADMM O=C(NCCNC(=O)c1ncccc1[O-])c1cnc2nccn2c1 ZINC001282983501 818563064 /nfs/dbraw/zinc/56/30/64/818563064.db2.gz KEBINBBMLMTJHC-UHFFFAOYSA-N -1 1 326.316 -0.010 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2ccc(=O)[nH]n2)C3)nc1=O ZINC001095140033 818568752 /nfs/dbraw/zinc/56/87/52/818568752.db2.gz SLWGCSYQSLZKFS-MIMYLULJSA-N -1 1 345.363 -0.861 20 0 EBADMM Cc1cc(CC(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)no1 ZINC001095247872 818590148 /nfs/dbraw/zinc/59/01/48/818590148.db2.gz FHVBRBBFADZAMM-AGIUHOORSA-N -1 1 346.391 -0.131 20 0 EBADMM O=C(N[C@H]1C[C@H]2CC[C@@H]1N2[C@H]1CCC(=O)NC1=O)c1ncccc1[O-] ZINC001095346662 818608458 /nfs/dbraw/zinc/60/84/58/818608458.db2.gz ZXSCUFVUEJNVMF-RHYQMDGZSA-N -1 1 344.371 -0.073 20 0 EBADMM CO[C@H]1CCC[C@H]1C(=O)NCCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001283088757 818639427 /nfs/dbraw/zinc/63/94/27/818639427.db2.gz JMIINNSLWGXIRI-KOLCDFICSA-N -1 1 348.363 -0.921 20 0 EBADMM CCC1(C(=O)NCCNC(=O)CCn2cc[n-]c(=O)c2=O)CCC1 ZINC001283117534 818659886 /nfs/dbraw/zinc/65/98/86/818659886.db2.gz VQOIQPRCSLVWNG-UHFFFAOYSA-N -1 1 336.392 -0.261 20 0 EBADMM CC/C=C(\C)C(=O)NCCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001283199224 818695783 /nfs/dbraw/zinc/69/57/83/818695783.db2.gz YZKIXIMOGXWALM-LFYBBSHMSA-N -1 1 336.392 -0.095 20 0 EBADMM O=C(NCCCNC(=O)[C@H]1CCCS1(=O)=O)c1ncccc1[O-] ZINC001283231967 818709960 /nfs/dbraw/zinc/70/99/60/818709960.db2.gz CHJZXBCXPCZKKD-LLVKDONJSA-N -1 1 341.389 -0.400 20 0 EBADMM C[C@H]1C[C@H](C(=O)NCCCNC(=O)c2cc(=O)n3[n-]cnc3n2)CO1 ZINC001283288838 818742079 /nfs/dbraw/zinc/74/20/79/818742079.db2.gz PYRUKBQXWFQLSS-UWVGGRQHSA-N -1 1 348.363 -0.921 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CCN(c2cccc(F)n2)C1 ZINC001096403134 818817594 /nfs/dbraw/zinc/81/75/94/818817594.db2.gz MQYWFCJHCVYRKG-NSHDSACASA-N -1 1 347.350 -0.144 20 0 EBADMM CN(CCCNC(=O)C(C)(F)F)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001283479050 818824390 /nfs/dbraw/zinc/82/43/90/818824390.db2.gz FTZYOTGPJUSGKK-UHFFFAOYSA-N -1 1 346.334 -0.453 20 0 EBADMM Cn1cc(CC(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)cn1 ZINC001096863679 818898017 /nfs/dbraw/zinc/89/80/17/818898017.db2.gz WBBVXSWUVHDFQH-UPJWGTAASA-N -1 1 345.407 -0.694 20 0 EBADMM CCN(CCCNC(=O)c1ncccc1[O-])C(=O)CNC(=O)NC ZINC001283711007 818913182 /nfs/dbraw/zinc/91/31/82/818913182.db2.gz DZDRBJQQGHLIAZ-UHFFFAOYSA-N -1 1 337.380 -0.315 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@H]2CCCCO2)C3)nc1=O ZINC001096964574 818914437 /nfs/dbraw/zinc/91/44/37/818914437.db2.gz URILRAPFDGBLFJ-FVCCEPFGSA-N -1 1 335.408 -0.101 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1C[C@@H](Nc2ncccn2)C1 ZINC001097201503 818954648 /nfs/dbraw/zinc/95/46/48/818954648.db2.gz HSHRNTJIDKRVCW-PHIMTYICSA-N -1 1 330.348 -0.524 20 0 EBADMM O=C(NCC1CC1)C(=O)N[C@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001283931819 819034061 /nfs/dbraw/zinc/03/40/61/819034061.db2.gz VQAJGZHZCJYLJN-GFCCVEGCSA-N -1 1 346.387 -0.062 20 0 EBADMM Cc1ccn(CC(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)n1 ZINC001097874753 819048103 /nfs/dbraw/zinc/04/81/03/819048103.db2.gz OQUXFMQNWUWXQE-UPJWGTAASA-N -1 1 345.407 -0.465 20 0 EBADMM Cc1ccnc(N[C@@H](C)CNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001097954064 819064614 /nfs/dbraw/zinc/06/46/14/819064614.db2.gz PQGYDZSKIPTSTJ-VIFPVBQESA-N -1 1 328.336 -0.254 20 0 EBADMM Cc1conc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001098168565 819103660 /nfs/dbraw/zinc/10/36/60/819103660.db2.gz MWODAZGZKBBWJV-MXWKQRLJSA-N -1 1 332.364 -0.060 20 0 EBADMM C[C@H](CNC(=O)[C@@H]1CC1(C)C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001284225403 819138558 /nfs/dbraw/zinc/13/85/58/819138558.db2.gz DSVBXQOIVHCLAK-MNOVXSKESA-N -1 1 336.392 -0.406 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)c1cccn1C ZINC001284282093 819165469 /nfs/dbraw/zinc/16/54/69/819165469.db2.gz JYGZHIPXLKHMLZ-NSHDSACASA-N -1 1 347.375 -0.800 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@H]1CCN(C(=O)C2CC2)C1 ZINC001284338409 819189242 /nfs/dbraw/zinc/18/92/42/819189242.db2.gz DPGAGLHAIOKDET-LLVKDONJSA-N -1 1 334.376 -0.699 20 0 EBADMM C[C@H](CNC(=O)Cc1cnc[nH]1)NC(=O)c1c[n-]n2c1nccc2=O ZINC001284344653 819192218 /nfs/dbraw/zinc/19/22/18/819192218.db2.gz SIPYIPPIIZLFGW-SECBINFHSA-N -1 1 343.347 -0.777 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N(C)CCNC(=O)CC(N)=O ZINC001284508461 819263596 /nfs/dbraw/zinc/26/35/96/819263596.db2.gz RHVCAMAWORYVNS-UHFFFAOYSA-N -1 1 337.380 -0.322 20 0 EBADMM CN(CCNC(=O)c1cnc(C2CC2)[n-]c1=O)C(=O)[C@H]1CCNC1=O ZINC001284526286 819272125 /nfs/dbraw/zinc/27/21/25/819272125.db2.gz FZQQFCUVIRGWFG-JTQLQIEISA-N -1 1 347.375 -0.616 20 0 EBADMM CN(CCNC(=O)c1ccns1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001284538607 819276898 /nfs/dbraw/zinc/27/68/98/819276898.db2.gz DQQXYOFWMXBRGD-UHFFFAOYSA-N -1 1 347.360 -0.624 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC[C@H](n3cc(CNCCCO)nn3)C2)c1[O-] ZINC001098657530 819300385 /nfs/dbraw/zinc/30/03/85/819300385.db2.gz WWRXSCYCVYTAED-LBPRGKRZSA-N -1 1 349.395 -0.426 20 0 EBADMM Cn1nccc1C(=O)N[C@]12CCC[C@H]1CN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001098754030 819353987 /nfs/dbraw/zinc/35/39/87/819353987.db2.gz WOLHFIHAMVVDOO-ZBEGNZNMSA-N -1 1 345.407 -0.374 20 0 EBADMM CC(F)(F)CC(=O)N1CC(NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001284923522 819411076 /nfs/dbraw/zinc/41/10/76/819411076.db2.gz MUXUFMOGHGPRLK-UHFFFAOYSA-N -1 1 344.318 -0.701 20 0 EBADMM C[C@@H](CCNC(=O)Cn1c(=O)[n-][nH]c1=O)Nc1ncnc2[nH]cnc21 ZINC001099361981 819457336 /nfs/dbraw/zinc/45/73/36/819457336.db2.gz OYOZQYIOEOBQFY-ZETCQYMHSA-N -1 1 347.339 -0.638 20 0 EBADMM CC[C@@H](CNC(=O)C1CCC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285027153 819459248 /nfs/dbraw/zinc/45/92/48/819459248.db2.gz FESPATDMEXCVEN-LBPRGKRZSA-N -1 1 336.392 -0.262 20 0 EBADMM CC(C)CCC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001099663856 819551048 /nfs/dbraw/zinc/55/10/48/819551048.db2.gz UDRNCCUXQUBDFS-NWDGAFQWSA-N -1 1 325.413 -0.404 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)CC(C)(C)C)[C@@H](O)C2)nc1=O ZINC001099682007 819568427 /nfs/dbraw/zinc/56/84/27/819568427.db2.gz YZNUTHLSGWLERC-MNOVXSKESA-N -1 1 325.413 -0.404 20 0 EBADMM C/C(=C\C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O)C1CC1 ZINC001099715210 819613635 /nfs/dbraw/zinc/61/36/35/819613635.db2.gz FAQZPYMAYWOJLD-ILGUYDGLSA-N -1 1 335.408 -0.484 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)CCc3ccoc3)[C@H](O)C2)nc1=O ZINC001099779272 819688959 /nfs/dbraw/zinc/68/89/59/819688959.db2.gz ZBEZBYPXKGHYPA-CHWSQXEVSA-N -1 1 349.391 -0.614 20 0 EBADMM CCN(C)C(=O)CN1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001099785924 819696998 /nfs/dbraw/zinc/69/69/98/819696998.db2.gz KEVVPTHKQHWZMF-WCQYABFASA-N -1 1 336.392 -0.570 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)C[C@@H]1C=CCC1 ZINC001285705918 819730607 /nfs/dbraw/zinc/73/06/07/819730607.db2.gz FTTFXQSVERXGOQ-QWHCGFSZSA-N -1 1 348.403 -0.096 20 0 EBADMM C[C@@H](CNC(=O)CCC1CC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285755906 819758211 /nfs/dbraw/zinc/75/82/11/819758211.db2.gz MRTVRIHFLPALQF-NSHDSACASA-N -1 1 336.392 -0.262 20 0 EBADMM C[C@@H](CNC(=O)[C@@]1(C)CCNC1=O)N(C)C(=O)c1ncccc1[O-] ZINC001285869358 819796085 /nfs/dbraw/zinc/79/60/85/819796085.db2.gz VMQRZQRDLKEQFU-QFYYESIMSA-N -1 1 334.376 -0.110 20 0 EBADMM C/C=C(\C)C(=O)N1CC(N(C)C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001286024550 819874186 /nfs/dbraw/zinc/87/41/86/819874186.db2.gz DETCZIWCWQYIRD-NYYWCZLTSA-N -1 1 334.376 -0.438 20 0 EBADMM CCCC(=O)N1CC[C@](C)(NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001286096401 819898233 /nfs/dbraw/zinc/89/82/33/819898233.db2.gz BHVXEJWYSOULQR-INIZCTEOSA-N -1 1 336.392 -0.166 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C3(CCF)CC3)[C@H](O)C2)nc1=O ZINC001099933732 819934854 /nfs/dbraw/zinc/93/48/54/819934854.db2.gz TVTBBIJRSQTKED-GHMZBOCLSA-N -1 1 341.387 -0.700 20 0 EBADMM CC(C)=C(C)CC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001099949073 819960970 /nfs/dbraw/zinc/96/09/70/819960970.db2.gz LULCXMZKNIQDPT-OLZOCXBDSA-N -1 1 337.424 -0.094 20 0 EBADMM O=C(NC[C@@H](CO)NC(=O)c1ncccc1[O-])c1ccnc(F)c1 ZINC001287748799 820114450 /nfs/dbraw/zinc/11/44/50/820114450.db2.gz WOXGRMGUTHNQCU-JTQLQIEISA-N -1 1 334.307 -0.158 20 0 EBADMM CCC(CC)CC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001100054773 820130207 /nfs/dbraw/zinc/13/02/07/820130207.db2.gz YSBCDBCHTFUSEU-CHWSQXEVSA-N -1 1 339.440 -0.014 20 0 EBADMM O=C(CC1CCOCC1)NCCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001292600061 820565650 /nfs/dbraw/zinc/56/56/50/820565650.db2.gz VKMAQYHDKWZGEL-UHFFFAOYSA-N -1 1 348.363 -0.920 20 0 EBADMM C[C@H](CS(C)(=O)=O)C(=O)NCC1CC(NCc2n[nH]c(=O)[n-]2)C1 ZINC001101046607 820663728 /nfs/dbraw/zinc/66/37/28/820663728.db2.gz XRNNCGMRBMMLNT-XNWIYYODSA-N -1 1 345.425 -0.825 20 0 EBADMM O=C(NCCCNC(=O)c1cc(=O)n2[n-]cnc2n1)c1ccncc1 ZINC001293101581 820705635 /nfs/dbraw/zinc/70/56/35/820705635.db2.gz RRQDSLSLDMJHPA-UHFFFAOYSA-N -1 1 341.331 -0.638 20 0 EBADMM CN(C)C(=O)C1(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001293557291 820786556 /nfs/dbraw/zinc/78/65/56/820786556.db2.gz OAFCSNQTGJQGEA-LLVKDONJSA-N -1 1 346.387 -0.014 20 0 EBADMM CCN(CCCNC(=O)COC)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001294375464 820926252 /nfs/dbraw/zinc/92/62/52/820926252.db2.gz BRDCUOTVJDVKDX-UHFFFAOYSA-N -1 1 336.352 -0.968 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)Cc1ccnn1C ZINC001101924708 820962795 /nfs/dbraw/zinc/96/27/95/820962795.db2.gz GXFYFKKHCXPWAJ-GHMZBOCLSA-N -1 1 333.396 -0.329 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001294773790 820996851 /nfs/dbraw/zinc/99/68/51/820996851.db2.gz PLQMRMKTRFOWSE-TUAOUCFPSA-N -1 1 334.376 -0.700 20 0 EBADMM Cc1nn(C)cc1CC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102053871 821013595 /nfs/dbraw/zinc/01/35/95/821013595.db2.gz BMHCSRDACRXSMG-ZWNOBZJWSA-N -1 1 347.423 -0.021 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)[C@]1(C)CCNC1=O ZINC001102364426 821080278 /nfs/dbraw/zinc/08/02/78/821080278.db2.gz MKNWERSNJKTQLJ-IQMDTDKHSA-N -1 1 336.396 -0.779 20 0 EBADMM C[C@@H](CNC(=O)C1CC=CC1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001295450327 821096245 /nfs/dbraw/zinc/09/62/45/821096245.db2.gz XNHXABLIPWFCOB-VIFPVBQESA-N -1 1 330.348 -0.382 20 0 EBADMM CNC(=O)C1(C(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C)CC1 ZINC001102465542 821113849 /nfs/dbraw/zinc/11/38/49/821113849.db2.gz RVSYCIHBPRKYPR-NXEZZACHSA-N -1 1 336.396 -0.779 20 0 EBADMM CCN(CCNC(=O)C[C@H]1CC(=O)NC1=O)C(=O)c1ncccc1[O-] ZINC001296332225 821239374 /nfs/dbraw/zinc/23/93/74/821239374.db2.gz XWPIBVOZVMDJDD-JTQLQIEISA-N -1 1 348.359 -0.582 20 0 EBADMM Cc1nn(C)c(C)c1C(=O)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001296954668 821299052 /nfs/dbraw/zinc/29/90/52/821299052.db2.gz WACABVBANVKVTO-UHFFFAOYSA-N -1 1 333.352 -0.757 20 0 EBADMM CC[C@H](F)C(=O)NC[C@H](CC)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001297468863 821378249 /nfs/dbraw/zinc/37/82/49/821378249.db2.gz HLPZSCQKUWGHDG-QWRGUYRKSA-N -1 1 342.371 -0.314 20 0 EBADMM C[C@@H]1CN(C(=O)c2c[nH]c(=O)n2C)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001104489784 821501983 /nfs/dbraw/zinc/50/19/83/821501983.db2.gz DGDLGMFWMCFJHW-NXEZZACHSA-N -1 1 349.395 -0.163 20 0 EBADMM C[C@@H](CC(N)=O)C(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001104488316 821502165 /nfs/dbraw/zinc/50/21/65/821502165.db2.gz VIWVFBAVWMMBFV-HBNTYKKESA-N -1 1 338.412 -0.404 20 0 EBADMM O=C(NC/C=C/CNC(=O)[C@@H]1CCC(=O)NC1)c1ncccc1[O-] ZINC001298357014 821515879 /nfs/dbraw/zinc/51/58/79/821515879.db2.gz OYARNIJUJFLRJX-FMBMQGQPSA-N -1 1 332.360 -0.284 20 0 EBADMM C[C@@H]1CN(C(=O)CCCC(N)=O)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001105101340 821577461 /nfs/dbraw/zinc/57/74/61/821577461.db2.gz GYFBISYHFDIDEZ-GHMZBOCLSA-N -1 1 338.412 -0.260 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C1CC(=O)NC(=O)C1 ZINC001298650916 821581524 /nfs/dbraw/zinc/58/15/24/821581524.db2.gz QCSGSHZPNHYKIU-MRVPVSSYSA-N -1 1 334.332 -0.926 20 0 EBADMM CC/C(C)=C\C(=O)NC[C@@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001298651100 821581931 /nfs/dbraw/zinc/58/19/31/821581931.db2.gz ZCCNFWDBCONCPL-UCQJPZFISA-N -1 1 336.392 -0.096 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)[C@]1(C)C=CCC1 ZINC001298677775 821585171 /nfs/dbraw/zinc/58/51/71/821585171.db2.gz DVOFRXZCUBKLIA-SJKOYZFVSA-N -1 1 348.403 -0.096 20 0 EBADMM CCCCC(=O)NC[C@H]1CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001298978608 821619636 /nfs/dbraw/zinc/61/96/36/821619636.db2.gz ZVEFKKTWKOTMDI-GFCCVEGCSA-N -1 1 336.392 -0.166 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1(NC(=O)C2=CCCC2)CC1 ZINC001299197849 821662873 /nfs/dbraw/zinc/66/28/73/821662873.db2.gz FAIBXPQTUACCFW-UHFFFAOYSA-N -1 1 346.387 -0.198 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1ccccc1O[C@@H]1CC(=O)N1 ZINC001299649834 821721987 /nfs/dbraw/zinc/72/19/87/821721987.db2.gz LZQZCKPQDXEQSN-CQSZACIVSA-N -1 1 344.327 -0.210 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC2(CCNC(=O)O2)CC1 ZINC001304232036 821763172 /nfs/dbraw/zinc/76/31/72/821763172.db2.gz YVJKOXLUUWETGP-UHFFFAOYSA-N -1 1 336.348 -0.582 20 0 EBADMM CCOC(=O)COCCNS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001309506675 821778660 /nfs/dbraw/zinc/77/86/60/821778660.db2.gz KMUFYJYDKBQJJH-UHFFFAOYSA-N -1 1 335.338 -0.946 20 0 EBADMM CCOC(=O)COCCNS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001309506675 821778664 /nfs/dbraw/zinc/77/86/64/821778664.db2.gz KMUFYJYDKBQJJH-UHFFFAOYSA-N -1 1 335.338 -0.946 20 0 EBADMM Cc1nc(NC[C@@H](O)CNC(=O)c2ncccc2[O-])nc(N(C)C)n1 ZINC001105801691 821873591 /nfs/dbraw/zinc/87/35/91/821873591.db2.gz BWMOXXYFYZTVCM-JTQLQIEISA-N -1 1 347.379 -0.451 20 0 EBADMM O=C(NCCCN1CCN(Cc2n[nH]c(=O)[n-]2)CC1)c1ccnnc1 ZINC001316963254 821945647 /nfs/dbraw/zinc/94/56/47/821945647.db2.gz PURMJNNPLYNVCD-UHFFFAOYSA-N -1 1 346.395 -0.762 20 0 EBADMM CN(CCNC(=O)c1cnc2ccccn21)Cc1nc(=O)n(C)[n-]1 ZINC001317453877 822115886 /nfs/dbraw/zinc/11/58/86/822115886.db2.gz TWAGFTBFEPTZBS-UHFFFAOYSA-N -1 1 329.364 -0.382 20 0 EBADMM Cc1cnc(CNCCN(C)C(=O)CCc2n[nH]c(=O)[n-]c2=O)cn1 ZINC001317605781 822175278 /nfs/dbraw/zinc/17/52/78/822175278.db2.gz WTBWEWLAHHMKFZ-UHFFFAOYSA-N -1 1 347.379 -0.438 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1cnc2sccn2c1=O ZINC001317607777 822176549 /nfs/dbraw/zinc/17/65/49/822176549.db2.gz SKBHWLPVHMBIBM-UHFFFAOYSA-N -1 1 349.376 -0.559 20 0 EBADMM Cc1ccn2c(c1)ncc(C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)c2=O ZINC001318297668 822289676 /nfs/dbraw/zinc/28/96/76/822289676.db2.gz KWHBMANYTAQEPS-UHFFFAOYSA-N -1 1 348.344 -0.223 20 0 EBADMM Cc1ccn2c(c1)ncc(C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)c2=O ZINC001318297668 822289683 /nfs/dbraw/zinc/28/96/83/822289683.db2.gz KWHBMANYTAQEPS-UHFFFAOYSA-N -1 1 348.344 -0.223 20 0 EBADMM CC(C)C(=O)NCc1cnn2c1CN(Cc1nc(=O)n(C)[n-]1)CC2 ZINC001128213661 828428271 /nfs/dbraw/zinc/42/82/71/828428271.db2.gz BPTBTBRIPIKAKU-UHFFFAOYSA-N -1 1 333.396 -0.407 20 0 EBADMM COCCOc1ccc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)cn1 ZINC001128809774 828532727 /nfs/dbraw/zinc/53/27/27/828532727.db2.gz BPSPMJSVHKVFDY-UHFFFAOYSA-N -1 1 336.352 -0.550 20 0 EBADMM Cc1cc(NC/C=C/CNC(=O)c2cc(=O)n3[n-]cnc3n2)ncn1 ZINC001107262844 823830616 /nfs/dbraw/zinc/83/06/16/823830616.db2.gz JJCAWRIQFMDXMU-NSCUHMNNSA-N -1 1 340.347 -0.086 20 0 EBADMM O=C(NC/C=C\CNc1nccnc1F)c1cc(=O)n2[n-]cnc2n1 ZINC001107262774 823831191 /nfs/dbraw/zinc/83/11/91/823831191.db2.gz HOSZOPMNGQKYQY-UPHRSURJSA-N -1 1 344.310 -0.255 20 0 EBADMM NC(=O)NCC(=O)NC[C@]12CCC[C@H]1N(Cc1n[nH]c(=O)[n-]1)CC2 ZINC001107276720 823833169 /nfs/dbraw/zinc/83/31/69/823833169.db2.gz FOLDEAPLHFSTGX-YMTOWFKASA-N -1 1 337.384 -0.961 20 0 EBADMM CC(C)CC(=O)NC[C@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107702559 823909911 /nfs/dbraw/zinc/90/99/11/823909911.db2.gz NRXYNAOSWAIGGQ-OAHLLOKOSA-N -1 1 325.413 -0.138 20 0 EBADMM CCO[C@H](C)C(=O)NC[C@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107761128 823945732 /nfs/dbraw/zinc/94/57/32/823945732.db2.gz LOEFSKZYVSCLDG-IAQYHMDHSA-N -1 1 341.412 -0.760 20 0 EBADMM CC[C@H](C(N)=O)N1CCO[C@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001107890353 824020761 /nfs/dbraw/zinc/02/07/61/824020761.db2.gz LUXZOEOULZRIRQ-BDJLRTHQSA-N -1 1 336.392 -0.128 20 0 EBADMM CN1CC[C@H](N2CCO[C@](C)(CNC(=O)c3ncccc3[O-])C2)C1=O ZINC001107889848 824021211 /nfs/dbraw/zinc/02/12/11/824021211.db2.gz FBOCTIPOAFGGOD-YVEFUNNKSA-N -1 1 348.403 -0.161 20 0 EBADMM COC[C@H](O)CN1CCO[C@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001107890688 824021249 /nfs/dbraw/zinc/02/12/49/824021249.db2.gz TUUIFVCEPJVUIL-MLGOLLRUSA-N -1 1 339.392 -0.385 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@](C)(CNC(=O)Cc3ccoc3)C2)nc1=O ZINC001107890664 824021561 /nfs/dbraw/zinc/02/15/61/824021561.db2.gz SGKBPHJORFPFCJ-INIZCTEOSA-N -1 1 349.391 -0.349 20 0 EBADMM CCCN1CCO[C@](C)(CNC(=O)CCc2n[nH]c(=O)[n-]c2=O)C1 ZINC001108047468 824104350 /nfs/dbraw/zinc/10/43/50/824104350.db2.gz HBAGFBRLDATGTE-OAHLLOKOSA-N -1 1 339.396 -0.168 20 0 EBADMM C[C@@]1(CNC(=O)c2n[nH]c(=O)[n-]c2=O)CN(CCC2CC2)CCO1 ZINC001108051079 824106537 /nfs/dbraw/zinc/10/65/37/824106537.db2.gz DSVPFHQLFNVZDF-OAHLLOKOSA-N -1 1 337.380 -0.096 20 0 EBADMM C[C@H](CN(C)c1ccc2nnnn2n1)NC(=O)c1ncccc1[O-] ZINC001108946168 824459013 /nfs/dbraw/zinc/45/90/13/824459013.db2.gz BXAFAAHKHLVOON-SECBINFHSA-N -1 1 328.336 -0.125 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)[C@]1(C2CCCC2)CCNC1=O ZINC001129875562 828685333 /nfs/dbraw/zinc/68/53/33/828685333.db2.gz TTZYTLIKZFJFMU-HNNXBMFYSA-N -1 1 336.396 -0.587 20 0 EBADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)[C@@H](C)Nc1ncc(F)cn1 ZINC001113119033 825764187 /nfs/dbraw/zinc/76/41/87/825764187.db2.gz PWIIUAVLZNXJBE-HTQZYQBOSA-N -1 1 346.326 -0.034 20 0 EBADMM C[C@@H](Nc1cnc(F)cn1)[C@H](C)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001113118799 825764312 /nfs/dbraw/zinc/76/43/12/825764312.db2.gz MRJZQPRCFULUDR-SFYZADRCSA-N -1 1 346.326 -0.034 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)c1nccn2nnnc12 ZINC001113575401 825925900 /nfs/dbraw/zinc/92/59/00/825925900.db2.gz UUBIRDIMSOENKP-VIFPVBQESA-N -1 1 328.336 -0.125 20 0 EBADMM CC(=O)N(C)CCCC(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001113589852 825931561 /nfs/dbraw/zinc/93/15/61/825931561.db2.gz HSYUSKIKLHCBDS-UHFFFAOYSA-N -1 1 338.412 -0.989 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H](C)N(C)c1ncccn1 ZINC001113657817 825968113 /nfs/dbraw/zinc/96/81/13/825968113.db2.gz XPBBVQDJAFWDCP-MRVPVSSYSA-N -1 1 334.336 -0.059 20 0 EBADMM CC(C)(C)OC(=O)N1CCC(O)(C(=O)NCc2nn[n-]n2)CC1 ZINC001179746173 833007190 /nfs/dbraw/zinc/00/71/90/833007190.db2.gz HXLJCDMVALVCLP-UHFFFAOYSA-N -1 1 326.357 -0.422 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2[C@H]3CN(CCNC(=O)C4CC4)C[C@H]32)c1[O-] ZINC001114143163 826147756 /nfs/dbraw/zinc/14/77/56/826147756.db2.gz LFMQLIUMLFAQGJ-GDNZZTSVSA-N -1 1 333.392 -0.390 20 0 EBADMM NC(=O)CCc1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC001116051271 826595711 /nfs/dbraw/zinc/59/57/11/826595711.db2.gz MONADAMDMJCCEV-UHFFFAOYSA-N -1 1 330.344 -0.017 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N(C1CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001116054004 826596637 /nfs/dbraw/zinc/59/66/37/826596637.db2.gz FXEDRVNGYGGLNZ-LLVKDONJSA-N -1 1 341.389 -0.895 20 0 EBADMM CCN(Cc1ccccc1NC(=O)C(=O)NCc1nn[n-]n1)C(C)=O ZINC001116108079 826600175 /nfs/dbraw/zinc/60/01/75/826600175.db2.gz ZHMWGTRSEPGZSO-UHFFFAOYSA-N -1 1 345.363 -0.177 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N[C@H]3CCc4n[nH]cc4C3)ccnc1-2 ZINC001117385843 826737851 /nfs/dbraw/zinc/73/78/51/826737851.db2.gz UMQDBDGCKHLKOV-JTQLQIEISA-N -1 1 339.359 -0.323 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N[C@@H]3CCC[C@@H]3CCO)ccnc1-2 ZINC001117389942 826738660 /nfs/dbraw/zinc/73/86/60/826738660.db2.gz XQGZQSDZZQZJEV-ZYHUDNBSSA-N -1 1 331.376 -0.052 20 0 EBADMM COc1cc(NC(=O)C(=O)NN2CC(=O)[N-]C2=O)ccc1Cl ZINC001117395439 826739466 /nfs/dbraw/zinc/73/94/66/826739466.db2.gz DRSHUMUKDLHKQK-UHFFFAOYSA-N -1 1 326.696 -0.130 20 0 EBADMM O=C([O-])c1ccccc1NC(=O)C(=O)N1CCN2C[C@H](O)C[C@H]2C1 ZINC001118326978 826908064 /nfs/dbraw/zinc/90/80/64/826908064.db2.gz ZVEWLQVCZRAYAG-WDEREUQCSA-N -1 1 333.344 -0.399 20 0 EBADMM C[C@H](CNC(=O)C(=O)N1CCN(CC2CCOCC2)CC1)C(=O)[O-] ZINC001118390622 826921910 /nfs/dbraw/zinc/92/19/10/826921910.db2.gz WMUNRADEENXWHV-GFCCVEGCSA-N -1 1 341.408 -0.606 20 0 EBADMM COC(=O)[C@H](CCC(=O)NCc1nn[n-]n1)NC(=O)OC(C)(C)C ZINC001181117476 833134134 /nfs/dbraw/zinc/13/41/34/833134134.db2.gz SVRQLWOSSFAQIX-QMMMGPOBSA-N -1 1 342.356 -0.338 20 0 EBADMM C[C@@H](C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])[C@@H]1CCC(=O)N1 ZINC001118908644 827023060 /nfs/dbraw/zinc/02/30/60/827023060.db2.gz HCOCZDAXTXDMKS-APPZFPTMSA-N -1 1 327.362 -0.107 20 0 EBADMM CC(C)(C)OC(=O)NC1(C(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)COC1 ZINC001181514837 833162376 /nfs/dbraw/zinc/16/23/76/833162376.db2.gz BVKFSLYUFKLNKF-UHFFFAOYSA-N -1 1 341.324 -0.298 20 0 EBADMM O=C(NC[C@H](CO)Nc1ncnc2nc[nH]c21)c1ncccc1[O-] ZINC001121339038 827345834 /nfs/dbraw/zinc/34/58/34/827345834.db2.gz FBKIRWVZZTZVKE-MRVPVSSYSA-N -1 1 329.320 -0.344 20 0 EBADMM COC(=O)c1cnc(N[C@@H](CO)CNC(=O)c2ncccc2[O-])nc1 ZINC001121340471 827347058 /nfs/dbraw/zinc/34/70/58/827347058.db2.gz XUWSMIILGJSUCV-SNVBAGLBSA-N -1 1 347.331 -0.433 20 0 EBADMM CN(C[C@@H](O)CNc1ccc2nnnn2n1)C(=O)c1ncccc1[O-] ZINC001124329522 827815735 /nfs/dbraw/zinc/81/57/35/827815735.db2.gz LDAMADKCCODCBL-VIFPVBQESA-N -1 1 344.335 -0.835 20 0 EBADMM CN(C[C@@H](O)CNc1ncnc2nc[nH]c21)C(=O)c1ncccc1[O-] ZINC001124329848 827815759 /nfs/dbraw/zinc/81/57/59/827815759.db2.gz UMYPSVVDYRSIPA-VIFPVBQESA-N -1 1 343.347 -0.050 20 0 EBADMM Cc1n[nH]c(C(=O)NCCN[C@H]2CCN(c3cnn(C)c3)C2=O)c1[O-] ZINC001125745245 828129465 /nfs/dbraw/zinc/12/94/65/828129465.db2.gz MZYMHWMNWLEZAL-NSHDSACASA-N -1 1 347.379 -0.718 20 0 EBADMM C[C@@H]1NC(=O)[C@H](CCC(=O)Nc2n[n-]c(C(F)(F)F)n2)NC1=O ZINC001184063080 833319120 /nfs/dbraw/zinc/31/91/20/833319120.db2.gz NGFQNWRBIOXGFJ-WHFBIAKZSA-N -1 1 334.258 -0.455 20 0 EBADMM CN1CCC[C@@H]1c1cc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)[nH]n1 ZINC001127773037 828323452 /nfs/dbraw/zinc/32/34/52/828323452.db2.gz ONIIGXQGKBIRSE-LLVKDONJSA-N -1 1 334.384 -0.480 20 0 EBADMM CC(=O)[C@H](C)CC(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001184345081 833328009 /nfs/dbraw/zinc/32/80/09/833328009.db2.gz SAALVEFHSJYLEE-SKDRFNHKSA-N -1 1 338.364 -0.357 20 0 EBADMM CCc1nocc1CNCCNC(=O)CCc1n[nH]c(=O)[n-]c1=O ZINC001130756949 828930167 /nfs/dbraw/zinc/93/01/67/828930167.db2.gz JBLQAZUDDLENET-UHFFFAOYSA-N -1 1 336.352 -0.328 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001131830543 829235878 /nfs/dbraw/zinc/23/58/78/829235878.db2.gz SEKNPEMRLBFSMY-CMPLNLGQSA-N -1 1 338.412 -0.944 20 0 EBADMM COCCOCC(=O)N[C@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001131909467 829272613 /nfs/dbraw/zinc/27/26/13/829272613.db2.gz XYFBQVSPHAGTKU-NEPJUHHUSA-N -1 1 341.412 -0.760 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)CCc2nc[nH]n2)CN1Cc1n[nH]c(=O)[n-]1 ZINC001131955330 829279192 /nfs/dbraw/zinc/27/91/92/829279192.db2.gz KGAATICEDAJJSF-ZJUUUORDSA-N -1 1 334.384 -0.270 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)CC2(O)CCC2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001132017337 829303751 /nfs/dbraw/zinc/30/37/51/829303751.db2.gz NWZUGKWPLWBYHW-RYUDHWBXSA-N -1 1 337.424 -0.117 20 0 EBADMM O=C(COCc1ccncc1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001186602245 833408297 /nfs/dbraw/zinc/40/82/97/833408297.db2.gz ZXALTXBGVJQFEW-GFCCVEGCSA-N -1 1 332.364 -0.187 20 0 EBADMM CCOCCn1cc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001186652293 833412761 /nfs/dbraw/zinc/41/27/61/833412761.db2.gz DOTNXLISJWZBGV-GFCCVEGCSA-N -1 1 349.395 -0.252 20 0 EBADMM C[C@H](C[C@@H](C)NC(=O)c1n[nH]c(=O)[n-]c1=O)NCc1ncnn1C ZINC001134864282 829861213 /nfs/dbraw/zinc/86/12/13/829861213.db2.gz UOTYMIIHQIAKHN-HTQZYQBOSA-N -1 1 336.356 -0.902 20 0 EBADMM Cc1nnc(CN[C@H](C)C[C@H](C)NC(=O)c2n[nH]c(=O)[n-]c2=O)[nH]1 ZINC001134869449 829862001 /nfs/dbraw/zinc/86/20/01/829862001.db2.gz XBHQVYLJCBFOSN-RQJHMYQMSA-N -1 1 336.356 -0.604 20 0 EBADMM CC(C)S(=O)(=O)CC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001211141522 837400178 /nfs/dbraw/zinc/40/01/78/837400178.db2.gz WIEMLZORTRIOGM-SNVBAGLBSA-N -1 1 345.425 -0.586 20 0 EBADMM C[C@@H](C[C@H](C)NC(=O)c1cnc([O-])n(C)c1=O)NCc1cn(C)nn1 ZINC001135166489 829912781 /nfs/dbraw/zinc/91/27/81/829912781.db2.gz MMKGYURJPWDHBA-UWVGGRQHSA-N -1 1 349.395 -0.699 20 0 EBADMM Cc1cnc(CNCCNC(=O)Cn2[n-]cc3c(=O)ncnc2-3)cn1 ZINC001135465810 829971763 /nfs/dbraw/zinc/97/17/63/829971763.db2.gz PFJDPJZOMDFOCC-UHFFFAOYSA-N -1 1 342.363 -0.924 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC3(CC(=O)N3)CC2)o1 ZINC001136489042 830086065 /nfs/dbraw/zinc/08/60/65/830086065.db2.gz DMGSTKIJOWZLMT-UHFFFAOYSA-N -1 1 327.362 -0.318 20 0 EBADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC[C@H]2OCC(=O)N[C@@H]2C1 ZINC001141836845 830115977 /nfs/dbraw/zinc/11/59/77/830115977.db2.gz WFZXXIVDFYMPLM-NXEZZACHSA-N -1 1 333.373 -0.253 20 0 EBADMM CCC1(C(=O)NCCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])COC1 ZINC001143461341 830151949 /nfs/dbraw/zinc/15/19/49/830151949.db2.gz WFMFWVMYJMHFBH-NSHDSACASA-N -1 1 338.364 -0.546 20 0 EBADMM CS(=O)(=O)Cc1nc2ccccc2n1CC(=O)NCc1nn[n-]n1 ZINC001143974071 830157913 /nfs/dbraw/zinc/15/79/13/830157913.db2.gz CDKSSWBZQTUWBE-UHFFFAOYSA-N -1 1 349.376 -0.590 20 0 EBADMM Cn1ncnc1C(=O)NCC[N-]C(=O)C(F)(F)Br ZINC001147001771 830263831 /nfs/dbraw/zinc/26/38/31/830263831.db2.gz PDPOJTYBUOBOPV-UHFFFAOYSA-N -1 1 326.101 -0.351 20 0 EBADMM COCCCCC(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001147158691 830293887 /nfs/dbraw/zinc/29/38/87/830293887.db2.gz FWPMHRACEWBHBP-UHFFFAOYSA-N -1 1 337.424 -0.041 20 0 EBADMM COCC1(CC(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)CC1 ZINC001147557982 830360922 /nfs/dbraw/zinc/36/09/22/830360922.db2.gz KLZYRIRVLIBXAG-UHFFFAOYSA-N -1 1 349.435 -0.041 20 0 EBADMM CC(C)n1cc(CNCCNC(=O)c2cc(=O)n3[n-]cnc3n2)nn1 ZINC001147711966 830399580 /nfs/dbraw/zinc/39/95/80/830399580.db2.gz HTKDWFRANFMBLA-UHFFFAOYSA-N -1 1 345.367 -0.890 20 0 EBADMM COCC[C@@H](C)C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001148783791 830539490 /nfs/dbraw/zinc/53/94/90/830539490.db2.gz MFWWJVTVYCDYQS-GFCCVEGCSA-N -1 1 337.424 -0.185 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@H](CNC(=O)C(C)(C)F)C2)nc1=O ZINC001149030533 830571022 /nfs/dbraw/zinc/57/10/22/830571022.db2.gz WJZKDOGZUFCWLY-SNVBAGLBSA-N -1 1 329.376 -0.436 20 0 EBADMM CN(C(=O)CCCC[C@@H]1SC[C@H]2NC(=O)N[C@@H]12)c1nn[n-]n1 ZINC001149220083 830618217 /nfs/dbraw/zinc/61/82/17/830618217.db2.gz PTGPCFCSNBCODR-KHQFGBGNSA-N -1 1 325.398 -0.112 20 0 EBADMM COCCCC(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149256194 830627250 /nfs/dbraw/zinc/62/72/50/830627250.db2.gz NJTZGILEESVROV-LBPRGKRZSA-N -1 1 341.412 -0.758 20 0 EBADMM CC(C)OCC(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149330540 830642769 /nfs/dbraw/zinc/64/27/69/830642769.db2.gz JSYSWZMKVLZXBL-GFCCVEGCSA-N -1 1 341.412 -0.760 20 0 EBADMM Cc1cc(C)n2nc(C(=O)NCCNCc3n[nH]c(=O)[n-]3)nc2n1 ZINC001149858788 830767082 /nfs/dbraw/zinc/76/70/82/830767082.db2.gz IGLHNRPAVUCWRR-UHFFFAOYSA-N -1 1 331.340 -0.916 20 0 EBADMM CC(C)[C@@H](F)C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001150116397 830821855 /nfs/dbraw/zinc/82/18/55/830821855.db2.gz RZNITODCTYWEAV-WCQYABFASA-N -1 1 343.403 -0.190 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@H](CNC(=O)C3(CF)CC3)C2)nc1=O ZINC001150290912 830858921 /nfs/dbraw/zinc/85/89/21/830858921.db2.gz IPIDWYAVAOSVGM-LLVKDONJSA-N -1 1 341.387 -0.435 20 0 EBADMM CCCSCC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1OC ZINC001211946931 837503797 /nfs/dbraw/zinc/50/37/97/837503797.db2.gz JACFGLQTDQPPND-GHMZBOCLSA-N -1 1 343.453 -0.433 20 0 EBADMM Cn1cc(C=CC(=O)N2CCC(c3nn[n-]n3)CC2)c(=O)n(C)c1=O ZINC001152485917 831167010 /nfs/dbraw/zinc/16/70/10/831167010.db2.gz DOJLAETYZFZTQM-ARJAWSKDSA-N -1 1 345.363 -0.984 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCc1ccc(O)c(CO)c1 ZINC001153801572 831272962 /nfs/dbraw/zinc/27/29/62/831272962.db2.gz CILPRXTVLJESQL-UHFFFAOYSA-N -1 1 333.344 -0.517 20 0 EBADMM CC(=O)N[C@@H](CCC(C)C)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001153809337 831274232 /nfs/dbraw/zinc/27/42/32/831274232.db2.gz JYADPOPUYHIYRY-NSHDSACASA-N -1 1 326.401 -0.343 20 0 EBADMM COC(=O)[C@@H](N)CC(=O)NCC[N-]C(=O)C(F)(F)Br ZINC001157431925 831565812 /nfs/dbraw/zinc/56/58/12/831565812.db2.gz BHEYEVBJYUGMPK-YFKPBYRVSA-N -1 1 346.128 -0.903 20 0 EBADMM Cn1nccc1[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)CCO1 ZINC001157485709 831569915 /nfs/dbraw/zinc/56/99/15/831569915.db2.gz RQAFYAFYWAUJDQ-GFCCVEGCSA-N -1 1 333.348 -0.740 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C(F)=C(C)C ZINC001212120698 837547549 /nfs/dbraw/zinc/54/75/49/837547549.db2.gz TVTDDPDMNAFVLH-NXEZZACHSA-N -1 1 327.360 -0.313 20 0 EBADMM Cn1[n-]c(CN2CC=C(CCNC(=O)c3ccnnc3)CC2)nc1=O ZINC001161314378 831946929 /nfs/dbraw/zinc/94/69/29/831946929.db2.gz XCNUDJKYFYUMJA-UHFFFAOYSA-N -1 1 343.391 -0.149 20 0 EBADMM CC(C)Cn1[n-]c(CC(=O)NCCCNCc2cn(C)nn2)cc1=O ZINC001161940853 832004848 /nfs/dbraw/zinc/00/48/48/832004848.db2.gz CCZNWXYJWLKALX-UHFFFAOYSA-N -1 1 349.439 -0.201 20 0 EBADMM COCC1(CNC(=O)c2n[nH]c(=O)[n-]c2=O)CCN(CCF)CC1 ZINC001162346906 832035395 /nfs/dbraw/zinc/03/53/95/832035395.db2.gz GGJJHAOBRYUHEL-UHFFFAOYSA-N -1 1 343.359 -0.289 20 0 EBADMM Nc1nc(=O)c2sc(=O)[nH]c2n1-c1ccc(-c2nn[n-]n2)nc1 ZINC001163048020 832080096 /nfs/dbraw/zinc/08/00/96/832080096.db2.gz ILIGFWVYDSGJQC-UHFFFAOYSA-N -1 1 329.305 -0.052 20 0 EBADMM CC(C)n1cc(CNCCCNC(=O)c2cnc([O-])n(C)c2=O)nn1 ZINC001163781573 832138417 /nfs/dbraw/zinc/13/84/17/832138417.db2.gz LFJZSXMLVYPDGE-UHFFFAOYSA-N -1 1 349.395 -0.432 20 0 EBADMM CCn1cc(CNCCCNC(=O)c2cnc([O-])n(C)c2=O)nn1 ZINC001163782047 832138621 /nfs/dbraw/zinc/13/86/21/832138621.db2.gz YNMRTMHRSAIJEE-UHFFFAOYSA-N -1 1 335.368 -0.993 20 0 EBADMM C[C@H]1C[C@H]1CC(=O)NCCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001350292141 832149435 /nfs/dbraw/zinc/14/94/35/832149435.db2.gz OOFFNRLYUVNCLI-RYUDHWBXSA-N -1 1 336.392 -0.405 20 0 EBADMM CCCCC(=O)N1CC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001350448603 832168173 /nfs/dbraw/zinc/16/81/73/832168173.db2.gz QCQQTZZIMHSWRE-GFCCVEGCSA-N -1 1 336.392 -0.166 20 0 EBADMM O=C(CO[C@H]1CCOC1)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001164519230 832193164 /nfs/dbraw/zinc/19/31/64/832193164.db2.gz BECWMXVVYFCQFE-NSHDSACASA-N -1 1 339.396 -0.308 20 0 EBADMM O=C(C[C@@H]1CCNC1=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001165449346 832219675 /nfs/dbraw/zinc/21/96/75/832219675.db2.gz DCTYQEZUQCTYQI-JTQLQIEISA-N -1 1 336.396 -0.587 20 0 EBADMM COc1nccc(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)n1 ZINC001166115929 832248883 /nfs/dbraw/zinc/24/88/83/832248883.db2.gz CGYGPWCKPGVPCI-UHFFFAOYSA-N -1 1 347.379 -0.001 20 0 EBADMM Cn1ncc(CCC(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)n1 ZINC001167250654 832321487 /nfs/dbraw/zinc/32/14/87/832321487.db2.gz FZXJKTNONJUZNC-UHFFFAOYSA-N -1 1 348.411 -0.352 20 0 EBADMM CN1CC[C@H](C(=O)NC[C@@H](NC(=O)c2ncccc2[O-])C2CC2)C1=O ZINC001353181441 832413528 /nfs/dbraw/zinc/41/35/28/832413528.db2.gz PCIWBNYNPOOPQD-VXGBXAGGSA-N -1 1 346.387 -0.110 20 0 EBADMM NC(=O)NCCCCC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001353463657 832429233 /nfs/dbraw/zinc/42/92/33/832429233.db2.gz YHBBPWGMBLTZLO-NSHDSACASA-N -1 1 349.391 -0.044 20 0 EBADMM CCC1(C(=O)N[C@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)CC1 ZINC001353473891 832429920 /nfs/dbraw/zinc/42/99/20/832429920.db2.gz YCEDNZNXNDFCCV-LBPRGKRZSA-N -1 1 348.403 -0.166 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001353489032 832431389 /nfs/dbraw/zinc/43/13/89/832431389.db2.gz PLQMRMKTRFOWSE-GRYCIOLGSA-N -1 1 334.376 -0.700 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1C[C@@H](NC(=O)C2CCCC2)C1 ZINC001353705441 832441525 /nfs/dbraw/zinc/44/15/25/832441525.db2.gz LTWLCUBIAVSBEV-BETUJISGSA-N -1 1 348.403 -0.120 20 0 EBADMM CCNC(=O)CC(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001354834801 832524648 /nfs/dbraw/zinc/52/46/48/832524648.db2.gz RRPXILBVOKUDBV-NSHDSACASA-N -1 1 334.376 -0.108 20 0 EBADMM Cn1cc(CNCCCNC(=O)c2ccc3oc(=O)nc-3[n-]2)nn1 ZINC001171063833 832540680 /nfs/dbraw/zinc/54/06/80/832540680.db2.gz FRCQSFBWHCDQTD-UHFFFAOYSA-N -1 1 331.336 -0.033 20 0 EBADMM CC[C@@H](C)C(=O)N[C@H](C)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001355214829 832552506 /nfs/dbraw/zinc/55/25/06/832552506.db2.gz ASOGGHYKYNXPNJ-VXGBXAGGSA-N -1 1 338.408 -0.016 20 0 EBADMM CN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)c1cccn1C ZINC001355750427 832580816 /nfs/dbraw/zinc/58/08/16/832580816.db2.gz FEDSBGMFTJFTQE-UHFFFAOYSA-N -1 1 347.375 -0.846 20 0 EBADMM CN(CC(=O)N1CC[C@H](c2nn[n-]n2)C1)c1ncnc2nc[nH]c21 ZINC001175552749 832629872 /nfs/dbraw/zinc/62/98/72/832629872.db2.gz LIQKZPZZAKJKCT-QMMMGPOBSA-N -1 1 328.340 -0.682 20 0 EBADMM O=C(Cc1nn[n-]n1)N1C[C@@H]2COC[C@]2(COCc2ccncc2)C1 ZINC001176841118 832694586 /nfs/dbraw/zinc/69/45/86/832694586.db2.gz RMIIMRZESKOUIQ-CZUORRHYSA-N -1 1 344.375 -0.171 20 0 EBADMM CC(C)(C)OC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)N[C@@H]1CO[N-]C1=O ZINC001177087076 832749104 /nfs/dbraw/zinc/74/91/04/832749104.db2.gz CHYVSJLYZFURAS-VHSXEESVSA-N -1 1 339.352 -0.608 20 0 EBADMM CC(C)(C)OC(=O)N[C@H](Cc1cnc[nH]1)C(=O)N[C@@H]1CO[N-]C1=O ZINC001177087075 832749424 /nfs/dbraw/zinc/74/94/24/832749424.db2.gz CHYVSJLYZFURAS-NXEZZACHSA-N -1 1 339.352 -0.608 20 0 EBADMM COC[C@@H](NC(=O)Cn1c(=O)cnc2ccccc21)c1nn[n-]n1 ZINC001177829021 832803391 /nfs/dbraw/zinc/80/33/91/832803391.db2.gz UMOZPTPUYOUYRT-SNVBAGLBSA-N -1 1 329.320 -0.587 20 0 EBADMM Cn1c2ccccc2n(CC(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)c1=O ZINC001178787854 832914433 /nfs/dbraw/zinc/91/44/33/832914433.db2.gz RICHVZADPOMVKL-UHFFFAOYSA-N -1 1 330.304 -0.238 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCS(C)(=O)=O ZINC001179005780 832940408 /nfs/dbraw/zinc/94/04/08/832940408.db2.gz YQDXQNUTDZXEMD-IUCAKERBSA-N -1 1 331.398 -0.976 20 0 EBADMM Cc1c[nH]c(C2(NC(=O)CCn3cc[n-]c(=O)c3=O)CCOCC2)n1 ZINC001179137446 832955636 /nfs/dbraw/zinc/95/56/36/832955636.db2.gz QQVQGRSWEHOSHD-UHFFFAOYSA-N -1 1 347.375 -0.220 20 0 EBADMM CC(C)(C)OC(=O)N1C[C@@H]2C(C(=O)NCc3nn[n-]n3)=NO[C@@H]2C1 ZINC001179229191 832960733 /nfs/dbraw/zinc/96/07/33/832960733.db2.gz GEHSSOPAOFSCLR-JGVFFNPUSA-N -1 1 337.340 -0.562 20 0 EBADMM CCOCCS(=O)(=O)[N-]c1cnn(CCN2CCOCC2)c1 ZINC001187251055 833913531 /nfs/dbraw/zinc/91/35/31/833913531.db2.gz ZGBVOURRAICLLR-UHFFFAOYSA-N -1 1 332.426 -0.007 20 0 EBADMM CC(=O)N[C@H](CC(C)C)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001187268813 833914467 /nfs/dbraw/zinc/91/44/67/833914467.db2.gz AALRVHFKIACXQL-VXGBXAGGSA-N -1 1 338.412 -0.248 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)CCOCC4CC4)[C@@H]3C2)nc1=O ZINC001187925568 833984688 /nfs/dbraw/zinc/98/46/88/833984688.db2.gz PWRLIHRVLGTQJH-UONOGXRCSA-N -1 1 349.435 -0.042 20 0 EBADMM CC(C)(C)CC(=O)NCC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001187945858 833987535 /nfs/dbraw/zinc/98/75/35/833987535.db2.gz DBOUWOKVKZLXKQ-SNVBAGLBSA-N -1 1 338.412 -0.247 20 0 EBADMM CCC(CC)C(=O)NCC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001188536960 834060274 /nfs/dbraw/zinc/06/02/74/834060274.db2.gz QWAQKBKUQZPHIQ-LLVKDONJSA-N -1 1 338.412 -0.247 20 0 EBADMM CN(C)c1ncc(-c2cc(C(=O)NCc3nn[n-]n3)n(C)c2)cn1 ZINC001188905248 834105442 /nfs/dbraw/zinc/10/54/42/834105442.db2.gz UVWGPILWTPZDJA-UHFFFAOYSA-N -1 1 327.352 -0.009 20 0 EBADMM CCOCCCC(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001189032690 834118701 /nfs/dbraw/zinc/11/87/01/834118701.db2.gz ZJASLDCWQCQTRS-LBPRGKRZSA-N -1 1 325.413 -0.042 20 0 EBADMM O=S(=O)(Cc1ccccc1C(F)(F)F)[N-]C(CO)(CO)CO ZINC001189545254 834169304 /nfs/dbraw/zinc/16/93/04/834169304.db2.gz YQRJDDVLOPYMQA-UHFFFAOYSA-N -1 1 343.323 -0.160 20 0 EBADMM CNC(=O)CCCC(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001189786425 834191626 /nfs/dbraw/zinc/19/16/26/834191626.db2.gz GOLVOLKQKKYGNX-LLVKDONJSA-N -1 1 338.412 -0.943 20 0 EBADMM COC(=O)[C@@H](NC(=O)c1cnc(-c2ccccn2)[n-]c1=O)[C@@H](C)O ZINC001190633937 834306117 /nfs/dbraw/zinc/30/61/17/834306117.db2.gz YDEAAMFHCJWXHP-KCJUWKMLSA-N -1 1 332.316 -0.104 20 0 EBADMM O=C(N[C@@H]1CN(CCOCC(F)F)C[C@H]1O)c1ncccc1[O-] ZINC001192375338 834652149 /nfs/dbraw/zinc/65/21/49/834652149.db2.gz YKGAOGDDWCXFJB-MWLCHTKSSA-N -1 1 331.319 -0.156 20 0 EBADMM CCN(CC)C(=O)CN1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001192375015 834653170 /nfs/dbraw/zinc/65/31/70/834653170.db2.gz PEZGHZLRPKBWFO-DGCLKSJQSA-N -1 1 336.392 -0.570 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)C(C)(C)CC(F)F)C2)nc1=O ZINC001193702100 834927801 /nfs/dbraw/zinc/92/78/01/834927801.db2.gz PGYHZGROHFNYCP-RKDXNWHRSA-N -1 1 347.366 -0.549 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2ccc(F)c(O)c2F)C(=O)N1S(=O)(=O)[O-] ZINC001193793519 834947187 /nfs/dbraw/zinc/94/71/87/834947187.db2.gz QBGACYBSCKFSCF-NVNXEXLPSA-N -1 1 336.272 -0.198 20 0 EBADMM CCC(CC)[C@H](C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001194309980 835078383 /nfs/dbraw/zinc/07/83/83/835078383.db2.gz YAMAWRNYVDNCJE-CYZMBNFOSA-N -1 1 339.440 -0.158 20 0 EBADMM C[C@H](C(=O)NC(N)=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001195581598 835249507 /nfs/dbraw/zinc/24/95/07/835249507.db2.gz YTYVISCFVXPTLJ-SNVBAGLBSA-N -1 1 335.364 -0.482 20 0 EBADMM CCC(=O)N[C@H](C)C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001195925958 835312190 /nfs/dbraw/zinc/31/21/90/835312190.db2.gz JVLDDGYTEPPQQG-LLVKDONJSA-N -1 1 338.412 -0.943 20 0 EBADMM O=C(NCCCN1CCS(=O)(=O)CC1)c1c[nH]c(=S)[n-]c1=O ZINC001196027586 835329725 /nfs/dbraw/zinc/32/97/25/835329725.db2.gz YCROFWCCCWACAX-UHFFFAOYSA-N -1 1 346.434 -0.679 20 0 EBADMM CC(C)CCCC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001196351273 835402691 /nfs/dbraw/zinc/40/26/91/835402691.db2.gz DAOPYURWTLHQLM-VXGBXAGGSA-N -1 1 325.413 -0.404 20 0 EBADMM CC(C)CC(C)(C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001197596948 835582351 /nfs/dbraw/zinc/58/23/51/835582351.db2.gz QQXNAZJDOUCQIK-VXGBXAGGSA-N -1 1 339.440 -0.158 20 0 EBADMM COC[C@H](C)CC(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001197647934 835591002 /nfs/dbraw/zinc/59/10/02/835591002.db2.gz YMCFEUHIJCAYQO-GFCCVEGCSA-N -1 1 325.413 -0.185 20 0 EBADMM COCCn1cc(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cnc1=O ZINC001197712853 835600050 /nfs/dbraw/zinc/60/00/50/835600050.db2.gz PGCGINHWZHGTSQ-UHFFFAOYSA-N -1 1 347.291 -0.829 20 0 EBADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1ncccc1N1CCOCC1 ZINC001199276995 835786781 /nfs/dbraw/zinc/78/67/81/835786781.db2.gz VOXBYZOGAMWMIV-UHFFFAOYSA-N -1 1 332.320 -0.046 20 0 EBADMM CSCCC(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001199795621 835861466 /nfs/dbraw/zinc/86/14/66/835861466.db2.gz CTFROJVSWVHPOJ-JTQLQIEISA-N -1 1 329.426 -0.030 20 0 EBADMM COCCCC(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001199956122 835887077 /nfs/dbraw/zinc/88/70/77/835887077.db2.gz CRCBCKFKFCAPAH-LLVKDONJSA-N -1 1 327.385 -0.356 20 0 EBADMM O=C(CCn1ccnn1)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202816012 836353496 /nfs/dbraw/zinc/35/34/96/836353496.db2.gz ZISJDCOPTPYWJD-QWRGUYRKSA-N -1 1 346.395 -0.291 20 0 EBADMM O=C(CCn1cnnn1)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001203530608 836442394 /nfs/dbraw/zinc/44/23/94/836442394.db2.gz JBXNREGSCRXZFQ-NXEZZACHSA-N -1 1 347.383 -0.896 20 0 EBADMM NC(=O)NCCC(=O)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001203714046 836466593 /nfs/dbraw/zinc/46/65/93/836466593.db2.gz UITJRGFFAJJZMG-IUCAKERBSA-N -1 1 337.384 -0.914 20 0 EBADMM O=C(CNC(=O)c1ccco1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210937063 837353197 /nfs/dbraw/zinc/35/31/97/837353197.db2.gz HHAUPWXEMWRXEX-SNVBAGLBSA-N -1 1 348.363 -0.386 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H](CCO)C1CCOCC1 ZINC001320358046 838760409 /nfs/dbraw/zinc/76/04/09/838760409.db2.gz MCFUQQMHLLWUAH-GFCCVEGCSA-N -1 1 325.365 -0.780 20 0 EBADMM NC(=O)CO[N-]C(=O)C(=O)Nc1ccc2nc(C(F)F)[nH]c2c1 ZINC001320923991 838863180 /nfs/dbraw/zinc/86/31/80/838863180.db2.gz XUEKKBXQYDOZPT-UHFFFAOYSA-N -1 1 327.247 -0.028 20 0 EBADMM Cc1ccccc1-n1nccc1NC(=O)C(=O)NN1CC(=O)[N-]C1=O ZINC001320947188 838868662 /nfs/dbraw/zinc/86/86/62/838868662.db2.gz RUSWTYMXZHAQIW-UHFFFAOYSA-N -1 1 342.315 -0.298 20 0 EBADMM O=C(Cn1c(=O)c2ccccc2[nH]c1=S)NCc1nc(=O)o[n-]1 ZINC001321668812 839049435 /nfs/dbraw/zinc/04/94/35/839049435.db2.gz URDBJYFERMVDTJ-UHFFFAOYSA-N -1 1 333.329 -0.322 20 0 EBADMM Cc1nc(CNC[C@@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)co1 ZINC001321680939 839052367 /nfs/dbraw/zinc/05/23/67/839052367.db2.gz ORWUVQHBTGDJQI-SNVBAGLBSA-N -1 1 335.364 -0.482 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCO[C@@]4(CCOC4)C3)ccnc1-2 ZINC001323003460 839318504 /nfs/dbraw/zinc/31/85/04/839318504.db2.gz ASQXCSGELFPTDT-INIZCTEOSA-N -1 1 345.359 -0.702 20 0 EBADMM CCC(=O)N1CC[C@@H](NC(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC001323231438 839381681 /nfs/dbraw/zinc/38/16/81/839381681.db2.gz XIKKYCWWJAKHNM-SNVBAGLBSA-N -1 1 344.375 -0.593 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCOCC2(CCOCC2)C1 ZINC001323762136 839519167 /nfs/dbraw/zinc/51/91/67/839519167.db2.gz UJRXDXAFFRWWRC-UHFFFAOYSA-N -1 1 337.376 -0.418 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CCNC(=O)COC)c1 ZINC001324678144 839701175 /nfs/dbraw/zinc/70/11/75/839701175.db2.gz WOVDUZLQWKBCRT-UHFFFAOYSA-N -1 1 345.377 -0.609 20 0 EBADMM COCCO[C@H]1C[C@H](CO)CN(Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC001324747088 839709752 /nfs/dbraw/zinc/70/97/52/839709752.db2.gz WXRVPCZNBDFFCE-JSGCOSHPSA-N -1 1 336.392 -0.132 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@](CO)(NC(=O)C[C@@H]3C=CCC3)C2)nc1=O ZINC001325817638 839943886 /nfs/dbraw/zinc/94/38/86/839943886.db2.gz JMWHZQGLQFNHLI-CXAGYDPISA-N -1 1 349.435 -0.092 20 0 EBADMM COc1cc(C(=O)N2CC[C@H](CCNCc3n[nH]c(=O)[n-]3)C2)nn1C ZINC001326312373 840086174 /nfs/dbraw/zinc/08/61/74/840086174.db2.gz MDJIJUJTZSUYGW-JTQLQIEISA-N -1 1 349.395 -0.106 20 0 EBADMM Cn1c(=O)cccc1C(=O)N1CC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001326365204 840091674 /nfs/dbraw/zinc/09/16/74/840091674.db2.gz OJKJKGRBADOJCA-NSHDSACASA-N -1 1 346.391 -0.149 20 0 EBADMM CCO[N-]C(=O)CNC(=O)C(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC001326634802 840156805 /nfs/dbraw/zinc/15/68/05/840156805.db2.gz WOGBAJJHUYAAFM-UHFFFAOYSA-N -1 1 346.347 -0.728 20 0 EBADMM CCO[N-]C(=O)CNC(=O)C(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC001326634802 840156813 /nfs/dbraw/zinc/15/68/13/840156813.db2.gz WOGBAJJHUYAAFM-UHFFFAOYSA-N -1 1 346.347 -0.728 20 0 EBADMM CN(C[C@H]1COCCO1)C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001327294038 840354203 /nfs/dbraw/zinc/35/42/03/840354203.db2.gz CMZHTUNNSCDRKT-JTQLQIEISA-N -1 1 333.348 -0.846 20 0 EBADMM COCCO[N-]C(=O)C(=O)NCc1nc(-c2ccc(F)cc2)n[nH]1 ZINC001328668277 840726175 /nfs/dbraw/zinc/72/61/75/840726175.db2.gz GAXNWELGGWLKBG-UHFFFAOYSA-N -1 1 337.311 -0.079 20 0 EBADMM COCCO[N-]C(=O)C(=O)NCc1n[nH]c(-c2ccc(F)cc2)n1 ZINC001328668277 840726183 /nfs/dbraw/zinc/72/61/83/840726183.db2.gz GAXNWELGGWLKBG-UHFFFAOYSA-N -1 1 337.311 -0.079 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCCOC[C@H]1CCOC1 ZINC001329229573 840879172 /nfs/dbraw/zinc/87/91/72/840879172.db2.gz AOHUZEIZHVRWAJ-GFCCVEGCSA-N -1 1 325.365 -0.514 20 0 EBADMM COCCOCCC(=O)N1CCN([C@@]2(C(=O)[O-])CCOC2)CC1 ZINC001330255059 841151945 /nfs/dbraw/zinc/15/19/45/841151945.db2.gz SAYFFLGTTZSGDN-HNNXBMFYSA-N -1 1 330.381 -0.573 20 0 EBADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)[C@H]3CCNC3=O)CC2)n1 ZINC001330796957 841274765 /nfs/dbraw/zinc/27/47/65/841274765.db2.gz FACODEOGQPOGGR-JTQLQIEISA-N -1 1 335.364 -0.177 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC[S@@](=O)CC(F)(F)F ZINC001330905628 841293646 /nfs/dbraw/zinc/29/36/46/841293646.db2.gz SZWXZKIAHJEPMZ-JOCHJYFZSA-N -1 1 341.311 -0.646 20 0 EBADMM CCCc1cc(=O)n2[n-]c(NC(=O)C3(n4cnnn4)CC3)nc2n1 ZINC001331211307 841370969 /nfs/dbraw/zinc/37/09/69/841370969.db2.gz VPPZSOIJQLUEHY-UHFFFAOYSA-N -1 1 329.324 -0.516 20 0 EBADMM CCc1cc(=O)n2[n-]c(NC(=O)COCc3ccnn3C)nc2n1 ZINC001331209164 841371314 /nfs/dbraw/zinc/37/13/14/841371314.db2.gz DPKDDUIAXDBEJE-UHFFFAOYSA-N -1 1 331.336 -0.131 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCCc2ncnn2C)co1 ZINC001331774280 841493414 /nfs/dbraw/zinc/49/34/14/841493414.db2.gz HCYOFBZFIKITII-UHFFFAOYSA-N -1 1 327.366 -0.321 20 0 EBADMM O=C(NCCc1c(F)cc([O-])cc1F)C(=O)N1CCCNC(=O)C1 ZINC001333318734 841849603 /nfs/dbraw/zinc/84/96/03/841849603.db2.gz CGSKHPYVDSGGMB-UHFFFAOYSA-N -1 1 341.314 -0.322 20 0 EBADMM C[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C1CN(C(=O)[C@H]2C[C@@H]2C)C1 ZINC001335068697 842183553 /nfs/dbraw/zinc/18/35/53/842183553.db2.gz FXDJHGLDMVTFCM-GVXVVHGQSA-N -1 1 348.403 -0.454 20 0 EBADMM O=C([O-])[C@@]1(N2CCN(C(=O)/C=C/c3ccncn3)CC2)CCOC1 ZINC001335434882 842279473 /nfs/dbraw/zinc/27/94/73/842279473.db2.gz XZDOVXZIGPXFCR-XGBNDESESA-N -1 1 332.360 -0.122 20 0 EBADMM COCCOCc1nnc(C[N-]S(=O)(=O)c2cn(C)c(C)n2)o1 ZINC001335450977 842284766 /nfs/dbraw/zinc/28/47/66/842284766.db2.gz XXJXDOLTPOFROK-UHFFFAOYSA-N -1 1 345.381 -0.247 20 0 EBADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C(=O)N2CCOCC2)C1 ZINC001335542739 842301269 /nfs/dbraw/zinc/30/12/69/842301269.db2.gz OYKWKPZWOHLQAZ-GFCCVEGCSA-N -1 1 337.298 -0.485 20 0 EBADMM O=C(NN1CC(=O)[N-]C1=O)[C@H]1CCCN(C(=O)c2ccncc2)C1 ZINC001339589582 842926553 /nfs/dbraw/zinc/92/65/53/842926553.db2.gz LDLAFNZPQUCUCD-NSHDSACASA-N -1 1 331.332 -0.483 20 0 EBADMM O=C(c1cccc(-n2ccnn2)c1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001339801721 842943726 /nfs/dbraw/zinc/94/37/26/842943726.db2.gz FFCHXOBUYNJQCX-UHFFFAOYSA-N -1 1 340.347 -0.096 20 0 EBADMM COc1ccc(CCNC(=O)[C@]2(C(=O)[O-])CNCCO2)cc1F ZINC001340046276 842965789 /nfs/dbraw/zinc/96/57/89/842965789.db2.gz OCHYAOGQPHWELM-HNNXBMFYSA-N -1 1 326.324 -0.064 20 0 EBADMM C[C@H](c1cccnc1)N1CCN(C(=O)[C@]2(C(=O)[O-])CNCCO2)CC1 ZINC001340118638 842972819 /nfs/dbraw/zinc/97/28/19/842972819.db2.gz KCDAMVDSQFNIKN-DYVFJYSZSA-N -1 1 348.403 -0.270 20 0 EBADMM CC(C)CN1CCO[C@@H](CNC(=O)C(=O)[N-]OC[C@H]2CCOC2)C1 ZINC001340247186 842984572 /nfs/dbraw/zinc/98/45/72/842984572.db2.gz HHBMESKPSPUTCU-KBPBESRZSA-N -1 1 343.424 -0.456 20 0 EBADMM O=C([O-])[C@]1(C(=O)NC[C@@H]2CC[C@H](C(F)(F)F)O2)CNCCO1 ZINC001340929656 843045551 /nfs/dbraw/zinc/04/55/51/843045551.db2.gz CJNKYJHGFXEFLE-VAOFZXAKSA-N -1 1 326.271 -0.344 20 0 EBADMM CN(C)[C@@H](CCNC(=O)[C@@]1(C(=O)[O-])CNCCO1)C(F)(F)F ZINC001341798272 843114143 /nfs/dbraw/zinc/11/41/43/843114143.db2.gz VYWDCZUKXXWSLC-GZMMTYOYSA-N -1 1 327.303 -0.572 20 0 EBADMM Cn1c(-c2cc(Cl)ncc2[O-])nnc1N1CCO[C@@H](C(N)=O)C1 ZINC001344295836 843310456 /nfs/dbraw/zinc/31/04/56/843310456.db2.gz JVBGEMLXJHFITI-SECBINFHSA-N -1 1 338.755 -0.073 20 0 EBADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C(=O)N2CC=C[C@H]2CO)C1 ZINC001345773498 843437658 /nfs/dbraw/zinc/43/76/58/843437658.db2.gz SXGPDFJEFSVBGW-ZANVPECISA-N -1 1 349.309 -0.585 20 0 EBADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C(=O)N2CC=C[C@@H]2CO)C1 ZINC001345773496 843437697 /nfs/dbraw/zinc/43/76/97/843437697.db2.gz SXGPDFJEFSVBGW-RNCFNFMXSA-N -1 1 349.309 -0.585 20 0 EBADMM CCO[N-]C(=O)CNC(=O)C(=O)NC[C@H](c1ccccc1)N(C)C ZINC001346341045 843505663 /nfs/dbraw/zinc/50/56/63/843505663.db2.gz FGBFENXKZMQTCF-CYBMUJFWSA-N -1 1 336.392 -0.411 20 0 EBADMM O=C([O-])CSCCC(=O)NC[C@@]1(CO)COC[C@@H]2CCCN21 ZINC001347623939 843631664 /nfs/dbraw/zinc/63/16/64/843631664.db2.gz JKQQWRHLBZXCOZ-SMDDNHRTSA-N -1 1 332.422 -0.464 20 0 EBADMM CN(C(=O)c1ccc2n[n-]c(=S)n2c1)N1CCS(=O)(=O)CC1 ZINC001348201561 843665272 /nfs/dbraw/zinc/66/52/72/843665272.db2.gz VUINYSGXSFKQIV-UHFFFAOYSA-N -1 1 341.418 -0.265 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCNC(=O)[C@H](F)C(C)C ZINC001349373030 843894748 /nfs/dbraw/zinc/89/47/48/843894748.db2.gz RJMPRASWXUSOTP-SSDOTTSWSA-N -1 1 330.316 -0.264 20 0 EBADMM Cn1ncc(CCC(=O)NCCCNC(=O)c2ncccc2[O-])n1 ZINC001349941156 843951586 /nfs/dbraw/zinc/95/15/86/843951586.db2.gz ZTPYPZIKKPGXPV-UHFFFAOYSA-N -1 1 332.364 -0.215 20 0 EBADMM CC(=O)N1CCOC2(CCN(c3cnc(-c4nnn[n-]4)cn3)CC2)C1 ZINC001573450269 945819189 /nfs/dbraw/zinc/81/91/89/945819189.db2.gz AKKYMJFWPDAFSW-UHFFFAOYSA-N -1 1 344.379 -0.126 20 0 EBADMM CC(=O)N1CCOC2(CCN(c3cnc(-c4nn[n-]n4)cn3)CC2)C1 ZINC001573450269 945819200 /nfs/dbraw/zinc/81/92/00/945819200.db2.gz AKKYMJFWPDAFSW-UHFFFAOYSA-N -1 1 344.379 -0.126 20 0 EBADMM CC(=O)NC(C)(C)C(=O)NC[C@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001573462153 945931962 /nfs/dbraw/zinc/93/19/62/945931962.db2.gz AZCGMIKWCRYYGV-QMMMGPOBSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NC(C)(C)C(=O)NC[C@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001573462153 945931970 /nfs/dbraw/zinc/93/19/70/945931970.db2.gz AZCGMIKWCRYYGV-QMMMGPOBSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NC(C)(C)C(=O)NC[C@@H](C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001573462799 945946732 /nfs/dbraw/zinc/94/67/32/945946732.db2.gz NKNWYLMDWGEOGE-MRVPVSSYSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NC(C)(C)C(=O)NC[C@@H](C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001573462799 945946738 /nfs/dbraw/zinc/94/67/38/945946738.db2.gz NKNWYLMDWGEOGE-MRVPVSSYSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@@H](C)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001573478470 946147699 /nfs/dbraw/zinc/14/76/99/946147699.db2.gz WZLXJLUIGSITFS-BDAKNGLRSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@@H](C)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001573478470 946147703 /nfs/dbraw/zinc/14/77/03/946147703.db2.gz WZLXJLUIGSITFS-BDAKNGLRSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@H](C)CNc1cncc(-c2nnn[n-]2)n1 ZINC001573483338 946207260 /nfs/dbraw/zinc/20/72/60/946207260.db2.gz DMMUMLDTMPLHNC-SFYZADRCSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@H](C)CNc1cncc(-c2nn[n-]n2)n1 ZINC001573483338 946207272 /nfs/dbraw/zinc/20/72/72/946207272.db2.gz DMMUMLDTMPLHNC-SFYZADRCSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@H](C)CNc1nccnc1-c1nnn[n-]1 ZINC001573485485 946227940 /nfs/dbraw/zinc/22/79/40/946227940.db2.gz UCOVVWAZBQGYCQ-SFYZADRCSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@H](C)CNc1nccnc1-c1nn[n-]n1 ZINC001573485485 946227953 /nfs/dbraw/zinc/22/79/53/946227953.db2.gz UCOVVWAZBQGYCQ-SFYZADRCSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)NCC(=O)N1CCC(Nc2ccc(-c3nnn[n-]3)nn2)CC1 ZINC001573507262 946444874 /nfs/dbraw/zinc/44/48/74/946444874.db2.gz WFNLYOAUCQTZGR-UHFFFAOYSA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)NCC(=O)N1CCC(Nc2ccc(-c3nn[n-]n3)nn2)CC1 ZINC001573507262 946444879 /nfs/dbraw/zinc/44/48/79/946444879.db2.gz WFNLYOAUCQTZGR-UHFFFAOYSA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)CCNc1nccnc1-c1nnn[n-]1 ZINC001573510706 946479944 /nfs/dbraw/zinc/47/99/44/946479944.db2.gz JVLGZWVTRPPSEF-MRVPVSSYSA-N -1 1 333.356 -0.901 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)CCNc1nccnc1-c1nn[n-]n1 ZINC001573510706 946479949 /nfs/dbraw/zinc/47/99/49/946479949.db2.gz JVLGZWVTRPPSEF-MRVPVSSYSA-N -1 1 333.356 -0.901 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)C[C@@H](C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001573510833 946481944 /nfs/dbraw/zinc/48/19/44/946481944.db2.gz LGKLYQJNHSZXLM-RKDXNWHRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)C[C@@H](C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001573510833 946481950 /nfs/dbraw/zinc/48/19/50/946481950.db2.gz LGKLYQJNHSZXLM-RKDXNWHRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NCC(=O)N1C[C@@H](C)[C@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001573511811 946489959 /nfs/dbraw/zinc/48/99/59/946489959.db2.gz SBGDUSFVQRHVMD-PSASIEDQSA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)NCC(=O)N1C[C@@H](C)[C@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001573511811 946489963 /nfs/dbraw/zinc/48/99/63/946489963.db2.gz SBGDUSFVQRHVMD-PSASIEDQSA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)CCCNc1ccc(-c2nnn[n-]2)nn1 ZINC001573512625 946503278 /nfs/dbraw/zinc/50/32/78/946503278.db2.gz YWVRCBZGBYDMTO-SECBINFHSA-N -1 1 347.383 -0.510 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)CCCNc1ccc(-c2nn[n-]n2)nn1 ZINC001573512625 946503290 /nfs/dbraw/zinc/50/32/90/946503290.db2.gz YWVRCBZGBYDMTO-SECBINFHSA-N -1 1 347.383 -0.510 20 0 EBADMM CN(C)C(=O)N1CCC(C(=O)N[C@H](Cc2cnc[nH]2)C(=O)[O-])CC1 ZINC000326204763 971274310 /nfs/dbraw/zinc/27/43/10/971274310.db2.gz MQXUPBOBCHIFND-GFCCVEGCSA-N -1 1 337.380 -0.085 20 0 EBADMM C[C@@H](C(=O)N(C)C)S(=O)(=O)NCc1ccc(-c2nn[n-]n2)s1 ZINC001573593857 947380833 /nfs/dbraw/zinc/38/08/33/947380833.db2.gz LVPAFYSHXQQPIP-ZETCQYMHSA-N -1 1 344.422 -0.176 20 0 EBADMM C[C@H](C(=O)N(C)C)S(=O)(=O)NCc1ccnc(-c2nnn[n-]2)c1 ZINC001573595665 947424122 /nfs/dbraw/zinc/42/41/22/947424122.db2.gz ZMSSSIYEXQTOJS-MRVPVSSYSA-N -1 1 339.381 -0.842 20 0 EBADMM C[C@H](C(=O)N(C)C)S(=O)(=O)NCc1ccnc(-c2nn[n-]n2)c1 ZINC001573595665 947424129 /nfs/dbraw/zinc/42/41/29/947424129.db2.gz ZMSSSIYEXQTOJS-MRVPVSSYSA-N -1 1 339.381 -0.842 20 0 EBADMM C[C@H](C(=O)N(CCO)CCNc1nccnc1-c1nnn[n-]1)C1CC1 ZINC001573607863 947555684 /nfs/dbraw/zinc/55/56/84/947555684.db2.gz SUVOZUZNXZFYCD-JTQLQIEISA-N -1 1 346.395 -0.064 20 0 EBADMM C[C@H](C(=O)N(CCO)CCNc1nccnc1-c1nn[n-]n1)C1CC1 ZINC001573607863 947555694 /nfs/dbraw/zinc/55/56/94/947555694.db2.gz SUVOZUZNXZFYCD-JTQLQIEISA-N -1 1 346.395 -0.064 20 0 EBADMM C[C@@H](C(=O)N1CCC[C@@H]1c1nncn1C)n1cnc(-c2nn[n-]n2)n1 ZINC001573628451 947755493 /nfs/dbraw/zinc/75/54/93/947755493.db2.gz KYAGLUVFVFMGOQ-DTWKUNHWSA-N -1 1 343.355 -0.489 20 0 EBADMM CC(=O)CCCC(=O)NCCN(C)c1nccnc1-c1nnn[n-]1 ZINC001573382135 947883618 /nfs/dbraw/zinc/88/36/18/947883618.db2.gz FEFJBTFRGXOBFH-UHFFFAOYSA-N -1 1 332.368 -0.032 20 0 EBADMM CC(=O)CCCC(=O)NCCN(C)c1nccnc1-c1nn[n-]n1 ZINC001573382135 947883625 /nfs/dbraw/zinc/88/36/25/947883625.db2.gz FEFJBTFRGXOBFH-UHFFFAOYSA-N -1 1 332.368 -0.032 20 0 EBADMM CC(=O)N(C)CC(=O)N1CC[C@H](Nc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001573391209 947933307 /nfs/dbraw/zinc/93/33/07/947933307.db2.gz FRXJMHPHXJYZLP-JTQLQIEISA-N -1 1 345.367 -0.852 20 0 EBADMM CC(=O)N(C)CC(=O)N1CC[C@H](Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001573391209 947933311 /nfs/dbraw/zinc/93/33/11/947933311.db2.gz FRXJMHPHXJYZLP-JTQLQIEISA-N -1 1 345.367 -0.852 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)CCNc1cnc(-c2nnn[n-]2)cn1 ZINC001573397620 947973795 /nfs/dbraw/zinc/97/37/95/947973795.db2.gz CWDREHZUVMZBCG-SECBINFHSA-N -1 1 347.383 -0.558 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)CCNc1cnc(-c2nn[n-]n2)cn1 ZINC001573397620 947973800 /nfs/dbraw/zinc/97/38/00/947973800.db2.gz CWDREHZUVMZBCG-SECBINFHSA-N -1 1 347.383 -0.558 20 0 EBADMM C[C@H](C(=O)N[C@@H](c1ncon1)C1CC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573657095 947996477 /nfs/dbraw/zinc/99/64/77/947996477.db2.gz KKQTWGNUEUWGJZ-HTRCEHHLSA-N -1 1 330.312 -0.330 20 0 EBADMM C[C@@H](C(=O)N[C@H](CO)c1cccnc1)n1cnc(-c2nn[n-]n2)n1 ZINC001573657023 947996969 /nfs/dbraw/zinc/99/69/69/947996969.db2.gz JSZPNLVNZIMSCG-WCBMZHEXSA-N -1 1 329.324 -0.736 20 0 EBADMM C[C@@H](C(=O)N[C@H](c1ncon1)C1CC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573657098 947997251 /nfs/dbraw/zinc/99/72/51/947997251.db2.gz KKQTWGNUEUWGJZ-XPUUQOCRSA-N -1 1 330.312 -0.330 20 0 EBADMM C[C@H](C(=O)NC1(c2ncon2)CCC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573663487 948037662 /nfs/dbraw/zinc/03/76/62/948037662.db2.gz MUVKYRMGJZMGAD-SSDOTTSWSA-N -1 1 330.312 -0.402 20 0 EBADMM CC(=O)N(C)CC(=O)NCC1(Nc2ccc(-c3nnn[n-]3)nn2)CC1 ZINC001573405963 948051840 /nfs/dbraw/zinc/05/18/40/948051840.db2.gz ZLUCHEZDXHFOBP-UHFFFAOYSA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)N(C)CC(=O)NCC1(Nc2ccc(-c3nn[n-]n3)nn2)CC1 ZINC001573405963 948051847 /nfs/dbraw/zinc/05/18/47/948051847.db2.gz ZLUCHEZDXHFOBP-UHFFFAOYSA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)N1CC(C(=O)N(C)CCNc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001573414257 948092550 /nfs/dbraw/zinc/09/25/50/948092550.db2.gz JIQPTFQJGODKJB-UHFFFAOYSA-N -1 1 345.367 -0.995 20 0 EBADMM CC(=O)N1CC(C(=O)N(C)CCNc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001573414257 948092559 /nfs/dbraw/zinc/09/25/59/948092559.db2.gz JIQPTFQJGODKJB-UHFFFAOYSA-N -1 1 345.367 -0.995 20 0 EBADMM C[C@H](C(=O)NC[C@@H](CO)Nc1nccnc1-c1nnn[n-]1)C1CC1 ZINC001573678558 948151802 /nfs/dbraw/zinc/15/18/02/948151802.db2.gz FCSLJXDUEVDYLI-WPRPVWTQSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@H](C(=O)NC[C@@H](CO)Nc1nccnc1-c1nn[n-]n1)C1CC1 ZINC001573678558 948151806 /nfs/dbraw/zinc/15/18/06/948151806.db2.gz FCSLJXDUEVDYLI-WPRPVWTQSA-N -1 1 332.368 -0.408 20 0 EBADMM CC(=O)N1CCC(CNC(=O)[C@@H](C)n2cnc(-c3nn[n-]n3)n2)CC1 ZINC001573432720 948191727 /nfs/dbraw/zinc/19/17/27/948191727.db2.gz FQUIGXPZQBSGCJ-SECBINFHSA-N -1 1 347.383 -0.606 20 0 EBADMM CC(=O)NCCN(C(=O)C1CN([C@H]2CCOC2)C1)[C@H](C)C(=O)[O-] ZINC001602753915 971463161 /nfs/dbraw/zinc/46/31/61/971463161.db2.gz VOCXBHUKBJOJIV-MFKMUULPSA-N -1 1 327.381 -0.855 20 0 EBADMM Cc1c[nH]c(CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)c(C)c1=O ZINC001570926158 948683033 /nfs/dbraw/zinc/68/30/33/948683033.db2.gz QKRHXQADBXZWGG-UHFFFAOYSA-N -1 1 329.324 -0.920 20 0 EBADMM Cc1nc(NCC(C)(C)NS(C)(=O)=O)cc(-c2nnn[n-]2)n1 ZINC001570926574 948692600 /nfs/dbraw/zinc/69/26/00/948692600.db2.gz AGGVDJDKIALCRX-UHFFFAOYSA-N -1 1 326.386 -0.295 20 0 EBADMM Cc1nc(NCC(C)(C)NS(C)(=O)=O)cc(-c2nn[n-]n2)n1 ZINC001570926574 948692606 /nfs/dbraw/zinc/69/26/06/948692606.db2.gz AGGVDJDKIALCRX-UHFFFAOYSA-N -1 1 326.386 -0.295 20 0 EBADMM O=c1cc([C@@H]2CCN(c3ccc(-c4nnn[n-]4)nn3)C2)[nH]c(=O)[nH]1 ZINC001570926631 948693521 /nfs/dbraw/zinc/69/35/21/948693521.db2.gz CMLMODLRDZJEMT-SSDOTTSWSA-N -1 1 327.308 -0.148 20 0 EBADMM O=c1cc([C@@H]2CCN(c3ccc(-c4nn[n-]n4)nn3)C2)[nH]c(=O)[nH]1 ZINC001570926631 948693524 /nfs/dbraw/zinc/69/35/24/948693524.db2.gz CMLMODLRDZJEMT-SSDOTTSWSA-N -1 1 327.308 -0.148 20 0 EBADMM COC(=O)c1cc(C2CN(c3ccc(-c4nnn[n-]4)nn3)C2)[nH]n1 ZINC001570927845 948718798 /nfs/dbraw/zinc/71/87/98/948718798.db2.gz SHCFZRPCCXCUDD-UHFFFAOYSA-N -1 1 327.308 -0.230 20 0 EBADMM COC(=O)c1cc(C2CN(c3ccc(-c4nn[n-]n4)nn3)C2)[nH]n1 ZINC001570927845 948718803 /nfs/dbraw/zinc/71/88/03/948718803.db2.gz SHCFZRPCCXCUDD-UHFFFAOYSA-N -1 1 327.308 -0.230 20 0 EBADMM C[C@H](CCNc1cnc(-c2nn[n-]n2)cn1)NC(=O)Cn1ccnc1 ZINC001574678291 948746223 /nfs/dbraw/zinc/74/62/23/948746223.db2.gz CFDINBIRCRJHNN-SNVBAGLBSA-N -1 1 342.367 -0.140 20 0 EBADMM Cc1cc(C(=O)NCCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)co1 ZINC001570929154 948755958 /nfs/dbraw/zinc/75/59/58/948755958.db2.gz IQXNXUHHTDYJCS-UHFFFAOYSA-N -1 1 331.292 -0.540 20 0 EBADMM CC(C)[C@@H](NS(C)(=O)=O)C(=O)Nc1n[nH]cc1-c1nnn[n-]1 ZINC001574078990 948760220 /nfs/dbraw/zinc/76/02/20/948760220.db2.gz HKOATMVLSOVMSC-SSDOTTSWSA-N -1 1 328.358 -0.898 20 0 EBADMM CC(C)[C@@H](NS(C)(=O)=O)C(=O)Nc1n[nH]cc1-c1nn[n-]n1 ZINC001574078990 948760231 /nfs/dbraw/zinc/76/02/31/948760231.db2.gz HKOATMVLSOVMSC-SSDOTTSWSA-N -1 1 328.358 -0.898 20 0 EBADMM CC(C)[C@@H](O)C(=O)N[C@H](C)CCNc1cnc(-c2nnn[n-]2)cn1 ZINC001574679144 948765300 /nfs/dbraw/zinc/76/53/00/948765300.db2.gz OTWOXTGTEVWODG-BXKDBHETSA-N -1 1 334.384 -0.020 20 0 EBADMM CC(C)[C@@H](O)C(=O)N[C@H](C)CCNc1cnc(-c2nn[n-]n2)cn1 ZINC001574679144 948765320 /nfs/dbraw/zinc/76/53/20/948765320.db2.gz OTWOXTGTEVWODG-BXKDBHETSA-N -1 1 334.384 -0.020 20 0 EBADMM C[C@@H](C(=O)Nc1csc(C(N)=O)c1)n1cnc(-c2nn[n-]n2)n1 ZINC001573756137 948798218 /nfs/dbraw/zinc/79/82/18/948798218.db2.gz XTBQZIWWKSSDCC-YFKPBYRVSA-N -1 1 333.337 -0.182 20 0 EBADMM CCc1nc(NC[C@H]2CN(C(C)=O)CCO2)cc(-c2nnn[n-]2)n1 ZINC001570930569 948816967 /nfs/dbraw/zinc/81/69/67/948816967.db2.gz MGCVDOXELNVITI-JTQLQIEISA-N -1 1 332.368 -0.122 20 0 EBADMM CCc1nc(NC[C@H]2CN(C(C)=O)CCO2)cc(-c2nn[n-]n2)n1 ZINC001570930569 948816973 /nfs/dbraw/zinc/81/69/73/948816973.db2.gz MGCVDOXELNVITI-JTQLQIEISA-N -1 1 332.368 -0.122 20 0 EBADMM CCC(=O)N[C@@H]1CN(c2nc(C)cc(-c3nnn[n-]3)n2)C[C@H]1OC ZINC001570930583 948817433 /nfs/dbraw/zinc/81/74/33/948817433.db2.gz MXDOBPUVQMUEHC-GHMZBOCLSA-N -1 1 332.368 -0.305 20 0 EBADMM CCC(=O)N[C@@H]1CN(c2nc(C)cc(-c3nn[n-]n3)n2)C[C@H]1OC ZINC001570930583 948817444 /nfs/dbraw/zinc/81/74/44/948817444.db2.gz MXDOBPUVQMUEHC-GHMZBOCLSA-N -1 1 332.368 -0.305 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)Cn1cccn1 ZINC001574682813 948834268 /nfs/dbraw/zinc/83/42/68/948834268.db2.gz RIJIUKSPBKZUPP-JTQLQIEISA-N -1 1 342.367 -0.140 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)Cn1cccn1 ZINC001574682813 948834286 /nfs/dbraw/zinc/83/42/86/948834286.db2.gz RIJIUKSPBKZUPP-JTQLQIEISA-N -1 1 342.367 -0.140 20 0 EBADMM CN(C[C@H]1CCCC[C@@H]1O)c1[nH]c(=O)n(C)c(=O)c1-c1nn[n-]n1 ZINC001570933242 948879727 /nfs/dbraw/zinc/87/97/27/948879727.db2.gz KDIYLQXMOAMIAY-BDAKNGLRSA-N -1 1 335.368 -0.759 20 0 EBADMM O=C1OC[C@@H]2CN(S(=O)(=O)c3cccnc3-c3nn[n-]n3)C[C@H]12 ZINC001570933630 948902946 /nfs/dbraw/zinc/90/29/46/948902946.db2.gz BLZITIXWHNZAKM-YUMQZZPRSA-N -1 1 336.333 -0.945 20 0 EBADMM C[C@@]1(CNS(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)CCC(=O)N1 ZINC001570934338 948935763 /nfs/dbraw/zinc/93/57/63/948935763.db2.gz CFPQLCWKBILOGI-LBPRGKRZSA-N -1 1 337.365 -0.791 20 0 EBADMM C[C@@]1(CNS(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)CCC(=O)N1 ZINC001570934338 948935773 /nfs/dbraw/zinc/93/57/73/948935773.db2.gz CFPQLCWKBILOGI-LBPRGKRZSA-N -1 1 337.365 -0.791 20 0 EBADMM CC(C)c1nnc(CNc2[nH]c(=O)n(C)c(=O)c2-c2nn[n-]n2)[nH]1 ZINC001574434255 948978032 /nfs/dbraw/zinc/97/80/32/948978032.db2.gz FJZMKYQRORPUNX-UHFFFAOYSA-N -1 1 332.328 -0.480 20 0 EBADMM C[C@H](NC(=O)C(C)(C)C(N)=O)[C@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575247886 949054233 /nfs/dbraw/zinc/05/42/33/949054233.db2.gz LEQNLJOBUDYANV-YUMQZZPRSA-N -1 1 347.383 -0.527 20 0 EBADMM C[C@H](NC(=O)C(C)(C)C(N)=O)[C@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575247886 949054248 /nfs/dbraw/zinc/05/42/48/949054248.db2.gz LEQNLJOBUDYANV-YUMQZZPRSA-N -1 1 347.383 -0.527 20 0 EBADMM O=C1NCCC2(CN(S(=O)(=O)c3ccc(-c4nn[n-]n4)o3)C2)O1 ZINC001570937375 949073234 /nfs/dbraw/zinc/07/32/34/949073234.db2.gz CVWOSQQFKZTVNL-UHFFFAOYSA-N -1 1 340.321 -0.667 20 0 EBADMM O=C(Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)N1Cc2ccncc2C1 ZINC001570937395 949074395 /nfs/dbraw/zinc/07/43/95/949074395.db2.gz DMRGOIHNERSYIF-UHFFFAOYSA-N -1 1 340.303 -0.934 20 0 EBADMM O=C(Cc1ncc[nH]1)N[C@@H]1CCN(c2cncc(-c3nnn[n-]3)n2)C1 ZINC001570937603 949079327 /nfs/dbraw/zinc/07/93/27/949079327.db2.gz HDYPTHAVOHCMJV-SECBINFHSA-N -1 1 340.351 -0.683 20 0 EBADMM O=C(Cc1ncc[nH]1)N[C@@H]1CCN(c2cncc(-c3nn[n-]n3)n2)C1 ZINC001570937603 949079350 /nfs/dbraw/zinc/07/93/50/949079350.db2.gz HDYPTHAVOHCMJV-SECBINFHSA-N -1 1 340.351 -0.683 20 0 EBADMM O=C(Cn1cccn1)N[C@@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C1 ZINC001570937618 949083037 /nfs/dbraw/zinc/08/30/37/949083037.db2.gz HHVYFFGTHLPIGR-SNVBAGLBSA-N -1 1 340.351 -0.752 20 0 EBADMM O=C(Cn1cccn1)N[C@@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C1 ZINC001570937618 949083058 /nfs/dbraw/zinc/08/30/58/949083058.db2.gz HHVYFFGTHLPIGR-SNVBAGLBSA-N -1 1 340.351 -0.752 20 0 EBADMM Cc1c[nH]nc1C(=O)N1CCN(c2nccnc2-c2nnn[n-]2)CC1 ZINC001570938338 949100904 /nfs/dbraw/zinc/10/09/04/949100904.db2.gz QDODALHWLQWDFF-UHFFFAOYSA-N -1 1 340.351 -0.349 20 0 EBADMM Cc1c[nH]nc1C(=O)N1CCN(c2nccnc2-c2nn[n-]n2)CC1 ZINC001570938338 949100924 /nfs/dbraw/zinc/10/09/24/949100924.db2.gz QDODALHWLQWDFF-UHFFFAOYSA-N -1 1 340.351 -0.349 20 0 EBADMM C[C@@H](CNC(=O)COCC1CC1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574930266 949106734 /nfs/dbraw/zinc/10/67/34/949106734.db2.gz KGVOUNPFCYYDGJ-VIFPVBQESA-N -1 1 332.368 -0.578 20 0 EBADMM O=C(Cn1ccnc1)N[C@@H]1CCN(c2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570938843 949118235 /nfs/dbraw/zinc/11/82/35/949118235.db2.gz ZDTXGOKKDYKBJL-SNVBAGLBSA-N -1 1 340.351 -0.752 20 0 EBADMM O=C(c1cnon1)N1CCC[C@H](Nc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001570939231 949129134 /nfs/dbraw/zinc/12/91/34/949129134.db2.gz LQYAUZYBEBPEHW-QMMMGPOBSA-N -1 1 342.323 -0.244 20 0 EBADMM O=C(c1cnon1)N1CCC[C@H](Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001570939231 949129153 /nfs/dbraw/zinc/12/91/53/949129153.db2.gz LQYAUZYBEBPEHW-QMMMGPOBSA-N -1 1 342.323 -0.244 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@@H](Nc3cncc(-c4nnn[n-]4)n3)C2)n1 ZINC001570939488 949138602 /nfs/dbraw/zinc/13/86/02/949138602.db2.gz DOZUTCYVMNHCRS-MRVPVSSYSA-N -1 1 341.339 -0.888 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@@H](Nc3cncc(-c4nn[n-]n4)n3)C2)n1 ZINC001570939488 949138615 /nfs/dbraw/zinc/13/86/15/949138615.db2.gz DOZUTCYVMNHCRS-MRVPVSSYSA-N -1 1 341.339 -0.888 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)c1ncn(C)n1 ZINC001574696621 949139561 /nfs/dbraw/zinc/13/95/61/949139561.db2.gz SMAAMKNXYAURDS-QMMMGPOBSA-N -1 1 343.355 -0.594 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)c1ncn(C)n1 ZINC001574696621 949139567 /nfs/dbraw/zinc/13/95/67/949139567.db2.gz SMAAMKNXYAURDS-QMMMGPOBSA-N -1 1 343.355 -0.594 20 0 EBADMM CCc1nc(NCc2nnc3n2CCNC3=O)cc(-c2nnn[n-]2)n1 ZINC001570939480 949140573 /nfs/dbraw/zinc/14/05/73/949140573.db2.gz DOEFIWWTBHRNCA-UHFFFAOYSA-N -1 1 341.339 -0.834 20 0 EBADMM CCc1nc(NCc2nnc3n2CCNC3=O)cc(-c2nn[n-]n2)n1 ZINC001570939480 949140583 /nfs/dbraw/zinc/14/05/83/949140583.db2.gz DOEFIWWTBHRNCA-UHFFFAOYSA-N -1 1 341.339 -0.834 20 0 EBADMM Cn1nncc1C(=O)N[C@H]1C[C@@H](Nc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001570939524 949142981 /nfs/dbraw/zinc/14/29/81/949142981.db2.gz FRHQDNZJXDCKCA-OCAPTIKFSA-N -1 1 341.339 -0.842 20 0 EBADMM Cn1nncc1C(=O)N[C@H]1C[C@@H](Nc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001570939524 949142991 /nfs/dbraw/zinc/14/29/91/949142991.db2.gz FRHQDNZJXDCKCA-OCAPTIKFSA-N -1 1 341.339 -0.842 20 0 EBADMM CC(C)CC(=O)N(C)C[C@@H](O)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001574100857 949203174 /nfs/dbraw/zinc/20/31/74/949203174.db2.gz ZQNYCHBYINJMIY-JTQLQIEISA-N -1 1 334.384 -0.066 20 0 EBADMM CC(C)CC(=O)N(C)C[C@@H](O)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001574100857 949203191 /nfs/dbraw/zinc/20/31/91/949203191.db2.gz ZQNYCHBYINJMIY-JTQLQIEISA-N -1 1 334.384 -0.066 20 0 EBADMM O=C(Cn1cncn1)N[C@H]1C[C@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001570941315 949213080 /nfs/dbraw/zinc/21/30/80/949213080.db2.gz SKEUBYWIBUDFJE-KYZUINATSA-N -1 1 341.339 -0.993 20 0 EBADMM O=C(Cn1cncn1)N[C@H]1C[C@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001570941315 949213098 /nfs/dbraw/zinc/21/30/98/949213098.db2.gz SKEUBYWIBUDFJE-KYZUINATSA-N -1 1 341.339 -0.993 20 0 EBADMM C[C@H](CNC(=O)C[C@H]1CCOC1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574937236 949219166 /nfs/dbraw/zinc/21/91/66/949219166.db2.gz NEQSVXOPMLWXDE-NXEZZACHSA-N -1 1 332.368 0.000 20 0 EBADMM C[C@H](CNC(=O)C[C@H]1CCOC1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574937236 949219187 /nfs/dbraw/zinc/21/91/87/949219187.db2.gz NEQSVXOPMLWXDE-NXEZZACHSA-N -1 1 332.368 0.000 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H](C)CC(N)=O)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574699221 949236276 /nfs/dbraw/zinc/23/62/76/949236276.db2.gz UJICPCVKUPCDKJ-IUCAKERBSA-N -1 1 347.383 -0.573 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H](C)CC(N)=O)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574699221 949236304 /nfs/dbraw/zinc/23/63/04/949236304.db2.gz UJICPCVKUPCDKJ-IUCAKERBSA-N -1 1 347.383 -0.573 20 0 EBADMM Cc1cc(-c2nnn[n-]2)nc(N2CC[C@H](NC(=O)c3cnco3)C2)n1 ZINC001570941746 949243118 /nfs/dbraw/zinc/24/31/18/949243118.db2.gz DKZCCWPQRSYLTI-VIFPVBQESA-N -1 1 341.335 -0.038 20 0 EBADMM Cc1cc(-c2nn[n-]n2)nc(N2CC[C@H](NC(=O)c3cnco3)C2)n1 ZINC001570941746 949243137 /nfs/dbraw/zinc/24/31/37/949243137.db2.gz DKZCCWPQRSYLTI-VIFPVBQESA-N -1 1 341.335 -0.038 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CC[C@@H](Cn3ccnn3)C2)n1 ZINC001570941838 949246261 /nfs/dbraw/zinc/24/62/61/949246261.db2.gz ABRVYGHPSONMRJ-SECBINFHSA-N -1 1 343.355 -0.254 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CC[C@@H](Cn3ccnn3)C2)n1 ZINC001570941838 949246281 /nfs/dbraw/zinc/24/62/81/949246281.db2.gz ABRVYGHPSONMRJ-SECBINFHSA-N -1 1 343.355 -0.254 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)Nc2cc3n(n2)CCN(C)C3)n1 ZINC001570942304 949275827 /nfs/dbraw/zinc/27/58/27/949275827.db2.gz JSAZHQYCYZUHDO-UHFFFAOYSA-N -1 1 343.355 -0.114 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)Nc2cc3n(n2)CCN(C)C3)n1 ZINC001570942304 949275845 /nfs/dbraw/zinc/27/58/45/949275845.db2.gz JSAZHQYCYZUHDO-UHFFFAOYSA-N -1 1 343.355 -0.114 20 0 EBADMM COCc1ncc(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)c(N)n1 ZINC001570942755 949310453 /nfs/dbraw/zinc/31/04/53/949310453.db2.gz VVTLQUARAPVKPY-UHFFFAOYSA-N -1 1 341.335 -0.290 20 0 EBADMM COCc1ncc(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)c(N)n1 ZINC001570942755 949310477 /nfs/dbraw/zinc/31/04/77/949310477.db2.gz VVTLQUARAPVKPY-UHFFFAOYSA-N -1 1 341.335 -0.290 20 0 EBADMM CNC(=O)Cn1cc(NC(=O)Cc2ccc(-c3nnn[n-]3)nc2)cn1 ZINC001570942835 949315449 /nfs/dbraw/zinc/31/54/49/949315449.db2.gz XETZTQPTERZPFE-UHFFFAOYSA-N -1 1 341.335 -0.615 20 0 EBADMM CNC(=O)Cn1cc(NC(=O)Cc2ccc(-c3nn[n-]n3)nc2)cn1 ZINC001570942835 949315471 /nfs/dbraw/zinc/31/54/71/949315471.db2.gz XETZTQPTERZPFE-UHFFFAOYSA-N -1 1 341.335 -0.615 20 0 EBADMM C[C@@H](CNC(=O)Cc1ccn(C)n1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574942458 949362215 /nfs/dbraw/zinc/36/22/15/949362215.db2.gz OVLRCZDNOAQAMA-VIFPVBQESA-N -1 1 342.367 -0.451 20 0 EBADMM C[C@@H](CNC(=O)Cc1ccn(C)n1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574942458 949362235 /nfs/dbraw/zinc/36/22/35/949362235.db2.gz OVLRCZDNOAQAMA-VIFPVBQESA-N -1 1 342.367 -0.451 20 0 EBADMM C[C@@H](CNC(=O)Cc1cnoc1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001574944544 949397613 /nfs/dbraw/zinc/39/76/13/949397613.db2.gz DUWYFSPJZNOQJY-VIFPVBQESA-N -1 1 343.351 -0.172 20 0 EBADMM C[C@H](CNC(=O)Cc1cnoc1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001574947108 949441825 /nfs/dbraw/zinc/44/18/25/949441825.db2.gz ZKOCPQBEIDTMPL-SECBINFHSA-N -1 1 343.351 -0.172 20 0 EBADMM C[C@H](CNC(=O)Cc1cnoc1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001574947108 949441844 /nfs/dbraw/zinc/44/18/44/949441844.db2.gz ZKOCPQBEIDTMPL-SECBINFHSA-N -1 1 343.351 -0.172 20 0 EBADMM C[C@H]1CCN(S(=O)(=O)c2ccc(-c3nn[n-]n3)o2)CC[S@@]1=O ZINC001570944966 949451101 /nfs/dbraw/zinc/45/11/01/949451101.db2.gz IGBWUJKICNASCB-PPRQPISWSA-N -1 1 345.406 -0.009 20 0 EBADMM C[C@H](CNC(=O)Cn1cccn1)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001574948489 949460785 /nfs/dbraw/zinc/46/07/85/949460785.db2.gz JSVYFPXBYYISNY-JTQLQIEISA-N -1 1 342.367 -0.282 20 0 EBADMM C[C@H](CNC(=O)Cn1cccn1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001574948489 949460808 /nfs/dbraw/zinc/46/08/08/949460808.db2.gz JSVYFPXBYYISNY-JTQLQIEISA-N -1 1 342.367 -0.282 20 0 EBADMM CC(C)(C)C(=O)NC[C@]1(O)CCN(c2cncc(-c3nnn[n-]3)n2)C1 ZINC001573804352 949468557 /nfs/dbraw/zinc/46/85/57/949468557.db2.gz VBTDOLGEFJJHPZ-OAHLLOKOSA-N -1 1 346.395 -0.240 20 0 EBADMM CC(C)(C)C(=O)NC[C@]1(O)CCN(c2cncc(-c3nn[n-]n3)n2)C1 ZINC001573804352 949468578 /nfs/dbraw/zinc/46/85/78/949468578.db2.gz VBTDOLGEFJJHPZ-OAHLLOKOSA-N -1 1 346.395 -0.240 20 0 EBADMM C[C@@H](CNC(=O)Cn1ccnc1)Nc1nccnc1-c1nnn[n-]1 ZINC001574948947 949478218 /nfs/dbraw/zinc/47/82/18/949478218.db2.gz OOLIXFZTTJRKCS-VIFPVBQESA-N -1 1 328.340 -0.530 20 0 EBADMM C[C@@H](CNC(=O)Cn1ccnc1)Nc1nccnc1-c1nn[n-]n1 ZINC001574948947 949478224 /nfs/dbraw/zinc/47/82/24/949478224.db2.gz OOLIXFZTTJRKCS-VIFPVBQESA-N -1 1 328.340 -0.530 20 0 EBADMM O=C(c1cnsn1)N1CC[C@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001570945481 949484684 /nfs/dbraw/zinc/48/46/84/949484684.db2.gz AMOSVSORWPDBJQ-ZETCQYMHSA-N -1 1 344.364 -0.165 20 0 EBADMM O=C(c1cnsn1)N1CC[C@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001570945481 949484696 /nfs/dbraw/zinc/48/46/96/949484696.db2.gz AMOSVSORWPDBJQ-ZETCQYMHSA-N -1 1 344.364 -0.165 20 0 EBADMM O=c1[nH]cc(-c2nn[n-]n2)c(=O)n1CCOc1ccc2c(c1)OCO2 ZINC001570945691 949493255 /nfs/dbraw/zinc/49/32/55/949493255.db2.gz HQVKSVNYFRFBRH-UHFFFAOYSA-N -1 1 344.287 -0.063 20 0 EBADMM Cc1cc(CNc2ccnc(-c3nn[n-]n3)n2)ccc1S(N)(=O)=O ZINC001570945748 949496973 /nfs/dbraw/zinc/49/69/73/949496973.db2.gz CBHCUZAOOIWSNP-UHFFFAOYSA-N -1 1 346.376 -0.353 20 0 EBADMM C[C@]1(C(=O)N2CC[C@@H](Nc3ccnc(-c4nn[n-]n4)n3)C2)CCOC1 ZINC001575537495 949498390 /nfs/dbraw/zinc/49/83/90/949498390.db2.gz WKZVTZDYMMNCNP-BMIGLBTASA-N -1 1 344.379 -0.482 20 0 EBADMM C[C@@]1(C(=O)N2CC[C@@H](Nc3ccnc(-c4nn[n-]n4)n3)C2)CCOC1 ZINC001575537518 949498991 /nfs/dbraw/zinc/49/89/91/949498991.db2.gz WKZVTZDYMMNCNP-MEBBXXQBSA-N -1 1 344.379 -0.482 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1CCCO1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574709382 949507973 /nfs/dbraw/zinc/50/79/73/949507973.db2.gz ZFQOKJFDHYQAHT-NXEZZACHSA-N -1 1 332.368 -0.484 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NC[C@H]1COCCN1c1cncc(-c2nnn[n-]2)n1 ZINC001570945935 949509007 /nfs/dbraw/zinc/50/90/07/949509007.db2.gz LJGVBUKOWRHYKC-DCAQKATOSA-N -1 1 344.379 -0.366 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NC[C@H]1COCCN1c1cncc(-c2nn[n-]n2)n1 ZINC001570945935 949509023 /nfs/dbraw/zinc/50/90/23/949509023.db2.gz LJGVBUKOWRHYKC-DCAQKATOSA-N -1 1 344.379 -0.366 20 0 EBADMM CCOC(=O)CCS(=O)(=O)NCc1nc(-c2nnn[n-]2)cs1 ZINC001570946521 949533991 /nfs/dbraw/zinc/53/39/91/949533991.db2.gz MYMWFBAFDBBOBE-UHFFFAOYSA-N -1 1 346.394 -0.304 20 0 EBADMM CCOC(=O)CCS(=O)(=O)NCc1nc(-c2nn[n-]n2)cs1 ZINC001570946521 949534007 /nfs/dbraw/zinc/53/40/07/949534007.db2.gz MYMWFBAFDBBOBE-UHFFFAOYSA-N -1 1 346.394 -0.304 20 0 EBADMM Cc1c(-c2nn[n-]n2)c(=O)n(Cc2noc(C(C)(C)C)n2)c(=O)n1C ZINC001570946769 949542217 /nfs/dbraw/zinc/54/22/17/949542217.db2.gz PIGRMPQSTDXEED-UHFFFAOYSA-N -1 1 346.351 -0.236 20 0 EBADMM C[C@@H](CNC(=O)[C@@H]1CCC(=O)N1)CNc1nccnc1-c1nnn[n-]1 ZINC001574951062 949550856 /nfs/dbraw/zinc/55/08/56/949550856.db2.gz XOWDGMSSBULVGF-BDAKNGLRSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@@H](CNC(=O)[C@@H]1CCC(=O)N1)CNc1nccnc1-c1nn[n-]n1 ZINC001574951062 949550876 /nfs/dbraw/zinc/55/08/76/949550876.db2.gz XOWDGMSSBULVGF-BDAKNGLRSA-N -1 1 345.367 -0.901 20 0 EBADMM Cc1ccc(CNC(=O)Cn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)n1C ZINC001570947201 949562691 /nfs/dbraw/zinc/56/26/91/949562691.db2.gz VMJONTNDLIUTOF-UHFFFAOYSA-N -1 1 344.335 -0.908 20 0 EBADMM O=C(CNC(=O)NCc1nc(-c2nnn[n-]2)cs1)NCC(F)F ZINC001570947151 949564242 /nfs/dbraw/zinc/56/42/42/949564242.db2.gz UZSJWEZEOBLRRS-UHFFFAOYSA-N -1 1 346.323 -0.496 20 0 EBADMM O=C(CNC(=O)NCc1nc(-c2nn[n-]n2)cs1)NCC(F)F ZINC001570947151 949564256 /nfs/dbraw/zinc/56/42/56/949564256.db2.gz UZSJWEZEOBLRRS-UHFFFAOYSA-N -1 1 346.323 -0.496 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)NC[C@@H](O)COCC1CC1 ZINC001570948049 949593986 /nfs/dbraw/zinc/59/39/86/949593986.db2.gz BFKUCSGKIRWQEV-SNVBAGLBSA-N -1 1 348.363 -0.207 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574957681 949627668 /nfs/dbraw/zinc/62/76/68/949627668.db2.gz FZJRBUUCADGKEC-APOZVJGGSA-N -1 1 330.352 -0.534 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574957681 949627680 /nfs/dbraw/zinc/62/76/80/949627680.db2.gz FZJRBUUCADGKEC-APOZVJGGSA-N -1 1 330.352 -0.534 20 0 EBADMM C[C@H]1C[C@H](CNC(=O)Cn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)CCO1 ZINC001570950135 949670259 /nfs/dbraw/zinc/67/02/59/949670259.db2.gz DTNONMNCUPAPAJ-DTWKUNHWSA-N -1 1 349.351 -0.940 20 0 EBADMM COC1([C@H](C)NC(=O)Cn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)CCC1 ZINC001570950563 949689039 /nfs/dbraw/zinc/68/90/39/949689039.db2.gz LTRHYVGKZKSIMB-QMMMGPOBSA-N -1 1 349.351 -0.797 20 0 EBADMM COC1([C@@H](C)NC(=O)Cn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)CCC1 ZINC001570950562 949691215 /nfs/dbraw/zinc/69/12/15/949691215.db2.gz LTRHYVGKZKSIMB-MRVPVSSYSA-N -1 1 349.351 -0.797 20 0 EBADMM C[C@@H]1COCC[C@@H]1NC(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570950617 949694972 /nfs/dbraw/zinc/69/49/72/949694972.db2.gz OJQHVMBKZGQPCR-SCZZXKLOSA-N -1 1 349.351 -0.940 20 0 EBADMM C[C@@H](CNC(=O)[C@]12C[C@H]1COC2)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574959022 949701275 /nfs/dbraw/zinc/70/12/75/949701275.db2.gz XUCJSOJQPVDIMJ-FZNYLWTLSA-N -1 1 330.352 -0.968 20 0 EBADMM Cc1onc(CC(=O)N[C@@H](CO)Cc2cncs2)c1-c1nnn[n-]1 ZINC001570950769 949705234 /nfs/dbraw/zinc/70/52/34/949705234.db2.gz TXYWPKCTWGEVAS-MRVPVSSYSA-N -1 1 349.376 -0.118 20 0 EBADMM Cc1onc(CC(=O)N[C@@H](CO)Cc2cncs2)c1-c1nn[n-]n1 ZINC001570950769 949705244 /nfs/dbraw/zinc/70/52/44/949705244.db2.gz TXYWPKCTWGEVAS-MRVPVSSYSA-N -1 1 349.376 -0.118 20 0 EBADMM C[C@]1(C(=O)N[C@@H]2CCN(c3cnc(-c4nnn[n-]4)cn3)C2)CCOC1 ZINC001575571138 949947061 /nfs/dbraw/zinc/94/70/61/949947061.db2.gz XJXMEVDQIWWONG-BMIGLBTASA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@]1(C(=O)N[C@@H]2CCN(c3cnc(-c4nn[n-]n4)cn3)C2)CCOC1 ZINC001575571138 949947081 /nfs/dbraw/zinc/94/70/81/949947081.db2.gz XJXMEVDQIWWONG-BMIGLBTASA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@@H](CC(=O)NC[C@@H](CO)Nc1nccnc1-c1nnn[n-]1)C1CC1 ZINC001574494313 950161069 /nfs/dbraw/zinc/16/10/69/950161069.db2.gz KCCKLJJZOUBHLZ-ONGXEEELSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](CC(=O)NC[C@@H](CO)Nc1nccnc1-c1nn[n-]n1)C1CC1 ZINC001574494313 950161081 /nfs/dbraw/zinc/16/10/81/950161081.db2.gz KCCKLJJZOUBHLZ-ONGXEEELSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1C[C@H]1C(N)=O)Nc1nccnc1-c1nnn[n-]1 ZINC001574741246 950238000 /nfs/dbraw/zinc/23/80/00/950238000.db2.gz IQLFDDZPDJHJPR-YIZRAAEISA-N -1 1 345.367 -0.963 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1C[C@H]1C(N)=O)Nc1nccnc1-c1nn[n-]n1 ZINC001574741246 950238020 /nfs/dbraw/zinc/23/80/20/950238020.db2.gz IQLFDDZPDJHJPR-YIZRAAEISA-N -1 1 345.367 -0.963 20 0 EBADMM C[C@H](CC(=O)NC[C@H](O)CNc1cnc(-c2nnn[n-]2)cn1)C1CC1 ZINC001574498493 950280801 /nfs/dbraw/zinc/28/08/01/950280801.db2.gz OGHFNNVUTLKOQO-MWLCHTKSSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](CC(=O)NC[C@H](O)CNc1cnc(-c2nn[n-]n2)cn1)C1CC1 ZINC001574498493 950280811 /nfs/dbraw/zinc/28/08/11/950280811.db2.gz OGHFNNVUTLKOQO-MWLCHTKSSA-N -1 1 346.395 -0.018 20 0 EBADMM CC1(C)C(NC(=O)Cn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)C1(C)C ZINC001575623920 950657892 /nfs/dbraw/zinc/65/78/92/950657892.db2.gz LUVKNLWKWNSTCZ-UHFFFAOYSA-N -1 1 333.352 -0.320 20 0 EBADMM C[C@H](CN(C)C(=O)c1ccnn1C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574761628 950696499 /nfs/dbraw/zinc/69/64/99/950696499.db2.gz UIZVNDZAUMAOET-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CN(C)C(=O)c1ccnn1C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574761628 950696511 /nfs/dbraw/zinc/69/65/11/950696511.db2.gz UIZVNDZAUMAOET-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM CC(C)CCC(=O)NC[C@@H](CO)Nc1nccnc1-c1nnn[n-]1 ZINC001574181450 950745018 /nfs/dbraw/zinc/74/50/18/950745018.db2.gz NOLFSCACKXHONH-JTQLQIEISA-N -1 1 334.384 -0.018 20 0 EBADMM CC(C)CCC(=O)NC[C@@H](CO)Nc1nccnc1-c1nn[n-]n1 ZINC001574181450 950745031 /nfs/dbraw/zinc/74/50/31/950745031.db2.gz NOLFSCACKXHONH-JTQLQIEISA-N -1 1 334.384 -0.018 20 0 EBADMM C[C@H](CN(C)C(=O)c1nc[nH]n1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574765376 950787494 /nfs/dbraw/zinc/78/74/94/950787494.db2.gz HOYVAKRJXGECEG-SSDOTTSWSA-N -1 1 329.328 -0.653 20 0 EBADMM C[C@H](CN(C)C(=O)c1nc[nH]n1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574765376 950787504 /nfs/dbraw/zinc/78/75/04/950787504.db2.gz HOYVAKRJXGECEG-SSDOTTSWSA-N -1 1 329.328 -0.653 20 0 EBADMM CC(C)(C)n1cc(CNc2ccnc(-c3nn[n-]n3)n2)c(=O)[nH]c1=O ZINC001573894181 950921875 /nfs/dbraw/zinc/92/18/75/950921875.db2.gz QBXMPUJJROIFRX-UHFFFAOYSA-N -1 1 343.351 -0.292 20 0 EBADMM C[C@@H](CNC(=O)c1ccnn1C)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575033827 951228482 /nfs/dbraw/zinc/22/84/82/951228482.db2.gz CCFNPKKSLDEZIY-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@@H](CNC(=O)c1ccnn1C)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575033827 951228493 /nfs/dbraw/zinc/22/84/93/951228493.db2.gz CCFNPKKSLDEZIY-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1ccncn1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575033894 951231428 /nfs/dbraw/zinc/23/14/28/951231428.db2.gz DOHCSMPWYUCYTF-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@H](CNC(=O)c1ccncn1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575033894 951231438 /nfs/dbraw/zinc/23/14/38/951231438.db2.gz DOHCSMPWYUCYTF-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@H](CNC(=O)c1ccnnc1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575034336 951243876 /nfs/dbraw/zinc/24/38/76/951243876.db2.gz MJYPGSOJKFQKPS-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@H](CNC(=O)c1ccnn1C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575034616 951249347 /nfs/dbraw/zinc/24/93/47/951249347.db2.gz OUAXRYWNUPCFKG-MRVPVSSYSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@H](CNC(=O)c1ccnn1C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575034616 951249353 /nfs/dbraw/zinc/24/93/53/951249353.db2.gz OUAXRYWNUPCFKG-MRVPVSSYSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@@H](CNC(=O)c1ccnn1C)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575035002 951264238 /nfs/dbraw/zinc/26/42/38/951264238.db2.gz RXIAVSJPVQGQPY-SECBINFHSA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@@H](CNC(=O)c1ccnn1C)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575035002 951264252 /nfs/dbraw/zinc/26/42/52/951264252.db2.gz RXIAVSJPVQGQPY-SECBINFHSA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@H](CNC(=O)c1ccnnc1)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001575035615 951279116 /nfs/dbraw/zinc/27/91/16/951279116.db2.gz ZHWLHROOLCDCEI-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@H](CNC(=O)c1ccnnc1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001575035615 951279127 /nfs/dbraw/zinc/27/91/27/951279127.db2.gz ZHWLHROOLCDCEI-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1ccnnc1)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001575035614 951280732 /nfs/dbraw/zinc/28/07/32/951280732.db2.gz ZHWLHROOLCDCEI-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1ccnnc1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001575035614 951280740 /nfs/dbraw/zinc/28/07/40/951280740.db2.gz ZHWLHROOLCDCEI-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@H](CNC(=O)c1ccncn1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575035566 951280768 /nfs/dbraw/zinc/28/07/68/951280768.db2.gz XTJRDODBVYJZBN-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1ccncn1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575035566 951280778 /nfs/dbraw/zinc/28/07/78/951280778.db2.gz XTJRDODBVYJZBN-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)cn1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001575041744 951349260 /nfs/dbraw/zinc/34/92/60/951349260.db2.gz AUKPRCXEWLRVGM-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)cn1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575041744 951349274 /nfs/dbraw/zinc/34/92/74/951349274.db2.gz AUKPRCXEWLRVGM-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)cn1)CNc1nccnc1-c1nnn[n-]1 ZINC001575041761 951350195 /nfs/dbraw/zinc/35/01/95/951350195.db2.gz BMUZHRUPFPEHSN-VIFPVBQESA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)cn1)CNc1nccnc1-c1nn[n-]n1 ZINC001575041761 951350208 /nfs/dbraw/zinc/35/02/08/951350208.db2.gz BMUZHRUPFPEHSN-VIFPVBQESA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)cn1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001575042633 951365219 /nfs/dbraw/zinc/36/52/19/951365219.db2.gz LJKJBEQRPSYQPY-SECBINFHSA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)cn1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575042633 951365228 /nfs/dbraw/zinc/36/52/28/951365228.db2.gz LJKJBEQRPSYQPY-SECBINFHSA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)nn1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575043545 951396792 /nfs/dbraw/zinc/39/67/92/951396792.db2.gz UCFMZKGVKUSFQN-QMMMGPOBSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@H](CNC(=O)c1cn[nH]c(=O)c1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001575044009 951407183 /nfs/dbraw/zinc/40/71/83/951407183.db2.gz NUIIAKRQUFKSAO-SSDOTTSWSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@H](CNC(=O)c1cn[nH]c(=O)c1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575044009 951407190 /nfs/dbraw/zinc/40/71/90/951407190.db2.gz NUIIAKRQUFKSAO-SSDOTTSWSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@@H](CNC(=O)c1cncn1C)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575047018 951451975 /nfs/dbraw/zinc/45/19/75/951451975.db2.gz XGMHWYYPJNNUTO-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)c1N)Nc1nccnc1-c1nnn[n-]1 ZINC001575052465 951466750 /nfs/dbraw/zinc/46/67/50/951466750.db2.gz JWXSWMBQRAACLB-SSDOTTSWSA-N -1 1 343.355 -0.797 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)c1N)Nc1nccnc1-c1nn[n-]n1 ZINC001575052465 951466754 /nfs/dbraw/zinc/46/67/54/951466754.db2.gz JWXSWMBQRAACLB-SSDOTTSWSA-N -1 1 343.355 -0.797 20 0 EBADMM C[C@@H](CNC(=O)c1cnnn1C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575053722 951482970 /nfs/dbraw/zinc/48/29/70/951482970.db2.gz DEHJMWVZOPTOOB-ZETCQYMHSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@@H](CNC(=O)c1cnnn1C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575053722 951482977 /nfs/dbraw/zinc/48/29/77/951482977.db2.gz DEHJMWVZOPTOOB-ZETCQYMHSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@@H](CNC(=O)c1cocn1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575057396 951528171 /nfs/dbraw/zinc/52/81/71/951528171.db2.gz OYHAKYWUSRXNSB-MRVPVSSYSA-N -1 1 329.324 -0.455 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1F)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575067222 951606628 /nfs/dbraw/zinc/60/66/28/951606628.db2.gz KNRJYADYYLDMTH-MRVPVSSYSA-N -1 1 343.326 -0.157 20 0 EBADMM C[C@H](CNC(=O)c1ncn(C)n1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575067830 951622576 /nfs/dbraw/zinc/62/25/76/951622576.db2.gz OWRHKYJPOVUJCJ-MRVPVSSYSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@H](CNC(=O)c1ncn(C)n1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575067830 951622586 /nfs/dbraw/zinc/62/25/86/951622586.db2.gz OWRHKYJPOVUJCJ-MRVPVSSYSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@@H](CNC(=O)c1ncccn1)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001575067872 951624834 /nfs/dbraw/zinc/62/48/34/951624834.db2.gz QBDUMMPLYFMNJQ-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1ncccn1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001575067872 951624842 /nfs/dbraw/zinc/62/48/42/951624842.db2.gz QBDUMMPLYFMNJQ-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM O=C([O-])CNC(=O)CN1CC[C@@H]2CN(C(=O)C(F)(F)F)C[C@H]2C1 ZINC001595098270 951835475 /nfs/dbraw/zinc/83/54/75/951835475.db2.gz ACPHTWPTMBKVIJ-RKDXNWHRSA-N -1 1 337.298 -0.470 20 0 EBADMM C[C@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)c1cnn(C)n1 ZINC001575136240 952123183 /nfs/dbraw/zinc/12/31/83/952123183.db2.gz NYTJTIYZPLOSCQ-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)c1cnn(C)n1 ZINC001575136240 952123185 /nfs/dbraw/zinc/12/31/85/952123185.db2.gz NYTJTIYZPLOSCQ-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM O=C([O-])Cn1cccc(C(=O)N2CCN([C@@H]3CCC[C@H]3O)CC2)c1=O ZINC001595153679 952153115 /nfs/dbraw/zinc/15/31/15/952153115.db2.gz FADAGBFUMOLCRT-ZIAGYGMSSA-N -1 1 349.387 -0.396 20 0 EBADMM C[C@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)C1(C(N)=O)CC1 ZINC001575154429 952224535 /nfs/dbraw/zinc/22/45/35/952224535.db2.gz CZFXDDCNEOAJRC-MRVPVSSYSA-N -1 1 345.367 -0.819 20 0 EBADMM C[C@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)C1(C(N)=O)CC1 ZINC001575154429 952224543 /nfs/dbraw/zinc/22/45/43/952224543.db2.gz CZFXDDCNEOAJRC-MRVPVSSYSA-N -1 1 345.367 -0.819 20 0 EBADMM O=C([O-])[C@@H]1CC[C@H]1C(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC001595179915 952248287 /nfs/dbraw/zinc/24/82/87/952248287.db2.gz QMWJJADOZPXWRA-MGPQQGTHSA-N -1 1 325.409 -0.380 20 0 EBADMM C[C@@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)Cn1cccn1 ZINC001575157311 952262910 /nfs/dbraw/zinc/26/29/10/952262910.db2.gz LCPONFNSXUGBAY-JTQLQIEISA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)Cn1cccn1 ZINC001575157311 952262915 /nfs/dbraw/zinc/26/29/15/952262915.db2.gz LCPONFNSXUGBAY-JTQLQIEISA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)c1ccnn1C ZINC001575180223 952416502 /nfs/dbraw/zinc/41/65/02/952416502.db2.gz UDRZNSRWIQVBFW-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)c1ccnn1C ZINC001575180223 952416504 /nfs/dbraw/zinc/41/65/04/952416504.db2.gz UDRZNSRWIQVBFW-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@](Cn1cccn1)(NC(=O)c1csc(-c2nn[n-]n2)c1)C(N)=O ZINC001575207944 952537350 /nfs/dbraw/zinc/53/73/50/952537350.db2.gz WWOYWYOPEDOBPZ-CYBMUJFWSA-N -1 1 346.376 -0.201 20 0 EBADMM O=C(NC[C@@H]1CCN1C1CCOCC1)C(=O)N[C@H]1C[C@@H](C(=O)[O-])C1 ZINC001594750797 953427813 /nfs/dbraw/zinc/42/78/13/953427813.db2.gz IFHCEZAIKYUBGU-LOWVWBTDSA-N -1 1 339.392 -0.665 20 0 EBADMM O=C([O-])[C@@]12COC[C@@H]1CN(C(=O)NCCN1CCCOCC1)C2 ZINC001594758594 953488696 /nfs/dbraw/zinc/48/86/96/953488696.db2.gz ZXZDUKJZUMIDNJ-WFASDCNBSA-N -1 1 327.381 -0.549 20 0 EBADMM C[C@H]1CCN(C(=O)NC2CCN(CC(=O)[O-])CC2)CCS1(=O)=O ZINC001589344600 954044420 /nfs/dbraw/zinc/04/44/20/954044420.db2.gz FIXLACXFZGAXIQ-NSHDSACASA-N -1 1 347.437 -0.246 20 0 EBADMM C[C@@H]1CN(C(=O)Cn2cc(CNCC(=O)[O-])nn2)CC(C)(C)O1 ZINC001589377995 954281079 /nfs/dbraw/zinc/28/10/79/954281079.db2.gz XWVRZATXGUSUAV-SNVBAGLBSA-N -1 1 325.369 -0.522 20 0 EBADMM O=C([O-])C1(C(=O)NC[C@@]2(CO)COC[C@@H]3CCCN32)CCOCC1 ZINC001594863660 954302487 /nfs/dbraw/zinc/30/24/87/954302487.db2.gz MXBJBIOABATEHK-SWLSCSKDSA-N -1 1 342.392 -0.790 20 0 EBADMM C[C@H]1CN(CCCNC(=O)C(=O)N[C@H]2C[C@@H](C(=O)[O-])C2)C[C@H](C)O1 ZINC001589400615 954422154 /nfs/dbraw/zinc/42/21/54/954422154.db2.gz QWCVGZQLGVPRLM-ZDEQEGDKSA-N -1 1 341.408 -0.419 20 0 EBADMM CN1C[C@H](CN2CCC(N3C[C@@H](C(=O)[O-])CC3=O)CC2)OC1=O ZINC001593772602 954553712 /nfs/dbraw/zinc/55/37/12/954553712.db2.gz MHRXAARVQNTPFZ-CMPLNLGQSA-N -1 1 325.365 -0.166 20 0 EBADMM CN1CCN(CCCS(=O)(=O)N[C@H]2CC[C@@H](C(=O)[O-])C2)CC1 ZINC001593784625 954686699 /nfs/dbraw/zinc/68/66/99/954686699.db2.gz MZLTYVXEHVMBIP-OLZOCXBDSA-N -1 1 333.454 -0.203 20 0 EBADMM C[C@@H](CN(C)C(=O)C(=O)NC[C@@H]1CCN1C1CCOCC1)C(=O)[O-] ZINC001589020495 955466224 /nfs/dbraw/zinc/46/62/24/955466224.db2.gz UDMBWDGQNCZIRY-AAEUAGOBSA-N -1 1 341.408 -0.465 20 0 EBADMM C[C@H](CNC(=O)N[C@@H]1CCN(CCN2CCOCC2)C1)C(=O)[O-] ZINC001589051727 955668379 /nfs/dbraw/zinc/66/83/79/955668379.db2.gz ICABOMWGYNNCEJ-CHWSQXEVSA-N -1 1 328.413 -0.587 20 0 EBADMM CO[C@H](C(=O)[O-])C(=O)N(CCCN(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC001593901733 955876321 /nfs/dbraw/zinc/87/63/21/955876321.db2.gz FPZRYGYOVKLNOB-MNOVXSKESA-N -1 1 336.410 -0.947 20 0 EBADMM C[C@@H](N[C@@H]1CCN(CC(=O)[O-])C1=O)c1cccc(S(N)(=O)=O)c1 ZINC001589124264 956156394 /nfs/dbraw/zinc/15/63/94/956156394.db2.gz VKVBJJJXOVVZBD-BXKDBHETSA-N -1 1 341.389 -0.330 20 0 EBADMM COC[C@]1(C(=O)[O-])CCN(CN2C(=O)NC3(CCOCC3)C2=O)C1 ZINC001593966359 956546420 /nfs/dbraw/zinc/54/64/20/956546420.db2.gz AXDBKTMJQBTWRC-AWEZNQCLSA-N -1 1 341.364 -0.532 20 0 EBADMM O=C([O-])CN1CCN(C(=O)Cn2cnc3sccc3c2=O)CC1 ZINC000390386573 972280489 /nfs/dbraw/zinc/28/04/89/972280489.db2.gz XWLMZDVAAQYUJO-UHFFFAOYSA-N -1 1 336.373 -0.313 20 0 EBADMM CC(=O)NCC[N@@H+](CCC[N-]C(=O)C(F)(F)F)[C@H](C)C(=O)[O-] ZINC001588513429 958768284 /nfs/dbraw/zinc/76/82/84/958768284.db2.gz MQCWWNNNYCXOHD-MRVPVSSYSA-N -1 1 327.303 -0.034 20 0 EBADMM CC(=O)NCC[N@H+](CCC[N-]C(=O)C(F)(F)F)[C@H](C)C(=O)[O-] ZINC001588513429 958768301 /nfs/dbraw/zinc/76/83/01/958768301.db2.gz MQCWWNNNYCXOHD-MRVPVSSYSA-N -1 1 327.303 -0.034 20 0 EBADMM CN(C)Cc1ccc(C(=O)N2CCS(=O)(=O)C[C@H]2C(=O)[O-])cn1 ZINC001604074776 972464471 /nfs/dbraw/zinc/46/44/71/972464471.db2.gz DHBLRIVZBFAPEY-LBPRGKRZSA-N -1 1 341.389 -0.533 20 0 EBADMM O=C([O-])[C@]12COC[C@H]1CN(C(=O)NCCN1CCCOCC1)C2 ZINC001605780857 972493470 /nfs/dbraw/zinc/49/34/70/972493470.db2.gz ZXZDUKJZUMIDNJ-IUODEOHRSA-N -1 1 327.381 -0.549 20 0 EBADMM Cn1ncc(C(=O)N2CCC[C@H](NC(=O)C(F)(F)F)[C@H]2C(=O)[O-])n1 ZINC001574467890 961596091 /nfs/dbraw/zinc/59/60/91/961596091.db2.gz XARNHRIBECFOSL-XPUUQOCRSA-N -1 1 349.269 -0.449 20 0 EBADMM CC(C)C[C@H]1NC(=O)N(CN2C[C@@H]3COC[C@]3(C(=O)[O-])C2)C1=O ZINC001588873909 962101335 /nfs/dbraw/zinc/10/13/35/962101335.db2.gz JTCGRLZWDAKSHF-UEKVPHQBSA-N -1 1 325.365 -0.057 20 0 EBADMM O=C([O-])c1nccc(NC2CCC(NC(=O)c3cnn[nH]3)CC2)n1 ZINC001571196832 962323802 /nfs/dbraw/zinc/32/38/02/962323802.db2.gz RYWLCLVJBATPPU-UHFFFAOYSA-N -1 1 331.336 -0.132 20 0 EBADMM CC(C)N1C(=O)C(=O)N(CN2C[C@@H]3COC[C@]3(C(=O)[O-])C2)C1=O ZINC001588920762 962373385 /nfs/dbraw/zinc/37/33/85/962373385.db2.gz SRUKVNHHPSQGMF-YMTOWFKASA-N -1 1 325.321 -0.824 20 0 EBADMM CC(C)N1CCC(C(=O)N2CCS(=O)(=O)C[C@@H]2C(=O)[O-])CC1 ZINC001588921952 962384852 /nfs/dbraw/zinc/38/48/52/962384852.db2.gz UGGNZRYHTCOWLS-GFCCVEGCSA-N -1 1 332.422 -0.183 20 0 EBADMM O=C([O-])[C@H]1CS(=O)(=O)CCN1C(=O)C1CCN(C2CC2)CC1 ZINC001574669365 962543024 /nfs/dbraw/zinc/54/30/24/962543024.db2.gz RDVKUABCRMRIPK-GFCCVEGCSA-N -1 1 330.406 -0.429 20 0 EBADMM CN(C1CCOCC1)S(=O)(=O)N1CCN(C)C[C@@H](C(=O)[O-])C1 ZINC001571225082 962583556 /nfs/dbraw/zinc/58/35/56/962583556.db2.gz IRLXISBIJKOPPJ-LLVKDONJSA-N -1 1 335.426 -0.710 20 0 EBADMM O=C([O-])CC[C@@H]1C[C@H](C(=O)N2CCC(O)(c3nn[nH]n3)CC2)CO1 ZINC001574680689 962584074 /nfs/dbraw/zinc/58/40/74/962584074.db2.gz CXLSTIXNHFDEFL-VHSXEESVSA-N -1 1 339.352 -0.720 20 0 EBADMM O=C([O-])CNC(=O)CN1CCN(CCCOCC(F)(F)F)CC1 ZINC001574726465 962812198 /nfs/dbraw/zinc/81/21/98/962812198.db2.gz AKVZYVQXLOFXHA-UHFFFAOYSA-N -1 1 341.330 -0.226 20 0 EBADMM C[C@@]1(C2CCN([C@H]3CCN(CC(=O)[O-])C3=O)CC2)COC(=O)N1 ZINC001589240626 969931637 /nfs/dbraw/zinc/93/16/37/969931637.db2.gz YDYJEAAPPLXWNH-NHYWBVRUSA-N -1 1 325.365 -0.118 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2CCN([C@@H]3CCNC(=O)CC3)CC2)CCCO1 ZINC001606560307 970350457 /nfs/dbraw/zinc/35/04/57/970350457.db2.gz SGRQWNKCHGZKHB-LRDDRELGSA-N -1 1 339.392 -0.567 20 0 EBADMM C[C@]12CCC(=O)N1[C@@H](C(=O)N[C@H](Cc1cnc[nH]1)C(=O)[O-])CS2 ZINC000320696212 970700772 /nfs/dbraw/zinc/70/07/72/970700772.db2.gz RCKRHLRUDSIMSW-RULNRJAQSA-N -1 1 338.389 -0.024 20 0 EBADMM O=C([O-])C(=O)N1CCN(C(=O)c2ccc(Cc3nc[nH]n3)cc2)CC1 ZINC001605885750 972676822 /nfs/dbraw/zinc/67/68/22/972676822.db2.gz NUJLPVSSCNKONN-UHFFFAOYSA-N -1 1 343.343 -0.236 20 0 EBADMM Cn1nnc(CNS(=O)(=O)c2ccc(F)c(C(=O)[O-])c2F)n1 ZINC000398111797 973071430 /nfs/dbraw/zinc/07/14/30/973071430.db2.gz XMDHLTKWPKXFOY-UHFFFAOYSA-N -1 1 333.276 -0.335 20 0 EBADMM O=C([O-])CCCNC(=O)C(=O)N1CC[C@H]2[C@@H]1CCCN2CCO ZINC001606175202 973423383 /nfs/dbraw/zinc/42/33/83/973423383.db2.gz TYDLMSAMLIRCCQ-RYUDHWBXSA-N -1 1 327.381 -0.975 20 0 EBADMM Cn1cnc(C(=O)N2CCC[C@H](NC(=O)C(F)(F)F)[C@H]2C(=O)[O-])n1 ZINC001605519407 973700660 /nfs/dbraw/zinc/70/06/60/973700660.db2.gz HJBGYTVCTYIGQV-BQBZGAKWSA-N -1 1 349.269 -0.449 20 0 EBADMM O=C([O-])Cn1cccc(C(=O)N2CCC[C@@H](c3nn[nH]n3)C2)c1=O ZINC001606350836 973795263 /nfs/dbraw/zinc/79/52/63/973795263.db2.gz XPJVJIFZBZOLFW-SECBINFHSA-N -1 1 332.320 -0.534 20 0 EBADMM C[C@@H](CC(=O)N(CCN1CCN(C)CC1)CC(=O)[O-])n1cccn1 ZINC001592226395 977418531 /nfs/dbraw/zinc/41/85/31/977418531.db2.gz CLBKJPHGORATRF-AWEZNQCLSA-N -1 1 337.424 -0.005 20 0 EBADMM C[C@@H](CN1CCN(C)CC1)NS(=O)(=O)c1occc1C(=O)[O-] ZINC001592615814 978576904 /nfs/dbraw/zinc/57/69/04/978576904.db2.gz NKSLSVCPMUTAKF-JTQLQIEISA-N -1 1 331.394 -0.108 20 0 EBADMM C[C@@H](CN1CCN(C)CC1)NC(=O)NCC1(C(=O)[O-])CCOCC1 ZINC001592616714 978581095 /nfs/dbraw/zinc/58/10/95/978581095.db2.gz YLQNXANCDADSEH-ZDUSSCGKSA-N -1 1 342.440 -0.197 20 0 EBADMM C[C@H](CNS(=O)(=O)[C@H]1C[C@@H](C(=O)[O-])C1)CN1CCN(C)CC1 ZINC001592716434 979217335 /nfs/dbraw/zinc/21/73/35/979217335.db2.gz VRCPKSBJJNXWOE-UPJWGTAASA-N -1 1 333.454 -0.348 20 0 EBADMM C[C@H](Cc1ccc(NC(=O)C(=O)NC[C@H]2COCCN2)cc1)C(=O)[O-] ZINC001592852827 979638455 /nfs/dbraw/zinc/63/84/55/979638455.db2.gz FTDOSKYEYOLZJL-RISCZKNCSA-N -1 1 349.387 -0.007 20 0 EBADMM CCN1C[C@@H](C(=O)N(CCN2CCN(C)CC2)CC(=O)[O-])CC1=O ZINC001596394866 983931141 /nfs/dbraw/zinc/93/11/41/983931141.db2.gz OSAPBBYNRKGTMS-ZDUSSCGKSA-N -1 1 340.424 -0.985 20 0 EBADMM C[C@H](NC(=O)[C@H](C)NC(=O)c1cn[nH]c1-c1cnn(C)c1)C(=O)[O-] ZINC001599899613 984796593 /nfs/dbraw/zinc/79/65/93/984796593.db2.gz NQMUNCBMMSSMLJ-YUMQZZPRSA-N -1 1 334.336 -0.482 20 0 EBADMM CCOC(=O)c1cc(C(=O)N2CCN(C)C[C@H](C(=O)[O-])C2)on1 ZINC001596700417 984872067 /nfs/dbraw/zinc/87/20/67/984872067.db2.gz LXYSJZHDNWHMNO-VIFPVBQESA-N -1 1 325.321 -0.060 20 0 EBADMM C[C@H]1CN(C(=O)C(=O)NCc2ccc3cncn3c2)C[C@@H](C(=O)[O-])O1 ZINC001599912585 985006095 /nfs/dbraw/zinc/00/60/95/985006095.db2.gz WPDMDYLZTFRRGO-GWCFXTLKSA-N -1 1 346.343 -0.349 20 0 EBADMM C[C@H]1CN(C(=O)NC[C@H]2CCO[C@H]2C(=O)[O-])CCN1CC(C)(C)O ZINC001594476315 985493310 /nfs/dbraw/zinc/49/33/10/985493310.db2.gz WKQPGBOLWVRHCT-YNEHKIRRSA-N -1 1 343.424 -0.037 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@H]1CC(c2cnn(C)c2)=NO1 ZINC001594515416 985875270 /nfs/dbraw/zinc/87/52/70/985875270.db2.gz OEECNKDWEQOWLJ-BXUZGUMPSA-N -1 1 349.391 -0.080 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NC[C@H]1COCCN1C ZINC001594515652 985880320 /nfs/dbraw/zinc/88/03/20/985880320.db2.gz JOZHOHGYDKNJEE-OLZOCXBDSA-N -1 1 328.413 -0.493 20 0 EBADMM C[C@H]1CN(CCCNC(=O)C(=O)NC[C@H]2C[C@H]2C(=O)[O-])C[C@H](C)O1 ZINC001594528058 986092692 /nfs/dbraw/zinc/09/26/92/986092692.db2.gz LYSGANYKAQLZBQ-WUHRBBMRSA-N -1 1 341.408 -0.561 20 0 EBADMM C[C@@H](C(=O)N(CCN1CCN(C)CC1)CC(=O)[O-])c1cnccn1 ZINC001589341715 986255604 /nfs/dbraw/zinc/25/56/04/986255604.db2.gz ACVNIWPZVGPDOY-CYBMUJFWSA-N -1 1 335.408 -0.259 20 0 EBADMM C[C@H]1CN(S(=O)(=O)c2n[n-]cc2C(=O)[O-])CC[N@@H+]1CC(C)(C)O ZINC001594555121 986282413 /nfs/dbraw/zinc/28/24/13/986282413.db2.gz JVIRRPXKENGDKW-VIFPVBQESA-N -1 1 346.409 -0.426 20 0 EBADMM C[C@H]1CN(S(=O)(=O)c2n[n-]cc2C(=O)[O-])CC[N@H+]1CC(C)(C)O ZINC001594555121 986282427 /nfs/dbraw/zinc/28/24/27/986282427.db2.gz JVIRRPXKENGDKW-VIFPVBQESA-N -1 1 346.409 -0.426 20 0 EBADMM C[C@@H]1CN2CCN1C[C@@H]2C(=O)N(C)[C@@H](COC1CCC1)C(=O)[O-] ZINC001594582898 986446380 /nfs/dbraw/zinc/44/63/80/986446380.db2.gz BFSFSQXIMSYDMY-BNOWGMLFSA-N -1 1 325.409 -0.145 20 0 EBADMM CCc1cc(CNC(=O)C(=O)N2CCSC[C@@H](C(=O)[O-])C2)[nH]n1 ZINC001597470541 987922819 /nfs/dbraw/zinc/92/28/19/987922819.db2.gz HIPYVXWGMBAFGF-VIFPVBQESA-N -1 1 340.405 -0.136 20 0 EBADMM CS[C@@H]1CCN(C(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)C1 ZINC001599790540 987999160 /nfs/dbraw/zinc/99/91/60/987999160.db2.gz ISBFNGILSYZQHE-LLVKDONJSA-N -1 1 343.449 -0.042 20 0 EBADMM C[C@@H]1CN(S(=O)(=O)CCCN2CCN(C)CC2)C[C@H]1C(=O)[O-] ZINC001599867890 989300506 /nfs/dbraw/zinc/30/05/06/989300506.db2.gz ZZYPOCYZKXAQAX-CHWSQXEVSA-N -1 1 333.454 -0.394 20 0 EBADMM CC(C)(CNC(=O)CN1C[C@H]2[C@H](C(=O)[O-])[C@H]2C1)N1CCOCC1 ZINC001590712970 990463292 /nfs/dbraw/zinc/46/32/92/990463292.db2.gz GCZIDNWANDMQFG-IMRBUKKESA-N -1 1 325.409 -0.524 20 0 EBADMM CN(CC(=O)N1CCNCC1)S(=O)(=O)c1cccc(C(=O)[O-])c1 ZINC001598380362 991580281 /nfs/dbraw/zinc/58/02/81/991580281.db2.gz GCNNJYWZQOWSJR-UHFFFAOYSA-N -1 1 341.389 -0.563 20 0 EBADMM CN(CC(=O)NC[C@H]1[C@@H](C(=O)[O-])C1(F)F)c1ncnc2[nH]cnc21 ZINC001598392059 991638835 /nfs/dbraw/zinc/63/88/35/991638835.db2.gz XKGDEUDCHJCTAH-XPUUQOCRSA-N -1 1 340.290 -0.129 20 0 EBADMM CN(C[C@H](O)CN(C)c1ncc(C(=O)[O-])cn1)C(=O)c1ccn[nH]1 ZINC001598432280 991797893 /nfs/dbraw/zinc/79/78/93/991797893.db2.gz DEERUIGQVAMNQG-JTQLQIEISA-N -1 1 334.336 -0.533 20 0 EBADMM COc1nsc(CN2CC[C@@H](CS(N)(=O)=O)C2)c1C(=O)[O-] ZINC001599740418 991843437 /nfs/dbraw/zinc/84/34/37/991843437.db2.gz RUKRNNRMIOTGME-SSDOTTSWSA-N -1 1 335.407 -0.040 20 0 EBADMM CS(=O)(=O)CCn1ccc(NS(=O)(=O)CCC(=O)[O-])n1 ZINC001599752710 992012335 /nfs/dbraw/zinc/01/23/35/992012335.db2.gz HSLZQIDYOJDZDG-UHFFFAOYSA-N -1 1 325.368 -0.856 20 0 EBADMM CS(=O)(=O)N1CCC[C@@H](CN2CC[C@@]3(CC(C(=O)[O-])=NO3)C2)C1 ZINC001599754935 992039583 /nfs/dbraw/zinc/03/95/83/992039583.db2.gz BQAACVJCOORGDN-SMDDNHRTSA-N -1 1 345.421 -0.037 20 0 EBADMM CS(=O)(=O)N1CCC[C@H](CN2CC[C@]3(CC(C(=O)[O-])=NO3)C2)C1 ZINC001599754934 992040095 /nfs/dbraw/zinc/04/00/95/992040095.db2.gz BQAACVJCOORGDN-RISCZKNCSA-N -1 1 345.421 -0.037 20 0 EBADMM CS(=O)(=O)Nc1ccc(C(=O)N2CCOC[C@@H]2C(=O)[O-])cn1 ZINC001599759854 992105732 /nfs/dbraw/zinc/10/57/32/992105732.db2.gz CNIWCEMDVAWLRN-SECBINFHSA-N -1 1 329.334 -0.621 20 0 EBADMM CS(=O)(=O)[C@H]1C[C@]1(CNC(=O)[C@@H]1CCCc2n[nH]nc21)C(=O)[O-] ZINC001599762467 992141113 /nfs/dbraw/zinc/14/11/13/992141113.db2.gz JTYZCVPDVUVUER-BZTNIEFMSA-N -1 1 342.377 -0.771 20 0 EBADMM CC(C)C[C@H](NC(=O)CNC(=O)[C@@H]1CN2CCN1C[C@@H]2C)C(=O)[O-] ZINC001591457469 992563720 /nfs/dbraw/zinc/56/37/20/992563720.db2.gz IIOHBPNZPBLDTJ-AVGNSLFASA-N -1 1 340.424 -0.894 20 0 EBADMM CC(C)Cn1[nH]c(CC(=O)NC2(C(=O)[O-])CC(CO)C2)cc1=O ZINC001591505281 992649423 /nfs/dbraw/zinc/64/94/23/992649423.db2.gz GCOXTIRRTPQCPQ-UHFFFAOYSA-N -1 1 325.365 -0.283 20 0 EBADMM CC(C)Cn1[nH]c(CC(=O)NC[C@]2(C(=O)[O-])CCOC2)cc1=O ZINC001591505770 992651422 /nfs/dbraw/zinc/65/14/22/992651422.db2.gz LOFKIARBDJXFEG-OAHLLOKOSA-N -1 1 325.365 -0.018 20 0 EBADMM CC(C)[N@@H+](CCCNC(=O)[C@H]1C[C@@H]1C(=O)[O-])Cc1n[nH]c(=O)[n-]1 ZINC001591590750 992803340 /nfs/dbraw/zinc/80/33/40/992803340.db2.gz GELSILPWJGNNQK-UWVGGRQHSA-N -1 1 325.369 -0.052 20 0 EBADMM C[N@H+]1CCN(C(=O)C(C)(C)[N-]C(=O)C(F)(F)F)C[C@H](C(=O)[O-])C1 ZINC001598603297 993599726 /nfs/dbraw/zinc/59/97/26/993599726.db2.gz DYNVSCZZSGGMNZ-MRVPVSSYSA-N -1 1 339.314 -0.082 20 0 EBADMM CN1CCN(C(=O)C(=O)Nc2ccc3c(n2)CCC3)C[C@H](C(=O)[O-])C1 ZINC001598603442 993602865 /nfs/dbraw/zinc/60/28/65/993602865.db2.gz HKFWPRQGYIZELI-GFCCVEGCSA-N -1 1 346.387 -0.016 20 0 EBADMM C[C@H](NC(=O)NC[C@H]1CCO[C@H]1C(=O)[O-])[C@@H]1CN(C)CCN1C ZINC001593100022 993732097 /nfs/dbraw/zinc/73/20/97/993732097.db2.gz YLXLZNJPMYFOSI-QNWHQSFQSA-N -1 1 328.413 -0.590 20 0 EBADMM CN1CCN(C)[C@H](CNC(=O)N2CC(c3cc(C(=O)[O-])n[nH]3)C2)C1 ZINC001598612035 993794713 /nfs/dbraw/zinc/79/47/13/993794713.db2.gz PBZPDLOINGHAAD-LLVKDONJSA-N -1 1 336.396 -0.538 20 0 EBADMM CN1CCN(C(=O)c2coc(S(N)(=O)=O)c2)C[C@@H](C(=O)[O-])C1 ZINC001598613234 993824680 /nfs/dbraw/zinc/82/46/80/993824680.db2.gz MXGAZGPSNLKBKQ-VIFPVBQESA-N -1 1 331.350 -0.985 20 0 EBADMM CN1CCN(c2cc(C(=O)N3CC[C@](O)(C(=O)[O-])C3)ccn2)CC1 ZINC001598619303 993967313 /nfs/dbraw/zinc/96/73/13/993967313.db2.gz BEJMYPLFHJQAGV-MRXNPFEDSA-N -1 1 334.376 -0.505 20 0 EBADMM CNS(=O)(=O)NC1CCN(C[C@H]2CC(C(=O)[O-])=C(C)O2)CC1 ZINC001598670696 994751676 /nfs/dbraw/zinc/75/16/76/994751676.db2.gz IQVZVFDFZJHVPE-LLVKDONJSA-N -1 1 333.410 -0.348 20 0 EBADMM CNS(=O)(=O)c1ccc([C@H](C)N[C@H](C)C(=O)NCC(=O)[O-])cc1 ZINC001598672782 994786617 /nfs/dbraw/zinc/78/66/17/994786617.db2.gz FYAKYCMUCWJVAJ-VHSXEESVSA-N -1 1 343.405 -0.165 20 0 EBADMM COC(=O)[C@@H]1CCN(CCN2CCCS2(=O)=O)[C@@H](C(=O)[O-])C1 ZINC001598801678 996143431 /nfs/dbraw/zinc/14/34/31/996143431.db2.gz SNVDOHUTQHBSAD-GHMZBOCLSA-N -1 1 334.394 -0.640 20 0 EBADMM COC(=O)[C@@H]1CN(C(=O)CCSc2nnc(C)[nH]2)C[C@@H]1C(=O)[O-] ZINC001598808225 996206929 /nfs/dbraw/zinc/20/69/29/996206929.db2.gz DKCJECPODLAHIK-DTWKUNHWSA-N -1 1 342.377 -0.072 20 0 EBADMM COC(=O)[C@@H]1CN(C)CCN(C(=O)Nc2c(C(=O)[O-])cnn2C)C1 ZINC001598817306 996284077 /nfs/dbraw/zinc/28/40/77/996284077.db2.gz GEEUCBKQQFAARG-SECBINFHSA-N -1 1 339.352 -0.313 20 0 EBADMM COC(=O)C[C@H](C)CC(=O)N1CCN([C@]2(C(=O)[O-])CCOC2)CC1 ZINC001598836137 996486336 /nfs/dbraw/zinc/48/63/36/996486336.db2.gz UUEFNXIGNOTUNY-MLGOLLRUSA-N -1 1 342.392 -0.036 20 0 EBADMM COC(=O)CN(CCN1CCN(C)CC1)C(=O)CCCC(=O)[O-] ZINC001598863301 996692894 /nfs/dbraw/zinc/69/28/94/996692894.db2.gz ZIWKVXIDMFFTTF-UHFFFAOYSA-N -1 1 329.397 -0.510 20 0 EBADMM COC[C@]1(C(=O)N[C@H](Cc2cncs2)C(=O)[O-])CNCCO1 ZINC001599184579 997003347 /nfs/dbraw/zinc/00/33/47/997003347.db2.gz REDRLECFDXZIKM-MFKMUULPSA-N -1 1 329.378 -0.740 20 0 EBADMM COC(=O)[C@@H]1CN(CCCN2C[C@@H](C)O[C@H](C(=O)[O-])C2)C[C@@H](C)O1 ZINC001598898432 997124534 /nfs/dbraw/zinc/12/45/34/997124534.db2.gz BJSFFEQFDIZIHR-MQYQWHSLSA-N -1 1 344.408 -0.187 20 0 EBADMM COc1c(C(=O)N(CCN2CCN(C)CC2)CC(=O)[O-])cnn1C ZINC001599328635 997709660 /nfs/dbraw/zinc/70/96/60/997709660.db2.gz NAQIBLQNZCBYAT-UHFFFAOYSA-N -1 1 339.396 -0.797 20 0 EBADMM CC1(N2CCOCC2)CCN(C(=O)Cn2cc(C(=O)[O-])nn2)CC1 ZINC001594049325 997731048 /nfs/dbraw/zinc/73/10/48/997731048.db2.gz IPZMITCRWLIDQI-UHFFFAOYSA-N -1 1 337.380 -0.310 20 0 EBADMM CC1(NC(=O)[C@H]2C[C@H]2C(=O)[O-])CCN(C(=O)Cc2ncn[nH]2)CC1 ZINC001594053326 997769908 /nfs/dbraw/zinc/76/99/08/997769908.db2.gz SJYMXIDLDXNUIP-VHSXEESVSA-N -1 1 335.364 -0.435 20 0 EBADMM COCC(=O)N[C@H](C(=O)N[C@H](Cc1cnc[nH]1)C(=O)[O-])C(C)C ZINC001599142449 998155608 /nfs/dbraw/zinc/15/56/08/998155608.db2.gz CERQWYRYLBFQPL-PWSUYJOCSA-N -1 1 326.353 -0.691 20 0 EBADMM COC[C@@H](C)NC(=O)NC(=O)CN1CCN(CCC(=O)[O-])C[C@H]1C ZINC001599162455 998481128 /nfs/dbraw/zinc/48/11/28/998481128.db2.gz XGPROOXZUPTUHP-VXGBXAGGSA-N -1 1 344.412 -0.672 20 0 EBADMM COC[C@@H](NC(=O)c1cc(S(=O)(=O)N(C)C)ccc1O)C(=O)[O-] ZINC001599172023 998620972 /nfs/dbraw/zinc/62/09/72/998620972.db2.gz SXGSLCBGFXOGDQ-SNVBAGLBSA-N -1 1 346.361 -0.528 20 0 EBADMM C[C@@H]1[C@H](Nc2ncc(C(=O)[O-])cn2)CCN1C(=O)Cc1ncn[nH]1 ZINC001594192299 998752571 /nfs/dbraw/zinc/75/25/71/998752571.db2.gz JJMOAKUZNSCELS-PSASIEDQSA-N -1 1 331.336 -0.063 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)N(CCN2CCN(C)CC2)CC(=O)[O-])[C@@H](C)O1 ZINC001594201031 998776408 /nfs/dbraw/zinc/77/64/08/998776408.db2.gz VJFCCIANHRKARG-MGPQQGTHSA-N -1 1 327.425 -0.040 20 0 EBADMM CO[C@@H](C)CN(C)C(=O)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC001599040266 999112872 /nfs/dbraw/zinc/11/28/72/999112872.db2.gz NXUSWFUETDHJPN-NSHDSACASA-N -1 1 329.397 -0.513 20 0 EBADMM C[C@H]1CN(C(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)CC[C@@H]1O ZINC001594454692 999656868 /nfs/dbraw/zinc/65/68/68/999656868.db2.gz OZTUYBAXWSOXNP-RYUDHWBXSA-N -1 1 341.408 -0.777 20 0 EBADMM C[C@@H]1CN(C(=O)C[N@H+]2C[C@@H](C(=O)[O-])C3(CC(C(=O)[O-])C3)C2)CCO1 ZINC001594464974 999681628 /nfs/dbraw/zinc/68/16/28/999681628.db2.gz HNBWWLGBFLPJJP-ZWRZFFQRSA-N -1 1 340.376 -0.269 20 0 EBADMM Cn1nc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)cc1Br ZINC001364055445 1132187563 /nfs/dbraw/zinc/18/75/63/1132187563.db2.gz FTZRJHBDGIZUSD-UHFFFAOYSA-N -1 1 349.170 -0.044 20 0 EBADMM Cn1nc(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)cc1Br ZINC001364055445 1132187567 /nfs/dbraw/zinc/18/75/67/1132187567.db2.gz FTZRJHBDGIZUSD-UHFFFAOYSA-N -1 1 349.170 -0.044 20 0 EBADMM C[C@H]1CCc2nc(C(=O)NCCN(C)Cc3nc(=O)n(C)[n-]3)cn2C1 ZINC001480880833 1125801356 /nfs/dbraw/zinc/80/13/56/1125801356.db2.gz XXGRGYYSCHJWPF-NSHDSACASA-N -1 1 347.423 -0.251 20 0 EBADMM C[C@H]1C[C@H]1C(=O)N1CCC(NC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001687268735 1125822548 /nfs/dbraw/zinc/82/25/48/1125822548.db2.gz VPNQGUIFNMYDHE-WCQYABFASA-N -1 1 348.403 -0.310 20 0 EBADMM Cc1nc(CN(C)CCNC(=O)CCn2cc[n-]c(=O)c2=O)oc1C ZINC001480924510 1125874817 /nfs/dbraw/zinc/87/48/17/1125874817.db2.gz SHZYCZNOPIDRFX-UHFFFAOYSA-N -1 1 349.391 -0.220 20 0 EBADMM CC(=O)N[C@H](CC(C)C)C(=O)NCCN(C)Cc1nc(=O)n(C)[n-]1 ZINC001480939046 1125885326 /nfs/dbraw/zinc/88/53/26/1125885326.db2.gz SCJNKIXNVHXNPA-GFCCVEGCSA-N -1 1 340.428 -0.793 20 0 EBADMM Cc1ccc(NC(=O)C(=O)NCCN(C)Cc2nc(=O)n(C)[n-]2)cc1 ZINC001480946492 1125889362 /nfs/dbraw/zinc/88/93/62/1125889362.db2.gz TXARZJRRCRBGTP-UHFFFAOYSA-N -1 1 346.391 -0.396 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H]2CNC(=O)Cc2cccnc2)nc1=O ZINC001481035474 1125910323 /nfs/dbraw/zinc/91/03/23/1125910323.db2.gz JVJNSZUATIRNCE-CYBMUJFWSA-N -1 1 330.392 -0.173 20 0 EBADMM CC[N@@H+](CCNC(=O)c1c[n-]n2c1nccc2=O)CCn1cccn1 ZINC001481003821 1125906279 /nfs/dbraw/zinc/90/62/79/1125906279.db2.gz KFQPXQWNJDHJKC-UHFFFAOYSA-N -1 1 343.391 -0.029 20 0 EBADMM CCN(CCNC(=O)[C@H](NC(C)=O)C(C)C)Cc1nc(=O)n(C)[n-]1 ZINC001481011996 1125907345 /nfs/dbraw/zinc/90/73/45/1125907345.db2.gz CISAZCFBVPWQFH-CYBMUJFWSA-N -1 1 340.428 -0.793 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H]2CNC(=O)C2(F)CCOCC2)nc1=O ZINC001481043300 1125912265 /nfs/dbraw/zinc/91/22/65/1125912265.db2.gz RGDJDBJXESCFLN-NSHDSACASA-N -1 1 341.387 -0.292 20 0 EBADMM Cn1ccnc1CCC(=O)NC[C@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001481050276 1125913695 /nfs/dbraw/zinc/91/36/95/1125913695.db2.gz YAVSTTUGSISXKV-GFCCVEGCSA-N -1 1 347.423 -0.445 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)CCCn3cccn3)C2)nc1=O ZINC001481124509 1125927394 /nfs/dbraw/zinc/92/73/94/1125927394.db2.gz PSCUIEVSLBLOLV-CYBMUJFWSA-N -1 1 347.423 -0.277 20 0 EBADMM Cc1cnn(C)c1C(=O)NCCN(Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001481182941 1125935063 /nfs/dbraw/zinc/93/50/63/1125935063.db2.gz XSVXXMSEZNBOKR-UHFFFAOYSA-N -1 1 333.396 -0.455 20 0 EBADMM CS(=O)(=O)CC(=O)NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001414176188 1125943634 /nfs/dbraw/zinc/94/36/34/1125943634.db2.gz QHQSTYOFNZPHFK-AOOOYVTPSA-N -1 1 341.389 -0.544 20 0 EBADMM COCC1(C(=O)N(C)CCNCc2n[nH]c(=O)[n-]2)CCOCC1 ZINC001481238458 1125949970 /nfs/dbraw/zinc/94/99/70/1125949970.db2.gz VQGNPPOUPAIAAP-UHFFFAOYSA-N -1 1 327.385 -0.499 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1CN(Cc2ccccc2)C1 ZINC001481590153 1126019508 /nfs/dbraw/zinc/01/95/08/1126019508.db2.gz UVEADGWXTUXOAJ-UHFFFAOYSA-N -1 1 328.372 -0.073 20 0 EBADMM NC(=O)NC1(C(=O)NCCN(C(=O)c2ncccc2[O-])C2CC2)CC1 ZINC001408910405 1126020442 /nfs/dbraw/zinc/02/04/42/1126020442.db2.gz VZHMLJSXDBXEHV-UHFFFAOYSA-N -1 1 347.375 -0.291 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)CCCn3ccnc3)C2)nc1=O ZINC001481662089 1126033812 /nfs/dbraw/zinc/03/38/12/1126033812.db2.gz OMVCMDYYBFZSEY-UHFFFAOYSA-N -1 1 333.396 -0.667 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@@]1(C)CCCS1(=O)=O ZINC001482341893 1126134495 /nfs/dbraw/zinc/13/44/95/1126134495.db2.gz DACAWRLOGFLYBJ-TVQRCGJNSA-N -1 1 345.425 -0.586 20 0 EBADMM CCS(=O)(=O)NCCS(=O)(=O)[N-]Cc1nc(C(F)F)no1 ZINC001363998944 1126133493 /nfs/dbraw/zinc/13/34/93/1126133493.db2.gz KRJDDEGUIPETGU-UHFFFAOYSA-N -1 1 348.353 -0.634 20 0 EBADMM CC(C)C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C(=O)NCC(F)F ZINC001482387724 1126143657 /nfs/dbraw/zinc/14/36/57/1126143657.db2.gz QXOVHWBTQWWPMM-MRVPVSSYSA-N -1 1 348.354 -0.488 20 0 EBADMM CC(C)C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CCN(C)C1=O ZINC001482391458 1126143801 /nfs/dbraw/zinc/14/38/01/1126143801.db2.gz OSOLFLIJZSEZPI-WDEREUQCSA-N -1 1 338.412 -0.391 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H](O)C(F)(F)C2)[n-]n1 ZINC001414305390 1126188529 /nfs/dbraw/zinc/18/85/29/1126188529.db2.gz DSKDYDYBBIHGDY-SSDOTTSWSA-N -1 1 325.293 -0.413 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H](O)C(F)(F)C2)n[n-]1 ZINC001414305390 1126188531 /nfs/dbraw/zinc/18/85/31/1126188531.db2.gz DSKDYDYBBIHGDY-SSDOTTSWSA-N -1 1 325.293 -0.413 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(CNC(=O)[C@@H](C)OC)C1 ZINC001409127165 1126209577 /nfs/dbraw/zinc/20/95/77/1126209577.db2.gz YGBBVZJIYILRGG-SSDOTTSWSA-N -1 1 340.336 -0.881 20 0 EBADMM O=C(CC1CCC1)NCC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001409132072 1126209948 /nfs/dbraw/zinc/20/99/48/1126209948.db2.gz NMCAQRRABJDBHO-UHFFFAOYSA-N -1 1 344.375 -0.204 20 0 EBADMM CNC(=O)CCCC(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001409136579 1126211684 /nfs/dbraw/zinc/21/16/84/1126211684.db2.gz RWZDYQSGGRDYOE-UHFFFAOYSA-N -1 1 334.376 -0.108 20 0 EBADMM CS(C)(=O)=NS(=O)(=O)[N-]Cc1nc2c(c(=O)[nH]1)COCC2 ZINC001414327065 1126223702 /nfs/dbraw/zinc/22/37/02/1126223702.db2.gz OXSOQEHCWWKJIO-UHFFFAOYSA-N -1 1 336.395 -0.683 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2[C@@H]3CCO[C@@H]3C2(C)C)c(=O)[nH]c1=O ZINC001414230208 1126227699 /nfs/dbraw/zinc/22/76/99/1126227699.db2.gz XFHPXTQBXQCOAP-SFGNSQDASA-N -1 1 329.378 -0.422 20 0 EBADMM O=C(NC[C@H](O)CNCc1n[nH]c(=O)[n-]1)[C@H]1CCCc2cccnc21 ZINC001482761134 1126279703 /nfs/dbraw/zinc/27/97/03/1126279703.db2.gz HDYOTSQQYROAQT-NEPJUHHUSA-N -1 1 346.391 -0.408 20 0 EBADMM Cc1ccc(C)c(CC(=O)NC[C@@H](O)CNCc2n[nH]c(=O)[n-]2)c1 ZINC001482764271 1126281409 /nfs/dbraw/zinc/28/14/09/1126281409.db2.gz MSTXMGKSDUBHDK-ZDUSSCGKSA-N -1 1 333.392 -0.063 20 0 EBADMM O=C(NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1)c1scnc1C1CC1 ZINC001482775731 1126285709 /nfs/dbraw/zinc/28/57/09/1126285709.db2.gz ATDLDDWCKIKETI-QMMMGPOBSA-N -1 1 338.393 -0.275 20 0 EBADMM CC[C@@H](CNC(=O)[C@H](C)OC)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001409241409 1126309844 /nfs/dbraw/zinc/30/98/44/1126309844.db2.gz NLNGEMPFBOPARD-IUCAKERBSA-N -1 1 336.352 -0.923 20 0 EBADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)CNC(=O)C1CC1 ZINC001409262084 1126320063 /nfs/dbraw/zinc/32/00/63/1126320063.db2.gz ZEQMHONKPJMHSW-NSHDSACASA-N -1 1 334.376 -0.062 20 0 EBADMM Cc1cc(CNCC=CCNC(=O)Cn2c(=O)[n-][nH]c2=O)ncn1 ZINC001483087378 1126330781 /nfs/dbraw/zinc/33/07/81/1126330781.db2.gz LBWQZLJYSDAIMU-IHWYPQMZSA-N -1 1 333.352 -0.750 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1cn(-c2ccccc2)nn1 ZINC001483144014 1126346087 /nfs/dbraw/zinc/34/60/87/1126346087.db2.gz JBIYAZAFCCSMEZ-SNVBAGLBSA-N -1 1 342.363 -0.001 20 0 EBADMM C[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)CNC(=O)C(C)(C)F ZINC001409660078 1126389357 /nfs/dbraw/zinc/38/93/57/1126389357.db2.gz QWJRSPBWWMBYFK-MRVPVSSYSA-N -1 1 338.343 -0.352 20 0 EBADMM C[C@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)c1cc(C2CC2)n(C)n1 ZINC001483345428 1126417760 /nfs/dbraw/zinc/41/77/60/1126417760.db2.gz MDLHQVOHMYEPQW-SNVBAGLBSA-N -1 1 347.423 -0.030 20 0 EBADMM O=C(N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)c1noc2c1COCC2 ZINC001483606851 1126439589 /nfs/dbraw/zinc/43/95/89/1126439589.db2.gz JLJAMIYREXLBNK-JTQLQIEISA-N -1 1 348.363 -0.131 20 0 EBADMM Cn1cnnc1S(=O)(=O)[N-]C[C@@H](n1cncn1)C(F)(F)F ZINC001364925039 1126441591 /nfs/dbraw/zinc/44/15/91/1126441591.db2.gz XTOTUHZWDLHAHJ-ZCFIWIBFSA-N -1 1 325.276 -0.512 20 0 EBADMM Cn1nccc1CCC(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001483653836 1126450725 /nfs/dbraw/zinc/45/07/25/1126450725.db2.gz UAMWPPSMCFMDQO-GFCCVEGCSA-N -1 1 333.396 -0.835 20 0 EBADMM Cc1nnc(CNC2(CNC(=O)CCn3cc[n-]c(=O)c3=O)CC2)o1 ZINC001483777572 1126476145 /nfs/dbraw/zinc/47/61/45/1126476145.db2.gz IRDXLYAIVXXSAH-UHFFFAOYSA-N -1 1 348.363 -0.943 20 0 EBADMM Cc1ncoc1CN1CC(N(C)C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001483883999 1126485636 /nfs/dbraw/zinc/48/56/36/1126485636.db2.gz ZKFRCHIUGDOGFI-UHFFFAOYSA-N -1 1 347.375 -0.434 20 0 EBADMM Cc1cc(C)n(CC(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001484052228 1126501698 /nfs/dbraw/zinc/50/16/98/1126501698.db2.gz HDFWIJVAQXXDEE-MRXNPFEDSA-N -1 1 347.423 -0.297 20 0 EBADMM Cn1cc(C(=O)NC[C@@]2(C)CCCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001484150924 1126509649 /nfs/dbraw/zinc/50/96/49/1126509649.db2.gz ZCCKHVGTXHQFBC-MRXNPFEDSA-N -1 1 347.423 -0.126 20 0 EBADMM COCc1noc(CN2CC(O)(CNC(=O)c3ncccc3[O-])C2)n1 ZINC001484267192 1126547190 /nfs/dbraw/zinc/54/71/90/1126547190.db2.gz DTGIEBOJLVVZPT-UHFFFAOYSA-N -1 1 349.347 -0.707 20 0 EBADMM CC[C@@H](C)CN1CC(O)(CNC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001484298581 1126556668 /nfs/dbraw/zinc/55/66/68/1126556668.db2.gz CMBAIUJNVORIDT-LLVKDONJSA-N -1 1 333.392 -0.155 20 0 EBADMM CCc1ccnc(C(=O)N(CCO)CCNCc2n[nH]c(=O)[n-]2)c1 ZINC001484483842 1126588770 /nfs/dbraw/zinc/58/87/70/1126588770.db2.gz CQLQULAOOORZCP-UHFFFAOYSA-N -1 1 334.380 -0.308 20 0 EBADMM O=C([C@H]1Cc2ccccc21)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001484492082 1126594324 /nfs/dbraw/zinc/59/43/24/1126594324.db2.gz FBCTZQWIRABBDC-ZDUSSCGKSA-N -1 1 331.376 -0.239 20 0 EBADMM COCCCCC(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001484586320 1126605801 /nfs/dbraw/zinc/60/58/01/1126605801.db2.gz LBONHLULRSJDQQ-GFCCVEGCSA-N -1 1 341.412 -0.758 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)CCC2CC2)CO3)nc1=O ZINC001484794248 1126636068 /nfs/dbraw/zinc/63/60/68/1126636068.db2.gz IJAZBQBOXAHUHC-GFCCVEGCSA-N -1 1 335.408 -0.242 20 0 EBADMM Cn1nnc(CN[C@]2(CO)CCCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001484839993 1126638934 /nfs/dbraw/zinc/63/89/34/1126638934.db2.gz YQDPCLSJZGDYJQ-OAHLLOKOSA-N -1 1 347.379 -0.932 20 0 EBADMM CNC(=O)NC(=O)CN1CC[C@@H](NC(=O)c2ncccc2[O-])C[C@H]1C ZINC001484971786 1126659866 /nfs/dbraw/zinc/65/98/66/1126659866.db2.gz HFUWNPFUUSOLCT-GHMZBOCLSA-N -1 1 349.391 -0.175 20 0 EBADMM Cn1[n-]c(CN2CC(CCO)(NC(=O)C3C=CC=CC=C3)C2)nc1=O ZINC001485406341 1126702732 /nfs/dbraw/zinc/70/27/32/1126702732.db2.gz HHKRHSRMDXKMMF-UHFFFAOYSA-N -1 1 345.403 -0.540 20 0 EBADMM Cn1[n-]c(CN2CC(CCO)(NC(=O)CC(C)(F)F)C2)nc1=O ZINC001485416657 1126708966 /nfs/dbraw/zinc/70/89/66/1126708966.db2.gz NAEQMVMPLYQFRX-UHFFFAOYSA-N -1 1 333.339 -0.793 20 0 EBADMM CCN1CCO[C@]2(CCN(C(=O)CCCn3c(=O)[n-][nH]c3=O)C2)C1 ZINC001485555441 1126751419 /nfs/dbraw/zinc/75/14/19/1126751419.db2.gz CEDPVXKPUOAQNG-OAHLLOKOSA-N -1 1 339.396 -0.207 20 0 EBADMM C[C@H](CS(C)(=O)=O)C(=O)N[C@H]1C[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001485707221 1126804273 /nfs/dbraw/zinc/80/42/73/1126804273.db2.gz VHMIUWGJTJBAFX-OPRDCNLKSA-N -1 1 345.425 -0.825 20 0 EBADMM C[C@@H](CN(C)C(=O)Cc1nc(C2CC2)nn1C)NCc1n[nH]c(=O)[n-]1 ZINC001485958532 1126938404 /nfs/dbraw/zinc/93/84/04/1126938404.db2.gz MESQPSZQGIIQLB-VIFPVBQESA-N -1 1 348.411 -0.305 20 0 EBADMM C[C@@H](C(=O)N(C)C[C@H](O)CN(C)Cc1nc(=O)n(C)[n-]1)C1CCC1 ZINC001486340841 1127143285 /nfs/dbraw/zinc/14/32/85/1127143285.db2.gz LMNQELKDNFRCAR-DGCLKSJQSA-N -1 1 339.440 -0.204 20 0 EBADMM COCC(=O)N[C@H](C)C1CN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC001487140867 1127410468 /nfs/dbraw/zinc/41/04/68/1127410468.db2.gz LUJRYYRACXMZLA-SSDOTTSWSA-N -1 1 340.336 -0.881 20 0 EBADMM Cn1ccc(C(=O)NC[C@@H](O)CNC(=O)c2ncccc2[O-])cc1=O ZINC001410101564 1127562117 /nfs/dbraw/zinc/56/21/17/1127562117.db2.gz KUEWYRPZDZQTQB-LLVKDONJSA-N -1 1 346.343 -0.993 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CCCCN1C(N)=O ZINC001410301675 1127674885 /nfs/dbraw/zinc/67/48/85/1127674885.db2.gz HKELKHYLHLHRRB-GHMZBOCLSA-N -1 1 349.391 -0.045 20 0 EBADMM COC(=O)C1(S(=O)(=O)[N-]Cc2nnn(C(C)(C)C)n2)CCC1 ZINC001434581151 1127729467 /nfs/dbraw/zinc/72/94/67/1127729467.db2.gz ABFDXWCFLBWDJS-UHFFFAOYSA-N -1 1 331.398 -0.057 20 0 EBADMM Cn1[n-]c(CN[C@H](CO)CNC(=O)CC2CC(F)(F)C2)nc1=O ZINC001410688823 1127816759 /nfs/dbraw/zinc/81/67/59/1127816759.db2.gz XNGMTAXWIGGNOS-VIFPVBQESA-N -1 1 333.339 -0.890 20 0 EBADMM Cc1ccc(F)c(C(=O)NC[C@H](CO)NCc2nc(=O)n(C)[n-]2)c1 ZINC001410719240 1127837871 /nfs/dbraw/zinc/83/78/71/1127837871.db2.gz GELGACKJIUJXCL-SNVBAGLBSA-N -1 1 337.355 -0.564 20 0 EBADMM Cc1ccc([C@@H](C)C(=O)NC[C@@H](CO)NCc2nc(=O)n(C)[n-]2)o1 ZINC001410729988 1127847540 /nfs/dbraw/zinc/84/75/40/1127847540.db2.gz OCVGBWLOFYWJIX-MNOVXSKESA-N -1 1 337.380 -0.620 20 0 EBADMM Cn1[n-]c(CN[C@H](CO)CNC(=O)c2coc(C(F)F)c2)nc1=O ZINC001410735382 1127851154 /nfs/dbraw/zinc/85/11/54/1127851154.db2.gz VFTHQBHMIWBBAL-QMMMGPOBSA-N -1 1 345.306 -0.481 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1(NC(=O)CSC)CC1 ZINC001410769156 1127874994 /nfs/dbraw/zinc/87/49/94/1127874994.db2.gz YCOINRKNULJIHL-UHFFFAOYSA-N -1 1 342.377 -0.362 20 0 EBADMM Cn1[n-]c(CN[C@H](CO)CNC(=O)Cc2cccc(F)c2)nc1=O ZINC001410774564 1127877431 /nfs/dbraw/zinc/87/74/31/1127877431.db2.gz DIEFBKKTMVQELA-LBPRGKRZSA-N -1 1 337.355 -0.943 20 0 EBADMM Cn1[n-]c(CN[C@H](CO)CNC(=O)c2cccc3c2CCC3)nc1=O ZINC001410809902 1127901768 /nfs/dbraw/zinc/90/17/68/1127901768.db2.gz MRIYQOKVWIYJQF-LBPRGKRZSA-N -1 1 345.403 -0.522 20 0 EBADMM CC1(C)CN(C(=O)CCS(C)(=O)=O)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001410962359 1127952830 /nfs/dbraw/zinc/95/28/30/1127952830.db2.gz AWZVFFQGLNZWQS-SECBINFHSA-N -1 1 345.425 -0.728 20 0 EBADMM Cc1ncc(C(=O)N(C)C[C@@H](O)CNCc2n[nH]c(=O)[n-]2)s1 ZINC001410996000 1127966103 /nfs/dbraw/zinc/96/61/03/1127966103.db2.gz XNLDGMOAFMWTEV-QMMMGPOBSA-N -1 1 326.382 -0.502 20 0 EBADMM Cc1nc(CC(=O)N(C)C[C@@H](O)CNCc2n[nH]c(=O)[n-]2)cs1 ZINC001411145511 1128038900 /nfs/dbraw/zinc/03/89/00/1128038900.db2.gz RNQKOWMWMBPNOK-JTQLQIEISA-N -1 1 340.409 -0.573 20 0 EBADMM O=C(C[C@H]1CCOC1)N1CC[C@@](O)(CNC(=O)c2ncccc2[O-])C1 ZINC001411153528 1128047116 /nfs/dbraw/zinc/04/71/16/1128047116.db2.gz FWVWREFLHGOWDB-SJKOYZFVSA-N -1 1 349.387 -0.093 20 0 EBADMM O=C(NC[C@@]1(O)CCN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1)c1ncccc1[O-] ZINC001411158176 1128054919 /nfs/dbraw/zinc/05/49/19/1128054919.db2.gz LDSHROGBDZCXCM-ZKAWZIJESA-N -1 1 347.371 -0.627 20 0 EBADMM CS[C@@H](C)CC(=O)N(C)C[C@@H](O)CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001411163308 1128061055 /nfs/dbraw/zinc/06/10/55/1128061055.db2.gz PQLNDNOFVNDYKD-QWRGUYRKSA-N -1 1 345.469 -0.499 20 0 EBADMM C[C@H](NC(N)=O)C(=O)N1CCC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001411283469 1128119111 /nfs/dbraw/zinc/11/91/11/1128119111.db2.gz PVXHTMRIXOZILV-WDEREUQCSA-N -1 1 349.391 -0.093 20 0 EBADMM COCCOCCS(=O)(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001671087137 1128151083 /nfs/dbraw/zinc/15/10/83/1128151083.db2.gz YPJBLHKZSWJKFC-UHFFFAOYSA-N -1 1 347.393 -0.663 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4cnco4)C[C@]3(C)C2)nc1=O ZINC001091896334 1128168474 /nfs/dbraw/zinc/16/84/74/1128168474.db2.gz ZAMVXWSKIVNHRX-BMIGLBTASA-N -1 1 332.364 -0.310 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4ccncn4)C[C@]3(C)C2)nc1=O ZINC001092110829 1128182197 /nfs/dbraw/zinc/18/21/97/1128182197.db2.gz QUECYNIOWDAMRS-BZNIZROVSA-N -1 1 343.391 -0.508 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)Cc4ccc[nH]4)C[C@]3(C)C2)nc1=O ZINC001092290883 1128224977 /nfs/dbraw/zinc/22/49/77/1128224977.db2.gz HROHCIRISSHNCM-PXAZEXFGSA-N -1 1 344.419 -0.041 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)[C@H]1CCC(=O)NC1 ZINC001092761090 1128272235 /nfs/dbraw/zinc/27/22/35/1128272235.db2.gz ULDCDSIKHPJRBN-OUAUKWLOSA-N -1 1 336.396 -0.779 20 0 EBADMM C[C@@H](CC(=O)N[C@@H](C)CN(C)C(=O)c1ncccc1[O-])NC(N)=O ZINC001487755538 1128418294 /nfs/dbraw/zinc/41/82/94/1128418294.db2.gz KTKKECLCTARSAE-UWVGGRQHSA-N -1 1 337.380 -0.189 20 0 EBADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)[C@@]1(C)CNC(=O)C1 ZINC001487755270 1128418167 /nfs/dbraw/zinc/41/81/67/1128418167.db2.gz HBVVSLNWKNRESO-QLJPJBMISA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1CC12CC2)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001487922409 1128453446 /nfs/dbraw/zinc/45/34/46/1128453446.db2.gz XYUYKNGNOVLYGP-NXEZZACHSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(CC1OCCCO1)N[C@H](CO)CNC(=O)c1ncccc1[O-] ZINC001487975290 1128471156 /nfs/dbraw/zinc/47/11/56/1128471156.db2.gz COZCVQOMVUJPGD-JTQLQIEISA-N -1 1 339.348 -0.853 20 0 EBADMM CCOCC(=O)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@H]1C ZINC001488115735 1128626259 /nfs/dbraw/zinc/62/62/59/1128626259.db2.gz SHDVNALIKVSEMV-ZJUUUORDSA-N -1 1 348.363 -0.827 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H]1C[C@@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC001488178150 1128642887 /nfs/dbraw/zinc/64/28/87/1128642887.db2.gz INJAORXCDGYKSK-PWSUYJOCSA-N -1 1 334.376 -0.015 20 0 EBADMM Cc1ncncc1C(=O)N(C)C[C@H](O)CNC(=O)c1ncccc1[O-] ZINC001488331495 1128677913 /nfs/dbraw/zinc/67/79/13/1128677913.db2.gz BRWIGVLMQAZQJR-LLVKDONJSA-N -1 1 345.359 -0.251 20 0 EBADMM CC[C@@H](OC)C(=O)NC[C@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001488351859 1128689608 /nfs/dbraw/zinc/68/96/08/1128689608.db2.gz VYLDIFHYYAFIGJ-CMPLNLGQSA-N -1 1 325.365 -0.239 20 0 EBADMM COCCN(CCNC(=O)c1ncccc1[O-])C(=O)c1ncn(C)n1 ZINC001488534711 1128795638 /nfs/dbraw/zinc/79/56/38/1128795638.db2.gz PCIRFXNXFQFREH-UHFFFAOYSA-N -1 1 348.363 -0.566 20 0 EBADMM CNC(=O)NCC(=O)N(C)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001488579693 1128797780 /nfs/dbraw/zinc/79/77/80/1128797780.db2.gz NSHAGIDODNUXDS-UHFFFAOYSA-N -1 1 335.364 -0.565 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)CCNC(N)=O)CN1C(=O)c1ncccc1[O-] ZINC001488680794 1128823604 /nfs/dbraw/zinc/82/36/04/1128823604.db2.gz TYUUIAACQKGJRD-QWRGUYRKSA-N -1 1 349.391 -0.045 20 0 EBADMM CCN(C(=O)[C@@H]1CCNC1=O)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001488914648 1128879056 /nfs/dbraw/zinc/87/90/56/1128879056.db2.gz TXECOWAFRDZJPG-GHMZBOCLSA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@@H](CCCCNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CNC(=O)N1 ZINC001489147872 1128919588 /nfs/dbraw/zinc/91/95/88/1128919588.db2.gz RLHILNYALGJQPE-QWRGUYRKSA-N -1 1 349.391 -0.127 20 0 EBADMM Cn1[n-]c(CN(CCO)[C@H]2CCCN(C(=O)C(F)F)C2)nc1=O ZINC001489152500 1128921005 /nfs/dbraw/zinc/92/10/05/1128921005.db2.gz LNCFIFNEHBXSQS-VIFPVBQESA-N -1 1 333.339 -0.841 20 0 EBADMM CN(C)C(=O)CN(C)CCOCCN(C)C(=O)c1ncccc1[O-] ZINC001489212131 1128933061 /nfs/dbraw/zinc/93/30/61/1128933061.db2.gz NMWZWGJZMLGDDJ-UHFFFAOYSA-N -1 1 338.408 -0.104 20 0 EBADMM CC[C@H](CNC(=O)[C@@H]1CCOC1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001416192697 1131277227 /nfs/dbraw/zinc/27/72/27/1131277227.db2.gz PESADAFLRCZQSI-NXEZZACHSA-N -1 1 348.363 -0.921 20 0 EBADMM C[C@@]1(NC(=O)C(N)=O)CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001358805798 1131292065 /nfs/dbraw/zinc/29/20/65/1131292065.db2.gz WFNRVMAQJUWCKX-OAHLLOKOSA-N -1 1 333.348 -0.734 20 0 EBADMM O=c1[n-]c(CN2C[C@@H]3CS(=O)(=O)C[C@@H]3C2)nc2c1COCC2 ZINC001414033889 1131337357 /nfs/dbraw/zinc/33/73/57/1131337357.db2.gz IAFSSIAKHBKVNN-AOOOYVTPSA-N -1 1 325.390 -0.269 20 0 EBADMM C[C@@H](NC(=O)c1cnn[nH]1)[C@H](C)NC(=O)c1cnn2c1OCCC2 ZINC001411409937 1129289433 /nfs/dbraw/zinc/28/94/33/1129289433.db2.gz AZUOCYWSEGAHGH-DTWKUNHWSA-N -1 1 333.352 -0.280 20 0 EBADMM Cc1cc(=O)n2[n-]c(NC(=O)C3(S(C)(=O)=O)CCC3)nc2n1 ZINC001411416611 1129290903 /nfs/dbraw/zinc/29/09/03/1129290903.db2.gz BRONHAUUCBDKBZ-UHFFFAOYSA-N -1 1 325.350 -0.368 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NC[C@@H]1CCS(=O)(=O)C1 ZINC001411629933 1129337881 /nfs/dbraw/zinc/33/78/81/1129337881.db2.gz KUEFFQDSOARVQJ-VIFPVBQESA-N -1 1 327.362 -0.932 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CSC[C@H]1C(=O)N(C)C ZINC001411732541 1129358433 /nfs/dbraw/zinc/35/84/33/1129358433.db2.gz ZGXRLUFHUPPEPG-LURJTMIESA-N -1 1 328.350 -0.500 20 0 EBADMM O=C(Cc1ccon1)N1CC(CCO)(NC(=O)c2ncccc2[O-])C1 ZINC001411755475 1129369031 /nfs/dbraw/zinc/36/90/31/1129369031.db2.gz CXUUYSWOMFYNLU-UHFFFAOYSA-N -1 1 346.343 -0.289 20 0 EBADMM O=C(NC1(CCO)CN(C(=O)c2ncccc2[O-])C1)C1=CCOCC1 ZINC001411761460 1129371742 /nfs/dbraw/zinc/37/17/42/1129371742.db2.gz QKIVINDMYMTEAR-UHFFFAOYSA-N -1 1 347.371 -0.173 20 0 EBADMM CSc1ncc(C(=O)NCC(C)(C)NS(C)(=O)=O)c(=O)[n-]1 ZINC001411766861 1129374906 /nfs/dbraw/zinc/37/49/06/1129374906.db2.gz MMZJZMSTLVXGTR-UHFFFAOYSA-N -1 1 334.423 -0.038 20 0 EBADMM CCN(C1CCN(C(=O)CCc2nn[n-]n2)CC1)S(C)(=O)=O ZINC001411781578 1129382871 /nfs/dbraw/zinc/38/28/71/1129382871.db2.gz RFVSQYVLXQKMAH-UHFFFAOYSA-N -1 1 330.414 -0.595 20 0 EBADMM COC(=O)[C@@H]1CCN(C(=O)CCCc2nn[n-]n2)[C@@H](C(=O)OC)C1 ZINC001411949932 1129410462 /nfs/dbraw/zinc/41/04/62/1129410462.db2.gz NKWVEKRLJKLIEI-NXEZZACHSA-N -1 1 339.352 -0.524 20 0 EBADMM COC(=O)[C@@H]1C[C@@H](OC)CCN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001411950734 1129411271 /nfs/dbraw/zinc/41/12/71/1129411271.db2.gz MPYRJNKVOWYEAF-YUMQZZPRSA-N -1 1 341.320 -0.311 20 0 EBADMM NC(=O)c1ccc(CC(=O)N2CCC(O)(c3nn[n-]n3)CC2)cc1 ZINC001412058642 1129437393 /nfs/dbraw/zinc/43/73/93/1129437393.db2.gz TVBQYJGCMHCSMX-UHFFFAOYSA-N -1 1 330.348 -0.649 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@@H](CS(C)(=O)=O)C1 ZINC001412196404 1129473232 /nfs/dbraw/zinc/47/32/32/1129473232.db2.gz XLLKMPDQQLFZDF-MRVPVSSYSA-N -1 1 345.377 -0.207 20 0 EBADMM Cc1ccc(C(=O)NCC(=O)N2CCOC[C@H]2c2nn[n-]n2)s1 ZINC001412430355 1129527331 /nfs/dbraw/zinc/52/73/31/1129527331.db2.gz IXHQQGMLXJAPRW-VIFPVBQESA-N -1 1 336.377 -0.100 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)C1=CCS(=O)(=O)C1 ZINC001412485707 1129538967 /nfs/dbraw/zinc/53/89/67/1129538967.db2.gz JWGLQLREYGOSBP-UHFFFAOYSA-N -1 1 325.346 -0.774 20 0 EBADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)[C@H]1CC(=O)N(C)C1 ZINC001412489502 1129540346 /nfs/dbraw/zinc/54/03/46/1129540346.db2.gz JEPGXXVVPFJAFG-MNOVXSKESA-N -1 1 334.376 -0.158 20 0 EBADMM O=C(CCn1cnccc1=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001412491729 1129541057 /nfs/dbraw/zinc/54/10/57/1129541057.db2.gz UPRYPYWHGXMPAI-UHFFFAOYSA-N -1 1 331.332 -0.482 20 0 EBADMM CC[C@@H](C(=O)N(C)C[C@@H](C)NC(=O)c1cnn[nH]1)N1CCCC1=O ZINC001412527980 1129551513 /nfs/dbraw/zinc/55/15/13/1129551513.db2.gz BKKILBMCQNPAGV-PWSUYJOCSA-N -1 1 336.396 -0.218 20 0 EBADMM O=C(c1cn(C[C@H]2CCOC2)nn1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001412607789 1129575092 /nfs/dbraw/zinc/57/50/92/1129575092.db2.gz ISXLIYMZZRPAPS-VXGBXAGGSA-N -1 1 346.395 -0.077 20 0 EBADMM O=C(C[C@H]1C=CS(=O)(=O)C1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001412614846 1129577523 /nfs/dbraw/zinc/57/75/23/1129577523.db2.gz TWBPOZHLWIPNOO-WDEREUQCSA-N -1 1 325.394 -0.071 20 0 EBADMM COC(=O)C1([C@@]2(O)CCCN(C(=O)CCc3nn[n-]n3)C2)CCC1 ZINC001412710566 1129598140 /nfs/dbraw/zinc/59/81/40/1129598140.db2.gz XEQMEAGTUWRQQY-OAHLLOKOSA-N -1 1 337.380 -0.171 20 0 EBADMM CCn1ccc(C(=O)N[C@H](CO)CNC(=O)c2ncccc2[O-])n1 ZINC001412802675 1129659924 /nfs/dbraw/zinc/65/99/24/1129659924.db2.gz CFCPJDQQYFXULJ-JTQLQIEISA-N -1 1 333.348 -0.476 20 0 EBADMM COC1(CC(=O)N[C@H](CO)CNC(=O)c2ncccc2[O-])CCC1 ZINC001412815042 1129666650 /nfs/dbraw/zinc/66/66/50/1129666650.db2.gz SGBZGKADLJOYSI-NSHDSACASA-N -1 1 337.376 -0.047 20 0 EBADMM CCOCCO[C@H](C)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001490856543 1129686557 /nfs/dbraw/zinc/68/65/57/1129686557.db2.gz AVOMXXBNGPTAKT-MNOVXSKESA-N -1 1 327.385 -0.358 20 0 EBADMM O=C(CCc1ncccn1)NC[C@H](CO)NC(=O)c1ncccc1[O-] ZINC001412957809 1129816393 /nfs/dbraw/zinc/81/63/93/1129816393.db2.gz QGKAVTRHVJVYAC-LLVKDONJSA-N -1 1 345.359 -0.583 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC(NC(=O)N(C)C)CC1 ZINC001412985933 1129837165 /nfs/dbraw/zinc/83/71/65/1129837165.db2.gz DDUMNTZIJHVBIQ-UHFFFAOYSA-N -1 1 339.352 -0.228 20 0 EBADMM CN(C)C(=O)Cn1cc(C(=O)N=c2ccnc3n(C)[n-]cc2-3)nn1 ZINC001361423251 1131405818 /nfs/dbraw/zinc/40/58/18/1131405818.db2.gz CORUBKZUCVPYQE-UHFFFAOYSA-N -1 1 328.336 -0.726 20 0 EBADMM O=C(CCCc1nn[n-]n1)NCCNS(=O)(=O)c1ccccc1 ZINC001626150675 1129903184 /nfs/dbraw/zinc/90/31/84/1129903184.db2.gz RJONYQFGINWOJL-UHFFFAOYSA-N -1 1 338.393 -0.383 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCCS(=O)(=O)CC2)[n-]n1 ZINC001413306161 1130002293 /nfs/dbraw/zinc/00/22/93/1130002293.db2.gz PUVQVQWCELJHJW-UHFFFAOYSA-N -1 1 337.379 -0.995 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCCS(=O)(=O)CC2)n[n-]1 ZINC001413306161 1130002297 /nfs/dbraw/zinc/00/22/97/1130002297.db2.gz PUVQVQWCELJHJW-UHFFFAOYSA-N -1 1 337.379 -0.995 20 0 EBADMM CCNC(=O)C(C)(C)CNS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001413322945 1130013471 /nfs/dbraw/zinc/01/34/71/1130013471.db2.gz UWXIFSDRGAHIRH-UHFFFAOYSA-N -1 1 332.382 -0.363 20 0 EBADMM CCNC(=O)C(C)(C)CNS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001413322945 1130013478 /nfs/dbraw/zinc/01/34/78/1130013478.db2.gz UWXIFSDRGAHIRH-UHFFFAOYSA-N -1 1 332.382 -0.363 20 0 EBADMM C[C@@H](C[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)N1CCCCC1=O ZINC001413354943 1130042050 /nfs/dbraw/zinc/04/20/50/1130042050.db2.gz XVZYTFIWKLYUTG-VIFPVBQESA-N -1 1 344.393 -0.835 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H](OC(=O)N(C)C)C2)[n-]n1 ZINC001413356992 1130044355 /nfs/dbraw/zinc/04/43/55/1130044355.db2.gz PBKGVBCJVIGGIX-QMMMGPOBSA-N -1 1 346.365 -0.343 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H](OC(=O)N(C)C)C2)n[n-]1 ZINC001413356992 1130044359 /nfs/dbraw/zinc/04/43/59/1130044359.db2.gz PBKGVBCJVIGGIX-QMMMGPOBSA-N -1 1 346.365 -0.343 20 0 EBADMM CC[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)C1CCOCC1 ZINC001413373489 1130059348 /nfs/dbraw/zinc/05/93/48/1130059348.db2.gz JXEZEZNSJYAJKX-JTQLQIEISA-N -1 1 331.394 -0.031 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC([C@@H](F)C(N)=O)CC1 ZINC001413376379 1130060103 /nfs/dbraw/zinc/06/01/03/1130060103.db2.gz YLQPJUWOFMKVTB-SSDOTTSWSA-N -1 1 328.300 -0.428 20 0 EBADMM CN(C[C@@H](O)CN(C)C(=O)c1ncccc1[O-])C(=O)Cc1ncc[nH]1 ZINC001413380513 1130062310 /nfs/dbraw/zinc/06/23/10/1130062310.db2.gz SYVXWKFYAYONLE-LLVKDONJSA-N -1 1 347.375 -0.356 20 0 EBADMM CS(=O)(=O)c1ccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)o1 ZINC001413386471 1130065658 /nfs/dbraw/zinc/06/56/58/1130065658.db2.gz LKXPGIVCTCHMIM-SSDOTTSWSA-N -1 1 327.322 -0.590 20 0 EBADMM COC(=O)COc1cccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)c1 ZINC001413387724 1130067964 /nfs/dbraw/zinc/06/79/64/1130067964.db2.gz OSXMDSKYFHTWGY-LBPRGKRZSA-N -1 1 347.331 -0.035 20 0 EBADMM Cc1cccc(=O)n1C[C@@](C)(O)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001413428602 1130084531 /nfs/dbraw/zinc/08/45/31/1130084531.db2.gz UAUVSAFJKAMVLT-MRXNPFEDSA-N -1 1 346.391 -0.173 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@](C)(Cn2cccn2)C(N)=O)nc1Cl ZINC001413472116 1130100479 /nfs/dbraw/zinc/10/04/79/1130100479.db2.gz WSMZKGTXUUPGQV-LLVKDONJSA-N -1 1 346.800 -0.508 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C2([C@@H]3CCCO3)CCC2)c(=O)[nH]c1=O ZINC001413481282 1130103189 /nfs/dbraw/zinc/10/31/89/1130103189.db2.gz JIKNOOCFQHGSHU-JTQLQIEISA-N -1 1 329.378 -0.134 20 0 EBADMM COc1nscc1S(=O)(=O)[N-]Cc1cn(C)c(=O)[nH]c1=O ZINC001413497020 1130110168 /nfs/dbraw/zinc/11/01/68/1130110168.db2.gz ZZNHBBHNTRBSGU-UHFFFAOYSA-N -1 1 332.363 -0.571 20 0 EBADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@H]1CCCN1S(C)(=O)=O ZINC001413506864 1130115195 /nfs/dbraw/zinc/11/51/95/1130115195.db2.gz WDCPWFWJBGMDLJ-SNVBAGLBSA-N -1 1 330.414 -0.392 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC[C@H]2CC(=O)N(C)C2)co1 ZINC001413584761 1130162572 /nfs/dbraw/zinc/16/25/72/1130162572.db2.gz RRXBIKUMMFELSV-VIFPVBQESA-N -1 1 329.378 -0.214 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)CNC(N)=O ZINC001413612543 1130189838 /nfs/dbraw/zinc/18/98/38/1130189838.db2.gz GOXKNSHCGAWUKU-NXEZZACHSA-N -1 1 335.364 -0.435 20 0 EBADMM CCO[C@H](C)C(=O)NC[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001491094184 1130321243 /nfs/dbraw/zinc/32/12/43/1130321243.db2.gz SLDLETNVPUQLMZ-VXGBXAGGSA-N -1 1 325.413 -0.138 20 0 EBADMM CC(C)CS(=O)(=O)CC(=O)NCCNC(=O)c1ncccc1[O-] ZINC001491248119 1130374239 /nfs/dbraw/zinc/37/42/39/1130374239.db2.gz NVVHLPUCHNSBRY-UHFFFAOYSA-N -1 1 343.405 -0.296 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])NCc1nnc2n1CCOC2 ZINC001491436592 1130441998 /nfs/dbraw/zinc/44/19/98/1130441998.db2.gz BKBQOWGMDIHBLQ-JTQLQIEISA-N -1 1 332.364 -0.183 20 0 EBADMM CC(C)CN1C[C@H](C(=O)NC[C@H](C)NCc2n[nH]c(=O)[n-]2)CC1=O ZINC001491475672 1130461768 /nfs/dbraw/zinc/46/17/68/1130461768.db2.gz ZYDOXFAZRRDQCV-WDEREUQCSA-N -1 1 338.412 -0.391 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2nn(C)cc2C(=O)OC)o1 ZINC001413822682 1130511201 /nfs/dbraw/zinc/51/12/01/1130511201.db2.gz CPMZRCSTDVLMHC-UHFFFAOYSA-N -1 1 342.333 -0.040 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2CCCN2C(=O)C2CC2)n[n-]1 ZINC001413845103 1130683702 /nfs/dbraw/zinc/68/37/02/1130683702.db2.gz DQRRDRZGLKGXLG-JTQLQIEISA-N -1 1 335.364 -0.001 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2CCCN2C(=O)C2CC2)n1 ZINC001413845103 1130683706 /nfs/dbraw/zinc/68/37/06/1130683706.db2.gz DQRRDRZGLKGXLG-JTQLQIEISA-N -1 1 335.364 -0.001 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCNC(=O)C1CC(F)(F)C1 ZINC001348743977 1130746336 /nfs/dbraw/zinc/74/63/36/1130746336.db2.gz LAVSKVHHFHCMGN-UHFFFAOYSA-N -1 1 346.290 -0.212 20 0 EBADMM C[C@H](O)CN(C(=O)NCc1nc2c(c(=O)[n-]1)COCC2)[C@@H](C)CO ZINC001413919927 1130754021 /nfs/dbraw/zinc/75/40/21/1130754021.db2.gz OCTBIGUMMLAHID-UWVGGRQHSA-N -1 1 340.380 -0.472 20 0 EBADMM Cc1cc(CCC(=O)NC[C@H](O)CNC(=O)c2ncccc2[O-])no1 ZINC001416756303 1130792539 /nfs/dbraw/zinc/79/25/39/1130792539.db2.gz YBHKUXGYCCDRBY-LBPRGKRZSA-N -1 1 348.359 -0.077 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)NC[C@@]1(O)CCSC1 ZINC001413923406 1130830609 /nfs/dbraw/zinc/83/06/09/1130830609.db2.gz VOKWTUWGQUYDGW-AWEZNQCLSA-N -1 1 340.405 -0.078 20 0 EBADMM CC[C@H](CNC(=O)c1cnc(C2CC2)[n-]c1=O)NC(=O)CC(=O)NC ZINC001356888540 1130983064 /nfs/dbraw/zinc/98/30/64/1130983064.db2.gz IUMNOJCYXPPFHG-SNVBAGLBSA-N -1 1 349.391 -0.180 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)CNC(=O)CC1CC1 ZINC001357003062 1131021274 /nfs/dbraw/zinc/02/12/74/1131021274.db2.gz DPGHGZYFJSYOFS-NSHDSACASA-N -1 1 336.392 -0.405 20 0 EBADMM C[C@@H](C(=O)NC/C=C\CNC(=O)c1cc(=O)n2[n-]cnc2n1)C1CC1 ZINC001357701056 1131118004 /nfs/dbraw/zinc/11/80/04/1131118004.db2.gz NSLCZBHEPDANRC-MXQLGCADSA-N -1 1 344.375 -0.134 20 0 EBADMM O=C(NC/C=C/CNC(=O)[C@H]1CCNC(=O)C1)c1ncccc1[O-] ZINC001357721123 1131119420 /nfs/dbraw/zinc/11/94/20/1131119420.db2.gz LLWOJYISRDUXSE-GXFZAYBSSA-N -1 1 332.360 -0.284 20 0 EBADMM O=C(C[C@@H]1CCNC1=O)NC/C=C\CNC(=O)c1ncccc1[O-] ZINC001357749889 1131122590 /nfs/dbraw/zinc/12/25/90/1131122590.db2.gz AGAPGNVGTVARPE-BVBSBALXSA-N -1 1 332.360 -0.284 20 0 EBADMM Cc1cocc1C(=O)NC[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001358526885 1131203642 /nfs/dbraw/zinc/20/36/42/1131203642.db2.gz VOCQOGNDUWFGRV-NSHDSACASA-N -1 1 348.359 -0.237 20 0 EBADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCS(=O)(=O)C3)nc2n1 ZINC001361916371 1131478618 /nfs/dbraw/zinc/47/86/18/1131478618.db2.gz GZGSEFWUBIXNJD-MRVPVSSYSA-N -1 1 339.377 -0.257 20 0 EBADMM CCO[C@@H]1COCC[C@H]1CC(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001361923261 1131480449 /nfs/dbraw/zinc/48/04/49/1131480449.db2.gz JKHLCAFFXGSGRL-SDDRHHMPSA-N -1 1 325.369 -0.069 20 0 EBADMM O=C(CCCn1c(=O)[n-][nH]c1=O)N1CC[C@H](CN2CCOCC2)C1 ZINC001361968343 1131490016 /nfs/dbraw/zinc/49/00/16/1131490016.db2.gz HTTSAIFBAOXOSN-GFCCVEGCSA-N -1 1 339.396 -0.350 20 0 EBADMM C[C@@H](NC(=O)c1cccc(-n2[n-]nnc2=N)c1)[C@H]1CN(C)CCN1C ZINC001361981899 1131491840 /nfs/dbraw/zinc/49/18/40/1131491840.db2.gz RCTYUWMHYKILOE-BXUZGUMPSA-N -1 1 344.423 -0.561 20 0 EBADMM O=C(NCc1nn[n-]n1)[C@@H](O)[C@](O)(c1ccccc1)C(F)(F)F ZINC001362038392 1131501885 /nfs/dbraw/zinc/50/18/85/1131501885.db2.gz IUMJSKGAMGYOQS-MWLCHTKSSA-N -1 1 331.254 -0.373 20 0 EBADMM CN1CCN(c2ccc(CNC(=O)Cn3c(=O)[n-][nH]c3=O)cn2)CC1 ZINC001362046649 1131503934 /nfs/dbraw/zinc/50/39/34/1131503934.db2.gz ZWVAPUQQWSEPMZ-UHFFFAOYSA-N -1 1 347.379 -0.848 20 0 EBADMM CN(Cc1nnc2n1CCC2)C(=O)CNC(=O)c1ncccc1[O-] ZINC001362052884 1131505047 /nfs/dbraw/zinc/50/50/47/1131505047.db2.gz MUKCIKVPODERHH-UHFFFAOYSA-N -1 1 330.348 -0.287 20 0 EBADMM CS(=O)(=O)c1ccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)cn1 ZINC001362059622 1131506765 /nfs/dbraw/zinc/50/67/65/1131506765.db2.gz HVUXCUDGXAIWEE-VIFPVBQESA-N -1 1 338.349 -0.788 20 0 EBADMM COC(=O)[C@]1(F)CCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC001362081272 1131511742 /nfs/dbraw/zinc/51/17/42/1131511742.db2.gz IXWAXGNTUPACHN-AWEZNQCLSA-N -1 1 325.296 -0.369 20 0 EBADMM CS(=O)(=O)N[C@@H]1CCC[C@H]1CNC(=O)CCCc1nn[n-]n1 ZINC001362193708 1131533753 /nfs/dbraw/zinc/53/37/53/1131533753.db2.gz SRTCJMPXMUBIAI-VHSXEESVSA-N -1 1 330.414 -0.644 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1(CS(C)(=O)=O)CC1 ZINC001362209266 1131536582 /nfs/dbraw/zinc/53/65/82/1131536582.db2.gz NMQSWKASEDECHN-UHFFFAOYSA-N -1 1 331.350 -0.549 20 0 EBADMM C[C@H](C(=O)NC1CCCC1)N1CCN(C(=O)CCc2nn[n-]n2)CC1 ZINC001362254327 1131546115 /nfs/dbraw/zinc/54/61/15/1131546115.db2.gz PVAMYTDFMXPODD-GFCCVEGCSA-N -1 1 349.439 -0.276 20 0 EBADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@@H](O)CN1CCCC1=O ZINC001362260429 1131546983 /nfs/dbraw/zinc/54/69/83/1131546983.db2.gz KNUJHPAATFPXHP-SECBINFHSA-N -1 1 340.405 -0.074 20 0 EBADMM CS(=O)(=O)Cc1nc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)co1 ZINC001362265186 1131548193 /nfs/dbraw/zinc/54/81/93/1131548193.db2.gz ATJUJWYIFKEYJJ-MRVPVSSYSA-N -1 1 340.365 -0.248 20 0 EBADMM CO[C@]1(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)CCS(=O)(=O)C1 ZINC001362314916 1131557965 /nfs/dbraw/zinc/55/79/65/1131557965.db2.gz UPXFIPXRXVCYDO-BXKDBHETSA-N -1 1 329.382 -0.891 20 0 EBADMM CSc1ncc(C(=O)NC2CC(NS(C)(=O)=O)C2)c(=O)[n-]1 ZINC001362412525 1131578099 /nfs/dbraw/zinc/57/80/99/1131578099.db2.gz XIRCSLKDVFNPNS-UHFFFAOYSA-N -1 1 332.407 -0.286 20 0 EBADMM CCC(CC)(NC(=O)c1ccc(=O)n(CCOC)n1)c1nn[n-]n1 ZINC001362426331 1131580750 /nfs/dbraw/zinc/58/07/50/1131580750.db2.gz QCEXVCLEZUVKGZ-UHFFFAOYSA-N -1 1 335.368 -0.152 20 0 EBADMM O=C(CN1CCCCS1(=O)=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC001362432415 1131581877 /nfs/dbraw/zinc/58/18/77/1131581877.db2.gz CDUUSWZTNIOFBX-SNVBAGLBSA-N -1 1 344.393 -0.093 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@@](CO)(COC)C2)co1 ZINC001362473017 1131590560 /nfs/dbraw/zinc/59/05/60/1131590560.db2.gz YQCGTCZXRCUDFG-CYBMUJFWSA-N -1 1 332.378 -0.341 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC001362482004 1131592401 /nfs/dbraw/zinc/59/24/01/1131592401.db2.gz MZQRQAIXKZHYRJ-SSDOTTSWSA-N -1 1 346.365 -0.998 20 0 EBADMM O=C(N1CCC(O)(c2nn[n-]n2)CC1)C(F)(F)C1(O)CCCC1 ZINC001362558202 1131610193 /nfs/dbraw/zinc/61/01/93/1131610193.db2.gz BTGNTGHQQYDVJB-UHFFFAOYSA-N -1 1 331.323 -0.050 20 0 EBADMM CCOC1(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)CCOCC1 ZINC001362562767 1131611388 /nfs/dbraw/zinc/61/13/88/1131611388.db2.gz QXQANPBUDGNMHG-UHFFFAOYSA-N -1 1 325.369 -0.405 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCCC[C@@H](S(C)(=O)=O)C1 ZINC001362592531 1131619078 /nfs/dbraw/zinc/61/90/78/1131619078.db2.gz RDLXIPUAUKKBRU-MRVPVSSYSA-N -1 1 345.377 -0.064 20 0 EBADMM CCOC(=O)[C@@H]1CSCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC001362617933 1131625644 /nfs/dbraw/zinc/62/56/44/1131625644.db2.gz AKZFRTNGWLKWIN-VIFPVBQESA-N -1 1 339.373 -0.018 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@H]3COC(=O)N[C@@H]3C2)co1 ZINC001362639615 1131630641 /nfs/dbraw/zinc/63/06/41/1131630641.db2.gz BJJJDEHQLWRBPN-WCBMZHEXSA-N -1 1 343.361 -0.242 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H]2CCN(C(N)=O)C2)o1 ZINC001362641699 1131630650 /nfs/dbraw/zinc/63/06/50/1131630650.db2.gz WLZFTVCQZUUCRK-MRVPVSSYSA-N -1 1 330.366 -0.682 20 0 EBADMM C[C@H](Oc1cccc(CO)c1)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362732094 1131657264 /nfs/dbraw/zinc/65/72/64/1131657264.db2.gz QPHQLTYAMZMFTF-NSHDSACASA-N -1 1 347.375 -0.031 20 0 EBADMM NC(=O)c1cnc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)c(Cl)c1 ZINC001362758758 1131665092 /nfs/dbraw/zinc/66/50/92/1131665092.db2.gz IKZQQBZWHZTWMW-QMMMGPOBSA-N -1 1 337.727 -0.439 20 0 EBADMM COC[C@@H](NC(=O)[C@H]1CCC(=O)N(c2cnn(C)c2)C1)c1nn[n-]n1 ZINC001362903455 1131708527 /nfs/dbraw/zinc/70/85/27/1131708527.db2.gz UWMZSTMLHDYLNP-GXSJLCMTSA-N -1 1 348.367 -0.820 20 0 EBADMM COC[C@H](NC(=O)C[C@H]1Oc2ccccc2NC1=O)c1nn[n-]n1 ZINC001362904430 1131709345 /nfs/dbraw/zinc/70/93/45/1131709345.db2.gz CRNCKVPABCDSKC-GXSJLCMTSA-N -1 1 332.320 -0.207 20 0 EBADMM COC(=O)[C@@H]1C[C@H](O)CN(C(=O)c2cnc(SC)[n-]c2=O)C1 ZINC001362912178 1131711639 /nfs/dbraw/zinc/71/16/39/1131711639.db2.gz MPMWBIXAXNQFNW-SFYZADRCSA-N -1 1 327.362 -0.100 20 0 EBADMM CC(F)(F)CCNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC001362945706 1131719762 /nfs/dbraw/zinc/71/97/62/1131719762.db2.gz VHEXCMYNWABVIE-UHFFFAOYSA-N -1 1 339.320 -0.182 20 0 EBADMM CCN(CC)S(=O)(=O)CC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC001362975647 1131730968 /nfs/dbraw/zinc/73/09/68/1131730968.db2.gz WLPGRYDRLCEWSY-SECBINFHSA-N -1 1 348.454 -0.512 20 0 EBADMM COc1cnc(C2(NC(=O)Cn3ncn(C)c3=O)CCCC2)[n-]c1=O ZINC001363004031 1131741572 /nfs/dbraw/zinc/74/15/72/1131741572.db2.gz RBUCLKLMVSIRDS-UHFFFAOYSA-N -1 1 348.363 -0.328 20 0 EBADMM O=C(N[C@H](CO)C(F)(F)F)c1ccc(-n2[n-]c(=O)[nH]c2=O)cc1 ZINC001363029156 1131754023 /nfs/dbraw/zinc/75/40/23/1131754023.db2.gz NNXVDIHPEMVUEE-MRVPVSSYSA-N -1 1 332.238 -0.081 20 0 EBADMM CN(C)CCS(=O)(=O)c1ccccc1C(=O)N(C)c1nn[n-]n1 ZINC001363047658 1131761937 /nfs/dbraw/zinc/76/19/37/1131761937.db2.gz IEKFQRLJYYJGAI-UHFFFAOYSA-N -1 1 338.393 -0.188 20 0 EBADMM CNC(=O)NC1CCN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)CC1 ZINC001363051255 1131764423 /nfs/dbraw/zinc/76/44/23/1131764423.db2.gz VDYQZZDAFARQTM-UHFFFAOYSA-N -1 1 325.325 -0.570 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC([C@@H](F)C(N)=O)CC2)co1 ZINC001363096038 1131783132 /nfs/dbraw/zinc/78/31/32/1131783132.db2.gz RUINSQJWWBSKGM-LLVKDONJSA-N -1 1 347.368 -0.137 20 0 EBADMM COC(=O)[C@@H]1CO[C@H](CCC(=O)N2CCOC[C@H]2c2nn[n-]n2)C1 ZINC001363116789 1131791192 /nfs/dbraw/zinc/79/11/92/1131791192.db2.gz PLBXAPYCGMDRBD-AXFHLTTASA-N -1 1 339.352 -0.542 20 0 EBADMM C[C@@H](C(=O)N(C)CC(=O)N1CCOC[C@@H]1c1nn[n-]n1)n1cccn1 ZINC001363204073 1131829719 /nfs/dbraw/zinc/82/97/19/1131829719.db2.gz NCZSRJJMVXPASD-WDEREUQCSA-N -1 1 348.367 -0.984 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)[C@H]1CCc2nnnn2CC1 ZINC001363206356 1131830917 /nfs/dbraw/zinc/83/09/17/1131830917.db2.gz HDRNKWYVCCNVGR-VIFPVBQESA-N -1 1 345.363 -0.490 20 0 EBADMM O=C(CNS(=O)(=O)c1ccccc1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001363216051 1131835547 /nfs/dbraw/zinc/83/55/47/1131835547.db2.gz GILHCTBHXOCDBP-JTQLQIEISA-N -1 1 336.377 -0.506 20 0 EBADMM O=C(CCc1nn[n-]n1)N1CCC(N2CCCS2(=O)=O)CC1 ZINC001363310510 1131890210 /nfs/dbraw/zinc/89/02/10/1131890210.db2.gz HEBXGEMOVOYRDS-UHFFFAOYSA-N -1 1 328.398 -0.841 20 0 EBADMM CS(=O)(=O)N1CCC(CC(=O)N2CC[C@H](c3nn[n-]n3)C2)CC1 ZINC001363313993 1131892446 /nfs/dbraw/zinc/89/24/46/1131892446.db2.gz CBTPPBNVIFBEGP-NSHDSACASA-N -1 1 342.425 -0.423 20 0 EBADMM CC(C)(C)OC(=O)NC[C@@H](O)CC(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001363315122 1131893584 /nfs/dbraw/zinc/89/35/84/1131893584.db2.gz HMHFUCNAEVHIMM-ZJUUUORDSA-N -1 1 340.384 -0.209 20 0 EBADMM CC(C)(C)OC(=O)NC[C@H](O)CC(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001363315110 1131893652 /nfs/dbraw/zinc/89/36/52/1131893652.db2.gz HMHFUCNAEVHIMM-NXEZZACHSA-N -1 1 340.384 -0.209 20 0 EBADMM CN(C(=O)Cc1ccc(S(=O)(=O)CCO)cc1)c1nn[n-]n1 ZINC001363331496 1131903039 /nfs/dbraw/zinc/90/30/39/1131903039.db2.gz YVHWDSJDAOFNPG-UHFFFAOYSA-N -1 1 325.350 -0.829 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CCc3nnnn3CC2)co1 ZINC001363390469 1131927627 /nfs/dbraw/zinc/92/76/27/1131927627.db2.gz CJSRHVWWWJDQHT-SECBINFHSA-N -1 1 340.365 -0.691 20 0 EBADMM COC[C@H](NC(=O)CNC(=O)c1cc(F)cc(F)c1)c1nn[n-]n1 ZINC001363410686 1131938589 /nfs/dbraw/zinc/93/85/89/1131938589.db2.gz FJKQJOINMKIUOW-JTQLQIEISA-N -1 1 340.290 -0.288 20 0 EBADMM C[C@@H](NC(=O)c1ccccc1)C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001363410585 1131938611 /nfs/dbraw/zinc/93/86/11/1131938611.db2.gz LWBVKTVAUHZFRM-ZYHUDNBSSA-N -1 1 330.348 -0.082 20 0 EBADMM CCS(=O)(=O)N[C@@H](C)C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001363435029 1131948405 /nfs/dbraw/zinc/94/84/05/1131948405.db2.gz OLVRWDBAIXBTBT-VHSXEESVSA-N -1 1 330.414 -0.691 20 0 EBADMM CCOC(=O)N1CCC[C@@H](C(=O)N[C@@H](COC)c2nn[n-]n2)C1 ZINC001363437206 1131949560 /nfs/dbraw/zinc/94/95/60/1131949560.db2.gz PQHMAEIHWKCRSR-ZJUUUORDSA-N -1 1 326.357 -0.128 20 0 EBADMM CC[C@H](C(=O)N[C@@H](COC)c1nn[n-]n1)N1CCO[C@H](CC)C1 ZINC001363436690 1131949683 /nfs/dbraw/zinc/94/96/83/1131949683.db2.gz PFWXZJBKXLXQPM-GRYCIOLGSA-N -1 1 326.401 -0.107 20 0 EBADMM CSc1nc(CNC(=O)C(C)(C)NS(C)(=O)=O)cc(=O)[n-]1 ZINC001363458573 1131957949 /nfs/dbraw/zinc/95/79/49/1131957949.db2.gz QUZPQINHHSIBOI-UHFFFAOYSA-N -1 1 334.423 -0.152 20 0 EBADMM CS(=O)(=O)N1CC[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001363470084 1131962926 /nfs/dbraw/zinc/96/29/26/1131962926.db2.gz RUFKRBMBYWJFTC-VIFPVBQESA-N -1 1 326.378 -0.177 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H](CN2CCCC2=O)C1 ZINC001363490023 1131970968 /nfs/dbraw/zinc/97/09/68/1131970968.db2.gz CMXBLSPFUTWKHO-VIFPVBQESA-N -1 1 336.348 -0.019 20 0 EBADMM O=C(COCC(=O)N1CC[C@@H](c2nn[n-]n2)C1)NCc1cccnc1 ZINC001363523675 1131992100 /nfs/dbraw/zinc/99/21/00/1131992100.db2.gz KLTGFJLXFMAFNM-GFCCVEGCSA-N -1 1 345.363 -0.756 20 0 EBADMM NS(=O)(=O)c1ccc(CC(=O)N2CC[C@H](c3nn[n-]n3)C2)cc1 ZINC001363524645 1131992388 /nfs/dbraw/zinc/99/23/88/1131992388.db2.gz MTKHIWSVJRSNQX-JTQLQIEISA-N -1 1 336.377 -0.594 20 0 EBADMM NS(=O)(=O)c1ccc(CC(=O)N2CC[C@@H](c3nn[n-]n3)C2)s1 ZINC001363524872 1131992583 /nfs/dbraw/zinc/99/25/83/1131992583.db2.gz NXFRZBJWUUAYRF-SSDOTTSWSA-N -1 1 342.406 -0.533 20 0 EBADMM C[C@H](C(=O)N1CC[C@@H](c2nn[n-]n2)C1)S(=O)(=O)C1CCOCC1 ZINC001363525986 1131993797 /nfs/dbraw/zinc/99/37/97/1131993797.db2.gz QNCAIUZAYSFARM-NXEZZACHSA-N -1 1 343.409 -0.502 20 0 EBADMM CC1=C(C(=O)N(Cc2nn[n-]n2)CC(C)C)S(=O)(=O)CCO1 ZINC001363542287 1132004249 /nfs/dbraw/zinc/00/42/49/1132004249.db2.gz FUOXLZLVKQPPIR-UHFFFAOYSA-N -1 1 329.382 -0.139 20 0 EBADMM CSCC[C@H](NC(=O)C[C@]1(C)CCS(=O)(=O)N1)c1nn[n-]n1 ZINC001363544702 1132005134 /nfs/dbraw/zinc/00/51/34/1132005134.db2.gz UQTLABBYMMLUOQ-KWQFWETISA-N -1 1 348.454 -0.418 20 0 EBADMM COC[C@H](NC(=O)c1cccc(OCC(=O)OC)c1)c1nn[n-]n1 ZINC001363585059 1132023531 /nfs/dbraw/zinc/02/35/31/1132023531.db2.gz GPEBQLYIPXXHBC-NSHDSACASA-N -1 1 335.320 -0.131 20 0 EBADMM CC(C)(C)n1nnc(CC(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)n1 ZINC001363583950 1132023814 /nfs/dbraw/zinc/02/38/14/1132023814.db2.gz NPTAUZYDADXHPY-SNVBAGLBSA-N -1 1 333.400 -0.035 20 0 EBADMM COC1(C(=O)NCc2cc(=O)[n-]c(SC)n2)CS(=O)(=O)C1 ZINC001363631717 1132038949 /nfs/dbraw/zinc/03/89/49/1132038949.db2.gz MBWJCDHUKRSWBQ-UHFFFAOYSA-N -1 1 333.391 -0.666 20 0 EBADMM CC1=NS(=O)(=O)N(C)C=C1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001363658434 1132047086 /nfs/dbraw/zinc/04/70/86/1132047086.db2.gz HLNUHQBROVQRQV-UHFFFAOYSA-N -1 1 339.381 -0.559 20 0 EBADMM CC(C)C(=O)N[C@@H](CO)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001363690430 1132058566 /nfs/dbraw/zinc/05/85/66/1132058566.db2.gz RCQCLTFGUOFSOE-NSHDSACASA-N -1 1 338.364 -0.996 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)C2C[C@@H]3COC[C@H](C2)C3=O)n[n-]1 ZINC001363716142 1132066724 /nfs/dbraw/zinc/06/67/24/1132066724.db2.gz YDQTVWNSPJDJHW-PBINXNQUSA-N -1 1 336.348 -0.161 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)C2C[C@@H]3COC[C@H](C2)C3=O)n1 ZINC001363716142 1132066733 /nfs/dbraw/zinc/06/67/33/1132066733.db2.gz YDQTVWNSPJDJHW-PBINXNQUSA-N -1 1 336.348 -0.161 20 0 EBADMM CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-]CC(=O)N1CCCC1 ZINC001363761582 1132079432 /nfs/dbraw/zinc/07/94/32/1132079432.db2.gz JPOKFTMNYPDDGB-UHFFFAOYSA-N -1 1 344.393 -0.204 20 0 EBADMM CO[C@]1(C(=O)N(Cc2nn[n-]n2)CC(C)C)CCS(=O)(=O)C1 ZINC001363797212 1132091177 /nfs/dbraw/zinc/09/11/77/1132091177.db2.gz AANWGZOBLDKRGG-GFCCVEGCSA-N -1 1 331.398 -0.612 20 0 EBADMM O=C(CNS(=O)(=O)c1cccs1)NC1(c2nn[n-]n2)CCC1 ZINC001363815507 1132097367 /nfs/dbraw/zinc/09/73/67/1132097367.db2.gz ANLCZFKDDMSKQD-UHFFFAOYSA-N -1 1 342.406 -0.265 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@H]2CCCS2(=O)=O)[n-]n1 ZINC001363862610 1132113880 /nfs/dbraw/zinc/11/38/80/1132113880.db2.gz OTVGUKBONWLLDY-SSDOTTSWSA-N -1 1 337.379 -0.948 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@H]2CCCS2(=O)=O)n[n-]1 ZINC001363862610 1132113884 /nfs/dbraw/zinc/11/38/84/1132113884.db2.gz OTVGUKBONWLLDY-SSDOTTSWSA-N -1 1 337.379 -0.948 20 0 EBADMM CC(=O)c1cn(C2CN(C(=O)CNC(=O)c3ncccc3[O-])C2)nn1 ZINC001363906131 1132126821 /nfs/dbraw/zinc/12/68/21/1132126821.db2.gz SWOLUHQPJGBSKR-UHFFFAOYSA-N -1 1 344.331 -0.605 20 0 EBADMM COc1nscc1S(=O)(=O)[N-]CC(C)(C)NS(C)(=O)=O ZINC001363926802 1132132679 /nfs/dbraw/zinc/13/26/79/1132132679.db2.gz AVGRJXOXMMXXTN-UHFFFAOYSA-N -1 1 343.452 -0.242 20 0 EBADMM CC[C@@H](CO)N1CCN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)CC1 ZINC001363946156 1132138836 /nfs/dbraw/zinc/13/88/36/1132138836.db2.gz NKXBFYCDIMDQLB-JTQLQIEISA-N -1 1 346.409 -0.727 20 0 EBADMM CC[C@@H](CO)N1CCN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)CC1 ZINC001363946156 1132138843 /nfs/dbraw/zinc/13/88/43/1132138843.db2.gz NKXBFYCDIMDQLB-JTQLQIEISA-N -1 1 346.409 -0.727 20 0 EBADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@@H](CO)CC(F)F ZINC001363950451 1132140378 /nfs/dbraw/zinc/14/03/78/1132140378.db2.gz MLVSFPZBHAHNBU-ZCFIWIBFSA-N -1 1 327.309 -0.201 20 0 EBADMM CCOC(=O)c1nnc([C@H](C)NS(=O)(=O)[C@H](C)C(=O)N(C)C)[n-]1 ZINC001364019540 1132167491 /nfs/dbraw/zinc/16/74/91/1132167491.db2.gz DHDZVFWIDFMXDD-JGVFFNPUSA-N -1 1 347.397 -0.562 20 0 EBADMM CCOC(=O)c1nc([C@H](C)NS(=O)(=O)[C@H](C)C(=O)N(C)C)n[n-]1 ZINC001364019540 1132167507 /nfs/dbraw/zinc/16/75/07/1132167507.db2.gz DHDZVFWIDFMXDD-JGVFFNPUSA-N -1 1 347.397 -0.562 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](C)NS(=O)(=O)[C@H](C)C(=O)N(C)C)n1 ZINC001364019540 1132167510 /nfs/dbraw/zinc/16/75/10/1132167510.db2.gz DHDZVFWIDFMXDD-JGVFFNPUSA-N -1 1 347.397 -0.562 20 0 EBADMM COC(=O)C[C@@H](O)C[N-]S(=O)(=O)c1nc[nH]c1Br ZINC001364022567 1132169300 /nfs/dbraw/zinc/16/93/00/1132169300.db2.gz MJIBMJJTVLWCRO-RXMQYKEDSA-N -1 1 342.171 -0.626 20 0 EBADMM COC[C@H](NC(=O)c1ccnc(O[C@H]2CCOC2)c1)c1nn[n-]n1 ZINC001364030845 1132174216 /nfs/dbraw/zinc/17/42/16/1132174216.db2.gz LXDJMZMAARKXII-QWRGUYRKSA-N -1 1 334.336 -0.120 20 0 EBADMM C[C@@H]1CCN(S(=O)(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC001364075846 1132197378 /nfs/dbraw/zinc/19/73/78/1132197378.db2.gz MVUZMCUIWAELSG-SECBINFHSA-N -1 1 328.394 -0.069 20 0 EBADMM COC(=O)c1c(NC(=O)[C@H]2CCn3ccnc3C2)n[n-]c1OCCO ZINC001364101233 1132206254 /nfs/dbraw/zinc/20/62/54/1132206254.db2.gz GTQFIBXJBWTQMA-VIFPVBQESA-N -1 1 349.347 -0.035 20 0 EBADMM COC(=O)c1c(NC(=O)[C@H]2CCn3ccnc3C2)[n-]nc1OCCO ZINC001364101233 1132206259 /nfs/dbraw/zinc/20/62/59/1132206259.db2.gz GTQFIBXJBWTQMA-VIFPVBQESA-N -1 1 349.347 -0.035 20 0 EBADMM Cn1ncnc1C1(O)CN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC001364330493 1132278461 /nfs/dbraw/zinc/27/84/61/1132278461.db2.gz JDBBLMKQJFAGDB-UHFFFAOYSA-N -1 1 328.336 -0.794 20 0 EBADMM O=C([C@H]1CC(c2ccccn2)=NO1)N1CCOC[C@H]1c1nn[n-]n1 ZINC001364383728 1132300265 /nfs/dbraw/zinc/30/02/65/1132300265.db2.gz RICKMHDBCIBFDD-NWDGAFQWSA-N -1 1 329.320 -0.312 20 0 EBADMM O=c1[n-]c(CN2CCC[C@@H]3OCCNC(=O)[C@H]32)nc2c1COCC2 ZINC001364472590 1132330151 /nfs/dbraw/zinc/33/01/51/1132330151.db2.gz COHSTIVZENBXKT-JSGCOSHPSA-N -1 1 334.376 -0.266 20 0 EBADMM O=c1[n-]c(CN2CCC[C@H]3OCCNC(=O)[C@H]32)nc2c1COCC2 ZINC001364472591 1132330457 /nfs/dbraw/zinc/33/04/57/1132330457.db2.gz COHSTIVZENBXKT-OCCSQVGLSA-N -1 1 334.376 -0.266 20 0 EBADMM C[S@](=N)(=O)N1CCN(Cc2nc3c(c(=O)[n-]2)COCC3)CC1 ZINC001364491975 1132337701 /nfs/dbraw/zinc/33/77/01/1132337701.db2.gz KCMUZHHKKTZCFS-JOCHJYFZSA-N -1 1 327.410 -0.036 20 0 EBADMM CC(C)(C(=O)NCc1nc2c(c(=O)[n-]1)COCC2)S(C)(=O)=O ZINC001364550481 1132360013 /nfs/dbraw/zinc/36/00/13/1132360013.db2.gz VHSRFEGQNOFATO-UHFFFAOYSA-N -1 1 329.378 -0.306 20 0 EBADMM O=C(C1=CC=CN2CCS(=O)(=O)N=C12)N1CC[C@H](c2nn[n-]n2)C1 ZINC001364567771 1132363575 /nfs/dbraw/zinc/36/35/75/1132363575.db2.gz MHGFZGVNSPLLNC-VIFPVBQESA-N -1 1 349.376 -0.987 20 0 EBADMM COCC(=O)N[C@H](C(=O)N(Cc1nn[n-]n1)CC(C)C)C(C)C ZINC001364652362 1132385250 /nfs/dbraw/zinc/38/52/50/1132385250.db2.gz UAQRJWCTKQAZJM-ZDUSSCGKSA-N -1 1 326.401 -0.029 20 0 EBADMM CC(C)(C)C(=O)N1CCO[C@H](C(=O)NC2(c3nn[n-]n3)CCC2)C1 ZINC001364664552 1132387224 /nfs/dbraw/zinc/38/72/24/1132387224.db2.gz XHCOYCIEWWMDJT-JTQLQIEISA-N -1 1 336.396 -0.031 20 0 EBADMM COC[C@H]1COCCN1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001364723226 1132403884 /nfs/dbraw/zinc/40/38/84/1132403884.db2.gz JIVDNNQQRWZOPA-JTQLQIEISA-N -1 1 338.364 -0.188 20 0 EBADMM CNC(=O)CN1CCC([N-]S(=O)(=O)c2csnc2OC)CC1 ZINC001364751412 1132413261 /nfs/dbraw/zinc/41/32/61/1132413261.db2.gz XIHRURWOBNJPNS-UHFFFAOYSA-N -1 1 348.450 -0.360 20 0 EBADMM COc1cc(S(=O)(=O)[N-]C[C@@H](O)CN2CCCC2=O)sn1 ZINC001364803535 1132432200 /nfs/dbraw/zinc/43/22/00/1132432200.db2.gz IUNOFKGFNGDLLV-MRVPVSSYSA-N -1 1 335.407 -0.587 20 0 EBADMM COC[C@H](NC(=O)[C@H]1CC12CCS(=O)(=O)CC2)c1nn[n-]n1 ZINC001364812622 1132435235 /nfs/dbraw/zinc/43/52/35/1132435235.db2.gz FBPYAMALWYCRSJ-BDAKNGLRSA-N -1 1 329.382 -0.782 20 0 EBADMM CN(C)c1nc(C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)cs1 ZINC001364814303 1132436333 /nfs/dbraw/zinc/43/63/33/1132436333.db2.gz UYEQXYMJIBCCCW-UHFFFAOYSA-N -1 1 345.406 -0.513 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H]2CC[C@H](C(N)=O)O2)c1 ZINC001364830318 1132445674 /nfs/dbraw/zinc/44/56/74/1132445674.db2.gz OFQYLOGLTAYHBY-GXSJLCMTSA-N -1 1 330.362 -0.288 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCO[C@@]3(CCOC3)C2)[n-]n1 ZINC001364832172 1132446812 /nfs/dbraw/zinc/44/68/12/1132446812.db2.gz ZHAFUARAJWMLRU-RNCFNFMXSA-N -1 1 345.377 -0.187 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCO[C@@]3(CCOC3)C2)n[n-]1 ZINC001364832172 1132446817 /nfs/dbraw/zinc/44/68/17/1132446817.db2.gz ZHAFUARAJWMLRU-RNCFNFMXSA-N -1 1 345.377 -0.187 20 0 EBADMM COC(=O)[C@@]1([N-]S(=O)(=O)CCN2CCOC2=O)CCSC1 ZINC001364839609 1132450012 /nfs/dbraw/zinc/45/00/12/1132450012.db2.gz RSAMHJDHBBRABN-LLVKDONJSA-N -1 1 338.407 -0.593 20 0 EBADMM COCC[C@H]([N-]S(=O)(=O)c1n[nH]cc1C(=O)OC)C(=O)OC ZINC001364858784 1132456492 /nfs/dbraw/zinc/45/64/92/1132456492.db2.gz VXSMRNQDZAMKKA-QMMMGPOBSA-N -1 1 335.338 -0.947 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@H]2CCOC(C)(C)C2)c(=O)[nH]c1=O ZINC001364858475 1132456899 /nfs/dbraw/zinc/45/68/99/1132456899.db2.gz PXQKUYIDRHEQFU-VIFPVBQESA-N -1 1 331.394 -0.031 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCSC[C@H]2C(=O)OC)co1 ZINC001364860159 1132457824 /nfs/dbraw/zinc/45/78/24/1132457824.db2.gz HSDXTNCZRALUMU-VIFPVBQESA-N -1 1 348.402 -0.082 20 0 EBADMM COCC[C@H]([N-]S(=O)(=O)[C@@H]1CC(=O)N(C2CC2)C1)C(=O)OC ZINC001364875185 1132464659 /nfs/dbraw/zinc/46/46/59/1132464659.db2.gz OHVHDUWCVVHVTN-MNOVXSKESA-N -1 1 334.394 -0.753 20 0 EBADMM CCOC(=O)c1nc([C@@H](C)NS(=O)(=O)N2CCOCC2)n[n-]1 ZINC001364879943 1132466731 /nfs/dbraw/zinc/46/67/31/1132466731.db2.gz GWYCOKDIBQKXGO-MRVPVSSYSA-N -1 1 333.370 -0.791 20 0 EBADMM CCOC(=O)c1nnc([C@@H](C)NS(=O)(=O)N2CCOCC2)[n-]1 ZINC001364879943 1132466733 /nfs/dbraw/zinc/46/67/33/1132466733.db2.gz GWYCOKDIBQKXGO-MRVPVSSYSA-N -1 1 333.370 -0.791 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](C)NS(=O)(=O)N2CCOCC2)n1 ZINC001364879943 1132466734 /nfs/dbraw/zinc/46/67/34/1132466734.db2.gz GWYCOKDIBQKXGO-MRVPVSSYSA-N -1 1 333.370 -0.791 20 0 EBADMM C[C@](Cn1cccn1)([N-]S(=O)(=O)c1c[nH]nc1Cl)C(N)=O ZINC001364976491 1132509632 /nfs/dbraw/zinc/50/96/32/1132509632.db2.gz OEQUHLNDKWSWCA-SNVBAGLBSA-N -1 1 332.773 -0.518 20 0 EBADMM COC(=O)C1(S(=O)(=O)[N-][C@@](C)(Cn2cccn2)C(N)=O)CCC1 ZINC001364977752 1132509728 /nfs/dbraw/zinc/50/97/28/1132509728.db2.gz WDUYSONVFDBOKB-LBPRGKRZSA-N -1 1 344.393 -0.858 20 0 EBADMM CN(C)Cc1nc(C[N-]S(=O)(=O)N=[S@](C)(=O)N(C)C)co1 ZINC001364980618 1132510757 /nfs/dbraw/zinc/51/07/57/1132510757.db2.gz PXBNXYCHFZIFAZ-FQEVSTJZSA-N -1 1 339.443 -0.355 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@]2(C)CCS(=O)(=O)C2)[n-]n1 ZINC001365014757 1132520803 /nfs/dbraw/zinc/52/08/03/1132520803.db2.gz BTSDIDIJSIWSSA-JTQLQIEISA-N -1 1 337.379 -0.948 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@]2(C)CCS(=O)(=O)C2)n[n-]1 ZINC001365014757 1132520808 /nfs/dbraw/zinc/52/08/08/1132520808.db2.gz BTSDIDIJSIWSSA-JTQLQIEISA-N -1 1 337.379 -0.948 20 0 EBADMM Cn1nccc1[C@@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])CCO1 ZINC001365040657 1132526948 /nfs/dbraw/zinc/52/69/48/1132526948.db2.gz ARWINHNMVWNSJX-ZDUSSCGKSA-N -1 1 345.359 -0.149 20 0 EBADMM CN(C)C(=O)Cn1cc(NC(=O)N2CCC(c3nn[n-]n3)CC2)cn1 ZINC001365041932 1132527342 /nfs/dbraw/zinc/52/73/42/1132527342.db2.gz OUTACYZPNPBULP-UHFFFAOYSA-N -1 1 347.383 -0.104 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)NCc3cn(C)c(=O)[nH]c3=O)ccnc1-2 ZINC001365198239 1132577742 /nfs/dbraw/zinc/57/77/42/1132577742.db2.gz MMRCDGWGFNFXTR-UHFFFAOYSA-N -1 1 329.320 -0.537 20 0 EBADMM COc1nn(C)cc1CNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001365242726 1132589006 /nfs/dbraw/zinc/58/90/06/1132589006.db2.gz RGQFTRNZYJYDHY-UHFFFAOYSA-N -1 1 348.363 -0.004 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H](C)C[C@H]2C(N)=O)[n-]n1 ZINC001365381601 1132643551 /nfs/dbraw/zinc/64/35/51/1132643551.db2.gz SFDCMEGVLQRCTB-APPZFPTMSA-N -1 1 330.366 -0.529 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H](C)C[C@H]2C(N)=O)n[n-]1 ZINC001365381601 1132643557 /nfs/dbraw/zinc/64/35/57/1132643557.db2.gz SFDCMEGVLQRCTB-APPZFPTMSA-N -1 1 330.366 -0.529 20 0 EBADMM O=S(=O)([N-][C@@H](CO)[C@H]1CCOC1)c1nc[nH]c1Br ZINC001365382350 1132643765 /nfs/dbraw/zinc/64/37/65/1132643765.db2.gz WUUBRDWFGPYMJP-BQBZGAKWSA-N -1 1 340.199 -0.152 20 0 EBADMM CN(C)[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@H]1CN(C2CC2)C(=O)O1 ZINC001365429208 1132668565 /nfs/dbraw/zinc/66/85/65/1132668565.db2.gz UJDVNLNHWORYEK-LXGOIASLSA-N -1 1 340.427 -0.622 20 0 EBADMM CN(C)C(=O)[C@H]1CCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC001365431176 1132669667 /nfs/dbraw/zinc/66/96/67/1132669667.db2.gz VAPQFHSBUJRFST-JTQLQIEISA-N -1 1 349.391 -0.125 20 0 EBADMM CNC(=O)N[C@H]1CCCN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)C1 ZINC001365460020 1132691158 /nfs/dbraw/zinc/69/11/58/1132691158.db2.gz KUWJHMXDBGUELH-QMMMGPOBSA-N -1 1 345.381 -0.722 20 0 EBADMM CNC(=O)N[C@H]1CCCN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)C1 ZINC001365460020 1132691185 /nfs/dbraw/zinc/69/11/85/1132691185.db2.gz KUWJHMXDBGUELH-QMMMGPOBSA-N -1 1 345.381 -0.722 20 0 EBADMM CCN(CC)C(=O)CN(C)S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001365619282 1132737602 /nfs/dbraw/zinc/73/76/02/1132737602.db2.gz BGWAGAFBVOKTKL-UHFFFAOYSA-N -1 1 332.382 -0.315 20 0 EBADMM CCN(CC)C(=O)CN(C)S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001365619282 1132737609 /nfs/dbraw/zinc/73/76/09/1132737609.db2.gz BGWAGAFBVOKTKL-UHFFFAOYSA-N -1 1 332.382 -0.315 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H](C)c2nnc3n2CCC3)[n-]n1 ZINC001365636671 1132741940 /nfs/dbraw/zinc/74/19/40/1132741940.db2.gz GNXHPXXRNYOXFR-SSDOTTSWSA-N -1 1 340.365 -0.227 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H](C)c2nnc3n2CCC3)n[n-]1 ZINC001365636671 1132741948 /nfs/dbraw/zinc/74/19/48/1132741948.db2.gz GNXHPXXRNYOXFR-SSDOTTSWSA-N -1 1 340.365 -0.227 20 0 EBADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@@H](CO)[C@H]1CCOC1 ZINC001365703174 1132768362 /nfs/dbraw/zinc/76/83/62/1132768362.db2.gz QSAHXGTUXSTIHV-QWRGUYRKSA-N -1 1 328.456 -0.026 20 0 EBADMM CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)[N-]S(=O)(=O)c1ccns1 ZINC001365703882 1132768999 /nfs/dbraw/zinc/76/89/99/1132768999.db2.gz SDYOPPXLOYXAKJ-HTQZYQBOSA-N -1 1 335.407 -0.122 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H](CO)[C@H]2CCCOC2)[n-]n1 ZINC001365714493 1132772464 /nfs/dbraw/zinc/77/24/64/1132772464.db2.gz LXYRNJURAJZTKM-WCBMZHEXSA-N -1 1 333.366 -0.738 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H](CO)[C@H]2CCCOC2)n[n-]1 ZINC001365714493 1132772471 /nfs/dbraw/zinc/77/24/71/1132772471.db2.gz LXYRNJURAJZTKM-WCBMZHEXSA-N -1 1 333.366 -0.738 20 0 EBADMM CN(C)[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1cc2n(n1)CCCO2 ZINC001365718169 1132774075 /nfs/dbraw/zinc/77/40/75/1132774075.db2.gz PIKPRTQONYNJJP-FQEVSTJZSA-N -1 1 337.427 -0.426 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H](CO)Cc1cnn(C)c1 ZINC001365718024 1132774633 /nfs/dbraw/zinc/77/46/33/1132774633.db2.gz KAAJFLRMCCEWKJ-SNVBAGLBSA-N -1 1 329.382 -0.572 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2[C@H](C)C(=O)N[C@H](C)[C@H]2C)[n-]n1 ZINC001365718539 1132775053 /nfs/dbraw/zinc/77/50/53/1132775053.db2.gz FVJXTDWQSOWTAQ-BWZBUEFSSA-N -1 1 330.366 -0.518 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2[C@H](C)C(=O)N[C@H](C)[C@H]2C)n[n-]1 ZINC001365718539 1132775058 /nfs/dbraw/zinc/77/50/58/1132775058.db2.gz FVJXTDWQSOWTAQ-BWZBUEFSSA-N -1 1 330.366 -0.518 20 0 EBADMM CO[C@@H](CS(=O)(=O)[N-][C@@H]1CS(=O)(=O)C[C@H]1O)c1ccccc1 ZINC001365726119 1132777625 /nfs/dbraw/zinc/77/76/25/1132777625.db2.gz PIQOBBKUPFOHJG-UPJWGTAASA-N -1 1 349.430 -0.549 20 0 EBADMM COC[C@H](CS(=O)(=O)[N-][C@H](C[C@@H]1CCCO1)C(=O)OC)OC ZINC001365735279 1132783821 /nfs/dbraw/zinc/78/38/21/1132783821.db2.gz NZPVAAVCFZNSID-QJPTWQEYSA-N -1 1 339.410 -0.322 20 0 EBADMM CCn1cc(CC(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001365806857 1132811430 /nfs/dbraw/zinc/81/14/30/1132811430.db2.gz QKDQSRJBQFQHAL-CYBMUJFWSA-N -1 1 347.423 -0.400 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)COc3ccsc3)C2)nc1=O ZINC001366001987 1132960482 /nfs/dbraw/zinc/96/04/82/1132960482.db2.gz DRDKZEBDSFNGQR-SNVBAGLBSA-N -1 1 337.405 -0.061 20 0 EBADMM O=C(Cn1cc(C2CC2)nn1)NC[C@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001366038545 1132975991 /nfs/dbraw/zinc/97/59/91/1132975991.db2.gz SEZBSYAFGKPPBR-NSHDSACASA-N -1 1 346.395 -0.336 20 0 EBADMM Cc1ccn([C@H](C)CC(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001366974556 1133397463 /nfs/dbraw/zinc/39/74/63/1133397463.db2.gz PJSGQXJMODCMMQ-GFCCVEGCSA-N -1 1 347.423 -0.187 20 0 EBADMM C[C@@H](CNCc1ccon1)CNC(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC001367275236 1133522277 /nfs/dbraw/zinc/52/22/77/1133522277.db2.gz CDRUAUWNULOBBJ-JTQLQIEISA-N -1 1 345.363 -0.399 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@H]1CCCCS1(=O)=O ZINC001367361130 1133562526 /nfs/dbraw/zinc/56/25/26/1133562526.db2.gz SVXPKPZLYBGPSS-VHSXEESVSA-N -1 1 345.425 -0.586 20 0 EBADMM COCCn1cc(C(=O)N(C)[C@@H](C)CNCc2n[nH]c(=O)[n-]2)cn1 ZINC001367403712 1133580970 /nfs/dbraw/zinc/58/09/70/1133580970.db2.gz CSVBRBODEOYHHE-JTQLQIEISA-N -1 1 337.384 -0.397 20 0 EBADMM CC(C)[C@@H](CNC(=O)[C@@H](C)S(C)(=O)=O)NCc1n[nH]c(=O)[n-]1 ZINC001367470858 1133596389 /nfs/dbraw/zinc/59/63/89/1133596389.db2.gz CCKJNXPADAVSNF-RKDXNWHRSA-N -1 1 333.414 -0.826 20 0 EBADMM CN(CCN(C)C(=O)c1cn(C)nc1Cl)Cc1nc(=O)n(C)[n-]1 ZINC001367545001 1133622033 /nfs/dbraw/zinc/62/20/33/1133622033.db2.gz GVLCFCDEJLEDEW-UHFFFAOYSA-N -1 1 341.803 -0.301 20 0 EBADMM O=C(NC[C@H](O)CNCc1n[nH]c(=O)[n-]1)[C@@H]1[C@@H]2Cc3ccccc3[C@@H]21 ZINC001367622356 1133708330 /nfs/dbraw/zinc/70/83/30/1133708330.db2.gz RNGBNZXZRTXPQL-WAZAZEMKSA-N -1 1 343.387 -0.337 20 0 EBADMM CCn1cc(Cl)cc1C(=O)NC[C@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001367626438 1133713851 /nfs/dbraw/zinc/71/38/51/1133713851.db2.gz FSWCFBTXPKACMY-SECBINFHSA-N -1 1 342.787 -0.134 20 0 EBADMM C[C@H](CNCc1nnn(C)n1)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001367701232 1133752342 /nfs/dbraw/zinc/75/23/42/1133752342.db2.gz VAOMAMITEDMMFZ-MRVPVSSYSA-N -1 1 332.368 -0.509 20 0 EBADMM Cc1c(CCC(=O)N[C@@H](C)CN(C)Cc2nc(=O)n(C)[n-]2)cnn1C ZINC001367802852 1133789827 /nfs/dbraw/zinc/78/98/27/1133789827.db2.gz GPBLZNJXUZKYGP-NSHDSACASA-N -1 1 349.439 -0.280 20 0 EBADMM COc1cc(C(=O)N[C@H](C)CN(C)Cc2nc(=O)n(C)[n-]2)ncn1 ZINC001367808960 1133793708 /nfs/dbraw/zinc/79/37/08/1133793708.db2.gz RMANALAODWLYIO-SECBINFHSA-N -1 1 335.368 -0.843 20 0 EBADMM C[C@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC001367820653 1133799836 /nfs/dbraw/zinc/79/98/36/1133799836.db2.gz BPTPXEXUJDJBJW-OWTLIXCDSA-N -1 1 337.424 -0.140 20 0 EBADMM O=C(N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CCCC1)[C@@H]1CCC(=O)N1 ZINC001368142078 1133955264 /nfs/dbraw/zinc/95/52/64/1133955264.db2.gz DXLOKOJZISJHRD-QWRGUYRKSA-N -1 1 336.396 -0.447 20 0 EBADMM C[C@H](C(=O)NC[C@@]1(O)CCN(Cc2nc(=O)n(C)[n-]2)C1)C(C)(C)C ZINC001368255015 1134039287 /nfs/dbraw/zinc/03/92/87/1134039287.db2.gz FTHDWWRQARMRNR-BZNIZROVSA-N -1 1 339.440 -0.156 20 0 EBADMM Cn1ccc(CNC2(CNC(=O)Cn3c(=O)[n-][nH]c3=O)CCC2)n1 ZINC001368488978 1134251020 /nfs/dbraw/zinc/25/10/20/1134251020.db2.gz WYNVHNTUZJWRNA-UHFFFAOYSA-N -1 1 335.368 -0.749 20 0 EBADMM Cn1[n-]c(CN2CCC(CO)(NC(=O)c3ccncc3)CC2)nc1=O ZINC001368751456 1134417831 /nfs/dbraw/zinc/41/78/31/1134417831.db2.gz SLYUVPPSKITNGZ-UHFFFAOYSA-N -1 1 346.391 -0.740 20 0 EBADMM Cc1[nH]nc(C(=O)N(C)CC(C)(C)CNCc2nnnn2C)c1[O-] ZINC001368918433 1134484367 /nfs/dbraw/zinc/48/43/67/1134484367.db2.gz YVURBOGKXYPDSN-UHFFFAOYSA-N -1 1 336.400 -0.165 20 0 EBADMM C[C@H](CNC(=O)c1cc(C2CC2)n[nH]1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001368982096 1134507233 /nfs/dbraw/zinc/50/72/33/1134507233.db2.gz NKQJLFGQMNZPGE-SECBINFHSA-N -1 1 333.396 -0.041 20 0 EBADMM C[C@H](CNC(=O)[C@H](C)Oc1cccnc1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001368982835 1134507952 /nfs/dbraw/zinc/50/79/52/1134507952.db2.gz YYODWFSHCUGKOD-NEPJUHHUSA-N -1 1 348.407 -0.093 20 0 EBADMM Cn1[n-]c(CN2CC(CCO)(NC(=O)c3ccc(F)cc3)C2)nc1=O ZINC001369014927 1134520430 /nfs/dbraw/zinc/52/04/30/1134520430.db2.gz AGQGXPWXHVALTI-UHFFFAOYSA-N -1 1 349.366 -0.386 20 0 EBADMM CC1(C)CN(CC(=O)NC(N)=O)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001369123125 1134576405 /nfs/dbraw/zinc/57/64/05/1134576405.db2.gz MHBMCIZKRIFYHZ-JTQLQIEISA-N -1 1 335.364 -0.578 20 0 EBADMM CN(C(=O)[C@@H]1CCC(=O)N1C)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001369215771 1134619851 /nfs/dbraw/zinc/61/98/51/1134619851.db2.gz COCWGCZIJLQMLW-WDEREUQCSA-N -1 1 336.396 -0.542 20 0 EBADMM COCCn1ccc(C(=O)N(C)C[C@H](C)NCc2n[nH]c(=O)[n-]2)n1 ZINC001369257156 1134632138 /nfs/dbraw/zinc/63/21/38/1134632138.db2.gz HKCMGCITKXPSIU-JTQLQIEISA-N -1 1 337.384 -0.397 20 0 EBADMM C[C@H](CN(C)C(=O)Cn1nnc2c1CCCC2)NCc1n[nH]c(=O)[n-]1 ZINC001369275926 1134638336 /nfs/dbraw/zinc/63/83/36/1134638336.db2.gz LBJRBDWYLUEWPI-SNVBAGLBSA-N -1 1 348.411 -0.383 20 0 EBADMM C[C@H](CN(C)C(=O)c1ccc(C(N)=O)s1)NCc1n[nH]c(=O)[n-]1 ZINC001369282766 1134639793 /nfs/dbraw/zinc/63/97/93/1134639793.db2.gz DMWYJDLVKCXIKV-SSDOTTSWSA-N -1 1 338.393 -0.079 20 0 EBADMM C[C@@H](Cc1ccccc1)C(=O)NC[C@@H](CO)NCc1nc(=O)n(C)[n-]1 ZINC001369379202 1134739503 /nfs/dbraw/zinc/73/95/03/1134739503.db2.gz RWZRLSKBTCBDEW-JSGCOSHPSA-N -1 1 347.419 -0.446 20 0 EBADMM C[C@H](CC(=O)N(C)C[C@H](O)CNCc1n[nH]c(=O)[n-]1)n1ccnc1 ZINC001369470439 1134823008 /nfs/dbraw/zinc/82/30/08/1134823008.db2.gz HWCVSZNHPBXGJL-GHMZBOCLSA-N -1 1 337.384 -0.733 20 0 EBADMM CN(C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1Cc2ccccc21 ZINC001369489589 1134863515 /nfs/dbraw/zinc/86/35/15/1134863515.db2.gz WLMMGUVUFWFIPQ-DGCLKSJQSA-N -1 1 331.376 -0.241 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H](O)CN(C)C(=O)c1cccn1C ZINC001369496272 1134873590 /nfs/dbraw/zinc/87/35/90/1134873590.db2.gz SCSAEUMPCCEBOS-NSHDSACASA-N -1 1 336.396 -0.988 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H](O)CN(C)C(=O)[C@H]1C[C@@H]1C(F)F ZINC001369507735 1134897035 /nfs/dbraw/zinc/89/70/35/1134897035.db2.gz OEJUVFPBUZSIQW-UTLUCORTSA-N -1 1 347.366 -0.739 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H](O)CN(C)C(=O)CCC(F)F ZINC001369509983 1134901668 /nfs/dbraw/zinc/90/16/68/1134901668.db2.gz ZQCHCWWCZJCATA-SECBINFHSA-N -1 1 335.355 -0.595 20 0 EBADMM COCCN(CCNC(=O)[C@@H]1CCC1(F)F)Cc1nc(=O)n(C)[n-]1 ZINC001369557379 1134939107 /nfs/dbraw/zinc/93/91/07/1134939107.db2.gz IPXIDKFVHSMSAB-JTQLQIEISA-N -1 1 347.366 -0.282 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H](C)NC(=O)c1cn(C)c(=O)n1C ZINC001369676610 1134984298 /nfs/dbraw/zinc/98/42/98/1134984298.db2.gz BXXPDXBEZVEZKI-VHSXEESVSA-N -1 1 347.375 -0.239 20 0 EBADMM CNC(=O)NCCC(=O)N[C@@H](C)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001369680969 1134985627 /nfs/dbraw/zinc/98/56/27/1134985627.db2.gz GLLDOBBHTFBMOA-UWVGGRQHSA-N -1 1 337.380 -0.271 20 0 EBADMM Cn1[n-]c(CN2CCN(CCNC(=O)Cc3ccoc3)CC2)nc1=O ZINC001369898584 1135081108 /nfs/dbraw/zinc/08/11/08/1135081108.db2.gz KEJQQKIFCXLYSY-UHFFFAOYSA-N -1 1 348.407 -0.822 20 0 EBADMM C[C@H](C(=O)N(C)C)N1CCN(CCNC(=O)c2ncccc2[O-])CC1 ZINC001369903440 1135083429 /nfs/dbraw/zinc/08/34/29/1135083429.db2.gz YILTZXLQZGRCPE-CYBMUJFWSA-N -1 1 349.435 -0.389 20 0 EBADMM CC(=O)NCC(=O)N1CC2(C1)CCCN(C(=O)c1ncccc1[O-])C2 ZINC001370260568 1135273193 /nfs/dbraw/zinc/27/31/93/1135273193.db2.gz SWLJKDFZYFGMBP-UHFFFAOYSA-N -1 1 346.387 -0.012 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H](CNC(=O)[C@@H]1COC(=O)N1)C1CC1 ZINC001370398547 1135333693 /nfs/dbraw/zinc/33/36/93/1135333693.db2.gz NWAFSGOBFDZKPJ-QWRGUYRKSA-N -1 1 348.359 -0.138 20 0 EBADMM CC(=O)N[C@H](CC(C)C)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001370401924 1135336347 /nfs/dbraw/zinc/33/63/47/1135336347.db2.gz AALRVHFKIACXQL-NWDGAFQWSA-N -1 1 338.412 -0.248 20 0 EBADMM CC(=O)N[C@@](C)(C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001370429579 1135347210 /nfs/dbraw/zinc/34/72/10/1135347210.db2.gz PQETWPVOHKTBRN-XHDPSFHLSA-N -1 1 338.412 -0.248 20 0 EBADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)CNC(=O)C1CC1 ZINC001370471332 1135364876 /nfs/dbraw/zinc/36/48/76/1135364876.db2.gz JTNOZLWXQRLKKD-SNVBAGLBSA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)CNC(=O)C1CC1 ZINC001370471328 1135365011 /nfs/dbraw/zinc/36/50/11/1135365011.db2.gz JTNOZLWXQRLKKD-JTQLQIEISA-N -1 1 334.376 -0.110 20 0 EBADMM O=C(NC[C@H](CO)NC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21)c1ncccc1[O-] ZINC001370674808 1135481634 /nfs/dbraw/zinc/48/16/34/1135481634.db2.gz IUZRWPHONDPRKG-OZTPJHRESA-N -1 1 349.387 -0.191 20 0 EBADMM CO[C@@H](C)C(=O)N(C)C1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001371181519 1135858163 /nfs/dbraw/zinc/85/81/63/1135858163.db2.gz XBXADSLJGSEBBD-IDKOKCKLSA-N -1 1 348.363 -0.828 20 0 EBADMM COC(=O)NCC(=O)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001371285849 1135878510 /nfs/dbraw/zinc/87/85/10/1135878510.db2.gz HBSBCFRSZALEOK-UHFFFAOYSA-N -1 1 348.359 -0.182 20 0 EBADMM Cc1nnc2n1C[C@@H](C(=O)NC[C@@H](C)NCc1n[nH]c(=O)[n-]1)CC2 ZINC001371464929 1135925399 /nfs/dbraw/zinc/92/53/99/1135925399.db2.gz LHJUQUXHUMSSRA-SCZZXKLOSA-N -1 1 334.384 -0.733 20 0 EBADMM CO[C@H](C)C(=O)N[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC001371493675 1135935527 /nfs/dbraw/zinc/93/55/27/1135935527.db2.gz NRIKENBQFKSFJF-FXPVBKGRSA-N -1 1 348.363 -0.971 20 0 EBADMM CC(=O)N[C@H](C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)(C)C ZINC001371565516 1135971656 /nfs/dbraw/zinc/97/16/56/1135971656.db2.gz NTLKGXPDQDLAEP-CMPLNLGQSA-N -1 1 338.412 -0.248 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H]1CN(C)C(=O)C(F)F ZINC001371568443 1135972549 /nfs/dbraw/zinc/97/25/49/1135972549.db2.gz OFXOSFKBMMLMDE-ZCFIWIBFSA-N -1 1 346.290 -0.166 20 0 EBADMM CCCC(=O)N(C)C[C@@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001371572666 1135973089 /nfs/dbraw/zinc/97/30/89/1135973089.db2.gz GWFCLWPCLUPETM-JTQLQIEISA-N -1 1 332.364 -0.109 20 0 EBADMM CCOCC(=O)N1CCN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)CC1 ZINC001371964028 1136070542 /nfs/dbraw/zinc/07/05/42/1136070542.db2.gz INPDWIJUEOVEGI-UHFFFAOYSA-N -1 1 340.336 -0.783 20 0 EBADMM CNC(=O)NCCC(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001371970817 1136072916 /nfs/dbraw/zinc/07/29/16/1136072916.db2.gz OBCBBIRNMRGGIA-UHFFFAOYSA-N -1 1 335.364 -0.609 20 0 EBADMM CCO[C@@H](C)C(=O)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001371974993 1136074018 /nfs/dbraw/zinc/07/40/18/1136074018.db2.gz PEZAOBKVRRNHEQ-JTQLQIEISA-N -1 1 348.363 -0.873 20 0 EBADMM CN(CCNC(=O)c1cc(N(C)C)ccn1)Cc1nc(=O)n(C)[n-]1 ZINC001372262103 1136157733 /nfs/dbraw/zinc/15/77/33/1136157733.db2.gz ZSNRVGQDTAITRQ-UHFFFAOYSA-N -1 1 333.396 -0.569 20 0 EBADMM Cn1nncc1C(=O)N[C@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001372376357 1136184993 /nfs/dbraw/zinc/18/49/93/1136184993.db2.gz CCJOWURLOYBHPV-SNVBAGLBSA-N -1 1 330.348 -0.146 20 0 EBADMM Cc1nnsc1C(=O)NCCN(Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001372761652 1136286768 /nfs/dbraw/zinc/28/67/68/1136286768.db2.gz JEPSFGLSWDCAFI-UHFFFAOYSA-N -1 1 337.409 -0.337 20 0 EBADMM CCc1n[nH]cc1C(=O)NCCN(Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001372824110 1136298168 /nfs/dbraw/zinc/29/81/68/1136298168.db2.gz FIHYUQCCCNOXGD-UHFFFAOYSA-N -1 1 333.396 -0.212 20 0 EBADMM C[C@@H](CNC(=O)[C@H](C)S(C)(=O)=O)NC(=O)c1ncccc1[O-] ZINC001372957890 1136338397 /nfs/dbraw/zinc/33/83/97/1136338397.db2.gz FOMQBFIGTAALAD-IUCAKERBSA-N -1 1 329.378 -0.545 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H](C)NC(=O)c1cccn1C ZINC001372993173 1136348531 /nfs/dbraw/zinc/34/85/31/1136348531.db2.gz OHFDPJUWRLQBBA-MRVPVSSYSA-N -1 1 349.347 -0.217 20 0 EBADMM O=C(NCC[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1COCCO1 ZINC001373142227 1136380287 /nfs/dbraw/zinc/38/02/87/1136380287.db2.gz BRIWBIICYQICNP-MNOVXSKESA-N -1 1 325.369 -0.746 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCCN(C(=O)CCNC(N)=O)C1 ZINC001373213604 1136401226 /nfs/dbraw/zinc/40/12/26/1136401226.db2.gz GRLPCCWFRUPIMW-LLVKDONJSA-N -1 1 349.391 -0.091 20 0 EBADMM CN(C)S(=O)(=O)CCCN1CC(NC(=O)c2ncccc2[O-])C1 ZINC001373422265 1136450999 /nfs/dbraw/zinc/45/09/99/1136450999.db2.gz CNJHVBGAJLNJPR-UHFFFAOYSA-N -1 1 342.421 -0.517 20 0 EBADMM COCC(C)(C)CC(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001373575526 1136500734 /nfs/dbraw/zinc/50/07/34/1136500734.db2.gz QKVLJLOXCPEMRA-RYUDHWBXSA-N -1 1 337.424 -0.044 20 0 EBADMM CCNC(=O)CCCC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001373611743 1136514226 /nfs/dbraw/zinc/51/42/26/1136514226.db2.gz DKLAWHMFUYXNFU-NSHDSACASA-N -1 1 338.412 -0.895 20 0 EBADMM CCC(=O)N1CC[C@@H](C(=O)NC[C@H](CC)NCc2n[nH]c(=O)[n-]2)C1 ZINC001373809825 1136564840 /nfs/dbraw/zinc/56/48/40/1136564840.db2.gz BFALKQXUDCWHHL-MNOVXSKESA-N -1 1 338.412 -0.247 20 0 EBADMM CCN(CCNC(=O)C1CS(=O)(=O)C1)C(=O)c1ncccc1[O-] ZINC001373828008 1136568823 /nfs/dbraw/zinc/56/88/23/1136568823.db2.gz LJOWAYHXZHSUQK-UHFFFAOYSA-N -1 1 341.389 -0.590 20 0 EBADMM CCN(CCNC(=O)[C@H]1CC[C@@H]1C(N)=O)C(=O)c1ncccc1[O-] ZINC001373906533 1136584642 /nfs/dbraw/zinc/58/46/42/1136584642.db2.gz MSNIUXKLMDCFTQ-QWRGUYRKSA-N -1 1 334.376 -0.123 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)C(C3CC3)C3CC3)C2)nc1=O ZINC001374154929 1136641186 /nfs/dbraw/zinc/64/11/86/1136641186.db2.gz XHFCYFFTZGLHNJ-ZDUSSCGKSA-N -1 1 349.435 -0.138 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)CN1CCCCCC1=O ZINC001374323526 1136673749 /nfs/dbraw/zinc/67/37/49/1136673749.db2.gz WHSMCLRLJZTPMJ-NSHDSACASA-N -1 1 338.412 -0.151 20 0 EBADMM CN(CCN(C)C(=O)C[C@@H]1CCCC(=O)N1)Cc1nc(=O)n(C)[n-]1 ZINC001374517955 1136707799 /nfs/dbraw/zinc/70/77/99/1136707799.db2.gz OXYDBRJIZSZZRT-NSHDSACASA-N -1 1 338.412 -0.943 20 0 EBADMM Cc1cccc(O[C@H](C)C(=O)NC[C@H](O)CNCc2n[nH]c(=O)[n-]2)c1 ZINC001374676961 1136795095 /nfs/dbraw/zinc/79/50/95/1136795095.db2.gz CFGHYAJFQZSGJB-VXGBXAGGSA-N -1 1 349.391 -0.147 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CNC(=O)Cc1ccccc1 ZINC001374844637 1136850636 /nfs/dbraw/zinc/85/06/36/1136850636.db2.gz HLQWUVXJFBMZAM-LLVKDONJSA-N -1 1 346.391 -0.537 20 0 EBADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)CCn1cnnn1 ZINC001375062428 1136904668 /nfs/dbraw/zinc/90/46/68/1136904668.db2.gz ZDYSTFLIUZERAH-JTQLQIEISA-N -1 1 333.352 -0.511 20 0 EBADMM C[C@@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)C1(C)CCOCC1 ZINC001375125082 1136921313 /nfs/dbraw/zinc/92/13/13/1136921313.db2.gz NGSZBGHYQXBEBP-NSHDSACASA-N -1 1 325.413 -0.138 20 0 EBADMM C[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C1CCOCC1 ZINC000268536911 375924239 /nfs/dbraw/zinc/92/42/39/375924239.db2.gz JRUCFIRZMZINAN-VIFPVBQESA-N -1 1 331.394 -0.823 20 0 EBADMM CNC(=O)[C@@H](CO)[N-]S(=O)(=O)C[C@@H](OC)c1ccc(F)cc1 ZINC000625120193 375979232 /nfs/dbraw/zinc/97/92/32/375979232.db2.gz DHEQVRZFNHWVMF-VXGBXAGGSA-N -1 1 334.369 -0.461 20 0 EBADMM COc1cccc(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)c1 ZINC000015327527 375987088 /nfs/dbraw/zinc/98/70/88/375987088.db2.gz CGGKOQZKMRABHX-UHFFFAOYSA-N -1 1 339.373 -0.429 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCN3C(=O)CC[C@H]3C2)o1 ZINC000408041266 376294455 /nfs/dbraw/zinc/29/44/55/376294455.db2.gz BWJUKVHDJOYWFE-VIFPVBQESA-N -1 1 327.362 -0.366 20 0 EBADMM O=C(CNS(=O)(=O)c1ccc(Cl)s1)NCc1nn[n-]n1 ZINC000600506524 376428082 /nfs/dbraw/zinc/42/80/82/376428082.db2.gz ZDYAOXNPZJICTH-UHFFFAOYSA-N -1 1 336.786 -0.491 20 0 EBADMM COc1ccc(N2C[C@@H](C(=O)NCc3nn[n-]n3)CC2=O)c(OC)c1 ZINC000600494416 376416495 /nfs/dbraw/zinc/41/64/95/376416495.db2.gz GRWNHXQPZMAKLD-VIFPVBQESA-N -1 1 346.347 -0.114 20 0 EBADMM COc1ccc(C(=O)CNC(=O)CCC(=O)NCc2nn[n-]n2)cc1 ZINC000600495199 376418484 /nfs/dbraw/zinc/41/84/84/376418484.db2.gz KHMLMLNCEWCGEF-UHFFFAOYSA-N -1 1 346.347 -0.396 20 0 EBADMM CCCc1cc(=O)n2[n-]c(NC(=O)CN3CSCC3=O)nc2n1 ZINC000622995508 376605932 /nfs/dbraw/zinc/60/59/32/376605932.db2.gz DMZHEQGXSGGURK-UHFFFAOYSA-N -1 1 336.377 -0.159 20 0 EBADMM CCCC(=O)N1CSC[C@@H]1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370852499 376586024 /nfs/dbraw/zinc/58/60/24/376586024.db2.gz NZNRCJIXGUKDNJ-ZJUUUORDSA-N -1 1 340.409 -0.199 20 0 EBADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3ncc(OC)cn3)nc2n1 ZINC000622997905 376609166 /nfs/dbraw/zinc/60/91/66/376609166.db2.gz QGQDRXZHWOGLGI-UHFFFAOYSA-N -1 1 329.320 -0.157 20 0 EBADMM CCC[C@H](NC(=O)[C@@H]1CC(=O)N(c2cnn(C)c2)C1)c1nn[n-]n1 ZINC000626024733 376662581 /nfs/dbraw/zinc/66/25/81/376662581.db2.gz UDZUIGQRJZGXSR-KOLCDFICSA-N -1 1 332.368 -0.056 20 0 EBADMM Cc1cnc(N)c(S(=O)(=O)N2CC[N@H+]3CCC[C@H]3[C@@H]2C(=O)[O-])c1 ZINC000560417838 376697508 /nfs/dbraw/zinc/69/75/08/376697508.db2.gz YTAZGQKTWZRJFE-CMPLNLGQSA-N -1 1 340.405 -0.106 20 0 EBADMM Cc1cnc(N)c(S(=O)(=O)N2CCN3CCC[C@H]3[C@@H]2C(=O)[O-])c1 ZINC000560417838 376697517 /nfs/dbraw/zinc/69/75/17/376697517.db2.gz YTAZGQKTWZRJFE-CMPLNLGQSA-N -1 1 340.405 -0.106 20 0 EBADMM CS(=O)(=O)Cc1noc(=NC(=O)c2[nH]nc3c2CCCC3)[n-]1 ZINC000605565265 377090416 /nfs/dbraw/zinc/09/04/16/377090416.db2.gz DJMLJVHJEKUDBO-UHFFFAOYSA-N -1 1 325.350 -0.110 20 0 EBADMM O=C(CCCc1nn[n-]n1)NC[C@@H](N1CCOCC1)C(F)(F)F ZINC000635923824 377139471 /nfs/dbraw/zinc/13/94/71/377139471.db2.gz NUQSSXLSSMXHKR-SECBINFHSA-N -1 1 336.318 -0.098 20 0 EBADMM C[C@@H]1[C@H](C)NCCN1S(=O)(=O)c1c[nH]c2nc(=O)[n-]c(=O)c-2c1 ZINC000262742154 377392784 /nfs/dbraw/zinc/39/27/84/377392784.db2.gz CMEKXTRWEITHOP-JGVFFNPUSA-N -1 1 339.377 -0.193 20 0 EBADMM O=C(CN1CCc2ccccc2C1=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000363892978 377504634 /nfs/dbraw/zinc/50/46/34/377504634.db2.gz WNORVQCURNGWHJ-CYBMUJFWSA-N -1 1 342.359 -0.202 20 0 EBADMM COC(=O)[C@H]1C[C@H](O)CN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000592462898 377833753 /nfs/dbraw/zinc/83/37/53/377833753.db2.gz CFWHMHAJVRVIRH-UWVGGRQHSA-N -1 1 331.328 -0.081 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H](O)C[C@@H](C(=O)OC)C2)o1 ZINC000592912620 377863046 /nfs/dbraw/zinc/86/30/46/377863046.db2.gz OQYTXNMOSRVBFR-RKDXNWHRSA-N -1 1 346.361 -0.816 20 0 EBADMM COC(=O)[C@@H](CO)[N-]S(=O)(=O)CCCOc1ccc(OC)cc1 ZINC000594470923 377939442 /nfs/dbraw/zinc/93/94/42/377939442.db2.gz WZGJANSKQXIABK-CYBMUJFWSA-N -1 1 347.389 -0.083 20 0 EBADMM COC(=O)Cn1cc([N-]S(=O)(=O)C[C@@H](OC)[C@H]2CCOC2)cn1 ZINC000594695518 377948694 /nfs/dbraw/zinc/94/86/94/377948694.db2.gz SFFLKBWSOZONFR-CMPLNLGQSA-N -1 1 347.393 -0.151 20 0 EBADMM CC[C@@](COC)([N-]S(=O)(=O)N1CCC(OC)CC1)C(=O)OC ZINC000594785613 377958688 /nfs/dbraw/zinc/95/86/88/377958688.db2.gz UACMSFYSLXUKKK-ZDUSSCGKSA-N -1 1 338.426 -0.100 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)[N-]C[C@@H](C)N2CCN(C)CC2)on1 ZINC000599245585 378207384 /nfs/dbraw/zinc/20/73/84/378207384.db2.gz UAMVIHWNLRYXQE-SNVBAGLBSA-N -1 1 346.409 -0.625 20 0 EBADMM COC(=O)c1cc(F)cc(S(=O)(=O)[N-][C@H]2CCNC2=O)c1F ZINC000599338215 378226284 /nfs/dbraw/zinc/22/62/84/378226284.db2.gz QPHITDJIJMOSLW-QMMMGPOBSA-N -1 1 334.300 -0.082 20 0 EBADMM COc1ccc(C(=O)NCc2nn[n-]n2)cc1S(=O)(=O)N(C)C ZINC000599334318 378232299 /nfs/dbraw/zinc/23/22/99/378232299.db2.gz LHFNXFTTWLFJBQ-UHFFFAOYSA-N -1 1 340.365 -0.611 20 0 EBADMM CCOC(=O)[C@@H]1CCCN(S(=O)(=O)[N-][C@@H]2COCCC2=O)C1 ZINC000599442659 378240260 /nfs/dbraw/zinc/24/02/60/378240260.db2.gz XCOLXZRZOQWZQG-GHMZBOCLSA-N -1 1 334.394 -0.546 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H](O)CC(=O)OC)o1 ZINC000599463672 378246846 /nfs/dbraw/zinc/24/68/46/378246846.db2.gz XJQHBXNTOWQVIN-QMMMGPOBSA-N -1 1 335.334 -0.341 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H]1COCCN1C ZINC000599454728 378247600 /nfs/dbraw/zinc/24/76/00/378247600.db2.gz WLPPWTKNTJIKRP-VIFPVBQESA-N -1 1 332.382 -0.805 20 0 EBADMM CC[C@H](C)NC(=O)c1ccc(NC(=O)C(=O)NCc2nn[n-]n2)cc1 ZINC000606117912 378532276 /nfs/dbraw/zinc/53/22/76/378532276.db2.gz WVWQYCZJDKCXNZ-VIFPVBQESA-N -1 1 345.363 -0.017 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H](C)C(=O)N2CCCC2)o1 ZINC000337109476 378615273 /nfs/dbraw/zinc/61/52/73/378615273.db2.gz CQLVSVXONJFMCP-SECBINFHSA-N -1 1 329.378 -0.072 20 0 EBADMM CS(=O)(=O)[C@H]1CCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000337526262 378658734 /nfs/dbraw/zinc/65/87/34/378658734.db2.gz XVNBSCWSZFVLCL-VIFPVBQESA-N -1 1 327.362 -0.838 20 0 EBADMM C[C@H]1CCC[C@H]1NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000337564758 378664169 /nfs/dbraw/zinc/66/41/69/378664169.db2.gz VOSCLKOZINEXGT-DTWKUNHWSA-N -1 1 329.378 -0.038 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)Cn2c3ccccc3[nH]c2=O)n1 ZINC000338110991 378735064 /nfs/dbraw/zinc/73/50/64/378735064.db2.gz DNICXHXNFOAVFI-UHFFFAOYSA-N -1 1 336.333 -0.098 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)Cn2c3ccccc3[nH]c2=O)[n-]1 ZINC000338110991 378735067 /nfs/dbraw/zinc/73/50/67/378735067.db2.gz DNICXHXNFOAVFI-UHFFFAOYSA-N -1 1 336.333 -0.098 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)CNC(=O)c2cccc(F)c2)n1 ZINC000338122090 378736024 /nfs/dbraw/zinc/73/60/24/378736024.db2.gz TZYQUQQNTXOGHC-UHFFFAOYSA-N -1 1 341.324 -0.284 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)CNC(=O)c2cccc(F)c2)[n-]1 ZINC000338122090 378736032 /nfs/dbraw/zinc/73/60/32/378736032.db2.gz TZYQUQQNTXOGHC-UHFFFAOYSA-N -1 1 341.324 -0.284 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NCCC(=O)Nc1ccncc1 ZINC000337920532 378706926 /nfs/dbraw/zinc/70/69/26/378706926.db2.gz YOEKHQIKNBUMNL-UHFFFAOYSA-N -1 1 343.343 -0.521 20 0 EBADMM Cc1nnc([C@H]2CN(C(=O)CNC(=O)c3ncccc3[O-])CCO2)[nH]1 ZINC000337901048 378707627 /nfs/dbraw/zinc/70/76/27/378707627.db2.gz IZIOMWJIQYKSOA-LLVKDONJSA-N -1 1 346.347 -0.456 20 0 EBADMM Cc1nc([C@H]2CN(C(=O)CNC(=O)c3ncccc3[O-])CCO2)n[nH]1 ZINC000337901048 378707634 /nfs/dbraw/zinc/70/76/34/378707634.db2.gz IZIOMWJIQYKSOA-LLVKDONJSA-N -1 1 346.347 -0.456 20 0 EBADMM COCC[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C(C)(C)C ZINC000337984411 378724120 /nfs/dbraw/zinc/72/41/20/378724120.db2.gz GCLRYJYCGYCURJ-NSHDSACASA-N -1 1 347.437 -0.187 20 0 EBADMM CCOC(=O)[C@H](CO)[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000338942524 378833456 /nfs/dbraw/zinc/83/34/56/378833456.db2.gz LVFFKGZIZMPMOX-LURJTMIESA-N -1 1 345.299 -0.359 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NCCn1ncnn1 ZINC000338959660 378834846 /nfs/dbraw/zinc/83/48/46/378834846.db2.gz GZHMAKXEBNOCGH-UHFFFAOYSA-N -1 1 330.352 -0.213 20 0 EBADMM O=C(CNS(=O)(=O)Cc1c(F)cccc1F)N1CCNCC1 ZINC000563534202 379044310 /nfs/dbraw/zinc/04/43/10/379044310.db2.gz CUBMNQQVEHLTDE-UHFFFAOYSA-N -1 1 333.360 -0.184 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H]1CCOC[C@H]1OC ZINC000451778302 379066517 /nfs/dbraw/zinc/06/65/17/379066517.db2.gz SJNDVANLHQMMNK-MWLCHTKSSA-N -1 1 347.393 -0.084 20 0 EBADMM Cc1cnc(C(=O)N2CCCC[C@@H]2CNS(N)(=O)=O)c([O-])c1 ZINC000615939327 379066995 /nfs/dbraw/zinc/06/69/95/379066995.db2.gz UXJIVWKDJGLUFN-SNVBAGLBSA-N -1 1 328.394 -0.117 20 0 EBADMM CCCNC(=O)CN(C)C(=O)CS(=O)(=O)c1n[n-]c(C2CC2)n1 ZINC000453026609 379104150 /nfs/dbraw/zinc/10/41/50/379104150.db2.gz RYYIXXPHUWYGNK-UHFFFAOYSA-N -1 1 343.409 -0.560 20 0 EBADMM CCc1cc(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)on1 ZINC000607362312 379325752 /nfs/dbraw/zinc/32/57/52/379325752.db2.gz JAKVKVOFIVTCIT-UHFFFAOYSA-N -1 1 328.350 -0.887 20 0 EBADMM CC(C)(C)OC(=O)N1CCO[C@@H](C(=O)NN2CC(=O)[N-]C2=O)C1 ZINC000268996382 379339362 /nfs/dbraw/zinc/33/93/62/379339362.db2.gz WOSQIKJJNMGAFZ-MRVPVSSYSA-N -1 1 328.325 -0.795 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC1(CO)CCOCC1 ZINC000269693674 379396804 /nfs/dbraw/zinc/39/68/04/379396804.db2.gz KDNJMTRDCNLSGT-UHFFFAOYSA-N -1 1 347.393 -0.346 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCN(C(C)C)C1=O ZINC000269694402 379395456 /nfs/dbraw/zinc/39/54/56/379395456.db2.gz XZFVDVVYZBVUCC-JTQLQIEISA-N -1 1 344.393 -0.126 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CCCC[C@@H]2C(N)=O)co1 ZINC000270178892 379435924 /nfs/dbraw/zinc/43/59/24/379435924.db2.gz MJYIYQLWVPFKED-UWVGGRQHSA-N -1 1 329.378 -0.038 20 0 EBADMM COCCN1C[C@@H](C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)CC1=O ZINC000622611656 379450614 /nfs/dbraw/zinc/45/06/14/379450614.db2.gz HUSABELROCXEAT-JSGCOSHPSA-N -1 1 344.375 -0.100 20 0 EBADMM CC(C)[C@H](O)C(C)(C)C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000269884876 379415601 /nfs/dbraw/zinc/41/56/01/379415601.db2.gz BCIVBVWJUDPUKP-NSHDSACASA-N -1 1 347.437 -0.595 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2CC[C@@H](C(N)=O)O2)c1 ZINC000270040095 379427549 /nfs/dbraw/zinc/42/75/49/379427549.db2.gz RUNBQULJWXMARB-IUCAKERBSA-N -1 1 332.334 -0.623 20 0 EBADMM Cn1cc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)c(=O)[nH]c1=O ZINC000622610640 379452482 /nfs/dbraw/zinc/45/24/82/379452482.db2.gz ZNRMDRSMWGMVTQ-SNVBAGLBSA-N -1 1 327.304 -0.482 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@@H](NC(N)=O)C1 ZINC000270801934 379479055 /nfs/dbraw/zinc/47/90/55/379479055.db2.gz WOMUDIUOAUERAV-SNVBAGLBSA-N -1 1 332.364 -0.549 20 0 EBADMM CCNC(=O)C1(CNC(=O)CNC(=O)c2ncccc2[O-])CCC1 ZINC000489327299 379532952 /nfs/dbraw/zinc/53/29/52/379532952.db2.gz YELZYRLXHBHGJH-UHFFFAOYSA-N -1 1 334.376 -0.060 20 0 EBADMM NC(=O)CO[N-]C(=O)[C@@H]1CC(=O)N(c2ccc3c(c2)OCCO3)C1 ZINC000271420569 379523392 /nfs/dbraw/zinc/52/33/92/379523392.db2.gz PWTHZGNXWYLDFB-SECBINFHSA-N -1 1 335.316 -0.656 20 0 EBADMM CN(CC(=O)Nc1n[n-]c(C(F)(F)F)n1)[C@@H]1CCS(=O)(=O)C1 ZINC000642082696 379562603 /nfs/dbraw/zinc/56/26/03/379562603.db2.gz AYEWLOFBMWWIMB-ZCFIWIBFSA-N -1 1 341.315 -0.119 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@]2(C)CCO[C@@H]2C2CC2)c(=O)n(C)c1=O ZINC000273074802 379626463 /nfs/dbraw/zinc/62/64/63/379626463.db2.gz JZQGENCIVLOIFA-BXUZGUMPSA-N -1 1 343.405 -0.680 20 0 EBADMM Cc1cnc(C(=O)NCCC(=O)N[C@H]2CCS(=O)(=O)C2)c([O-])c1 ZINC000495015961 379700878 /nfs/dbraw/zinc/70/08/78/379700878.db2.gz IBWJLBSUXIGDNZ-JTQLQIEISA-N -1 1 341.389 -0.481 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCO[C@@]3(CCOC3)C2)co1 ZINC000274397789 379687582 /nfs/dbraw/zinc/68/75/82/379687582.db2.gz DJTJHESYGZPKQB-ZDUSSCGKSA-N -1 1 330.362 -0.181 20 0 EBADMM NC(=O)COc1ccc(C=CC(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000493191195 379667746 /nfs/dbraw/zinc/66/77/46/379667746.db2.gz UAUHBMFRHCQOJZ-ZZXKWVIFSA-N -1 1 328.332 -0.118 20 0 EBADMM C[C@H](CN(C)C(=O)CNC(=O)COc1ccccc1)c1nn[n-]n1 ZINC000274212199 379677088 /nfs/dbraw/zinc/67/70/88/379677088.db2.gz PDFFOAQZEWLXIW-LLVKDONJSA-N -1 1 332.364 -0.043 20 0 EBADMM CC(C)C[N@H+]1CCO[C@H](CNC(=O)CC[C@@H]2NC(=O)NC2=O)C1 ZINC000642164413 379727024 /nfs/dbraw/zinc/72/70/24/379727024.db2.gz ILNZKXUOLDOLTA-NEPJUHHUSA-N -1 1 326.397 -0.552 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)N(C)CC(=O)N2CCOCC2)c1 ZINC000631662871 379746262 /nfs/dbraw/zinc/74/62/62/379746262.db2.gz WWWISHQENWIVSK-UHFFFAOYSA-N -1 1 344.389 -0.120 20 0 EBADMM C[C@H](C(=O)N1CCCC1)N1CCN(C(=O)CCc2nn[n-]n2)CC1 ZINC000631739854 379753962 /nfs/dbraw/zinc/75/39/62/379753962.db2.gz GGJSFUNIGKCJRS-GFCCVEGCSA-N -1 1 335.412 -0.713 20 0 EBADMM COC(=O)[C@@H](NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C)[C@@H](C)O ZINC000275947479 379795462 /nfs/dbraw/zinc/79/54/62/379795462.db2.gz FXJOTPASKGVYQG-OTYXRUKQSA-N -1 1 336.348 -0.779 20 0 EBADMM C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614389502 379925470 /nfs/dbraw/zinc/92/54/70/379925470.db2.gz NTYLRGVZPWDXKP-GDPRMGEGSA-N -1 1 338.364 -0.243 20 0 EBADMM CCOC(=O)[C@@H]1CCCN1S(=O)(=O)[N-][C@@H](COC)C(=O)OC ZINC000538695837 379980041 /nfs/dbraw/zinc/98/00/41/379980041.db2.gz RLZCDFLEKBBTSN-UWVGGRQHSA-N -1 1 338.382 -0.964 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H](CO)[C@H]2CCOC2)o1 ZINC000280658137 379990337 /nfs/dbraw/zinc/99/03/37/379990337.db2.gz AXISVTKJHYVGDW-VHSXEESVSA-N -1 1 333.362 -0.011 20 0 EBADMM COC[C@H](C(N)=O)N(C)C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000354588434 380059460 /nfs/dbraw/zinc/05/94/60/380059460.db2.gz XDJVJDOKZXZIJC-LLVKDONJSA-N -1 1 335.364 -0.447 20 0 EBADMM CO[C@H](C)CS(=O)(=O)[N-]c1cnn(CC(=O)N2CCOCC2)c1 ZINC000282286733 380066072 /nfs/dbraw/zinc/06/60/72/380066072.db2.gz VQAFNCQYFHFQKU-LLVKDONJSA-N -1 1 346.409 -0.482 20 0 EBADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)c2cnc3n[nH]nc3c2)c1 ZINC000544541635 380075297 /nfs/dbraw/zinc/07/52/97/380075297.db2.gz SKPAHGKISQJDBB-UHFFFAOYSA-N -1 1 334.317 -0.042 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC(=O)N(CCOC)C2)co1 ZINC000282469045 380077470 /nfs/dbraw/zinc/07/74/70/380077470.db2.gz NONCLKMBLNRZAO-UHFFFAOYSA-N -1 1 331.350 -0.924 20 0 EBADMM CC(=O)N1CCN(C(=O)CN2CCC[C@H](c3n[n-]c(=O)o3)C2)CC1 ZINC000283905634 380149751 /nfs/dbraw/zinc/14/97/51/380149751.db2.gz FWDWSBQIDYPBMK-LBPRGKRZSA-N -1 1 337.380 -0.767 20 0 EBADMM O=C(Cn1nc2ccccn2c1=O)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000282828763 380091807 /nfs/dbraw/zinc/09/18/07/380091807.db2.gz DEPAHBFOAJYIOA-SNVBAGLBSA-N -1 1 343.347 -0.686 20 0 EBADMM Cc1[nH]c(=O)sc1S(=O)(=O)[N-]CCNC(=O)[C@]1(C)CCOC1 ZINC000547371850 380133613 /nfs/dbraw/zinc/13/36/13/380133613.db2.gz DEGCTOWWNKDCAH-GFCCVEGCSA-N -1 1 349.434 -0.022 20 0 EBADMM CCOCCCNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000355369863 380188575 /nfs/dbraw/zinc/18/85/75/380188575.db2.gz AHWXJTQCKWDJTO-UHFFFAOYSA-N -1 1 333.366 -0.800 20 0 EBADMM Cc1ccncc1NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000360110637 380259876 /nfs/dbraw/zinc/25/98/76/380259876.db2.gz NPOLUOPNCHBZSA-UHFFFAOYSA-N -1 1 338.345 -0.001 20 0 EBADMM COC(=O)c1c[n-]c(S(=O)(=O)CC(=O)Nc2cc(C)no2)n1 ZINC000285050062 380220597 /nfs/dbraw/zinc/22/05/97/380220597.db2.gz HOURFEXAVKGLEO-UHFFFAOYSA-N -1 1 328.306 -0.095 20 0 EBADMM NS(=O)(=O)c1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)s1 ZINC000553394505 380325181 /nfs/dbraw/zinc/32/51/81/380325181.db2.gz PHUCSDUEZVHWRJ-SSDOTTSWSA-N -1 1 342.406 -0.072 20 0 EBADMM Cn1nnc(C[N-]S(=O)(=O)c2cccc(S(C)(=O)=O)c2)n1 ZINC000362985250 380448354 /nfs/dbraw/zinc/44/83/54/380448354.db2.gz BBYYYXVBCURFEJ-UHFFFAOYSA-N -1 1 331.379 -0.908 20 0 EBADMM COCC[C@@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)C(=O)OC ZINC000289971034 380454783 /nfs/dbraw/zinc/45/47/83/380454783.db2.gz REUXWHKRABXFGE-SSDOTTSWSA-N -1 1 325.774 -0.070 20 0 EBADMM COC(=O)[C@@](C)(O)CNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000362399813 380400177 /nfs/dbraw/zinc/40/01/77/380400177.db2.gz CAWWXZBSJQBTDQ-HNNXBMFYSA-N -1 1 336.348 -0.366 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H](C2CC2)C(F)(F)F)c(=O)n(C)c1=O ZINC000288619328 380413692 /nfs/dbraw/zinc/41/36/92/380413692.db2.gz MAEAAVOOVMJDAR-MRVPVSSYSA-N -1 1 341.311 -0.297 20 0 EBADMM Cc1ccn(C[C@@](C)(O)C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c(=O)c1 ZINC000566771876 380490309 /nfs/dbraw/zinc/49/03/09/380490309.db2.gz IZRDGIKQRWLCOX-MLGOLLRUSA-N -1 1 346.391 -0.173 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCN3CCOC[C@H]3C2)co1 ZINC000368221409 380501243 /nfs/dbraw/zinc/50/12/43/380501243.db2.gz NUFLEEAHDSWMQC-LLVKDONJSA-N -1 1 329.378 -0.656 20 0 EBADMM CS(=O)(=O)[N-]c1ccc(F)cc1C(=O)NC[C@@H]1COCCN1 ZINC000638621028 380539304 /nfs/dbraw/zinc/53/93/04/380539304.db2.gz OJXXPTXIRZCEJQ-SNVBAGLBSA-N -1 1 331.369 -0.085 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CC[C@H]2C(N)=O)c1 ZINC000568210103 380583480 /nfs/dbraw/zinc/58/34/80/380583480.db2.gz OQECAHNLLKYSOK-RKDXNWHRSA-N -1 1 327.362 -0.250 20 0 EBADMM CCOC(=O)CC[C@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000340600026 380565940 /nfs/dbraw/zinc/56/59/40/380565940.db2.gz WRRAIOUDVUTIRX-VIFPVBQESA-N -1 1 347.393 -0.906 20 0 EBADMM O=C([C@H]1CC(c2cccnc2)=NO1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370103101 380590224 /nfs/dbraw/zinc/59/02/24/380590224.db2.gz QDMWSWHEVDXAJL-NEPJUHHUSA-N -1 1 329.320 -0.312 20 0 EBADMM CNC(=O)NCC(=O)Nc1ccc([N-]S(C)(=O)=O)c(OC)c1 ZINC000341158146 380673182 /nfs/dbraw/zinc/67/31/82/380673182.db2.gz OLTWWFQRNFYYDD-UHFFFAOYSA-N -1 1 330.366 -0.066 20 0 EBADMM CNC(=O)Cn1nc([N-]S(=O)(=O)N2C[C@H](C)OC[C@@H]2C)cc1C ZINC000340939966 380649481 /nfs/dbraw/zinc/64/94/81/380649481.db2.gz OFJNQOMDETZMHP-QWRGUYRKSA-N -1 1 345.425 -0.297 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@H]2CCOC(C)(C)C2)c(=O)n(C)c1=O ZINC000416501817 380784745 /nfs/dbraw/zinc/78/47/45/380784745.db2.gz JEZBNOWHGURFMC-JTQLQIEISA-N -1 1 345.421 -0.433 20 0 EBADMM COC1([C@@H](C)[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCC1 ZINC000416616056 380789717 /nfs/dbraw/zinc/78/97/17/380789717.db2.gz LEQQIHZPDMKGEI-SECBINFHSA-N -1 1 331.394 -0.680 20 0 EBADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CS(=O)(=O)C[C@H]1O ZINC000577504468 380826590 /nfs/dbraw/zinc/82/65/90/380826590.db2.gz IJONKSMOAXQHJM-RKDXNWHRSA-N -1 1 343.405 -0.324 20 0 EBADMM COc1ccc(-c2n[n-]c(S(=O)(=O)Cc3nnnn3C)n2)cc1 ZINC000577932597 380852517 /nfs/dbraw/zinc/85/25/17/380852517.db2.gz DHORWPOQICKDRZ-UHFFFAOYSA-N -1 1 335.349 -0.022 20 0 EBADMM COc1ccc(-c2nc(S(=O)(=O)Cc3nnnn3C)n[n-]2)cc1 ZINC000577932597 380852527 /nfs/dbraw/zinc/85/25/27/380852527.db2.gz DHORWPOQICKDRZ-UHFFFAOYSA-N -1 1 335.349 -0.022 20 0 EBADMM O=S(=O)(CCCS(=O)(=O)N1CCCOC1)[N-]CC(F)F ZINC000349507674 380883188 /nfs/dbraw/zinc/88/31/88/380883188.db2.gz LVKQJJCVEMQOBF-UHFFFAOYSA-N -1 1 336.382 -0.429 20 0 EBADMM O=C(Cn1c(=O)[nH]c2ccccc21)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000377609428 380982512 /nfs/dbraw/zinc/98/25/12/380982512.db2.gz RQZLLZBPBLLUSI-NSHDSACASA-N -1 1 329.320 -0.145 20 0 EBADMM CNS(=O)(=O)CC[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000190601011 380933205 /nfs/dbraw/zinc/93/32/05/380933205.db2.gz ZZOKWXHDKHBJQW-UHFFFAOYSA-N -1 1 332.325 -0.069 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC[C@@](C)(O)COC ZINC000584362178 381048489 /nfs/dbraw/zinc/04/84/89/381048489.db2.gz PRLDSJCXFQLJDL-GFCCVEGCSA-N -1 1 335.382 -0.348 20 0 EBADMM Cc1noc(C)c1S(=O)(=O)N[C@@H](C)C(=O)N1CCN2CC[C@H]1C2 ZINC000615560735 381145022 /nfs/dbraw/zinc/14/50/22/381145022.db2.gz SUEHLCJYVMXJKS-JQWIXIFHSA-N -1 1 342.421 -0.125 20 0 EBADMM CSC[C@@H](C)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000346826752 381131228 /nfs/dbraw/zinc/13/12/28/381131228.db2.gz MPJXEYPJBDCPCZ-SSDOTTSWSA-N -1 1 335.407 -0.476 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC(=O)NCc2ccco2)o1 ZINC000031526964 381177480 /nfs/dbraw/zinc/17/74/80/381177480.db2.gz PNUMXTMQARAGPS-UHFFFAOYSA-N -1 1 341.345 -0.173 20 0 EBADMM CCOC[C@@H](C(=O)OC)N(C)C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000610749323 381200273 /nfs/dbraw/zinc/20/02/73/381200273.db2.gz BQFVEMXYBQWPGQ-VIFPVBQESA-N -1 1 348.377 -0.162 20 0 EBADMM CO[C@@H](C)[C@@H](C)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000347382148 381211535 /nfs/dbraw/zinc/21/15/35/381211535.db2.gz UKVRCQZHLBZJDT-SFYZADRCSA-N -1 1 333.366 -0.804 20 0 EBADMM CCC[C@@](C)(O)CNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000347719825 381253953 /nfs/dbraw/zinc/25/39/53/381253953.db2.gz IBVLKOLMECIFHZ-CYBMUJFWSA-N -1 1 347.393 -0.676 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCN1c1nccn2cnnc12 ZINC000347496804 381225225 /nfs/dbraw/zinc/22/52/25/381225225.db2.gz GHKWBOXFUFZEAL-JTQLQIEISA-N -1 1 329.368 -0.743 20 0 EBADMM CC(C)(C)OC(=O)N1CCOC[C@@H]1CC(=O)NN1CC(=O)[N-]C1=O ZINC000367027981 381357304 /nfs/dbraw/zinc/35/73/04/381357304.db2.gz UJJXVNRMYCGKBU-VIFPVBQESA-N -1 1 342.352 -0.405 20 0 EBADMM CC(C)NS(=O)(=O)CCNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000348375687 381364566 /nfs/dbraw/zinc/36/45/66/381364566.db2.gz BKTQOPLEUJZLGK-UHFFFAOYSA-N -1 1 338.389 -0.019 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CCC[C@@H](C(N)=O)C2)o1 ZINC000349414572 381459632 /nfs/dbraw/zinc/45/96/32/381459632.db2.gz HKLDZZGXWMCKDW-BDAKNGLRSA-N -1 1 329.378 -0.038 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2nnnn2CC2CC2)co1 ZINC000351504900 381632200 /nfs/dbraw/zinc/63/22/00/381632200.db2.gz XYGYRMGQPUJVQT-UHFFFAOYSA-N -1 1 340.365 -0.486 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CCCCC[C@H]2O)c(=O)n(C)c1=O ZINC000287412190 381724242 /nfs/dbraw/zinc/72/42/42/381724242.db2.gz LNLZMXXYWWDKRJ-NXEZZACHSA-N -1 1 331.394 -0.944 20 0 EBADMM C[C@@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000332570370 381815286 /nfs/dbraw/zinc/81/52/86/381815286.db2.gz WTTFTWHYBXSGJW-ZDUSSCGKSA-N -1 1 348.403 -0.208 20 0 EBADMM CN(C)C(=O)[C@H]1CSCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000352363503 381974491 /nfs/dbraw/zinc/97/44/91/381974491.db2.gz RQDQBHXQMFFDCJ-SECBINFHSA-N -1 1 338.389 -0.493 20 0 EBADMM O=C(Nc1ccc(-n2ccc(=O)[n-]2)cc1)C1=C[C@@H](O)[C@@H](O)[C@H](O)C1 ZINC000629379210 382113607 /nfs/dbraw/zinc/11/36/07/382113607.db2.gz CTIMQUPIWCMQEY-UMVBOHGHSA-N -1 1 331.328 -0.071 20 0 EBADMM CO[C@H]1CS(=O)(=O)C[C@H]1[N-]S(=O)(=O)CC1(OC)CCC1 ZINC000632552679 382079462 /nfs/dbraw/zinc/07/94/62/382079462.db2.gz XDWCNCJJIPODOJ-ZJUUUORDSA-N -1 1 327.424 -0.713 20 0 EBADMM O=C(NCCn1c(=O)[nH]c2ccccc21)c1cc(=O)n2[n-]cnc2n1 ZINC000353842307 382178680 /nfs/dbraw/zinc/17/86/80/382178680.db2.gz NWXANCQWVAZVOE-UHFFFAOYSA-N -1 1 339.315 -0.097 20 0 EBADMM CC(C)C[C@H](C(=O)[O-])N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000629484560 382129935 /nfs/dbraw/zinc/12/99/35/382129935.db2.gz UUWZLRFURVYARR-CYBMUJFWSA-N -1 1 341.408 -0.511 20 0 EBADMM Cn1nnnc1CS(=O)(=O)c1nnc(Cc2cccs2)[n-]1 ZINC000447501704 382198090 /nfs/dbraw/zinc/19/80/90/382198090.db2.gz VRGZVGCPCYRGPN-UHFFFAOYSA-N -1 1 325.379 -0.046 20 0 EBADMM Cn1nnnc1CS(=O)(=O)c1n[n-]c(Cc2cccs2)n1 ZINC000447501704 382198102 /nfs/dbraw/zinc/19/81/02/382198102.db2.gz VRGZVGCPCYRGPN-UHFFFAOYSA-N -1 1 325.379 -0.046 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2CCC[C@@H](CO)C2)c(=O)n(C)c1=O ZINC000323354027 155183054 /nfs/dbraw/zinc/18/30/54/155183054.db2.gz UKBWTJSKZWNDGM-GHMZBOCLSA-N -1 1 345.421 -0.839 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCO[C@@H](C(=O)OC)C2)co1 ZINC000330445382 155270147 /nfs/dbraw/zinc/27/01/47/155270147.db2.gz GUZSTXZSMYSVGO-SECBINFHSA-N -1 1 332.334 -0.798 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)CC(=O)N2CCOCC2)co1 ZINC000330441116 155270287 /nfs/dbraw/zinc/27/02/87/155270287.db2.gz DJTDWOZZHUPMEO-UHFFFAOYSA-N -1 1 345.377 -0.882 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCO[C@H](C(=O)OC)C2)o1 ZINC000330544653 155282208 /nfs/dbraw/zinc/28/22/08/155282208.db2.gz ULMHLGWXAJPXHJ-VIFPVBQESA-N -1 1 332.334 -0.798 20 0 EBADMM CN1C(=O)C[C@@H](C(=O)NCCCc2nc(=O)[n-][nH]2)[C@@H]1c1cccnc1 ZINC000176269570 154111076 /nfs/dbraw/zinc/11/10/76/154111076.db2.gz WOJUSMYQIUTHOK-RISCZKNCSA-N -1 1 344.375 -0.239 20 0 EBADMM CNS(=O)(=O)CC[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000190605902 154155199 /nfs/dbraw/zinc/15/51/99/154155199.db2.gz PJKPDRRSHJFYTL-UHFFFAOYSA-N -1 1 332.325 -0.069 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@](C)(O)CSC ZINC000228583530 154165826 /nfs/dbraw/zinc/16/58/26/154165826.db2.gz MRSITBYOKSQBQX-LLVKDONJSA-N -1 1 337.423 -0.021 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2C[C@@H]2Cc2ccccc2)c(=O)n(C)c1=O ZINC000266186849 154340117 /nfs/dbraw/zinc/34/01/17/154340117.db2.gz MEHFFJKKEJGBQC-QWHCGFSZSA-N -1 1 349.412 -0.007 20 0 EBADMM CCO[C@H](CC[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C(C)C ZINC000266817134 154355242 /nfs/dbraw/zinc/35/52/42/154355242.db2.gz YDHCDZMYALRBFO-LLVKDONJSA-N -1 1 347.437 -0.187 20 0 EBADMM NS(=O)(=O)CCN1CCN(C(=O)c2cc(F)ccc2[O-])CC1 ZINC000267520675 154379058 /nfs/dbraw/zinc/37/90/58/154379058.db2.gz PIIFKNMVMLJFTE-UHFFFAOYSA-N -1 1 331.369 -0.422 20 0 EBADMM CN1CCN(c2ccc(NC(=O)c3cnc([O-])n(C)c3=O)nc2)CC1 ZINC000267545242 154380178 /nfs/dbraw/zinc/38/01/78/154380178.db2.gz BJTNXYCILVPQTC-UHFFFAOYSA-N -1 1 344.375 -0.115 20 0 EBADMM CC[C@@H]([N-]S(=O)(=O)c1c(C)onc1N)C(=O)N1CCOCC1 ZINC000290692314 155007746 /nfs/dbraw/zinc/00/77/46/155007746.db2.gz HXIGBTBUFBRWTP-SECBINFHSA-N -1 1 332.382 -0.519 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C(C)(C)C(F)(F)F)c(=O)n(C)c1=O ZINC000295194984 155068169 /nfs/dbraw/zinc/06/81/69/155068169.db2.gz LUWYWXYLLTWWNZ-UHFFFAOYSA-N -1 1 329.300 -0.297 20 0 EBADMM O=C(C[N-]C(=O)C(F)(F)C(=O)N1CCOCC1)NCC(F)(F)F ZINC000296188295 155075172 /nfs/dbraw/zinc/07/51/72/155075172.db2.gz MNYNIJPXKMQNNR-UHFFFAOYSA-N -1 1 347.240 -0.725 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cncc(F)c2)o1 ZINC000343766128 156165807 /nfs/dbraw/zinc/16/58/07/156165807.db2.gz OZQCEHLRPUQKNG-UHFFFAOYSA-N -1 1 342.308 -0.170 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2C=CCCC2)o1 ZINC000343808425 156170779 /nfs/dbraw/zinc/17/07/79/156170779.db2.gz AHMXSOVCFYJPHQ-VIFPVBQESA-N -1 1 327.362 -0.118 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CC(=O)N[C@H]2CCCC[C@@H]21 ZINC000343827692 156172648 /nfs/dbraw/zinc/17/26/48/156172648.db2.gz MXDIPEOERZRSOT-QWRGUYRKSA-N -1 1 332.360 -0.213 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CC(=O)N[C@@H]2CCCC[C@@H]21 ZINC000343827689 156173420 /nfs/dbraw/zinc/17/34/20/156173420.db2.gz MXDIPEOERZRSOT-MNOVXSKESA-N -1 1 332.360 -0.213 20 0 EBADMM COC(=O)Cn1cc(CCN2CCC[C@H](c3n[nH]c(=O)[n-]3)C2)nn1 ZINC000344107338 156203721 /nfs/dbraw/zinc/20/37/21/156203721.db2.gz QKDNTDMYYFYLIO-JTQLQIEISA-N -1 1 335.368 -0.303 20 0 EBADMM CC(C)(NC(=O)COCCNC(=O)c1ccccc1)c1nn[n-]n1 ZINC000344933089 156283922 /nfs/dbraw/zinc/28/39/22/156283922.db2.gz WXEAQPJFVGFFDQ-UHFFFAOYSA-N -1 1 332.364 -0.002 20 0 EBADMM C[C@H]1CC(=O)N[C@H](C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])C1 ZINC000344973069 156288672 /nfs/dbraw/zinc/28/86/72/156288672.db2.gz VVKCABYNSHHORJ-XCBNKYQSSA-N -1 1 327.362 -0.107 20 0 EBADMM Cc1[nH]c(=O)sc1S(=O)(=O)[N-]CCNC(=O)C1(O)CCCC1 ZINC000345444627 156335235 /nfs/dbraw/zinc/33/52/35/156335235.db2.gz JEFGYLAHGRIGQN-UHFFFAOYSA-N -1 1 349.434 -0.143 20 0 EBADMM C[C@H](O)C(=O)N1CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000345874872 156368588 /nfs/dbraw/zinc/36/85/88/156368588.db2.gz MUTZWBVATNYSAC-JTQLQIEISA-N -1 1 330.344 -0.412 20 0 EBADMM CCC(O)(CC)CNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000346292908 156395176 /nfs/dbraw/zinc/39/51/76/156395176.db2.gz YCWOWZZYSBJVDC-UHFFFAOYSA-N -1 1 347.393 -0.676 20 0 EBADMM CC(C)OCCCNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000355387202 156999910 /nfs/dbraw/zinc/99/99/10/156999910.db2.gz RZXNSWBOIWTFHL-UHFFFAOYSA-N -1 1 347.393 -0.412 20 0 EBADMM Cn1ncc2c1[n-]cc(C(=O)NCCCN1C(=O)CNC1=O)c2=O ZINC000355592116 157013811 /nfs/dbraw/zinc/01/38/11/157013811.db2.gz HSTRHUDTDGQAFZ-UHFFFAOYSA-N -1 1 332.320 -0.654 20 0 EBADMM CC(C)(C)[C@@H](O)C[C@@H](CO)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000356667744 157085762 /nfs/dbraw/zinc/08/57/62/157085762.db2.gz GYCBFXRCNKTDTO-JQWIXIFHSA-N -1 1 339.392 -0.209 20 0 EBADMM C[C@H](NS(=O)(=O)c1ccccc1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000357066264 157116957 /nfs/dbraw/zinc/11/69/57/157116957.db2.gz HGCDMPWQRDEJDA-VIFPVBQESA-N -1 1 336.377 -0.328 20 0 EBADMM Cn1nnnc1CNC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000357099554 157118395 /nfs/dbraw/zinc/11/83/95/157118395.db2.gz BCNJLJYQAXQRLU-UHFFFAOYSA-N -1 1 342.381 -0.190 20 0 EBADMM NC(=O)CNC(=O)c1ccc(CNC(=O)c2ncccc2[O-])cc1 ZINC000357107154 157119194 /nfs/dbraw/zinc/11/91/94/157119194.db2.gz BZOQBTSHRRGQCU-UHFFFAOYSA-N -1 1 328.328 -0.068 20 0 EBADMM Cn1ncc2c1[n-]cc(C(=O)N1CCN(C(C)(C)C(N)=O)CC1)c2=O ZINC000358339889 157233884 /nfs/dbraw/zinc/23/38/84/157233884.db2.gz HSUAOWSLSNMOPB-UHFFFAOYSA-N -1 1 346.391 -0.304 20 0 EBADMM NS(=O)(=O)c1ccc(CCNc2nc3[nH][n-]cc-3c(=O)n2)cc1 ZINC000358804065 157279837 /nfs/dbraw/zinc/27/98/37/157279837.db2.gz IDAZRIGXXYPVPZ-UHFFFAOYSA-N -1 1 334.361 -0.266 20 0 EBADMM C[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1cncc(F)c1 ZINC000359190884 157300954 /nfs/dbraw/zinc/30/09/54/157300954.db2.gz FHVYUYHSLAPGQX-MRVPVSSYSA-N -1 1 342.352 -0.342 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CN2CN=NC2=O)c1 ZINC000359702279 157338189 /nfs/dbraw/zinc/33/81/89/157338189.db2.gz XQJAKIKHEYRBRQ-UHFFFAOYSA-N -1 1 327.322 -0.764 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2COCCN2C)c1 ZINC000359699845 157338472 /nfs/dbraw/zinc/33/84/72/157338472.db2.gz LZXWMYODGMHWTP-LLVKDONJSA-N -1 1 329.378 -0.431 20 0 EBADMM CC(C)(NC(=O)Cn1c(=O)[nH]c2ccccc2c1=O)c1nn[n-]n1 ZINC000359793660 157343423 /nfs/dbraw/zinc/34/34/23/157343423.db2.gz SOPNNTYEKNYNKV-UHFFFAOYSA-N -1 1 329.320 -0.333 20 0 EBADMM CC(C)[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C(C)(C)O ZINC000360135240 157357909 /nfs/dbraw/zinc/35/79/09/157357909.db2.gz ZDOJNALCXRLCEG-SNVBAGLBSA-N -1 1 333.410 -0.842 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H](CO)CCC(C)(C)C)c(=O)n(C)c1=O ZINC000360540774 157384422 /nfs/dbraw/zinc/38/44/22/157384422.db2.gz CDHJTWOYFDLCDF-SNVBAGLBSA-N -1 1 347.437 -0.451 20 0 EBADMM C[C@@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])C[C@@H](C)S1=O ZINC000360725658 157394258 /nfs/dbraw/zinc/39/42/58/157394258.db2.gz MIYAEWBBXAQREF-NXEZZACHSA-N -1 1 325.390 -0.115 20 0 EBADMM O=C(NCCO)c1ccc(=NC[C@@]2(CO)CCc3ccccc32)[n-]n1 ZINC000651380801 419190575 /nfs/dbraw/zinc/19/05/75/419190575.db2.gz GINQTAWQVSBFKD-GOSISDBHSA-N -1 1 342.399 -0.091 20 0 EBADMM CC(C)(F)CCNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000618409202 419206839 /nfs/dbraw/zinc/20/68/39/419206839.db2.gz PIRQYLBPUWSPQC-UHFFFAOYSA-N -1 1 335.357 -0.089 20 0 EBADMM Cc1csc(CC[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)n1 ZINC000045808181 419226250 /nfs/dbraw/zinc/22/62/50/419226250.db2.gz RGOYUMZXMXGRBW-UHFFFAOYSA-N -1 1 344.418 -0.630 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2C[C@H](OC(C)(C)C)C2)c(=O)n(C)c1=O ZINC000655653734 419476145 /nfs/dbraw/zinc/47/61/45/419476145.db2.gz WNYIFAMIUSRLRO-MGCOHNPYSA-N -1 1 345.421 -0.292 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H]1CCN(CC(F)F)C1 ZINC000416344981 419431813 /nfs/dbraw/zinc/43/18/13/419431813.db2.gz ICRCLUVJPVHZIB-SECBINFHSA-N -1 1 328.319 -0.027 20 0 EBADMM CC[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)[C@@H]1CCCCO1 ZINC000655665795 419503565 /nfs/dbraw/zinc/50/35/65/419503565.db2.gz IFBYSXJCWFSFOC-MNOVXSKESA-N -1 1 345.421 -0.290 20 0 EBADMM CC(C)CN1CCO[C@@H](CNC(=O)CN2CCOC[C@@H]2C(=O)[O-])C1 ZINC000648425164 419732819 /nfs/dbraw/zinc/73/28/19/419732819.db2.gz UXEMXTIYGMNQQC-UONOGXRCSA-N -1 1 343.424 -0.755 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC2CCC(F)CC2)c(=O)n(C)c1=O ZINC000645859655 420521074 /nfs/dbraw/zinc/52/10/74/420521074.db2.gz AWVYPDBLPHTWKP-UHFFFAOYSA-N -1 1 333.385 -0.109 20 0 EBADMM COC(=O)C1(CS(=O)(=O)[N-][C@@H]2CC(=O)N(C)C2=O)CCCCC1 ZINC000489865439 420548681 /nfs/dbraw/zinc/54/86/81/420548681.db2.gz NOJBWYQVEITCLU-SNVBAGLBSA-N -1 1 346.405 -0.213 20 0 EBADMM CC(C)[C@@H]([N-]S(=O)(=O)C1COC1)c1nc(-c2nc[nH]n2)no1 ZINC000493943423 420580032 /nfs/dbraw/zinc/58/00/32/420580032.db2.gz CVHYVZCAUDWQCL-MRVPVSSYSA-N -1 1 328.354 -0.130 20 0 EBADMM CS(=O)(=O)c1nc(NC(=O)c2cccc(-n3cccn3)n2)n[n-]1 ZINC000347039198 420580421 /nfs/dbraw/zinc/58/04/21/420580421.db2.gz PPNUXRDSORNZSE-UHFFFAOYSA-N -1 1 333.333 0.041 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2cccc(-n3cccn3)n2)n1 ZINC000347039198 420580422 /nfs/dbraw/zinc/58/04/22/420580422.db2.gz PPNUXRDSORNZSE-UHFFFAOYSA-N -1 1 333.333 0.041 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCCc1nc(N)n[nH]1 ZINC000615020009 420665230 /nfs/dbraw/zinc/66/52/30/420665230.db2.gz UUDOZGQHGAOSBU-UHFFFAOYSA-N -1 1 330.352 -0.347 20 0 EBADMM O=C([O-])CN1CCCN(S(=O)(=O)CCOC(F)(F)F)CC1 ZINC000516943136 420694654 /nfs/dbraw/zinc/69/46/54/420694654.db2.gz RBAAYBPDJZIVSU-UHFFFAOYSA-N -1 1 334.316 -0.055 20 0 EBADMM CN1CC[C@H](C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)S1(=O)=O ZINC000649210040 420791559 /nfs/dbraw/zinc/79/15/59/420791559.db2.gz GNZNVNYSUCMJHX-GHMZBOCLSA-N -1 1 336.377 -0.561 20 0 EBADMM C[C@](O)(Cn1ccccc1=O)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000547737059 420794153 /nfs/dbraw/zinc/79/41/53/420794153.db2.gz VKRFWHNZGYUZRQ-ABAIWWIYSA-N -1 1 332.364 -0.481 20 0 EBADMM C[C@@H]1CCC[C@H](C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)O1 ZINC000657154565 420920661 /nfs/dbraw/zinc/92/06/61/420920661.db2.gz AHSDNVCRVJLOEI-NXEZZACHSA-N -1 1 331.394 -0.680 20 0 EBADMM COC(=O)c1oc(C(=O)[N-]c2ncn(CC(=O)N(C)C)n2)cc1C ZINC000599579174 421253561 /nfs/dbraw/zinc/25/35/61/421253561.db2.gz CKSFPKXJNMSKGW-UHFFFAOYSA-N -1 1 335.320 0.307 20 0 EBADMM CC[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)[C@H](O)C(C)C ZINC000416620697 264805251 /nfs/dbraw/zinc/80/52/51/264805251.db2.gz LDDIKSWYBOMSIL-MWLCHTKSSA-N -1 1 333.410 -0.842 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@H](n2ccnn2)C1 ZINC000425000925 265079588 /nfs/dbraw/zinc/07/95/88/265079588.db2.gz JDVMOBFIZCXFQO-NSHDSACASA-N -1 1 330.348 -0.028 20 0 EBADMM C[C@H](NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)C(F)(F)F ZINC000424955285 265080588 /nfs/dbraw/zinc/08/05/88/265080588.db2.gz RZBPFCOWXBPEEE-YFKPBYRVSA-N -1 1 343.283 -0.276 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCC(=O)N(C)C2=O)o1 ZINC000425084614 265081834 /nfs/dbraw/zinc/08/18/34/265081834.db2.gz JHUZEHLDZZKVDC-SSDOTTSWSA-N -1 1 329.334 -0.935 20 0 EBADMM CN1C(=O)NCC12CCN(C(=O)CNC(=O)c1ncccc1[O-])CC2 ZINC000425126969 265084004 /nfs/dbraw/zinc/08/40/04/265084004.db2.gz VUHNGQSIQDHLRY-UHFFFAOYSA-N -1 1 347.375 -0.467 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N=c2nc(C)[nH]n2C)ccc1[O-] ZINC000436900628 265243838 /nfs/dbraw/zinc/24/38/38/265243838.db2.gz DLANAIQFONIDSL-UHFFFAOYSA-N -1 1 326.334 -0.162 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCN(C(C)=O)C1 ZINC000443502182 265351236 /nfs/dbraw/zinc/35/12/36/265351236.db2.gz VPKLSKXTEVCALU-SECBINFHSA-N -1 1 330.366 -0.515 20 0 EBADMM Cn1[n-]c(COC(=O)CCNC(=O)OCC(F)(F)F)nc1=O ZINC000495407377 265555634 /nfs/dbraw/zinc/55/56/34/265555634.db2.gz KHAQCMIVFGMJLQ-UHFFFAOYSA-N -1 1 326.231 -0.170 20 0 EBADMM Cc1csc([C@@H](C)[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)n1 ZINC000067950955 526413373 /nfs/dbraw/zinc/41/33/73/526413373.db2.gz YGJMTPXWEFEHGA-MRVPVSSYSA-N -1 1 344.418 -0.112 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2[C@@H]3Cc4ccccc4[C@@H]32)c(=O)n(C)c1=O ZINC000154243617 527290776 /nfs/dbraw/zinc/29/07/76/527290776.db2.gz HLCPHXZCGVIDOA-XBFCOCLRSA-N -1 1 347.396 -0.299 20 0 EBADMM O=C(N[C@@H]1CN(c2ccc(F)cc2)C1=O)c1cc(=O)n2[n-]cnc2n1 ZINC000344267925 545880228 /nfs/dbraw/zinc/88/02/28/545880228.db2.gz VQUDFONXTVKLSX-LLVKDONJSA-N -1 1 342.290 -0.298 20 0 EBADMM C[C@@H](CO)N(C)S(=O)(=O)CCCS(=O)(=O)[N-]c1c[nH]cn1 ZINC000521899090 545966381 /nfs/dbraw/zinc/96/63/81/545966381.db2.gz JHKYPUAYAKYOCH-VIFPVBQESA-N -1 1 340.427 -0.816 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]CCS(=O)(=O)NC(C)(C)C ZINC000558429462 546042552 /nfs/dbraw/zinc/04/25/52/546042552.db2.gz YFBSUDGJFPJKJM-UHFFFAOYSA-N -1 1 340.427 -0.439 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCCc2cc(=O)[nH][nH]2)o1 ZINC000673545756 546143009 /nfs/dbraw/zinc/14/30/09/546143009.db2.gz JQVHSCVDBUOTFM-UHFFFAOYSA-N -1 1 328.350 -0.426 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@H]1CC[C@@H](C(N)=O)O1 ZINC000675369221 546183026 /nfs/dbraw/zinc/18/30/26/546183026.db2.gz VMQRERHDUFVGQY-PWSUYJOCSA-N -1 1 347.375 -0.257 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H](C)[C@@H]2CN(C)CCO2)co1 ZINC000677318434 546229016 /nfs/dbraw/zinc/22/90/16/546229016.db2.gz FPFUPPGLEKFIAP-ONGXEEELSA-N -1 1 331.394 -0.363 20 0 EBADMM CS(=O)(=O)N1CCC[C@H]1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000677926996 546241818 /nfs/dbraw/zinc/24/18/18/546241818.db2.gz MIMHSYPSSQEVHC-UWVGGRQHSA-N -1 1 328.398 -0.670 20 0 EBADMM CS(=O)(=O)N1CCN(CCNC(=O)c2ccc([O-])c(F)c2)CC1 ZINC000681020518 546296751 /nfs/dbraw/zinc/29/67/51/546296751.db2.gz DJAXFYLJOZVGFW-UHFFFAOYSA-N -1 1 345.396 -0.162 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(C[C@@H]2CCCO2)CC1 ZINC000726866412 582623221 /nfs/dbraw/zinc/62/32/21/582623221.db2.gz SQOVIBRKDJBUQA-ZDUSSCGKSA-N -1 1 336.392 -0.750 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@H]1COc2ccccc2O1 ZINC000726866416 582623259 /nfs/dbraw/zinc/62/32/59/582623259.db2.gz STAOHPOTNCPERT-NSHDSACASA-N -1 1 331.328 -0.117 20 0 EBADMM NC(=O)COc1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC000726866236 582623265 /nfs/dbraw/zinc/62/32/65/582623265.db2.gz YDFACIDGRGOYPL-UHFFFAOYSA-N -1 1 332.316 -0.571 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1n[nH]c(-c2ccccn2)n1 ZINC000727849470 582661036 /nfs/dbraw/zinc/66/10/36/582661036.db2.gz BMXHEWNPCJIVBD-UHFFFAOYSA-N -1 1 327.304 -0.255 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CCN(CC(F)(F)F)C1 ZINC000727853244 582661293 /nfs/dbraw/zinc/66/12/93/582661293.db2.gz FUTSAKBDIBQFQJ-VIFPVBQESA-N -1 1 334.298 -0.321 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC(=O)NCCc1ccccc1 ZINC000727853882 582661349 /nfs/dbraw/zinc/66/13/49/582661349.db2.gz YCFKZACAHUEEGT-UHFFFAOYSA-N -1 1 344.371 -0.598 20 0 EBADMM COCCN(CCC(=O)[O-])CC(=O)N1CCN(C(=O)C2CC2)CC1 ZINC000737794406 582684932 /nfs/dbraw/zinc/68/49/32/582684932.db2.gz NIAITFKPPAKKGM-UHFFFAOYSA-N -1 1 341.408 -0.510 20 0 EBADMM C[C@H]1CCN(S(=O)(=O)[N-]c2ccn(CCN3CC[NH+]=C3[O-])n2)C1 ZINC000901747579 582799093 /nfs/dbraw/zinc/79/90/93/582799093.db2.gz IYUYPNSSHSAWOO-NSHDSACASA-N -1 1 342.425 -0.093 20 0 EBADMM COC[C@@H]1C[NH2+]CCN1S(=O)(=O)c1nc[nH]c1Br ZINC000903599222 582812946 /nfs/dbraw/zinc/81/29/46/582812946.db2.gz XUIJUOMQSPXGBW-ZETCQYMHSA-N -1 1 339.215 -0.219 20 0 EBADMM C[C@H](NC(=O)c1ccccc1)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000732092413 582844672 /nfs/dbraw/zinc/84/46/72/582844672.db2.gz ZNBZUIMROADSON-JQWIXIFHSA-N -1 1 330.348 -0.082 20 0 EBADMM CC(C)NS(=O)(=O)c1cc(C(=O)NCc2nn[n-]n2)ccc1F ZINC000732157947 582845439 /nfs/dbraw/zinc/84/54/39/582845439.db2.gz MNKOGPCYJPODKE-UHFFFAOYSA-N -1 1 342.356 -0.045 20 0 EBADMM O=C(NCc1nn[n-]n1)c1ccc(S(=O)(=O)N2CCCC2)o1 ZINC000732158301 582845488 /nfs/dbraw/zinc/84/54/88/582845488.db2.gz YFWPNXNFRKYVLL-UHFFFAOYSA-N -1 1 326.338 -0.493 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@@H](N2CCCCC2=O)C1 ZINC000732834115 582854324 /nfs/dbraw/zinc/85/43/24/582854324.db2.gz MUWOPECCKLLGEF-CYBMUJFWSA-N -1 1 348.403 -0.070 20 0 EBADMM C[C@H](CS(C)(=O)=O)N(C(=O)CCn1cc[n-]c(=O)c1=O)C1CC1 ZINC000733275699 582859046 /nfs/dbraw/zinc/85/90/46/582859046.db2.gz OEMNCOKOOVXJMT-SNVBAGLBSA-N -1 1 343.405 -0.649 20 0 EBADMM CC[C@@H]1C(=O)NCCN1S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736394327 582932749 /nfs/dbraw/zinc/93/27/49/582932749.db2.gz BLMRYAAVLMYGPD-SNVBAGLBSA-N -1 1 337.365 -0.839 20 0 EBADMM CC[C@@H]1C(=O)NCCN1S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736394327 582932750 /nfs/dbraw/zinc/93/27/50/582932750.db2.gz BLMRYAAVLMYGPD-SNVBAGLBSA-N -1 1 337.365 -0.839 20 0 EBADMM CC(C)CN1CCO[C@@H](CNC(=O)Cn2cnc(C(=O)[O-])n2)C1 ZINC000736443075 582934332 /nfs/dbraw/zinc/93/43/32/582934332.db2.gz NIDBORTTYBYIRM-NSHDSACASA-N -1 1 325.369 -0.551 20 0 EBADMM CCCN(CC(=O)NC)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736468423 582935467 /nfs/dbraw/zinc/93/54/67/582935467.db2.gz BBZQHCAZNJKGGZ-UHFFFAOYSA-N -1 1 339.381 -0.592 20 0 EBADMM CCCN(CC(=O)NC)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736468423 582935469 /nfs/dbraw/zinc/93/54/69/582935469.db2.gz BBZQHCAZNJKGGZ-UHFFFAOYSA-N -1 1 339.381 -0.592 20 0 EBADMM CCNC(=O)NC(=O)[C@H](C)OC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736575624 582943709 /nfs/dbraw/zinc/94/37/09/582943709.db2.gz IMBGLAXVIVBHNL-ZETCQYMHSA-N -1 1 333.308 -0.347 20 0 EBADMM CCNC(=O)NC(=O)[C@H](C)OC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736575624 582943711 /nfs/dbraw/zinc/94/37/11/582943711.db2.gz IMBGLAXVIVBHNL-ZETCQYMHSA-N -1 1 333.308 -0.347 20 0 EBADMM CCOC(=O)[C@@H](C)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736583525 582943997 /nfs/dbraw/zinc/94/39/97/582943997.db2.gz CZVQCKUEHQPPJK-SSDOTTSWSA-N -1 1 326.338 -0.508 20 0 EBADMM CCOC(=O)[C@@H](C)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736583525 582944000 /nfs/dbraw/zinc/94/40/00/582944000.db2.gz CZVQCKUEHQPPJK-SSDOTTSWSA-N -1 1 326.338 -0.508 20 0 EBADMM CCOC(=O)CCCCn1c(=O)c(-c2nn[n-]n2)cn(CC)c1=O ZINC000736591421 582945099 /nfs/dbraw/zinc/94/50/99/582945099.db2.gz DFUFZRSTPMUUSU-UHFFFAOYSA-N -1 1 336.352 -0.057 20 0 EBADMM CCS(=O)(=O)N(C)CCCNc1nccnc1-c1nnn[n-]1 ZINC000736658648 582948988 /nfs/dbraw/zinc/94/89/88/582948988.db2.gz GTHIBFPHVYQIMO-UHFFFAOYSA-N -1 1 326.386 -0.260 20 0 EBADMM CCS(=O)(=O)N(C)CCCNc1nccnc1-c1nn[n-]n1 ZINC000736658648 582948989 /nfs/dbraw/zinc/94/89/89/582948989.db2.gz GTHIBFPHVYQIMO-UHFFFAOYSA-N -1 1 326.386 -0.260 20 0 EBADMM CN(CCCNC(=O)c1ccc(-c2nnn[n-]2)nc1)S(C)(=O)=O ZINC000736800620 582957727 /nfs/dbraw/zinc/95/77/27/582957727.db2.gz OGRNYQFCGZHBCX-UHFFFAOYSA-N -1 1 339.381 -0.727 20 0 EBADMM CN(CCCNC(=O)c1ccc(-c2nn[n-]n2)nc1)S(C)(=O)=O ZINC000736800620 582957729 /nfs/dbraw/zinc/95/77/29/582957729.db2.gz OGRNYQFCGZHBCX-UHFFFAOYSA-N -1 1 339.381 -0.727 20 0 EBADMM CN1CC[N@@H+](C)C[C@H]1CNC(=O)COc1ccc(-c2nn[nH]n2)cc1 ZINC000736855417 582963032 /nfs/dbraw/zinc/96/30/32/582963032.db2.gz BUOAXLBPVYCPSV-CYBMUJFWSA-N -1 1 345.407 -0.393 20 0 EBADMM CN1CCN(C)[C@H](CNC(=O)COc2ccc(-c3nn[nH]n3)cc2)C1 ZINC000736855417 582963034 /nfs/dbraw/zinc/96/30/34/582963034.db2.gz BUOAXLBPVYCPSV-CYBMUJFWSA-N -1 1 345.407 -0.393 20 0 EBADMM COC(=O)c1cc(-c2nn[n-]n2)c(=O)n(CC(=O)c2cnn(C)c2)c1 ZINC000736941072 582976363 /nfs/dbraw/zinc/97/63/63/582976363.db2.gz NYJHOYLFIZNOCY-UHFFFAOYSA-N -1 1 343.303 -0.569 20 0 EBADMM CCCc1nnc([C@H]2CN(CC(=O)NCCC(=O)[O-])CCO2)[nH]1 ZINC000737049705 582985885 /nfs/dbraw/zinc/98/58/85/582985885.db2.gz BDSKTYMGTUHVIV-SNVBAGLBSA-N -1 1 325.369 -0.279 20 0 EBADMM CCCc1n[nH]c([C@H]2CN(CC(=O)NCCC(=O)[O-])CCO2)n1 ZINC000737049705 582985888 /nfs/dbraw/zinc/98/58/88/582985888.db2.gz BDSKTYMGTUHVIV-SNVBAGLBSA-N -1 1 325.369 -0.279 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)Cc2ccc(-c3nn[nH]n3)cc2)n1 ZINC000737172848 582995398 /nfs/dbraw/zinc/99/53/98/582995398.db2.gz KQRDNHXAIJLLPP-UHFFFAOYSA-N -1 1 348.348 -0.431 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)Cc2ccc(-c3nn[nH]n3)cc2)[n-]1 ZINC000737172848 582995402 /nfs/dbraw/zinc/99/54/02/582995402.db2.gz KQRDNHXAIJLLPP-UHFFFAOYSA-N -1 1 348.348 -0.431 20 0 EBADMM CN(CC(=O)Nc1n[nH]c(CC(=O)[O-])n1)c1ncnc2[nH]cnc21 ZINC000737400167 583007209 /nfs/dbraw/zinc/00/72/09/583007209.db2.gz VZZZQYFQKUDAQZ-UHFFFAOYSA-N -1 1 331.296 -0.827 20 0 EBADMM Cc1cnn(CCNS(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)c1 ZINC000737449353 583008590 /nfs/dbraw/zinc/00/85/90/583008590.db2.gz WDPSUUQAMGMHMN-UHFFFAOYSA-N -1 1 334.365 -0.255 20 0 EBADMM Cc1cnn(CCNS(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)c1 ZINC000737449353 583008592 /nfs/dbraw/zinc/00/85/92/583008592.db2.gz WDPSUUQAMGMHMN-UHFFFAOYSA-N -1 1 334.365 -0.255 20 0 EBADMM COC(=O)[C@@H]1CN(CCCCN2CCO[C@H](C(=O)[O-])C2)CCO1 ZINC000737586700 583017238 /nfs/dbraw/zinc/01/72/38/583017238.db2.gz DSOAXXCULJWRMG-STQMWFEESA-N -1 1 330.381 -0.574 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N2CC[C@@H](c3ccco3)C2)n(C)c1=O ZINC000737597994 583018902 /nfs/dbraw/zinc/01/89/02/583018902.db2.gz WAFCAMCYCQVIMP-SECBINFHSA-N -1 1 343.347 -0.149 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NC[C@H](O)c2ccsc2)n(C)c1=O ZINC000737595084 583019001 /nfs/dbraw/zinc/01/90/01/583019001.db2.gz FLUYMOXGXOLCNT-QMMMGPOBSA-N -1 1 349.376 -0.529 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NCCCc2nccs2)n(C)c1=O ZINC000737595651 583019043 /nfs/dbraw/zinc/01/90/43/583019043.db2.gz JKYILSMPNRCNGL-UHFFFAOYSA-N -1 1 348.392 -0.235 20 0 EBADMM O=C1CN(S(=O)(=O)c2ccc(F)c(-c3nn[n-]n3)c2)CCN1 ZINC000738307385 583049185 /nfs/dbraw/zinc/04/91/85/583049185.db2.gz SBCRUCDNRUFKKR-UHFFFAOYSA-N -1 1 326.313 -0.874 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCCOCC1CCOCC1 ZINC000740841213 583068076 /nfs/dbraw/zinc/06/80/76/583068076.db2.gz NIDJTDYSOBCRLF-UHFFFAOYSA-N -1 1 339.392 -0.124 20 0 EBADMM O=C(Cc1cccs1)N1CCC[C@@H]1C(=O)NN1CC(=O)[N-]C1=O ZINC000743286682 583084511 /nfs/dbraw/zinc/08/45/11/583084511.db2.gz FBFHIOMWUAQTJL-SNVBAGLBSA-N -1 1 336.373 -0.135 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)CC1(C)OCCO1 ZINC000743490553 583085583 /nfs/dbraw/zinc/08/55/83/583085583.db2.gz IORXEUIDQQLSBD-LLVKDONJSA-N -1 1 325.365 -0.168 20 0 EBADMM O=C(c1ccnc(O[C@@H]2CCOC2)c1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000755293613 583174472 /nfs/dbraw/zinc/17/44/72/583174472.db2.gz FQCOBZAZGAKPHF-VXGBXAGGSA-N -1 1 346.347 -0.024 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H](C(=O)NC(N)=O)C(C)C)co1 ZINC000756395963 583183038 /nfs/dbraw/zinc/18/30/38/583183038.db2.gz VOBUNGAMNRCMFA-SECBINFHSA-N -1 1 347.349 -0.436 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC(=O)NCCC(=O)OC)co1 ZINC000756394066 583183171 /nfs/dbraw/zinc/18/31/71/583183171.db2.gz LJYGRFYGDZNJDO-UHFFFAOYSA-N -1 1 348.333 -0.976 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCCS(N)(=O)=O)co1 ZINC000756403186 583183295 /nfs/dbraw/zinc/18/32/95/583183295.db2.gz RNBZHHASUKMSFL-UHFFFAOYSA-N -1 1 326.352 -0.977 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H](COC)Cn2cncn2)o1 ZINC000756617525 583185225 /nfs/dbraw/zinc/18/52/25/583185225.db2.gz IVQWKDXMAMOCJL-SECBINFHSA-N -1 1 344.349 -0.349 20 0 EBADMM CCOC(=O)[C@H](CO)[N-]S(=O)(=O)c1c(C)nn(C)c1Cl ZINC000758501363 583204512 /nfs/dbraw/zinc/20/45/12/583204512.db2.gz DEMICEJTSLIJDJ-ZETCQYMHSA-N -1 1 325.774 -0.416 20 0 EBADMM CCS(=O)(=O)CCN(C)C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000760036177 583220989 /nfs/dbraw/zinc/22/09/89/583220989.db2.gz CRXDCXKCXJOWAU-UHFFFAOYSA-N -1 1 338.407 -0.306 20 0 EBADMM C[C@H](NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)c1ccc[nH]1 ZINC000762202859 583245454 /nfs/dbraw/zinc/24/54/54/583245454.db2.gz WUCJMUHXIBZWOD-QMMMGPOBSA-N -1 1 340.361 -0.138 20 0 EBADMM Cn1[n-]c(COC(=O)CCN2C(=O)c3ccccc3C2=O)nc1=O ZINC000765387161 583280965 /nfs/dbraw/zinc/28/09/65/583280965.db2.gz SEZOYACJILWMAV-UHFFFAOYSA-N -1 1 330.300 -0.162 20 0 EBADMM COc1ccc(S(N)(=O)=O)cc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765407934 583281206 /nfs/dbraw/zinc/28/12/06/583281206.db2.gz DLXOXGVLPUUOQK-UHFFFAOYSA-N -1 1 342.333 -0.879 20 0 EBADMM Cn1[n-]c(COC(=O)c2cc(S(N)(=O)=O)ccc2Cl)nc1=O ZINC000765416657 583281961 /nfs/dbraw/zinc/28/19/61/583281961.db2.gz KAHPVNGNYCROLV-UHFFFAOYSA-N -1 1 346.752 -0.234 20 0 EBADMM CNS(=O)(=O)c1ccc(C)c(C(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000765432237 583282464 /nfs/dbraw/zinc/28/24/64/583282464.db2.gz UEBPAUUISJZJDT-UHFFFAOYSA-N -1 1 340.361 -0.318 20 0 EBADMM Cn1[n-]c(COC(=O)c2ccc(F)c(NS(C)(=O)=O)c2)nc1=O ZINC000765459948 583282890 /nfs/dbraw/zinc/28/28/90/583282890.db2.gz FFVUPWIUSQUSNQ-UHFFFAOYSA-N -1 1 344.324 -0.024 20 0 EBADMM Cn1[n-]c(COC(=O)c2ccc([C@@]3(C)NC(=O)NC3=O)cc2)nc1=O ZINC000765485859 583283356 /nfs/dbraw/zinc/28/33/56/583283356.db2.gz BUYNMXXZWOUGCL-OAHLLOKOSA-N -1 1 345.315 -0.480 20 0 EBADMM CCOC(=O)c1cnn2c1nccc2C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765497930 583284111 /nfs/dbraw/zinc/28/41/11/583284111.db2.gz RATMBPHHBDDFDT-UHFFFAOYSA-N -1 1 346.303 -0.315 20 0 EBADMM CNC(=O)CCOc1ccc(NC(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000770428161 583336815 /nfs/dbraw/zinc/33/68/15/583336815.db2.gz UVVSDUMUPHLBEA-UHFFFAOYSA-N -1 1 335.320 -0.210 20 0 EBADMM COCC(=O)N1CCc2cc(NC(=O)NN3CC(=O)[N-]C3=O)ccc21 ZINC000770786631 583341903 /nfs/dbraw/zinc/34/19/03/583341903.db2.gz BQWWNCACEHPSTC-UHFFFAOYSA-N -1 1 347.331 -0.190 20 0 EBADMM CN1N=C(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])CCC1=O ZINC000771484168 583348227 /nfs/dbraw/zinc/34/82/27/583348227.db2.gz CVSCZIUJFIQBAZ-UHFFFAOYSA-N -1 1 326.334 -0.414 20 0 EBADMM CC(C)OC[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)CCO1 ZINC000771677776 583350611 /nfs/dbraw/zinc/35/06/11/583350611.db2.gz RAZDUACGLZIQSO-GFCCVEGCSA-N -1 1 325.365 -0.421 20 0 EBADMM O=S(=O)(c1nc(-c2ccccc2)n[n-]1)[C@H]1CS(=O)(=O)C[C@@H]1O ZINC000774008887 583378606 /nfs/dbraw/zinc/37/86/06/583378606.db2.gz MECLONHKOXQLFD-UWVGGRQHSA-N -1 1 343.386 -0.597 20 0 EBADMM O=S(=O)(c1n[n-]c(-c2ccccc2)n1)[C@H]1CS(=O)(=O)C[C@@H]1O ZINC000774008887 583378609 /nfs/dbraw/zinc/37/86/09/583378609.db2.gz MECLONHKOXQLFD-UWVGGRQHSA-N -1 1 343.386 -0.597 20 0 EBADMM O=C(CN1CCS(=O)(=O)CC1)OCCC[N-]C(=O)C(F)(F)F ZINC000774912042 583392325 /nfs/dbraw/zinc/39/23/25/583392325.db2.gz ANFHHVFIHFLKBT-UHFFFAOYSA-N -1 1 346.327 -0.671 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)OCCOC2CCC2)o1 ZINC000805543640 583396310 /nfs/dbraw/zinc/39/63/10/583396310.db2.gz SWLIWWLGMZYVNK-UHFFFAOYSA-N -1 1 346.361 -0.231 20 0 EBADMM C[C@H](OC(=O)c1cn[n-]n1)C(=O)N1CCN(c2ncccn2)CC1 ZINC000805604952 583420485 /nfs/dbraw/zinc/42/04/85/583420485.db2.gz SFNMQRHRRUHLEX-JTQLQIEISA-N -1 1 331.336 -0.511 20 0 EBADMM O=C(CNC(=O)c1cccc(O)c1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000778770316 583425780 /nfs/dbraw/zinc/42/57/80/583425780.db2.gz WCFYSFGDMGBLDQ-LLVKDONJSA-N -1 1 332.320 -0.765 20 0 EBADMM CS(=O)(=O)NCCc1ccc(C(=O)[N-]N2CCCNC2=O)s1 ZINC000783600352 583480284 /nfs/dbraw/zinc/48/02/84/583480284.db2.gz MTBIFISYPBTXFF-UHFFFAOYSA-N -1 1 346.434 -0.100 20 0 EBADMM CC(C)(C)OC(=O)N1CC(C)(OCC(=O)NCc2nn[n-]n2)C1 ZINC000783623693 583480614 /nfs/dbraw/zinc/48/06/14/583480614.db2.gz UAWRNUAJHLYVHR-UHFFFAOYSA-N -1 1 326.357 -0.158 20 0 EBADMM COc1cccc(C[C@H](O)C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c1 ZINC000785410763 583497417 /nfs/dbraw/zinc/49/74/17/583497417.db2.gz GGCWOXNDDLRIPI-QWHCGFSZSA-N -1 1 333.348 -0.288 20 0 EBADMM C[C@H]1CC[C@@H](COC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)O1 ZINC000786195782 583506722 /nfs/dbraw/zinc/50/67/22/583506722.db2.gz BCRVHHMWCVBPLH-IUCAKERBSA-N -1 1 346.361 -0.233 20 0 EBADMM COC(=O)C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1ccncc1 ZINC000786245890 583507427 /nfs/dbraw/zinc/50/74/27/583507427.db2.gz IHYREWPIBBMRID-GFCCVEGCSA-N -1 1 346.343 -0.258 20 0 EBADMM NC(=O)COc1ccc(C[N@H+]2CCC[C@@]3(C2)NC(=O)NC3=O)cc1 ZINC000789379909 583536951 /nfs/dbraw/zinc/53/69/51/583536951.db2.gz STYQZBNNNUKBFL-INIZCTEOSA-N -1 1 332.360 -0.275 20 0 EBADMM Cn1cnn(-c2ccc(NC(=O)NN3CC(=O)[N-]C3=O)cc2)c1=O ZINC000789843221 583539359 /nfs/dbraw/zinc/53/93/59/583539359.db2.gz DZBHUNNFXPDBQF-UHFFFAOYSA-N -1 1 331.292 -0.841 20 0 EBADMM Cn1c(=O)c2ccc(C(=O)NCCc3nc(=O)o[n-]3)cc2[nH]c1=S ZINC000790080530 583542028 /nfs/dbraw/zinc/54/20/28/583542028.db2.gz ZSNUXVSJDZJJLP-UHFFFAOYSA-N -1 1 347.356 -0.129 20 0 EBADMM C[C@@H]1CN(CCN(C)C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H](C)O1 ZINC000790121738 583542067 /nfs/dbraw/zinc/54/20/67/583542067.db2.gz KZZBEKAJSFXWNA-BETUJISGSA-N -1 1 338.408 -0.506 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)OC(C)(C)C ZINC000792524273 583560930 /nfs/dbraw/zinc/56/09/30/583560930.db2.gz ZHJSRLZGQHAQLE-SNVBAGLBSA-N -1 1 340.380 -0.044 20 0 EBADMM CN1C(=O)CN(C(=O)CCn2cc[n-]c(=O)c2=O)[C@@H]1c1ccccc1 ZINC000793932883 583573212 /nfs/dbraw/zinc/57/32/12/583573212.db2.gz YSKQFSKCFGBHTK-MRXNPFEDSA-N -1 1 342.355 -0.074 20 0 EBADMM CSCCO[N-]C(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000794190261 583577728 /nfs/dbraw/zinc/57/77/28/583577728.db2.gz SSQNYDXGJUGNGD-UWVGGRQHSA-N -1 1 336.435 -0.567 20 0 EBADMM CC1(C(=O)COC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)CC1 ZINC000795637120 583592525 /nfs/dbraw/zinc/59/25/25/583592525.db2.gz VACYXIXDADRXFV-UHFFFAOYSA-N -1 1 344.345 -0.431 20 0 EBADMM Cc1nccnc1N1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000799736395 583612879 /nfs/dbraw/zinc/61/28/79/583612879.db2.gz QCOXNDJOBAUXAP-UHFFFAOYSA-N -1 1 344.375 -0.626 20 0 EBADMM Cn1[n-]c(COC(=O)[C@](C)(O)CN2Cc3ccccc3C2=O)nc1=O ZINC000803468565 583629851 /nfs/dbraw/zinc/62/98/51/583629851.db2.gz XRIMSSXHRGUQSP-MRXNPFEDSA-N -1 1 346.343 -0.441 20 0 EBADMM COC(=O)C1(O)CCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1 ZINC000806488975 583650334 /nfs/dbraw/zinc/65/03/34/583650334.db2.gz VIAGFAYRKGZONY-UHFFFAOYSA-N -1 1 333.348 -0.126 20 0 EBADMM CNC(=O)[C@@H]1CCCN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000806892505 583655320 /nfs/dbraw/zinc/65/53/20/583655320.db2.gz LYYFAXQKIURVFF-SNVBAGLBSA-N -1 1 344.375 -0.735 20 0 EBADMM COC[C@@H]1CN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)CCO1 ZINC000806918047 583655919 /nfs/dbraw/zinc/65/59/19/583655919.db2.gz YYSNDPFSDARKQA-JTQLQIEISA-N -1 1 333.348 -0.846 20 0 EBADMM CN(C[C@@H]1CCC[C@@H]1O)C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806951309 583656186 /nfs/dbraw/zinc/65/61/86/583656186.db2.gz WRMALLKNIZEHLT-GWCFXTLKSA-N -1 1 331.376 -0.100 20 0 EBADMM CC(C)[C@H]1C(=O)NCCN1C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000807007484 583656666 /nfs/dbraw/zinc/65/66/66/583656666.db2.gz IGTYKDYQCGLCOR-LBPRGKRZSA-N -1 1 344.375 -0.737 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCSC[C@H]3CCO)ccnc1-2 ZINC000807023445 583656978 /nfs/dbraw/zinc/65/69/78/583656978.db2.gz MOWGFANPLDTSCE-SNVBAGLBSA-N -1 1 349.416 -0.393 20 0 EBADMM CC(C)OC[C@H](CO)N(C)C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000807036853 583657337 /nfs/dbraw/zinc/65/73/37/583657337.db2.gz ORDCBTRVWHACPC-NSHDSACASA-N -1 1 349.391 -0.475 20 0 EBADMM Cn1[n-]c(COC(=O)C(=O)N2CCN(c3ccccc3)CC2)nc1=O ZINC000812691660 583696327 /nfs/dbraw/zinc/69/63/27/583696327.db2.gz BZFVZPZWACMVNF-UHFFFAOYSA-N -1 1 345.359 -0.500 20 0 EBADMM CC(C)OCCO[N-]C(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000812802479 583696512 /nfs/dbraw/zinc/69/65/12/583696512.db2.gz OIZRHBYXYNSZDT-VXGBXAGGSA-N -1 1 348.421 -0.505 20 0 EBADMM Cn1[nH]cc2c(=NC(=O)C(=O)N3CC[C@H]4C[N@@H+](C)C[C@@H]43)ccnc1-2 ZINC000815704709 583711892 /nfs/dbraw/zinc/71/18/92/583711892.db2.gz NJGHKYWPTBSJCA-GWCFXTLKSA-N -1 1 328.376 -0.557 20 0 EBADMM CNC(=O)[C@H](O)CNC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000816328363 583716597 /nfs/dbraw/zinc/71/65/97/583716597.db2.gz JQCFORQEWLZQQB-MRVPVSSYSA-N -1 1 347.393 -0.807 20 0 EBADMM CN1CCN(C)[C@@H](CNC(=O)C(=O)Nc2ccccc2CC(=O)[O-])C1 ZINC000818482391 583744714 /nfs/dbraw/zinc/74/47/14/583744714.db2.gz RYEIWXDZYSIOOC-ZDUSSCGKSA-N -1 1 348.403 -0.386 20 0 EBADMM CC(=O)N1CCN(C(=O)[C@H](C)n2cccc(-c3nn[n-]n3)c2=O)CC1 ZINC000819797317 583761437 /nfs/dbraw/zinc/76/14/37/583761437.db2.gz ZJBGEDNKZHRNLW-JTQLQIEISA-N -1 1 345.363 -0.720 20 0 EBADMM CC(C)(C)NC(=O)CNC(=O)Cn1cccc(-c2nn[n-]n2)c1=O ZINC000819922760 583763774 /nfs/dbraw/zinc/76/37/74/583763774.db2.gz ORKOTYHVOQEGOE-UHFFFAOYSA-N -1 1 333.352 -0.941 20 0 EBADMM CC(C)OCCN1CCN(C(=O)Cn2cnc(-c3nn[n-]n3)n2)CC1 ZINC000820146868 583767461 /nfs/dbraw/zinc/76/74/61/583767461.db2.gz SVEXPRLZMLZVPC-UHFFFAOYSA-N -1 1 349.399 -0.973 20 0 EBADMM C[C@H](CO[C@@H]1CCOC1)NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820287564 583770705 /nfs/dbraw/zinc/77/07/05/583770705.db2.gz NQVVMTSZBCYAPI-RKDXNWHRSA-N -1 1 336.356 -0.084 20 0 EBADMM C[C@H](CO[C@@H]1CCOC1)NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820287564 583770706 /nfs/dbraw/zinc/77/07/06/583770706.db2.gz NQVVMTSZBCYAPI-RKDXNWHRSA-N -1 1 336.356 -0.084 20 0 EBADMM C[C@@H](Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C)c1cnn(C)c1 ZINC000820451960 583773319 /nfs/dbraw/zinc/77/33/19/583773319.db2.gz YUYICZPVFRYWCT-SSDOTTSWSA-N -1 1 331.340 -0.829 20 0 EBADMM C[C@](O)(CNC(=O)Cn1cnc(-c2nn[n-]n2)n1)c1ccsc1 ZINC000820468693 583773539 /nfs/dbraw/zinc/77/35/39/583773539.db2.gz VYPUQNUJQQSKHF-LBPRGKRZSA-N -1 1 334.365 -0.456 20 0 EBADMM CN1CCN(C)[C@H](CNC(=O)C(=O)Nc2ccccc2C(=O)[O-])C1 ZINC000820625874 583776144 /nfs/dbraw/zinc/77/61/44/583776144.db2.gz BBQBVUNMWUGLPH-LLVKDONJSA-N -1 1 334.376 -0.315 20 0 EBADMM CNS(=O)(=O)c1ccc([C@H](C)NCC(=O)NCC(=O)[O-])cc1 ZINC000820645958 583776690 /nfs/dbraw/zinc/77/66/90/583776690.db2.gz SQVCLECUWVHCNQ-VIFPVBQESA-N -1 1 329.378 -0.554 20 0 EBADMM C[C@H]1CN(c2nccnc2-c2nnn[n-]2)CCN1CC(=O)N(C)C ZINC000820789145 583780144 /nfs/dbraw/zinc/78/01/44/583780144.db2.gz WKUAPVHRRCLWPG-JTQLQIEISA-N -1 1 331.384 -0.745 20 0 EBADMM C[C@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1CC(=O)N(C)C ZINC000820789145 583780146 /nfs/dbraw/zinc/78/01/46/583780146.db2.gz WKUAPVHRRCLWPG-JTQLQIEISA-N -1 1 331.384 -0.745 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nnn[n-]2)CCN1CC(=O)NC1CC1 ZINC000820789106 583780296 /nfs/dbraw/zinc/78/02/96/583780296.db2.gz VPUFLHDAFXOAON-SNVBAGLBSA-N -1 1 343.395 -0.554 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1CC(=O)NC1CC1 ZINC000820789106 583780298 /nfs/dbraw/zinc/78/02/98/583780298.db2.gz VPUFLHDAFXOAON-SNVBAGLBSA-N -1 1 343.395 -0.554 20 0 EBADMM CC(C)[C@H](C[N-]S(=O)(=O)N=S(C)(C)=O)N1CCN(C)CC1 ZINC000820793384 583780672 /nfs/dbraw/zinc/78/06/72/583780672.db2.gz XETOVXFVMZDXDN-LBPRGKRZSA-N -1 1 340.515 -0.180 20 0 EBADMM C[C@H]1CN(c2nccnc2-c2nnn[n-]2)CCN1CN1CCCC1=O ZINC000820803926 583781073 /nfs/dbraw/zinc/78/10/73/583781073.db2.gz JTKXJYYBZKHVMQ-NSHDSACASA-N -1 1 343.395 -0.253 20 0 EBADMM C[C@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1CN1CCCC1=O ZINC000820803926 583781075 /nfs/dbraw/zinc/78/10/75/583781075.db2.gz JTKXJYYBZKHVMQ-NSHDSACASA-N -1 1 343.395 -0.253 20 0 EBADMM CC[C@@H](C[C@@H](C)CO)Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000820882555 583782592 /nfs/dbraw/zinc/78/25/92/583782592.db2.gz TULAQEPKNARQFA-BDAKNGLRSA-N -1 1 337.384 -0.527 20 0 EBADMM CCCNC(=O)CN(CCC)C(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821114174 583785202 /nfs/dbraw/zinc/78/52/02/583785202.db2.gz KGGCKZOKVFJKCQ-UHFFFAOYSA-N -1 1 335.372 -0.777 20 0 EBADMM CCN(C[C@H]1CCOC1)c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000821207930 583786544 /nfs/dbraw/zinc/78/65/44/583786544.db2.gz YRALSXZRUQFZGC-SECBINFHSA-N -1 1 335.368 -0.873 20 0 EBADMM Cn1c2[n-]c(C[NH2+]C3(C(=O)[O-])CCCCC3)nc2c(=O)n(C)c1=O ZINC000821269397 583787735 /nfs/dbraw/zinc/78/77/35/583787735.db2.gz VDBZCTWMJUTAFI-UHFFFAOYSA-N -1 1 335.364 -0.163 20 0 EBADMM CCOC(=O)N1CCCN(C(=O)Cn2cnc(-c3nn[n-]n3)n2)CC1 ZINC000821334637 583789229 /nfs/dbraw/zinc/78/92/29/583789229.db2.gz PTSONYLZTJJLSJ-UHFFFAOYSA-N -1 1 349.355 -0.851 20 0 EBADMM NC(=O)c1n[nH]c2ccc(NC(=O)C(=O)NCCCC(=O)[O-])cc21 ZINC000821357216 583789462 /nfs/dbraw/zinc/78/94/62/583789462.db2.gz LUYMJSHJNSYGBM-UHFFFAOYSA-N -1 1 333.304 -0.419 20 0 EBADMM COc1cccc(S([O-])=CC(=O)O[C@H](C)C(=O)NC(N)=O)c1 ZINC000821359377 583789766 /nfs/dbraw/zinc/78/97/66/583789766.db2.gz OKZRHJHXSKKHLI-CWMRNNLJSA-N -1 1 328.346 -0.071 20 0 EBADMM CCc1nn(Cc2nccc(N)n2)c(=O)c(-c2nn[n-]n2)c1CC ZINC000821517451 583792125 /nfs/dbraw/zinc/79/21/25/583792125.db2.gz JAHFOAKYTOEJRS-UHFFFAOYSA-N -1 1 327.352 -0.031 20 0 EBADMM CN1CCN(S(=O)(=O)c2cccc(F)c2-c2nnn[n-]2)CC1=O ZINC000821628430 583796702 /nfs/dbraw/zinc/79/67/02/583796702.db2.gz IIRDULLXLLTRDW-UHFFFAOYSA-N -1 1 340.340 -0.532 20 0 EBADMM CN1CCN(S(=O)(=O)c2cccc(F)c2-c2nn[n-]n2)CC1=O ZINC000821628430 583796703 /nfs/dbraw/zinc/79/67/03/583796703.db2.gz IIRDULLXLLTRDW-UHFFFAOYSA-N -1 1 340.340 -0.532 20 0 EBADMM CN1CCN(S(=O)(=O)c2ccc(F)cc2-c2nn[n-]n2)CC1=O ZINC000821628713 583797340 /nfs/dbraw/zinc/79/73/40/583797340.db2.gz OSMPNZPWFBGONN-UHFFFAOYSA-N -1 1 340.340 -0.532 20 0 EBADMM COC(=O)C[C@H]1CCCCN1C(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821658889 583799665 /nfs/dbraw/zinc/79/96/65/583799665.db2.gz LRBVHQOSXFQRNE-SECBINFHSA-N -1 1 334.340 -0.598 20 0 EBADMM COC(=O)N1CCC[C@@H](NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000821665128 583800014 /nfs/dbraw/zinc/80/00/14/583800014.db2.gz WSVPRFOPVOBWGX-MRVPVSSYSA-N -1 1 349.355 -0.048 20 0 EBADMM COC(=O)N1CCC[C@@H](NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000821665128 583800016 /nfs/dbraw/zinc/80/00/16/583800016.db2.gz WSVPRFOPVOBWGX-MRVPVSSYSA-N -1 1 349.355 -0.048 20 0 EBADMM COC(=O)N1CCC(NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)CC1 ZINC000821664628 583800073 /nfs/dbraw/zinc/80/00/73/583800073.db2.gz BYMHPKMHBLADSK-UHFFFAOYSA-N -1 1 349.355 -0.048 20 0 EBADMM COC(=O)N1CCC(NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)CC1 ZINC000821664628 583800075 /nfs/dbraw/zinc/80/00/75/583800075.db2.gz BYMHPKMHBLADSK-UHFFFAOYSA-N -1 1 349.355 -0.048 20 0 EBADMM COc1ccc(C(=O)NCC(=O)Nc2n[nH]cc2-c2nnn[n-]2)cc1 ZINC000821770826 583804053 /nfs/dbraw/zinc/80/40/53/583804053.db2.gz XVMVLIXQECCBFK-UHFFFAOYSA-N -1 1 342.319 -0.033 20 0 EBADMM COc1ccc(C(=O)NCC(=O)Nc2n[nH]cc2-c2nn[n-]n2)cc1 ZINC000821770826 583804056 /nfs/dbraw/zinc/80/40/56/583804056.db2.gz XVMVLIXQECCBFK-UHFFFAOYSA-N -1 1 342.319 -0.033 20 0 EBADMM CS(=O)(=O)N[C@@H]1CCC[C@H]1CNc1nccnc1-c1nnn[n-]1 ZINC000821931625 583805913 /nfs/dbraw/zinc/80/59/13/583805913.db2.gz DZOFWQAWAPWSOC-DTWKUNHWSA-N -1 1 338.397 -0.214 20 0 EBADMM CS(=O)(=O)N[C@@H]1CCC[C@H]1CNc1nccnc1-c1nn[n-]n1 ZINC000821931625 583805914 /nfs/dbraw/zinc/80/59/14/583805914.db2.gz DZOFWQAWAPWSOC-DTWKUNHWSA-N -1 1 338.397 -0.214 20 0 EBADMM CS(=O)(=O)N1CCN(C(=O)c2ccc(-c3nnn[n-]3)s2)CC1 ZINC000821931959 583806354 /nfs/dbraw/zinc/80/63/54/583806354.db2.gz HLPPTYAOSVSTPR-UHFFFAOYSA-N -1 1 342.406 -0.354 20 0 EBADMM CS(=O)(=O)N1CCN(C(=O)c2ccc(-c3nn[n-]n3)s2)CC1 ZINC000821931959 583806355 /nfs/dbraw/zinc/80/63/55/583806355.db2.gz HLPPTYAOSVSTPR-UHFFFAOYSA-N -1 1 342.406 -0.354 20 0 EBADMM Cc1[nH]c(=O)c(-c2nn[n-]n2)c(C)c1CCC(=O)O[C@@H]1CCNC1=O ZINC000822010156 583806940 /nfs/dbraw/zinc/80/69/40/583806940.db2.gz QBZGJTGUZUOTHY-SNVBAGLBSA-N -1 1 346.347 -0.052 20 0 EBADMM Cc1[nH]c(=O)c(-c2nn[n-]n2)c(C)c1CCC(=O)N1CCNC(=O)C1 ZINC000822005966 583806948 /nfs/dbraw/zinc/80/69/48/583806948.db2.gz WBQGDMIHYFCDKP-UHFFFAOYSA-N -1 1 345.363 -0.525 20 0 EBADMM Cc1cnn([C@H]2CCCN(C(=O)Cn3cnc(-c4nn[n-]n4)n3)C2)c1 ZINC000822403461 583810726 /nfs/dbraw/zinc/81/07/26/583810726.db2.gz OLEWYBRSJBAUSM-NSHDSACASA-N -1 1 342.367 -0.173 20 0 EBADMM Cc1oc(NC(=O)CN2C[C@H](O)C[C@H](O)C2)c(-c2nn[n-]n2)c1C ZINC000822548714 583812378 /nfs/dbraw/zinc/81/23/78/583812378.db2.gz MSVHYILJUQWMRA-AOOOYVTPSA-N -1 1 336.352 -0.557 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NCC2(CO)CCCCC2)n(C)c1=O ZINC000822599645 583813214 /nfs/dbraw/zinc/81/32/14/583813214.db2.gz GQKVURWEAGADKV-UHFFFAOYSA-N -1 1 349.395 -0.381 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N[C@H]2CCOC3(CCC3)C2)n(C)c1=O ZINC000822599306 583813224 /nfs/dbraw/zinc/81/32/24/583813224.db2.gz CKVAPHSCLRJVDT-VIFPVBQESA-N -1 1 347.379 -0.222 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NC[C@]2(C)CCCC[C@@H]2O)n(C)c1=O ZINC000822601385 583813744 /nfs/dbraw/zinc/81/37/44/583813744.db2.gz YJSTZCWDQCLXIF-VFZGTOFNSA-N -1 1 349.395 -0.383 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CCN3C(=O)OC[C@H]3C2)n1 ZINC000822609080 583813875 /nfs/dbraw/zinc/81/38/75/583813875.db2.gz JEARCVMLJIRSHR-SSDOTTSWSA-N -1 1 333.312 -0.732 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CCN3C(=O)OC[C@H]3C2)n1 ZINC000822609080 583813877 /nfs/dbraw/zinc/81/38/77/583813877.db2.gz JEARCVMLJIRSHR-SSDOTTSWSA-N -1 1 333.312 -0.732 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CC(=O)N[C@@H]3CCCC[C@@H]32)n1 ZINC000822605020 583813915 /nfs/dbraw/zinc/81/39/15/583813915.db2.gz UZYWLJWGMZTHMR-ZJUUUORDSA-N -1 1 345.367 -0.125 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CC(=O)N[C@@H]3CCCC[C@@H]32)n1 ZINC000822605020 583813918 /nfs/dbraw/zinc/81/39/18/583813918.db2.gz UZYWLJWGMZTHMR-ZJUUUORDSA-N -1 1 345.367 -0.125 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N[C@@H]2CCCC[C@@H]2C(N)=O)n1 ZINC000822614023 583814091 /nfs/dbraw/zinc/81/40/91/583814091.db2.gz IHJYTSPXWMJAND-IONNQARKSA-N -1 1 333.356 -0.234 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N[C@@H]2CCCC[C@@H]2C(N)=O)n1 ZINC000822614023 583814092 /nfs/dbraw/zinc/81/40/92/583814092.db2.gz IHJYTSPXWMJAND-IONNQARKSA-N -1 1 333.356 -0.234 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N[C@@H]2CCCC[C@H]2C(N)=O)n1 ZINC000822614024 583814100 /nfs/dbraw/zinc/81/41/00/583814100.db2.gz IHJYTSPXWMJAND-VXNVDRBHSA-N -1 1 333.356 -0.234 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N[C@@H]2CCCC[C@H]2C(N)=O)n1 ZINC000822614024 583814101 /nfs/dbraw/zinc/81/41/01/583814101.db2.gz IHJYTSPXWMJAND-VXNVDRBHSA-N -1 1 333.356 -0.234 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCCCS(C)(=O)=O)n1 ZINC000822614829 583814124 /nfs/dbraw/zinc/81/41/24/583814124.db2.gz UJAYSQANKAZPNB-UHFFFAOYSA-N -1 1 328.358 -0.844 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCCCS(C)(=O)=O)n1 ZINC000822614829 583814126 /nfs/dbraw/zinc/81/41/26/583814126.db2.gz UJAYSQANKAZPNB-UHFFFAOYSA-N -1 1 328.358 -0.844 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CC[C@@H](N3CCOCC3)C2)n1 ZINC000822609377 583814365 /nfs/dbraw/zinc/81/43/65/583814365.db2.gz NBLDFRYTOQZBGK-SNVBAGLBSA-N -1 1 347.383 -0.461 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCC(C)(C)N2CCOCC2)n1 ZINC000822615012 583814752 /nfs/dbraw/zinc/81/47/52/583814752.db2.gz ZNSCLJZAZAHMCW-UHFFFAOYSA-N -1 1 349.399 -0.168 20 0 EBADMM NC(=O)C1(CNC(=O)c2ccc(-c3nnn[n-]3)s2)CCOCC1 ZINC000822850566 583818799 /nfs/dbraw/zinc/81/87/99/583818799.db2.gz KRCMPZRESBQUKB-UHFFFAOYSA-N -1 1 336.377 -0.060 20 0 EBADMM NC(=O)C1(CNC(=O)c2ccc(-c3nn[n-]n3)s2)CCOCC1 ZINC000822850566 583818800 /nfs/dbraw/zinc/81/88/00/583818800.db2.gz KRCMPZRESBQUKB-UHFFFAOYSA-N -1 1 336.377 -0.060 20 0 EBADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)c1cn(Cc2ccccn2)nn1 ZINC000822903487 583821614 /nfs/dbraw/zinc/82/16/14/583821614.db2.gz LOVKNYGPQZHNFP-UHFFFAOYSA-N -1 1 337.307 -0.631 20 0 EBADMM O=C(CN1C(=O)[C@@H]2CCCC[C@@H]2C1=O)Nc1n[nH]cc1-c1nnn[n-]1 ZINC000822993774 583822251 /nfs/dbraw/zinc/82/22/51/583822251.db2.gz XZNLKKVVRGLPIP-OCAPTIKFSA-N -1 1 344.335 -0.297 20 0 EBADMM O=C(CN1C(=O)[C@@H]2CCCC[C@@H]2C1=O)Nc1n[nH]cc1-c1nn[n-]n1 ZINC000822993774 583822254 /nfs/dbraw/zinc/82/22/54/583822254.db2.gz XZNLKKVVRGLPIP-OCAPTIKFSA-N -1 1 344.335 -0.297 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCO[C@H](C(F)(F)F)C1 ZINC000823126593 583824765 /nfs/dbraw/zinc/82/47/65/583824765.db2.gz JUHCWZFLXCKLJT-LURJTMIESA-N -1 1 332.246 -0.752 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@H]1CCc2c1cccc2O ZINC000823125722 583824799 /nfs/dbraw/zinc/82/47/99/583824799.db2.gz BYEHMHQJEPQRDJ-JTQLQIEISA-N -1 1 326.320 -0.033 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCOC[C@@H]1C(F)(F)F ZINC000823127038 583825124 /nfs/dbraw/zinc/82/51/24/583825124.db2.gz RBTUFZYMQAVVAE-ZCFIWIBFSA-N -1 1 332.246 -0.752 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCC[C@H](O)c1ccccc1 ZINC000823127261 583825301 /nfs/dbraw/zinc/82/53/01/583825301.db2.gz XZKMNVFIIXZJMY-NSHDSACASA-N -1 1 328.336 -0.302 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCc1cccc2c1OCCO2 ZINC000823131037 583825483 /nfs/dbraw/zinc/82/54/83/583825483.db2.gz BDDOSDBNYJAZSN-UHFFFAOYSA-N -1 1 342.319 -0.454 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCCOc1ncccc1Cl ZINC000823131321 583825494 /nfs/dbraw/zinc/82/54/94/583825494.db2.gz GAWWSPSINJKQEJ-UHFFFAOYSA-N -1 1 349.742 -0.298 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)Nc1ccc(-c2nc[nH]n2)cc1 ZINC000823132022 583825513 /nfs/dbraw/zinc/82/55/13/583825513.db2.gz VTZVTPHXACCCOK-UHFFFAOYSA-N -1 1 337.307 -0.118 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCCc1ccc(Cl)nc1 ZINC000823131757 583825572 /nfs/dbraw/zinc/82/55/72/583825572.db2.gz NHMCFBGOQCOOIW-UHFFFAOYSA-N -1 1 333.743 -0.135 20 0 EBADMM O=C(c1ccc(-c2nn[nH]n2)s1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000823483785 583829859 /nfs/dbraw/zinc/82/98/59/583829859.db2.gz NDSBUTSTQMWZEV-ZCFIWIBFSA-N -1 1 333.337 -0.345 20 0 EBADMM O=C1NC[C@H]2CN(c3ccc(Cl)c(-c4nnn[n-]4)n3)CCN2C1=O ZINC000823547540 583832532 /nfs/dbraw/zinc/83/25/32/583832532.db2.gz JOOMPVBECSICAN-ZETCQYMHSA-N -1 1 348.754 -0.938 20 0 EBADMM O=C1NC[C@H]2CN(c3ccc(Cl)c(-c4nn[n-]n4)n3)CCN2C1=O ZINC000823547540 583832533 /nfs/dbraw/zinc/83/25/33/583832533.db2.gz JOOMPVBECSICAN-ZETCQYMHSA-N -1 1 348.754 -0.938 20 0 EBADMM CC(=O)N1CCN(C(=O)[C@H](C)N2CC[C@@H](N(C)C(=O)[O-])C2)CC1 ZINC000823555771 583832945 /nfs/dbraw/zinc/83/29/45/583832945.db2.gz FVBGTAPYYDJAPV-WCQYABFASA-N -1 1 326.397 -0.250 20 0 EBADMM CC(=O)N1CCN(C(=O)CN(C)CC(C)(C)CNC(=O)[O-])CC1 ZINC000823555828 583833077 /nfs/dbraw/zinc/83/30/77/583833077.db2.gz HKANCQTVWZUHLF-UHFFFAOYSA-N -1 1 328.413 -0.097 20 0 EBADMM CC(=O)N1CCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)[C@@H](C)C1 ZINC000823980509 583839599 /nfs/dbraw/zinc/83/95/99/583839599.db2.gz DTHYUBPFMLAQLF-QMMMGPOBSA-N -1 1 333.356 -0.315 20 0 EBADMM CC(=O)N1CCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)[C@@H](C)C1 ZINC000823980509 583839600 /nfs/dbraw/zinc/83/96/00/583839600.db2.gz DTHYUBPFMLAQLF-QMMMGPOBSA-N -1 1 333.356 -0.315 20 0 EBADMM CC(C)(C)n1cc(-c2nnn[n-]2)c(NC(=O)C(=O)N2CC[C@@H](O)C2)n1 ZINC000824142864 583841679 /nfs/dbraw/zinc/84/16/79/583841679.db2.gz YOKKVEPEOYUAMY-MRVPVSSYSA-N -1 1 348.367 -0.650 20 0 EBADMM CC(C)(C)n1cc(-c2nn[n-]n2)c(NC(=O)C(=O)N2CC[C@@H](O)C2)n1 ZINC000824142864 583841682 /nfs/dbraw/zinc/84/16/82/583841682.db2.gz YOKKVEPEOYUAMY-MRVPVSSYSA-N -1 1 348.367 -0.650 20 0 EBADMM CC(C)N1CCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)CC1=O ZINC000824300941 583843542 /nfs/dbraw/zinc/84/35/42/583843542.db2.gz NNLOQEAUUSJHIW-UHFFFAOYSA-N -1 1 333.356 -0.315 20 0 EBADMM CC(C)N1CCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)CC1=O ZINC000824300941 583843544 /nfs/dbraw/zinc/84/35/44/583843544.db2.gz NNLOQEAUUSJHIW-UHFFFAOYSA-N -1 1 333.356 -0.315 20 0 EBADMM C[C@H](CN1CCC[C@@H](C(=O)NCCNC(=O)[O-])C1)CS(C)(=O)=O ZINC000824901169 583852144 /nfs/dbraw/zinc/85/21/44/583852144.db2.gz FLSXOLVWDONHLK-VXGBXAGGSA-N -1 1 349.453 -0.237 20 0 EBADMM C[C@H]1CN(c2nccnc2-c2nnn[n-]2)CCN1C(=O)C1(C)COC1 ZINC000824999133 583853756 /nfs/dbraw/zinc/85/37/56/583853756.db2.gz CQHDJNXBEXNQRO-JTQLQIEISA-N -1 1 344.379 -0.270 20 0 EBADMM C[C@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1C(=O)C1(C)COC1 ZINC000824999133 583853757 /nfs/dbraw/zinc/85/37/57/583853757.db2.gz CQHDJNXBEXNQRO-JTQLQIEISA-N -1 1 344.379 -0.270 20 0 EBADMM C[C@H]1OCC[C@@H]1NC(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000825022667 583854331 /nfs/dbraw/zinc/85/43/31/583854331.db2.gz YVWAJRUIUPMQPM-BDAKNGLRSA-N -1 1 349.399 -0.077 20 0 EBADMM C[C@H]1OCC[C@@H]1NC(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000825022667 583854334 /nfs/dbraw/zinc/85/43/34/583854334.db2.gz YVWAJRUIUPMQPM-BDAKNGLRSA-N -1 1 349.399 -0.077 20 0 EBADMM CCCCc1nc(Cn2c(=O)c(-c3nn[n-]n3)cn(C)c2=O)no1 ZINC000825296387 583857703 /nfs/dbraw/zinc/85/77/03/583857703.db2.gz GYQGQFYNKGDTLR-UHFFFAOYSA-N -1 1 332.324 -0.499 20 0 EBADMM C[C@H](NC(=O)[O-])[C@@H]1CN(C(=O)NC[C@@H]2CN(C)CCN2C)CCO1 ZINC000825309290 583857941 /nfs/dbraw/zinc/85/79/41/583857941.db2.gz JTDVBHIWBOTPOJ-XQQFMLRXSA-N -1 1 343.428 -0.701 20 0 EBADMM C[C@H](NC(=O)[O-])[C@H]1CN(C(=O)NC[C@@H]2CN(C)CCN2C)CCO1 ZINC000825309291 583857963 /nfs/dbraw/zinc/85/79/63/583857963.db2.gz JTDVBHIWBOTPOJ-YNEHKIRRSA-N -1 1 343.428 -0.701 20 0 EBADMM CCOC(=O)C1=C(Cn2ccnc2-c2nnn[n-]2)NC(=O)N[C@@H]1C ZINC000825499443 583861986 /nfs/dbraw/zinc/86/19/86/583861986.db2.gz NGZIXRHNXQBTBM-SSDOTTSWSA-N -1 1 332.324 -0.418 20 0 EBADMM CCOC(=O)C1=C(Cn2ccnc2-c2nn[n-]n2)NC(=O)N[C@@H]1C ZINC000825499443 583861987 /nfs/dbraw/zinc/86/19/87/583861987.db2.gz NGZIXRHNXQBTBM-SSDOTTSWSA-N -1 1 332.324 -0.418 20 0 EBADMM C[C@H](O)CN1CCN(C(=O)C[C@@H]2CN(C(=O)[O-])CCO2)C[C@@H]1C ZINC000825527750 583862653 /nfs/dbraw/zinc/86/26/53/583862653.db2.gz GKHGFZUUMCPTMP-RWMBFGLXSA-N -1 1 329.397 -0.331 20 0 EBADMM CN(C)C(=O)[C@H]1CC[C@@H](CNC(=O)c2ccc(-c3nnn[n-]3)nc2)O1 ZINC000825840810 583868235 /nfs/dbraw/zinc/86/82/35/583868235.db2.gz QVSWGABGYGEOFI-CMPLNLGQSA-N -1 1 345.363 -0.373 20 0 EBADMM CN(C)C(=O)[C@H]1CC[C@@H](CNC(=O)c2ccc(-c3nn[n-]n3)nc2)O1 ZINC000825840810 583868236 /nfs/dbraw/zinc/86/82/36/583868236.db2.gz QVSWGABGYGEOFI-CMPLNLGQSA-N -1 1 345.363 -0.373 20 0 EBADMM CN([C@@H]1CCNC1=O)S(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000825888836 583869835 /nfs/dbraw/zinc/86/98/35/583869835.db2.gz WISIWJOYMXASSF-MRVPVSSYSA-N -1 1 340.340 -0.485 20 0 EBADMM CN([C@@H]1CCNC1=O)S(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000825888836 583869837 /nfs/dbraw/zinc/86/98/37/583869837.db2.gz WISIWJOYMXASSF-MRVPVSSYSA-N -1 1 340.340 -0.485 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nnn[n-]1)C(=O)[C@@H]1[C@H]2CCCO[C@H]21 ZINC000825923120 583871112 /nfs/dbraw/zinc/87/11/12/583871112.db2.gz COGKNWGQCGFXLQ-HLUHBDAQSA-N -1 1 346.395 -0.012 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nn[n-]n1)C(=O)[C@@H]1[C@H]2CCCO[C@H]21 ZINC000825923120 583871115 /nfs/dbraw/zinc/87/11/15/583871115.db2.gz COGKNWGQCGFXLQ-HLUHBDAQSA-N -1 1 346.395 -0.012 20 0 EBADMM CN(CCO)c1ccc(NC(=O)Cn2cnc(-c3nn[n-]n3)n2)cc1 ZINC000825942166 583871865 /nfs/dbraw/zinc/87/18/65/583871865.db2.gz ZEDVIJXSKZVKFU-UHFFFAOYSA-N -1 1 343.351 -0.475 20 0 EBADMM CN1C(=O)N[C@@]2(CCC[C@@H]2CNc2ccc(-c3nnn[n-]3)nn2)C1=O ZINC000826018022 583873672 /nfs/dbraw/zinc/87/36/72/583873672.db2.gz QFTJDKZUWLMSMV-XLKFXECMSA-N -1 1 343.351 -0.211 20 0 EBADMM CN1C(=O)N[C@@]2(CCC[C@@H]2CNc2ccc(-c3nn[n-]n3)nn2)C1=O ZINC000826018022 583873674 /nfs/dbraw/zinc/87/36/74/583873674.db2.gz QFTJDKZUWLMSMV-XLKFXECMSA-N -1 1 343.351 -0.211 20 0 EBADMM CNC(=O)[C@@H]1CCCN(C(=O)Cc2noc(C)c2-c2nnn[n-]2)C1 ZINC000826061080 583876561 /nfs/dbraw/zinc/87/65/61/583876561.db2.gz ZLEOIUNSKLHEKB-SECBINFHSA-N -1 1 333.352 -0.310 20 0 EBADMM CNC(=O)[C@@H]1CCCN(C(=O)Cc2noc(C)c2-c2nn[n-]n2)C1 ZINC000826061080 583876563 /nfs/dbraw/zinc/87/65/63/583876563.db2.gz ZLEOIUNSKLHEKB-SECBINFHSA-N -1 1 333.352 -0.310 20 0 EBADMM CNC(=O)[C@H]1CCC[C@@H]1NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000826061103 583876581 /nfs/dbraw/zinc/87/65/81/583876581.db2.gz ZQANIVACBLMRBY-CBAPKCEASA-N -1 1 333.356 -0.364 20 0 EBADMM CNC(=O)[C@H]1CCC[C@@H]1NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000826061103 583876582 /nfs/dbraw/zinc/87/65/82/583876582.db2.gz ZQANIVACBLMRBY-CBAPKCEASA-N -1 1 333.356 -0.364 20 0 EBADMM COCCN(CCO)S(=O)(=O)c1ccc(F)c(-c2nn[n-]n2)c1 ZINC000826158637 583885824 /nfs/dbraw/zinc/88/58/24/583885824.db2.gz WZFMQOUPGHNSAB-UHFFFAOYSA-N -1 1 345.356 -0.365 20 0 EBADMM COCCOCCNS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000826160892 583886251 /nfs/dbraw/zinc/88/62/51/583886251.db2.gz LCFWYCRJGZYLBV-UHFFFAOYSA-N -1 1 345.356 -0.053 20 0 EBADMM COCCOCCNS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000826160892 583886254 /nfs/dbraw/zinc/88/62/54/583886254.db2.gz LCFWYCRJGZYLBV-UHFFFAOYSA-N -1 1 345.356 -0.053 20 0 EBADMM C[S@@](=O)CCN1CCN(Cc2ccnc(-c3nn[n-]n3)c2)CC1 ZINC000826225049 583888892 /nfs/dbraw/zinc/88/88/92/583888892.db2.gz RSSNCPIZMHAKAK-HSZRJFAPSA-N -1 1 335.437 -0.242 20 0 EBADMM Cc1n[nH]cc1C(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000826233471 583889148 /nfs/dbraw/zinc/88/91/48/583889148.db2.gz ISHQAACNLGJSGG-UHFFFAOYSA-N -1 1 330.356 -0.092 20 0 EBADMM Cc1n[nH]cc1C(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000826233471 583889150 /nfs/dbraw/zinc/88/91/50/583889150.db2.gz ISHQAACNLGJSGG-UHFFFAOYSA-N -1 1 330.356 -0.092 20 0 EBADMM Cc1cc(N2CC[C@H](Nc3ccc(-c4nnn[n-]4)nn3)C2=O)n(C)n1 ZINC000826269782 583890472 /nfs/dbraw/zinc/89/04/72/583890472.db2.gz XFEYLAFJEQTTLO-JTQLQIEISA-N -1 1 340.351 -0.084 20 0 EBADMM Cc1cc(N2CC[C@H](Nc3ccc(-c4nn[n-]n4)nn3)C2=O)n(C)n1 ZINC000826269782 583890474 /nfs/dbraw/zinc/89/04/74/583890474.db2.gz XFEYLAFJEQTTLO-JTQLQIEISA-N -1 1 340.351 -0.084 20 0 EBADMM Cc1onc(CC(=O)N2CC[C@@H](N3CCOCC3)C2)c1-c1nn[n-]n1 ZINC000826344045 583892123 /nfs/dbraw/zinc/89/21/23/583892123.db2.gz VXAFBEBUBXCCTI-LLVKDONJSA-N -1 1 347.379 -0.361 20 0 EBADMM Cc1onc(CC(=O)NCC(=O)Nc2cccnc2)c1-c1nnn[n-]1 ZINC000826346868 583893027 /nfs/dbraw/zinc/89/30/27/583893027.db2.gz XVJMSZDCWGTYOB-UHFFFAOYSA-N -1 1 342.319 -0.144 20 0 EBADMM Cc1onc(CC(=O)NCC(=O)Nc2cccnc2)c1-c1nn[n-]n1 ZINC000826346868 583893030 /nfs/dbraw/zinc/89/30/30/583893030.db2.gz XVJMSZDCWGTYOB-UHFFFAOYSA-N -1 1 342.319 -0.144 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N2CC[C@@H]([C@@H]3CCOC3)C2)n(C)c1=O ZINC000826355988 583893798 /nfs/dbraw/zinc/89/37/98/583893798.db2.gz WFXQOFKBDYNAPU-NXEZZACHSA-N -1 1 347.379 -0.873 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(=O)n(Cc2cnc(Cl)s2)c1=O ZINC000826356732 583893814 /nfs/dbraw/zinc/89/38/14/583893814.db2.gz CYKFEJCFWLPABJ-UHFFFAOYSA-N -1 1 325.741 -0.115 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(=O)n(CC(=O)Cc2ccccc2)c1=O ZINC000826356281 583893878 /nfs/dbraw/zinc/89/38/78/583893878.db2.gz JVOUIXKWHGMOOO-UHFFFAOYSA-N -1 1 326.316 -0.461 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(=O)n(CCNC(=O)OC(C)(C)C)c1=O ZINC000826356294 583893904 /nfs/dbraw/zinc/89/39/04/583893904.db2.gz KKDAZJQRVLFTMX-UHFFFAOYSA-N -1 1 337.340 -0.748 20 0 EBADMM Cn1ccnc(N2CCN(Cc3ccc(-c4nnn[n-]4)o3)CC2)c1=O ZINC000826364240 583894720 /nfs/dbraw/zinc/89/47/20/583894720.db2.gz PIMDWIGIGKZLES-UHFFFAOYSA-N -1 1 342.363 -0.124 20 0 EBADMM Cn1ccnc(N2CCN(Cc3ccc(-c4nn[n-]n4)o3)CC2)c1=O ZINC000826364240 583894721 /nfs/dbraw/zinc/89/47/21/583894721.db2.gz PIMDWIGIGKZLES-UHFFFAOYSA-N -1 1 342.363 -0.124 20 0 EBADMM Nc1c(C(=O)Cn2ccnc2-c2nnn[n-]2)c(=O)[nH]c(=O)n1C1CC1 ZINC000826395472 583898595 /nfs/dbraw/zinc/89/85/95/583898595.db2.gz DIKRYWBDWULHFE-UHFFFAOYSA-N -1 1 343.307 -0.875 20 0 EBADMM Nc1c(C(=O)Cn2ccnc2-c2nn[n-]n2)c(=O)[nH]c(=O)n1C1CC1 ZINC000826395472 583898596 /nfs/dbraw/zinc/89/85/96/583898596.db2.gz DIKRYWBDWULHFE-UHFFFAOYSA-N -1 1 343.307 -0.875 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@H](CO)c1ccc(F)cc1 ZINC000826424794 583900676 /nfs/dbraw/zinc/90/06/76/583900676.db2.gz CZRBTLYSJIYBHK-SNVBAGLBSA-N -1 1 332.299 -0.553 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N(CCCO)Cc1ccccc1 ZINC000826425089 583900770 /nfs/dbraw/zinc/90/07/70/583900770.db2.gz PLVGJUYCILEGAD-UHFFFAOYSA-N -1 1 342.363 -0.131 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCC[C@@H]([C@@H]2CCOC2)C1 ZINC000826424882 583900797 /nfs/dbraw/zinc/90/07/97/583900797.db2.gz HJMRNQPGZZLBRD-GHMZBOCLSA-N -1 1 332.368 -0.267 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@@H]1COc2ccccc2C1=O ZINC000826425469 583901075 /nfs/dbraw/zinc/90/10/75/583901075.db2.gz FRSVOFZWIPHGQM-SECBINFHSA-N -1 1 340.303 -0.782 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NC[C@@H]1COC2(CCCCC2)O1 ZINC000826425370 583901353 /nfs/dbraw/zinc/90/13/53/583901353.db2.gz AIAOAOXHBOSEPU-SNVBAGLBSA-N -1 1 348.367 -0.350 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCC[C@H]1[C@H]1CCCC1=O ZINC000826479805 583903939 /nfs/dbraw/zinc/90/39/39/583903939.db2.gz IUMUQXLVZVTZTC-ZJUUUORDSA-N -1 1 330.352 -0.182 20 0 EBADMM O=C1NC(=O)[C@]2(CCN(c3snc(Cl)c3-c3nnn[n-]3)C2)N1 ZINC000826481607 583904163 /nfs/dbraw/zinc/90/41/63/583904163.db2.gz BYSYMJWDKDKQTO-SNVBAGLBSA-N -1 1 340.756 -0.235 20 0 EBADMM O=C1NC(=O)[C@]2(CCN(c3snc(Cl)c3-c3nn[n-]n3)C2)N1 ZINC000826481607 583904165 /nfs/dbraw/zinc/90/41/65/583904165.db2.gz BYSYMJWDKDKQTO-SNVBAGLBSA-N -1 1 340.756 -0.235 20 0 EBADMM O=S(=O)(NCCNc1ccc(-c2nnn[n-]2)nn1)c1cccnc1 ZINC000826491639 583906060 /nfs/dbraw/zinc/90/60/60/583906060.db2.gz SXWASAUKBFOJJZ-UHFFFAOYSA-N -1 1 347.364 -0.558 20 0 EBADMM O=S(=O)(NCCNc1ccc(-c2nn[n-]n2)nn1)c1cccnc1 ZINC000826491639 583906061 /nfs/dbraw/zinc/90/60/61/583906061.db2.gz SXWASAUKBFOJJZ-UHFFFAOYSA-N -1 1 347.364 -0.558 20 0 EBADMM CC[C@@H]1CN(C(=O)COC2CN(C(=O)[O-])C2)CCN1C[C@@H](C)O ZINC000826683948 583909608 /nfs/dbraw/zinc/90/96/08/583909608.db2.gz ZAFNTTAODXCAIX-VXGBXAGGSA-N -1 1 329.397 -0.331 20 0 EBADMM CCN1CCN(CC(=O)N(C)CCN2CCN(C(=O)[O-])CC2)CC1 ZINC000827222418 583916663 /nfs/dbraw/zinc/91/66/63/583916663.db2.gz KBEJELDUHHOMLM-UHFFFAOYSA-N -1 1 341.456 -0.622 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)C(C)(C)NS(C)(=O)=O)CC1 ZINC000827356084 583919653 /nfs/dbraw/zinc/91/96/53/583919653.db2.gz OOWSCBLCKNMGMA-JTQLQIEISA-N -1 1 335.426 -0.678 20 0 EBADMM CC(C)[C@H](CNS(=O)(=O)C[C@@H](C)C(=O)[O-])N1CCN(C)CC1 ZINC000827557849 583923793 /nfs/dbraw/zinc/92/37/93/583923793.db2.gz HVDJKOOMDHRVJQ-OLZOCXBDSA-N -1 1 335.470 -0.102 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000828473611 583940042 /nfs/dbraw/zinc/94/00/42/583940042.db2.gz YPYAZWDFEHMNIO-JHJVBQTASA-N -1 1 349.453 -0.179 20 0 EBADMM CCOCCO[N-]C(=O)[C@@H]1CC(=O)N(c2cnn(CCOC)c2)C1 ZINC000828821980 583947431 /nfs/dbraw/zinc/94/74/31/583947431.db2.gz AIJILJDRALTDNT-GFCCVEGCSA-N -1 1 340.380 -0.033 20 0 EBADMM CC[C@H]1CN(C(=O)Cn2cc(C(=O)[O-])nn2)CCN1C[C@H](C)O ZINC000829134457 583950697 /nfs/dbraw/zinc/95/06/97/583950697.db2.gz YACPZCHCIHVGMS-QWRGUYRKSA-N -1 1 325.369 -0.720 20 0 EBADMM CCCc1nc([C@H]2CN(C(=O)Cn3cc(C(=O)[O-])nn3)CCO2)n[nH]1 ZINC000829570493 583954572 /nfs/dbraw/zinc/95/45/72/583954572.db2.gz DRPYRRFGYJDHOP-SNVBAGLBSA-N -1 1 349.351 -0.353 20 0 EBADMM CCCc1n[nH]c([C@H]2CN(C(=O)Cn3cc(C(=O)[O-])nn3)CCO2)n1 ZINC000829570493 583954575 /nfs/dbraw/zinc/95/45/75/583954575.db2.gz DRPYRRFGYJDHOP-SNVBAGLBSA-N -1 1 349.351 -0.353 20 0 EBADMM Cc1nnc([C@@H]2CN(C(=O)[C@H]3CN(C(=O)[O-])CCO3)CCO2)[nH]1 ZINC000830679964 583969464 /nfs/dbraw/zinc/96/94/64/583969464.db2.gz YJIIUYCPFYFXCJ-VHSXEESVSA-N -1 1 325.325 -0.608 20 0 EBADMM O=C([O-])N1C[C@H](O)C[C@H]1C(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000831275050 583981332 /nfs/dbraw/zinc/98/13/32/583981332.db2.gz YYPRNAKWWDRQMS-XQQFMLRXSA-N -1 1 327.381 -0.720 20 0 EBADMM CS(=O)(=O)NC1CC([N-]S(=O)(=O)c2c[nH]nc2Cl)C1 ZINC000831403752 583982377 /nfs/dbraw/zinc/98/23/77/583982377.db2.gz DEVOSWBWONPMGT-UHFFFAOYSA-N -1 1 328.803 -0.578 20 0 EBADMM COc1cc(C(=O)[O-])ccc1OC[C@H](O)CN1C[C@H](O)C[C@H](O)C1 ZINC000831919071 583993343 /nfs/dbraw/zinc/99/33/43/583993343.db2.gz SVLYQAOENVZCAK-FRRDWIJNSA-N -1 1 341.360 -0.439 20 0 EBADMM O=C([O-])N1CCO[C@H](CC(=O)N2CC[C@@H](CN3CCOCC3)C2)C1 ZINC000832060174 583995445 /nfs/dbraw/zinc/99/54/45/583995445.db2.gz HLVPBYSJHLXBEM-UONOGXRCSA-N -1 1 341.408 -0.064 20 0 EBADMM O=C([O-])CNC(=O)CCN(CC(=O)N1CCCC1)C[C@@H]1CCCO1 ZINC000833250294 584007607 /nfs/dbraw/zinc/00/76/07/584007607.db2.gz DWELAJZCDCNIJJ-ZDUSSCGKSA-N -1 1 341.408 -0.319 20 0 EBADMM O=C([O-])Cn1cc(S(=O)(=O)N[C@@H]2CCN(CC3CC3)C2)cn1 ZINC000833273846 584008058 /nfs/dbraw/zinc/00/80/58/584008058.db2.gz GGOKDBGZEITCFJ-LLVKDONJSA-N -1 1 328.394 -0.270 20 0 EBADMM C[C@@H](CNC(=O)C(=O)Nc1ccc2[nH]nc(C(N)=O)c2c1)C(=O)[O-] ZINC000833517560 584011484 /nfs/dbraw/zinc/01/14/84/584011484.db2.gz RZWLQAVNSWBLJJ-LURJTMIESA-N -1 1 333.304 -0.563 20 0 EBADMM C[C@H]1C[C@H](CNC(=O)[O-])CN1CCNS(=O)(=O)c1cnn(C)c1 ZINC000833835424 584017190 /nfs/dbraw/zinc/01/71/90/584017190.db2.gz ZAVOHBLODITATK-WDEREUQCSA-N -1 1 345.425 -0.324 20 0 EBADMM O=C(CN(C[C@H]1CCCO1)C(=O)[O-])Nc1nc(SCCO)n[nH]1 ZINC000834008400 584023952 /nfs/dbraw/zinc/02/39/52/584023952.db2.gz YJBUOGVANRTDBU-MRVPVSSYSA-N -1 1 345.381 -0.013 20 0 EBADMM O=C([O-])N[C@H]1CC(=O)N(CN2CC[C@H](CN3CCOCC3)C2)C1 ZINC000834157158 584027259 /nfs/dbraw/zinc/02/72/59/584027259.db2.gz HJZOEGDIIWXCEA-OLZOCXBDSA-N -1 1 326.397 -0.534 20 0 EBADMM COCCn1cc(N2C[C@@H](C(=O)[N-]OCC(C)(C)O)CC2=O)cn1 ZINC000834618569 584036802 /nfs/dbraw/zinc/03/68/02/584036802.db2.gz UXEZQTIFPXVNGD-NSHDSACASA-N -1 1 340.380 -0.299 20 0 EBADMM COC(=O)[C@@H](CF)[N-]S(=O)(=O)c1cn(C)nc1Br ZINC000867271363 584055161 /nfs/dbraw/zinc/05/51/61/584055161.db2.gz WUTJYAMSWGHUBC-RXMQYKEDSA-N -1 1 344.162 -0.028 20 0 EBADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@H]1CCCN(CCCO)C1 ZINC000867327122 584078502 /nfs/dbraw/zinc/07/85/02/584078502.db2.gz LQBNAENIAAQORY-JEOXALJRSA-N -1 1 327.472 -0.215 20 0 EBADMM CS(=O)(=O)N1CC[C@@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)C1 ZINC000845977970 584118945 /nfs/dbraw/zinc/11/89/45/584118945.db2.gz SQLJZOQPAOJKAZ-ZCFIWIBFSA-N -1 1 328.803 -0.625 20 0 EBADMM CC1=C(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)[C@H](C)n2nnnc2N1C ZINC000847473578 584140215 /nfs/dbraw/zinc/14/02/15/584140215.db2.gz ZXOMDQZSRQWLMV-DTWKUNHWSA-N -1 1 346.355 -0.929 20 0 EBADMM O=C([O-])[C@@H]1[C@@H](NC(=O)C(F)(F)F)CCCN1C(=O)c1nc[nH]n1 ZINC000851704103 584200688 /nfs/dbraw/zinc/20/06/88/584200688.db2.gz SIMPXUJCXYBNKF-WDSKDSINSA-N -1 1 335.242 -0.459 20 0 EBADMM O=C([O-])[C@H]1[C@H](NC(=O)C(F)(F)F)CCCN1C(=O)c1cn[nH]n1 ZINC000851701156 584200768 /nfs/dbraw/zinc/20/07/68/584200768.db2.gz FSIDCXYRSXNTKU-IYSWYEEDSA-N -1 1 335.242 -0.459 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@@H](N3CCOC3=O)C2)co1 ZINC000855505132 584260423 /nfs/dbraw/zinc/26/04/23/584260423.db2.gz IPDXFCFYPCJYQC-SNVBAGLBSA-N -1 1 343.361 -0.146 20 0 EBADMM NS(=O)(=O)c1ccc(CC(=O)[N-]OCCN2CCCC2=O)s1 ZINC000856037894 584265942 /nfs/dbraw/zinc/26/59/42/584265942.db2.gz QQXLDWHGQAPAFR-UHFFFAOYSA-N -1 1 347.418 -0.392 20 0 EBADMM COC(=O)C(C)(C)n1cc(NC(=O)CCn2cc[n-]c(=O)c2=O)cn1 ZINC000856088690 584266489 /nfs/dbraw/zinc/26/64/89/584266489.db2.gz SJNXPNDBFWEOQK-UHFFFAOYSA-N -1 1 349.347 -0.330 20 0 EBADMM C[C@@H]1CCN(C(=O)Cn2ncn(C)c2=O)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856418481 584268764 /nfs/dbraw/zinc/26/87/64/584268764.db2.gz YENMUTDAYCLHNW-BDAKNGLRSA-N -1 1 349.313 -0.503 20 0 EBADMM CNS(=O)(=O)CCN1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC000856761848 584270959 /nfs/dbraw/zinc/27/09/59/584270959.db2.gz GKFTUAWWFXFOPH-BDAKNGLRSA-N -1 1 331.360 -0.076 20 0 EBADMM C[C@H]1CCN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)C[C@@H]1CO ZINC000857003287 584273229 /nfs/dbraw/zinc/27/32/29/584273229.db2.gz UXHJAYFULWHZHJ-WDEREUQCSA-N -1 1 331.376 -0.243 20 0 EBADMM CC(C)[C@H](CO)O[N-]C(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000857287717 584276894 /nfs/dbraw/zinc/27/68/94/584276894.db2.gz SBTYAQSFNNSSNR-TUAOUCFPSA-N -1 1 348.421 -0.913 20 0 EBADMM COC[C@@H]([N-]S(=O)(=O)C[C@@H](OC)c1ccc(F)cc1)C(N)=O ZINC000859083567 584295537 /nfs/dbraw/zinc/29/55/37/584295537.db2.gz OCGYLHKDGGMMHK-VXGBXAGGSA-N -1 1 334.369 -0.067 20 0 EBADMM COC(=O)C1=CC[C@H](NC(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000862008460 584345402 /nfs/dbraw/zinc/34/54/02/584345402.db2.gz OQVRYMKMJADWIX-JTQLQIEISA-N -1 1 343.343 -0.342 20 0 EBADMM CCON(CC)C(=O)CNC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000862617493 584352699 /nfs/dbraw/zinc/35/26/99/584352699.db2.gz ISQBMQZZACXTQC-UHFFFAOYSA-N -1 1 333.366 -0.283 20 0 EBADMM Cn1c2cc(CNC(=O)CCn3cc[n-]c(=O)c3=O)ccc2oc1=O ZINC000863144095 584364744 /nfs/dbraw/zinc/36/47/44/584364744.db2.gz VNIAERJLADOQGP-UHFFFAOYSA-N -1 1 344.327 -0.312 20 0 EBADMM CC(C)(CNC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)N1CCCC1 ZINC000863152184 584364858 /nfs/dbraw/zinc/36/48/58/584364858.db2.gz JNDRQOFPMSXJTB-UHFFFAOYSA-N -1 1 336.392 -0.309 20 0 EBADMM O=S(=O)([N-]CCN1CCOCC1)c1nc[nH]c1Br ZINC000866381848 584400504 /nfs/dbraw/zinc/40/05/04/584400504.db2.gz QTHSDYIDHDFFRM-UHFFFAOYSA-N -1 1 339.215 -0.217 20 0 EBADMM O=S(=O)([N-]CCN1CCCS1(=O)=O)c1ccc(F)nc1F ZINC000866675898 584402944 /nfs/dbraw/zinc/40/29/44/584402944.db2.gz SQVFQNKADUASNA-UHFFFAOYSA-N -1 1 341.361 -0.326 20 0 EBADMM CS(C)(=O)=NS(=O)(=O)[N-]CCN1C[C@H]2CCCCN2C1=O ZINC000867530044 584410041 /nfs/dbraw/zinc/41/00/41/584410041.db2.gz RUFJCTSIDMLJEB-SNVBAGLBSA-N -1 1 338.455 -0.162 20 0 EBADMM CC(=O)N1CCC[C@H]1C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000870447559 584447025 /nfs/dbraw/zinc/44/70/25/584447025.db2.gz UGPBKZKIGIBVOV-LBPRGKRZSA-N -1 1 331.438 -0.072 20 0 EBADMM CCN1C[C@@H](NC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)CC1=O ZINC000875642417 584504024 /nfs/dbraw/zinc/50/40/24/584504024.db2.gz OVWOVVDFOLUWFL-VIFPVBQESA-N -1 1 336.314 -0.073 20 0 EBADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCN(C(C)(C)C)C1=O ZINC000882299575 584587531 /nfs/dbraw/zinc/58/75/31/584587531.db2.gz KJHFKEWBGUJYPC-GSDQLPOLSA-N -1 1 340.471 -0.205 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@H]2CCC3(CCC3)O2)c(=O)n(C)c1=O ZINC000882780070 584595575 /nfs/dbraw/zinc/59/55/75/584595575.db2.gz RMINBKBOFFMWBL-SNVBAGLBSA-N -1 1 343.405 -0.536 20 0 EBADMM CC(C)(C)CCN1CCN(C(=O)C(=O)NCCOCC(=O)[O-])CC1 ZINC000884473608 584624407 /nfs/dbraw/zinc/62/44/07/584624407.db2.gz AMJVOHDVXZXWLZ-UHFFFAOYSA-N -1 1 343.424 -0.216 20 0 EBADMM O=C1NCC2(CCOCC2)[C@H]1[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000885605349 584650763 /nfs/dbraw/zinc/65/07/63/584650763.db2.gz MQGBAMZGFXYIBM-JTQLQIEISA-N -1 1 347.343 -0.067 20 0 EBADMM O=C1NCC2(CCOCC2)[C@@H]1[N-]S(=O)(=O)c1cccnc1F ZINC000885605609 584650774 /nfs/dbraw/zinc/65/07/74/584650774.db2.gz RUODYRMFKKLMQD-SNVBAGLBSA-N -1 1 329.353 -0.206 20 0 EBADMM COC[C@H]([N-]S(=O)(=O)N=[S@](C)(=O)N(C)C)[C@H]1CCCOC1 ZINC000885752895 584651819 /nfs/dbraw/zinc/65/18/19/584651819.db2.gz HJFPOFDWDWGXFA-MKXJDEKUSA-N -1 1 343.471 -0.163 20 0 EBADMM CN1CCN(C[C@@H]2CN(C(=O)CSCC(=O)[O-])CCO2)CC1 ZINC000739737272 597100117 /nfs/dbraw/zinc/10/01/17/597100117.db2.gz MHIOQQUEAIXLTM-GFCCVEGCSA-N -1 1 331.438 -0.721 20 0 EBADMM Cc1nc(CN2CCC[C@@H](N(C)[C@H](C)C(=O)NCC(=O)[O-])C2)no1 ZINC000738856678 599962989 /nfs/dbraw/zinc/96/29/89/599962989.db2.gz AYEYRHFZSXMPJL-ZYHUDNBSSA-N -1 1 339.396 -0.136 20 0 EBADMM O=C([O-])CCCNC(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC000738576549 600185109 /nfs/dbraw/zinc/18/51/09/600185109.db2.gz BGMQEIQAATUEKS-ZDUSSCGKSA-N -1 1 328.413 -0.443 20 0 EBADMM O=C([O-])NC[C@@H]1CCN(CC(=O)N2CCC3(CC2)OCCO3)C1 ZINC000740643760 601940302 /nfs/dbraw/zinc/94/03/02/601940302.db2.gz VMCIDFSLKYNFTN-LBPRGKRZSA-N -1 1 327.381 -0.059 20 0 EBADMM CC(C)N1CCO[C@@H](CN2CCN(C(=O)[C@@H](C)NC(=O)[O-])CC2)C1 ZINC000738855442 602419988 /nfs/dbraw/zinc/41/99/88/602419988.db2.gz VZOAHTKEFHCXEY-KGLIPLIRSA-N -1 1 342.440 -0.104 20 0 EBADMM CCC[C@H](NC(=O)[O-])C(=O)N1CCO[C@@H](CN2CCN(C)CC2)C1 ZINC000739313000 602422464 /nfs/dbraw/zinc/42/24/64/602422464.db2.gz QPCWQWKINZOTLK-KBPBESRZSA-N -1 1 342.440 -0.103 20 0 EBADMM CN(C)CC(=O)N1CCN(C(=O)[C@H]2CCCCN2C(=O)[O-])CC1 ZINC000739608797 602498138 /nfs/dbraw/zinc/49/81/38/602498138.db2.gz VIBITHPFGIHAAZ-GFCCVEGCSA-N -1 1 326.397 -0.249 20 0 EBADMM CN(C[C@H]1CCN(C(=O)NC[C@@H]2CN(C)CCN2C)C1)C(=O)[O-] ZINC000739735809 602637008 /nfs/dbraw/zinc/63/70/08/602637008.db2.gz VGZBSTFDNZNIAF-CHWSQXEVSA-N -1 1 327.429 -0.127 20 0 EBADMM COCCNC(=O)CN1CCN(C[C@@H]2CCCN(C(=O)[O-])C2)CC1 ZINC000739830129 602702530 /nfs/dbraw/zinc/70/25/30/602702530.db2.gz GBKJNZCDXJTEHU-AWEZNQCLSA-N -1 1 342.440 -0.243 20 0 EBADMM CC(=O)N1CCN(C(=O)CN2CC[C@H](NC(=O)[O-])[C@@H](C)C2)CC1 ZINC000738525504 602770620 /nfs/dbraw/zinc/77/06/20/602770620.db2.gz XELHHTRANZWHTJ-AAEUAGOBSA-N -1 1 326.397 -0.345 20 0 EBADMM C[C@@H]1CN(CCCNC(=O)[C@H]2COCCN2C(=O)[O-])C[C@@H](C)O1 ZINC000739184658 602834581 /nfs/dbraw/zinc/83/45/81/602834581.db2.gz XNZOBSWTIWYMSD-JHJVBQTASA-N -1 1 329.397 -0.019 20 0 EBADMM C[C@@H](CNC(=O)CN(C[C@H]1CCCO1)C(=O)[O-])N1CCN(C)CC1 ZINC000738915879 602904750 /nfs/dbraw/zinc/90/47/50/602904750.db2.gz JDVWDTCORNXBSL-UONOGXRCSA-N -1 1 342.440 -0.103 20 0 EBADMM CC(C)[C@H]1CN(C)CCN1C(=O)CN1CCN(C(=O)[O-])CC1=O ZINC000738800677 603008503 /nfs/dbraw/zinc/00/85/03/603008503.db2.gz KFJQLKONQFZHLK-GFCCVEGCSA-N -1 1 326.397 -0.393 20 0 EBADMM C[C@@H]1CN(CCCNC(=O)[C@@H]2C[C@@H](O)CN2C(=O)[O-])C[C@H](C)O1 ZINC000739181580 603085828 /nfs/dbraw/zinc/08/58/28/603085828.db2.gz KAJXTVWUWFHCEO-XQHKEYJVSA-N -1 1 329.397 -0.285 20 0 EBADMM O=C(NN/C=C1/C(=O)[N-]C(=S)NC1=O)c1ccc2c(c1)OCO2 ZINC000028978351 649936154 /nfs/dbraw/zinc/93/61/54/649936154.db2.gz RRVIVMYKJZZRGX-UHFFFAOYSA-N -1 1 334.313 -0.095 20 0 EBADMM O=C(NN/C=C1\C(=O)[N-]C(=S)NC1=O)c1ccc2c(c1)OCO2 ZINC000028978351 649936157 /nfs/dbraw/zinc/93/61/57/649936157.db2.gz RRVIVMYKJZZRGX-UHFFFAOYSA-N -1 1 334.313 -0.095 20 0 EBADMM O=C(NNC=C1C(=O)[N-]C(=S)NC1=O)c1ccc2c(c1)OCO2 ZINC000028978351 649936159 /nfs/dbraw/zinc/93/61/59/649936159.db2.gz RRVIVMYKJZZRGX-UHFFFAOYSA-N -1 1 334.313 -0.095 20 0 EBADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)OCC(=O)NC(N)=O)o1 ZINC000042263664 649941659 /nfs/dbraw/zinc/94/16/59/649941659.db2.gz YEHWWYBQVRCFEG-UHFFFAOYSA-N -1 1 347.349 -0.292 20 0 EBADMM CCNS(=O)(=O)c1ccc(C)c(C(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000047428937 649947377 /nfs/dbraw/zinc/94/73/77/649947377.db2.gz SHGBPCJFMNQVSG-UHFFFAOYSA-N -1 1 340.361 -0.510 20 0 EBADMM Cn1nc2c(cc1=O)CN(CC(=O)N[N-]C(=O)c1cccs1)CC2 ZINC000078622214 649970576 /nfs/dbraw/zinc/97/05/76/649970576.db2.gz LTCXQQTTWXKNAQ-UHFFFAOYSA-N -1 1 347.400 -0.339 20 0 EBADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000337147848 649998398 /nfs/dbraw/zinc/99/83/98/649998398.db2.gz JAMYOHPZECABRP-MRVPVSSYSA-N -1 1 331.336 -0.786 20 0 EBADMM O=C(CN1CCNC(=O)C1)Nc1nc(Br)ccc1[O-] ZINC000907666492 650088368 /nfs/dbraw/zinc/08/83/68/650088368.db2.gz GEOISNUATHVZGM-UHFFFAOYSA-N -1 1 329.154 -0.080 20 0 EBADMM COCCN(CCC(=O)[O-])C(=O)C(=O)N[C@@H]1C[C@H](C)N(C2CC2)C1 ZINC000910490088 650110651 /nfs/dbraw/zinc/11/06/51/650110651.db2.gz PZNDQSAUHCTGNY-NWDGAFQWSA-N -1 1 341.408 -0.323 20 0 EBADMM COC(=O)C[C@H]([N-]S(=O)(=O)c1n[nH]cc1C(=O)OC)C1CC1 ZINC000912540148 650207106 /nfs/dbraw/zinc/20/71/06/650207106.db2.gz CKJDGPKZIMAUFB-VIFPVBQESA-N -1 1 331.350 -0.184 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCCN1CCCCC1=O ZINC000912544101 650207416 /nfs/dbraw/zinc/20/74/16/650207416.db2.gz KUJFGBVFWJAZRS-UHFFFAOYSA-N -1 1 344.393 -0.123 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CC(=O)N(C(C)(C)C)C1 ZINC000912542065 650207569 /nfs/dbraw/zinc/20/75/69/650207569.db2.gz KKKGHSDMKQGAPW-QMMMGPOBSA-N -1 1 344.393 -0.126 20 0 EBADMM COC[C@H]([N-]S(=O)(=O)c1n[nH]cc1C(=O)OC)[C@H]1CCCO1 ZINC000912550865 650208039 /nfs/dbraw/zinc/20/80/39/650208039.db2.gz UFGZVAWPZXBILU-VHSXEESVSA-N -1 1 333.366 -0.331 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCNc1ncccn1 ZINC000912553050 650208535 /nfs/dbraw/zinc/20/85/35/650208535.db2.gz GXIYGTLMJWHKAH-UHFFFAOYSA-N -1 1 326.338 -0.623 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](CO)c1cccnc1 ZINC000912552689 650208647 /nfs/dbraw/zinc/20/86/47/650208647.db2.gz DDHOFMGNAPCQNV-NSHDSACASA-N -1 1 340.361 -0.007 20 0 EBADMM CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@H]1C[C@H](C)OC1=O ZINC000912551906 650208691 /nfs/dbraw/zinc/20/86/91/650208691.db2.gz CXQHMQHQRITFGP-XPUUQOCRSA-N -1 1 331.350 -0.123 20 0 EBADMM C[C@H]1C[C@H]([N-]S(=O)(=O)[C@@H]2COC[C@H]2O)C(=O)N1c1ccccc1 ZINC000914195053 650255375 /nfs/dbraw/zinc/25/53/75/650255375.db2.gz CWYAMCWNMXVTRX-SCUASFONSA-N -1 1 340.401 -0.141 20 0 EBADMM O=S(=O)([N-][C@H](CO)CN1CCOCC1)c1cc(F)ccc1F ZINC000918988911 650294425 /nfs/dbraw/zinc/29/44/25/650294425.db2.gz NVAGOYDGDQIQIS-NSHDSACASA-N -1 1 336.360 -0.064 20 0 EBADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H](C)[C@@H]1CN(C)CCN1C ZINC000919980361 650306835 /nfs/dbraw/zinc/30/68/35/650306835.db2.gz CLPPYGMZTUVFTB-RYUDHWBXSA-N -1 1 340.515 -0.037 20 0 EBADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@H](C)[C@@H]1CN(C)CCN1C ZINC000919983231 650307360 /nfs/dbraw/zinc/30/73/60/650307360.db2.gz ZLOSCBWOVPZNEW-FDMGOTLNSA-N -1 1 326.488 -0.427 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@]23C[C@H]2CCCC3)c(=O)n(C)c1=O ZINC000920860228 650315253 /nfs/dbraw/zinc/31/52/53/650315253.db2.gz DDPOBSBIRKQKJX-YGRLFVJLSA-N -1 1 327.406 -0.057 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-]C[C@]2(CO)COCCN2C)c1Cl ZINC000921340239 650318283 /nfs/dbraw/zinc/31/82/83/650318283.db2.gz YSWHIZYALQNJFC-NSHDSACASA-N -1 1 338.817 -0.955 20 0 EBADMM CN(C(=O)[C@@H]1COCCO1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937211197 651680007 /nfs/dbraw/zinc/68/00/07/651680007.db2.gz ITGLUMRHWRTNQE-AAEUAGOBSA-N -1 1 335.360 -0.125 20 0 EBADMM CN(C(=O)[C@H]1CCCNC1=O)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216495 651684696 /nfs/dbraw/zinc/68/46/96/651684696.db2.gz FKOFGLSPRKXYCH-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)Cn1ncnn1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937219176 651685703 /nfs/dbraw/zinc/68/57/03/651685703.db2.gz DPVQYIUOWAKYSL-SNVBAGLBSA-N -1 1 331.336 -0.853 20 0 EBADMM Cn1[n-]c(CN2CCC(S(=O)(=O)N3CCCCC3)CC2)nc1=O ZINC000933090158 651709982 /nfs/dbraw/zinc/70/99/82/651709982.db2.gz UAZRNRNCTSIMFM-UHFFFAOYSA-N -1 1 343.453 -0.112 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)[C@H]2CC23CC3)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000936264875 651755426 /nfs/dbraw/zinc/75/54/26/651755426.db2.gz ZCGNGGZTMGCODC-NXEZZACHSA-N -1 1 342.359 -0.452 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)[C@H]1CCN(C(=O)C2CC2)C1 ZINC000936317902 651764756 /nfs/dbraw/zinc/76/47/56/651764756.db2.gz OPXBAFYUNNMGIV-JTQLQIEISA-N -1 1 330.348 -0.500 20 0 EBADMM COCC(=O)N1CC[C@H](N(C)C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC000936409469 651785254 /nfs/dbraw/zinc/78/52/54/651785254.db2.gz VQCRXHHHPGEYJA-QMMMGPOBSA-N -1 1 340.336 -0.784 20 0 EBADMM CC(C)(F)C(=O)N[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000937600068 651853518 /nfs/dbraw/zinc/85/35/18/651853518.db2.gz OGISHXULGQIYPG-JTQLQIEISA-N -1 1 340.355 -0.608 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H](NC(=O)C(C)(F)F)C1 ZINC000937605047 651854199 /nfs/dbraw/zinc/85/41/99/651854199.db2.gz ASBQQYWKEPJBQZ-LURJTMIESA-N -1 1 346.290 -0.118 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)c1ccc(=O)[nH]n1 ZINC000937641169 651861205 /nfs/dbraw/zinc/86/12/05/651861205.db2.gz VXSPESXMEYEIRS-VIFPVBQESA-N -1 1 329.316 -0.073 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H](NC(=O)c2ccoc2)C1 ZINC000937680785 651876571 /nfs/dbraw/zinc/87/65/71/651876571.db2.gz CWKXYAWQSNKNQM-LBPRGKRZSA-N -1 1 346.343 -0.449 20 0 EBADMM CN1CCO[C@H](C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000937779620 651922055 /nfs/dbraw/zinc/92/20/55/651922055.db2.gz NEPUAVFCKKCLQU-AAEUAGOBSA-N -1 1 334.376 -0.552 20 0 EBADMM C[C@@H](C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)n1cncn1 ZINC000937848967 651946473 /nfs/dbraw/zinc/94/64/73/651946473.db2.gz QIPNDEBWPPHSLE-QWRGUYRKSA-N -1 1 330.348 -0.029 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ccc(=O)[nH]n2)C1)c1ncccc1[O-] ZINC000937860812 651958168 /nfs/dbraw/zinc/95/81/68/651958168.db2.gz KEZLRVNUXSILNL-VIFPVBQESA-N -1 1 329.316 -0.073 20 0 EBADMM C[C@H](C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1)S(C)(=O)=O ZINC000937864643 651960085 /nfs/dbraw/zinc/96/00/85/651960085.db2.gz URNWCAZBCGIZPR-ZJUUUORDSA-N -1 1 341.389 -0.449 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC000938663212 652657971 /nfs/dbraw/zinc/65/79/71/652657971.db2.gz MXPPYPSJOAXAQN-RYUDHWBXSA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C(=O)C1CCC1)[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000938871354 652750831 /nfs/dbraw/zinc/75/08/31/652750831.db2.gz FFMUNDQGLILZHV-ZDUSSCGKSA-N -1 1 348.403 -0.214 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1)[C@H]1CCCO1 ZINC000944467076 652778843 /nfs/dbraw/zinc/77/88/43/652778843.db2.gz YZEVTPPTZROCSD-WCQYABFASA-N -1 1 335.360 -0.077 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@@H]2CCC(=O)N2)C1 ZINC000938970211 652787522 /nfs/dbraw/zinc/78/75/22/652787522.db2.gz GVXPLSRCBBWKDE-QWRGUYRKSA-N -1 1 332.360 -0.261 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1)c1cnsn1 ZINC000944496056 652799908 /nfs/dbraw/zinc/79/99/08/652799908.db2.gz TWQFCVSBMRYOIG-SECBINFHSA-N -1 1 349.372 -0.090 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@@H]2CN(C)C(=O)N2)C1 ZINC000939006090 652805764 /nfs/dbraw/zinc/80/57/64/652805764.db2.gz BXRGLXYSKIOKAX-QWRGUYRKSA-N -1 1 347.375 -0.516 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1)[C@H]1CCC(=O)N1 ZINC000944522078 652830218 /nfs/dbraw/zinc/83/02/18/652830218.db2.gz VYRBGCBJJWZTRS-GHMZBOCLSA-N -1 1 348.359 -0.977 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2cnsn2)CCO1)c1ncccc1[O-] ZINC000944560552 652860017 /nfs/dbraw/zinc/86/00/17/652860017.db2.gz LEZPMYPYMGFRMJ-VIFPVBQESA-N -1 1 349.372 -0.090 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)[C@H]2CCOC2)CCO1)c1ncccc1[O-] ZINC000944561298 652860876 /nfs/dbraw/zinc/86/08/76/652860876.db2.gz QKLFHSGGIWKTQU-NWDGAFQWSA-N -1 1 335.360 -0.219 20 0 EBADMM Cc1nonc1C(=O)N1CCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944562137 652861901 /nfs/dbraw/zinc/86/19/01/652861901.db2.gz XJBYTTOELFMTPS-SNVBAGLBSA-N -1 1 347.331 -0.250 20 0 EBADMM O=C(Cc1ncc[nH]1)N1CCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944564282 652863136 /nfs/dbraw/zinc/86/31/36/652863136.db2.gz JNTQQLQZTKINGC-NSHDSACASA-N -1 1 345.359 -0.290 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCN(C(=O)C(C)(F)F)C1 ZINC000941663107 652864571 /nfs/dbraw/zinc/86/45/71/652864571.db2.gz KWJPCOCUYNVEPP-ZCFIWIBFSA-N -1 1 346.290 -0.118 20 0 EBADMM O=C(CCC1CC1)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000941664756 652866906 /nfs/dbraw/zinc/86/69/06/652866906.db2.gz ZNVUHIWBIVYCNP-LLVKDONJSA-N -1 1 344.375 -0.062 20 0 EBADMM Cn1cnc(C(=O)NC[C@H]2CN(C(=O)c3ncccc3[O-])CCO2)n1 ZINC000944586683 652887347 /nfs/dbraw/zinc/88/73/47/652887347.db2.gz MYJMQAATCRKCRX-JTQLQIEISA-N -1 1 346.347 -0.813 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1cnccn1 ZINC000941763752 652903304 /nfs/dbraw/zinc/90/33/04/652903304.db2.gz HRYCHEMZCLXWHJ-GHMZBOCLSA-N -1 1 331.380 -0.461 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1ccc(=O)[nH]n1 ZINC000941789587 652909521 /nfs/dbraw/zinc/90/95/21/652909521.db2.gz MAELBYRPUJYQTI-ZJUUUORDSA-N -1 1 347.379 -0.756 20 0 EBADMM C[C@@H]1CN(C(=O)c2cc3ncccn3n2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939362362 652912736 /nfs/dbraw/zinc/91/27/36/652912736.db2.gz MVQNGYXZHZSOBT-MWLCHTKSSA-N -1 1 342.363 -0.197 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1)[C@]12C[C@H]1COC2 ZINC000944625227 652915273 /nfs/dbraw/zinc/91/52/73/652915273.db2.gz SLKOBDBFEVQAFT-JKDFXYPNSA-N -1 1 347.371 -0.219 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1ccc(=O)[nH]c1 ZINC000944634679 652923182 /nfs/dbraw/zinc/92/31/82/652923182.db2.gz NWZSBXHVCZFWGI-ZYHUDNBSSA-N -1 1 346.391 -0.151 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1ccc[nH]1 ZINC000939396725 652925687 /nfs/dbraw/zinc/92/56/87/652925687.db2.gz POOSVXNEKDJLJW-SECBINFHSA-N -1 1 341.331 -0.610 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H](NC(=O)c2ccoc2)C1 ZINC000939407991 652927106 /nfs/dbraw/zinc/92/71/06/652927106.db2.gz CWKXYAWQSNKNQM-GFCCVEGCSA-N -1 1 346.343 -0.449 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)n(C)n1 ZINC000941870279 652936364 /nfs/dbraw/zinc/93/63/64/652936364.db2.gz UENZMLCCKQFNOK-JQWIXIFHSA-N -1 1 347.423 -0.209 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)n(C)n1 ZINC000941870275 652936483 /nfs/dbraw/zinc/93/64/83/652936483.db2.gz UENZMLCCKQFNOK-CMPLNLGQSA-N -1 1 347.423 -0.209 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)Cc1cnn(C)c1 ZINC000941881258 652939740 /nfs/dbraw/zinc/93/97/40/652939740.db2.gz GZJIRHSSWFBDRM-YPMHNXCESA-N -1 1 347.423 -0.589 20 0 EBADMM Cc1cc(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)no1 ZINC000941899506 652945547 /nfs/dbraw/zinc/94/55/47/652945547.db2.gz JCFRIFSTTRQOAS-MFKMUULPSA-N -1 1 348.407 -0.026 20 0 EBADMM CCn1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)cn1 ZINC000941900435 652945785 /nfs/dbraw/zinc/94/57/85/652945785.db2.gz SVMOWZKUWKXOKE-WCQYABFASA-N -1 1 347.423 -0.035 20 0 EBADMM C[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1NCc1cnon1 ZINC000939520425 652955411 /nfs/dbraw/zinc/95/54/11/652955411.db2.gz CJXSJPCYAHDHTA-LDYMZIIASA-N -1 1 344.335 -0.949 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1)c1cn[nH]n1 ZINC000944670508 652956594 /nfs/dbraw/zinc/95/65/94/652956594.db2.gz REPULEAMXOHYHP-SECBINFHSA-N -1 1 332.320 -0.824 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)Cc1ccnn1C ZINC000942003422 653017839 /nfs/dbraw/zinc/01/78/39/653017839.db2.gz CBLBOTDRVTXVJU-DGCLKSJQSA-N -1 1 347.423 -0.589 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@@]2(C)CCNC2=O)C1 ZINC000939687357 653022579 /nfs/dbraw/zinc/02/25/79/653022579.db2.gz PZFMFORPRYGKKK-GTNSWQLSSA-N -1 1 346.387 -0.014 20 0 EBADMM Cc1c[nH]nc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1C ZINC000942029490 653024306 /nfs/dbraw/zinc/02/43/06/653024306.db2.gz MIAPGQPGTVSTQU-WDEREUQCSA-N -1 1 333.396 -0.220 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccc(C(N)=O)cc2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939719123 653032513 /nfs/dbraw/zinc/03/25/13/653032513.db2.gz PLDDBWOXCOCSJV-BXKDBHETSA-N -1 1 344.375 -0.141 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)Cn2cncn2)C1 ZINC000939780850 653044664 /nfs/dbraw/zinc/04/46/64/653044664.db2.gz KRXUSZWZHHAZNV-NSHDSACASA-N -1 1 330.348 -0.248 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ccc3oc(=O)nc-3[n-]2)C1)c1ncn[nH]1 ZINC000942161981 653049360 /nfs/dbraw/zinc/04/93/60/653049360.db2.gz WJZSTAYDPFNNDD-SSDOTTSWSA-N -1 1 343.303 -0.309 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ccc3oc(=O)nc-3[n-]2)C1)c1nc[nH]n1 ZINC000942161981 653049362 /nfs/dbraw/zinc/04/93/62/653049362.db2.gz WJZSTAYDPFNNDD-SSDOTTSWSA-N -1 1 343.303 -0.309 20 0 EBADMM CCOc1cnc(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC000939868197 653060762 /nfs/dbraw/zinc/06/07/62/653060762.db2.gz GVPQKHHDYHHKKZ-MWLCHTKSSA-N -1 1 347.379 -0.051 20 0 EBADMM Cc1nc(CN2CC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)[C@H](C)C2)n[nH]1 ZINC000942303092 653078115 /nfs/dbraw/zinc/07/81/15/653078115.db2.gz KWVJLCQJZSNJEJ-APPZFPTMSA-N -1 1 348.367 -0.650 20 0 EBADMM C[C@@H]1CN(Cc2cnn(C)c2)CC[C@@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC000942305719 653078929 /nfs/dbraw/zinc/07/89/29/653078929.db2.gz VHUBPVYQYCAVKK-KOLCDFICSA-N -1 1 347.379 -0.343 20 0 EBADMM CN1C(=O)CC[C@H]1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940129869 653084618 /nfs/dbraw/zinc/08/46/18/653084618.db2.gz CQTIHWYAPHXLMQ-MNOVXSKESA-N -1 1 332.360 -0.261 20 0 EBADMM C[C@@H](C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1)S(C)(=O)=O ZINC000940130720 653084647 /nfs/dbraw/zinc/08/46/47/653084647.db2.gz URNWCAZBCGIZPR-VHSXEESVSA-N -1 1 341.389 -0.449 20 0 EBADMM C[C@H](C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1)n1cncn1 ZINC000940132459 653084964 /nfs/dbraw/zinc/08/49/64/653084964.db2.gz ZUMYMARHFNAOIH-GHMZBOCLSA-N -1 1 330.348 -0.029 20 0 EBADMM O=C(CCn1cnnn1)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940132138 653085188 /nfs/dbraw/zinc/08/51/88/653085188.db2.gz ODEXQWHTNPUIQJ-SNVBAGLBSA-N -1 1 331.336 -0.805 20 0 EBADMM O=C(CN1CCOCC1)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000946501762 653130590 /nfs/dbraw/zinc/13/05/90/653130590.db2.gz MLVYBUJJKYIRJN-UHFFFAOYSA-N -1 1 348.403 -0.160 20 0 EBADMM CC(=O)N1CC(C(=O)NC2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC000946532932 653144582 /nfs/dbraw/zinc/14/45/82/653144582.db2.gz JDHNQFPOTAUEQR-UHFFFAOYSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1c[nH]c(=O)n1C ZINC000942559770 653145351 /nfs/dbraw/zinc/14/53/51/653145351.db2.gz GOWKVAAAYZKDDZ-VHSXEESVSA-N -1 1 349.395 -0.812 20 0 EBADMM CN1C[C@H](C(=O)NC2CCN(C(=O)c3ncccc3[O-])CC2)NC1=O ZINC000946538803 653146418 /nfs/dbraw/zinc/14/64/18/653146418.db2.gz QZQBGBSDTHSCKA-LLVKDONJSA-N -1 1 347.375 -0.468 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2nc[nH]n2)C1)c1cnc(C2CC2)[n-]c1=O ZINC000940493894 653188093 /nfs/dbraw/zinc/18/80/93/653188093.db2.gz DWFRAGQTHDQREH-SECBINFHSA-N -1 1 343.347 -0.178 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)c2nnn(C)n2)C1 ZINC000942751709 653196383 /nfs/dbraw/zinc/19/63/83/653196383.db2.gz GVXHIHMPHKUOQA-JTQLQIEISA-N -1 1 345.363 -0.313 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@@H]2COC(=O)N2)C1 ZINC000942758002 653198060 /nfs/dbraw/zinc/19/80/60/653198060.db2.gz KRVXPXOJHFXZAJ-QWRGUYRKSA-N -1 1 348.359 -0.041 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC2(C1)CCN(C(C)=O)CC2 ZINC000944807233 653269802 /nfs/dbraw/zinc/26/98/02/653269802.db2.gz NCPTWPFKYKBQSJ-UHFFFAOYSA-N -1 1 336.348 -0.019 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)Cn2cccn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947053504 653301243 /nfs/dbraw/zinc/30/12/43/653301243.db2.gz ZWOMUEPRHJCGJP-NEPJUHHUSA-N -1 1 333.396 -0.526 20 0 EBADMM Cc1nccc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)n1 ZINC000945005093 653315251 /nfs/dbraw/zinc/31/52/51/653315251.db2.gz ADGKBVPNGHLXQG-CMPLNLGQSA-N -1 1 345.407 -0.153 20 0 EBADMM Cc1c(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H](C)C2)nnn1C ZINC000947157875 653328480 /nfs/dbraw/zinc/32/84/80/653328480.db2.gz SASYPELZJMRHDX-ONGXEEELSA-N -1 1 348.411 -0.672 20 0 EBADMM NC(=O)C(=O)N1CCCC[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000943259849 653330499 /nfs/dbraw/zinc/33/04/99/653330499.db2.gz QBRAJNPHJNJYNO-JTQLQIEISA-N -1 1 347.375 -0.344 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2cn(C)nn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947262240 653351309 /nfs/dbraw/zinc/35/13/09/653351309.db2.gz UHYTZSHYPHUZJD-VHSXEESVSA-N -1 1 334.384 -0.980 20 0 EBADMM CCn1nncc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@H](C)C1 ZINC000947287047 653357151 /nfs/dbraw/zinc/35/71/51/653357151.db2.gz ONPAHNQRIBONNW-MNOVXSKESA-N -1 1 348.411 -0.497 20 0 EBADMM Cc1nc(CC(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[n-]3)[C@@H](C)C2)n[nH]1 ZINC000947294235 653360234 /nfs/dbraw/zinc/36/02/34/653360234.db2.gz AZDQEPIOZQBOMD-WCBMZHEXSA-N -1 1 334.384 -0.351 20 0 EBADMM Cn1nnc(C(=O)N[C@@H]2CCCCN(C(=O)c3ncccc3[O-])C2)n1 ZINC000943446434 653392609 /nfs/dbraw/zinc/39/26/09/653392609.db2.gz APUKSYAZYRDTPX-SNVBAGLBSA-N -1 1 345.363 -0.265 20 0 EBADMM CN1CC[C@@H](CC(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)C1=O ZINC000941056187 653395233 /nfs/dbraw/zinc/39/52/33/653395233.db2.gz JDDKSFCYJBPHDI-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)NC1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000947442565 653404246 /nfs/dbraw/zinc/40/42/46/653404246.db2.gz APXINHWNYOESPM-DGCLKSJQSA-N -1 1 348.403 -0.310 20 0 EBADMM O=C(N[C@H]1CCCCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CNC(=O)N1 ZINC000943485133 653404918 /nfs/dbraw/zinc/40/49/18/653404918.db2.gz JEDXEJKSDFCRTD-QWRGUYRKSA-N -1 1 347.375 -0.421 20 0 EBADMM CC(C)C(=O)NC1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000947497978 653415042 /nfs/dbraw/zinc/41/50/42/653415042.db2.gz RAQYLAGUOWILTB-UHFFFAOYSA-N -1 1 332.364 -0.206 20 0 EBADMM CCC(=O)N1C[C@@H](NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)[C@H](OC)C1 ZINC000943524826 653416836 /nfs/dbraw/zinc/41/68/36/653416836.db2.gz PBAZSTCFLKETBZ-HTQZYQBOSA-N -1 1 340.336 -0.738 20 0 EBADMM O=C(Cn1ncnn1)N[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC000943684633 653448975 /nfs/dbraw/zinc/44/89/75/653448975.db2.gz UFRGTPCBJIBNLO-XYPYZODXSA-N -1 1 345.363 -0.369 20 0 EBADMM CN1C[C@@H](C(=O)N2CCC(NC(=O)c3ncccc3[O-])CC2)NC1=O ZINC000947708572 653468223 /nfs/dbraw/zinc/46/82/23/653468223.db2.gz DXNGYGLQSHYIAR-NSHDSACASA-N -1 1 347.375 -0.468 20 0 EBADMM CCn1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)nn1 ZINC000943778168 653473957 /nfs/dbraw/zinc/47/39/57/653473957.db2.gz RKTKKNAUBKLXKH-QWRGUYRKSA-N -1 1 348.411 -0.640 20 0 EBADMM Cn1[n-]c(CN2CC(N3CCN(C(=O)CC4CC4)CC3)C2)nc1=O ZINC000941276671 653480677 /nfs/dbraw/zinc/48/06/77/653480677.db2.gz DLHGVPCLPPLUBY-UHFFFAOYSA-N -1 1 334.424 -0.763 20 0 EBADMM Cn1[n-]c(CN2CC(N3CCN(C(=O)C(C)(F)F)CC3)C2)nc1=O ZINC000941279094 653481953 /nfs/dbraw/zinc/48/19/53/653481953.db2.gz GHHHSSGBWSMKEL-UHFFFAOYSA-N -1 1 344.366 -0.908 20 0 EBADMM CC(C)CC(=O)N1CCN(C2CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC000941284837 653484344 /nfs/dbraw/zinc/48/43/44/653484344.db2.gz YCYHSEUVRPWXMZ-UHFFFAOYSA-N -1 1 336.440 -0.517 20 0 EBADMM CN1CCOC[C@H]1C(=O)NC[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000943976137 653500679 /nfs/dbraw/zinc/50/06/79/653500679.db2.gz CDICJRCDHCSCGZ-OLZOCXBDSA-N -1 1 348.403 -0.161 20 0 EBADMM CC(=O)N1CC(C(=O)NC[C@H]2CCCN2C(=O)c2ncccc2[O-])C1 ZINC000943976987 653500853 /nfs/dbraw/zinc/50/08/53/653500853.db2.gz RKPQJSKLFHOPLQ-CYBMUJFWSA-N -1 1 346.387 -0.014 20 0 EBADMM CC(=O)NC[C@H]1[C@H](C)CCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000945789062 653505862 /nfs/dbraw/zinc/50/58/62/653505862.db2.gz OQOBBIXRTLGLSS-YPMHNXCESA-N -1 1 336.392 -0.310 20 0 EBADMM CCC(=O)N1CC[C@@H]2[C@H](CCN2C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000945813599 653509762 /nfs/dbraw/zinc/50/97/62/653509762.db2.gz IRGUQDUIELQWDI-CHWSQXEVSA-N -1 1 348.403 -0.214 20 0 EBADMM CC(=O)N1CC[C@H]2[C@H](CCN2C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000945820544 653509869 /nfs/dbraw/zinc/50/98/69/653509869.db2.gz KTMCQTKEMMTWPT-PWSUYJOCSA-N -1 1 330.348 -0.500 20 0 EBADMM O=C(Cn1cnnn1)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000944029031 653510395 /nfs/dbraw/zinc/51/03/95/653510395.db2.gz GJVBLVSPCOJQBA-UHFFFAOYSA-N -1 1 331.336 -0.805 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2CCNC(=O)C2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000948344224 653535585 /nfs/dbraw/zinc/53/55/85/653535585.db2.gz GPPYNXOKTLKUMI-IJLUTSLNSA-N -1 1 346.387 -0.110 20 0 EBADMM Cc1nc[nH]c1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1C ZINC000946178745 653546115 /nfs/dbraw/zinc/54/61/15/653546115.db2.gz MPMGYBYDYIUAIG-GXSJLCMTSA-N -1 1 333.396 -0.220 20 0 EBADMM Cc1nc[nH]c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC000946178746 653546167 /nfs/dbraw/zinc/54/61/67/653546167.db2.gz MPMGYBYDYIUAIG-KOLCDFICSA-N -1 1 333.396 -0.220 20 0 EBADMM Cn1nnc(C(=O)NC[C@H]2CCC[C@@H]2NC(=O)c2ncccc2[O-])n1 ZINC000946191556 653547910 /nfs/dbraw/zinc/54/79/10/653547910.db2.gz FHMIHLMDXVLJIB-ZJUUUORDSA-N -1 1 345.363 -0.361 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)CCc3ccncn3)CC2)nc1=O ZINC000948577976 653560012 /nfs/dbraw/zinc/56/00/12/653560012.db2.gz YZZWWQWDBJNZKO-UHFFFAOYSA-N -1 1 331.380 -0.825 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@@H]1CCNC(=O)C1 ZINC000948618875 653563011 /nfs/dbraw/zinc/56/30/11/653563011.db2.gz MUFFZQRKDCOPQT-IJLUTSLNSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@@H]1CC(=O)N(C)C1 ZINC000948626730 653563762 /nfs/dbraw/zinc/56/37/62/653563762.db2.gz XNUAAKKIIKOXLM-IJLUTSLNSA-N -1 1 346.387 -0.158 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H]1CNC(=O)C1CCCC1 ZINC000948628165 653563858 /nfs/dbraw/zinc/56/38/58/653563858.db2.gz QUQIKGLTDSAGJN-CYBMUJFWSA-N -1 1 348.403 -0.166 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@@H]1CCCNC1=O ZINC000948626408 653563860 /nfs/dbraw/zinc/56/38/60/653563860.db2.gz CDNWLXOHJAGWEH-IJLUTSLNSA-N -1 1 346.387 -0.110 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cnn4c3CCC4)CC2)nc1=O ZINC000948649143 653565033 /nfs/dbraw/zinc/56/50/33/653565033.db2.gz AOBGGCRZRDWDQB-UHFFFAOYSA-N -1 1 331.380 -0.791 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2COC(=O)N2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000948842536 653576050 /nfs/dbraw/zinc/57/60/50/653576050.db2.gz ABLHURFWFIPYKS-OPRDCNLKSA-N -1 1 334.332 -0.528 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3ncc(F)cc3F)CC2)nc1=O ZINC000948899881 653580977 /nfs/dbraw/zinc/58/09/77/653580977.db2.gz SUDHWIAMKMGHNZ-UHFFFAOYSA-N -1 1 338.318 -0.260 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCN(Cc2n[nH]c(C3CC3)n2)CC1 ZINC000949111289 653595506 /nfs/dbraw/zinc/59/55/06/653595506.db2.gz MOUKBDZZRQNWBM-UHFFFAOYSA-N -1 1 346.351 -0.764 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCN(C[C@H]2C[C@]23CCOC3)CC1 ZINC000949111279 653595547 /nfs/dbraw/zinc/59/55/47/653595547.db2.gz MICDIDGRTPCQAQ-BMIGLBTASA-N -1 1 335.364 -0.533 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cc4n(n3)CCCO4)CC2)nc1=O ZINC000949238097 653840797 /nfs/dbraw/zinc/84/07/97/653840797.db2.gz NHJMQXIUABRPBK-UHFFFAOYSA-N -1 1 347.379 -0.955 20 0 EBADMM CS(=O)(=O)CC(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949531310 653921219 /nfs/dbraw/zinc/92/12/19/653921219.db2.gz PJMNQVXFPRGINS-SECBINFHSA-N -1 1 327.362 -0.838 20 0 EBADMM O=C(C[C@H]1CCC(=O)N1)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949534674 653921901 /nfs/dbraw/zinc/92/19/01/653921901.db2.gz CPRKADMZUDPLMM-MNOVXSKESA-N -1 1 332.360 -0.213 20 0 EBADMM O=C(CN1CCOCC1)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949534933 653921909 /nfs/dbraw/zinc/92/19/09/653921909.db2.gz JVXUNVMNAQILFH-GFCCVEGCSA-N -1 1 334.376 -0.550 20 0 EBADMM CN1CC[C@@H](CC(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])C1=O ZINC000949539049 653923467 /nfs/dbraw/zinc/92/34/67/653923467.db2.gz WXKBYZHNCDEYSS-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@@]1(C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])CCNC1=O ZINC000949539037 653923570 /nfs/dbraw/zinc/92/35/70/653923570.db2.gz WSHOCJHSVUKPIH-MGPLVRAMSA-N -1 1 332.360 -0.356 20 0 EBADMM NC(=O)N1CCC[C@H]1C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949541277 653923627 /nfs/dbraw/zinc/92/36/27/653923627.db2.gz DLKLCGMQZZTZOM-QWRGUYRKSA-N -1 1 347.375 -0.339 20 0 EBADMM CN(C)C(=O)[C@@H]1C[C@@H]1C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949539171 653923755 /nfs/dbraw/zinc/92/37/55/653923755.db2.gz ZBLSSONCVFYOCP-UTUOFQBUSA-N -1 1 346.387 -0.158 20 0 EBADMM C[C@@H](C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-])S(C)(=O)=O ZINC000949541600 653923797 /nfs/dbraw/zinc/92/37/97/653923797.db2.gz LQHPXDNYSBHZBD-VHSXEESVSA-N -1 1 341.389 -0.449 20 0 EBADMM NC(=O)c1c[nH]c(C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])c1 ZINC000949539172 653924055 /nfs/dbraw/zinc/92/40/55/653924055.db2.gz ZBXBQWQYEQKCHJ-JTQLQIEISA-N -1 1 343.343 -0.141 20 0 EBADMM CN(C)C(=O)[C@@H]1C[C@@H]1C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949539170 653924068 /nfs/dbraw/zinc/92/40/68/653924068.db2.gz ZBLSSONCVFYOCP-TUAOUCFPSA-N -1 1 346.387 -0.158 20 0 EBADMM CN1CC[C@H](C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])C1=O ZINC000949541771 653924262 /nfs/dbraw/zinc/92/42/62/653924262.db2.gz PGCFEXBYJPZSHG-MNOVXSKESA-N -1 1 332.360 -0.404 20 0 EBADMM CN1C[C@@H](C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])CC1=O ZINC000949541814 653924443 /nfs/dbraw/zinc/92/44/43/653924443.db2.gz PXLIIWNBIXLGGD-QWRGUYRKSA-N -1 1 332.360 -0.404 20 0 EBADMM C[C@@H]1CN(C)C[C@H](C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])O1 ZINC000949541806 653924728 /nfs/dbraw/zinc/92/47/28/653924728.db2.gz PUBZTOGDDRQPEL-MBNYWOFBSA-N -1 1 348.403 -0.163 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cccc4cn[nH]c43)CC2)nc1=O ZINC000949586413 653929888 /nfs/dbraw/zinc/92/98/88/653929888.db2.gz GOJXXCXIBDNJTD-UHFFFAOYSA-N -1 1 341.375 -0.057 20 0 EBADMM CO[C@@H]1CCC[C@@H](C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)C1 ZINC000949935445 654002744 /nfs/dbraw/zinc/00/27/44/654002744.db2.gz NXRLHANVYZVPQP-CHWSQXEVSA-N -1 1 337.424 -0.042 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2CCCNC2=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000950003944 654018191 /nfs/dbraw/zinc/01/81/91/654018191.db2.gz VUWNZKMVIYRILO-IJLUTSLNSA-N -1 1 346.387 -0.110 20 0 EBADMM O=C(N[C@@H]1CCCN(C(=O)[C@H]2COCCO2)C1)c1ncccc1[O-] ZINC000950108245 654039177 /nfs/dbraw/zinc/03/91/77/654039177.db2.gz WFAQNPDLTPBHNY-DGCLKSJQSA-N -1 1 335.360 -0.077 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1ncccc1[O-])C1CS(=O)(=O)C1 ZINC000950155608 654053585 /nfs/dbraw/zinc/05/35/85/654053585.db2.gz LYRAINHDYOASHR-SNVBAGLBSA-N -1 1 339.373 -0.838 20 0 EBADMM NC(=O)CC(=O)N1CCC[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000950258439 654078574 /nfs/dbraw/zinc/07/85/74/654078574.db2.gz RTDVEGTUDRSQCJ-SNVBAGLBSA-N -1 1 347.375 -0.344 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@@H](NC(=O)C2CCC2)C1 ZINC000951362405 654104080 /nfs/dbraw/zinc/10/40/80/654104080.db2.gz LMPHRQRQJVVJMA-CYBMUJFWSA-N -1 1 348.403 -0.166 20 0 EBADMM Cn1nnc(CN2CCN(C(=O)c3cnc(C4CC4)[n-]c3=O)CC2)n1 ZINC000951372121 654106131 /nfs/dbraw/zinc/10/61/31/654106131.db2.gz JCNODXZQXGIPQL-UHFFFAOYSA-N -1 1 344.379 -0.459 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1C ZINC000951479572 654157263 /nfs/dbraw/zinc/15/72/63/654157263.db2.gz IPRYLAOPVRPBOH-IWSPIJDZSA-N -1 1 336.348 -0.117 20 0 EBADMM O=C(N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1)c1c[nH]c(=O)cn1 ZINC000950543324 654173190 /nfs/dbraw/zinc/17/31/90/654173190.db2.gz WWNHQMOAILZKIU-JTQLQIEISA-N -1 1 343.343 -0.095 20 0 EBADMM CN1C[C@H](C(=O)N[C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)NC1=O ZINC000950546130 654174871 /nfs/dbraw/zinc/17/48/71/654174871.db2.gz HJOGIMPMMWUZRO-GHMZBOCLSA-N -1 1 347.375 -0.468 20 0 EBADMM CCN(C(=O)c1n[nH]c(=O)[n-]c1=O)C1CN(CC2CCOCC2)C1 ZINC000950766635 654255430 /nfs/dbraw/zinc/25/54/30/654255430.db2.gz PYTJYPJWOQZRAK-UHFFFAOYSA-N -1 1 337.380 -0.144 20 0 EBADMM CCN(C(=O)c1cc(C(N)=O)co1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000951737755 654264996 /nfs/dbraw/zinc/26/49/96/654264996.db2.gz CFRMHRIYPGTIGK-UHFFFAOYSA-N -1 1 348.363 -0.853 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(C/C=C\Cl)CC1 ZINC000951777565 654279206 /nfs/dbraw/zinc/27/92/06/654279206.db2.gz VSUDRRPPUDWZFH-IWQZZHSRSA-N -1 1 326.784 -0.177 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(Cc2ccns2)CC1 ZINC000951777180 654279326 /nfs/dbraw/zinc/27/93/26/654279326.db2.gz AQCFKBHJCYHDIY-UHFFFAOYSA-N -1 1 349.416 -0.272 20 0 EBADMM NC(=O)c1c[nH]c(C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])c1 ZINC000951833858 654301427 /nfs/dbraw/zinc/30/14/27/654301427.db2.gz JUJUNNROVXOZRJ-JTQLQIEISA-N -1 1 343.343 -0.141 20 0 EBADMM O=C(N[C@@H]1CCCN(C(=O)[C@H]2CNC(=O)N2)C1)c1ncccc1[O-] ZINC000951836432 654304214 /nfs/dbraw/zinc/30/42/14/654304214.db2.gz GRSMRMBQLAZFIQ-NXEZZACHSA-N -1 1 333.348 -0.811 20 0 EBADMM CCn1cc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c(C)n1 ZINC000950967387 654331618 /nfs/dbraw/zinc/33/16/18/654331618.db2.gz KSLWTWVOUBRTFB-UHFFFAOYSA-N -1 1 333.396 -0.409 20 0 EBADMM CN(C)C(=O)[C@@H]1C[C@@H]1C(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC000952156244 654409691 /nfs/dbraw/zinc/40/96/91/654409691.db2.gz BOAPKMVVALWEIQ-SDDRHHMPSA-N -1 1 346.387 -0.158 20 0 EBADMM CCN(C(=O)c1c(C)nc[nH]c1=O)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000951214576 654419140 /nfs/dbraw/zinc/41/91/40/654419140.db2.gz MMNBIOIYNBDVAN-UHFFFAOYSA-N -1 1 347.379 -0.741 20 0 EBADMM CC[C@@H](F)C(=O)N1CC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951224756 654425252 /nfs/dbraw/zinc/42/52/52/654425252.db2.gz NBYPLLJTBHBFLQ-DTWKUNHWSA-N -1 1 336.327 -0.504 20 0 EBADMM O=C(/C=C/C1CC1)N1CC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951224265 654425635 /nfs/dbraw/zinc/42/56/35/654425635.db2.gz AQZZPKQVZUTSJR-PGLGOXFNSA-N -1 1 342.359 -0.286 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1)[C@H]1CC12CC2 ZINC000952244117 654429785 /nfs/dbraw/zinc/42/97/85/654429785.db2.gz DURFPYYQDMVBEW-NXEZZACHSA-N -1 1 342.359 -0.452 20 0 EBADMM CC(=O)N1CC[C@@]2(CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)C1 ZINC000953017609 654515833 /nfs/dbraw/zinc/51/58/33/654515833.db2.gz OZHJOMOPCSJGNR-MRXNPFEDSA-N -1 1 334.376 -0.602 20 0 EBADMM CN(C(=O)C1CCCC1)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000953489045 654591730 /nfs/dbraw/zinc/59/17/30/654591730.db2.gz MWBKPUDBTGYKAF-UHFFFAOYSA-N -1 1 344.375 -0.109 20 0 EBADMM C[C@H]1C[C@H]1C(=O)N(C)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000953625329 654599859 /nfs/dbraw/zinc/59/98/59/654599859.db2.gz WXZMFCAXKZDXES-CMPLNLGQSA-N -1 1 334.376 -0.748 20 0 EBADMM Cc1c(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)nnn1C(C)C ZINC000953832885 654636132 /nfs/dbraw/zinc/63/61/32/654636132.db2.gz IVKWFAWEJPNFSV-UHFFFAOYSA-N -1 1 348.411 -0.453 20 0 EBADMM CN(C(=O)c1cocn1)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000953889013 654648146 /nfs/dbraw/zinc/64/81/46/654648146.db2.gz GREJCZLTFDHATC-UHFFFAOYSA-N -1 1 343.303 -0.998 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CCN(C(=O)[C@H]2CCNC2=O)CC1 ZINC000953897407 654650840 /nfs/dbraw/zinc/65/08/40/654650840.db2.gz LLHVBGRXZYTSOH-LBPRGKRZSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(N[C@H]1COC2(CN(C(=O)c3ncccc3[O-])C2)C1)c1cnon1 ZINC000965794031 724509255 /nfs/dbraw/zinc/50/92/55/724509255.db2.gz WXKVQPAMLNNMNN-SECBINFHSA-N -1 1 345.315 -0.416 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cc[nH]c(=O)c1 ZINC000965845983 724531244 /nfs/dbraw/zinc/53/12/44/724531244.db2.gz TUJFQTOEIYSVQA-ZYHUDNBSSA-N -1 1 346.391 -0.151 20 0 EBADMM C[C@@H]1CCN(Cc2nnn(C)n2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000965942349 724544106 /nfs/dbraw/zinc/54/41/06/724544106.db2.gz YAQMDNNTMGXBMF-MNOVXSKESA-N -1 1 331.380 -0.049 20 0 EBADMM C[C@H]1CCN(Cc2nnn(C)n2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000965942351 724544118 /nfs/dbraw/zinc/54/41/18/724544118.db2.gz YAQMDNNTMGXBMF-WDEREUQCSA-N -1 1 331.380 -0.049 20 0 EBADMM Cc1nnc(CC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)o1 ZINC000965989892 724556206 /nfs/dbraw/zinc/55/62/06/724556206.db2.gz KCXAHBODIINNLT-MWLCHTKSSA-N -1 1 349.395 -0.631 20 0 EBADMM C[C@H]1CN(C(=O)C(F)F)C[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000966025847 724560403 /nfs/dbraw/zinc/56/04/03/724560403.db2.gz WFKXQQPOXUZWTA-POYBYMJQSA-N -1 1 340.290 -0.741 20 0 EBADMM C[C@H]1CN(C(=O)CC2CC2)C[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000966041766 724561070 /nfs/dbraw/zinc/56/10/70/724561070.db2.gz FXJFBFTVBHYOGH-CABZTGNLSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@H]1CN(C(=O)CC2CC2)C[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000966041768 724561114 /nfs/dbraw/zinc/56/11/14/724561114.db2.gz FXJFBFTVBHYOGH-JOYOIKCWSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@H]1CN(C(=O)Cn2cnnn2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966145317 724576990 /nfs/dbraw/zinc/57/69/90/724576990.db2.gz JZXIINLNXJZNCQ-VHSXEESVSA-N -1 1 331.336 -0.949 20 0 EBADMM CN(C(=O)[C@H]1COCCN1C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954098419 719558654 /nfs/dbraw/zinc/55/86/54/719558654.db2.gz INSSMGUQMWVLNZ-GFCCVEGCSA-N -1 1 334.376 -0.599 20 0 EBADMM CCn1cc(C(=O)N2CC(N(C)C(=O)c3ncccc3[O-])C2)nn1 ZINC000954125323 719569888 /nfs/dbraw/zinc/56/98/88/719569888.db2.gz KSPKIQGUICAHDZ-UHFFFAOYSA-N -1 1 330.348 -0.005 20 0 EBADMM C[C@H]1CCN(C(=O)C(=O)N2CC(N(C)C(=O)c3ncccc3[O-])C2)C1 ZINC000954125188 719570017 /nfs/dbraw/zinc/57/00/17/719570017.db2.gz FWZOCJUNGQROIP-NSHDSACASA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC000954125584 719570265 /nfs/dbraw/zinc/57/02/65/719570265.db2.gz UCGBNWCKNKKTIU-NSHDSACASA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@H]1C[C@@H](C(=O)N2CC(N(C)C(=O)c3ncccc3[O-])C2)CC(=O)N1 ZINC000954127733 719570831 /nfs/dbraw/zinc/57/08/31/719570831.db2.gz DYSCVXMUUGJIPM-WDEREUQCSA-N -1 1 346.387 -0.015 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)c2cn(C)c(=O)n2C)C1 ZINC000954127807 719571008 /nfs/dbraw/zinc/57/10/08/719571008.db2.gz HEWJCAFHXVVEMC-UHFFFAOYSA-N -1 1 345.359 -0.579 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)c2cn[nH]c(=O)c2)C1 ZINC000954128020 719571717 /nfs/dbraw/zinc/57/17/17/719571717.db2.gz PDHIZTAIFAKGPP-UHFFFAOYSA-N -1 1 329.316 -0.121 20 0 EBADMM CN(C(=O)c1nnn(C)n1)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000954171631 719592615 /nfs/dbraw/zinc/59/26/15/719592615.db2.gz MQVPKWWRXHHOLX-UHFFFAOYSA-N -1 1 345.363 -0.313 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CN(C(=O)CCc3nc[nH]n3)C[C@@H]2C)c1[O-] ZINC000966288784 724606728 /nfs/dbraw/zinc/60/67/28/724606728.db2.gz OAQQUUNFNYCPDY-WPRPVWTQSA-N -1 1 347.379 -0.249 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CN(C(=O)CCc3nc[nH]n3)C[C@H]2C)c1[O-] ZINC000966288776 724606760 /nfs/dbraw/zinc/60/67/60/724606760.db2.gz OAQQUUNFNYCPDY-SCZZXKLOSA-N -1 1 347.379 -0.249 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)Cn1cnnn1 ZINC000966332768 724615215 /nfs/dbraw/zinc/61/52/15/724615215.db2.gz USRPGYPLCSMLON-VHSXEESVSA-N -1 1 331.336 -0.949 20 0 EBADMM CN(C(=O)[C@@H]1CCC(=O)N(C)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954505325 719753034 /nfs/dbraw/zinc/75/30/34/719753034.db2.gz HUCHUEMNJBRRMT-LLVKDONJSA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C(=O)[C@H]1CCCNC1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954519097 719757836 /nfs/dbraw/zinc/75/78/36/719757836.db2.gz SLLYNXCUZLNVLJ-NSHDSACASA-N -1 1 332.360 -0.404 20 0 EBADMM CN(C(=O)c1cn(C)c(=O)n1C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954557774 719782094 /nfs/dbraw/zinc/78/20/94/719782094.db2.gz XACAQOFPKUHNBW-UHFFFAOYSA-N -1 1 345.359 -0.579 20 0 EBADMM CC(=O)N1CC(C(=O)N2C[C@H](NC(=O)c3ncccc3[O-])[C@@H](C)C2)C1 ZINC000966369717 724626692 /nfs/dbraw/zinc/62/66/92/724626692.db2.gz ZZCUUXDLVZZBNW-GWCFXTLKSA-N -1 1 346.387 -0.158 20 0 EBADMM CN(C(=O)C[C@H]1CC(=O)NC1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954743550 719881687 /nfs/dbraw/zinc/88/16/87/719881687.db2.gz JRIMPLZBGQXNDD-SECBINFHSA-N -1 1 346.343 -0.877 20 0 EBADMM C[C@@H]1CN(C(=O)c2cn(C)nn2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966373881 724628168 /nfs/dbraw/zinc/62/81/68/724628168.db2.gz MPWHHOKEDQPBOV-ZJUUUORDSA-N -1 1 330.348 -0.194 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)CC2(C)CC2)C1 ZINC000954830861 719925515 /nfs/dbraw/zinc/92/55/15/719925515.db2.gz KICAQLDSFPFBDT-UHFFFAOYSA-N -1 1 344.375 -0.109 20 0 EBADMM C[C@H]1C[C@H](NC(=O)Cn2c(=O)[n-][nH]c2=O)CCN1C(=O)c1ccn[nH]1 ZINC000955571581 720193119 /nfs/dbraw/zinc/19/31/19/720193119.db2.gz NMGZKKGTPKWXNA-DTWKUNHWSA-N -1 1 349.351 -0.778 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2nnn(C)n2)CCN1C(=O)c1ncccc1[O-] ZINC000955641344 720207972 /nfs/dbraw/zinc/20/79/72/720207972.db2.gz GCWBIGBGLMZDOQ-NXEZZACHSA-N -1 1 345.363 -0.266 20 0 EBADMM CCC(=O)N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)[C@H](C)C1 ZINC000955744715 720243112 /nfs/dbraw/zinc/24/31/12/720243112.db2.gz DKEKFMLVWBMEJV-NXEZZACHSA-N -1 1 332.364 -0.063 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1nnn(C)n1 ZINC000955765749 720249752 /nfs/dbraw/zinc/24/97/52/720249752.db2.gz DWHMHPRMKYOFBI-NXEZZACHSA-N -1 1 345.363 -0.266 20 0 EBADMM O=C(NC[C@@H]1CCCN1C(=O)C1CCC1)c1cc(=O)n2[n-]cnc2n1 ZINC000955847507 720279761 /nfs/dbraw/zinc/27/97/61/720279761.db2.gz HGXKXRWSICZOGP-NSHDSACASA-N -1 1 344.375 -0.062 20 0 EBADMM CC1(C(=O)N2CCC[C@H]2CNC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000956046590 720339102 /nfs/dbraw/zinc/33/91/02/720339102.db2.gz URHIKZOBPRNTCL-JTQLQIEISA-N -1 1 344.375 -0.062 20 0 EBADMM CN1C[C@@H](C(=O)N2CCC[C@H]2CNC(=O)c2ncccc2[O-])CC1=O ZINC000956053212 720341269 /nfs/dbraw/zinc/34/12/69/720341269.db2.gz NWRDFZMTZZENSP-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NC[C@@H]1CCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000956100472 720360779 /nfs/dbraw/zinc/36/07/79/720360779.db2.gz FVJYDFIQQWATRX-AVGNSLFASA-N -1 1 348.403 -0.310 20 0 EBADMM CC1(NC(=O)C2CC2)CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000956260240 720407873 /nfs/dbraw/zinc/40/78/73/720407873.db2.gz LGZDLTLQWYHJPY-UHFFFAOYSA-N -1 1 344.375 -0.062 20 0 EBADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)[C@@H]2COC(=O)N2)C1 ZINC000956848636 722119014 /nfs/dbraw/zinc/11/90/14/722119014.db2.gz CVJQSQZMKUFGET-VFZGTOFNSA-N -1 1 334.332 -0.384 20 0 EBADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)c2c[nH]c(=O)cn2)C1 ZINC000956850399 722119395 /nfs/dbraw/zinc/11/93/95/722119395.db2.gz LLFHXJGLYRSGOU-INIZCTEOSA-N -1 1 343.343 -0.095 20 0 EBADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)[C@H]2CCNC2=O)C1 ZINC000956852217 722119800 /nfs/dbraw/zinc/11/98/00/722119800.db2.gz TXQZLKXHCXFOAY-MGPLVRAMSA-N -1 1 332.360 -0.356 20 0 EBADMM Cn1nncc1C(=O)N[C@@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC000956876521 722124632 /nfs/dbraw/zinc/12/46/32/722124632.db2.gz ZPVPLCSIIJYEJF-HNNXBMFYSA-N -1 1 330.348 -0.050 20 0 EBADMM C[C@H](Cc1cnn(C)c1)C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000956950978 722138377 /nfs/dbraw/zinc/13/83/77/722138377.db2.gz ADYNVDMVXVUDMZ-GFCCVEGCSA-N -1 1 347.423 -0.635 20 0 EBADMM C[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1NC(=O)C(F)F ZINC000957053519 722147722 /nfs/dbraw/zinc/14/77/22/722147722.db2.gz MJJNZWUCTJUNPX-HTRCEHHLSA-N -1 1 340.290 -0.741 20 0 EBADMM CN1C[C@@H](C(=O)N[C@@]2(C)CCN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC000957063756 722149386 /nfs/dbraw/zinc/14/93/86/722149386.db2.gz UKJMRHMVESWTSO-GTNSWQLSSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@]1(NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN(C(=O)C2CCC2)C1 ZINC000957220264 722176470 /nfs/dbraw/zinc/17/64/70/722176470.db2.gz WWORXJRRCQXQCD-INIZCTEOSA-N -1 1 344.375 -0.062 20 0 EBADMM COCc1nocc1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000957295665 722190097 /nfs/dbraw/zinc/19/00/97/722190097.db2.gz KCCDPWPELVAFQF-UHFFFAOYSA-N -1 1 336.352 -0.799 20 0 EBADMM Cc1cc(CN2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC2)no1 ZINC000957314370 722192720 /nfs/dbraw/zinc/19/27/20/722192720.db2.gz CGZNKCROUSMRFF-UHFFFAOYSA-N -1 1 343.347 -0.328 20 0 EBADMM CCN(C(=O)c1cnn(C)c1OC)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000957326412 722196990 /nfs/dbraw/zinc/19/69/90/722196990.db2.gz IROHTKLPFFGQBI-UHFFFAOYSA-N -1 1 349.395 -0.803 20 0 EBADMM CCc1nc[nH]c1C(=O)N(CC)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000957336117 722197937 /nfs/dbraw/zinc/19/79/37/722197937.db2.gz HGPDIQULQOOLBD-UHFFFAOYSA-N -1 1 333.396 -0.260 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cc(Cl)no3)CC2)nc1=O ZINC000957418513 722209085 /nfs/dbraw/zinc/20/90/85/722209085.db2.gz DJIGKVDJEHEXDM-UHFFFAOYSA-N -1 1 326.744 -0.292 20 0 EBADMM COc1cccnc1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000957457054 722214340 /nfs/dbraw/zinc/21/43/40/722214340.db2.gz FZYDXZXFNGYMCK-UHFFFAOYSA-N -1 1 332.364 -0.530 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1C[C@@H](C)[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000957630953 722229730 /nfs/dbraw/zinc/22/97/30/722229730.db2.gz JEKAJPFQEXIHGS-DNRKLUKYSA-N -1 1 344.375 -0.350 20 0 EBADMM Cc1cnn(CCC(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c1 ZINC000957774143 722240163 /nfs/dbraw/zinc/24/01/63/722240163.db2.gz LMSQZKUZOXWIAD-UHFFFAOYSA-N -1 1 333.396 -0.652 20 0 EBADMM CCC(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(C(=O)CCn1cc[n-]c(=O)c1=O)C2 ZINC000957818750 722245069 /nfs/dbraw/zinc/24/50/69/722245069.db2.gz VCFKMUHXSAQNDB-XQQFMLRXSA-N -1 1 348.403 -0.168 20 0 EBADMM Cn1[n-]c(CN2C[C@H](O)[C@@H](CNC(=O)c3cccc(F)c3)C2)nc1=O ZINC000957825291 722245671 /nfs/dbraw/zinc/24/56/71/722245671.db2.gz BZWHSDCFSFYMEN-AAEUAGOBSA-N -1 1 349.366 -0.530 20 0 EBADMM C[C@H](C[C@@H]1CCCO1)C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000957845706 722250142 /nfs/dbraw/zinc/25/01/42/722250142.db2.gz NGRGLPMSDRKFDF-OLZOCXBDSA-N -1 1 337.424 -0.042 20 0 EBADMM Cc1ccc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)cn1 ZINC000957855998 722253223 /nfs/dbraw/zinc/25/32/23/722253223.db2.gz VXEUKNZAUMMEKY-OLZOCXBDSA-N -1 1 346.391 -0.965 20 0 EBADMM Cc1ccc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)cn1 ZINC000957855999 722253261 /nfs/dbraw/zinc/25/32/61/722253261.db2.gz VXEUKNZAUMMEKY-QWHCGFSZSA-N -1 1 346.391 -0.965 20 0 EBADMM C[C@@H](C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1)C1CCOCC1 ZINC000957961898 722278077 /nfs/dbraw/zinc/27/80/77/722278077.db2.gz YZWGRCCOHULIGA-GFCCVEGCSA-N -1 1 337.424 -0.185 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)oc1C ZINC000957978775 722280787 /nfs/dbraw/zinc/28/07/87/722280787.db2.gz IPHANNOQJSWYFY-RYUDHWBXSA-N -1 1 349.391 -0.459 20 0 EBADMM O=C(CN1C[C@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1)N1CCC1 ZINC000957990481 722283755 /nfs/dbraw/zinc/28/37/55/722283755.db2.gz NHBXQGQPLAUTCQ-AAEUAGOBSA-N -1 1 334.376 -0.958 20 0 EBADMM Cc1noc(CN2C[C@@H](CNC(=O)c3ncccc3[O-])[C@H](O)C2)n1 ZINC000957990895 722284175 /nfs/dbraw/zinc/28/41/75/722284175.db2.gz PNEVTMWWAHUQLA-ZYHUDNBSSA-N -1 1 333.348 -0.299 20 0 EBADMM Cn1cnnc1CN1C[C@H](O)[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957992617 722284568 /nfs/dbraw/zinc/28/45/68/722284568.db2.gz YQIYKULRIXJPPD-PWSUYJOCSA-N -1 1 332.364 -0.862 20 0 EBADMM Cn1cnnc1CN1C[C@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957992616 722284674 /nfs/dbraw/zinc/28/46/74/722284674.db2.gz YQIYKULRIXJPPD-JQWIXIFHSA-N -1 1 332.364 -0.862 20 0 EBADMM Cc1nnc(CN2C[C@@H](O)[C@@H](CNC(=O)c3ncccc3[O-])C2)[nH]1 ZINC000957996044 722285296 /nfs/dbraw/zinc/28/52/96/722285296.db2.gz REYMWEBIQGROLS-CMPLNLGQSA-N -1 1 332.364 -0.564 20 0 EBADMM CCn1cc(CN2C[C@@H](O)[C@@H](CNC(=O)c3ncccc3[O-])C2)nn1 ZINC000957997030 722285559 /nfs/dbraw/zinc/28/55/59/722285559.db2.gz WPOTTZSGVPGECI-SMDDNHRTSA-N -1 1 346.391 -0.379 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(Cc3cc(=O)n4[n-]ccc4n3)C[C@H]21)[C@@H]1CCCO1 ZINC000958169342 722317883 /nfs/dbraw/zinc/31/78/83/722317883.db2.gz MNGYLHREZVZEKN-RSUWNVLCSA-N -1 1 343.387 -0.252 20 0 EBADMM Cc1coc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c1 ZINC000958323409 722346136 /nfs/dbraw/zinc/34/61/36/722346136.db2.gz BEDFMRREZAHOOR-WDEREUQCSA-N -1 1 335.364 -0.767 20 0 EBADMM C[C@@H]1CN(C(=O)C2(C(N)=O)CC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000958325419 722346232 /nfs/dbraw/zinc/34/62/32/722346232.db2.gz RLILSHZEODALNI-NXEZZACHSA-N -1 1 332.360 -0.371 20 0 EBADMM CCn1cc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)cn1 ZINC000958427417 722364033 /nfs/dbraw/zinc/36/40/33/722364033.db2.gz HURCCKAJCIMZPI-PJXYFTJBSA-N -1 1 331.380 -0.815 20 0 EBADMM Cc1ccc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)cc1 ZINC000958619351 722403176 /nfs/dbraw/zinc/40/31/76/722403176.db2.gz ROBWFLKDPNXLCX-ZIAGYGMSSA-N -1 1 345.403 -0.360 20 0 EBADMM Cn1[nH]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ncccc2[O-])nc1=O ZINC000958636334 722406673 /nfs/dbraw/zinc/40/66/73/722406673.db2.gz ARMQJJZXAXKLHL-GDGBQDQQSA-N -1 1 330.348 -0.931 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)C[C@H]2CCCO2)C[C@@H]1O ZINC000958830132 722603935 /nfs/dbraw/zinc/60/39/35/722603935.db2.gz GLEXSZKGIIICBN-DYEKYZERSA-N -1 1 349.387 0.000 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)C2CCOCC2)C[C@@H]1O ZINC000958830262 722604247 /nfs/dbraw/zinc/60/42/47/722604247.db2.gz NEDIBRGBBCBWGI-JSGCOSHPSA-N -1 1 349.387 -0.143 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)Cn2ncnn2)C1 ZINC000959168439 722694272 /nfs/dbraw/zinc/69/42/72/722694272.db2.gz VRKGVIZSQJMBBM-QWRGUYRKSA-N -1 1 345.363 -0.559 20 0 EBADMM CCC(=O)N1C[C@H](C)[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000959299976 722710236 /nfs/dbraw/zinc/71/02/36/722710236.db2.gz ZNCVQICOYWKJTH-UWVGGRQHSA-N -1 1 332.364 -0.348 20 0 EBADMM O=C(c1cn[nH]n1)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001018962241 728756744 /nfs/dbraw/zinc/75/67/44/728756744.db2.gz LQZWMUPGPMLGSO-UHFFFAOYSA-N -1 1 328.332 -0.106 20 0 EBADMM O=C(Cn1cnnn1)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC000959827776 722765390 /nfs/dbraw/zinc/76/53/90/722765390.db2.gz SWCLCMWOXQVAHF-PHIMTYICSA-N -1 1 343.347 -0.996 20 0 EBADMM Cc1cnccc1CC(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000959893946 722771845 /nfs/dbraw/zinc/77/18/45/722771845.db2.gz MWZCHJNZRFYFTO-VIKVFOODSA-N -1 1 342.403 -0.399 20 0 EBADMM Cn1cc(CC(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)cn1 ZINC000959913044 722774637 /nfs/dbraw/zinc/77/46/37/722774637.db2.gz QJOHTUPSSZJFQM-LBPRGKRZSA-N -1 1 333.396 -0.043 20 0 EBADMM C[C@H](C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1)n1cncn1 ZINC000959972365 722779179 /nfs/dbraw/zinc/77/91/79/722779179.db2.gz YWWZHZLDVNHXPZ-MNOVXSKESA-N -1 1 334.384 -0.166 20 0 EBADMM O=C(CCn1ccnn1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959977503 722779603 /nfs/dbraw/zinc/77/96/03/722779603.db2.gz TZNDOIKTNIPESM-NSHDSACASA-N -1 1 334.384 -0.337 20 0 EBADMM O=C(CO[C@@H]1CCOC1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000960007157 722782582 /nfs/dbraw/zinc/78/25/82/722782582.db2.gz LTGMKBHLJVPPIX-NWDGAFQWSA-N -1 1 339.396 -0.214 20 0 EBADMM O=C([C@@H]1CCCNC1=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000960036900 722785218 /nfs/dbraw/zinc/78/52/18/722785218.db2.gz OHAARHILTWSCHM-WDEREUQCSA-N -1 1 336.396 -0.493 20 0 EBADMM CN1C[C@@H](C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)NC1=O ZINC000960087318 722790870 /nfs/dbraw/zinc/79/08/70/722790870.db2.gz NCBYNCQMUKUOFG-UWVGGRQHSA-N -1 1 337.384 -0.995 20 0 EBADMM CC[C@@H]1CCO[C@@H]1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000960364636 722823214 /nfs/dbraw/zinc/82/32/14/722823214.db2.gz GHMRSMDNVYZDMC-FQEAEBOJSA-N -1 1 335.408 -0.530 20 0 EBADMM Cc1cc(C(=O)N2CCC[C@H]2CN(C)Cc2nc(=O)n(C)[n-]2)nn1C ZINC000960467796 722831716 /nfs/dbraw/zinc/83/17/16/722831716.db2.gz IELFDVIPHUXXEO-LBPRGKRZSA-N -1 1 347.423 -0.113 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)c1nccnc1N ZINC000960489033 722833447 /nfs/dbraw/zinc/83/34/47/722833447.db2.gz ADSXFJUQWYLDDJ-SNVBAGLBSA-N -1 1 346.395 -0.783 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)Cc1ccon1 ZINC000960500830 722834293 /nfs/dbraw/zinc/83/42/93/722834293.db2.gz SYIHHXGOFLJSCG-GFCCVEGCSA-N -1 1 334.380 -0.238 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)c1cccc(=O)[nH]1 ZINC000960521376 722836015 /nfs/dbraw/zinc/83/60/15/722836015.db2.gz SPVCLUSJWPATJP-NSHDSACASA-N -1 1 346.391 -0.054 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)c1cn(C)cn1 ZINC000960548113 722838116 /nfs/dbraw/zinc/83/81/16/722838116.db2.gz PQHFTOCMZASBEK-NSHDSACASA-N -1 1 333.396 -0.422 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)CC1(O)CCC1 ZINC000960614430 722844953 /nfs/dbraw/zinc/84/49/53/722844953.db2.gz RQVDHZPHCGNJOZ-GFCCVEGCSA-N -1 1 337.424 -0.164 20 0 EBADMM CCn1nncc1C(=O)N1CCC[C@@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC000960625437 722846097 /nfs/dbraw/zinc/84/60/97/722846097.db2.gz UMDYFPSAQSFOBM-LLVKDONJSA-N -1 1 348.411 -0.544 20 0 EBADMM Cc1n[nH]cc1C(=O)N1CCC[C@@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC000960777872 722863743 /nfs/dbraw/zinc/86/37/43/722863743.db2.gz IETREYJRFCJNMX-LLVKDONJSA-N -1 1 333.396 -0.123 20 0 EBADMM CCOc1nc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)co1 ZINC000960806553 722867030 /nfs/dbraw/zinc/86/70/30/722867030.db2.gz VDVURPCBSQHHQC-GDGBQDQQSA-N -1 1 348.363 -0.645 20 0 EBADMM Cc1nc([C@@H](C)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC000960805966 722867099 /nfs/dbraw/zinc/86/70/99/722867099.db2.gz DYSYFKBASHNOKZ-ZLNHGNLKSA-N -1 1 346.351 -0.869 20 0 EBADMM Cc1cncc(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2n[nH]c(=O)[n-]c2=O)c1 ZINC000960838214 722871516 /nfs/dbraw/zinc/87/15/16/722871516.db2.gz NGWAMCCELNWGCV-GDNZZTSVSA-N -1 1 342.359 -0.154 20 0 EBADMM Cc1cc(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2n[nH]c(=O)[n-]c2=O)on1 ZINC000960838337 722871549 /nfs/dbraw/zinc/87/15/49/722871549.db2.gz PASOYXPCIQEXAP-MYJAWHEDSA-N -1 1 332.320 -0.561 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)c1ccncn1 ZINC000960924012 722879331 /nfs/dbraw/zinc/87/93/31/722879331.db2.gz NBTPMKSGQWWSRF-NSHDSACASA-N -1 1 331.380 -0.365 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)c1cccnn1 ZINC000960953408 722881756 /nfs/dbraw/zinc/88/17/56/722881756.db2.gz RWJZONVHCKYHJP-LLVKDONJSA-N -1 1 331.380 -0.365 20 0 EBADMM CCn1cc(C(=O)N2CCC[C@H]2CN(C)Cc2nc(=O)n(C)[n-]2)nn1 ZINC000960959935 722882143 /nfs/dbraw/zinc/88/21/43/722882143.db2.gz YRILVBDCRUIAOL-NSHDSACASA-N -1 1 348.411 -0.544 20 0 EBADMM O=C([N-][C@@H]1CN(C(=O)c2[nH]ncc2C(F)(F)F)C[C@@H]1O)C(F)F ZINC000961088975 722898065 /nfs/dbraw/zinc/89/80/65/722898065.db2.gz JPFCMEFQTFFIMJ-RITPCOANSA-N -1 1 342.224 -0.005 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)[nH]n1 ZINC000961750101 723070545 /nfs/dbraw/zinc/07/05/45/723070545.db2.gz JETMESGMDNILIT-PWSUYJOCSA-N -1 1 331.332 -0.566 20 0 EBADMM Cc1cc(CN[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)nn1C ZINC001019094860 728795029 /nfs/dbraw/zinc/79/50/29/728795029.db2.gz PQDPHNVAZUXQLK-VIFPVBQESA-N -1 1 333.352 -0.671 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2c[nH]nc2C2CC2)nc1=O ZINC000962199041 723192509 /nfs/dbraw/zinc/19/25/09/723192509.db2.gz DOJSNANLVBLGRQ-YABSGUDNSA-N -1 1 343.391 -0.431 20 0 EBADMM CCC(=O)N[C@@H]1CC12CCN(C(=O)CCn1cc[n-]c(=O)c1=O)CC2 ZINC000962222784 723198845 /nfs/dbraw/zinc/19/88/45/723198845.db2.gz IBALEXJQFBXHPD-GFCCVEGCSA-N -1 1 348.403 -0.166 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1[C@H]2CN(Cc3cnon3)C[C@H]21 ZINC000962282837 723215603 /nfs/dbraw/zinc/21/56/03/723215603.db2.gz VAFXXYPVRWZUEY-BRPSZJMVSA-N -1 1 348.319 -0.860 20 0 EBADMM Cc1cc(C(=O)N2C[C@@H](NC(=O)c3[nH]nc(C)c3[O-])[C@@H](O)C2)n[nH]1 ZINC000962303635 723222466 /nfs/dbraw/zinc/22/24/66/723222466.db2.gz NZNJLKPNCLETEV-ZJUUUORDSA-N -1 1 334.336 -0.929 20 0 EBADMM COc1ccc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)cc1 ZINC000962379608 723236191 /nfs/dbraw/zinc/23/61/91/723236191.db2.gz DTVRLFSEKLRUIB-NHAGDIPZSA-N -1 1 343.387 -0.023 20 0 EBADMM Cc1oncc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC000962403230 723241307 /nfs/dbraw/zinc/24/13/07/723241307.db2.gz IGOGBDGEWGHUGV-IAZYJMLFSA-N -1 1 334.336 -0.768 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1ccc(F)cn1 ZINC000962411428 723243609 /nfs/dbraw/zinc/24/36/09/723243609.db2.gz SSXPLRNGHNJKNA-YPMHNXCESA-N -1 1 346.318 -0.063 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2cc[n+]([O-])cc2)C[C@@H]1O)c1ncccc1[O-] ZINC000962434309 723251549 /nfs/dbraw/zinc/25/15/49/723251549.db2.gz HQCSJCMEGIXTBN-YPMHNXCESA-N -1 1 344.327 -0.964 20 0 EBADMM Cc1nonc1CC(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962435942 723252201 /nfs/dbraw/zinc/25/22/01/723252201.db2.gz ZAEWCGWMJYOKBX-PWSUYJOCSA-N -1 1 347.331 -0.977 20 0 EBADMM Cc1ccc(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)nn1 ZINC000962435742 723252420 /nfs/dbraw/zinc/25/24/20/723252420.db2.gz SWNFVOPJIKIGOA-YPMHNXCESA-N -1 1 343.343 -0.499 20 0 EBADMM O=C(C[C@H]1CCOC1)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962435753 723252565 /nfs/dbraw/zinc/25/25/65/723252565.db2.gz TUWUUNLTBFFOBF-WZRBSPASSA-N -1 1 335.360 -0.485 20 0 EBADMM O=C(Cc1ccco1)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962435916 723252606 /nfs/dbraw/zinc/25/26/06/723252606.db2.gz XMKPEJJAFVDQPI-YPMHNXCESA-N -1 1 331.328 -0.076 20 0 EBADMM O=C(Cc1cnc[nH]1)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962436277 723252828 /nfs/dbraw/zinc/25/28/28/723252828.db2.gz DWVBPMGXZJCUGN-PWSUYJOCSA-N -1 1 331.332 -0.946 20 0 EBADMM Cc1nc(C)c(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)[nH]1 ZINC000962437124 723252915 /nfs/dbraw/zinc/25/29/15/723252915.db2.gz NIISABQNISEWOO-PWSUYJOCSA-N -1 1 345.359 -0.258 20 0 EBADMM O=C(C[C@@H]1CCCOC1)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962436634 723253150 /nfs/dbraw/zinc/25/31/50/723253150.db2.gz IUZBBLSVDLNXLA-SCRDCRAPSA-N -1 1 349.387 -0.095 20 0 EBADMM Cc1nccc(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1 ZINC000962437315 723253424 /nfs/dbraw/zinc/25/34/24/723253424.db2.gz RMCYPLLDNYDPNP-YPMHNXCESA-N -1 1 343.343 -0.499 20 0 EBADMM CCc1nc[nH]c1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000962444084 723255075 /nfs/dbraw/zinc/25/50/75/723255075.db2.gz VJDKSAVHSSAHSB-GDGBQDQQSA-N -1 1 331.380 -0.746 20 0 EBADMM Cn1nccc1CC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000962535647 723278334 /nfs/dbraw/zinc/27/83/34/723278334.db2.gz AAJIIEBPUMKQQF-YPMHNXCESA-N -1 1 345.359 -0.935 20 0 EBADMM CCn1cccc1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000962575897 723287945 /nfs/dbraw/zinc/28/79/45/723287945.db2.gz KTQGRSNLWYRTPI-YABSGUDNSA-N -1 1 330.392 -0.210 20 0 EBADMM O=C([C@@H]1CCc2nncn2CC1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019166857 728813852 /nfs/dbraw/zinc/81/38/52/728813852.db2.gz WSESAKBUOULDBK-MNOVXSKESA-N -1 1 346.395 -0.555 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)C2CC2)C[C@@H]1O)c1cnc(C2CC2)[n-]c1=O ZINC000963250376 723396688 /nfs/dbraw/zinc/39/66/88/723396688.db2.gz NESTUKJFVFZJTP-NEPJUHHUSA-N -1 1 332.360 -0.229 20 0 EBADMM Cc1nc2n(n1)C[C@@H](C(=O)N1CC[C@H](NCc3n[nH]c(=O)[n-]3)C1)CC2 ZINC001019178849 728818681 /nfs/dbraw/zinc/81/86/81/728818681.db2.gz DQHNBBZRIOTRQV-QWRGUYRKSA-N -1 1 346.395 -0.637 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1ncccc1[O-])[C@H]1CCCO1 ZINC000964070157 723534862 /nfs/dbraw/zinc/53/48/62/723534862.db2.gz VLGZROSJXHUTOH-DGCLKSJQSA-N -1 1 335.360 -0.077 20 0 EBADMM O=C(Cc1nnc[nH]1)N1CCOC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000964151646 723838597 /nfs/dbraw/zinc/83/85/97/723838597.db2.gz BNNSMRFXGKWPMF-SNVBAGLBSA-N -1 1 346.347 -0.895 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1cc[nH]n1)c1ncccc1[O-] ZINC000964157811 723843864 /nfs/dbraw/zinc/84/38/64/723843864.db2.gz KRHKFTMBAQMREJ-JTQLQIEISA-N -1 1 331.332 -0.219 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1ccn[nH]1)c1ncccc1[O-] ZINC000964157811 723843865 /nfs/dbraw/zinc/84/38/65/723843865.db2.gz KRHKFTMBAQMREJ-JTQLQIEISA-N -1 1 331.332 -0.219 20 0 EBADMM Cn1nncc1C(=O)NC[C@@H]1COCCN1C(=O)c1ncccc1[O-] ZINC000964175213 723857964 /nfs/dbraw/zinc/85/79/64/723857964.db2.gz BTKREZRYIAJJOC-SNVBAGLBSA-N -1 1 346.347 -0.813 20 0 EBADMM NC(=O)Cc1occc1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019295120 728851054 /nfs/dbraw/zinc/85/10/54/728851054.db2.gz RAPBZJNYILOXLI-QMMMGPOBSA-N -1 1 334.336 -0.865 20 0 EBADMM Cn1cc(C(=O)NC[C@@H]2COCCN2C(=O)c2ncccc2[O-])nn1 ZINC000964189179 723870161 /nfs/dbraw/zinc/87/01/61/723870161.db2.gz PMRRUHBTDBJAOD-SNVBAGLBSA-N -1 1 346.347 -0.813 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1ncccc1[O-])c1cnon1 ZINC000964310729 723961475 /nfs/dbraw/zinc/96/14/75/723961475.db2.gz SSIQYQYUDZZTLM-VIFPVBQESA-N -1 1 333.304 -0.559 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1ncccc1[O-])c1ccncn1 ZINC000964318393 723969526 /nfs/dbraw/zinc/96/95/26/723969526.db2.gz GVEZVDKNITVLFE-NSHDSACASA-N -1 1 343.343 -0.152 20 0 EBADMM Cc1c(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)cnn1C ZINC000965145835 724135727 /nfs/dbraw/zinc/13/57/27/724135727.db2.gz AYCUAIPYIXOWST-GWCFXTLKSA-N -1 1 347.423 -0.209 20 0 EBADMM O=C(c1cn[nH]c1)N1CCOC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000965322060 724175607 /nfs/dbraw/zinc/17/56/07/724175607.db2.gz HRAJCHUSYPVEGD-UHFFFAOYSA-N -1 1 343.343 -0.123 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)[nH]n1 ZINC000965374052 724206863 /nfs/dbraw/zinc/20/68/63/724206863.db2.gz RLNNAGRHKKALDT-JOYOIKCWSA-N -1 1 333.396 -0.220 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cc1cnn(C)c1 ZINC000965527677 724285359 /nfs/dbraw/zinc/28/53/59/724285359.db2.gz OTFATXNKIJVQFE-DGCLKSJQSA-N -1 1 347.423 -0.589 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cc1ccon1 ZINC000965558348 724298082 /nfs/dbraw/zinc/29/80/82/724298082.db2.gz MTFBHDJQCGSDIH-ZYHUDNBSSA-N -1 1 334.380 -0.334 20 0 EBADMM Cc1ncc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)[nH]1 ZINC000965627918 724326317 /nfs/dbraw/zinc/32/63/17/724326317.db2.gz TUHYGROBWFOAIB-CABZTGNLSA-N -1 1 333.396 -0.220 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2COC3(CN(C(=O)c4ccn[nH]4)C3)C2)c1[O-] ZINC000965676144 724359113 /nfs/dbraw/zinc/35/91/13/724359113.db2.gz SUXZIIQIBKMZCE-SECBINFHSA-N -1 1 346.347 -0.440 20 0 EBADMM O=C(N[C@@H]1COC2(CN(C(=O)c3ncccc3[O-])C2)C1)c1ncc[nH]1 ZINC000965687211 724366725 /nfs/dbraw/zinc/36/67/25/724366725.db2.gz GWSAUMZNJBWJMO-JTQLQIEISA-N -1 1 343.343 -0.076 20 0 EBADMM CC(=O)N1C[C@@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)CC[C@@H]2C1 ZINC000967366536 724867147 /nfs/dbraw/zinc/86/71/47/724867147.db2.gz RRKCACNQTNJWLI-OKILXGFUSA-N -1 1 348.403 -0.356 20 0 EBADMM NC(=O)C(=O)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2CC1 ZINC000967377151 724868422 /nfs/dbraw/zinc/86/84/22/724868422.db2.gz ZSTNEFZMGDMPTQ-PHIMTYICSA-N -1 1 332.360 -0.417 20 0 EBADMM Cc1nn[nH]c1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1C ZINC000967700672 724896624 /nfs/dbraw/zinc/89/66/24/724896624.db2.gz JAWVGMPZCKRNBD-WPRPVWTQSA-N -1 1 334.384 -0.825 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2COCCO2)C1 ZINC000967900818 724928033 /nfs/dbraw/zinc/92/80/33/724928033.db2.gz OFERAMXFCQBURE-ZDUSSCGKSA-N -1 1 335.360 -0.125 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)C[C@@H]2CCNC2=O)C1 ZINC000967906388 724928546 /nfs/dbraw/zinc/92/85/46/724928546.db2.gz GJZOEVUOXCHDSI-NSHDSACASA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1n[nH]cc1F ZINC000968193709 724975968 /nfs/dbraw/zinc/97/59/68/724975968.db2.gz WKUGRASJLUQERE-WCBMZHEXSA-N -1 1 337.359 -0.389 20 0 EBADMM CCn1ccc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)n1 ZINC000968382299 725057602 /nfs/dbraw/zinc/05/76/02/725057602.db2.gz NGWVDGIPUYCMBK-YPMHNXCESA-N -1 1 347.423 -0.035 20 0 EBADMM Cc1c[nH]c(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)n1 ZINC000968400284 725059216 /nfs/dbraw/zinc/05/92/16/725059216.db2.gz OKEHGJFWWMJSEO-MWLCHTKSSA-N -1 1 333.396 -0.220 20 0 EBADMM CCn1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)nn1 ZINC000968480588 725071972 /nfs/dbraw/zinc/07/19/72/725071972.db2.gz OJPLXHMYGKCCGI-GHMZBOCLSA-N -1 1 348.411 -0.640 20 0 EBADMM Cc1cc(C(=O)N2C[C@@H](NC(=O)c3cnn[nH]3)[C@@H](O)C2)nn1C(C)C ZINC000968707218 725106362 /nfs/dbraw/zinc/10/63/62/725106362.db2.gz GLMGVWDQIFOUFE-OLZOCXBDSA-N -1 1 347.379 -0.494 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)C2CC3(C2)CCOCC3)C[C@@H]1O)c1cnn[nH]1 ZINC000968707211 725106465 /nfs/dbraw/zinc/10/64/65/725106465.db2.gz GFSZRRCNGDJUKD-OLZOCXBDSA-N -1 1 349.391 -0.687 20 0 EBADMM Cn1cc(C(=O)NC[C@@H]2CCCCCN2Cc2nc(=O)n(C)[n-]2)nn1 ZINC000968843511 725119669 /nfs/dbraw/zinc/11/96/69/725119669.db2.gz WNMFVGBKPNDYLY-NSHDSACASA-N -1 1 348.411 -0.589 20 0 EBADMM CC(C)(C)n1cc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)nn1 ZINC000968868524 725122821 /nfs/dbraw/zinc/12/28/21/725122821.db2.gz DJVUOHBHURQZBU-SECBINFHSA-N -1 1 334.384 -0.139 20 0 EBADMM O=C(c1ncccc1[O-])N1[C@@H]2CC[C@H]1CN(C(=O)[C@@H]1COC(=O)N1)C2 ZINC000968886716 725125559 /nfs/dbraw/zinc/12/55/59/725125559.db2.gz WXUSZAJWDIWHGT-AXFHLTTASA-N -1 1 346.343 -0.289 20 0 EBADMM O=C(Cn1cnnn1)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000968886751 725125675 /nfs/dbraw/zinc/12/56/75/725125675.db2.gz YJWRKXIMYKFGLT-PHIMTYICSA-N -1 1 343.347 -0.711 20 0 EBADMM NC(=O)CC(=O)N1CCCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001019573059 728925296 /nfs/dbraw/zinc/92/52/96/728925296.db2.gz DXVNDIKPJHNYKN-JTQLQIEISA-N -1 1 336.348 -0.990 20 0 EBADMM Cn1[n-]c(CN2CCCCC[C@H]2CNC(=O)[C@H]2[C@@H]3COC[C@@H]32)nc1=O ZINC000968991482 725139828 /nfs/dbraw/zinc/13/98/28/725139828.db2.gz YZVBWSWYMGZMLQ-RMRHIDDWSA-N -1 1 349.435 -0.138 20 0 EBADMM O=C(c1cnc2n[nH]cc2c1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969045546 725144941 /nfs/dbraw/zinc/14/49/41/725144941.db2.gz VPEIXNJAKBTIQB-SNVBAGLBSA-N -1 1 328.336 -0.214 20 0 EBADMM Cn1ncc(C(=O)NC[C@@H]2CCCCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC000969069639 725147467 /nfs/dbraw/zinc/14/74/67/725147467.db2.gz ALHHBLOIXYBKLE-NSHDSACASA-N -1 1 348.411 -0.589 20 0 EBADMM Cn1nnc2cc(C(=O)N3CC[C@@H](NCc4n[nH]c(=O)[n-]4)C3)ccc21 ZINC000969092522 725150853 /nfs/dbraw/zinc/15/08/53/725150853.db2.gz XFCBKVIQRDXCBB-SNVBAGLBSA-N -1 1 342.363 -0.204 20 0 EBADMM CN(C)c1ccc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC000969167361 725158298 /nfs/dbraw/zinc/15/82/98/725158298.db2.gz OXYHIWSPVJURBA-LLVKDONJSA-N -1 1 331.380 -0.024 20 0 EBADMM O=C(c1cn2cccnc2n1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969197689 725160929 /nfs/dbraw/zinc/16/09/29/725160929.db2.gz NPOXYCGXUPCYSF-SECBINFHSA-N -1 1 328.336 -0.443 20 0 EBADMM Cc1nccnc1CN[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000969209622 725161697 /nfs/dbraw/zinc/16/16/97/725161697.db2.gz BSKIBSYELINIPN-SECBINFHSA-N -1 1 331.336 -0.614 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CC[C@@H](NCc2ccc(F)cn2)C1 ZINC000969211817 725162144 /nfs/dbraw/zinc/16/21/44/725162144.db2.gz NKRRCQLNFLTHIX-SNVBAGLBSA-N -1 1 334.311 -0.179 20 0 EBADMM Cc1c(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC000969313257 725169591 /nfs/dbraw/zinc/16/95/91/725169591.db2.gz HUDIKEQQPLJRNC-SECBINFHSA-N -1 1 333.396 -0.599 20 0 EBADMM Cc1nn(C)cc1C(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969396649 725176061 /nfs/dbraw/zinc/17/60/61/725176061.db2.gz VQBCDHICEUZSDX-VIFPVBQESA-N -1 1 333.396 -0.599 20 0 EBADMM O=C([C@@H]1CCc2nncn2CC1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969397996 725176282 /nfs/dbraw/zinc/17/62/82/725176282.db2.gz WSESAKBUOULDBK-GHMZBOCLSA-N -1 1 346.395 -0.555 20 0 EBADMM Cc1nc2n(n1)C[C@@H](C(=O)N1CC[C@@H](NCc3n[nH]c(=O)[n-]3)C1)CC2 ZINC000969431518 725179747 /nfs/dbraw/zinc/17/97/47/725179747.db2.gz DQHNBBZRIOTRQV-WDEREUQCSA-N -1 1 346.395 -0.637 20 0 EBADMM Cc1nn(C)c(=O)c(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c1C ZINC000969540504 725191051 /nfs/dbraw/zinc/19/10/51/725191051.db2.gz GFBJEGBCWRZXJH-SNVBAGLBSA-N -1 1 347.379 -0.775 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(CCS(C)(=O)=O)C1 ZINC000969545848 725191518 /nfs/dbraw/zinc/19/15/18/725191518.db2.gz FQCSQCZVFQZOLF-SNVBAGLBSA-N -1 1 327.406 -0.118 20 0 EBADMM NC(=O)c1ccccc1CC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969548477 725191830 /nfs/dbraw/zinc/19/18/30/725191830.db2.gz NAPGSUQGXUFNMU-LLVKDONJSA-N -1 1 344.375 -0.458 20 0 EBADMM Cc1nc([C@H](C)N[C@@H]2CCN(C(=O)c3cnc([O-])n(C)c3=O)C2)n[nH]1 ZINC000969553387 725192414 /nfs/dbraw/zinc/19/24/14/725192414.db2.gz SYLUVKGMTLLKOC-WCBMZHEXSA-N -1 1 347.379 -0.522 20 0 EBADMM C[C@@H](NC(=O)c1coc(C(N)=O)c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970210006 725263259 /nfs/dbraw/zinc/26/32/59/725263259.db2.gz KPCGGDTYCOGXAO-MRVPVSSYSA-N -1 1 348.363 -0.949 20 0 EBADMM C[C@@H](NC(=O)c1cc(C(N)=O)co1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970407716 725287326 /nfs/dbraw/zinc/28/73/26/725287326.db2.gz NWULUGMVNNYJAA-MRVPVSSYSA-N -1 1 348.363 -0.949 20 0 EBADMM Cc1ccn2ncc(C(=O)N3CC[C@@H](NCc4n[nH]c(=O)[n-]4)C3)c2n1 ZINC000970504740 725296502 /nfs/dbraw/zinc/29/65/02/725296502.db2.gz KSPMNMVSOQDRSR-SNVBAGLBSA-N -1 1 342.363 -0.134 20 0 EBADMM O=C(C[C@@H]1CCCCC(=O)N1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970579744 725301576 /nfs/dbraw/zinc/30/15/76/725301576.db2.gz KJYGBKFSXQKKIY-WDEREUQCSA-N -1 1 336.396 -0.350 20 0 EBADMM CCC(=O)N1CCCO[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001019625814 728947805 /nfs/dbraw/zinc/94/78/05/728947805.db2.gz CEDPOGLIWIRVJJ-JTQLQIEISA-N -1 1 348.363 -0.825 20 0 EBADMM Cc1cc(=O)c(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c[nH]1 ZINC000970683970 725316733 /nfs/dbraw/zinc/31/67/33/725316733.db2.gz LXBGDIQFEHYFIX-JTQLQIEISA-N -1 1 346.391 -0.645 20 0 EBADMM C[C@@H](C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1)n1cccn1 ZINC000971120727 725351385 /nfs/dbraw/zinc/35/13/85/725351385.db2.gz NEWYKVCCRLSYKQ-NWDGAFQWSA-N -1 1 333.396 -0.401 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)c2cc[nH]c(=O)c2)C1 ZINC000971331432 725359404 /nfs/dbraw/zinc/35/94/04/725359404.db2.gz MUACEQBNCIPDRL-NSHDSACASA-N -1 1 332.364 -0.445 20 0 EBADMM CN(Cc1nc(=O)n(C)[nH]1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000971392968 725362428 /nfs/dbraw/zinc/36/24/28/725362428.db2.gz CXRISDYICRXPJI-JTQLQIEISA-N -1 1 332.364 -0.445 20 0 EBADMM CCn1ccnc1C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000971428544 725365247 /nfs/dbraw/zinc/36/52/47/725365247.db2.gz ZXWKUYCIZJABDG-NSHDSACASA-N -1 1 333.396 -0.329 20 0 EBADMM Cn1nccc1CC[N@@H+](C)[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000971869787 725444363 /nfs/dbraw/zinc/44/43/63/725444363.db2.gz MNEIOBLUCSTAGQ-NSHDSACASA-N -1 1 347.379 -0.595 20 0 EBADMM C[C@@H](C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1)c1cncnc1 ZINC000972164113 725473110 /nfs/dbraw/zinc/47/31/10/725473110.db2.gz DWBRRGKMHXXKRB-DGCLKSJQSA-N -1 1 345.407 -0.265 20 0 EBADMM C/C=C(\C)C(=O)N1CC[C@@]2(C1)CN(Cc1nc(=O)n(C)[n-]1)CCO2 ZINC000972329394 725500340 /nfs/dbraw/zinc/50/03/40/725500340.db2.gz VYWGQQXOXRVQFM-FIWCQECFSA-N -1 1 335.408 -0.122 20 0 EBADMM C[C@H](C(N)=O)N1CCO[C@@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000972356224 725505922 /nfs/dbraw/zinc/50/59/22/725505922.db2.gz WHGMLTHNWGKGKH-BZNIZROVSA-N -1 1 334.376 -0.422 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)c1cnon1 ZINC000991702377 725555989 /nfs/dbraw/zinc/55/59/89/725555989.db2.gz KZMRHOYYMFDXMI-LDYMZIIASA-N -1 1 333.304 -0.824 20 0 EBADMM COc1ccc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC000972671382 725575725 /nfs/dbraw/zinc/57/57/25/725575725.db2.gz QOEAKPNSAHZEQD-SNVBAGLBSA-N -1 1 347.379 -0.747 20 0 EBADMM CN(Cc1ccon1)[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000972720015 725588039 /nfs/dbraw/zinc/58/80/39/725588039.db2.gz GOKVSXPAAHZKCB-NSHDSACASA-N -1 1 343.347 -0.248 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C)n1cccn1 ZINC000972827695 725595887 /nfs/dbraw/zinc/59/58/87/725595887.db2.gz SOKADDHVAAEEGP-VXGBXAGGSA-N -1 1 347.423 -0.107 20 0 EBADMM O=C(N[C@H]1C[C@H](NC(=O)C2CCC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000972878265 725599114 /nfs/dbraw/zinc/59/91/14/725599114.db2.gz HSNJZECBLNOZSP-MGCOHNPYSA-N -1 1 330.348 -0.405 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@H](NC(=O)[C@@H]2C[C@H]2C)C1 ZINC000972921953 725603084 /nfs/dbraw/zinc/60/30/84/725603084.db2.gz LVELCVAWDCFPLS-FNCVBFRFSA-N -1 1 336.348 -0.071 20 0 EBADMM CC[C@@H]1CCO[C@@H]1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051660867 735300909 /nfs/dbraw/zinc/30/09/09/735300909.db2.gz GORBCCUVGBZANX-WZRBSPASSA-N -1 1 339.396 -0.358 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)c3nccnc3N)C(C)(C)C2)nc1=O ZINC000972969638 725613882 /nfs/dbraw/zinc/61/38/82/725613882.db2.gz CXRLTEWJRALEDO-SECBINFHSA-N -1 1 346.395 -0.879 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1ccnnc1 ZINC000991817365 725644466 /nfs/dbraw/zinc/64/44/66/725644466.db2.gz FEJXLJYHEYXXMU-AAEUAGOBSA-N -1 1 343.343 -0.417 20 0 EBADMM CN(C)c1cnc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)cn1 ZINC000991845000 725653399 /nfs/dbraw/zinc/65/33/99/725653399.db2.gz PDWNXLNSYQBNHE-UHFFFAOYSA-N -1 1 342.359 -0.102 20 0 EBADMM O=C(NC1CC(CNC(=O)C2CCC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000991847557 725653597 /nfs/dbraw/zinc/65/35/97/725653597.db2.gz NZUFQGBLJNTZPM-UHFFFAOYSA-N -1 1 344.375 -0.158 20 0 EBADMM C[C@@]1(C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)CNC(=O)C1 ZINC000973197948 725667434 /nfs/dbraw/zinc/66/74/34/725667434.db2.gz RTHKQTGORKBQHZ-PUTJDCORSA-N -1 1 332.360 -0.310 20 0 EBADMM O=C(N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)[C@@H]1CCC(=O)NC1 ZINC000973198814 725667881 /nfs/dbraw/zinc/66/78/81/725667881.db2.gz JBPCOYZXWVPVLB-GMTAPVOTSA-N -1 1 332.360 -0.310 20 0 EBADMM CCN1CCO[C@@H](C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000973198531 725667969 /nfs/dbraw/zinc/66/79/69/725667969.db2.gz YIOBHVFEGKWJBU-YRGRVCCFSA-N -1 1 348.403 -0.115 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)c4csnn4)CCC[C@@H]23)nc1=O ZINC000992033098 725705378 /nfs/dbraw/zinc/70/53/78/725705378.db2.gz SBOMVZRHZWRMEZ-QMTHXVAHSA-N -1 1 349.420 -0.113 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)c4csnn4)CCC[C@@H]23)nc1=O ZINC000992033099 725705383 /nfs/dbraw/zinc/70/53/83/725705383.db2.gz SBOMVZRHZWRMEZ-YGRLFVJLSA-N -1 1 349.420 -0.113 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)c4csnn4)CCC[C@H]23)nc1=O ZINC000992033097 725705415 /nfs/dbraw/zinc/70/54/15/725705415.db2.gz SBOMVZRHZWRMEZ-IINYFYTJSA-N -1 1 349.420 -0.113 20 0 EBADMM O=C(NCC1CC(NC(=O)[C@H]2COCCO2)C1)c1ncccc1[O-] ZINC000992047600 725708719 /nfs/dbraw/zinc/70/87/19/725708719.db2.gz GGOUWAYEUQNKRJ-GCZXYKMCSA-N -1 1 335.360 -0.173 20 0 EBADMM Cc1nn[nH]c1C(=O)N[C@]12CCC[C@H]1N(Cc1nc(=O)n(C)[n-]1)CC2 ZINC000992099173 725724802 /nfs/dbraw/zinc/72/48/02/725724802.db2.gz LGUDNBWEENKZKQ-BMIGLBTASA-N -1 1 346.395 -0.538 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NC1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000992240466 725758741 /nfs/dbraw/zinc/75/87/41/725758741.db2.gz IFXSMLMBLKLKCA-PMUOWJKOSA-N -1 1 344.375 -0.302 20 0 EBADMM COc1c(C(=O)NCC2CC(NC(=O)c3cnn[nH]3)C2)cnn1C ZINC000992272854 725764335 /nfs/dbraw/zinc/76/43/35/725764335.db2.gz LPGOXINQCIMFJF-UHFFFAOYSA-N -1 1 333.352 -0.515 20 0 EBADMM CN1C[C@@H](C(=O)NCC2CC(NC(=O)c3ncccc3[O-])C2)NC1=O ZINC000992285620 725768252 /nfs/dbraw/zinc/76/82/52/725768252.db2.gz HSXRIKSHRUBEHI-ILDUYXDCSA-N -1 1 347.375 -0.565 20 0 EBADMM Cn1ncc(C(=O)N[C@]23CCC[C@@H]2N(Cc2nc(=O)n(C)[n-]2)CC3)n1 ZINC000992324927 725778692 /nfs/dbraw/zinc/77/86/92/725778692.db2.gz JRXJINPWAAUKHY-NHYWBVRUSA-N -1 1 346.395 -0.836 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)c4cccnn4)CCC[C@H]23)nc1=O ZINC000992354106 725785184 /nfs/dbraw/zinc/78/51/84/725785184.db2.gz VWFLYFLREWNDJB-BLLLJJGKSA-N -1 1 343.391 -0.175 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2ccc(=O)[nH]n2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993004323 725849809 /nfs/dbraw/zinc/84/98/09/725849809.db2.gz MYSXNEHGHVALAM-NXEZZACHSA-N -1 1 347.379 -0.613 20 0 EBADMM C[C@H]1[C@H](NC(=O)Cn2cccn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993007641 725850179 /nfs/dbraw/zinc/85/01/79/725850179.db2.gz GLETUKRKXHXKPV-NWDGAFQWSA-N -1 1 333.396 -0.526 20 0 EBADMM Cc1c(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)cnn1C ZINC000993011257 725850492 /nfs/dbraw/zinc/85/04/92/725850492.db2.gz NHSCUZQXOJXMGG-YPMHNXCESA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@@H](C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)N1CCOCC1 ZINC000974055021 725858331 /nfs/dbraw/zinc/85/83/31/725858331.db2.gz XLJJPQOEOXTKRW-RWMBFGLXSA-N -1 1 348.403 -0.115 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H]2CN(C(C)=O)C[C@@]2(C)C1 ZINC000993152342 725858680 /nfs/dbraw/zinc/85/86/80/725858680.db2.gz MEKBFNIJZDNEFX-PSLIRLAXSA-N -1 1 336.348 -0.163 20 0 EBADMM O=C(C[C@@H]1CCNC1=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974056679 725859142 /nfs/dbraw/zinc/85/91/42/725859142.db2.gz MELWOJLNUDYKDL-GARJFASQSA-N -1 1 332.360 -0.310 20 0 EBADMM C[C@@H]1[C@H](NC(=O)Cc2ccn(C)n2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993308464 725871194 /nfs/dbraw/zinc/87/11/94/725871194.db2.gz CRNGPBXTVZTTHR-DGCLKSJQSA-N -1 1 347.423 -0.446 20 0 EBADMM Cc1nc(CN2CCC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)[C@H]2C)n[nH]1 ZINC000993548202 725902052 /nfs/dbraw/zinc/90/20/52/725902052.db2.gz MANFWNGZMPELEL-VXNVDRBHSA-N -1 1 348.367 -0.508 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CCCN1CCn1cncn1 ZINC000993554648 725903001 /nfs/dbraw/zinc/90/30/01/725903001.db2.gz UZQVVDRVQBQKTL-VHSXEESVSA-N -1 1 348.367 -0.843 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CCCN1CCn1cncn1 ZINC000993554656 725903014 /nfs/dbraw/zinc/90/30/14/725903014.db2.gz UZQVVDRVQBQKTL-ZJUUUORDSA-N -1 1 348.367 -0.843 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2csnn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993637014 725918894 /nfs/dbraw/zinc/91/88/94/725918894.db2.gz RHIZKKSWAHXPPF-DTWKUNHWSA-N -1 1 337.409 -0.257 20 0 EBADMM Cc1n[nH]cc1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1C ZINC000993639403 725919670 /nfs/dbraw/zinc/91/96/70/725919670.db2.gz AOEIRIOKOWYEBP-CMPLNLGQSA-N -1 1 333.396 -0.077 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cccnn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000994060076 725976343 /nfs/dbraw/zinc/97/63/43/725976343.db2.gz MNTDDNYMCVZVGT-MNOVXSKESA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)[C@@H]1CNC(=O)N1 ZINC000994522546 726028895 /nfs/dbraw/zinc/02/88/95/726028895.db2.gz KSIZLFYBCJZQGU-MXWKQRLJSA-N -1 1 347.375 -0.707 20 0 EBADMM Cn1ncc(C(=O)N2C[C@H]3CCN(C(=O)c4ncccc4[O-])C[C@H]32)n1 ZINC000974527013 726035949 /nfs/dbraw/zinc/03/59/49/726035949.db2.gz VIHXQIGNQXFVSU-ZYHUDNBSSA-N -1 1 342.359 -0.098 20 0 EBADMM Cc1ccnn1CC(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000975091417 726099636 /nfs/dbraw/zinc/09/96/36/726099636.db2.gz DNYRXKKHWZVRNS-LBPRGKRZSA-N -1 1 347.423 -0.360 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CC[C@@H](C[NH2+]Cc3nc(=O)n(C)[n-]3)C2)c1[O-] ZINC000994881117 726108360 /nfs/dbraw/zinc/10/83/60/726108360.db2.gz OAPAMLOEMGFZOH-ZJUUUORDSA-N -1 1 349.395 -0.466 20 0 EBADMM Cn1ncnc1CNC[C@@H]1CC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000995008814 726142090 /nfs/dbraw/zinc/14/20/90/726142090.db2.gz SKXZKCAJQZGRFP-RKDXNWHRSA-N -1 1 348.367 -0.900 20 0 EBADMM Cc1nnc(CNC[C@H]2CC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC000995007798 726142142 /nfs/dbraw/zinc/14/21/42/726142142.db2.gz QAXDXOCYKWRDNL-IUCAKERBSA-N -1 1 348.367 -0.602 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)CC(=O)N1 ZINC000995015122 726144460 /nfs/dbraw/zinc/14/44/60/726144460.db2.gz VWIWNHAKFHNFEI-NXEZZACHSA-N -1 1 332.360 -0.358 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)c2[nH]cnc2C)C1 ZINC000995247737 726194773 /nfs/dbraw/zinc/19/47/73/726194773.db2.gz ZRLYPHAKEPHTIN-UHFFFAOYSA-N -1 1 348.319 -0.818 20 0 EBADMM C[C@H]1C[C@H]1C(=O)N[C@@H]1[C@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@H]21 ZINC000976096386 726200955 /nfs/dbraw/zinc/20/09/55/726200955.db2.gz JJXGPOWZZJFLQK-SVPLCASGSA-N -1 1 346.387 -0.844 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)c1cc[n+]([O-])cc1 ZINC000976111551 726203596 /nfs/dbraw/zinc/20/35/96/726203596.db2.gz ROLLMVLOBUSHPW-IMRBUKKESA-N -1 1 340.339 -0.079 20 0 EBADMM CC(=O)N1CCN(C(=O)c2ncccc2[O-])[C@H]2CS(=O)(=O)C[C@H]21 ZINC000995420297 726216757 /nfs/dbraw/zinc/21/67/57/726216757.db2.gz WYMBVZUGTIKKPA-MNOVXSKESA-N -1 1 339.373 -0.743 20 0 EBADMM O=C(C[C@@H]1COC(=O)C1)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000976364764 726277674 /nfs/dbraw/zinc/27/76/74/726277674.db2.gz FTOAJYZCSCHPDG-KIGUWFBYSA-N -1 1 345.355 -0.073 20 0 EBADMM CC1(C)CN(C(=O)[C@@H]2CCNC(=O)C2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995659433 726284542 /nfs/dbraw/zinc/28/45/42/726284542.db2.gz KTJUGBUKLCYDQY-NXEZZACHSA-N -1 1 336.396 -0.637 20 0 EBADMM C[C@@H](C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1)n1cncn1 ZINC000995686613 726287187 /nfs/dbraw/zinc/28/71/87/726287187.db2.gz AMCOLFFXHNVARB-UWVGGRQHSA-N -1 1 334.384 -0.310 20 0 EBADMM CC1(C)CN(C(=O)Cc2cncnc2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995721037 726294106 /nfs/dbraw/zinc/29/41/06/726294106.db2.gz BHWLVDXAMRLXMS-NSHDSACASA-N -1 1 331.380 -0.130 20 0 EBADMM CN1CCOC[C@H]1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976403680 726294245 /nfs/dbraw/zinc/29/42/45/726294245.db2.gz NPZOWGGYOODJPF-KZVDOYCCSA-N -1 1 346.387 -0.696 20 0 EBADMM Cc1nnc(CC(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ncccc2[O-])[nH]1 ZINC000976404895 726294472 /nfs/dbraw/zinc/29/44/72/726294472.db2.gz RCOYCQYCJALDMV-MSRIBSCDSA-N -1 1 342.359 -0.357 20 0 EBADMM CC1(C)CN(C(=O)CCn2ccnn2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995726246 726294705 /nfs/dbraw/zinc/29/47/05/726294705.db2.gz IGQWVIOODWVFBU-SNVBAGLBSA-N -1 1 334.384 -0.481 20 0 EBADMM CCn1nncc1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000995772320 726298748 /nfs/dbraw/zinc/29/87/48/726298748.db2.gz KOLPMQFYFOXSRG-SNVBAGLBSA-N -1 1 334.384 -0.238 20 0 EBADMM COc1cnc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)nc1 ZINC000996036941 726324712 /nfs/dbraw/zinc/32/47/12/726324712.db2.gz ZJXVHZLTNXIIDI-JTQLQIEISA-N -1 1 347.379 -0.051 20 0 EBADMM CC1(C)CN(C(=O)[C@@]2(F)CCOC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996193214 726343825 /nfs/dbraw/zinc/34/38/25/726343825.db2.gz ZWGDBMPOJBVWPM-YMTOWFKASA-N -1 1 327.360 -0.035 20 0 EBADMM Cc1nn(C)c(C)c1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051741625 735364270 /nfs/dbraw/zinc/36/42/70/735364270.db2.gz ZZKOGSFXJOUFEY-NSHDSACASA-N -1 1 349.395 -0.509 20 0 EBADMM Cn1nnc(C(=O)N[C@@H]2CCCC[C@H]2NC(=O)c2ncccc2[O-])n1 ZINC000976567337 726352442 /nfs/dbraw/zinc/35/24/42/726352442.db2.gz NMWQQBOQJYIXNC-NXEZZACHSA-N -1 1 345.363 -0.218 20 0 EBADMM CC1(C)CN(C(=O)[C@@]2(C)CCNC2=O)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996289556 726360203 /nfs/dbraw/zinc/36/02/03/726360203.db2.gz GEAUMGKSIAZSOG-PSLIRLAXSA-N -1 1 336.396 -0.637 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)Cc2ccon2)C1 ZINC000996396245 726384728 /nfs/dbraw/zinc/38/47/28/726384728.db2.gz IQTGSVDCEXYKLA-UHFFFAOYSA-N -1 1 349.303 -0.932 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)C(C)(C)F)C1 ZINC000996399016 726387477 /nfs/dbraw/zinc/38/74/77/726387477.db2.gz ZBXBSOPOSCAZJW-UHFFFAOYSA-N -1 1 328.300 -0.415 20 0 EBADMM C[C@@H]1CC[C@@H](C(=O)N2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)C1 ZINC000996403939 726387787 /nfs/dbraw/zinc/38/77/87/726387787.db2.gz DFZJUCMQYJEUKZ-NXEZZACHSA-N -1 1 344.375 -0.206 20 0 EBADMM Cn1cccc1C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996405730 726388385 /nfs/dbraw/zinc/38/83/85/726388385.db2.gz JYZFGOQQHCHBHO-UHFFFAOYSA-N -1 1 341.331 -0.990 20 0 EBADMM O=C(/C=C/C1CC1)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996408399 726388780 /nfs/dbraw/zinc/38/87/80/726388780.db2.gz OAZGMNWIKNCQJR-ONEGZZNKSA-N -1 1 328.332 -0.676 20 0 EBADMM O=C(NC1CN(C(=O)C2CCCCC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996409582 726389266 /nfs/dbraw/zinc/38/92/66/726389266.db2.gz VIUANRQZPVWUGQ-UHFFFAOYSA-N -1 1 344.375 -0.062 20 0 EBADMM O=C(C=C1CCC1)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996408994 726389497 /nfs/dbraw/zinc/38/94/97/726389497.db2.gz RIMQSXSJAGFOJR-UHFFFAOYSA-N -1 1 328.332 -0.532 20 0 EBADMM COc1ncc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)cn1 ZINC000996482414 726404117 /nfs/dbraw/zinc/40/41/17/726404117.db2.gz ADYPHXBMDJVPNB-JTQLQIEISA-N -1 1 347.379 -0.051 20 0 EBADMM Cn1ncnc1CNC1CCN(C(=O)c2cnc([O-])n(C)c2=O)CC1 ZINC000996875269 726431257 /nfs/dbraw/zinc/43/12/57/726431257.db2.gz RFWCNIIQAOVBEF-UHFFFAOYSA-N -1 1 347.379 -0.991 20 0 EBADMM CC(C)(C(=O)N1CCC(NCc2n[nH]c(=O)[n-]2)CC1)S(C)(=O)=O ZINC000997054853 726436297 /nfs/dbraw/zinc/43/62/97/726436297.db2.gz VERRBNKDJZYOGQ-UHFFFAOYSA-N -1 1 345.425 -0.586 20 0 EBADMM Cc1nnc(CNCC2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)CC2)o1 ZINC000997889084 726469188 /nfs/dbraw/zinc/46/91/88/726469188.db2.gz RQQVCUIYQTUCPM-UHFFFAOYSA-N -1 1 349.351 -0.384 20 0 EBADMM CCn1ccnc1CNC1CC(N(C)C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000999059744 726506075 /nfs/dbraw/zinc/50/60/75/726506075.db2.gz DRHLJNZIMXFACT-UHFFFAOYSA-N -1 1 347.379 -0.108 20 0 EBADMM C[C@@H](NC1CC(N(C)C(=O)c2n[nH]c(=O)[n-]c2=O)C1)c1nncn1C ZINC000999065382 726506246 /nfs/dbraw/zinc/50/62/46/726506246.db2.gz WQVFUSWJCSPZBN-AFPNSQJFSA-N -1 1 348.367 -0.635 20 0 EBADMM CN(C(=O)c1cnc([O-])n(C)c1=O)C1CC(NCc2nccn2C)C1 ZINC000999216808 726511445 /nfs/dbraw/zinc/51/14/45/726511445.db2.gz DHRHSKZIZDIEFC-UHFFFAOYSA-N -1 1 346.391 -0.388 20 0 EBADMM CCN1C[C@H](C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CC1=O ZINC000999323880 726514169 /nfs/dbraw/zinc/51/41/69/726514169.db2.gz ZGVJGGKEBDSBMD-GHMZBOCLSA-N -1 1 336.396 -0.541 20 0 EBADMM O=C(c1cc2n(n1)CCO2)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999380235 726517686 /nfs/dbraw/zinc/51/76/86/726517686.db2.gz SSYJVCVIEZQPOG-VIFPVBQESA-N -1 1 333.352 -0.506 20 0 EBADMM Cc1nc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c(=O)[nH]c1C ZINC000999466615 726520270 /nfs/dbraw/zinc/52/02/70/726520270.db2.gz NTINBKXQFNKWNE-SNVBAGLBSA-N -1 1 347.379 -0.395 20 0 EBADMM Cn1ccc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC000999517210 726521314 /nfs/dbraw/zinc/52/13/14/726521314.db2.gz TYHIDPXNFNJSRC-NSHDSACASA-N -1 1 332.364 -0.397 20 0 EBADMM CN1CC[C@H](C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CC1=O ZINC000999529147 726521779 /nfs/dbraw/zinc/52/17/79/726521779.db2.gz CMDCDWWEGAIYMG-WDEREUQCSA-N -1 1 336.396 -0.541 20 0 EBADMM Cc1nccnc1CN[C@H]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000999623881 726525200 /nfs/dbraw/zinc/52/52/00/726525200.db2.gz ZFEVOKQPIVMJTG-JTQLQIEISA-N -1 1 345.363 -0.224 20 0 EBADMM O=C([C@@H]1CCS(=O)(=O)C1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999642222 726525731 /nfs/dbraw/zinc/52/57/31/726525731.db2.gz ZOHLUCPJTMAEDR-ZJUUUORDSA-N -1 1 343.409 -0.974 20 0 EBADMM COc1cc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)nn1C ZINC000999661342 726526385 /nfs/dbraw/zinc/52/63/85/726526385.db2.gz VXUFVHOWYYYTPD-VIFPVBQESA-N -1 1 335.368 -0.353 20 0 EBADMM COc1cnc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)nc1 ZINC000999662564 726526499 /nfs/dbraw/zinc/52/64/99/726526499.db2.gz BMECKHZDPDTQEZ-VIFPVBQESA-N -1 1 333.352 -0.297 20 0 EBADMM O=C(c1ccc2nnnn2c1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999693185 726528000 /nfs/dbraw/zinc/52/80/00/726528000.db2.gz ROELHXCVKCKXSM-JTQLQIEISA-N -1 1 343.351 -0.658 20 0 EBADMM O=C(Cc1ccc(=O)[nH]c1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999724652 726530071 /nfs/dbraw/zinc/53/00/71/726530071.db2.gz CVRFMZNWCSWTLT-NSHDSACASA-N -1 1 332.364 -0.066 20 0 EBADMM NC(=O)c1coc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)c1 ZINC000999748850 726530821 /nfs/dbraw/zinc/53/08/21/726530821.db2.gz YXGASOATMVCESQ-UHFFFAOYSA-N -1 1 330.300 -0.267 20 0 EBADMM NC(=O)c1cc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)co1 ZINC000999795059 726532266 /nfs/dbraw/zinc/53/22/66/726532266.db2.gz XXDYBBYOLPLDFO-SECBINFHSA-N -1 1 334.336 -0.403 20 0 EBADMM C[C@@]1(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CCC(=O)NC1 ZINC000999967937 726545492 /nfs/dbraw/zinc/54/54/92/726545492.db2.gz GSUITALREUHMOO-ZUZCIYMTSA-N -1 1 336.396 -0.493 20 0 EBADMM CCc1cc(C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)[nH]n1 ZINC001000452864 726567384 /nfs/dbraw/zinc/56/73/84/726567384.db2.gz DRAQETATZPHKNH-UHFFFAOYSA-N -1 1 345.407 -0.044 20 0 EBADMM Cc1cc(C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)n(C)n1 ZINC001000487093 726568685 /nfs/dbraw/zinc/56/86/85/726568685.db2.gz VZRRGONMRVXJGB-UHFFFAOYSA-N -1 1 345.407 -0.288 20 0 EBADMM COC(=O)[C@@H]1C[C@@H]1C(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001000518050 726569269 /nfs/dbraw/zinc/56/92/69/726569269.db2.gz UTHIRUKCBSDNNU-NWDGAFQWSA-N -1 1 349.391 -0.834 20 0 EBADMM COc1ncc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001000604957 726572422 /nfs/dbraw/zinc/57/24/22/726572422.db2.gz QWHCPGKKGMFUIT-JTQLQIEISA-N -1 1 333.352 -0.297 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)C3=CCOCC3)CC2)nc1=O ZINC001000612880 726572685 /nfs/dbraw/zinc/57/26/85/726572685.db2.gz XMPAPCQVTDQTNB-UHFFFAOYSA-N -1 1 333.392 -0.297 20 0 EBADMM CC(=O)N1CC[C@H](C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001000798549 726578325 /nfs/dbraw/zinc/57/83/25/726578325.db2.gz WDMPHLPCEQTYJF-NWDGAFQWSA-N -1 1 336.396 -0.541 20 0 EBADMM NC(=O)c1ccnc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001001093790 726591711 /nfs/dbraw/zinc/59/17/11/726591711.db2.gz YFSLYDLEUGVURB-SNVBAGLBSA-N -1 1 345.363 -0.601 20 0 EBADMM COC(=O)[C@H]1C[C@H]1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001535710 726611021 /nfs/dbraw/zinc/61/10/21/726611021.db2.gz BXPMMLVKTZGYEB-MNOVXSKESA-N -1 1 333.344 -0.216 20 0 EBADMM Cc1c(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)nnn1C ZINC001001537445 726611051 /nfs/dbraw/zinc/61/10/51/726611051.db2.gz NQIGVFPNEBUUNR-UHFFFAOYSA-N -1 1 330.348 -0.274 20 0 EBADMM CCn1ncc(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)n1 ZINC001001541002 726611196 /nfs/dbraw/zinc/61/11/96/726611196.db2.gz HRFKACJYMIVSAN-UHFFFAOYSA-N -1 1 330.348 -0.099 20 0 EBADMM Cn1cncc1CC(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001542747 726611259 /nfs/dbraw/zinc/61/12/59/726611259.db2.gz YCRODUSHXINCML-UHFFFAOYSA-N -1 1 329.360 -0.048 20 0 EBADMM NC(=O)c1cc(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)co1 ZINC001001543876 726611304 /nfs/dbraw/zinc/61/13/04/726611304.db2.gz IVGRLTIMAXCLBP-UHFFFAOYSA-N -1 1 344.327 -0.019 20 0 EBADMM CCN1C[C@H](C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)CC1=O ZINC001001543872 726611338 /nfs/dbraw/zinc/61/13/38/726611338.db2.gz IVGOTUUKICFSTC-GFCCVEGCSA-N -1 1 346.387 -0.156 20 0 EBADMM CN1C(=O)CC[C@@H]1CC(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001545710 726611460 /nfs/dbraw/zinc/61/14/60/726611460.db2.gz XPTRCGCYCQUYEA-GFCCVEGCSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1cc(CCC(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)nn1 ZINC001001552981 726611659 /nfs/dbraw/zinc/61/16/59/726611659.db2.gz STLZPRADWQVOAP-UHFFFAOYSA-N -1 1 344.375 -0.263 20 0 EBADMM CCn1nncc1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001001723627 726618975 /nfs/dbraw/zinc/61/89/75/726618975.db2.gz KCYLQLMSYZJIHP-UHFFFAOYSA-N -1 1 330.348 -0.099 20 0 EBADMM O=C(NCC1CN(C(=O)c2cnon2)C1)c1cnc(C2CC2)[n-]c1=O ZINC001001952337 726628034 /nfs/dbraw/zinc/62/80/34/726628034.db2.gz QPKJJVGUECXCQK-UHFFFAOYSA-N -1 1 344.331 -0.055 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)c3ccc(=O)[nH]c3)CC2)nc1=O ZINC001002102851 726632398 /nfs/dbraw/zinc/63/23/98/726632398.db2.gz PDWLTPGWCVLCJV-UHFFFAOYSA-N -1 1 346.391 -0.149 20 0 EBADMM CN(C[C@@H]1CCCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1C[C@H]1C(N)=O ZINC001002411557 726640490 /nfs/dbraw/zinc/64/04/90/726640490.db2.gz KAMWMSMVOVHEMP-TUAOUCFPSA-N -1 1 346.387 -0.028 20 0 EBADMM CCn1nncc1C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001002734023 726645682 /nfs/dbraw/zinc/64/56/82/726645682.db2.gz KZLHOWOHQMLCMZ-UHFFFAOYSA-N -1 1 334.384 -0.886 20 0 EBADMM Cc1ccc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)nn1 ZINC001002775767 726647561 /nfs/dbraw/zinc/64/75/61/726647561.db2.gz RMBRUCGULYDZAA-UHFFFAOYSA-N -1 1 331.380 -0.399 20 0 EBADMM C[C@H]1C[C@@H](C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)CC(=O)N1 ZINC001002997160 726657542 /nfs/dbraw/zinc/65/75/42/726657542.db2.gz IXVRKGNYANKIFS-CMPLNLGQSA-N -1 1 346.387 -0.110 20 0 EBADMM NC(=O)C(=O)N1CC[C@@H]([C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)C1 ZINC001003157737 726662409 /nfs/dbraw/zinc/66/24/09/726662409.db2.gz OWPODFCLGVXQCI-VXGBXAGGSA-N -1 1 346.387 -0.027 20 0 EBADMM Cc1ncoc1CN1CCC(NC(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001003249805 726666655 /nfs/dbraw/zinc/66/66/55/726666655.db2.gz AYKXPFAECXWQEQ-UHFFFAOYSA-N -1 1 334.336 -0.026 20 0 EBADMM CCC1(C(=O)N2CC(CNC(=O)c3cc(=O)n4[n-]cnc4n3)C2)CC1 ZINC001003319485 726669702 /nfs/dbraw/zinc/66/97/02/726669702.db2.gz OOPNRVGTMBJYHF-UHFFFAOYSA-N -1 1 344.375 -0.204 20 0 EBADMM CN1C(=O)CCC[C@H]1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001003660306 726684003 /nfs/dbraw/zinc/68/40/03/726684003.db2.gz IFQOGHVGVXZXJX-LBPRGKRZSA-N -1 1 346.387 -0.014 20 0 EBADMM Cc1cc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)nc(C)n1 ZINC001003788126 726690358 /nfs/dbraw/zinc/69/03/58/726690358.db2.gz PRALGTKQUXBOSA-UHFFFAOYSA-N -1 1 345.407 -0.090 20 0 EBADMM C[C@H]1CCN(C(=O)Cn2ncnn2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001004767614 726715560 /nfs/dbraw/zinc/71/55/60/726715560.db2.gz LDFHAEAIWRMLLP-QWRGUYRKSA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)c1cn(C)nn1 ZINC001004844329 726717818 /nfs/dbraw/zinc/71/78/18/726717818.db2.gz XIJNBRCAWSVWDS-GXSJLCMTSA-N -1 1 334.384 -0.188 20 0 EBADMM C[C@@H]1CCN(C(=O)c2ccn[nH]2)C[C@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001005083028 726724334 /nfs/dbraw/zinc/72/43/34/726724334.db2.gz DJIXUVMGHBVNQT-VXNVDRBHSA-N -1 1 347.335 -0.714 20 0 EBADMM Cc1c(C(=O)N(C)C2CCN(Cc3nc(=O)n(C)[n-]3)CC2)nnn1C ZINC001005207430 726729210 /nfs/dbraw/zinc/72/92/10/726729210.db2.gz UGLRIDQQUYEROZ-UHFFFAOYSA-N -1 1 348.411 -0.718 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)CCn2cnnn2)C1 ZINC001005948977 726749509 /nfs/dbraw/zinc/74/95/09/726749509.db2.gz FQVPFYWIXQBNBL-SNVBAGLBSA-N -1 1 345.363 -0.559 20 0 EBADMM CN(C(=O)c1n[nH]cc1F)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005953226 726749747 /nfs/dbraw/zinc/74/97/47/726749747.db2.gz MZPZDTDODFQLTC-UHFFFAOYSA-N -1 1 337.359 -0.293 20 0 EBADMM C[C@H](NC(=O)[C@H]1COC(=O)N1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006003485 726751664 /nfs/dbraw/zinc/75/16/64/726751664.db2.gz AYDAPZVSXPEKPD-WCBMZHEXSA-N -1 1 334.332 -0.528 20 0 EBADMM C[C@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)C1CN(C(=O)C(N)=O)C1 ZINC001006097417 726755560 /nfs/dbraw/zinc/75/55/60/726755560.db2.gz CLSRJOJGIWYUCJ-ZETCQYMHSA-N -1 1 333.348 -0.878 20 0 EBADMM C[C@@H](NC(=O)[C@@H]1CCC(=O)N1C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006629478 726776656 /nfs/dbraw/zinc/77/66/56/726776656.db2.gz VSEAEOZYZNRCDL-PWSUYJOCSA-N -1 1 346.387 -0.015 20 0 EBADMM C[C@@H](NC(=O)[C@H]1CCN(C)C1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006642232 726777736 /nfs/dbraw/zinc/77/77/36/726777736.db2.gz HSFRFYIUZIFPGM-ZYHUDNBSSA-N -1 1 346.387 -0.158 20 0 EBADMM Cc1[nH]nc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC001006946911 726787553 /nfs/dbraw/zinc/78/75/53/726787553.db2.gz WHQNHIAEXPDSGO-LLVKDONJSA-N -1 1 333.396 -0.157 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1)c1ccnn1C ZINC001007358167 726792744 /nfs/dbraw/zinc/79/27/44/726792744.db2.gz SJFBVBPISDSCJI-VXGBXAGGSA-N -1 1 347.423 -0.274 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)c3cc4n(n3)CCC4)C2)nc1=O ZINC001007461426 726794206 /nfs/dbraw/zinc/79/42/06/726794206.db2.gz XTDQHNRQYNJBNP-NSHDSACASA-N -1 1 345.407 -0.355 20 0 EBADMM NC(=O)C(=O)N1C[C@@H](C(F)(F)F)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001008828024 726811532 /nfs/dbraw/zinc/81/15/32/726811532.db2.gz NXAGDVAZYMESLW-RNFRBKRXSA-N -1 1 346.265 -0.608 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001008887378 726822249 /nfs/dbraw/zinc/82/22/49/726822249.db2.gz LCRBZVMQCQSTTB-LLVKDONJSA-N -1 1 333.396 -0.157 20 0 EBADMM C[C@@H](O)CN1CCC[C@@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001008891881 726823786 /nfs/dbraw/zinc/82/37/86/726823786.db2.gz KUXUOJJNUMEEKE-GHMZBOCLSA-N -1 1 334.380 -0.967 20 0 EBADMM COc1cccnc1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001009321358 726890235 /nfs/dbraw/zinc/89/02/35/726890235.db2.gz YIOZAFIRPPVEFY-NSHDSACASA-N -1 1 346.391 -0.094 20 0 EBADMM O=C(NC[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)[C@@H]1CCNC1=O ZINC001009330445 726891835 /nfs/dbraw/zinc/89/18/35/726891835.db2.gz LLGWFHHNFBZPAS-KKOKHZNYSA-N -1 1 344.371 -0.643 20 0 EBADMM COc1c(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001009352425 726896256 /nfs/dbraw/zinc/89/62/56/726896256.db2.gz KNEUMCRPCAIVJQ-SNVBAGLBSA-N -1 1 349.395 -0.755 20 0 EBADMM C[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])CN1C(=O)Cn1ncnn1 ZINC001010169863 727041022 /nfs/dbraw/zinc/04/10/22/727041022.db2.gz BEMLDIJBSUQNQP-WDEREUQCSA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@@H]1C[C@H](CNC(=O)C2CC2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001010232337 727051653 /nfs/dbraw/zinc/05/16/53/727051653.db2.gz YUMUCLOQPFVAMD-VXGBXAGGSA-N -1 1 348.403 -0.310 20 0 EBADMM CCC(=O)N1CC2(C1)CCCN(C(=O)CCn1cc[n-]c(=O)c1=O)C2 ZINC001010441274 727094813 /nfs/dbraw/zinc/09/48/13/727094813.db2.gz OOLIDCNWJYEYLD-UHFFFAOYSA-N -1 1 348.403 -0.212 20 0 EBADMM O=C(N[C@H]1CCN(Cc2nnc3n2CCOC3)C1)c1ncccc1[O-] ZINC001010729921 727135388 /nfs/dbraw/zinc/13/53/88/727135388.db2.gz DNPGFIFRMCRWAN-NSHDSACASA-N -1 1 344.375 -0.087 20 0 EBADMM O=C(c1c[nH]c(=O)cn1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011035391 727185886 /nfs/dbraw/zinc/18/58/86/727185886.db2.gz GPISKBRAPIATQP-UHFFFAOYSA-N -1 1 329.316 -0.531 20 0 EBADMM CN1C[C@H](C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)CCC1=O ZINC001011036217 727186146 /nfs/dbraw/zinc/18/61/46/727186146.db2.gz MZUZMIZQQNPXET-GFCCVEGCSA-N -1 1 346.387 -0.060 20 0 EBADMM CCn1nncc1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011035949 727186196 /nfs/dbraw/zinc/18/61/96/727186196.db2.gz VSZSRFZVQYNFLR-UHFFFAOYSA-N -1 1 330.348 -0.003 20 0 EBADMM O=C(C[C@H]1CCC(=O)N1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011035624 727186269 /nfs/dbraw/zinc/18/62/69/727186269.db2.gz KNIVSAXABWKTDN-LLVKDONJSA-N -1 1 332.360 -0.260 20 0 EBADMM Cn1cc(CCC(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)nn1 ZINC001011036853 727186574 /nfs/dbraw/zinc/18/65/74/727186574.db2.gz WFQJNPFLLMAXPY-UHFFFAOYSA-N -1 1 344.375 -0.167 20 0 EBADMM O=C(C[C@@H]1CC(=O)NC1=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011036414 727186609 /nfs/dbraw/zinc/18/66/09/727186609.db2.gz KLJVLCSAHXZSHR-JTQLQIEISA-N -1 1 346.343 -0.876 20 0 EBADMM O=C(c1ncccc1[O-])N1CCN(C(=O)[C@H]2CCCC(=O)N2)CC1 ZINC001011036375 727186659 /nfs/dbraw/zinc/18/66/59/727186659.db2.gz QTRUPBQWBOWPOS-LLVKDONJSA-N -1 1 332.360 -0.260 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1cnnn1C ZINC001011710708 727563621 /nfs/dbraw/zinc/56/36/21/727563621.db2.gz IALWYXNUQKFOJT-UWVGGRQHSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@]1(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)CCOC1 ZINC001051887818 735446672 /nfs/dbraw/zinc/44/66/72/735446672.db2.gz IZBNIKYTLMZTLB-YGRLFVJLSA-N -1 1 325.369 -0.746 20 0 EBADMM COc1cccc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001051889256 735447766 /nfs/dbraw/zinc/44/77/66/735447766.db2.gz QELHRVVGEVPSAP-JTQLQIEISA-N -1 1 348.363 -0.455 20 0 EBADMM CC(C)C(=O)N1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1C ZINC001012306245 727652414 /nfs/dbraw/zinc/65/24/14/727652414.db2.gz AYSBWNUSUUFQOM-UWVGGRQHSA-N -1 1 332.364 -0.207 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CN1C(=O)c1ccn[nH]1 ZINC001012330008 727654501 /nfs/dbraw/zinc/65/45/01/727654501.db2.gz XRZVBPLRAMNJFK-RNFRBKRXSA-N -1 1 333.308 -0.961 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)Cn2nccn2)CN1C(=O)c1ncccc1[O-] ZINC001012504571 727672735 /nfs/dbraw/zinc/67/27/35/727672735.db2.gz BVXALBKMBIMEKT-GHMZBOCLSA-N -1 1 330.348 -0.202 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)Cn2cncn2)CN1C(=O)c1ncccc1[O-] ZINC001012504746 727673010 /nfs/dbraw/zinc/67/30/10/727673010.db2.gz CINHDTRGJPPCND-MNOVXSKESA-N -1 1 330.348 -0.202 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@@H]2C[C@@H](C)N(C(=O)c3ncccc3[O-])C2)C1 ZINC001012509649 727673791 /nfs/dbraw/zinc/67/37/91/727673791.db2.gz IYTVEVQLZDTVRM-ZWNOBZJWSA-N -1 1 346.387 -0.015 20 0 EBADMM Cn1cncc1CCC(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051919671 735462055 /nfs/dbraw/zinc/46/20/55/735462055.db2.gz KVYFRFNGFCOBMQ-GFCCVEGCSA-N -1 1 349.395 -0.806 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)C(F)F)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001013238567 727786456 /nfs/dbraw/zinc/78/64/56/727786456.db2.gz FABNKGFYPPNTAM-BDAKNGLRSA-N -1 1 344.318 -0.703 20 0 EBADMM CC(C)C(=O)N[C@H]1C[C@@H](C)N(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001013324632 727793890 /nfs/dbraw/zinc/79/38/90/727793890.db2.gz GWQJFELTOOXIGS-ZJUUUORDSA-N -1 1 332.364 -0.207 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)C2(C)CC2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001013325743 727794043 /nfs/dbraw/zinc/79/40/43/727794043.db2.gz KTPADAKUPNBTLA-VHSXEESVSA-N -1 1 344.375 -0.063 20 0 EBADMM CCn1cccc1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051931683 735469482 /nfs/dbraw/zinc/46/94/82/735469482.db2.gz BZDYCXBUFVJXBF-NSHDSACASA-N -1 1 334.380 -0.038 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CN1C(=O)C(N)=O ZINC001013984086 727863669 /nfs/dbraw/zinc/86/36/69/727863669.db2.gz SDFVBXXKXGASRY-CBAPKCEASA-N -1 1 333.348 -0.736 20 0 EBADMM Cn1cc(CCC(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001051942715 735477353 /nfs/dbraw/zinc/47/73/53/735477353.db2.gz FYJFRHYLJPVGCL-GFCCVEGCSA-N -1 1 349.395 -0.806 20 0 EBADMM NC(=O)C(=O)N[C@@H]1CCC[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001014574253 727972226 /nfs/dbraw/zinc/97/22/26/727972226.db2.gz SEGWJHWTWDPJNB-GMTAPVOTSA-N -1 1 332.360 -0.371 20 0 EBADMM CCC(=O)N[C@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)[C@H]1C ZINC001014657524 727988871 /nfs/dbraw/zinc/98/88/71/727988871.db2.gz ZYTXNGAIAOTCLT-UWVGGRQHSA-N -1 1 332.364 -0.063 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cn(C(C)(C)C)nn3)C2)nc1=O ZINC001014660238 727989264 /nfs/dbraw/zinc/98/92/64/727989264.db2.gz XOFBPIVOSIRQGY-JTQLQIEISA-N -1 1 348.411 -0.541 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cnc4n[nH]cc4c3)C2)nc1=O ZINC001014877145 728024554 /nfs/dbraw/zinc/02/45/54/728024554.db2.gz HMBLNSSZWMCHEQ-LLVKDONJSA-N -1 1 342.363 -0.616 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cc4c[nH]ccc-4n3)C2)nc1=O ZINC001014942945 728037986 /nfs/dbraw/zinc/03/79/86/728037986.db2.gz WEROCBMXBJYDID-NSHDSACASA-N -1 1 341.375 -0.011 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3n[nH]c4c3CCC4)C2)nc1=O ZINC001015076208 728071960 /nfs/dbraw/zinc/07/19/60/728071960.db2.gz DRVOJCORAGUACT-VIFPVBQESA-N -1 1 331.380 -0.676 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cncc4[nH]ccc43)C2)nc1=O ZINC001015107820 728079819 /nfs/dbraw/zinc/07/98/19/728079819.db2.gz LTTZKPPCSAMGJH-SNVBAGLBSA-N -1 1 341.375 -0.011 20 0 EBADMM COc1ccc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001015183719 728106057 /nfs/dbraw/zinc/10/60/57/728106057.db2.gz XCULCHHCIZLHKC-LLVKDONJSA-N -1 1 332.364 -0.484 20 0 EBADMM Cc1nc(C)c(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nc1C ZINC001015197994 728112072 /nfs/dbraw/zinc/11/20/72/728112072.db2.gz FPFFEWPQNSCUAA-GFCCVEGCSA-N -1 1 345.407 -0.172 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ccc4[nH]ccc4n3)C2)nc1=O ZINC001015254126 728123073 /nfs/dbraw/zinc/12/30/73/728123073.db2.gz QLYZJYTWSXIWID-JTQLQIEISA-N -1 1 341.375 -0.011 20 0 EBADMM CCOc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)on1 ZINC001015303771 728396331 /nfs/dbraw/zinc/39/63/31/728396331.db2.gz AWNAZSAHHZDYSY-SECBINFHSA-N -1 1 336.352 -0.501 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@@H]3OC[C@@H]4CCC[C@@H]43)C2)nc1=O ZINC001015319844 728397287 /nfs/dbraw/zinc/39/72/87/728397287.db2.gz YCIGFDFPKJDOES-KZVDOYCCSA-N -1 1 335.408 -0.386 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cc(C4CC4)on3)C2)nc1=O ZINC001015428463 728414025 /nfs/dbraw/zinc/41/40/25/728414025.db2.gz BSPMFFUVIUPQMG-SNVBAGLBSA-N -1 1 332.364 -0.022 20 0 EBADMM COC[C@@H](C)N1CC[C@@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001015864838 728436496 /nfs/dbraw/zinc/43/64/96/728436496.db2.gz JJCNEMUKCPVXFZ-GHMZBOCLSA-N -1 1 334.380 -0.703 20 0 EBADMM CC(C)c1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001015930991 728439433 /nfs/dbraw/zinc/43/94/33/728439433.db2.gz YGXBJWGXGUUMDV-LLVKDONJSA-N -1 1 347.423 -0.030 20 0 EBADMM Cc1cc(C(=O)N(C)C2CC(NC(=O)Cn3c(=O)[n-][nH]c3=O)C2)n[nH]1 ZINC001016293618 728451084 /nfs/dbraw/zinc/45/10/84/728451084.db2.gz BOULNZOUBWBODT-UHFFFAOYSA-N -1 1 349.351 -0.860 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001016427975 728471777 /nfs/dbraw/zinc/47/17/77/728471777.db2.gz QBUOMANXBKGRBK-UNXYVOJBSA-N -1 1 346.387 -0.015 20 0 EBADMM CN(C(=O)C1(C)CC1)C1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001016607455 728483941 /nfs/dbraw/zinc/48/39/41/728483941.db2.gz UQYGEFZFWNJKOL-UHFFFAOYSA-N -1 1 344.375 -0.063 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CC(NC(=O)C2CC2)C1 ZINC001016766916 728504459 /nfs/dbraw/zinc/50/44/59/728504459.db2.gz UMNSSJJITWPDFO-UHFFFAOYSA-N -1 1 330.348 -0.453 20 0 EBADMM Cn1ncc(C(=O)N2CCC(C3(NC(=O)c4cnn[nH]4)CC3)CC2)n1 ZINC001017175620 728552027 /nfs/dbraw/zinc/55/20/27/728552027.db2.gz GKAKSXUWZOCBFK-UHFFFAOYSA-N -1 1 344.379 -0.252 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)c2ccncc2)nc1=O ZINC001017300822 728561793 /nfs/dbraw/zinc/56/17/93/728561793.db2.gz VXTNOXVEBLNBCF-BETUJISGSA-N -1 1 328.376 -0.008 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)C(=O)C(C)(C)C)nc1=O ZINC001017418635 728573196 /nfs/dbraw/zinc/57/31/96/728573196.db2.gz NJQCFXLOFWYUQJ-PHIMTYICSA-N -1 1 335.408 -0.101 20 0 EBADMM NC(=O)C(=O)N1CC=C(CNC(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC001017462882 728578549 /nfs/dbraw/zinc/57/85/49/728578549.db2.gz XYKHCCUZKCKIGO-UHFFFAOYSA-N -1 1 345.359 -0.567 20 0 EBADMM Cn1nnnc1CN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC001017497057 728581403 /nfs/dbraw/zinc/58/14/03/728581403.db2.gz CFALGPGJLWOFER-PHIMTYICSA-N -1 1 329.364 -0.200 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)CC2(O)CCC2)nc1=O ZINC001017539210 728585692 /nfs/dbraw/zinc/58/56/92/728585692.db2.gz VPXCJSQCVPGHRQ-TXEJJXNPSA-N -1 1 335.408 -0.411 20 0 EBADMM C[C@@H]1CN(C(=O)C(N)=O)C[C@]2(CCN(C(=O)c3ncccc3[O-])C2)O1 ZINC001017852989 728619169 /nfs/dbraw/zinc/61/91/69/728619169.db2.gz ZLVJRPGRBWGDCU-QLJPJBMISA-N -1 1 348.359 -0.896 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2cnn[nH]2)CN1C(=O)c1cn(C)c(=O)cn1 ZINC001018251304 728649848 /nfs/dbraw/zinc/64/98/48/728649848.db2.gz QQGNQKHITSIGPL-UWVGGRQHSA-N -1 1 345.363 -0.679 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2cnn[nH]2)CN1C(=O)Cc1cnn(C)c1 ZINC001018251917 728650287 /nfs/dbraw/zinc/65/02/87/728650287.db2.gz SXIKKGNELAUGEY-JQWIXIFHSA-N -1 1 331.380 -0.110 20 0 EBADMM CCC(=O)N1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CC[C@H]1C ZINC001018273759 728652738 /nfs/dbraw/zinc/65/27/38/728652738.db2.gz CKYQKXQGFDJJJB-NXEZZACHSA-N -1 1 332.364 -0.063 20 0 EBADMM COCC(=O)N1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CC[C@@H]1C ZINC001018274949 728652944 /nfs/dbraw/zinc/65/29/44/728652944.db2.gz HQRHNSXZXVEBQX-UWVGGRQHSA-N -1 1 348.363 -0.827 20 0 EBADMM CC(=O)NC[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC[C@H]1C ZINC001018603146 728678693 /nfs/dbraw/zinc/67/86/93/728678693.db2.gz MPXNPXPUDJYBAZ-KOLCDFICSA-N -1 1 332.364 -0.348 20 0 EBADMM O=C(c1ccnn1CC(F)F)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001018849526 728694742 /nfs/dbraw/zinc/69/47/42/728694742.db2.gz YNCFVRQEXUCZHN-QMMMGPOBSA-N -1 1 341.322 -0.024 20 0 EBADMM O=C(c1ccnc2[nH]cnc21)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001018921579 728702564 /nfs/dbraw/zinc/70/25/64/728702564.db2.gz NNHYUVUMOKFGHI-QMMMGPOBSA-N -1 1 328.336 -0.214 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CC[C@H](NCc2csnn2)C1 ZINC001019651010 728963126 /nfs/dbraw/zinc/96/31/26/728963126.db2.gz YWVGBNPAHAUEKM-QMMMGPOBSA-N -1 1 346.376 -0.727 20 0 EBADMM Cn1nnc2cccc(C(=O)N3CC[C@H](NCc4n[nH]c(=O)[n-]4)C3)c21 ZINC001019898170 729034110 /nfs/dbraw/zinc/03/41/10/729034110.db2.gz NYHPUWSTWYGPGH-VIFPVBQESA-N -1 1 342.363 -0.204 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@@H]1COCCN1C ZINC001020196902 729096372 /nfs/dbraw/zinc/09/63/72/729096372.db2.gz BSBAKVJYISAJQX-AVGNSLFASA-N -1 1 348.403 -0.163 20 0 EBADMM C[C@H]1[C@@H](NC(=O)[C@@H]2CC(=O)N(C)C2)CCN1C(=O)c1ncccc1[O-] ZINC001020206784 729100425 /nfs/dbraw/zinc/10/04/25/729100425.db2.gz SMVWXVCXPIBFAU-TUAOUCFPSA-N -1 1 346.387 -0.015 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H]1C ZINC001020485779 729183175 /nfs/dbraw/zinc/18/31/75/729183175.db2.gz WZKRIEIFPJCSNX-NAKRPEOUSA-N -1 1 344.375 -0.207 20 0 EBADMM C[C@H]1CN(C(=O)CC(N)=O)CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001020717532 729222173 /nfs/dbraw/zinc/22/21/73/729222173.db2.gz ZMZHNMKLLSZXBB-WDEREUQCSA-N -1 1 334.376 -0.123 20 0 EBADMM CC(=O)NCC(=O)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001060979466 738419925 /nfs/dbraw/zinc/41/99/25/738419925.db2.gz HHAQTVWYPQXIPS-UHFFFAOYSA-N -1 1 346.387 -0.012 20 0 EBADMM O=C(NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1)[C@H]1COCCO1 ZINC001021196507 729293332 /nfs/dbraw/zinc/29/33/32/729293332.db2.gz BGYWJJLGOIAZIP-FGNRJIRKSA-N -1 1 337.380 -0.463 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@@H]2CCN(C(=O)[C@H]3CCC(=O)N3)[C@@H]2C1 ZINC001021233285 729296029 /nfs/dbraw/zinc/29/60/29/729296029.db2.gz JSSVFHAOGSNKFD-QJPTWQEYSA-N -1 1 344.371 -0.261 20 0 EBADMM CC(=O)N1CC(C(=O)NC2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[n-]2)C1 ZINC001021242001 729297813 /nfs/dbraw/zinc/29/78/13/729297813.db2.gz FJRVMUJRPVSFBW-YHWZYXNKSA-N -1 1 348.407 -0.400 20 0 EBADMM CN1C[C@H](C(=O)NC2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[n-]2)CC1=O ZINC001021275986 729301805 /nfs/dbraw/zinc/30/18/05/729301805.db2.gz RNCHYZFHHFIVMN-WAAKLRNESA-N -1 1 348.407 -0.400 20 0 EBADMM C[C@@]1(C(=O)NC2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[n-]2)CCNC1=O ZINC001021378750 729309412 /nfs/dbraw/zinc/30/94/12/729309412.db2.gz QIYKRXHNVLNCTN-RIVFMTDUSA-N -1 1 348.407 -0.352 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@@H](CCCN3C(=O)c3cn[nH]c3)C2)nc1=O ZINC001021533662 729320495 /nfs/dbraw/zinc/32/04/95/729320495.db2.gz PQHWIAWZXUMPEV-AAEUAGOBSA-N -1 1 345.407 -0.042 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@@H](CCCN3C(=O)c3cnon3)C2)nc1=O ZINC001021694664 729331748 /nfs/dbraw/zinc/33/17/48/729331748.db2.gz XZFUPYQXZRCNSW-CMPLNLGQSA-N -1 1 347.379 -0.382 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001022727624 729526458 /nfs/dbraw/zinc/52/64/58/729526458.db2.gz KALLXSGFJNODPA-WHOHXGKFSA-N -1 1 344.371 -0.419 20 0 EBADMM Cc1nnc(CN[C@H]2C[C@@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)o1 ZINC001022973583 729567549 /nfs/dbraw/zinc/56/75/49/729567549.db2.gz QEWZHZCVOKSJAL-DTORHVGOSA-N -1 1 344.335 -0.840 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)n(C)n1 ZINC001023143003 729619307 /nfs/dbraw/zinc/61/93/07/729619307.db2.gz FPVVAJSTGPIOCJ-ZWNOBZJWSA-N -1 1 345.359 -0.556 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](CNC(=O)c3cc[n+]([O-])cc3)C2)nc1=O ZINC001023217329 729641632 /nfs/dbraw/zinc/64/16/32/729641632.db2.gz BUWRNVUPDGSIPU-GFCCVEGCSA-N -1 1 346.391 -0.616 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](CNC(=O)c3cnns3)C2)nc1=O ZINC001023253952 729649953 /nfs/dbraw/zinc/64/99/53/729649953.db2.gz YXAVJPHMOYMOAN-SECBINFHSA-N -1 1 337.409 -0.398 20 0 EBADMM O=C(C[C@@H]1CCOC1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001023260713 729651546 /nfs/dbraw/zinc/65/15/46/729651546.db2.gz XUNASHUCQKXPQS-DMDPSCGWSA-N -1 1 335.360 -0.485 20 0 EBADMM Cn1[nH]c(CN2CCC[C@H](CNC(=O)c3ncccc3[O-])C2)nc1=O ZINC001023325215 729663852 /nfs/dbraw/zinc/66/38/52/729663852.db2.gz DPKDPFQEEUGOOM-LLVKDONJSA-N -1 1 346.391 -0.149 20 0 EBADMM CS[C@@H](C)C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023488517 729702519 /nfs/dbraw/zinc/70/25/19/729702519.db2.gz LMQIUTBKSSYYCC-IQJOONFLSA-N -1 1 325.390 -0.160 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023490155 729703628 /nfs/dbraw/zinc/70/36/28/729703628.db2.gz ARUBJCFUNVFZHA-ZYHUDNBSSA-N -1 1 345.359 -0.258 20 0 EBADMM Cc1nccc(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001023493926 729704439 /nfs/dbraw/zinc/70/44/39/729704439.db2.gz RMCYPLLDNYDPNP-DGCLKSJQSA-N -1 1 343.343 -0.499 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)[C@@]2(F)CCOC2)C[C@H]1O)c1ncccc1[O-] ZINC001023493420 729704636 /nfs/dbraw/zinc/70/46/36/729704636.db2.gz CQBBVGONULBRPZ-XDMRBOTDSA-N -1 1 339.323 -0.783 20 0 EBADMM Cc1n[nH]cc1C(=O)NC[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001023521707 729711264 /nfs/dbraw/zinc/71/12/64/729711264.db2.gz IWRAVRVTXUWRKO-LLVKDONJSA-N -1 1 333.396 -0.218 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)[C@@H]1CC1(F)F ZINC001023613434 729738107 /nfs/dbraw/zinc/73/81/07/729738107.db2.gz XHZIBRBJBAWYJG-QXFUBDJGSA-N -1 1 327.287 -0.256 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)nn1 ZINC001023642207 729744681 /nfs/dbraw/zinc/74/46/81/729744681.db2.gz HIVSVGAQUTUEMM-DGCLKSJQSA-N -1 1 343.343 -0.499 20 0 EBADMM CCn1cnc(C(=O)NC[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001023705425 729756077 /nfs/dbraw/zinc/75/60/77/729756077.db2.gz QGOYOBMZROFNDX-LBPRGKRZSA-N -1 1 347.423 -0.033 20 0 EBADMM Cn1cnc(C(=O)NC[C@@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001024426159 729886654 /nfs/dbraw/zinc/88/66/54/729886654.db2.gz VUBDIMYHHZWZFU-JTQLQIEISA-N -1 1 334.384 -0.979 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H]2CNC(=O)c2csnn2)nc1=O ZINC001024577638 729907366 /nfs/dbraw/zinc/90/73/66/729907366.db2.gz DXDXYNQMDOQVEK-SECBINFHSA-N -1 1 337.409 -0.256 20 0 EBADMM Cc1ncc(C(=O)NC[C@@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)n1C ZINC001024620447 729912470 /nfs/dbraw/zinc/91/24/70/729912470.db2.gz VADWAUARNUXQTD-LBPRGKRZSA-N -1 1 347.423 -0.065 20 0 EBADMM O=C([C@H]1CCNC(=O)C1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024926384 729942651 /nfs/dbraw/zinc/94/26/51/729942651.db2.gz YUEXSNWQQXFYOD-WDEREUQCSA-N -1 1 336.396 -0.493 20 0 EBADMM O=C(CN1CCOCC1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024933387 729943609 /nfs/dbraw/zinc/94/36/09/729943609.db2.gz KJHCDWJIELVZAT-GFCCVEGCSA-N -1 1 338.412 -0.687 20 0 EBADMM Cc1nnc(CC(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)o1 ZINC001024953428 729945303 /nfs/dbraw/zinc/94/53/03/729945303.db2.gz KWQVINZYANETKL-SNVBAGLBSA-N -1 1 335.368 -0.085 20 0 EBADMM Cn1cc(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)ccc1=O ZINC001025011681 729986413 /nfs/dbraw/zinc/98/64/13/729986413.db2.gz JNYQNTTXDAWFPJ-GFCCVEGCSA-N -1 1 346.391 -0.007 20 0 EBADMM CN1CC[C@@H](C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)C1=O ZINC001025050201 729990527 /nfs/dbraw/zinc/99/05/27/729990527.db2.gz LWQVCLVUOPOZQV-GHMZBOCLSA-N -1 1 336.396 -0.541 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)[nH]1 ZINC001025087082 729995063 /nfs/dbraw/zinc/99/50/63/729995063.db2.gz QRGQQWGXKUPFAB-SECBINFHSA-N -1 1 347.379 -0.278 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)c1nccs1 ZINC001025278312 730024469 /nfs/dbraw/zinc/02/44/69/730024469.db2.gz VVGRTAZPISINGU-PSASIEDQSA-N -1 1 334.357 -0.141 20 0 EBADMM O=C(Cc1ncc[nH]1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001025300745 730027518 /nfs/dbraw/zinc/02/75/18/730027518.db2.gz MXEAUWUPUIXQSZ-MWLCHTKSSA-N -1 1 331.332 -0.946 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)[C@H]1CCCO1 ZINC001026027355 730116371 /nfs/dbraw/zinc/11/63/71/730116371.db2.gz ZUXBVFJDOPIEMS-DIACKHNESA-N -1 1 335.408 -0.530 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)NC1CCC(NCc2cnon2)CC1 ZINC001026599410 730152003 /nfs/dbraw/zinc/15/20/03/730152003.db2.gz WTYXSFHOTNGFAB-UHFFFAOYSA-N -1 1 337.340 -0.711 20 0 EBADMM Cc1cc(CNC[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)no1 ZINC001026941262 730173812 /nfs/dbraw/zinc/17/38/12/730173812.db2.gz DFFFXCHXWJZUNF-VIFPVBQESA-N -1 1 334.336 -0.169 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CC[C@@H](CNCc2csnn2)C1 ZINC001026941250 730173817 /nfs/dbraw/zinc/17/38/17/730173817.db2.gz CPXWZYHLLIJOLC-ZETCQYMHSA-N -1 1 337.365 -0.614 20 0 EBADMM CCn1ncnc1CNC[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001026941346 730173911 /nfs/dbraw/zinc/17/39/11/730173911.db2.gz GOSICWMPIYNQCG-VIFPVBQESA-N -1 1 348.367 -0.854 20 0 EBADMM O=C(c1c[n-]n2c1nccc2=O)N1CC[C@H](CNCc2cnon2)C1 ZINC001027108975 730188768 /nfs/dbraw/zinc/18/87/68/730188768.db2.gz FMXFASSPCPZILT-SNVBAGLBSA-N -1 1 343.347 -0.343 20 0 EBADMM C[C@@H](C(N)=O)N(C)[C@H]1CCCN(C(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001027606603 730228178 /nfs/dbraw/zinc/22/81/78/730228178.db2.gz JAMOIKWRIYRRJI-QWRGUYRKSA-N -1 1 346.391 -0.567 20 0 EBADMM Cc1nnsc1C(=O)NC[C@@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001027748037 730237141 /nfs/dbraw/zinc/23/71/41/730237141.db2.gz ZGCAOTJUOIBYQK-VIFPVBQESA-N -1 1 337.409 -0.337 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)nn1C ZINC001027775994 730238566 /nfs/dbraw/zinc/23/85/66/730238566.db2.gz HITHMJOHIOJOBK-LLVKDONJSA-N -1 1 333.396 -0.455 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H]2CNC(=O)c2cc[nH]c(=O)c2)nc1=O ZINC001027821633 730240871 /nfs/dbraw/zinc/24/08/71/730240871.db2.gz OBTAGYZEPNOCDA-NSHDSACASA-N -1 1 332.364 -0.397 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2CCCN2CCN2CCNC2=O)c1[O-] ZINC001027834097 730241642 /nfs/dbraw/zinc/24/16/42/730241642.db2.gz XPSIDQLIACUBCX-LLVKDONJSA-N -1 1 336.396 -0.357 20 0 EBADMM Cc1ccnc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001027850020 730242716 /nfs/dbraw/zinc/24/27/16/730242716.db2.gz JAMZVQGWKKVLPV-NSHDSACASA-N -1 1 331.380 -0.399 20 0 EBADMM Cc1ncn(C)c1C(=O)NC[C@@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001027950022 730251040 /nfs/dbraw/zinc/25/10/40/730251040.db2.gz GMAVBNQYGVZUAU-NSHDSACASA-N -1 1 333.396 -0.455 20 0 EBADMM CC(C)n1cc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)nn1 ZINC001028229969 730276507 /nfs/dbraw/zinc/27/65/07/730276507.db2.gz QJORURBUWVZSFD-NSHDSACASA-N -1 1 348.411 -0.325 20 0 EBADMM CCn1cc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)nn1 ZINC001028229047 730276513 /nfs/dbraw/zinc/27/65/13/730276513.db2.gz BRPXBKGYJNQGNT-SNVBAGLBSA-N -1 1 334.384 -0.886 20 0 EBADMM CCCn1cc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)nn1 ZINC001028230416 730276666 /nfs/dbraw/zinc/27/66/66/730276666.db2.gz NOVKSRDKMXIULR-NSHDSACASA-N -1 1 348.411 -0.496 20 0 EBADMM Cc1cc(CN2CC[C@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)ncn1 ZINC001028661644 730333904 /nfs/dbraw/zinc/33/39/04/730333904.db2.gz NJLCJNKGNSWPAJ-SNVBAGLBSA-N -1 1 345.363 -0.367 20 0 EBADMM COc1cnc(C(=O)NC[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nc1 ZINC001028678433 730337570 /nfs/dbraw/zinc/33/75/70/730337570.db2.gz JOWFQLGSEMHEBL-JTQLQIEISA-N -1 1 347.379 -0.841 20 0 EBADMM O=C(NC[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CCCc2n[nH]nc21 ZINC001028766088 730353652 /nfs/dbraw/zinc/35/36/52/730353652.db2.gz MIAGGGCHPVOBSL-ZJUUUORDSA-N -1 1 346.395 -0.313 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)c3cc(C4CC4)n[nH]3)C2)nc1=O ZINC001028825927 730361101 /nfs/dbraw/zinc/36/11/01/730361101.db2.gz UOTRTXKXBGIPDL-SNVBAGLBSA-N -1 1 345.407 -0.039 20 0 EBADMM Cc1cc(=O)c(C(=O)NC[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c[nH]1 ZINC001028906307 730369062 /nfs/dbraw/zinc/36/90/62/730369062.db2.gz JDSHBXGIIZGPJB-NSHDSACASA-N -1 1 346.391 -0.643 20 0 EBADMM O=C(NC[C@H]1CCN(Cc2cnon2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001028928826 730372132 /nfs/dbraw/zinc/37/21/32/730372132.db2.gz QNKGCKLBPMXJSV-SECBINFHSA-N -1 1 344.335 -0.948 20 0 EBADMM COC(=O)[C@@H]1C[C@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029239990 730394212 /nfs/dbraw/zinc/39/42/12/730394212.db2.gz HEQQBSJKKDXTIP-WRWGMCAJSA-N -1 1 349.391 -0.907 20 0 EBADMM CCc1n[nH]cc1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029376070 730402040 /nfs/dbraw/zinc/40/20/40/730402040.db2.gz JKWUQQUGQSHORY-PHIMTYICSA-N -1 1 345.407 -0.117 20 0 EBADMM O=C(CCc1c[nH]nn1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001029481146 730407602 /nfs/dbraw/zinc/40/76/02/730407602.db2.gz CKKXBRUMPBDNHD-PHIMTYICSA-N -1 1 332.368 -0.564 20 0 EBADMM O=C(CCc1cnn[nH]1)N1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001029481146 730407604 /nfs/dbraw/zinc/40/76/04/730407604.db2.gz CKKXBRUMPBDNHD-PHIMTYICSA-N -1 1 332.368 -0.564 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)c2ccc(=O)[nH]n2)C1 ZINC001029637728 730478112 /nfs/dbraw/zinc/47/81/12/730478112.db2.gz FWHIPVUQGJLVNA-SECBINFHSA-N -1 1 333.352 -0.400 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)C[C@@H]2COC(=O)C2)C1 ZINC001029705853 730485216 /nfs/dbraw/zinc/48/52/16/730485216.db2.gz NPPUBYQIQYDHTK-QWRGUYRKSA-N -1 1 337.380 -0.256 20 0 EBADMM CN(Cc1nnn(C)n1)C[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001029713843 730486983 /nfs/dbraw/zinc/48/69/83/730486983.db2.gz SJIFIVVIUQZYMQ-NSHDSACASA-N -1 1 331.380 -0.095 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001029750227 730493904 /nfs/dbraw/zinc/49/39/04/730493904.db2.gz DDLNHZSCPNMJQW-WDEREUQCSA-N -1 1 336.396 -0.731 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)[C@H]2CCCC(=O)N2)C1 ZINC001029763741 730497483 /nfs/dbraw/zinc/49/74/83/730497483.db2.gz LQDDQJWXKAUGAF-GHMZBOCLSA-N -1 1 336.396 -0.541 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)[C@H]2CCC(=O)NC2)C1 ZINC001029773551 730498813 /nfs/dbraw/zinc/49/88/13/730498813.db2.gz CYIIRDFZXIOLJE-QWRGUYRKSA-N -1 1 336.396 -0.683 20 0 EBADMM CN(Cc1cnnn1C)C[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001029776515 730499726 /nfs/dbraw/zinc/49/97/26/730499726.db2.gz CDMXUYJSWCXWMH-SECBINFHSA-N -1 1 348.367 -0.995 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@H]3CCCc4n[nH]cc43)C2)nc1=O ZINC001030314650 730566939 /nfs/dbraw/zinc/56/69/39/730566939.db2.gz XCPHLJAAVCGBLT-JTQLQIEISA-N -1 1 331.380 -0.748 20 0 EBADMM CCn1cc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c(C2CC2)n1 ZINC001030378057 730575978 /nfs/dbraw/zinc/57/59/78/730575978.db2.gz ACVGYJWLGDBZHP-UHFFFAOYSA-N -1 1 345.407 -0.184 20 0 EBADMM CC[C@H](C)n1nccc1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030383835 730576764 /nfs/dbraw/zinc/57/67/64/730576764.db2.gz NROKHYRKOFCUIO-JTQLQIEISA-N -1 1 333.396 -0.110 20 0 EBADMM Cc1nc2cc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)cnc2[nH]1 ZINC001030417659 730582850 /nfs/dbraw/zinc/58/28/50/730582850.db2.gz UAVVAKLUHFBWDB-UHFFFAOYSA-N -1 1 342.363 -0.698 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cccc4c3COCC4)C2)nc1=O ZINC001030428858 730584069 /nfs/dbraw/zinc/58/40/69/730584069.db2.gz LRFAMINEUAUYCV-UHFFFAOYSA-N -1 1 343.387 -0.205 20 0 EBADMM CCc1noc(CC)c1CC(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030456442 730589272 /nfs/dbraw/zinc/58/92/72/730589272.db2.gz HWJIHZGYSDYOMW-UHFFFAOYSA-N -1 1 348.407 -0.236 20 0 EBADMM CCc1[nH]nc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1Cl ZINC001030457726 730589577 /nfs/dbraw/zinc/58/95/77/730589577.db2.gz LJZZANXADHDWAQ-UHFFFAOYSA-N -1 1 339.787 -0.339 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ccn(CC(F)F)n3)C2)nc1=O ZINC001030487620 730594350 /nfs/dbraw/zinc/59/43/50/730594350.db2.gz PXDPIBHFYCEGDX-UHFFFAOYSA-N -1 1 341.322 -0.816 20 0 EBADMM C[C@@H]1CCc2n[nH]cc2[C@H]1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030697440 730625212 /nfs/dbraw/zinc/62/52/12/730625212.db2.gz TTWCRZFQPKMNOW-OTYXRUKQSA-N -1 1 345.407 -0.502 20 0 EBADMM C[C@H]1Cc2c[nH]nc2[C@H](C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001030836010 730646048 /nfs/dbraw/zinc/64/60/48/730646048.db2.gz CVZIZHSIRAXHKL-JOYOIKCWSA-N -1 1 345.407 -0.502 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cccc4c3OCC4)C2)nc1=O ZINC001030995936 730663830 /nfs/dbraw/zinc/66/38/30/730663830.db2.gz CAHAIQAJCYFSTR-UHFFFAOYSA-N -1 1 329.360 -0.343 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@@H]3C[C@H]3c3cccnc3)C2)nc1=O ZINC001030992427 730663909 /nfs/dbraw/zinc/66/39/09/730663909.db2.gz PYPSCQIIKRFCEO-QWHCGFSZSA-N -1 1 328.376 -0.393 20 0 EBADMM Cc1c(Cl)c(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC001031030936 730666252 /nfs/dbraw/zinc/66/62/52/730666252.db2.gz NTMIBSRPMKSNKQ-UHFFFAOYSA-N -1 1 339.787 -0.582 20 0 EBADMM C[C@@H](c1nnnn1C)N1CC(NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001031061298 730669823 /nfs/dbraw/zinc/66/98/23/730669823.db2.gz VDNWSDRCFBBCCS-QMMMGPOBSA-N -1 1 344.379 -0.242 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cc(C(F)(F)F)n[nH]3)C2)nc1=O ZINC001031101162 730676121 /nfs/dbraw/zinc/67/61/21/730676121.db2.gz CPTXJFKJEMXLEF-UHFFFAOYSA-N -1 1 345.285 -0.536 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3coc(CC4CC4)n3)C2)nc1=O ZINC001031167897 730683744 /nfs/dbraw/zinc/68/37/44/730683744.db2.gz MZFWKAJLMSZJQH-UHFFFAOYSA-N -1 1 332.364 -0.337 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cc4c(s3)CCOC4)C2)nc1=O ZINC001031274688 730697732 /nfs/dbraw/zinc/69/77/32/730697732.db2.gz XMAAPTXLYGLURR-UHFFFAOYSA-N -1 1 349.416 -0.143 20 0 EBADMM CC(=O)Nc1ccc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)cc1 ZINC001031299300 730700000 /nfs/dbraw/zinc/70/00/00/730700000.db2.gz NGRHNQFAJIARMU-UHFFFAOYSA-N -1 1 344.375 -0.319 20 0 EBADMM CN(C)c1ccc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)cc1 ZINC001031308063 730700281 /nfs/dbraw/zinc/70/02/81/730700281.db2.gz KKRIYEMLODXCFU-UHFFFAOYSA-N -1 1 330.392 -0.211 20 0 EBADMM CC(C)Oc1cccnc1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031329839 730703638 /nfs/dbraw/zinc/70/36/38/730703638.db2.gz XIFIVLSKCLQPSF-UHFFFAOYSA-N -1 1 346.391 -0.095 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cn4ccccc4n3)C2)nc1=O ZINC001031347874 730705930 /nfs/dbraw/zinc/70/59/30/730705930.db2.gz ZUNAVGTZFXCIJI-UHFFFAOYSA-N -1 1 327.348 -0.630 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cc4c([nH]c3=O)CCC4)C2)nc1=O ZINC001031392015 730714431 /nfs/dbraw/zinc/71/44/31/730714431.db2.gz RVFLBOVLCUCYSY-UHFFFAOYSA-N -1 1 344.375 -0.688 20 0 EBADMM Cc1cc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1C(C)(C)C ZINC001031397385 730715378 /nfs/dbraw/zinc/71/53/78/730715378.db2.gz YIZFLMYGHYWTLB-UHFFFAOYSA-N -1 1 347.423 -0.017 20 0 EBADMM O=C(NCC1CN(Cc2nnc3n2CCOC3)C1)c1ncccc1[O-] ZINC001031627237 730742356 /nfs/dbraw/zinc/74/23/56/730742356.db2.gz HORYHOHETOELJB-UHFFFAOYSA-N -1 1 344.375 -0.229 20 0 EBADMM Cc1cc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)cc(C)n1 ZINC001031699111 730753345 /nfs/dbraw/zinc/75/33/45/730753345.db2.gz KWLNFPFKZLOMOY-UHFFFAOYSA-N -1 1 330.392 -0.018 20 0 EBADMM CC(C)n1nccc1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031698405 730753376 /nfs/dbraw/zinc/75/33/76/730753376.db2.gz FWSJJXVZERWKOO-UHFFFAOYSA-N -1 1 333.396 -0.252 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cn4cccnc4n3)C2)nc1=O ZINC001031811942 730772996 /nfs/dbraw/zinc/77/29/96/730772996.db2.gz GIDZLYIDJDODEV-UHFFFAOYSA-N -1 1 342.363 -0.987 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cnc(Cl)n3C)C2)nc1=O ZINC001031846814 730779428 /nfs/dbraw/zinc/77/94/28/730779428.db2.gz BLDBVNDDXZCEDD-UHFFFAOYSA-N -1 1 339.787 -0.643 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3onc4c3CCCC4)C2)nc1=O ZINC001031846170 730779532 /nfs/dbraw/zinc/77/95/32/730779532.db2.gz IWUNWOHNDHACNF-UHFFFAOYSA-N -1 1 346.391 -0.163 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@@H]3CCc4cccnc43)C2)nc1=O ZINC001031929260 730794061 /nfs/dbraw/zinc/79/40/61/730794061.db2.gz FECFRGUDLUBJGW-CYBMUJFWSA-N -1 1 342.403 -0.219 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cccc4c3OCC4)C2)nc1=O ZINC001031996549 730802027 /nfs/dbraw/zinc/80/20/27/730802027.db2.gz JYPKRLBYRIJWFX-UHFFFAOYSA-N -1 1 343.387 -0.095 20 0 EBADMM O=C(NCC1CN(Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CCc2[nH]cnc2C1 ZINC001032111616 730813107 /nfs/dbraw/zinc/81/31/07/730813107.db2.gz LDZAMZCKRRHKHP-JTQLQIEISA-N -1 1 331.380 -0.414 20 0 EBADMM CC[C@H]1C[C@H](C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)CCO1 ZINC001032110773 730813204 /nfs/dbraw/zinc/81/32/04/730813204.db2.gz PZZGGZGSLXIBLF-OLZOCXBDSA-N -1 1 337.424 -0.138 20 0 EBADMM Cc1cnc(CN2CC(CNC(=O)c3cc(=O)n4[n-]cnc4n3)C2)o1 ZINC001032127971 730816380 /nfs/dbraw/zinc/81/63/80/730816380.db2.gz LZUANZPIKLBMEP-UHFFFAOYSA-N -1 1 343.347 -0.424 20 0 EBADMM Cc1ncoc1CN1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001032127871 730816429 /nfs/dbraw/zinc/81/64/29/730816429.db2.gz HWRPENRGRNAHFO-UHFFFAOYSA-N -1 1 343.347 -0.424 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CN(Cc2ccn(C)n2)C1 ZINC001032127507 730816459 /nfs/dbraw/zinc/81/64/59/730816459.db2.gz WLHRREOLEFELRM-UHFFFAOYSA-N -1 1 348.363 -0.508 20 0 EBADMM Cc1oncc1CN1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001032128265 730816883 /nfs/dbraw/zinc/81/68/83/730816883.db2.gz XTMFVFTYJDOXPY-UHFFFAOYSA-N -1 1 343.347 -0.424 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cncnc3C3CC3)C2)nc1=O ZINC001032161904 730820156 /nfs/dbraw/zinc/82/01/56/730820156.db2.gz KSRIOYXBJCTLSH-UHFFFAOYSA-N -1 1 343.391 -0.362 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3ncc4n3CCCC4)C2)nc1=O ZINC001032197227 730826425 /nfs/dbraw/zinc/82/64/25/730826425.db2.gz YHLCQXVSYUOORC-UHFFFAOYSA-N -1 1 345.407 -0.497 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@H]3CCc4c[nH]nc4C3)C2)nc1=O ZINC001032201371 730828002 /nfs/dbraw/zinc/82/80/02/730828002.db2.gz AKXTYUWJLWZHRP-NSHDSACASA-N -1 1 345.407 -0.815 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)CCc2ncc[nH]2)nc1=O ZINC001032378945 730848466 /nfs/dbraw/zinc/84/84/66/730848466.db2.gz ACBWWECGMQLTDI-QWRGUYRKSA-N -1 1 331.380 -0.751 20 0 EBADMM Cc1ccncc1CC(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032453823 730864767 /nfs/dbraw/zinc/86/47/67/730864767.db2.gz PSBGXVQLYGMLNJ-KBPBESRZSA-N -1 1 342.403 -0.160 20 0 EBADMM CC[C@@H]1CCO[C@@H]1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032455562 730865072 /nfs/dbraw/zinc/86/50/72/730865072.db2.gz RFWNLVPJOPCVSO-UHXUPSOCSA-N -1 1 335.408 -0.291 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)[C@]23CCC[C@H]2OCC3)nc1=O ZINC001032515582 730881154 /nfs/dbraw/zinc/88/11/54/730881154.db2.gz GSANQKQFDJDNJD-JRATXPSKSA-N -1 1 347.419 -0.147 20 0 EBADMM Cn1ccnc1CCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032533633 730883840 /nfs/dbraw/zinc/88/38/40/730883840.db2.gz GHDJFLMYLHVQBG-RYUDHWBXSA-N -1 1 345.407 -0.740 20 0 EBADMM COc1ncc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)cn1 ZINC001032713923 730917030 /nfs/dbraw/zinc/91/70/30/730917030.db2.gz VSCJYCBSCADTMU-QWRGUYRKSA-N -1 1 345.363 -0.994 20 0 EBADMM O=C([C@H]1CCc2[nH]cnc2C1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[n-]1 ZINC001032720522 730917941 /nfs/dbraw/zinc/91/79/41/730917941.db2.gz WHTHHXPOIMLEDL-DCAQKATOSA-N -1 1 343.391 -0.176 20 0 EBADMM COCc1nocc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032728883 730919747 /nfs/dbraw/zinc/91/97/47/730919747.db2.gz LVZMIIDULWCWPH-UWVGGRQHSA-N -1 1 348.363 -0.658 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)[C@H]2CC23CCOCC3)nc1=O ZINC001032822212 730938634 /nfs/dbraw/zinc/93/86/34/730938634.db2.gz IMLMYYKCUYKWEW-RWMBFGLXSA-N -1 1 347.419 -0.290 20 0 EBADMM Cc1cnn(CC(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001032939596 730950604 /nfs/dbraw/zinc/95/06/04/730950604.db2.gz ZGEIVURBQJVKOL-LBPRGKRZSA-N -1 1 333.396 -0.654 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(CCS(C)(=O)=O)C1 ZINC001033024673 730959227 /nfs/dbraw/zinc/95/92/27/730959227.db2.gz GMVZXEDOBZFLBG-LLVKDONJSA-N -1 1 327.406 -0.022 20 0 EBADMM COc1cc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)ncn1 ZINC001033080194 730967473 /nfs/dbraw/zinc/96/74/73/730967473.db2.gz FFAVZRFZKGGOKH-SNVBAGLBSA-N -1 1 347.379 -0.747 20 0 EBADMM C[C@@H](C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)c1ccnn1C ZINC001033092099 730969572 /nfs/dbraw/zinc/96/95/72/730969572.db2.gz OTDWEMDYGGQGEX-NEPJUHHUSA-N -1 1 347.423 -0.322 20 0 EBADMM Cc1nn(C)cc1CC(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033148375 730977433 /nfs/dbraw/zinc/97/74/33/730977433.db2.gz JSCSFRZSBKUHCK-ZDUSSCGKSA-N -1 1 347.423 -0.574 20 0 EBADMM CN(C(=O)c1ccc(=O)n(C)c1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033218065 730989762 /nfs/dbraw/zinc/98/97/62/730989762.db2.gz VMFOPIIHUHJMMI-GFCCVEGCSA-N -1 1 346.391 -0.846 20 0 EBADMM COc1cc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)ccn1 ZINC001033220606 730990117 /nfs/dbraw/zinc/99/01/17/730990117.db2.gz AINLMOCFQPFUAE-GFCCVEGCSA-N -1 1 346.391 -0.142 20 0 EBADMM Cc1nnccc1C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033231450 730992226 /nfs/dbraw/zinc/99/22/26/730992226.db2.gz CHZHYGKNVVBKMQ-NSHDSACASA-N -1 1 331.380 -0.447 20 0 EBADMM COc1cncc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001033480257 731027133 /nfs/dbraw/zinc/02/71/33/731027133.db2.gz ONJPBWLUYQAPRN-JTQLQIEISA-N -1 1 347.379 -0.747 20 0 EBADMM COCCN1CC[C@@H](N(C)C(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001033510632 731033752 /nfs/dbraw/zinc/03/37/52/731033752.db2.gz WOKXNYOUMIPQQR-LLVKDONJSA-N -1 1 334.380 -0.750 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)[C@@H]1CCN(Cc2ccon2)C1 ZINC001033582081 731043563 /nfs/dbraw/zinc/04/35/63/731043563.db2.gz KYBMQTMHLUUDOY-LLVKDONJSA-N -1 1 343.347 -0.248 20 0 EBADMM CCN(C(=O)c1nccnc1N)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033782280 731073041 /nfs/dbraw/zinc/07/30/41/731073041.db2.gz TUOYMBVEKFQELX-JTQLQIEISA-N -1 1 346.395 -0.783 20 0 EBADMM CCN(C(=O)c1cccc(=O)[nH]1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033791021 731074014 /nfs/dbraw/zinc/07/40/14/731074014.db2.gz CEUMQKHRDPREMJ-LLVKDONJSA-N -1 1 346.391 -0.054 20 0 EBADMM CCN(C(=O)c1nccc(C)n1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033809221 731075925 /nfs/dbraw/zinc/07/59/25/731075925.db2.gz IUOKWNYYVLLFJS-GFCCVEGCSA-N -1 1 345.407 -0.057 20 0 EBADMM CCN(C(=O)C1=CCOCC1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033813435 731076394 /nfs/dbraw/zinc/07/63/94/731076394.db2.gz LMMQYPCBIXKFDE-ZDUSSCGKSA-N -1 1 335.408 -0.122 20 0 EBADMM CCN(C(=O)[C@]12C[C@H]1COC2)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033834196 731077732 /nfs/dbraw/zinc/07/77/32/731077732.db2.gz DHUIQVMSYCVDKQ-OZVIIMIRSA-N -1 1 335.408 -0.432 20 0 EBADMM CCN(C(=O)c1ccnnc1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033964691 731094497 /nfs/dbraw/zinc/09/44/97/731094497.db2.gz KXPOCSJUKODJSI-GFCCVEGCSA-N -1 1 331.380 -0.365 20 0 EBADMM Cc1cnc(C(=O)N[C@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001034083696 731102225 /nfs/dbraw/zinc/10/22/25/731102225.db2.gz GKAAFAFKWDTTME-LBPRGKRZSA-N -1 1 345.407 -0.009 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H](NC(=O)c3cnsn3)C2)nc1=O ZINC001034117467 731103838 /nfs/dbraw/zinc/10/38/38/731103838.db2.gz MPJYMRQUNQWPGS-SECBINFHSA-N -1 1 337.409 -0.256 20 0 EBADMM Cc1c(C(=O)N[C@@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001034105536 731104070 /nfs/dbraw/zinc/10/40/70/731104070.db2.gz RWEOJNGFFQTKQE-GFCCVEGCSA-N -1 1 347.423 -0.065 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H](NC(=O)c3cnns3)C2)nc1=O ZINC001034120417 731104692 /nfs/dbraw/zinc/10/46/92/731104692.db2.gz GHCKDLTUCLYBSK-SECBINFHSA-N -1 1 337.409 -0.256 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001034200726 731110858 /nfs/dbraw/zinc/11/08/58/731110858.db2.gz AQWHLAHZSZIPBK-GFCCVEGCSA-N -1 1 345.407 -0.009 20 0 EBADMM Cc1ncn(C)c1C(=O)N[C@@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034255384 731115481 /nfs/dbraw/zinc/11/54/81/731115481.db2.gz DLCIVOBRLSAOFE-GFCCVEGCSA-N -1 1 347.423 -0.065 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H](NC(=O)c3ccc(=O)[nH]c3)C2)nc1=O ZINC001034517673 731137324 /nfs/dbraw/zinc/13/73/24/731137324.db2.gz CIYBFZDBPRWRPV-GFCCVEGCSA-N -1 1 346.391 -0.007 20 0 EBADMM C[C@H]1CC(=O)N[C@@H](C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)C1 ZINC001034938928 731165592 /nfs/dbraw/zinc/16/55/92/731165592.db2.gz ILAYGAOXXPXGNI-GMTAPVOTSA-N -1 1 336.396 -0.494 20 0 EBADMM O=C(c1cnn2ccncc12)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034958028 731168071 /nfs/dbraw/zinc/16/80/71/731168071.db2.gz VBTPCBBPOFFFRN-SNVBAGLBSA-N -1 1 342.363 -0.053 20 0 EBADMM Cc1nc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)c(=O)[nH]c1C ZINC001034970739 731170318 /nfs/dbraw/zinc/17/03/18/731170318.db2.gz XCRGMQGRCFFEAV-SNVBAGLBSA-N -1 1 347.379 -0.395 20 0 EBADMM Cc1cc(CNC[C@H]2CCCN2C(=O)c2n[nH]c(=O)[n-]c2=O)nn1C ZINC001034985316 731172792 /nfs/dbraw/zinc/17/27/92/731172792.db2.gz RBGWUNNTWTVSMF-LLVKDONJSA-N -1 1 347.379 -0.281 20 0 EBADMM CN1C(=O)CC[C@@H]1CC(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035009248 731176036 /nfs/dbraw/zinc/17/60/36/731176036.db2.gz DYZXDICRMRXBNP-GHMZBOCLSA-N -1 1 336.396 -0.398 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@@H]1CNCc1cnon1 ZINC001035078089 731183215 /nfs/dbraw/zinc/18/32/15/731183215.db2.gz DPQIZWFKHGRRTQ-GFCCVEGCSA-N -1 1 348.363 -0.910 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N1CCC2(CCN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035228637 731199396 /nfs/dbraw/zinc/19/93/96/731199396.db2.gz XIDXCCIEEQSOEZ-MNOVXSKESA-N -1 1 348.407 -0.554 20 0 EBADMM Cc1ccoc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035280959 731204969 /nfs/dbraw/zinc/20/49/69/731204969.db2.gz IEDJLNPQIQBJTF-NSHDSACASA-N -1 1 335.364 -0.359 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)c3cccs3)C2)nc1=O ZINC001035290761 731206124 /nfs/dbraw/zinc/20/61/24/731206124.db2.gz SREXVCQZUQFVKD-SNVBAGLBSA-N -1 1 337.405 -0.199 20 0 EBADMM C[C@@H](c1nnnn1C)N1CCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001035344011 731222034 /nfs/dbraw/zinc/22/20/34/731222034.db2.gz NXYRHWAMVPCVIZ-WDEREUQCSA-N -1 1 347.379 -0.498 20 0 EBADMM C[C@H](C(=O)N(C)C)N1CCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001035344333 731222298 /nfs/dbraw/zinc/22/22/98/731222298.db2.gz QCRSTIFRKNIKAZ-NEPJUHHUSA-N -1 1 336.392 -0.306 20 0 EBADMM Cc1nc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)co1 ZINC001035351102 731224566 /nfs/dbraw/zinc/22/45/66/731224566.db2.gz WCLCGXQLKNDXEI-SNVBAGLBSA-N -1 1 336.352 -0.964 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)C3CC(C)(C)C3)C2)nc1=O ZINC001035362811 731230470 /nfs/dbraw/zinc/23/04/70/731230470.db2.gz SFYGMXBHQFGYNJ-LBPRGKRZSA-N -1 1 337.424 -0.138 20 0 EBADMM CN1CCC[C@H]1C(=O)NC[C@H]1CCN1Cc1cc(=O)n2[n-]ccc2n1 ZINC001038117152 731284096 /nfs/dbraw/zinc/28/40/96/731284096.db2.gz OBUKDNOODRWXDZ-KGLIPLIRSA-N -1 1 344.419 -0.193 20 0 EBADMM O=C(CN1CC[C@@H]1CNC(=O)c1ncccc1[O-])N1CCOCC1 ZINC001038190638 731291961 /nfs/dbraw/zinc/29/19/61/731291961.db2.gz CAJMTOBJWXCWLM-GFCCVEGCSA-N -1 1 334.376 -0.550 20 0 EBADMM Cn1cc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)c(C2CC2)n1 ZINC001038297120 731303758 /nfs/dbraw/zinc/30/37/58/731303758.db2.gz LEPSLOOTPNKKSE-NSHDSACASA-N -1 1 345.407 -0.276 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cnn3c2CCC3)nc1=O ZINC001038315578 731305956 /nfs/dbraw/zinc/30/59/56/731305956.db2.gz KBKVUSJRDOPKNQ-SNVBAGLBSA-N -1 1 331.380 -0.745 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cn3c(n2)CCCC3)nc1=O ZINC001038316341 731306258 /nfs/dbraw/zinc/30/62/58/731306258.db2.gz YXQGFCGIXXHZCP-NSHDSACASA-N -1 1 345.407 -0.355 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cnn3ccncc23)nc1=O ZINC001038351915 731311211 /nfs/dbraw/zinc/31/12/11/731311211.db2.gz VAJCLPDCRZYCFJ-SNVBAGLBSA-N -1 1 342.363 -0.845 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@H]2CCn3ccnc3C2)nc1=O ZINC001038424875 731323238 /nfs/dbraw/zinc/32/32/38/731323238.db2.gz WDTANAGZXQITST-RYUDHWBXSA-N -1 1 345.407 -0.742 20 0 EBADMM CCOc1nc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)co1 ZINC001038457161 731328872 /nfs/dbraw/zinc/32/88/72/731328872.db2.gz LRWFJGRVAOFSDC-SECBINFHSA-N -1 1 336.352 -0.501 20 0 EBADMM COc1ccc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001038476686 731332801 /nfs/dbraw/zinc/33/28/01/731332801.db2.gz HCEHCSQVGFCOGR-LLVKDONJSA-N -1 1 332.364 -0.484 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cnc3nccn3c2)nc1=O ZINC001038513883 731338006 /nfs/dbraw/zinc/33/80/06/731338006.db2.gz MKXZWTVMYQCMOD-LLVKDONJSA-N -1 1 342.363 -0.845 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2ocnc2C2CC2)nc1=O ZINC001038707586 731367920 /nfs/dbraw/zinc/36/79/20/731367920.db2.gz YOBFXIGPYJFOIP-JTQLQIEISA-N -1 1 332.364 -0.022 20 0 EBADMM CNC(=O)CN1CC[C@@H]1CNC(=O)c1n[n-]c2ccccc2c1=O ZINC001038764291 731373516 /nfs/dbraw/zinc/37/35/16/731373516.db2.gz MZGJGCKEXDCYMD-SNVBAGLBSA-N -1 1 329.360 -0.115 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cnc(C3CC3)nc2)nc1=O ZINC001038773137 731374696 /nfs/dbraw/zinc/37/46/96/731374696.db2.gz HQJUVKIHUDCIRC-LBPRGKRZSA-N -1 1 343.391 -0.220 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cnc3cccnn32)nc1=O ZINC001038777181 731374979 /nfs/dbraw/zinc/37/49/79/731374979.db2.gz BYQKGBRDGFJUEX-SNVBAGLBSA-N -1 1 342.363 -0.845 20 0 EBADMM Cc1nc(CN2CC[C@@H]2CNC(=O)c2c[n-]n3c2nccc3=O)n[nH]1 ZINC001038776892 731375275 /nfs/dbraw/zinc/37/52/75/731375275.db2.gz BMMRJMGSWBLUEC-SNVBAGLBSA-N -1 1 342.363 -0.547 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2coc(C3CC3)n2)nc1=O ZINC001038780309 731375630 /nfs/dbraw/zinc/37/56/30/731375630.db2.gz ILDIHFLQEHFLPT-JTQLQIEISA-N -1 1 332.364 -0.022 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cnn(C(F)F)c2)nc1=O ZINC001038845405 731384376 /nfs/dbraw/zinc/38/43/76/731384376.db2.gz KQHGDQNADSELQL-SECBINFHSA-N -1 1 341.322 -0.296 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCN1Cc1ccn(C)n1 ZINC001038916999 731391662 /nfs/dbraw/zinc/39/16/62/731391662.db2.gz LHWJAOBDDZYQMF-JTQLQIEISA-N -1 1 348.363 -0.366 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCN1Cc1cnn(C)n1 ZINC001038917547 731391791 /nfs/dbraw/zinc/39/17/91/731391791.db2.gz RCICQMMDPVMOLF-VIFPVBQESA-N -1 1 349.351 -0.971 20 0 EBADMM Cc1nnc(CN2CC[C@H]2CNC(=O)c2cc(=O)n3[n-]cnc3n2)o1 ZINC001038922598 731393175 /nfs/dbraw/zinc/39/31/75/731393175.db2.gz PJUTXWPLORNGQI-VIFPVBQESA-N -1 1 344.335 -0.887 20 0 EBADMM O=C(NC[C@H]1CCN1Cc1ccns1)c1cc(=O)n2[n-]cnc2n1 ZINC001038923934 731393703 /nfs/dbraw/zinc/39/37/03/731393703.db2.gz WCJOIRGIICQRFC-SECBINFHSA-N -1 1 345.388 -0.122 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2ccc3n[nH]nc3c2)nc1=O ZINC001039011202 731403470 /nfs/dbraw/zinc/40/34/70/731403470.db2.gz ZFSYCULVQQEHNX-SNVBAGLBSA-N -1 1 342.363 -0.616 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(CCN(C(=O)C4CCOCC4)C3)C2)nc1=O ZINC001040998432 731956664 /nfs/dbraw/zinc/95/66/64/731956664.db2.gz LTZWWWKSJAIQPD-KRWDZBQOSA-N -1 1 349.435 -0.041 20 0 EBADMM O=C(NC[C@]1(O)CCN(C(=O)c2ncccc2[O-])C1)c1ccnnc1 ZINC001041015243 731961441 /nfs/dbraw/zinc/96/14/41/731961441.db2.gz FQWUYZBTXSLEQN-MRXNPFEDSA-N -1 1 343.343 -0.416 20 0 EBADMM COCC(=O)NCC1(O)CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001041165366 732016642 /nfs/dbraw/zinc/01/66/42/732016642.db2.gz JQTPIKPHUXPJOI-UHFFFAOYSA-N -1 1 336.348 -0.991 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@@]3(CC[N@H+](Cc4nc(=O)n(C)[nH]4)C3)C2)c1 ZINC001041209717 732032291 /nfs/dbraw/zinc/03/22/91/732032291.db2.gz DASQAAMLBGFLIB-MRXNPFEDSA-N -1 1 345.407 -0.420 20 0 EBADMM CCO[C@@H](CC)C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001041822038 732232863 /nfs/dbraw/zinc/23/28/63/732232863.db2.gz CAPBBZOMQNISQG-LBPRGKRZSA-N -1 1 337.376 -0.095 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)c4csnn4)C[C@@H]32)nc1=O ZINC001042168195 732341157 /nfs/dbraw/zinc/34/11/57/732341157.db2.gz SKYCJPNDEQELSP-ONGXEEELSA-N -1 1 349.420 -0.304 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)[C@H]4[C@@H]5COC[C@@H]54)C[C@H]32)nc1=O ZINC001042194013 732351268 /nfs/dbraw/zinc/35/12/68/732351268.db2.gz RLOSYGFOXXGVJK-VVSAWPALSA-N -1 1 347.419 -0.576 20 0 EBADMM O=C(Cc1ccon1)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001042209329 732358436 /nfs/dbraw/zinc/35/84/36/732358436.db2.gz CTKNUMMWFXUIIC-UHFFFAOYSA-N -1 1 332.316 -0.679 20 0 EBADMM Cc1c[nH]c(C(=O)N2CC[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)[C@@H]3C2)n1 ZINC001042267869 732382837 /nfs/dbraw/zinc/38/28/37/732382837.db2.gz XLTVIMXVJNGUBH-VXGBXAGGSA-N -1 1 345.407 -0.123 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)c4ccncn4)C[C@@H]32)nc1=O ZINC001042276269 732386810 /nfs/dbraw/zinc/38/68/10/732386810.db2.gz IIKSCWIVNMRDLW-AAEUAGOBSA-N -1 1 343.391 -0.365 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)c1ccc(F)cn1 ZINC001042700778 732539817 /nfs/dbraw/zinc/53/98/17/732539817.db2.gz MXHKZDGHPHQZFV-UHFFFAOYSA-N -1 1 346.318 -0.062 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)c1cncnc1 ZINC001042709823 732544436 /nfs/dbraw/zinc/54/44/36/732544436.db2.gz JKIQPBKVAJRYQZ-UHFFFAOYSA-N -1 1 329.316 -0.806 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ccnc(F)c2)C1)c1ncccc1[O-] ZINC001042712792 732545923 /nfs/dbraw/zinc/54/59/23/732545923.db2.gz IOXMKNGCHKXWCN-UHFFFAOYSA-N -1 1 346.318 -0.062 20 0 EBADMM Cc1ncncc1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042713253 732547161 /nfs/dbraw/zinc/54/71/61/732547161.db2.gz QGMXYHPRUZRCRK-UHFFFAOYSA-N -1 1 343.343 -0.497 20 0 EBADMM C[C@@](O)(CC(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1)C1CC1 ZINC001042713355 732547353 /nfs/dbraw/zinc/54/73/53/732547353.db2.gz UNGPSKGUCXZUBI-MRXNPFEDSA-N -1 1 349.387 -0.359 20 0 EBADMM Cc1c[nH]nc1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042716139 732547720 /nfs/dbraw/zinc/54/77/20/732547720.db2.gz HZENINTYUSEVPC-UHFFFAOYSA-N -1 1 331.332 -0.564 20 0 EBADMM O=C(CCn1cccc1)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042716730 732548937 /nfs/dbraw/zinc/54/89/37/732548937.db2.gz ZKSLTBOTZDQZGL-UHFFFAOYSA-N -1 1 344.371 -0.018 20 0 EBADMM Cn1ccc(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)n1 ZINC001042719736 732550646 /nfs/dbraw/zinc/55/06/46/732550646.db2.gz JEICPVKDRJCCEX-UHFFFAOYSA-N -1 1 331.332 -0.862 20 0 EBADMM COc1nc(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)co1 ZINC001042720295 732551183 /nfs/dbraw/zinc/55/11/83/732551183.db2.gz UICOBAJEJITLCM-UHFFFAOYSA-N -1 1 348.315 -0.599 20 0 EBADMM CN(C(=O)c1cc2n(n1)CCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042857017 732630433 /nfs/dbraw/zinc/63/04/33/732630433.db2.gz JFKRPRRLDKSVER-UHFFFAOYSA-N -1 1 331.380 -0.793 20 0 EBADMM CC(C)[C@@H]1OCC[C@@H]1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042881464 732641562 /nfs/dbraw/zinc/64/15/62/732641562.db2.gz JCPCOEZHLMLMJI-JSGCOSHPSA-N -1 1 337.424 -0.188 20 0 EBADMM CN(C(=O)c1snnc1C1CC1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042987406 732700099 /nfs/dbraw/zinc/70/00/99/732700099.db2.gz JBQNTGHQJBTCQZ-UHFFFAOYSA-N -1 1 349.420 -0.206 20 0 EBADMM CN(C(=O)[C@H]1CCCn2nccc21)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043131631 732779439 /nfs/dbraw/zinc/77/94/39/732779439.db2.gz SRTAPGWAUCDJQY-LBPRGKRZSA-N -1 1 345.407 -0.475 20 0 EBADMM COCc1ccc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)o1 ZINC001043279029 732852729 /nfs/dbraw/zinc/85/27/29/732852729.db2.gz YUUFMEYNTIWJHE-UHFFFAOYSA-N -1 1 335.364 -0.196 20 0 EBADMM CN(C(=O)c1cnc([O-])n(C)c1=O)C1CN(CC2CCOCC2)C1 ZINC001043510247 732977750 /nfs/dbraw/zinc/97/77/50/732977750.db2.gz MFCGFVFBSULSGD-UHFFFAOYSA-N -1 1 336.392 -0.331 20 0 EBADMM CO[C@H]1CCC[C@H]1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001043626137 733030853 /nfs/dbraw/zinc/03/08/53/733030853.db2.gz PNDIMOGXBPUGMT-YPMHNXCESA-N -1 1 349.387 -0.095 20 0 EBADMM CC(C)c1cc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC001043945871 733214277 /nfs/dbraw/zinc/21/42/77/733214277.db2.gz CNOORXATNDIZJA-UHFFFAOYSA-N -1 1 333.396 -0.089 20 0 EBADMM CN(C(=O)c1cnn(C(F)F)c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044093912 733283518 /nfs/dbraw/zinc/28/35/18/733283518.db2.gz IGFMMYKDWLLYSG-UHFFFAOYSA-N -1 1 341.322 -0.344 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C1CN(CCn2cccn2)C1 ZINC001044181665 733321564 /nfs/dbraw/zinc/32/15/64/733321564.db2.gz FKCNGGFAIDWOMT-UHFFFAOYSA-N -1 1 348.363 -0.451 20 0 EBADMM C[C@H]1CC[C@H](CN2CC(N(C)C(=O)c3cc(=O)n4[n-]cnc4n3)C2)O1 ZINC001044182003 733321758 /nfs/dbraw/zinc/32/17/58/733321758.db2.gz MTGKWKVHLWMPHK-CMPLNLGQSA-N -1 1 346.391 -0.259 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(CCOC2CCC2)C1 ZINC001044182378 733322076 /nfs/dbraw/zinc/32/20/76/733322076.db2.gz STAPZSBIVRIGRZ-UHFFFAOYSA-N -1 1 346.391 -0.257 20 0 EBADMM CN(C(=O)[C@H]1Cc2cccnc2C1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044230445 733331318 /nfs/dbraw/zinc/33/13/18/733331318.db2.gz BAGBZBKQCXYDKI-LBPRGKRZSA-N -1 1 342.403 -0.439 20 0 EBADMM CCC[C@H](OC)C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001044397180 733413577 /nfs/dbraw/zinc/41/35/77/733413577.db2.gz DVRCRGPFPOQZIC-LBPRGKRZSA-N -1 1 337.376 -0.095 20 0 EBADMM C[C@@H]1C[C@H](NCc2cn(C)nn2)CCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001044663301 733474814 /nfs/dbraw/zinc/47/48/14/733474814.db2.gz JSTGCSKKPMCZBX-RKDXNWHRSA-N -1 1 348.367 -0.806 20 0 EBADMM O=C(c1ccnc2ccnn21)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045065304 733570405 /nfs/dbraw/zinc/57/04/05/733570405.db2.gz QHKUFAYRLDOWQU-JTQLQIEISA-N -1 1 342.363 -0.053 20 0 EBADMM O=C(c1cccc2ncnn21)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045107550 733584240 /nfs/dbraw/zinc/58/42/40/733584240.db2.gz AIQHOFJNDCILGI-JTQLQIEISA-N -1 1 342.363 -0.053 20 0 EBADMM O=C(C[C@@H]1CCCC(=O)N1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045114964 733588212 /nfs/dbraw/zinc/58/82/12/733588212.db2.gz OJMFHRSSPWKEJB-QWRGUYRKSA-N -1 1 336.396 -0.350 20 0 EBADMM CO[C@H](C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1)c1cnn(C)c1 ZINC001045136337 733595900 /nfs/dbraw/zinc/59/59/00/733595900.db2.gz ANFHMPHEUKZTDD-AAEUAGOBSA-N -1 1 349.395 -0.288 20 0 EBADMM C[C@H]1OCC[C@H]1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001045293853 733654903 /nfs/dbraw/zinc/65/49/03/733654903.db2.gz SAUXJABRPPEKCR-GHMZBOCLSA-N -1 1 335.360 -0.485 20 0 EBADMM Cc1cc(C(=O)NC2(C)CCN(Cc3nc(=O)n(C)[n-]3)CC2)nn1C ZINC001045380407 733681061 /nfs/dbraw/zinc/68/10/61/733681061.db2.gz KICVWYSSBCVOEI-UHFFFAOYSA-N -1 1 347.423 -0.065 20 0 EBADMM Cc1cn(C)nc1C(=O)NC1(C)CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001045437090 733694824 /nfs/dbraw/zinc/69/48/24/733694824.db2.gz CNAFGDBUYANBLP-UHFFFAOYSA-N -1 1 347.423 -0.065 20 0 EBADMM Cn1[n-]c(CN2CCC(C)(NC(=O)c3ccncn3)CC2)nc1=O ZINC001045590416 733734602 /nfs/dbraw/zinc/73/46/02/733734602.db2.gz BOOHIKWBJDJISD-UHFFFAOYSA-N -1 1 331.380 -0.317 20 0 EBADMM Cc1cnc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001046116664 733862707 /nfs/dbraw/zinc/86/27/07/733862707.db2.gz BHVSUSYBAXDTRS-HNNXBMFYSA-N -1 1 331.380 -0.399 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)c1n[nH]cc1F ZINC001046205854 733878846 /nfs/dbraw/zinc/87/88/46/733878846.db2.gz BDXNVQNTPMBRRJ-UHFFFAOYSA-N -1 1 335.295 -0.734 20 0 EBADMM CCOc1cc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC001046412445 733929916 /nfs/dbraw/zinc/92/99/16/733929916.db2.gz KFZUYDKQLAYPGH-HNNXBMFYSA-N -1 1 349.395 -0.375 20 0 EBADMM COc1cc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)ccn1 ZINC001046438906 733935116 /nfs/dbraw/zinc/93/51/16/733935116.db2.gz HQOYOEDMUHOHRF-INIZCTEOSA-N -1 1 346.391 -0.094 20 0 EBADMM C[C@]1(NC(=O)[C@H]2CCCc3n[nH]nc32)CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001046574691 733968696 /nfs/dbraw/zinc/96/86/96/733968696.db2.gz KXDOVRBFUVAHGO-VFZGTOFNSA-N -1 1 346.395 -0.171 20 0 EBADMM Cc1cc(=O)[nH]cc1C(=O)N[C@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001046608928 733980307 /nfs/dbraw/zinc/98/03/07/733980307.db2.gz GJESGELCXDPUNQ-MRXNPFEDSA-N -1 1 346.391 -0.088 20 0 EBADMM CNC(=O)[C@H](C)N1CC[C@](C)(NC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001046684846 734003384 /nfs/dbraw/zinc/00/33/84/734003384.db2.gz SHPKTZWPAOHMFX-QFYYESIMSA-N -1 1 346.391 -0.649 20 0 EBADMM C[C@@]1(NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN(Cc2ccon2)C1 ZINC001046769690 734023427 /nfs/dbraw/zinc/02/34/27/734023427.db2.gz ZYKDLULCVCGCOH-OAHLLOKOSA-N -1 1 343.347 -0.200 20 0 EBADMM COc1c(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001046800773 734029315 /nfs/dbraw/zinc/02/93/15/734029315.db2.gz BDOMVANFBMWAMG-HNNXBMFYSA-N -1 1 349.395 -0.755 20 0 EBADMM Cn1oc(C(=O)N[C@H]2C[C@H]3C[C@@H](C2)N(Cc2n[nH]c(=O)[n-]2)C3)cc1=O ZINC001047057180 734087207 /nfs/dbraw/zinc/08/72/07/734087207.db2.gz GAPOZFVWFKOVIX-GUBZILKMSA-N -1 1 348.363 -0.415 20 0 EBADMM Cn1ncc(C(=O)N[C@@H]2C[C@H]3C[C@@H](C2)N(Cc2n[nH]c(=O)[n-]2)C3)c1N ZINC001047056807 734087266 /nfs/dbraw/zinc/08/72/66/734087266.db2.gz CFXDEDVDLRIYOQ-AEJSXWLSSA-N -1 1 346.395 -0.391 20 0 EBADMM Cc1nnc(CC(=O)N[C@@H]2C[C@H]3C[C@@H](C2)N(Cc2n[nH]c(=O)[n-]2)C3)o1 ZINC001047067471 734088594 /nfs/dbraw/zinc/08/85/94/734088594.db2.gz WMUDOVQQXQEKIO-AXFHLTTASA-N -1 1 347.379 -0.086 20 0 EBADMM O=C(N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2)[C@H]1[C@@H]2COC[C@@H]21 ZINC001047128366 734098128 /nfs/dbraw/zinc/09/81/28/734098128.db2.gz FKQBUBXZMJIAMO-OIMSZVIISA-N -1 1 333.392 -0.128 20 0 EBADMM Cc1nonc1CC(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047174735 734106740 /nfs/dbraw/zinc/10/67/40/734106740.db2.gz OJFCRPSUNJXUJW-DCAQKATOSA-N -1 1 347.379 -0.086 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(Cc2cncn2C)C[C@@H]1O ZINC001047358722 734151939 /nfs/dbraw/zinc/15/19/39/734151939.db2.gz HMTYPSYLJNQLAL-JSGCOSHPSA-N -1 1 331.376 -0.162 20 0 EBADMM Cc1nnc(CN2C[C@H](O)[C@@H](N(C)C(=O)c3ncccc3[O-])C2)o1 ZINC001047358506 734151989 /nfs/dbraw/zinc/15/19/89/734151989.db2.gz AMFBFZVSOXGIHY-JQWIXIFHSA-N -1 1 333.348 -0.204 20 0 EBADMM Cc1noc(CN2C[C@H](O)[C@@H](N(C)C(=O)c3ncccc3[O-])C2)n1 ZINC001047359564 734152538 /nfs/dbraw/zinc/15/25/38/734152538.db2.gz ZXYBIPZFBUKOPT-JQWIXIFHSA-N -1 1 333.348 -0.204 20 0 EBADMM Cc1ccoc1CC(=O)N(C)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047515002 734234343 /nfs/dbraw/zinc/23/43/43/734234343.db2.gz XSFOHVUGRIAGCT-RYUDHWBXSA-N -1 1 349.391 -0.744 20 0 EBADMM COCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccc3oc(=O)nc-3[n-]2)C1 ZINC001047597384 734274785 /nfs/dbraw/zinc/27/47/85/734274785.db2.gz MXRHLDQGCHLCTM-QWRGUYRKSA-N -1 1 336.348 -0.308 20 0 EBADMM C[C@H](NCc1nnn(C)n1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001047806176 734309555 /nfs/dbraw/zinc/30/95/55/734309555.db2.gz BEUOXGVWZJSHFX-QWRGUYRKSA-N -1 1 331.380 -0.049 20 0 EBADMM C[C@H](NCc1ncccn1)[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001047896521 734319094 /nfs/dbraw/zinc/31/90/94/734319094.db2.gz VTTKLVDPSQSHDR-UWVGGRQHSA-N -1 1 345.363 -0.287 20 0 EBADMM Cc1noc(CNC[C@@H]2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@@H]2C)n1 ZINC001048305452 734386292 /nfs/dbraw/zinc/38/62/92/734386292.db2.gz UJJAAISNBKIBSM-IONNQARKSA-N -1 1 349.351 -0.528 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4cccnn4)C[C@@H]3C2)nc1=O ZINC001049128059 734656410 /nfs/dbraw/zinc/65/64/10/734656410.db2.gz TTZGEOICDSGZEG-PHIMTYICSA-N -1 1 329.364 -0.898 20 0 EBADMM Cn1cnc(C(=O)N2CCC[C@@H]3[C@@H]2CCN3Cc2nc(=O)n(C)[n-]2)c1 ZINC001049420653 734726272 /nfs/dbraw/zinc/72/62/72/734726272.db2.gz WDYLKMCMFOFMJM-OLZOCXBDSA-N -1 1 345.407 -0.279 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@@H]2CCCN3C(=O)c2cncnc2)nc1=O ZINC001049437752 734730698 /nfs/dbraw/zinc/73/06/98/734730698.db2.gz SWVMWASSLKMESC-QWHCGFSZSA-N -1 1 343.391 -0.223 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@H]2CCCN3C(=O)[C@H]2CCOC2)nc1=O ZINC001049504843 734742752 /nfs/dbraw/zinc/74/27/52/734742752.db2.gz JEQUIOCXDMGMKN-XQQFMLRXSA-N -1 1 335.408 -0.290 20 0 EBADMM C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)[C@@H]1CCN(C(=O)C2CC2)C1 ZINC001049686747 734778785 /nfs/dbraw/zinc/77/87/85/734778785.db2.gz SGIUBYCGBTZZDI-DGCLKSJQSA-N -1 1 348.403 -0.310 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@H]2CCCN3C(=O)Cn2ccnc2)nc1=O ZINC001049932523 734809754 /nfs/dbraw/zinc/80/97/54/734809754.db2.gz NKTQJSXSXRQXEP-OLZOCXBDSA-N -1 1 345.407 -0.430 20 0 EBADMM O=C(Cc1ccn[nH]1)N1CCC[C@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001050004295 734823703 /nfs/dbraw/zinc/82/37/03/734823703.db2.gz JJUBZEQCECXICG-CMPLNLGQSA-N -1 1 331.380 -0.101 20 0 EBADMM C[C@H](NC(=O)C(N)=O)[C@@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001050754766 734954363 /nfs/dbraw/zinc/95/43/63/734954363.db2.gz AKVIODHCKMSQTG-WCBMZHEXSA-N -1 1 347.375 -0.488 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)c2cscn2)nc1=O ZINC001050847220 734974601 /nfs/dbraw/zinc/97/46/01/734974601.db2.gz JUBZSPUDQDIVMV-VIFPVBQESA-N -1 1 338.393 -0.804 20 0 EBADMM Cc1[nH]ccc1C(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001050863204 734982596 /nfs/dbraw/zinc/98/25/96/734982596.db2.gz DWJUYHKEDDKARE-LLVKDONJSA-N -1 1 334.380 -0.624 20 0 EBADMM O=C(CN1CCOC[C@H]1CNC(=O)c1ncccc1[O-])N1CCCC1 ZINC001050888314 734989731 /nfs/dbraw/zinc/98/97/31/734989731.db2.gz UFXWGQBEMXFPRM-CYBMUJFWSA-N -1 1 348.403 -0.160 20 0 EBADMM CCc1[nH]ccc1C(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001050908518 734998142 /nfs/dbraw/zinc/99/81/42/734998142.db2.gz JWTPOVKBQXMCID-LLVKDONJSA-N -1 1 348.407 -0.370 20 0 EBADMM Cc1nc(C)c(C(=O)NC[C@H]2COCCN2Cc2nc(=O)n(C)[n-]2)[nH]1 ZINC001051117124 735071409 /nfs/dbraw/zinc/07/14/09/735071409.db2.gz SRGYMYNGGAHEFG-NSHDSACASA-N -1 1 349.395 -0.921 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)[C@H]2CC23CCC3)nc1=O ZINC001051137555 735075151 /nfs/dbraw/zinc/07/51/51/735075151.db2.gz NQVKSYCUNMFPPZ-NWDGAFQWSA-N -1 1 335.408 -0.384 20 0 EBADMM CCCCN1CCOC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001051209760 735100073 /nfs/dbraw/zinc/10/00/73/735100073.db2.gz MQQJYWCDXLOILG-NSHDSACASA-N -1 1 334.380 -0.352 20 0 EBADMM CC(C)CN1CCOC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001051210721 735100587 /nfs/dbraw/zinc/10/05/87/735100587.db2.gz ZVYVRTZCVLFOHS-LLVKDONJSA-N -1 1 334.380 -0.496 20 0 EBADMM O=C(C1CCOCC1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051387679 735138144 /nfs/dbraw/zinc/13/81/44/735138144.db2.gz HYZLOMIIGBKZPZ-NSHDSACASA-N -1 1 325.369 -0.746 20 0 EBADMM Cc1ncsc1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051461738 735161213 /nfs/dbraw/zinc/16/12/13/735161213.db2.gz WYAAOGWBXPFVKW-SECBINFHSA-N -1 1 338.393 -0.094 20 0 EBADMM Cc1ncsc1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051461739 735161455 /nfs/dbraw/zinc/16/14/55/735161455.db2.gz WYAAOGWBXPFVKW-VIFPVBQESA-N -1 1 338.393 -0.094 20 0 EBADMM Cc1cnn(CC(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001051465564 735162664 /nfs/dbraw/zinc/16/26/64/735162664.db2.gz JTXPQDTWTQHEKG-NSHDSACASA-N -1 1 335.368 -0.968 20 0 EBADMM Cc1[nH]nc(C(=O)N2CCO[C@H](CNCc3cnns3)C2)c1[O-] ZINC001051550057 735227865 /nfs/dbraw/zinc/22/78/65/735227865.db2.gz MZYONJJWOXOPPC-SECBINFHSA-N -1 1 338.393 -0.094 20 0 EBADMM C[C@@H](C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1)n1cccc1 ZINC001051553388 735229701 /nfs/dbraw/zinc/22/97/01/735229701.db2.gz RFPBSAISPGOBSL-NWDGAFQWSA-N -1 1 334.380 -0.110 20 0 EBADMM O=C(CC1(O)CCC1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051608304 735263308 /nfs/dbraw/zinc/26/33/08/735263308.db2.gz BPJOOYCHDLBOJO-SNVBAGLBSA-N -1 1 325.369 -0.868 20 0 EBADMM Cc1cnccc1CC(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051616572 735268873 /nfs/dbraw/zinc/26/88/73/735268873.db2.gz JUEYPBYFPQDHRK-ZDUSSCGKSA-N -1 1 346.391 -0.227 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNC(=O)Cn1ncnn1 ZINC001052420676 735611087 /nfs/dbraw/zinc/61/10/87/735611087.db2.gz GVKRPDFTOUSLHO-QWRGUYRKSA-N -1 1 345.363 -0.702 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)Cc1nc[nH]n1 ZINC001052422620 735611556 /nfs/dbraw/zinc/61/15/56/735611556.db2.gz SESOEWZLBBRBCS-WDEREUQCSA-N -1 1 344.375 -0.028 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)Cc1nnc[nH]1 ZINC001052422620 735611559 /nfs/dbraw/zinc/61/15/59/735611559.db2.gz SESOEWZLBBRBCS-WDEREUQCSA-N -1 1 344.375 -0.028 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)Cn1cnnn1 ZINC001052423365 735611650 /nfs/dbraw/zinc/61/16/50/735611650.db2.gz WCIHGVDDXVFGNO-WDEREUQCSA-N -1 1 345.363 -0.702 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)[C@H]2CC=CCC2)CCO3)nc1=O ZINC001053151903 735760377 /nfs/dbraw/zinc/76/03/77/735760377.db2.gz LEAFTDJJWWJMAI-ZDUSSCGKSA-N -1 1 347.419 -0.122 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)[C@H]2CC2(C)C)CCO3)nc1=O ZINC001053222532 735781434 /nfs/dbraw/zinc/78/14/34/735781434.db2.gz NYLXHGBOEUZTCO-LLVKDONJSA-N -1 1 335.408 -0.432 20 0 EBADMM CN(C)C(=O)CN1CC2(C1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC001053232392 735786273 /nfs/dbraw/zinc/78/62/73/735786273.db2.gz LRWWLXNPACBDPY-UHFFFAOYSA-N -1 1 334.376 -0.598 20 0 EBADMM CC(C)N1CC2(C1)CN(C(=O)CCn1cc[n-]c(=O)c1=O)CCO2 ZINC001053474904 735889534 /nfs/dbraw/zinc/88/95/34/735889534.db2.gz WCUXFXIUCHWPHS-UHFFFAOYSA-N -1 1 336.392 -0.752 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CC[C@H](CNC(=O)c2cocn2)O3)nc1=O ZINC001053583695 735934055 /nfs/dbraw/zinc/93/40/55/735934055.db2.gz GFZVMKCUCRMDDV-SNVBAGLBSA-N -1 1 348.363 -0.740 20 0 EBADMM CN(C)C(=O)CN1CC2(C1)C[C@H](NC(=O)c1ncccc1[O-])CO2 ZINC001053784486 736013914 /nfs/dbraw/zinc/01/39/14/736013914.db2.gz PIYMUHKEKLFWNC-NSHDSACASA-N -1 1 334.376 -0.552 20 0 EBADMM Cn1ncnc1CN1CC2(C1)C[C@@H](NC(=O)c1ncccc1[O-])CO2 ZINC001053784291 736014076 /nfs/dbraw/zinc/01/40/76/736014076.db2.gz KCBBVRTTYAZBCK-LLVKDONJSA-N -1 1 344.375 -0.311 20 0 EBADMM Cc1nnc(CN2CC3(C2)C[C@@H](NC(=O)c2ncccc2[O-])CO3)[nH]1 ZINC001053784589 736014494 /nfs/dbraw/zinc/01/44/94/736014494.db2.gz RTTXSBLSVMUGNV-LLVKDONJSA-N -1 1 344.375 -0.013 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2COC3(CN(Cc4nc(=O)n(C)[n-]4)C3)C2)co1 ZINC001053788504 736017728 /nfs/dbraw/zinc/01/77/28/736017728.db2.gz SGGYVUFQEHTFKY-LBPRGKRZSA-N -1 1 347.375 -0.217 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)[C@H]2CC2(F)F)CO3)nc1=O ZINC001053797047 736026154 /nfs/dbraw/zinc/02/61/54/736026154.db2.gz SOBAAABIFOVMCT-RKDXNWHRSA-N -1 1 343.334 -0.777 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N[C@H]1COC2(CN(CC3CCC3)C2)C1 ZINC001053992981 736137371 /nfs/dbraw/zinc/13/73/71/736137371.db2.gz DODVHSPXISAUTQ-LLVKDONJSA-N -1 1 337.380 -0.551 20 0 EBADMM C[C@@H]1CN(C(=O)CC2OCCCO2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054609175 736217459 /nfs/dbraw/zinc/21/74/59/736217459.db2.gz XGAWDUNCQNPHAP-ZJUUUORDSA-N -1 1 325.369 -0.400 20 0 EBADMM C[C@@H]1CN(C(=O)c2cc3n(n2)CCC3)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054713059 736234602 /nfs/dbraw/zinc/23/46/02/736234602.db2.gz BDHMVSCACXVGIH-SKDRFNHKSA-N -1 1 331.380 -0.097 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnn3ncccc23)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054745661 736238715 /nfs/dbraw/zinc/23/87/15/736238715.db2.gz DMYBBTJODHLSLX-KOLCDFICSA-N -1 1 342.363 -0.197 20 0 EBADMM CCn1ccc(CN[C@@H]2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@@H]2C)n1 ZINC001054859003 736256910 /nfs/dbraw/zinc/25/69/10/736256910.db2.gz FXOBITZLORZIOB-GXSJLCMTSA-N -1 1 347.379 -0.251 20 0 EBADMM Cc1nccnc1CN[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@H]1C ZINC001054860897 736257297 /nfs/dbraw/zinc/25/72/97/736257297.db2.gz SHVFEQRMSJNGHX-KWQFWETISA-N -1 1 345.363 -0.368 20 0 EBADMM Cc1ncoc1CN[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1C ZINC001054860691 736257321 /nfs/dbraw/zinc/25/73/21/736257321.db2.gz RARPSBIESQNADO-APPZFPTMSA-N -1 1 334.336 -0.170 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncnc3[nH]ccc32)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054863477 736258406 /nfs/dbraw/zinc/25/84/06/736258406.db2.gz VPKBHJXMCSYTIB-PSASIEDQSA-N -1 1 342.363 -0.017 20 0 EBADMM C[C@H]1CN(C(=O)c2cc(C(N)=O)cn2C)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054867560 736259080 /nfs/dbraw/zinc/25/90/80/736259080.db2.gz YVYTXCAIVYJWSG-WPRPVWTQSA-N -1 1 347.379 -0.802 20 0 EBADMM C[C@H]1CN(C(=O)c2cc3n(n2)CCCO3)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054894453 736265073 /nfs/dbraw/zinc/26/50/73/736265073.db2.gz UAQQWQFQSZIFLY-GXSJLCMTSA-N -1 1 347.379 -0.260 20 0 EBADMM C[C@@H]1CN(C(=O)Cc2ccc(=O)[nH]c2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054918124 736267813 /nfs/dbraw/zinc/26/78/13/736267813.db2.gz TUYQTQLJVVGSKF-KOLCDFICSA-N -1 1 332.364 -0.210 20 0 EBADMM C[C@H]1CN(C(=O)c2cnn3cccnc23)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054961765 736273500 /nfs/dbraw/zinc/27/35/00/736273500.db2.gz KFBYPRVCLGTJBP-ONGXEEELSA-N -1 1 342.363 -0.197 20 0 EBADMM CCC(=O)NCCN1CCC(NC(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001055473555 736349010 /nfs/dbraw/zinc/34/90/10/736349010.db2.gz VPCXCSHAXWLACC-UHFFFAOYSA-N -1 1 338.368 -0.997 20 0 EBADMM O=C(N[C@]1(CO)CCCN(C(=O)c2ncc[nH]2)C1)c1ncccc1[O-] ZINC001055900725 736541737 /nfs/dbraw/zinc/54/17/37/736541737.db2.gz SCZMJMZGGYRUTE-MRXNPFEDSA-N -1 1 345.359 -0.093 20 0 EBADMM O=C(N[C@@]1(CO)CCCN(C(=O)c2ncccc2[O-])C1)c1ncc[nH]1 ZINC001055928485 736555093 /nfs/dbraw/zinc/55/50/93/736555093.db2.gz AINOEXVMHBKBFW-INIZCTEOSA-N -1 1 345.359 -0.093 20 0 EBADMM O=C(CCc1nnc[nH]1)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057298701 737149950 /nfs/dbraw/zinc/14/99/50/737149950.db2.gz XCPXQNJDFNNRSJ-UHFFFAOYSA-N -1 1 348.363 -0.911 20 0 EBADMM O=C(CCc1nc[nH]n1)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057298701 737149959 /nfs/dbraw/zinc/14/99/59/737149959.db2.gz XCPXQNJDFNNRSJ-UHFFFAOYSA-N -1 1 348.363 -0.911 20 0 EBADMM CCc1n[nH]cc1C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057408225 737227633 /nfs/dbraw/zinc/22/76/33/737227633.db2.gz DBKMNEXWSJXAMI-UHFFFAOYSA-N -1 1 347.375 -0.063 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccc1[O-])C1CCOCC1 ZINC001057410201 737229908 /nfs/dbraw/zinc/22/99/08/737229908.db2.gz HVFBAZWSKNZANY-UHFFFAOYSA-N -1 1 337.376 -0.236 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410585 737230741 /nfs/dbraw/zinc/23/07/41/737230741.db2.gz SNFFTYMKKQGCRQ-UHFFFAOYSA-N -1 1 347.375 -0.008 20 0 EBADMM COCCCC(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410331 737230760 /nfs/dbraw/zinc/23/07/60/737230760.db2.gz MLMWUOSSHADDBU-UHFFFAOYSA-N -1 1 325.365 -0.236 20 0 EBADMM Cc1ocnc1C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410569 737230824 /nfs/dbraw/zinc/23/08/24/737230824.db2.gz SAJNHWLNFFLMPN-UHFFFAOYSA-N -1 1 334.332 -0.052 20 0 EBADMM C[C@]1(C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])CCOC1 ZINC001057410805 737231193 /nfs/dbraw/zinc/23/11/93/737231193.db2.gz XFQYQGYXZFQIKE-INIZCTEOSA-N -1 1 337.376 -0.236 20 0 EBADMM CCn1ccc(C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])n1 ZINC001057413182 737233361 /nfs/dbraw/zinc/23/33/61/737233361.db2.gz KYPYSPJTGNKKNC-UHFFFAOYSA-N -1 1 347.375 -0.132 20 0 EBADMM O=C(COCC(F)F)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057416025 737235773 /nfs/dbraw/zinc/23/57/73/737235773.db2.gz BIAQBNORBHTMQV-UHFFFAOYSA-N -1 1 347.318 -0.380 20 0 EBADMM O=C(CCn1ccnc1)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057416875 737236368 /nfs/dbraw/zinc/23/63/68/737236368.db2.gz KXEALUNNUGZZIE-UHFFFAOYSA-N -1 1 347.375 -0.375 20 0 EBADMM Cc1cn(C)nc1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057545978 737325211 /nfs/dbraw/zinc/32/52/11/737325211.db2.gz YFSHZUDLSIPCRL-UHFFFAOYSA-N -1 1 347.375 -0.306 20 0 EBADMM Cc1nccn1CC(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057643506 737384556 /nfs/dbraw/zinc/38/45/56/737384556.db2.gz AMYLRYOIBCTXRL-UHFFFAOYSA-N -1 1 347.375 -0.457 20 0 EBADMM C[C@H]1C[C@H](C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])CO1 ZINC001057948910 737551984 /nfs/dbraw/zinc/55/19/84/737551984.db2.gz ORNOATUDHQCWMK-RYUDHWBXSA-N -1 1 337.376 -0.237 20 0 EBADMM O=C(CCn1ccnc1)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001058199102 737709363 /nfs/dbraw/zinc/70/93/63/737709363.db2.gz AGZZSMFJCJZMOK-UHFFFAOYSA-N -1 1 347.375 -0.375 20 0 EBADMM Cc1n[nH]nc1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001058274899 737751080 /nfs/dbraw/zinc/75/10/80/737751080.db2.gz JFFWCMKSWYNLDP-UHFFFAOYSA-N -1 1 334.336 -0.922 20 0 EBADMM Cc1nn[nH]c1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001058274899 737751086 /nfs/dbraw/zinc/75/10/86/737751086.db2.gz JFFWCMKSWYNLDP-UHFFFAOYSA-N -1 1 334.336 -0.922 20 0 EBADMM C[C@H]1CO[C@H](C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])C1 ZINC001058332030 737782314 /nfs/dbraw/zinc/78/23/14/737782314.db2.gz VBORBNJYSSKFEH-YPMHNXCESA-N -1 1 337.376 -0.237 20 0 EBADMM Cc1ccnc(N2CC[C@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)n1 ZINC001058406110 737816403 /nfs/dbraw/zinc/81/64/03/737816403.db2.gz KVMTVSXXIDXSJT-JTQLQIEISA-N -1 1 340.347 -0.475 20 0 EBADMM C[C@@H]1CCO[C@@H]1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001058866393 738043010 /nfs/dbraw/zinc/04/30/10/738043010.db2.gz LMXPJCFHHZVZHJ-RISCZKNCSA-N -1 1 337.376 -0.237 20 0 EBADMM O=C(NCC[C@@H]1CCN(C(=O)c2ccn[nH]2)C1)c1n[nH]c(=O)[n-]c1=O ZINC001059209292 738130284 /nfs/dbraw/zinc/13/02/84/738130284.db2.gz JVJICWPOYACBHZ-MRVPVSSYSA-N -1 1 347.335 -0.712 20 0 EBADMM Cc1ccc(N[C@H]2C[C@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)nn1 ZINC001059264715 738141471 /nfs/dbraw/zinc/14/14/71/738141471.db2.gz AQOYFVQEPUUXLO-MGCOHNPYSA-N -1 1 340.347 -0.111 20 0 EBADMM O=C(NCC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCNC1=O ZINC001059519095 738188077 /nfs/dbraw/zinc/18/80/77/738188077.db2.gz ZOFODGQZTKSTLA-NEPJUHHUSA-N -1 1 346.387 -0.108 20 0 EBADMM C[C@H](NC(N)=O)C(=O)N1CC[C@@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059534720 738192280 /nfs/dbraw/zinc/19/22/80/738192280.db2.gz OGBMTFXFRNIQED-WDEREUQCSA-N -1 1 349.391 -0.188 20 0 EBADMM O=C(NCC1(NC(=O)[C@H]2COC(=O)N2)CCC1)c1ncccc1[O-] ZINC001062839036 739002643 /nfs/dbraw/zinc/00/26/43/739002643.db2.gz FJLQHPPNQOKGQZ-SECBINFHSA-N -1 1 334.332 -0.336 20 0 EBADMM O=C(NCC1(NC(=O)[C@H]2CCNC2=O)CCC1)c1ncccc1[O-] ZINC001062840405 739003325 /nfs/dbraw/zinc/00/33/25/739003325.db2.gz ZWRCXHHYZUCGNU-JTQLQIEISA-N -1 1 332.360 -0.308 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1(NC(=O)C(F)F)CCC1 ZINC001063711960 739184473 /nfs/dbraw/zinc/18/44/73/739184473.db2.gz TZZZRVLPRZQVGR-UHFFFAOYSA-N -1 1 346.290 -0.070 20 0 EBADMM O=C(NC1(CNC(=O)[C@@H]2CNC(=O)N2)CCC1)c1ncccc1[O-] ZINC001064272934 739266098 /nfs/dbraw/zinc/26/60/98/739266098.db2.gz RSSPTHZOTUHUNS-VIFPVBQESA-N -1 1 333.348 -0.763 20 0 EBADMM O=C(Cc1ncc[nH]1)NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001065072812 739510535 /nfs/dbraw/zinc/51/05/35/739510535.db2.gz HCGXTJTVIXWGIC-NSHDSACASA-N -1 1 345.359 -0.290 20 0 EBADMM CCCC(=O)NC[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)CCO1 ZINC001065370139 739678953 /nfs/dbraw/zinc/67/89/53/739678953.db2.gz NJIDWZGCDDEZEZ-SNVBAGLBSA-N -1 1 348.363 -0.825 20 0 EBADMM CN(CCCN(C)C(=O)[C@H]1CNC(=O)N1)C(=O)c1ncccc1[O-] ZINC001067254527 740113599 /nfs/dbraw/zinc/11/35/99/740113599.db2.gz BMDZDOQUPQYLTI-SNVBAGLBSA-N -1 1 335.364 -0.611 20 0 EBADMM CCNCc1nnc2n1CC[C@@H](NC(=O)c1n[nH]c(=O)[n-]c1=O)CC2 ZINC001069844823 740588193 /nfs/dbraw/zinc/58/81/93/740588193.db2.gz NTODHUOHVKJOGW-QMMMGPOBSA-N -1 1 348.367 -0.881 20 0 EBADMM C[C@@H]1CN(C(=O)C2CC2)C[C@@]2(CCN(Cc3nc(=O)n(C)[n-]3)C2)O1 ZINC001071116355 741062481 /nfs/dbraw/zinc/06/24/81/741062481.db2.gz NATOGFZOSUKBFI-BZNIZROVSA-N -1 1 335.408 -0.290 20 0 EBADMM C[C@@H]1CN(C(=O)C(F)F)C[C@@]2(CCN(Cc3nc(=O)n(C)[n-]3)C2)O1 ZINC001071117247 741062687 /nfs/dbraw/zinc/06/26/87/741062687.db2.gz SHMAYUREKGIEBZ-OTYXRUKQSA-N -1 1 345.350 -0.435 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)C2=COCCO2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071317249 741120517 /nfs/dbraw/zinc/12/05/17/741120517.db2.gz QEJMYQXYVUUKKX-QWRGUYRKSA-N -1 1 337.380 -0.534 20 0 EBADMM Cc1c(C(=O)N[C@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001071352251 741126536 /nfs/dbraw/zinc/12/65/36/741126536.db2.gz OVCZUDHPWBNHKB-JQWIXIFHSA-N -1 1 347.423 -0.067 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC001071362998 741127154 /nfs/dbraw/zinc/12/71/54/741127154.db2.gz FMPZMLUXRBOJQB-MNOVXSKESA-N -1 1 333.396 -0.077 20 0 EBADMM Cc1ncncc1C(=O)N[C@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071364235 741127798 /nfs/dbraw/zinc/12/77/98/741127798.db2.gz XYDQVMZSXJYJNZ-PWSUYJOCSA-N -1 1 345.407 -0.010 20 0 EBADMM Cc1ncncc1C(=O)N[C@@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071364236 741127937 /nfs/dbraw/zinc/12/79/37/741127937.db2.gz XYDQVMZSXJYJNZ-ZYHUDNBSSA-N -1 1 345.407 -0.010 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)Cc2cc[nH]n2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071399074 741132943 /nfs/dbraw/zinc/13/29/43/741132943.db2.gz NRKNJYKWWODKPW-PWSUYJOCSA-N -1 1 333.396 -0.457 20 0 EBADMM Cc1c(C(=O)N[C@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)nnn1C ZINC001071404926 741133158 /nfs/dbraw/zinc/13/31/58/741133158.db2.gz WNMHJLFDRKVURF-ONGXEEELSA-N -1 1 348.411 -0.672 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2cccc(=O)[nH]2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071408560 741133349 /nfs/dbraw/zinc/13/33/49/741133349.db2.gz JGIWOZIVJFGGHC-GHMZBOCLSA-N -1 1 346.391 -0.008 20 0 EBADMM Cc1nc(CC(=O)N[C@@H]2CC[C@@H](C)N(Cc3n[nH]c(=O)[n-]3)C2)n[nH]1 ZINC001071499260 741147334 /nfs/dbraw/zinc/14/73/34/741147334.db2.gz ZABMKDWSLGVDLJ-PSASIEDQSA-N -1 1 334.384 -0.351 20 0 EBADMM C[C@@H]1CN(C(=O)CNC(=O)C2CC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001071688662 741194094 /nfs/dbraw/zinc/19/40/94/741194094.db2.gz YSVBLKYLMJFPOH-ZYHUDNBSSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)Cc2cnoc2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071759237 741212896 /nfs/dbraw/zinc/21/28/96/741212896.db2.gz FWWWBPQAWXEINK-ZYHUDNBSSA-N -1 1 334.380 -0.192 20 0 EBADMM Cc1ccnn1CC(=O)N[C@@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071866169 741235818 /nfs/dbraw/zinc/23/58/18/741235818.db2.gz SMUTVYBZMGWTMB-WCQYABFASA-N -1 1 347.423 -0.217 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)CN1CCCO ZINC001071908209 741242717 /nfs/dbraw/zinc/24/27/17/741242717.db2.gz OYSLEMDZZKDXGL-RYUDHWBXSA-N -1 1 348.407 -0.577 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C(C)(C)C(N)=O ZINC001071952230 741263265 /nfs/dbraw/zinc/26/32/65/741263265.db2.gz JMICIOSENDBAFO-ZJUUUORDSA-N -1 1 334.376 -0.125 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C1(NC(N)=O)CC1 ZINC001071952706 741263965 /nfs/dbraw/zinc/26/39/65/741263965.db2.gz PZHSWZBNVZCECS-ZJUUUORDSA-N -1 1 347.375 -0.435 20 0 EBADMM CNC(=O)NCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001072022240 741285961 /nfs/dbraw/zinc/28/59/61/741285961.db2.gz XSELPUATKYTQAY-MNOVXSKESA-N -1 1 349.391 -0.317 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2ccc(=O)[nH]c2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001072046487 741289025 /nfs/dbraw/zinc/28/90/25/741289025.db2.gz XQGBRTAUDPXJKL-ZYHUDNBSSA-N -1 1 346.391 -0.008 20 0 EBADMM CC(C)=CC(=O)N[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H]1C ZINC001072393740 741388635 /nfs/dbraw/zinc/38/86/35/741388635.db2.gz RTFYANNWUAMLHT-STQMWFEESA-N -1 1 348.403 -0.144 20 0 EBADMM Cc1c(C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)cnn1C ZINC001072473840 741410139 /nfs/dbraw/zinc/41/01/39/741410139.db2.gz UTOPOVUKDFMCRV-UHFFFAOYSA-N -1 1 345.407 -0.502 20 0 EBADMM CCO[C@H]1C[C@@H]1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072493504 741416296 /nfs/dbraw/zinc/41/62/96/741416296.db2.gz XMXGFZHWKLMZRE-RYUDHWBXSA-N -1 1 335.408 -0.432 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC3(C2)CCN([C@@H]2CCN(C)C2=O)C3)c1[O-] ZINC001072628276 741446575 /nfs/dbraw/zinc/44/65/75/741446575.db2.gz NMMIGCNNORXRMM-LLVKDONJSA-N -1 1 333.392 -0.198 20 0 EBADMM CCC(=O)N1CCC(C)(NC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001072800599 741488545 /nfs/dbraw/zinc/48/85/45/741488545.db2.gz CIVIQDZFENQDJY-UHFFFAOYSA-N -1 1 336.392 -0.166 20 0 EBADMM Cc1ncn(C)c1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072831750 741497479 /nfs/dbraw/zinc/49/74/79/741497479.db2.gz UOFKQNVJERODMV-UHFFFAOYSA-N -1 1 345.407 -0.502 20 0 EBADMM CO[C@@H]1CCC[C@H]1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072872541 741509342 /nfs/dbraw/zinc/50/93/42/741509342.db2.gz ZYVAGTKPWRCJHA-CHWSQXEVSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)Cn4ccnc4)C3)C2)nc1=O ZINC001073483939 741621051 /nfs/dbraw/zinc/62/10/51/741621051.db2.gz XVCRRHJAMWRXNM-UHFFFAOYSA-N -1 1 331.380 -0.961 20 0 EBADMM CC[C@H](C(N)=O)N1CCCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001073583656 741668572 /nfs/dbraw/zinc/66/85/72/741668572.db2.gz BHUQKWYQLSNFFB-VXGBXAGGSA-N -1 1 336.392 -0.128 20 0 EBADMM Cc1c[nH]nc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001073597917 741678672 /nfs/dbraw/zinc/67/86/72/741678672.db2.gz LKUFCZRHODZGSC-LLVKDONJSA-N -1 1 349.395 -0.839 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001073778695 741741335 /nfs/dbraw/zinc/74/13/35/741741335.db2.gz HCBGQHBRWOPYJD-FRRDWIJNSA-N -1 1 337.424 -0.138 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCCN1C(=O)c1nc[nH]n1 ZINC001074082445 741827866 /nfs/dbraw/zinc/82/78/66/741827866.db2.gz XMTFJRURVKZRIF-SNVBAGLBSA-N -1 1 334.384 -0.647 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H]3CCN(C(=O)c4ccc[nH]4)C[C@H]32)nc1=O ZINC001074170241 741848070 /nfs/dbraw/zinc/84/80/70/741848070.db2.gz KQLXTLIHAWLTJZ-CHWSQXEVSA-N -1 1 346.391 -0.448 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H]3CCN(C(=O)c4ccc[nH]4)C[C@@H]32)nc1=O ZINC001074170243 741848194 /nfs/dbraw/zinc/84/81/94/741848194.db2.gz KQLXTLIHAWLTJZ-QWHCGFSZSA-N -1 1 346.391 -0.448 20 0 EBADMM C/C=C(\C)C(=O)N1CC[C@@H]2OCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1 ZINC001074209391 741861099 /nfs/dbraw/zinc/86/10/99/741861099.db2.gz XKQISGPQGGGWHH-BELPRIPISA-N -1 1 335.408 -0.124 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H]3CCN(C(=O)C4(C)CC4)C[C@H]32)nc1=O ZINC001074253182 741874222 /nfs/dbraw/zinc/87/42/22/741874222.db2.gz TVMPYFVSUFLEHT-NEPJUHHUSA-N -1 1 335.408 -0.290 20 0 EBADMM CCN1CCO[C@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@H]21 ZINC001074356035 741910751 /nfs/dbraw/zinc/91/07/51/741910751.db2.gz BVGMBGATLADANF-OLZOCXBDSA-N -1 1 336.392 -0.752 20 0 EBADMM O=C(NCC1(O)CCN(C(=O)c2cc[nH]n2)CC1)c1ncccc1[O-] ZINC001074488787 741959221 /nfs/dbraw/zinc/95/92/21/741959221.db2.gz FMVZSNAZRBTERH-UHFFFAOYSA-N -1 1 345.359 -0.093 20 0 EBADMM O=C(NCC1(O)CCN(C(=O)c2ccn[nH]2)CC1)c1ncccc1[O-] ZINC001074488787 741959223 /nfs/dbraw/zinc/95/92/23/741959223.db2.gz FMVZSNAZRBTERH-UHFFFAOYSA-N -1 1 345.359 -0.093 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@H]1CCN(C)C(=O)C1 ZINC001074716917 742085579 /nfs/dbraw/zinc/08/55/79/742085579.db2.gz STHDIAATVSAEMI-DCAQKATOSA-N -1 1 336.396 -0.542 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@@H]1CCCC(=O)N1C ZINC001075076118 742173892 /nfs/dbraw/zinc/17/38/92/742173892.db2.gz SLKLFMWPVZCOBZ-DCAQKATOSA-N -1 1 336.396 -0.400 20 0 EBADMM C[C@@H](CCNC(=O)CC1CC1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001075309544 742210571 /nfs/dbraw/zinc/21/05/71/742210571.db2.gz WXSRRMUIOFKIHK-VIFPVBQESA-N -1 1 332.364 -0.158 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)C[C@H]4CCCO4)[C@@H]3C2)nc1=O ZINC001075576260 742256949 /nfs/dbraw/zinc/25/69/49/742256949.db2.gz WCBWHTXVFGVGOK-YNEHKIRRSA-N -1 1 335.408 -0.290 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)[C@@H]4CCCOC4)[C@@H]3C2)nc1=O ZINC001075611219 742260667 /nfs/dbraw/zinc/26/06/67/742260667.db2.gz OIXCKRRRNZKGFO-YNEHKIRRSA-N -1 1 335.408 -0.432 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)c4cccc(=O)[nH]4)[C@@H]3C2)nc1=O ZINC001075650754 742267650 /nfs/dbraw/zinc/26/76/50/742267650.db2.gz ZZTXIPAWGAFTKE-CMPLNLGQSA-N -1 1 344.375 -0.445 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)CC[C@@H]4CCOC4)[C@@H]3C2)nc1=O ZINC001075670086 742269412 /nfs/dbraw/zinc/26/94/12/742269412.db2.gz PFIFGNLMOGJNHW-HZSPNIEDSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1nnc(CN2C[C@@H]3CCN(C(=O)c4ncccc4[O-])[C@@H]3C2)n1 ZINC001075722468 742281443 /nfs/dbraw/zinc/28/14/43/742281443.db2.gz VTYPABKJLXKYJW-WDEREUQCSA-N -1 1 329.364 -0.343 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)[C@@H]4CCCOCC4)[C@@H]3C2)nc1=O ZINC001075741106 742286004 /nfs/dbraw/zinc/28/60/04/742286004.db2.gz REIDZNKDDIEPOP-HZSPNIEDSA-N -1 1 349.435 -0.042 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H](C)CCNC(=O)CCc2nc[nH]n2)c1[O-] ZINC001076083040 742372922 /nfs/dbraw/zinc/37/29/22/742372922.db2.gz LVIIUNANRSYHDR-QMMMGPOBSA-N -1 1 335.368 -0.201 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CCN(C)C1=O ZINC001076246039 742419188 /nfs/dbraw/zinc/41/91/88/742419188.db2.gz BJDCTBCDHPPOCV-WDEREUQCSA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)Cn1ncn(C)c1=O ZINC001076247419 742419601 /nfs/dbraw/zinc/41/96/01/742419601.db2.gz MPMAKGQSYIWVLR-JTQLQIEISA-N -1 1 348.363 -0.993 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)Cc4ccco4)[C@@H]3C2)nc1=O ZINC001076384157 742457159 /nfs/dbraw/zinc/45/71/59/742457159.db2.gz PGFYCQYPNDSSKU-WCQYABFASA-N -1 1 331.376 -0.023 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)c4ncccc4F)[C@@H]3C2)nc1=O ZINC001076399797 742459484 /nfs/dbraw/zinc/45/94/84/742459484.db2.gz GRMSHGGWKHMQQG-CMPLNLGQSA-N -1 1 346.366 -0.011 20 0 EBADMM C[C@@H](CCNC(=O)c1c[n-]n2c1nccc2=O)NC(=O)c1cnn[nH]1 ZINC001076570852 742499791 /nfs/dbraw/zinc/49/97/91/742499791.db2.gz JGCYQCSVYWMUHE-QMMMGPOBSA-N -1 1 344.335 -0.921 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)C=C3CCCCC3)C2)nc1=O ZINC001076788617 742573994 /nfs/dbraw/zinc/57/39/94/742573994.db2.gz SNRXKHBUIDIPPS-CHWSQXEVSA-N -1 1 335.408 -0.340 20 0 EBADMM Cc1cscc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001076856674 742604740 /nfs/dbraw/zinc/60/47/40/742604740.db2.gz CBZPCJGRSOIIGA-GHMZBOCLSA-N -1 1 337.405 -0.547 20 0 EBADMM CN1CCC[C@H](N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)C1=O ZINC001076916981 742641740 /nfs/dbraw/zinc/64/17/40/742641740.db2.gz LUCVBCMSCNBDJE-NTZNESFSSA-N -1 1 334.376 -0.817 20 0 EBADMM CC(C)N1CC[C@H](N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)C1=O ZINC001076917025 742642084 /nfs/dbraw/zinc/64/20/84/742642084.db2.gz NEDVRKYBTOBJBT-MBNYWOFBSA-N -1 1 348.403 -0.429 20 0 EBADMM CCc1cnccc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001077269211 742825928 /nfs/dbraw/zinc/82/59/28/742825928.db2.gz RNXMUJWNBPJXSO-CHWSQXEVSA-N -1 1 346.391 -0.959 20 0 EBADMM Cc1cccc(CN2C[C@@H](O)[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)c1 ZINC001077309981 742874946 /nfs/dbraw/zinc/87/49/46/742874946.db2.gz QTEHIHRWIFZJJT-VXGBXAGGSA-N -1 1 345.359 -0.434 20 0 EBADMM CNC(=O)C1(C(=O)NCC[C@H](C)NC(=O)c2ncccc2[O-])CC1 ZINC001077338784 742901432 /nfs/dbraw/zinc/90/14/32/742901432.db2.gz FDMVPRAQVQMKRA-JTQLQIEISA-N -1 1 334.376 -0.062 20 0 EBADMM C[C@@H](CCNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)CC1CC1 ZINC001077402368 742956098 /nfs/dbraw/zinc/95/60/98/742956098.db2.gz MDQPDDXQCLFIAP-NSHDSACASA-N -1 1 336.392 -0.262 20 0 EBADMM COCC(=O)N[C@@H](C)CCNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001077487259 743011998 /nfs/dbraw/zinc/01/19/98/743011998.db2.gz LWDSLBQJIROMLG-ZETCQYMHSA-N -1 1 328.325 -0.833 20 0 EBADMM CSCC(=O)N[C@@H](C)CCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001077487474 743012353 /nfs/dbraw/zinc/01/23/53/743012353.db2.gz VHIRSPUJQMZJFT-QMMMGPOBSA-N -1 1 338.393 -0.595 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC[C@H](C)NC(=O)[C@@H](C)OC ZINC001077487160 743012460 /nfs/dbraw/zinc/01/24/60/743012460.db2.gz HOPDXOOJUVFHAF-JGVFFNPUSA-N -1 1 342.352 -0.444 20 0 EBADMM Cc1cc(F)ccc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001078042440 743435733 /nfs/dbraw/zinc/43/57/33/743435733.db2.gz DQGHZINKKMCTMJ-CHWSQXEVSA-N -1 1 349.366 -0.469 20 0 EBADMM COc1cccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c1 ZINC001078068819 743447021 /nfs/dbraw/zinc/44/70/21/743447021.db2.gz IIXOWCYGMUHLQB-CHWSQXEVSA-N -1 1 347.375 -0.908 20 0 EBADMM C[C@@H](CCNC(=O)C(C)(C)C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001078314551 743582849 /nfs/dbraw/zinc/58/28/49/743582849.db2.gz GWCNMJLHHSUTKP-NSHDSACASA-N -1 1 338.408 -0.016 20 0 EBADMM O=C(c1cc[n+]([O-])cc1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078325446 743584355 /nfs/dbraw/zinc/58/43/55/743584355.db2.gz FTLOLLSDDQMCNM-LBPRGKRZSA-N -1 1 348.363 -0.835 20 0 EBADMM CCc1cc(C(=O)N2CCCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC001078344236 743593144 /nfs/dbraw/zinc/59/31/44/743593144.db2.gz KRYBSVMYCTVMBU-NSHDSACASA-N -1 1 349.395 -0.183 20 0 EBADMM Cc1cc(C(=O)N2CCCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)nn1C ZINC001078351270 743599173 /nfs/dbraw/zinc/59/91/73/743599173.db2.gz MYUCHEKMWHRAMZ-LLVKDONJSA-N -1 1 349.395 -0.427 20 0 EBADMM O=C(CC1(O)CCC1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078397949 743630220 /nfs/dbraw/zinc/63/02/20/743630220.db2.gz IJGMVYZSJUZYCE-NSHDSACASA-N -1 1 339.396 -0.478 20 0 EBADMM Cn1cncc1C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078426139 743643408 /nfs/dbraw/zinc/64/34/08/743643408.db2.gz NCJKFXKSVZDFLC-JTQLQIEISA-N -1 1 335.368 -0.735 20 0 EBADMM C[C@]1(C(=O)N2CCCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)CCOC1 ZINC001078494162 743676628 /nfs/dbraw/zinc/67/66/28/743676628.db2.gz VIRPPKKBYZNVFE-ABAIWWIYSA-N -1 1 339.396 -0.356 20 0 EBADMM O=C(Cc1cc[nH]n1)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078583762 743700064 /nfs/dbraw/zinc/70/00/64/743700064.db2.gz PGRHKNGYUIEKAJ-MNOVXSKESA-N -1 1 331.380 -0.007 20 0 EBADMM Cc1c(C(=O)N[C@@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)nnn1C ZINC001078585134 743700098 /nfs/dbraw/zinc/70/00/98/743700098.db2.gz BFUCRSOCCBYREX-NXEZZACHSA-N -1 1 346.395 -0.222 20 0 EBADMM C[C@@H](CCNC(=O)[C@H]1CC12CC2)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001078730184 743725411 /nfs/dbraw/zinc/72/54/11/743725411.db2.gz OZWLABZSFNASCR-NWDGAFQWSA-N -1 1 348.403 -0.262 20 0 EBADMM O=C(Cn1cncn1)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078802320 743736298 /nfs/dbraw/zinc/73/62/98/743736298.db2.gz AGOLBWTXHLLNMP-ZJUUUORDSA-N -1 1 332.368 -0.681 20 0 EBADMM C[C@H]1C[C@H]1C(=O)N[C@H]1C[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000980055481 805711375 /nfs/dbraw/zinc/71/13/75/805711375.db2.gz IFXSMLMBLKLKCA-XWLWVQCSSA-N -1 1 344.375 -0.302 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)c2cnn3cc[nH]c23)C1 ZINC000980269145 805823643 /nfs/dbraw/zinc/82/36/43/805823643.db2.gz GZSFQAUCSLVYSP-JTQLQIEISA-N -1 1 344.379 -0.569 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)C[C@@H]2CCCOC2)C1 ZINC000980419375 805886772 /nfs/dbraw/zinc/88/67/72/805886772.db2.gz SWXYZOCXHAZPQS-STQMWFEESA-N -1 1 337.424 -0.042 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000980554635 805938878 /nfs/dbraw/zinc/93/88/78/805938878.db2.gz USOQWRGVOCIBBM-DBIOUOCHSA-N -1 1 332.360 -0.467 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CCC[C@@H](NCc3nnnn3C)C2)c1[O-] ZINC000981020615 806059038 /nfs/dbraw/zinc/05/90/38/806059038.db2.gz NUFCYGGEOPYJMF-ZJUUUORDSA-N -1 1 334.384 -0.222 20 0 EBADMM Cc1cc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)cnn1 ZINC000981054427 806070448 /nfs/dbraw/zinc/07/04/48/806070448.db2.gz GCBKOMBLWHEWTJ-UHFFFAOYSA-N -1 1 331.380 -0.445 20 0 EBADMM Cc1noc(CN[C@@H]2CCC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC000981202921 806107615 /nfs/dbraw/zinc/10/76/15/806107615.db2.gz XDRXJSDGGRFKHE-RKDXNWHRSA-N -1 1 349.351 -0.195 20 0 EBADMM C[C@@H](C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1)c1ccnn1C ZINC000981186388 806103997 /nfs/dbraw/zinc/10/39/97/806103997.db2.gz QSWFRSJHOBVRQD-GFCCVEGCSA-N -1 1 347.423 -0.320 20 0 EBADMM Cn1ncc(CN[C@@H]2CCC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC000981202537 806107661 /nfs/dbraw/zinc/10/76/61/806107661.db2.gz WAYYCSKWJFULMW-BDAKNGLRSA-N -1 1 348.367 -0.758 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)c3cc4n(n3)CCC4)CC2)nc1=O ZINC000981291527 806136346 /nfs/dbraw/zinc/13/63/46/806136346.db2.gz YWVAXHXGAJYRRE-UHFFFAOYSA-N -1 1 345.407 -0.401 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)C4=COCCO4)C3)C2)nc1=O ZINC000981601550 806212470 /nfs/dbraw/zinc/21/24/70/806212470.db2.gz AQGSTCICSHDEIL-UHFFFAOYSA-N -1 1 349.391 -0.579 20 0 EBADMM Cn1cc(C(=O)N2CC3(C2)CCCN(Cc2nc(=O)n(C)[n-]2)C3)cn1 ZINC000981613403 806216324 /nfs/dbraw/zinc/21/63/24/806216324.db2.gz ONTYGOGNCBPZSQ-UHFFFAOYSA-N -1 1 345.407 -0.420 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)C4=CCOCC4)C3)C2)nc1=O ZINC000981730128 806251896 /nfs/dbraw/zinc/25/18/96/806251896.db2.gz VLNXIHNCPYOOEF-UHFFFAOYSA-N -1 1 347.419 -0.121 20 0 EBADMM C[C@H](C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1)[C@@H]1CCCO1 ZINC000981912180 806321371 /nfs/dbraw/zinc/32/13/71/806321371.db2.gz NYNINWLNNXQHMA-STQMWFEESA-N -1 1 337.424 -0.042 20 0 EBADMM Cc1nc(C)c(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)[nH]1 ZINC000981977284 806359283 /nfs/dbraw/zinc/35/92/83/806359283.db2.gz AAMAZWGOUMXLET-UHFFFAOYSA-N -1 1 333.396 -0.204 20 0 EBADMM Cc1c[nH]c(C(=O)N2CC3(C2)CCCN(Cc2nc(=O)n(C)[n-]2)C3)n1 ZINC000982061122 806386223 /nfs/dbraw/zinc/38/62/23/806386223.db2.gz MADUPBULATWGBO-UHFFFAOYSA-N -1 1 345.407 -0.122 20 0 EBADMM C[C@@H]1OCC[C@H]1C(=O)N1CC2(C1)CCCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC000982084173 806396716 /nfs/dbraw/zinc/39/67/16/806396716.db2.gz GCWNBMGPYLKEQL-QWHCGFSZSA-N -1 1 349.435 -0.042 20 0 EBADMM CC(C)=CC(=O)N1CC[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000982399554 806476909 /nfs/dbraw/zinc/47/69/09/806476909.db2.gz PZXPOCKOHIFMDL-LLVKDONJSA-N -1 1 344.375 -0.038 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2CCN(C(=O)Cc3ccn[nH]3)C2)c1[O-] ZINC000982571284 806573212 /nfs/dbraw/zinc/57/32/12/806573212.db2.gz CRUSKXMHQBMWTI-SNVBAGLBSA-N -1 1 332.364 -0.032 20 0 EBADMM CC(=O)N1CC(C(=O)NC[C@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000982672393 806628318 /nfs/dbraw/zinc/62/83/18/806628318.db2.gz WOYSYFYUXWMMLA-GFCCVEGCSA-N -1 1 346.387 -0.156 20 0 EBADMM O=C(C[C@@H]1CCNC1=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982846584 806731550 /nfs/dbraw/zinc/73/15/50/806731550.db2.gz ATVCLBWDDZCXSQ-RYUDHWBXSA-N -1 1 346.387 -0.108 20 0 EBADMM O=C(C[C@H]1CCNC1=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982846587 806731950 /nfs/dbraw/zinc/73/19/50/806731950.db2.gz ATVCLBWDDZCXSQ-VXGBXAGGSA-N -1 1 346.387 -0.108 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NC[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000983013724 806837220 /nfs/dbraw/zinc/83/72/20/806837220.db2.gz PRPNQFLNAVQAPJ-DCAQKATOSA-N -1 1 344.375 -0.348 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)[C@@H]2CCC(=O)N2)C1)c1ncccc1[O-] ZINC000983053397 806853448 /nfs/dbraw/zinc/85/34/48/806853448.db2.gz FTBHGGJUIMZXDW-QWRGUYRKSA-N -1 1 332.360 -0.356 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)CS(C)(=O)=O)c1ccc(O)cc1 ZINC001260594272 812841089 /nfs/dbraw/zinc/84/10/89/812841089.db2.gz MYUYQQGUKFVVBM-SNVBAGLBSA-N -1 1 337.375 -0.472 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)NC1CC2(CC(NCc3cnon3)C2)C1 ZINC000965886882 803817297 /nfs/dbraw/zinc/81/72/97/803817297.db2.gz YGYCNNQIHSXNTF-UHFFFAOYSA-N -1 1 349.351 -0.711 20 0 EBADMM O=C(CC1CC1)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000976859020 803909770 /nfs/dbraw/zinc/90/97/70/803909770.db2.gz LVWHOUXZJYESRO-MSRIBSCDSA-N -1 1 342.359 -0.596 20 0 EBADMM Cc1c[nH]c(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)n1 ZINC000977296311 804269122 /nfs/dbraw/zinc/26/91/22/804269122.db2.gz SOWXDZXAEXVERK-JTQLQIEISA-N -1 1 333.396 -0.220 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1cnc([O-])n(C)c1=O)C(=O)c1ccn[nH]1 ZINC000977410794 804315770 /nfs/dbraw/zinc/31/57/70/804315770.db2.gz PBMQTAPCCNJOPU-VIFPVBQESA-N -1 1 346.347 -0.804 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)[C@@H]1COCCO1)C(=O)c1ncccc1[O-] ZINC000977600071 804408012 /nfs/dbraw/zinc/40/80/12/804408012.db2.gz AMEUKMMNOGSEMY-AAEUAGOBSA-N -1 1 335.360 -0.125 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)[C@H]1CCNC1=O)C(=O)c1ncccc1[O-] ZINC000977600177 804408146 /nfs/dbraw/zinc/40/81/46/804408146.db2.gz BAAANTURVCKSBK-QWRGUYRKSA-N -1 1 332.360 -0.404 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)C(N)=O)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000977723893 804476865 /nfs/dbraw/zinc/47/68/65/804476865.db2.gz IMZUYEGUINPGBK-VIFPVBQESA-N -1 1 333.348 -0.782 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)C(F)F)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000978053670 804635566 /nfs/dbraw/zinc/63/55/66/804635566.db2.gz LHGPTPJLBAMCST-ZETCQYMHSA-N -1 1 340.290 -0.644 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N(C)C[C@@H]1CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000978150884 804695482 /nfs/dbraw/zinc/69/54/82/804695482.db2.gz YYGLXEPLBMJWMN-FRRDWIJNSA-N -1 1 348.403 -0.358 20 0 EBADMM Cn1nncc1C(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000978741887 804953832 /nfs/dbraw/zinc/95/38/32/804953832.db2.gz LJWFJKFJXGZNSI-AOOOYVTPSA-N -1 1 330.348 -0.146 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2ccc(=O)[nH]n2)CCO1 ZINC000979185783 805195944 /nfs/dbraw/zinc/19/59/44/805195944.db2.gz YPVYFLZCXZXARI-PSASIEDQSA-N -1 1 349.351 -0.975 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2cncs2)CCO1 ZINC000979216837 805213973 /nfs/dbraw/zinc/21/39/73/805213973.db2.gz NJXTURFHRGJHEO-IUCAKERBSA-N -1 1 338.393 -0.014 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)Cc2cnn(C)c2)CCO1 ZINC000979223757 805217710 /nfs/dbraw/zinc/21/77/10/805217710.db2.gz XWRPXEFDQJNVSZ-PWSUYJOCSA-N -1 1 349.395 -0.808 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2ccnn2C)CCO1 ZINC000979233338 805225549 /nfs/dbraw/zinc/22/55/49/805225549.db2.gz VIIWPBIVYQUNOK-GXSJLCMTSA-N -1 1 335.368 -0.737 20 0 EBADMM CCn1nccc1C(=O)N1CCO[C@@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979258578 805245307 /nfs/dbraw/zinc/24/53/07/805245307.db2.gz NNNGUZCPZSDTNI-ZYHUDNBSSA-N -1 1 349.395 -0.254 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2cccc(=O)[nH]2)CCO1 ZINC000979261936 805247007 /nfs/dbraw/zinc/24/70/07/805247007.db2.gz BTJAMJKUMFTKOR-ONGXEEELSA-N -1 1 348.363 -0.370 20 0 EBADMM Cc1ncoc1C(=O)N1CCO[C@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979266836 805249044 /nfs/dbraw/zinc/24/90/44/805249044.db2.gz ITJOUFFZLIOYRM-SCZZXKLOSA-N -1 1 336.352 -0.174 20 0 EBADMM Cc1cnn(CC(=O)N2CCO[C@@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC000979269509 805251100 /nfs/dbraw/zinc/25/11/00/805251100.db2.gz ZMMIGFHAJGQONW-NWDGAFQWSA-N -1 1 349.395 -0.579 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)cnn1 ZINC000979278614 805257224 /nfs/dbraw/zinc/25/72/24/805257224.db2.gz NAJPSALJBVRVIM-ZYHUDNBSSA-N -1 1 347.379 -0.372 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2cn(C)cn2)CCO1 ZINC000979285267 805263724 /nfs/dbraw/zinc/26/37/24/805263724.db2.gz BRBSJHVVXOUCBE-KOLCDFICSA-N -1 1 335.368 -0.737 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2ccns2)CCO1 ZINC000979308684 805281270 /nfs/dbraw/zinc/28/12/70/805281270.db2.gz OIVGEAKZURDMDO-DTWKUNHWSA-N -1 1 338.393 -0.014 20 0 EBADMM CCc1n[nH]cc1C(=O)N1CCO[C@@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979335257 805301373 /nfs/dbraw/zinc/30/13/73/805301373.db2.gz DJIXADOOZDENSL-BXKDBHETSA-N -1 1 349.395 -0.185 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)CCO1 ZINC000979347839 805311663 /nfs/dbraw/zinc/31/16/63/805311663.db2.gz SRIZJFOVZUGETM-HMUNZLOLSA-N -1 1 337.380 -0.892 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2csnn2)CCO1 ZINC000979357221 805320983 /nfs/dbraw/zinc/32/09/83/805320983.db2.gz DWJPJQQWMBORRE-IONNQARKSA-N -1 1 339.381 -0.619 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2ncccn2)CCO1 ZINC000979413773 805360381 /nfs/dbraw/zinc/36/03/81/805360381.db2.gz OOFVBQHCEIXBGQ-ZJUUUORDSA-N -1 1 333.352 -0.680 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2cccnn2)CCO1 ZINC000979418749 805363358 /nfs/dbraw/zinc/36/33/58/805363358.db2.gz UTZQBBCLJLESNJ-ONGXEEELSA-N -1 1 333.352 -0.680 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2nccs2)CCO1 ZINC000979439676 805380571 /nfs/dbraw/zinc/38/05/71/805380571.db2.gz XYETXEHMJRJFMQ-BDAKNGLRSA-N -1 1 338.393 -0.014 20 0 EBADMM CC(=O)N1C[C@@H]2CC[C@@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)[C@H]2C1 ZINC000979558740 805429627 /nfs/dbraw/zinc/42/96/27/805429627.db2.gz FIBBKFDDRAQHLT-GARJFASQSA-N -1 1 330.348 -0.596 20 0 EBADMM O=C(NC[C@H]1C[C@H](NC(=O)[C@@H]2CCNC2=O)C1)c1ncccc1[O-] ZINC000979667025 805478003 /nfs/dbraw/zinc/47/80/03/805478003.db2.gz FKEXLEFVJDZUJD-GMTAPVOTSA-N -1 1 332.360 -0.452 20 0 EBADMM Cc1nonc1CC(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000983430891 807014611 /nfs/dbraw/zinc/01/46/11/807014611.db2.gz RUNWFWQKXMRZLL-UHFFFAOYSA-N -1 1 335.368 -0.923 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CCC[C@H](NC(=O)Cc3nnc[nH]3)C2)c1[O-] ZINC000983581207 807042258 /nfs/dbraw/zinc/04/22/58/807042258.db2.gz QCPWPFKEWHDEHX-UWVGGRQHSA-N -1 1 347.379 -0.058 20 0 EBADMM Cc1nnc(CNC[C@H]2C[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC000984214494 807219206 /nfs/dbraw/zinc/21/92/06/807219206.db2.gz KFDIUMCWQMQGMX-ZKCHVHJHSA-N -1 1 334.340 -0.992 20 0 EBADMM C[C@H](NC[C@H]1C[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1)c1nncn1C ZINC000984215863 807219679 /nfs/dbraw/zinc/21/96/79/807219679.db2.gz PIKYKXGUEGTBJK-CIUDSAMLSA-N -1 1 348.367 -0.730 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCCN(C(=O)[C@H]2CCNC2=O)C1 ZINC000984460023 807298339 /nfs/dbraw/zinc/29/83/39/807298339.db2.gz SPAUQOMOMRTICE-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)Cc2ccnn2C)CC1 ZINC000985400057 807505644 /nfs/dbraw/zinc/50/56/44/807505644.db2.gz UVJZOGYHHZZPFS-UHFFFAOYSA-N -1 1 333.396 -0.091 20 0 EBADMM COc1cc(C(=O)N2CCC(N(C)Cc3n[nH]c(=O)[n-]3)CC2)nn1C ZINC000985528394 807530794 /nfs/dbraw/zinc/53/07/94/807530794.db2.gz YIIRGCGPSLOZPU-UHFFFAOYSA-N -1 1 349.395 -0.011 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)c2c[nH]c(=O)cn2)CC1 ZINC000985608516 807550763 /nfs/dbraw/zinc/55/07/63/807550763.db2.gz UWXFWFOUZKOFAK-UHFFFAOYSA-N -1 1 333.352 -0.670 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)c2cn(C)c(=O)n2C)CC1 ZINC000985811358 807588944 /nfs/dbraw/zinc/58/89/44/807588944.db2.gz NJZBLKICOBPKKM-UHFFFAOYSA-N -1 1 349.395 -0.716 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)Cn1cc(C2CC2)nn1 ZINC000986358022 807683556 /nfs/dbraw/zinc/68/35/56/807683556.db2.gz HLZCDESLCYZZJT-MWLCHTKSSA-N -1 1 346.395 -0.242 20 0 EBADMM Cc1nn(C)cc1CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000986367570 807687377 /nfs/dbraw/zinc/68/73/77/807687377.db2.gz ZKIZKARFHZAKLK-PWSUYJOCSA-N -1 1 333.396 -0.126 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H]2CCN(C(C)=O)C[C@H]2C1 ZINC000986417833 807698562 /nfs/dbraw/zinc/69/85/62/807698562.db2.gz DBYPPLOQMTWAQT-ZJUUUORDSA-N -1 1 336.348 -0.163 20 0 EBADMM Cc1cc(CN[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)[C@@H]2C)on1 ZINC000986486498 807717608 /nfs/dbraw/zinc/71/76/08/807717608.db2.gz GRBLTSDUMIQECR-SCZZXKLOSA-N -1 1 334.336 -0.028 20 0 EBADMM Cc1cc(CN[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)[C@H]2C)on1 ZINC000986486499 807717652 /nfs/dbraw/zinc/71/76/52/807717652.db2.gz GRBLTSDUMIQECR-WCBMZHEXSA-N -1 1 334.336 -0.028 20 0 EBADMM Cc1ncoc1CN[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)[C@@H]1C ZINC000986490758 807719018 /nfs/dbraw/zinc/71/90/18/807719018.db2.gz XNTKVZAHFHRTOV-RKDXNWHRSA-N -1 1 334.336 -0.028 20 0 EBADMM COc1coc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)cc1=O ZINC000986559410 807734408 /nfs/dbraw/zinc/73/44/08/807734408.db2.gz HYMFXYUHUPKRJR-RKDXNWHRSA-N -1 1 349.347 -0.135 20 0 EBADMM Cc1cc(CC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)n(C)n1 ZINC000986556767 807735349 /nfs/dbraw/zinc/73/53/49/807735349.db2.gz NAMOPISDISJEIW-CMPLNLGQSA-N -1 1 333.396 -0.126 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1nc2ncccn2n1 ZINC000987209725 807870406 /nfs/dbraw/zinc/87/04/06/807870406.db2.gz CSMMRSBIHIKZDZ-RKDXNWHRSA-N -1 1 343.351 -0.659 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc(C(N)=O)nc1 ZINC000987607548 807971233 /nfs/dbraw/zinc/97/12/33/807971233.db2.gz CCYIMAMXNSUSPG-SCZZXKLOSA-N -1 1 345.363 -0.603 20 0 EBADMM CCn1nc(C)c(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)n1 ZINC000987612808 807972098 /nfs/dbraw/zinc/97/20/98/807972098.db2.gz ITXRLAGNHGFKLV-NXEZZACHSA-N -1 1 334.384 -0.177 20 0 EBADMM CC(=O)N(C)CC1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000988570512 808240743 /nfs/dbraw/zinc/24/07/43/808240743.db2.gz BNXDSFGIFPESCA-UHFFFAOYSA-N -1 1 336.392 -0.356 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1nnn(C(C)(C)C)n1 ZINC000988868936 808307533 /nfs/dbraw/zinc/30/75/33/808307533.db2.gz VWJORKSYXGZJLV-DTWKUNHWSA-N -1 1 349.399 -0.355 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCn1ccccc1=O ZINC000988926582 808327754 /nfs/dbraw/zinc/32/77/54/808327754.db2.gz HJCPAIZXEXEXBD-NWDGAFQWSA-N -1 1 346.391 -0.159 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccnc2ccnn21 ZINC000988952793 808332335 /nfs/dbraw/zinc/33/23/35/808332335.db2.gz IIAGZIUPSVZCJF-ZJUUUORDSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnc2n[nH]nc2c1 ZINC000988972232 808339257 /nfs/dbraw/zinc/33/92/57/808339257.db2.gz HWNJHEADAGITIV-IONNQARKSA-N -1 1 343.351 -0.431 20 0 EBADMM Cc1cc(=O)c(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)cn1C ZINC000989004041 808347136 /nfs/dbraw/zinc/34/71/36/808347136.db2.gz WOUOOHRBEZYMOD-GHMZBOCLSA-N -1 1 346.391 -0.090 20 0 EBADMM Cc1cc(=O)c(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)cn1C ZINC000989004044 808347877 /nfs/dbraw/zinc/34/78/77/808347877.db2.gz WOUOOHRBEZYMOD-QWRGUYRKSA-N -1 1 346.391 -0.090 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cn2cccnc2n1 ZINC000989094373 808372305 /nfs/dbraw/zinc/37/23/05/808372305.db2.gz MUPWWVAVGKQZTR-ZJUUUORDSA-N -1 1 342.363 -0.054 20 0 EBADMM CCn1nncc1CN[C@@H]1C[C@@H](C)N(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000989117899 808380742 /nfs/dbraw/zinc/38/07/42/808380742.db2.gz DITJHQTYPVMWQP-RKDXNWHRSA-N -1 1 348.367 -0.713 20 0 EBADMM COc1coc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)cc1=O ZINC000989189807 808399626 /nfs/dbraw/zinc/39/96/26/808399626.db2.gz ULRFSRFPFRFOGI-BDAKNGLRSA-N -1 1 349.347 -0.135 20 0 EBADMM C[C@@H]1C[C@@H](NCc2cnnn2C)CN1C(=O)c1cnc([O-])n(C)c1=O ZINC000989284067 808426856 /nfs/dbraw/zinc/42/68/56/808426856.db2.gz RRXDBRQURNNGRZ-NXEZZACHSA-N -1 1 347.379 -0.993 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccoc1CC(N)=O ZINC000989359491 808456253 /nfs/dbraw/zinc/45/62/53/808456253.db2.gz ZZVCPSBHCLABPE-RKDXNWHRSA-N -1 1 348.363 -0.476 20 0 EBADMM Cn1cncc1CC(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000989483723 808487802 /nfs/dbraw/zinc/48/78/02/808487802.db2.gz XRHCTJPAQQVSJE-UHFFFAOYSA-N -1 1 333.396 -0.881 20 0 EBADMM Cc1nc[nH]c1CC(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000989564882 808509797 /nfs/dbraw/zinc/50/97/97/808509797.db2.gz RNVUORMBNTXFPS-UHFFFAOYSA-N -1 1 333.396 -0.583 20 0 EBADMM C[C@@H]1C[C@@H](NCc2cnon2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000989671605 808552236 /nfs/dbraw/zinc/55/22/36/808552236.db2.gz CPDBFBXYIRUUCW-RKDXNWHRSA-N -1 1 344.335 -0.807 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cccn(C)c1=O ZINC000989691554 808560142 /nfs/dbraw/zinc/56/01/42/808560142.db2.gz VRCOFCFNNHGPOE-UWVGGRQHSA-N -1 1 332.364 -0.398 20 0 EBADMM C[C@@H]1C[C@H](NCc2nccn2C)CN1C(=O)Cn1c(=O)[n-][nH]c1=O ZINC000989722763 808568636 /nfs/dbraw/zinc/56/86/36/808568636.db2.gz LPMUQSXVSRMOCL-ZJUUUORDSA-N -1 1 335.368 -0.798 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1noc2c1COCC2 ZINC000989823041 808604336 /nfs/dbraw/zinc/60/43/36/808604336.db2.gz QWJWQTRTHQMCCW-BDAKNGLRSA-N -1 1 348.363 -0.036 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1noc2c1COCC2 ZINC000989823046 808604489 /nfs/dbraw/zinc/60/44/89/808604489.db2.gz QWJWQTRTHQMCCW-IUCAKERBSA-N -1 1 348.363 -0.036 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc(C(N)=O)cn1 ZINC000989851054 808611630 /nfs/dbraw/zinc/61/16/30/808611630.db2.gz ZGBWJCWXGBFTND-WCBMZHEXSA-N -1 1 345.363 -0.603 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)[C@H]4CCC(=O)N4)CCC[C@H]23)nc1=O ZINC000990042031 808642967 /nfs/dbraw/zinc/64/29/67/808642967.db2.gz OUEPAQIXAYRDQM-GDLVEWKHSA-N -1 1 348.407 -1.000 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)c2cc(C)no2)C1 ZINC000990216809 808702438 /nfs/dbraw/zinc/70/24/38/808702438.db2.gz PTEWJCVLTFSIMB-UHFFFAOYSA-N -1 1 349.303 -0.553 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)[C@H]4CCOC4)CCC[C@@H]23)nc1=O ZINC000990957341 809011296 /nfs/dbraw/zinc/01/12/96/809011296.db2.gz UZXTXPKFBTVBEP-OZVIIMIRSA-N -1 1 335.408 -0.242 20 0 EBADMM O=C(C[C@@H]1CCCC(=O)N1)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990967891 809017728 /nfs/dbraw/zinc/01/77/28/809017728.db2.gz DGCAWVMHESVVIM-JTQLQIEISA-N -1 1 332.360 -0.213 20 0 EBADMM C[C@H]1CC(=O)N[C@@H](C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC000990975778 809022124 /nfs/dbraw/zinc/02/21/24/809022124.db2.gz BSMOYVZUCPBCFK-MWLCHTKSSA-N -1 1 332.360 -0.358 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)cn1 ZINC000990976000 809022857 /nfs/dbraw/zinc/02/28/57/809022857.db2.gz VFVWYWXRQWIBHD-UHFFFAOYSA-N -1 1 341.327 -0.465 20 0 EBADMM NC(=O)N1CCC[C@H](C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC000990980239 809027116 /nfs/dbraw/zinc/02/71/16/809027116.db2.gz YYNKNFLOKIOQDK-JTQLQIEISA-N -1 1 347.375 -0.482 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)[C@H]1CC1(F)F ZINC000991207761 809156261 /nfs/dbraw/zinc/15/62/61/809156261.db2.gz MRDYBBJBWUIJBF-SSDOTTSWSA-N -1 1 338.274 -0.987 20 0 EBADMM Cn1nccc1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC000991333986 809314335 /nfs/dbraw/zinc/31/43/35/809314335.db2.gz OOWULQBORIHZOB-GXFFZTMASA-N -1 1 345.359 -0.474 20 0 EBADMM Cn1cnc(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]2O)c1 ZINC000991387766 809393018 /nfs/dbraw/zinc/39/30/18/809393018.db2.gz NRXKOJHIEYWGAG-ZWNOBZJWSA-N -1 1 345.359 -0.474 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)C2=COCCO2)C[C@@H]1O)c1ncccc1[O-] ZINC000991404210 809419948 /nfs/dbraw/zinc/41/99/48/809419948.db2.gz DSVXYCKEJQNRFY-PWSUYJOCSA-N -1 1 349.343 -0.633 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2n[nH]cc2F)C[C@H]1O)c1ncccc1[O-] ZINC000991407364 809425122 /nfs/dbraw/zinc/42/51/22/809425122.db2.gz DUULILWRGXSXGP-GXSJLCMTSA-N -1 1 349.322 -0.345 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cc[nH]n2)C[C@H]1O)c1ncccc1[O-] ZINC000991409524 809428013 /nfs/dbraw/zinc/42/80/13/809428013.db2.gz OCQRLKQNAQUBIV-JOYOIKCWSA-N -1 1 331.332 -0.484 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ccn[nH]2)C[C@H]1O)c1ncccc1[O-] ZINC000991409524 809428019 /nfs/dbraw/zinc/42/80/19/809428019.db2.gz OCQRLKQNAQUBIV-JOYOIKCWSA-N -1 1 331.332 -0.484 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ccon2)C[C@@H]1O)c1ncccc1[O-] ZINC000991412484 809430887 /nfs/dbraw/zinc/43/08/87/809430887.db2.gz XHDXUEIGZGRAGS-SKDRFNHKSA-N -1 1 332.316 -0.219 20 0 EBADMM Cc1nc[nH]c1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991426989 809453753 /nfs/dbraw/zinc/45/37/53/809453753.db2.gz NPGXMQQHEAURPW-CMPLNLGQSA-N -1 1 345.359 -0.176 20 0 EBADMM CC(C)(O)CC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991427450 809454256 /nfs/dbraw/zinc/45/42/56/809454256.db2.gz OHLWKNJNQNROQW-JQWIXIFHSA-N -1 1 337.376 -0.360 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1ncc[nH]1 ZINC000991459651 809506740 /nfs/dbraw/zinc/50/67/40/809506740.db2.gz RYDAHAILLUMMAO-ONGXEEELSA-N -1 1 331.332 -0.484 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1ncc[nH]1 ZINC000991459649 809506970 /nfs/dbraw/zinc/50/69/70/809506970.db2.gz RYDAHAILLUMMAO-KOLCDFICSA-N -1 1 331.332 -0.484 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(NC(=O)[C@H]2CC23CCC3)C1 ZINC000991529034 809607017 /nfs/dbraw/zinc/60/70/17/809607017.db2.gz ALCNTJMBQBJRDC-GFCCVEGCSA-N -1 1 346.387 -0.556 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)Cc3cccnc3)CC2)nc1=O ZINC001002616040 809752716 /nfs/dbraw/zinc/75/27/16/809752716.db2.gz UXJYHCDKUSSGRE-UHFFFAOYSA-N -1 1 330.392 -0.173 20 0 EBADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)[C@H]1CCC(=O)NC1 ZINC001003078498 809778940 /nfs/dbraw/zinc/77/89/40/809778940.db2.gz OQEAKDPLFVHZJY-NSHDSACASA-N -1 1 332.360 -0.498 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)[C@H]3C[C@@]34CCOC4)CC2)nc1=O ZINC001003925612 809811512 /nfs/dbraw/zinc/81/15/12/809811512.db2.gz FCOHRVBNTGYCRC-MLGOLLRUSA-N -1 1 335.408 -0.384 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)Cc1nnc[nH]1 ZINC001004977778 809834995 /nfs/dbraw/zinc/83/49/95/809834995.db2.gz RCATZHDUBWBLGH-VHSXEESVSA-N -1 1 334.384 -0.270 20 0 EBADMM C[C@H](NC(=O)C1CCC1)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001005557630 809858649 /nfs/dbraw/zinc/85/86/49/809858649.db2.gz BGYYWDFLONVDLK-VIFPVBQESA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@H]1OCC[C@@H]1C(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001006209020 809885441 /nfs/dbraw/zinc/88/54/41/809885441.db2.gz YTCJURRVSTWQQZ-YPMHNXCESA-N -1 1 337.424 -0.044 20 0 EBADMM C[C@@H]1OCC[C@H]1C(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001006209015 809885724 /nfs/dbraw/zinc/88/57/24/809885724.db2.gz YTCJURRVSTWQQZ-WCQYABFASA-N -1 1 337.424 -0.044 20 0 EBADMM Cc1nc([C@H](C)N2CCC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001007799896 810021565 /nfs/dbraw/zinc/02/15/65/810021565.db2.gz LKTQIXKAVBNDBR-IONNQARKSA-N -1 1 348.367 -0.335 20 0 EBADMM Cc1cc(CC(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001007917884 810042673 /nfs/dbraw/zinc/04/26/73/810042673.db2.gz GCAQVHRWGJEVRL-LBPRGKRZSA-N -1 1 347.423 -0.526 20 0 EBADMM CC1(C)CN(C(=O)C2CC2)C[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001008352595 810142054 /nfs/dbraw/zinc/14/20/54/810142054.db2.gz NRGRQJWZUFXWKA-LLVKDONJSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CN1CCOCC1 ZINC001011667443 810343241 /nfs/dbraw/zinc/34/32/41/810343241.db2.gz TXWAGSOFHLVJSP-STQMWFEESA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CN1CN=NC1=O ZINC001011668932 810344303 /nfs/dbraw/zinc/34/43/03/810344303.db2.gz KQYFTYNUMPNULX-VHSXEESVSA-N -1 1 346.347 -0.496 20 0 EBADMM CCC(=O)N[C@@]12CCC[C@@H]1N(C(=O)CCn1cc[n-]c(=O)c1=O)CC2 ZINC001014106691 810415972 /nfs/dbraw/zinc/41/59/72/810415972.db2.gz LZLFUXFATRXXEP-YVEFUNNKSA-N -1 1 348.403 -0.024 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCCN1C(=O)c1nnn(C)n1 ZINC001014893368 810452644 /nfs/dbraw/zinc/45/26/44/810452644.db2.gz LRRQXWZKOOCHIT-ZJUUUORDSA-N -1 1 345.363 -0.266 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C3(c4cccnc4)CC3)C2)nc1=O ZINC001015597246 810535130 /nfs/dbraw/zinc/53/51/30/810535130.db2.gz PFMZBYNEMRPPAY-ZDUSSCGKSA-N -1 1 342.403 -0.074 20 0 EBADMM C[C@H](C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)c1cncnc1 ZINC001015606734 810538101 /nfs/dbraw/zinc/53/81/01/810538101.db2.gz FOVOFHNZRIMRGT-JQWIXIFHSA-N -1 1 331.380 -0.607 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@H]3CCc4cccnc43)C2)nc1=O ZINC001015624297 810548364 /nfs/dbraw/zinc/54/83/64/810548364.db2.gz HTHIDJILMQXXDP-OLZOCXBDSA-N -1 1 342.403 -0.076 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c(=O)[nH]1 ZINC001015759597 810573787 /nfs/dbraw/zinc/57/37/87/810573787.db2.gz ZTQRYJLRIZTDIH-SNVBAGLBSA-N -1 1 332.364 -0.478 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ccc4nncn4c3)C2)nc1=O ZINC001015833998 810597762 /nfs/dbraw/zinc/59/77/62/810597762.db2.gz MMTRLZGLFZRJLM-NSHDSACASA-N -1 1 342.363 -0.845 20 0 EBADMM O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCc2[nH]cnc2C1 ZINC001015956401 810625212 /nfs/dbraw/zinc/62/52/12/810625212.db2.gz BAFRJEIUQBDKSS-ZJUUUORDSA-N -1 1 331.380 -0.271 20 0 EBADMM Cc1cc(CN2CC[C@@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)on1 ZINC001015988976 810642125 /nfs/dbraw/zinc/64/21/25/810642125.db2.gz JEOVPTXVTDICCZ-SNVBAGLBSA-N -1 1 343.347 -0.282 20 0 EBADMM Cc1cnc(CN2CC[C@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)o1 ZINC001015991478 810643624 /nfs/dbraw/zinc/64/36/24/810643624.db2.gz DSHMTGQELXTOHF-JTQLQIEISA-N -1 1 343.347 -0.282 20 0 EBADMM Cc1nocc1CN1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001015992157 810646441 /nfs/dbraw/zinc/64/64/41/810646441.db2.gz LJPGURFMNRQVLQ-NSHDSACASA-N -1 1 343.347 -0.282 20 0 EBADMM CCc1noc(C)c1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001016231026 810710924 /nfs/dbraw/zinc/71/09/24/810710924.db2.gz XKQAMGXIHCMZTH-SNVBAGLBSA-N -1 1 334.380 -0.028 20 0 EBADMM O=C(N[C@@H]1CN(CCNC(=O)C2CC2)C[C@@H]1O)c1ncccc1[O-] ZINC001219497332 810721272 /nfs/dbraw/zinc/72/12/72/810721272.db2.gz KZWBCOAPXOBCPU-YPMHNXCESA-N -1 1 334.376 -0.912 20 0 EBADMM CCc1cc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001033529668 810777833 /nfs/dbraw/zinc/77/78/33/810777833.db2.gz JSNYTSMAHGORTQ-LBPRGKRZSA-N -1 1 347.423 -0.249 20 0 EBADMM O=C(NC[C@]1(O)CCNC[C@@H]1F)c1ccccc1S(=O)(=O)[O-] ZINC001219625773 810778366 /nfs/dbraw/zinc/77/83/66/810778366.db2.gz AGBUBJXHQJXFNQ-WCQYABFASA-N -1 1 332.353 -0.274 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)C3CC4(CC4)C3)C2)nc1=O ZINC001035503195 810860941 /nfs/dbraw/zinc/86/09/41/810860941.db2.gz WIODOLQIYAWJSA-LBPRGKRZSA-N -1 1 335.408 -0.384 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)c(C)[nH]1 ZINC001035529947 810877538 /nfs/dbraw/zinc/87/75/38/810877538.db2.gz MIEDJANFJBDVEU-LBPRGKRZSA-N -1 1 348.407 -0.316 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CN(CCF)CCO1 ZINC001035578158 810928391 /nfs/dbraw/zinc/92/83/91/810928391.db2.gz UZMFZDJBIZEKSA-QMMMGPOBSA-N -1 1 330.316 -0.704 20 0 EBADMM C[C@H](CC(F)F)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001220030019 810954404 /nfs/dbraw/zinc/95/44/04/810954404.db2.gz SWXMYEJZOSACHU-HLTSFMKQSA-N -1 1 333.339 -0.939 20 0 EBADMM CO[C@H](C(=O)N1CCC2(CN(Cc3nc(=O)n(C)[n-]3)C2)CC1)C1CC1 ZINC001035698775 810994334 /nfs/dbraw/zinc/99/43/34/810994334.db2.gz RJSOMHJHJDUBOU-AWEZNQCLSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)c2ccncn2)CC3)nc1=O ZINC001035821858 811033167 /nfs/dbraw/zinc/03/31/67/811033167.db2.gz IAEWQPVHHLHBRL-UHFFFAOYSA-N -1 1 343.391 -0.363 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O)C(C)(F)F ZINC001220381789 811081213 /nfs/dbraw/zinc/08/12/13/811081213.db2.gz WMSIHXVBWDPOCZ-YIZRAAEISA-N -1 1 333.339 -0.939 20 0 EBADMM C[C@H]1CCCN(C(=O)c2cn(C)nn2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036545758 811172633 /nfs/dbraw/zinc/17/26/33/811172633.db2.gz ACNHLNWAGGUPFJ-ONGXEEELSA-N -1 1 334.384 -0.331 20 0 EBADMM CCC[C@H](CC)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001220631005 811182159 /nfs/dbraw/zinc/18/21/59/811182159.db2.gz CCSXWNLHRREDIC-TUAOUCFPSA-N -1 1 325.413 -0.404 20 0 EBADMM O=C(CN1CCCC1=O)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036641335 811193530 /nfs/dbraw/zinc/19/35/30/811193530.db2.gz YXJDBTLIEVTRCB-NEPJUHHUSA-N -1 1 348.407 -0.445 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001036707160 811220460 /nfs/dbraw/zinc/22/04/60/811220460.db2.gz JYTUKPSKVOOCCB-UWVGGRQHSA-N -1 1 332.368 -0.625 20 0 EBADMM CCn1ncc(C(=O)N2CC[C@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001036710832 811222876 /nfs/dbraw/zinc/22/28/76/811222876.db2.gz QSVFYLYBGWASQT-JQWIXIFHSA-N -1 1 346.395 -0.142 20 0 EBADMM O=C(c1cnc[nH]c1=O)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036746134 811238640 /nfs/dbraw/zinc/23/86/40/811238640.db2.gz LCUVMLAMSYBKRV-ONGXEEELSA-N -1 1 345.363 -0.258 20 0 EBADMM Cn1cncc1C(=O)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036762554 811246803 /nfs/dbraw/zinc/24/68/03/811246803.db2.gz KYKZGHUHFACWJA-WDEREUQCSA-N -1 1 331.380 -0.020 20 0 EBADMM NC(=O)C1(C(=O)N2CC[C@@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001036808575 811270615 /nfs/dbraw/zinc/27/06/15/811270615.db2.gz WMGWYDRVYTZPBJ-NXEZZACHSA-N -1 1 334.380 -0.801 20 0 EBADMM O=C(Cc1cnc[nH]1)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036829989 811277805 /nfs/dbraw/zinc/27/78/05/811277805.db2.gz NISPMMFCUBDZDB-PWSUYJOCSA-N -1 1 331.380 -0.101 20 0 EBADMM Cc1nonc1C(=O)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036909373 811312173 /nfs/dbraw/zinc/31/21/73/811312173.db2.gz CTBIHHPWLMHWFE-VHSXEESVSA-N -1 1 333.352 -0.062 20 0 EBADMM CCN(C(=O)C(F)F)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001079187350 811442612 /nfs/dbraw/zinc/44/26/12/811442612.db2.gz ZQJNZFQLTGZGNO-UHFFFAOYSA-N -1 1 340.290 -0.644 20 0 EBADMM CNC(=O)NC(=O)CN1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001221494899 811468679 /nfs/dbraw/zinc/46/86/79/811468679.db2.gz LMZMCLZTFIBLKZ-GHMZBOCLSA-N -1 1 347.375 -0.611 20 0 EBADMM CCO[C@H](C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)C1CC1 ZINC001221559086 811487646 /nfs/dbraw/zinc/48/76/46/811487646.db2.gz SMWODRPHGCMSID-NFAWXSAZSA-N -1 1 349.435 -0.044 20 0 EBADMM CCOCCC(=O)N1CC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1 ZINC001222416219 811627493 /nfs/dbraw/zinc/62/74/93/811627493.db2.gz LYANGSQOBIZRSA-OLZOCXBDSA-N -1 1 337.424 -0.042 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)CC(C)(C)O)CC2)nc1=O ZINC001223051220 811679844 /nfs/dbraw/zinc/67/98/44/811679844.db2.gz IRNGWLQQWUKHLO-UHFFFAOYSA-N -1 1 325.413 -0.402 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)Cc3cnoc3)CC2)nc1=O ZINC001224216058 811749557 /nfs/dbraw/zinc/74/95/57/811749557.db2.gz YLYCCXRVEXVAJL-UHFFFAOYSA-N -1 1 334.380 -0.333 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)[C@@]3(F)CCOC3)CC2)nc1=O ZINC001224227678 811750869 /nfs/dbraw/zinc/75/08/69/811750869.db2.gz RDMXWYAHYVWBGJ-OAHLLOKOSA-N -1 1 341.387 -0.435 20 0 EBADMM Cc1ccncc1CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001079880500 811770337 /nfs/dbraw/zinc/77/03/37/811770337.db2.gz NSNTXRMZMPRNHC-TZMCWYRMSA-N -1 1 344.419 -0.009 20 0 EBADMM Cc1cc(=O)[nH]c(O[C@H](CN2CCOCC2)CS(=O)(=O)[O-])n1 ZINC001226157401 811850273 /nfs/dbraw/zinc/85/02/73/811850273.db2.gz YCBNPUOIEQZDOJ-SNVBAGLBSA-N -1 1 333.366 -0.542 20 0 EBADMM CCc1c(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)cnn1C ZINC001080032891 811855578 /nfs/dbraw/zinc/85/55/78/811855578.db2.gz YHPMWGQNTYSCRT-ZYHUDNBSSA-N -1 1 347.423 -0.345 20 0 EBADMM CNC(=O)CCCC(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001227017868 811897335 /nfs/dbraw/zinc/89/73/35/811897335.db2.gz VMEOWXBJTOKACM-UHFFFAOYSA-N -1 1 338.412 -0.895 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cn(C)ccc1=O ZINC001080102247 811899338 /nfs/dbraw/zinc/89/93/38/811899338.db2.gz MFFAZXGIKHMTSC-ZYHUDNBSSA-N -1 1 346.391 -0.943 20 0 EBADMM COc1nccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)n1 ZINC001080104806 811900042 /nfs/dbraw/zinc/90/00/42/811900042.db2.gz NKLZNBRWFOZDBT-MWLCHTKSSA-N -1 1 347.379 -0.843 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@]1(F)CCOC1 ZINC001080327850 811982277 /nfs/dbraw/zinc/98/22/77/811982277.db2.gz ZHWDHEPXOLWYOE-RULNRJAQSA-N -1 1 327.360 -0.827 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cccc(=O)n1C ZINC001080415304 812021117 /nfs/dbraw/zinc/02/11/17/812021117.db2.gz JWFUJZYYEUUIGH-GHMZBOCLSA-N -1 1 346.391 -0.943 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001080481085 812037728 /nfs/dbraw/zinc/03/77/28/812037728.db2.gz SORSWRSZIDMUOK-VEGXAWMVSA-N -1 1 335.408 -0.388 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)CCc3ncccn3)CC2)nc1=O ZINC001229696412 812049579 /nfs/dbraw/zinc/04/95/79/812049579.db2.gz BCAXBJVTRHPWFL-UHFFFAOYSA-N -1 1 345.407 -0.388 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)COC(C)(C)C ZINC001233799799 812344222 /nfs/dbraw/zinc/34/42/22/812344222.db2.gz ATQHBHOYTQQKJZ-LLVKDONJSA-N -1 1 325.413 -0.044 20 0 EBADMM Cc1n[nH]c(C)c1CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001080929556 812364782 /nfs/dbraw/zinc/36/47/82/812364782.db2.gz LEYWPSCHXVFZCG-NOZJJQNGSA-N -1 1 347.423 -0.372 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)Cc1cncnc1 ZINC001234021461 812377817 /nfs/dbraw/zinc/37/78/17/812377817.db2.gz OJSQDGKIFZRRTB-LBPRGKRZSA-N -1 1 331.380 -0.826 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)CCc1ncc[nH]1 ZINC001234012182 812377831 /nfs/dbraw/zinc/37/78/31/812377831.db2.gz OLQAOQIQCQIIKI-LLVKDONJSA-N -1 1 333.396 -0.503 20 0 EBADMM COCC1(CC(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)CC1 ZINC001234236529 812399731 /nfs/dbraw/zinc/39/97/31/812399731.db2.gz XELNMSGCNJQSEX-LBPRGKRZSA-N -1 1 337.424 -0.042 20 0 EBADMM CCOC(=O)C[C@H](Oc1c(C(N)=O)nc[n-]c1=O)C(=O)OCC ZINC001234467839 812429209 /nfs/dbraw/zinc/42/92/09/812429209.db2.gz OKXHAQBRELKUKQ-ZETCQYMHSA-N -1 1 327.293 -0.455 20 0 EBADMM NC(=O)c1ccc2nc(=O)[nH]c(OC(=O)[C@H](O)CCC(=O)[O-])c2c1 ZINC001234519343 812438340 /nfs/dbraw/zinc/43/83/40/812438340.db2.gz SEQJBZDENZYYST-SECBINFHSA-N -1 1 335.272 -0.435 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)CCCn1ccnn1 ZINC001235242536 812475438 /nfs/dbraw/zinc/47/54/38/812475438.db2.gz FPYMGNIHFCBPJT-GFCCVEGCSA-N -1 1 348.411 -0.787 20 0 EBADMM C[S@@](=O)CCCNC(=S)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001246662070 812579579 /nfs/dbraw/zinc/57/95/79/812579579.db2.gz JHHNIWLTKYRKQY-OAQYLSRUSA-N -1 1 330.395 -0.181 20 0 EBADMM CSCC(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001081237905 812602353 /nfs/dbraw/zinc/60/23/53/812602353.db2.gz VOSCCLGQZYXHPB-MWLCHTKSSA-N -1 1 325.390 -0.301 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ccc(C)o1 ZINC001081321869 812729764 /nfs/dbraw/zinc/72/97/64/812729764.db2.gz OLDXZPGYJAFWFI-ZYHUDNBSSA-N -1 1 335.364 -0.361 20 0 EBADMM CCOCCC(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001081341840 812765684 /nfs/dbraw/zinc/76/56/84/812765684.db2.gz VGOPTJCUHOPHBH-DGCLKSJQSA-N -1 1 337.376 -0.237 20 0 EBADMM Cc1ccn(-c2ccc(NC(=O)C(=O)NN3CC(=O)[N-]C3=O)cc2)n1 ZINC001260403313 812833309 /nfs/dbraw/zinc/83/33/09/812833309.db2.gz FHSXYVDFWOBDJX-UHFFFAOYSA-N -1 1 342.315 -0.298 20 0 EBADMM CCOC(=O)c1cc([N-]S(=O)(=O)CS(C)(=O)=O)n(C)n1 ZINC001260584485 812836803 /nfs/dbraw/zinc/83/68/03/812836803.db2.gz IUDQKYHWEKRVFT-UHFFFAOYSA-N -1 1 325.368 -0.659 20 0 EBADMM CS(=O)(=O)CS(=O)(=O)Nc1[nH]c(=S)[n-]c(=O)c1N=O ZINC001260584820 812837480 /nfs/dbraw/zinc/83/74/80/812837480.db2.gz YEXITHPRBWNRGS-UHFFFAOYSA-N -1 1 328.353 -0.387 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCC[C@@]3(O)CCCOC3)ccnc1-2 ZINC001261515339 812923580 /nfs/dbraw/zinc/92/35/80/812923580.db2.gz DMKGURXLBHRUAJ-INIZCTEOSA-N -1 1 347.375 -0.672 20 0 EBADMM O=C([O-])[C@]1(C(=O)N(CCN2CCOCC2)CC2CC2)CNCCO1 ZINC001263161233 813108254 /nfs/dbraw/zinc/10/82/54/813108254.db2.gz ZUZJSKSHTVGWKM-MRXNPFEDSA-N -1 1 341.408 -1.000 20 0 EBADMM CCN1CC[C@H](N2C[C@@H](NC(=O)c3ncccc3[O-])[C@H](OC)C2)C1=O ZINC001081578840 813226733 /nfs/dbraw/zinc/22/67/33/813226733.db2.gz YYSJBRHLQNJVBV-MBNYWOFBSA-N -1 1 348.403 -0.163 20 0 EBADMM CO[C@@H]1CN(CCn2cncn2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001081579026 813227265 /nfs/dbraw/zinc/22/72/65/813227265.db2.gz IXJWXZUPWHNTLF-DGCLKSJQSA-N -1 1 332.364 -0.492 20 0 EBADMM CCN(CCCNC(=O)C[C@H]1CCCC(=O)N1)Cc1n[nH]c(=O)[n-]1 ZINC001265122004 813242126 /nfs/dbraw/zinc/24/21/26/813242126.db2.gz HVLJCPSTICTLOG-LLVKDONJSA-N -1 1 338.412 -0.103 20 0 EBADMM COCC1(C(=O)NC[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)CC1 ZINC001081596377 813263328 /nfs/dbraw/zinc/26/33/28/813263328.db2.gz XPRKTOFDUMAHCK-DGCLKSJQSA-N -1 1 349.387 -0.237 20 0 EBADMM O=C(Cc1cnoc1)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001081676657 813386843 /nfs/dbraw/zinc/38/68/43/813386843.db2.gz HBDQLPBYSFJOMH-AAEUAGOBSA-N -1 1 346.343 -0.433 20 0 EBADMM O=C(CCCNC(=O)C1CC1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001265910638 813411846 /nfs/dbraw/zinc/41/18/46/813411846.db2.gz IDGQQBYOSBJRQR-NSHDSACASA-N -1 1 336.396 -0.493 20 0 EBADMM O=C(Cn1ncnn1)NC[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001082024263 813721571 /nfs/dbraw/zinc/72/15/71/813721571.db2.gz PXCLJSGHPFWESD-NSHDSACASA-N -1 1 345.363 -0.415 20 0 EBADMM C[C@@H](NC(=O)c1ccccc1)C(=O)N(C)CCNCc1n[nH]c(=O)[n-]1 ZINC001267034428 813740993 /nfs/dbraw/zinc/74/09/93/813740993.db2.gz IDAMWMUPSMCXHI-LLVKDONJSA-N -1 1 346.391 -0.123 20 0 EBADMM Cc1nnc(CN[C@H](C)CCNC(=O)c2c[n-]n3c2nccc3=O)[nH]1 ZINC001267147980 813769826 /nfs/dbraw/zinc/76/98/26/813769826.db2.gz FZVOHMKVPYNJGP-SECBINFHSA-N -1 1 344.379 -0.253 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@@H]1C[C@H]1C1CCC1 ZINC001082222334 813830512 /nfs/dbraw/zinc/83/05/12/813830512.db2.gz UTPITPHXSMJOAZ-REWJHTLYSA-N -1 1 349.435 -0.140 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CN(CCF)C[C@H]1OC ZINC001082324451 813894595 /nfs/dbraw/zinc/89/45/95/813894595.db2.gz OHEFQPXYOKWERE-HTQZYQBOSA-N -1 1 330.316 -0.705 20 0 EBADMM CC(C)N(CCCNC(=O)[C@H]1CN(C)C(=O)N1)Cc1n[nH]c(=O)[n-]1 ZINC001267505558 813918746 /nfs/dbraw/zinc/91/87/46/813918746.db2.gz BZIXQSMFYQEEHG-SNVBAGLBSA-N -1 1 339.400 -0.749 20 0 EBADMM C[C@@H]1CN(C(=O)CO[C@H]2CCOC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082508310 813958516 /nfs/dbraw/zinc/95/85/16/813958516.db2.gz YQYMAPAGVTYLNU-OUAUKWLOSA-N -1 1 325.369 -0.748 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2C[C@@H](C)CC(=O)N2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082517273 813962022 /nfs/dbraw/zinc/96/20/22/813962022.db2.gz FHLHRJKYJQJXRG-GWOFURMSSA-N -1 1 336.396 -0.639 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2CCCc3nn[nH]c32)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082710200 813996207 /nfs/dbraw/zinc/99/62/07/813996207.db2.gz KKDCHFFKTKAKAM-FXPVBKGRSA-N -1 1 346.395 -0.315 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)C4CCCC4)[C@H]3C2)nc1=O ZINC001082975069 814038457 /nfs/dbraw/zinc/03/84/57/814038457.db2.gz XLTBYXMXLIPDNO-QWHCGFSZSA-N -1 1 335.408 -0.290 20 0 EBADMM C[C@H](C(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21)C1CC1 ZINC001083038387 814083927 /nfs/dbraw/zinc/08/39/27/814083927.db2.gz DJUSAERJIAHTJD-WCFLWFBJSA-N -1 1 335.408 -0.434 20 0 EBADMM C[C@H](CNCc1cn(C)nn1)CNC(=O)c1c[n-]n2c1nccc2=O ZINC001268004954 814088971 /nfs/dbraw/zinc/08/89/71/814088971.db2.gz JEAHPVYTEBLNFC-SNVBAGLBSA-N -1 1 344.379 -0.693 20 0 EBADMM C[C@H](CNCc1cnnn1C)N(C)C(=O)c1c[n-]n2c1nccc2=O ZINC001268089535 814120949 /nfs/dbraw/zinc/12/09/49/814120949.db2.gz LGMPLZWVIXNWKX-SNVBAGLBSA-N -1 1 344.379 -0.599 20 0 EBADMM Cc1cnc(CNC[C@@H](C)N(C)C(=O)CCn2cc[n-]c(=O)c2=O)o1 ZINC001268089342 814121170 /nfs/dbraw/zinc/12/11/70/814121170.db2.gz GZMBZOIFMURMLI-LLVKDONJSA-N -1 1 349.391 -0.140 20 0 EBADMM NC(=O)CN1C[C@H]2OCCN(C(=O)c3cnc(C4CC4)[n-]c3=O)[C@H]2C1 ZINC001083217227 814207570 /nfs/dbraw/zinc/20/75/70/814207570.db2.gz OSYPXHULYWUOJE-NWDGAFQWSA-N -1 1 347.375 -0.930 20 0 EBADMM Cc1nnc(CNCC[C@@H](C)NC(=O)c2c[n-]n3c2nccc3=O)[nH]1 ZINC001268402330 814232843 /nfs/dbraw/zinc/23/28/43/814232843.db2.gz GRVJSTSMZBMVBX-SECBINFHSA-N -1 1 344.379 -0.253 20 0 EBADMM C[C@@H](O)CN1C[C@H]2OCCN(C(=O)c3ccc4oc(=O)nc-4[n-]3)[C@H]2C1 ZINC001083246688 814234312 /nfs/dbraw/zinc/23/43/12/814234312.db2.gz DYDVRVBOECEVBV-SUZMYJTESA-N -1 1 348.359 -0.166 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC/C=C/CNCc1nccs1 ZINC001268604272 814313424 /nfs/dbraw/zinc/31/34/24/814313424.db2.gz YPHVAGQYWTXWJI-OWOJBTEDSA-N -1 1 349.416 -0.155 20 0 EBADMM CN(C)C(=O)CNCC=CCNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001268613598 814317976 /nfs/dbraw/zinc/31/79/76/814317976.db2.gz OLBVDYJTFNIPGU-ARJAWSKDSA-N -1 1 333.348 -0.108 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C3C(C)(C)C3(C)C)[C@@H](O)C2)nc1=O ZINC001083391071 814365815 /nfs/dbraw/zinc/36/58/15/814365815.db2.gz RRXZHLPSLKMYGS-ZJUUUORDSA-N -1 1 337.424 -0.548 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C(F)=C3CCCC3)[C@@H](O)C2)nc1=O ZINC001083403012 814375877 /nfs/dbraw/zinc/37/58/77/814375877.db2.gz INNCRRTZUNDVNN-MNOVXSKESA-N -1 1 339.371 -0.433 20 0 EBADMM O=C(c1ncccc1[O-])N1CCOC[C@@]2(CC(=O)N(C3COC3)C2)C1 ZINC001268845222 814410923 /nfs/dbraw/zinc/41/09/23/814410923.db2.gz IHCILBPSTQSWHV-KRWDZBQOSA-N -1 1 347.371 -0.123 20 0 EBADMM Cc1cc(CN2CC(CNC(=O)CCn3cc[n-]c(=O)c3=O)C2)on1 ZINC001268888661 814430653 /nfs/dbraw/zinc/43/06/53/814430653.db2.gz YCCUFLNZHLQZFD-UHFFFAOYSA-N -1 1 347.375 -0.529 20 0 EBADMM Cc1oc(C)c(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c1C ZINC001083621581 814628848 /nfs/dbraw/zinc/62/88/48/814628848.db2.gz UQZZURHJJYZNQU-NEPJUHHUSA-N -1 1 349.391 -0.398 20 0 EBADMM Cc1cnc(CN2CC[C@@H]2CNC(=O)CCn2cc[n-]c(=O)c2=O)o1 ZINC001269320924 814634872 /nfs/dbraw/zinc/63/48/72/814634872.db2.gz LUCJILJKQNOHQH-GFCCVEGCSA-N -1 1 347.375 -0.386 20 0 EBADMM Cn1nnnc1NC(=O)[C@H]1CC12CN(C(=O)c1ncccc1[O-])C2 ZINC001269345544 814649887 /nfs/dbraw/zinc/64/98/87/814649887.db2.gz AYJCSCRURUAJOA-MRVPVSSYSA-N -1 1 329.320 -0.589 20 0 EBADMM Cn1nnnc1NC(=O)[C@@]12C[C@@H]1CN(C(=O)c1ncccc1[O-])C2 ZINC001269345548 814650322 /nfs/dbraw/zinc/65/03/22/814650322.db2.gz BCURQPSMCSATBS-XLKFXECMSA-N -1 1 329.320 -0.589 20 0 EBADMM O=C(NCC1COC1)C1=NO[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]12 ZINC001269346539 814651280 /nfs/dbraw/zinc/65/12/80/814651280.db2.gz RZWMZGLOZSKAGZ-CMPLNLGQSA-N -1 1 346.343 -0.623 20 0 EBADMM O=C(NCCn1ccnn1)[C@@]12C[C@@H]1CCN2C(=O)c1ncccc1[O-] ZINC001269346514 814651350 /nfs/dbraw/zinc/65/13/50/814651350.db2.gz QVGBZSPNUAZJSZ-MEDUHNTESA-N -1 1 342.359 -0.200 20 0 EBADMM CCc1cncc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c1 ZINC001083644674 814653977 /nfs/dbraw/zinc/65/39/77/814653977.db2.gz QMPAOAPGDVGWLF-OLZOCXBDSA-N -1 1 346.391 -0.959 20 0 EBADMM CCOCCN1C(=O)COCC12CN(C(=O)c1ccc([O-])cn1)C2 ZINC001269355340 814659599 /nfs/dbraw/zinc/65/95/99/814659599.db2.gz WPNMCLWTLZODGQ-UHFFFAOYSA-N -1 1 335.360 -0.123 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)CC3CC(C)(C)C3)[C@@H](O)C2)nc1=O ZINC001083721297 814727343 /nfs/dbraw/zinc/72/73/43/814727343.db2.gz WKIPMFJEQVKNQY-NEPJUHHUSA-N -1 1 337.424 -0.404 20 0 EBADMM CC(=O)[C@H](C)NC(=O)[C@@H]1CC12CCN(C(=O)Cc1nn[n-]n1)CC2 ZINC001269575893 814733028 /nfs/dbraw/zinc/73/30/28/814733028.db2.gz ACAPDAPFIQQNLF-ONGXEEELSA-N -1 1 334.380 -0.535 20 0 EBADMM COCCCNC(=O)[C@H]1CC12CCN(C(=O)Cc1nn[n-]n1)CC2 ZINC001269576917 814733839 /nfs/dbraw/zinc/73/38/39/814733839.db2.gz AWHVPQISFURNST-LLVKDONJSA-N -1 1 336.396 -0.476 20 0 EBADMM CC(C)(C)C(=O)CN1CC[C@@]2(CCCN2C(=O)Cc2nn[n-]n2)C1=O ZINC001269576571 814734082 /nfs/dbraw/zinc/73/40/82/814734082.db2.gz PJEMLEMERXCYOB-INIZCTEOSA-N -1 1 348.407 -0.049 20 0 EBADMM COC(C)(C)CN1CC[C@]2(CCN(C(=O)Cc3nn[n-]n3)C2)C1=O ZINC001269577099 814735966 /nfs/dbraw/zinc/73/59/66/814735966.db2.gz DJMFBZPIDKGGHO-HNNXBMFYSA-N -1 1 336.396 -0.382 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)c3ccc(Cl)[nH]3)[C@@H](O)C2)nc1=O ZINC001083760146 814762769 /nfs/dbraw/zinc/76/27/69/814762769.db2.gz GTLTWQMLXUMCQI-BDAKNGLRSA-N -1 1 340.771 -0.935 20 0 EBADMM Cc1ncc(CO)c(C(=O)N2C[C@H]3CCCO[C@H]3[C@@H](C(N)=O)C2)c1[O-] ZINC001269979100 815655350 /nfs/dbraw/zinc/65/53/50/815655350.db2.gz CRBFVIYHNRRDEW-IFUGULHKSA-N -1 1 349.387 -0.060 20 0 EBADMM Cc1ncc(CO)c(C(=O)N2CC3(C2)CCOC[C@@H]3C(N)=O)c1[O-] ZINC001269980165 815655361 /nfs/dbraw/zinc/65/53/61/815655361.db2.gz PBCJYZSFLVQSJZ-LLVKDONJSA-N -1 1 335.360 -0.448 20 0 EBADMM Cc1noc(C)c1NC(=O)N1CCC12CN(C(=O)Cc1nn[n-]n1)C2 ZINC001270185316 815714363 /nfs/dbraw/zinc/71/43/63/815714363.db2.gz BLHQXGLJKXFSTC-UHFFFAOYSA-N -1 1 346.351 -0.134 20 0 EBADMM Cc1noc(C)c1NC(=O)N1C[C@H]2C[C@@H](C1)N2C(=O)Cc1nn[n-]n1 ZINC001270186168 815715858 /nfs/dbraw/zinc/71/58/58/815715858.db2.gz QPIQFHDFWFKLJL-AOOOYVTPSA-N -1 1 346.351 -0.136 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CN(C/C=C/Cl)C[C@@H]1O ZINC001083949908 815732812 /nfs/dbraw/zinc/73/28/12/815732812.db2.gz RVIMPXGFTUYMPU-TZIBCRCASA-N -1 1 344.755 -0.576 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4ccccn4)[C@@H]3C2)nc1=O ZINC001084151151 815857954 /nfs/dbraw/zinc/85/79/54/815857954.db2.gz VJENTMVODYAUFY-DGCLKSJQSA-N -1 1 328.376 -0.150 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4ccncc4)[C@@H]3C2)nc1=O ZINC001084151036 815858447 /nfs/dbraw/zinc/85/84/47/815858447.db2.gz RSYFIDPASVEKCR-CHWSQXEVSA-N -1 1 328.376 -0.150 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4cnccn4)[C@@H]3C2)nc1=O ZINC001084161927 815858463 /nfs/dbraw/zinc/85/84/63/815858463.db2.gz PHJJKKXZCOOHKP-ZYHUDNBSSA-N -1 1 329.364 -0.755 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4ccncc4F)[C@@H]3C2)nc1=O ZINC001084249261 815874718 /nfs/dbraw/zinc/87/47/18/815874718.db2.gz QTZJLRDVZZBHTR-ZWNOBZJWSA-N -1 1 346.366 -0.011 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4cc[nH]c(=O)c4)[C@@H]3C2)nc1=O ZINC001084274236 815877172 /nfs/dbraw/zinc/87/71/72/815877172.db2.gz CWFLOBQRWXFUCD-VXGBXAGGSA-N -1 1 344.375 -0.445 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC2(C[C@H]2C(=O)Nc2ccc(O)cc2O)C1 ZINC001270785237 815888753 /nfs/dbraw/zinc/88/87/53/815888753.db2.gz RZFCICLGNUDGMI-VIFPVBQESA-N -1 1 344.331 -0.359 20 0 EBADMM COc1ccc(NC(=O)[C@@H]2CC23CN(C(=O)Cc2nn[n-]n2)C3)nn1 ZINC001270785864 815888788 /nfs/dbraw/zinc/88/87/88/815888788.db2.gz XITWWVSJIDWDFN-QMMMGPOBSA-N -1 1 344.335 -0.972 20 0 EBADMM CC(C)(C)NC(=O)[C@H]1CCn2nccc2CN1C(=O)Cc1nn[n-]n1 ZINC001270786108 815890238 /nfs/dbraw/zinc/89/02/38/815890238.db2.gz ZTFNVUNHBANQRX-LLVKDONJSA-N -1 1 346.395 -0.346 20 0 EBADMM COCCN(C)C(=O)[C@H]1[C@@H]2CC[C@@H](C2)[C@H]1NC(=O)Cc1nn[n-]n1 ZINC001270788227 815890421 /nfs/dbraw/zinc/89/04/21/815890421.db2.gz CQLDNLYIPRNDSF-XXSPCDMZSA-N -1 1 336.396 -0.622 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCc2cc(C(=O)N3CC=CC3)[nH]c2C1 ZINC001270789967 815893307 /nfs/dbraw/zinc/89/33/07/815893307.db2.gz YLZIESLPOZTQLJ-UHFFFAOYSA-N -1 1 327.348 -0.333 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001084432121 815916294 /nfs/dbraw/zinc/91/62/94/815916294.db2.gz BAAASYAUJZNAPG-NXEZZACHSA-N -1 1 347.379 -0.808 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)c1cccn2nnnc12 ZINC001270977625 815942008 /nfs/dbraw/zinc/94/20/08/815942008.db2.gz VVTKTUPYKOJHER-QMMMGPOBSA-N -1 1 331.340 -0.802 20 0 EBADMM Cc1c[nH]c(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)n1 ZINC001084712147 815966149 /nfs/dbraw/zinc/96/61/49/815966149.db2.gz SSXKAPXNYFNNMC-GHMZBOCLSA-N -1 1 331.380 -0.514 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)c1ccc2nncn2c1 ZINC001271136861 815999519 /nfs/dbraw/zinc/99/95/19/815999519.db2.gz KNGSUIFFXHNNOD-SECBINFHSA-N -1 1 330.352 -0.197 20 0 EBADMM Cc1cc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)n(C)n1 ZINC001085503820 816057723 /nfs/dbraw/zinc/05/77/23/816057723.db2.gz IBOILBBBVJOJSC-LLVKDONJSA-N -1 1 333.396 -0.503 20 0 EBADMM CN(C[C@H]1CCN1CCS(C)(=O)=O)C(=O)c1ncccc1[O-] ZINC001085562622 816073404 /nfs/dbraw/zinc/07/34/04/816073404.db2.gz QEHIVBAUXDWWEO-LLVKDONJSA-N -1 1 327.406 -0.022 20 0 EBADMM Cc1cc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)cnn1 ZINC001085566547 816074067 /nfs/dbraw/zinc/07/40/67/816074067.db2.gz LTKOFQOSNJUSHN-LBPRGKRZSA-N -1 1 331.380 -0.447 20 0 EBADMM CCn1nnc(C)c1C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085635297 816093495 /nfs/dbraw/zinc/09/34/95/816093495.db2.gz MWYXNTNZDHIQOM-LLVKDONJSA-N -1 1 348.411 -0.625 20 0 EBADMM O=C(c1cncc([O-])c1)N1CCOC[C@@]2(CC(=O)N(C3COC3)C2)C1 ZINC001271387267 816094476 /nfs/dbraw/zinc/09/44/76/816094476.db2.gz RXMXXGOKAFASDD-KRWDZBQOSA-N -1 1 347.371 -0.123 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1cc2n(n1)CCO2 ZINC001085643000 816094987 /nfs/dbraw/zinc/09/49/87/816094987.db2.gz BWCAPFSQLMPFHK-SNVBAGLBSA-N -1 1 347.379 -0.956 20 0 EBADMM CCc1nn(C)cc1C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085659353 816102956 /nfs/dbraw/zinc/10/29/56/816102956.db2.gz QVOACAKRIPRZOP-NSHDSACASA-N -1 1 347.423 -0.249 20 0 EBADMM Cc1nc([C@H](C)N2CC[C@@H]2CN(C)C(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC001085700553 816116182 /nfs/dbraw/zinc/11/61/82/816116182.db2.gz RGUBDWGJPAZNDC-IONNQARKSA-N -1 1 348.367 -0.383 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC001085732362 816126500 /nfs/dbraw/zinc/12/65/00/816126500.db2.gz ZFEOJSHAJROTKV-VPLWTHEFSA-N -1 1 347.419 -0.436 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1cc(C(N)=O)co1 ZINC001085812211 816153589 /nfs/dbraw/zinc/15/35/89/816153589.db2.gz YOHDZQCIIASKLT-SNVBAGLBSA-N -1 1 348.363 -0.853 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001085830788 816159735 /nfs/dbraw/zinc/15/97/35/816159735.db2.gz IOQYXVJNHMFGEZ-LOWDOPEQSA-N -1 1 335.408 -0.291 20 0 EBADMM Cc1cc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)nc(C)n1 ZINC001085872479 816168466 /nfs/dbraw/zinc/16/84/66/816168466.db2.gz XBEAALWPVQTSQO-LBPRGKRZSA-N -1 1 345.407 -0.138 20 0 EBADMM COc1c(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)cnn1C ZINC001085947425 816184127 /nfs/dbraw/zinc/18/41/27/816184127.db2.gz ISMXGPXIXCJQOR-SNVBAGLBSA-N -1 1 349.395 -0.803 20 0 EBADMM NC(=O)c1cnc2n1CCN(C(=O)CCC[N-]C(=O)C(F)(F)F)C2 ZINC001271838488 816225487 /nfs/dbraw/zinc/22/54/87/816225487.db2.gz NVDLHAALPXLWGV-UHFFFAOYSA-N -1 1 347.297 -0.217 20 0 EBADMM COc1cc(C(=O)N(CCO)CCNCc2n[nH]c(=O)[n-]2)sn1 ZINC001272168915 816336624 /nfs/dbraw/zinc/33/66/24/816336624.db2.gz NSJWTBHTAWRYRC-UHFFFAOYSA-N -1 1 342.381 -0.800 20 0 EBADMM O=C(N[C@@H]1CN(CCO)C[C@H]1c1ccccc1)c1n[nH]c(=O)[n-]c1=O ZINC001086597365 816385112 /nfs/dbraw/zinc/38/51/12/816385112.db2.gz ARDMMWZGIGFTNB-NWDGAFQWSA-N -1 1 345.359 -0.527 20 0 EBADMM O=C(c1cc(F)ccc1[O-])N1CC2(C1)COCC(=O)N2C1COC1 ZINC001272387776 816416415 /nfs/dbraw/zinc/41/64/15/816416415.db2.gz CCAOQLWCSUXXLE-UHFFFAOYSA-N -1 1 336.319 -0.017 20 0 EBADMM O=C(c1ccc([O-])c(F)c1)N1CC2(C1)CN(C1COC1)C(=O)CO2 ZINC001272400801 816420618 /nfs/dbraw/zinc/42/06/18/816420618.db2.gz GWLDXDBFIWTEIA-UHFFFAOYSA-N -1 1 336.319 -0.017 20 0 EBADMM O=C(NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1)c1cccc2ccncc21 ZINC001272554801 816473068 /nfs/dbraw/zinc/47/30/68/816473068.db2.gz XGQZDMSYVMJJQL-NSHDSACASA-N -1 1 342.359 -0.061 20 0 EBADMM O=C(NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1)c1cncc2ccccc21 ZINC001272583637 816486873 /nfs/dbraw/zinc/48/68/73/816486873.db2.gz JJUXXRNBPYBWQB-NSHDSACASA-N -1 1 342.359 -0.061 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)Cn2cccn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087502390 816526917 /nfs/dbraw/zinc/52/69/17/816526917.db2.gz FQINVFJJJNJMIY-NWDGAFQWSA-N -1 1 333.396 -0.526 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2nccnc2N)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087524971 816528669 /nfs/dbraw/zinc/52/86/69/816528669.db2.gz XZTQBXAOVSEINT-VHSXEESVSA-N -1 1 346.395 -0.737 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2ncn(C)n2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087563771 816532737 /nfs/dbraw/zinc/53/27/37/816532737.db2.gz OKKXJNFXQJVFML-VHSXEESVSA-N -1 1 334.384 -0.980 20 0 EBADMM O=C(Cc1ccn[nH]1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C1 ZINC001087917888 816579225 /nfs/dbraw/zinc/57/92/25/816579225.db2.gz SZCRQPRXAZBZFO-MNOVXSKESA-N -1 1 331.380 -0.244 20 0 EBADMM Cn1cnc(C(=O)N2CCCCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001088308705 816661276 /nfs/dbraw/zinc/66/12/76/816661276.db2.gz FHVWNGHAMYDLSD-JTQLQIEISA-N -1 1 334.384 -0.187 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CCCN(C(C)=O)C1 ZINC001273492061 816683614 /nfs/dbraw/zinc/68/36/14/816683614.db2.gz IWQBGQHXPWQVSX-LBPRGKRZSA-N -1 1 338.412 -0.293 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CCN(CCN3C(=O)CCC3=O)[C@@H]2C)c1[O-] ZINC001088524783 816694291 /nfs/dbraw/zinc/69/42/91/816694291.db2.gz NQUKRLRIHUBIAD-MNOVXSKESA-N -1 1 349.391 -0.235 20 0 EBADMM CCn1nncc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001088550659 816702071 /nfs/dbraw/zinc/70/20/71/816702071.db2.gz MNDFHMLKQYOSMI-ZJUUUORDSA-N -1 1 334.384 -0.887 20 0 EBADMM COc1ccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)cn1 ZINC001088725167 816731852 /nfs/dbraw/zinc/73/18/52/816731852.db2.gz GJGSRUGIIMIDNE-PWSUYJOCSA-N -1 1 346.391 -0.095 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088756321 816734369 /nfs/dbraw/zinc/73/43/69/816734369.db2.gz VZVMYMZYKZEUPG-YSVDCJIHSA-N -1 1 347.419 -0.389 20 0 EBADMM COCCOCN1C[C@H]2C[C@@H](C1)N2C(=O)c1c[nH]c(=S)[n-]c1=O ZINC001273783982 816760237 /nfs/dbraw/zinc/76/02/37/816760237.db2.gz FTGIKAHOJUMIOL-AOOOYVTPSA-N -1 1 340.405 -0.010 20 0 EBADMM CCOCCOCC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H](C)C1 ZINC001274296976 816926273 /nfs/dbraw/zinc/92/62/73/816926273.db2.gz GTMURXSYLGXIEE-QWRGUYRKSA-N -1 1 327.385 -0.500 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cccs3)[C@H](O)C2)nc1=O ZINC001090043351 816982088 /nfs/dbraw/zinc/98/20/88/816982088.db2.gz XCHNAXBBPRZREE-NXEZZACHSA-N -1 1 337.405 -0.465 20 0 EBADMM Cc1ccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)n1C ZINC001090064549 817003777 /nfs/dbraw/zinc/00/37/77/817003777.db2.gz KXWNLHSDXPRLDY-AAEUAGOBSA-N -1 1 348.407 -0.879 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)n1C ZINC001090064552 817003862 /nfs/dbraw/zinc/00/38/62/817003862.db2.gz KXWNLHSDXPRLDY-YPMHNXCESA-N -1 1 348.407 -0.879 20 0 EBADMM Cc1ccoc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001090078549 817012101 /nfs/dbraw/zinc/01/21/01/817012101.db2.gz JWZJQZWVEIZPGF-QWRGUYRKSA-N -1 1 335.364 -0.625 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ccccc3O)[C@@H](O)C2)nc1=O ZINC001090083800 817015651 /nfs/dbraw/zinc/01/56/51/817015651.db2.gz PAVZFFHBQCJRGY-YPMHNXCESA-N -1 1 347.375 -0.821 20 0 EBADMM Cc1cccnc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001090167082 817084527 /nfs/dbraw/zinc/08/45/27/817084527.db2.gz UMJSOBXQXOIGLT-NEPJUHHUSA-N -1 1 346.391 -0.823 20 0 EBADMM CCn1cc(CN2CC[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)nn1 ZINC001090212783 817128396 /nfs/dbraw/zinc/12/83/96/817128396.db2.gz FTFRUOCYDKHWSY-OCCSQVGLSA-N -1 1 346.391 -0.236 20 0 EBADMM C[C@H](CNCc1csnn1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001274957423 817129682 /nfs/dbraw/zinc/12/96/82/817129682.db2.gz UUTOTXZUNGXPEM-SECBINFHSA-N -1 1 338.393 -0.927 20 0 EBADMM O=C(CN1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1)N1CCCC1 ZINC001090213283 817130844 /nfs/dbraw/zinc/13/08/44/817130844.db2.gz IMJFFVPIEHEKBS-TZMCWYRMSA-N -1 1 348.403 -0.425 20 0 EBADMM Cn1ccnc1CN1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001090215539 817132088 /nfs/dbraw/zinc/13/20/88/817132088.db2.gz GKTGCJZJMNAMIW-AAEUAGOBSA-N -1 1 331.376 -0.114 20 0 EBADMM CCn1ncnc1CN1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001090216589 817134843 /nfs/dbraw/zinc/13/48/43/817134843.db2.gz NPDFGZVZUNGMIT-DGCLKSJQSA-N -1 1 346.391 -0.236 20 0 EBADMM C[C@@H]1c2ncc(CC(N)=O)n2CCN1C(=O)c1c[nH]c(=S)[n-]c1=O ZINC001275247349 817177445 /nfs/dbraw/zinc/17/74/45/817177445.db2.gz OLCBVPRHTXXOCD-SSDOTTSWSA-N -1 1 348.388 -0.088 20 0 EBADMM Cn1[n-]c(C(=O)N2CC3(C[C@H]3C(=O)NCc3cnc[nH]3)C2)cc1=O ZINC001275610043 817259033 /nfs/dbraw/zinc/25/90/33/817259033.db2.gz LUROYEWRWUVKNG-JTQLQIEISA-N -1 1 330.348 -0.373 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C3(F)CCCC3)[C@H](O)C2)nc1=O ZINC001090371992 817314457 /nfs/dbraw/zinc/31/44/57/817314457.db2.gz OJQFDYGXNLEQFH-GHMZBOCLSA-N -1 1 341.387 -0.558 20 0 EBADMM CCCC[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CCN(C)C1=O ZINC001276262038 817378402 /nfs/dbraw/zinc/37/84/02/817378402.db2.gz SNAZRDBCQMLYRF-QWRGUYRKSA-N -1 1 338.412 -0.247 20 0 EBADMM Cn1cncc1CN1CC[C@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001276938773 817498015 /nfs/dbraw/zinc/49/80/15/817498015.db2.gz BDAPXAXVBVGZDL-LBPRGKRZSA-N -1 1 346.391 -0.949 20 0 EBADMM CC1(NC(=O)CC(N)=O)CCN(Cc2cc(=O)n3[n-]ccc3n2)CC1 ZINC001278039277 817683174 /nfs/dbraw/zinc/68/31/74/817683174.db2.gz JZJYETHRGZKOSP-UHFFFAOYSA-N -1 1 346.391 -0.631 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C(C3CC3)C3CC3)[C@@H](O)C2)nc1=O ZINC001090717361 817692289 /nfs/dbraw/zinc/69/22/89/817692289.db2.gz WLIQZMZVUZKKQN-STQMWFEESA-N -1 1 349.435 -0.404 20 0 EBADMM Cn1[n-]c(CN[C@@H](CO)CNC(=O)c2cc3ccccc3o2)nc1=O ZINC001278194910 817723091 /nfs/dbraw/zinc/72/30/91/817723091.db2.gz NATWTAHCXDDZGG-LLVKDONJSA-N -1 1 345.359 -0.265 20 0 EBADMM Cc1c[nH]cc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001090804834 817758583 /nfs/dbraw/zinc/75/85/83/817758583.db2.gz KWHNEXWWNCXZDB-VXGBXAGGSA-N -1 1 334.380 -0.890 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C3CCC=CCC3)[C@H](O)C2)nc1=O ZINC001090821153 817778654 /nfs/dbraw/zinc/77/86/54/817778654.db2.gz YPJHSIINHOWIRL-ZIAGYGMSSA-N -1 1 349.435 -0.094 20 0 EBADMM CN(C[C@H]1CCCN1C(=O)C1CC1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001279760332 817982574 /nfs/dbraw/zinc/98/25/74/817982574.db2.gz DQIWQGDYRWOQMY-CYBMUJFWSA-N -1 1 348.403 -0.214 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4cncnc4)C[C@]3(C)C2)nc1=O ZINC001091616593 818017943 /nfs/dbraw/zinc/01/79/43/818017943.db2.gz OEBMHDZMIWCCJI-WBMJQRKESA-N -1 1 343.391 -0.508 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CC[C@H](CNC(=O)C2CC2)C1 ZINC001281236712 818084608 /nfs/dbraw/zinc/08/46/08/818084608.db2.gz YGVLVEIHJVSBQG-WCQYABFASA-N -1 1 348.403 -0.262 20 0 EBADMM O=C(NCCNc1nc(C2CC2)ns1)c1cc(=O)n2[n-]cnc2n1 ZINC001093761801 818096991 /nfs/dbraw/zinc/09/69/91/818096991.db2.gz VGUZYFDWMWVSKK-UHFFFAOYSA-N -1 1 346.376 -0.012 20 0 EBADMM CCN(C(=O)CCn1cc[n-]c(=O)c1=O)[C@H](C)CNC(=O)C(F)F ZINC001281470366 818194336 /nfs/dbraw/zinc/19/43/36/818194336.db2.gz CZXFXIDRLSAULD-SECBINFHSA-N -1 1 346.334 -0.455 20 0 EBADMM O=C(N[C@H]1CC(=O)N(C2CN(CCCO)C2)C1)c1ncccc1[O-] ZINC001094731686 818382231 /nfs/dbraw/zinc/38/22/31/818382231.db2.gz QQHZGBHMAISAIV-NSHDSACASA-N -1 1 334.376 -0.816 20 0 EBADMM C[C@@H](C(=O)NCCNC(=O)CCn1cc[n-]c(=O)c1=O)n1cccc1 ZINC001282975212 818558057 /nfs/dbraw/zinc/55/80/57/818558057.db2.gz PJRAUSWPALJPEL-LBPRGKRZSA-N -1 1 347.375 -0.778 20 0 EBADMM O=C(NCCNC(=O)[C@@H]1Cc2cncn2C(=O)N1)c1ncccc1[O-] ZINC001282981135 818561463 /nfs/dbraw/zinc/56/14/63/818561463.db2.gz FUZXNAKCABEMPC-JTQLQIEISA-N -1 1 344.331 -0.988 20 0 EBADMM O=C(NCCNC(=O)c1ncccc1[O-])c1nccn2ccnc12 ZINC001282981777 818561680 /nfs/dbraw/zinc/56/16/80/818561680.db2.gz MMPRQZPTNXGEGW-UHFFFAOYSA-N -1 1 326.316 -0.010 20 0 EBADMM Cc1c(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)cnn1C ZINC001095181788 818580001 /nfs/dbraw/zinc/58/00/01/818580001.db2.gz ROSOJOOUWOBVPN-WXHSDQCUSA-N -1 1 345.407 -0.314 20 0 EBADMM C[C@H](C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1)n1cccn1 ZINC001095199643 818581764 /nfs/dbraw/zinc/58/17/64/818581764.db2.gz WGRXERHVPHYBBQ-NDBYEHHHSA-N -1 1 345.407 -0.212 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNC(=O)C[C@H]1CC=CCC1 ZINC001283117256 818659583 /nfs/dbraw/zinc/65/95/83/818659583.db2.gz URDVBNRZSMNKDS-ZDUSSCGKSA-N -1 1 348.403 -0.095 20 0 EBADMM CN(CCCNC(=O)c1n[nH]c(=O)[n-]c1=O)c1ncnc2[nH]cnc21 ZINC001095781847 818688855 /nfs/dbraw/zinc/68/88/55/818688855.db2.gz ZDTNNYSULFIESB-UHFFFAOYSA-N -1 1 345.323 -0.795 20 0 EBADMM Cc1ncoc1C(=O)NCCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001283215409 818704635 /nfs/dbraw/zinc/70/46/35/818704635.db2.gz MDGWWXGATUDQGV-UHFFFAOYSA-N -1 1 349.347 -0.841 20 0 EBADMM O=C(C=C1CCC1)NCCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001283219727 818704663 /nfs/dbraw/zinc/70/46/63/818704663.db2.gz ASGMJFRLKNURRQ-UHFFFAOYSA-N -1 1 334.376 -0.341 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001283354226 818772616 /nfs/dbraw/zinc/77/26/16/818772616.db2.gz PLQMRMKTRFOWSE-IJLUTSLNSA-N -1 1 334.376 -0.700 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)on1 ZINC001096793436 818887823 /nfs/dbraw/zinc/88/78/23/818887823.db2.gz GMIWDYJWKUKELM-MXWKQRLJSA-N -1 1 332.364 -0.060 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cccc2ncnn21)NCc1n[nH]c(=O)[n-]1 ZINC001283639353 818892803 /nfs/dbraw/zinc/89/28/03/818892803.db2.gz LEJUWQVNSJYSJB-VIFPVBQESA-N -1 1 330.352 -0.197 20 0 EBADMM CCn1ccnc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001097015986 818926210 /nfs/dbraw/zinc/92/62/10/818926210.db2.gz BKIFXWYIYYHKMA-UTUOFQBUSA-N -1 1 345.407 -0.140 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1C[C@@H](Nc2cnc(F)cn2)C1 ZINC001097201889 818954220 /nfs/dbraw/zinc/95/42/20/818954220.db2.gz MPUMILYSGZKOKL-AOOOYVTPSA-N -1 1 348.338 -0.385 20 0 EBADMM C[C@@H]1CC[C@H](C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)O1 ZINC001097886469 819050691 /nfs/dbraw/zinc/05/06/91/819050691.db2.gz AXRYYQFKGUCXBU-NJMOYASZSA-N -1 1 335.408 -0.103 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)N[C@@H]1C[C@H]2CC[C@@H]1N2CCCO ZINC001098056901 819083015 /nfs/dbraw/zinc/08/30/15/819083015.db2.gz CHHMDPRJNAQUNR-RTXFEEFZSA-N -1 1 346.391 -0.825 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)c2ncccn2)C3)nc1=O ZINC001098082293 819088699 /nfs/dbraw/zinc/08/86/99/819088699.db2.gz KLKVTRQOXHPREN-MXWKQRLJSA-N -1 1 329.364 -0.566 20 0 EBADMM Cc1nc(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)Cn2c(=O)[n-][nH]c2=O)C3)n[nH]1 ZINC001098287710 819122870 /nfs/dbraw/zinc/12/28/70/819122870.db2.gz QXVWHRDFUUWCPW-BBBLOLIVSA-N -1 1 348.367 -0.962 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1CCC(NC(=O)C2CC2)CC1 ZINC001284182452 819128039 /nfs/dbraw/zinc/12/80/39/819128039.db2.gz IHRNXMVEXHKZOU-UHFFFAOYSA-N -1 1 348.403 -0.120 20 0 EBADMM CCC[C@H](C)C(=O)NC[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001284212491 819133675 /nfs/dbraw/zinc/13/36/75/819133675.db2.gz HEFVBYIIIGKPHN-RYUDHWBXSA-N -1 1 338.408 -0.016 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)c1ccn(C)c1 ZINC001284281941 819165707 /nfs/dbraw/zinc/16/57/07/819165707.db2.gz JIWQTLOEIAAVBY-LLVKDONJSA-N -1 1 347.375 -0.800 20 0 EBADMM O=C([C@H]1CCCO1)N1CCC(N(CCO)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001098572501 819251768 /nfs/dbraw/zinc/25/17/68/819251768.db2.gz KUCBXCBZTXVXIC-GFCCVEGCSA-N -1 1 339.396 -0.525 20 0 EBADMM CCC[C@H](C)C(=O)N(C)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001284554331 819285551 /nfs/dbraw/zinc/28/55/51/819285551.db2.gz IPXLJNOIUALRKC-LBPRGKRZSA-N -1 1 338.408 -0.063 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCC[C@]3(NC(=O)[C@@H]3CCCO3)C2)nc1=O ZINC001098719412 819341032 /nfs/dbraw/zinc/34/10/32/819341032.db2.gz UYDRXYUVNSGMTP-MKBNYLNASA-N -1 1 335.408 -0.242 20 0 EBADMM CCCN(C(=O)CCn1cc[n-]c(=O)c1=O)[C@H]1CCN(C(C)=O)C1 ZINC001284815640 819359336 /nfs/dbraw/zinc/35/93/36/819359336.db2.gz NQKUZQBERUGCDR-ZDUSSCGKSA-N -1 1 336.392 -0.214 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1CN(C(=O)c2ccoc2)C1 ZINC001284924062 819412110 /nfs/dbraw/zinc/41/21/10/819412110.db2.gz YLBZIMAUGIKXEK-UHFFFAOYSA-N -1 1 332.316 -0.840 20 0 EBADMM CC[C@@H](CNC(=O)C(=O)NCC1CC1)NC(=O)c1ncccc1[O-] ZINC001285087337 819477681 /nfs/dbraw/zinc/47/76/81/819477681.db2.gz IENYYNFLXMVMIJ-NSHDSACASA-N -1 1 334.376 -0.062 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC=CCNC(=O)[C@@H]1C[C@H]1C ZINC001285596241 819687186 /nfs/dbraw/zinc/68/71/86/819687186.db2.gz RPHLMXSEXJNPJS-HUGTUPKYSA-N -1 1 336.348 -0.045 20 0 EBADMM CC[C@H](C)C(=O)NC[C@@H](C)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001285598112 819687887 /nfs/dbraw/zinc/68/78/87/819687887.db2.gz JJAZWRAAJONBBS-NWDGAFQWSA-N -1 1 338.408 -0.064 20 0 EBADMM CC(C)NC(=O)CN1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001099782985 819691499 /nfs/dbraw/zinc/69/14/99/819691499.db2.gz JOIVYVWHWSDYAG-YPMHNXCESA-N -1 1 336.392 -0.523 20 0 EBADMM CC[C@@H](F)C(=O)N1CC[C@@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285874715 819801753 /nfs/dbraw/zinc/80/17/53/819801753.db2.gz NKDYNEUUOGIOJG-GHMZBOCLSA-N -1 1 340.355 -0.608 20 0 EBADMM O=C(NCC(F)F)C(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001285876481 819802140 /nfs/dbraw/zinc/80/21/40/819802140.db2.gz OBGGITCWOAQZPA-MRVPVSSYSA-N -1 1 342.302 -0.501 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)CCC(C)(F)F)[C@H](O)C2)nc1=O ZINC001099897196 819860066 /nfs/dbraw/zinc/86/00/66/819860066.db2.gz ROLFAFYYLGBAQR-VHSXEESVSA-N -1 1 347.366 -0.405 20 0 EBADMM C=C/C(C)=C/CC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001099953557 819962092 /nfs/dbraw/zinc/96/20/92/819962092.db2.gz BUXUBYPBRJMUKD-NRBOULDASA-N -1 1 335.408 -0.318 20 0 EBADMM C[C@H](CCCNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CNC(=O)N1 ZINC001287302152 820023862 /nfs/dbraw/zinc/02/38/62/820023862.db2.gz DCIWOCVTNCZHIG-NXEZZACHSA-N -1 1 335.364 -0.517 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H](CNC(=O)[C@@H]1CCNC1=O)C1CC1 ZINC001287514864 820066532 /nfs/dbraw/zinc/06/65/32/820066532.db2.gz RQGVNWFDKVAHAX-NEPJUHHUSA-N -1 1 346.387 -0.110 20 0 EBADMM CC(C)C(=O)N1C[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1C ZINC001287911907 820193714 /nfs/dbraw/zinc/19/37/14/820193714.db2.gz XDLSMBORTFAPSA-VXGBXAGGSA-N -1 1 336.392 -0.312 20 0 EBADMM CCN(CCNC(=O)c1ncccc1[O-])c1nccn2nnnc12 ZINC001100515090 820452242 /nfs/dbraw/zinc/45/22/42/820452242.db2.gz AYVQUIPPRYNCQJ-UHFFFAOYSA-N -1 1 328.336 -0.124 20 0 EBADMM CCN(CCNC(=O)c1cc(=O)n2[n-]cnc2n1)c1cnc(F)cn1 ZINC001100567236 820476220 /nfs/dbraw/zinc/47/62/20/820476220.db2.gz CKEJKYPUJFZVDG-UHFFFAOYSA-N -1 1 346.326 -0.397 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@H]1CCCN1c1ncccn1 ZINC001100847587 820573478 /nfs/dbraw/zinc/57/34/78/820573478.db2.gz NBXMPIGXAPDIQY-GFCCVEGCSA-N -1 1 344.375 -0.498 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)NCC1CC(NCc2cscn2)C1 ZINC001100920887 820604662 /nfs/dbraw/zinc/60/46/62/820604662.db2.gz GPZPEIRJYVGVLI-UHFFFAOYSA-N -1 1 338.393 -0.170 20 0 EBADMM Cc1occc1C(=O)NCCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001293129573 820709480 /nfs/dbraw/zinc/70/94/80/820709480.db2.gz XCEXFOHEAOXWPO-UHFFFAOYSA-N -1 1 348.359 -0.236 20 0 EBADMM CO[C@H](C)CC(=O)NCCCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001293187695 820718571 /nfs/dbraw/zinc/71/85/71/820718571.db2.gz QWDNISYKOUJQLW-SECBINFHSA-N -1 1 336.352 -0.921 20 0 EBADMM O=C(Cn1ccccc1=O)NCCCNC(=O)c1ncccc1[O-] ZINC001293262641 820731717 /nfs/dbraw/zinc/73/17/17/820731717.db2.gz PFZWPVCIPXPQNU-UHFFFAOYSA-N -1 1 330.344 -0.115 20 0 EBADMM CN(CCCNC(=O)[C@@H]1CCN(C)C1=O)C(=O)c1ncccc1[O-] ZINC001294255122 820908974 /nfs/dbraw/zinc/90/89/74/820908974.db2.gz NCYBWVOVDSBFRX-NSHDSACASA-N -1 1 334.376 -0.156 20 0 EBADMM Cc1cnn(CC(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C)c1 ZINC001101778120 820913797 /nfs/dbraw/zinc/91/37/97/820913797.db2.gz ZZVDANWBKBXQNK-VXGBXAGGSA-N -1 1 333.396 -0.100 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@H](NC(=O)C(F)F)C1CC1 ZINC001294912825 821022956 /nfs/dbraw/zinc/02/29/56/821022956.db2.gz LGNLOISIGAVKJR-VIFPVBQESA-N -1 1 344.318 -0.797 20 0 EBADMM CC(=O)NC(C)(C)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102470564 821115943 /nfs/dbraw/zinc/11/59/43/821115943.db2.gz JROVMHSRAAZNRO-MWLCHTKSSA-N -1 1 338.412 -0.391 20 0 EBADMM CN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)[C@]1(C)C=CCC1 ZINC001296153475 821217921 /nfs/dbraw/zinc/21/79/21/821217921.db2.gz RWHNUZGQFGEWEX-QGZVFWFLSA-N -1 1 348.403 -0.142 20 0 EBADMM C/C=C(\C)C(=O)N(CC)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001296336613 821239819 /nfs/dbraw/zinc/23/98/19/821239819.db2.gz CMKODTQIGCJPPD-UUILKARUSA-N -1 1 336.392 -0.142 20 0 EBADMM CC[C@H](CNC(=O)Cn1c(=O)[n-][nH]c1=O)Nc1ncnc2[nH]cnc21 ZINC001103158247 821267920 /nfs/dbraw/zinc/26/79/20/821267920.db2.gz AODZZJBBNNKRRS-SSDOTTSWSA-N -1 1 347.339 -0.638 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)[C@@H]1CCNC1=O ZINC001103316613 821288709 /nfs/dbraw/zinc/28/87/09/821288709.db2.gz KOTDTGMLCSFCFD-MXWKQRLJSA-N -1 1 336.396 -0.779 20 0 EBADMM CC[C@@H](CNC(=O)[C@H]1C[C@@H]1C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001297469284 821378021 /nfs/dbraw/zinc/37/80/21/821378021.db2.gz LWTLQHFDGFFWCH-SRVKXCTJSA-N -1 1 336.392 -0.406 20 0 EBADMM C[C@@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)CNc1ncc(F)cn1 ZINC001104036227 821390889 /nfs/dbraw/zinc/39/08/89/821390889.db2.gz CVCONIIHQUDUOE-QMMMGPOBSA-N -1 1 346.326 -0.175 20 0 EBADMM C[C@@H](C(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1)n1cncn1 ZINC001104093762 821399378 /nfs/dbraw/zinc/39/93/78/821399378.db2.gz WKTDGEHAPYJNEW-GRYCIOLGSA-N -1 1 348.411 -0.063 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2COC(=O)N2)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001104144234 821410751 /nfs/dbraw/zinc/41/07/51/821410751.db2.gz MSVMZSRACQDUAB-OPRDCNLKSA-N -1 1 338.368 -0.807 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC/C=C/CNC(=O)C1=CCCC1 ZINC001298347935 821514122 /nfs/dbraw/zinc/51/41/22/821514122.db2.gz LKVIVZJAGZSRDC-ONEGZZNKSA-N -1 1 346.387 -0.174 20 0 EBADMM O=C(NC/C=C\CNC(=O)[C@@H]1CCC(=O)NC1)c1ncccc1[O-] ZINC001298357016 821516067 /nfs/dbraw/zinc/51/60/67/821516067.db2.gz OYARNIJUJFLRJX-RFMOXSJOSA-N -1 1 332.360 -0.284 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2C[C@H]2C(N)=O)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001104687150 821531561 /nfs/dbraw/zinc/53/15/61/821531561.db2.gz YHEMGVTZQNGGOW-DBIOUOCHSA-N -1 1 336.396 -0.794 20 0 EBADMM C[C@@H]1CN(C(=O)CC(=O)N(C)C)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001104765699 821542034 /nfs/dbraw/zinc/54/20/34/821542034.db2.gz NJDYYOQMAAKGFZ-GHMZBOCLSA-N -1 1 338.412 -0.437 20 0 EBADMM CC/C=C(\C)C(=O)N[C@H](C)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001298539301 821567759 /nfs/dbraw/zinc/56/77/59/821567759.db2.gz FMZHBTPFEDEWTJ-JXDHDYMSSA-N -1 1 336.392 -0.096 20 0 EBADMM C[C@@H]1CN(C(=O)Cn2cncn2)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001104986651 821568510 /nfs/dbraw/zinc/56/85/10/821568510.db2.gz OHGKNTDTCZXMBO-GHMZBOCLSA-N -1 1 334.384 -0.624 20 0 EBADMM C[C@H](CNC(=O)c1ccco1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001298651052 821581371 /nfs/dbraw/zinc/58/13/71/821581371.db2.gz XGOSAKKRSVWDJV-SNVBAGLBSA-N -1 1 334.332 -0.546 20 0 EBADMM C[C@@H](CNC(=O)CC(C)(C)C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001298825224 821604158 /nfs/dbraw/zinc/60/41/58/821604158.db2.gz IXBOYZIHJLMGFT-NSHDSACASA-N -1 1 338.408 -0.016 20 0 EBADMM O=C(C=C1CCC1)NC[C@@H]1CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001299005368 821624205 /nfs/dbraw/zinc/62/42/05/821624205.db2.gz NXNGNAAJKZJWGT-ZDUSSCGKSA-N -1 1 346.387 -0.246 20 0 EBADMM NC(=O)C(=O)N[C@H]1C[C@@](CNCc2n[nH]c(=O)[n-]2)(c2ccccc2)C1 ZINC001105437359 821751106 /nfs/dbraw/zinc/75/11/06/821751106.db2.gz XLZMXIWXBCIHCF-AITUWYQGSA-N -1 1 344.375 -0.698 20 0 EBADMM CNC(=O)NC[C@H]1CCCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001302490999 821751640 /nfs/dbraw/zinc/75/16/40/821751640.db2.gz WNFUJWJFEZVPSP-LLVKDONJSA-N -1 1 337.380 -0.763 20 0 EBADMM COC(=O)[C@@H]1[C@H](CNC(=O)CCn2cc[n-]c(=O)c2=O)C1(F)F ZINC001303166295 821755108 /nfs/dbraw/zinc/75/51/08/821755108.db2.gz KUJCPVJEQVEHEU-CBAPKCEASA-N -1 1 331.275 -0.903 20 0 EBADMM COC[C@@H](NC(=O)c1cccc(Cn2ccnn2)c1)c1nn[n-]n1 ZINC001303580219 821757845 /nfs/dbraw/zinc/75/78/45/821757845.db2.gz SHLOXDYUJBHBJP-GFCCVEGCSA-N -1 1 328.336 -0.043 20 0 EBADMM O=C1CSC(=O)N1CC[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC001309080344 821774178 /nfs/dbraw/zinc/77/41/78/821774178.db2.gz AHKLQFPALYLJHG-UHFFFAOYSA-N -1 1 341.436 -0.222 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCOc2cccc(F)c2)c(=O)[nH]c1=O ZINC001309849402 821782156 /nfs/dbraw/zinc/78/21/56/821782156.db2.gz GRZVNZXBHUDQFI-UHFFFAOYSA-N -1 1 343.336 -0.018 20 0 EBADMM O=C(Nc1nc2c(s1)CS(=O)(=O)CC2)NN1CC(=O)[N-]C1=O ZINC001310866563 821786595 /nfs/dbraw/zinc/78/65/95/821786595.db2.gz MJKVSRVSEBYADZ-UHFFFAOYSA-N -1 1 345.362 -0.798 20 0 EBADMM O=C(N[C@@H]1CCc2nnc(CNCCO)n2CC1)c1ncccc1[O-] ZINC001127977791 828374202 /nfs/dbraw/zinc/37/42/02/828374202.db2.gz RJYLMEPZLQQFQV-LLVKDONJSA-N -1 1 346.391 -0.405 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCCN(Cc2ccon2)C1 ZINC001316956204 821934662 /nfs/dbraw/zinc/93/46/62/821934662.db2.gz NUFSTOICFRJECI-GFCCVEGCSA-N -1 1 347.375 -0.305 20 0 EBADMM O=C(N[C@@H]1CCN(CCOCC(F)F)C1)c1n[nH]c(=O)[n-]c1=O ZINC001316981342 821958927 /nfs/dbraw/zinc/95/89/27/821958927.db2.gz UBOZXPXNWKAOKW-SSDOTTSWSA-N -1 1 333.295 -0.631 20 0 EBADMM O=C(NC[C@@H](NCc1n[nH]c(=O)[n-]1)C1CC1)c1cnc2nccn2c1 ZINC001317018415 821975816 /nfs/dbraw/zinc/97/58/16/821975816.db2.gz FZMXISOACQMSON-LLVKDONJSA-N -1 1 342.363 -0.149 20 0 EBADMM CCN(CCNc1cc(F)ncn1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001106800456 822333245 /nfs/dbraw/zinc/33/32/45/822333245.db2.gz XATHNCCWFTVPOT-UHFFFAOYSA-N -1 1 346.326 -0.079 20 0 EBADMM Cn1[n-]c(CN2CCn3ncc(CNC(=O)C4(C)CC4)c3C2)nc1=O ZINC001128356369 828449242 /nfs/dbraw/zinc/44/92/42/828449242.db2.gz XDMFFEXPLHBHHN-UHFFFAOYSA-N -1 1 345.407 -0.263 20 0 EBADMM COc1cccc2c1n[nH]c2C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001128381204 828452838 /nfs/dbraw/zinc/45/28/38/828452838.db2.gz RRBBLNWPRIKIGE-UHFFFAOYSA-N -1 1 331.336 -0.085 20 0 EBADMM CCC(CC)N1C[C@@H](C(=O)NCCNCc2n[nH]c(=O)[n-]2)CC1=O ZINC001128679362 828506886 /nfs/dbraw/zinc/50/68/86/828506886.db2.gz GNKIGSYHZCMBJD-JTQLQIEISA-N -1 1 338.412 -0.247 20 0 EBADMM COCCCN1C[C@@H](NC(=O)c2[nH]nc(C)c2[O-])[C@@H](n2ccnn2)C1 ZINC001128898129 828545490 /nfs/dbraw/zinc/54/54/90/828545490.db2.gz RUIJWJSJVAPQRK-NEPJUHHUSA-N -1 1 349.395 -0.293 20 0 EBADMM O=C(NC/C=C/CNc1nccnc1F)c1cc(=O)n2[n-]cnc2n1 ZINC001107262773 823830140 /nfs/dbraw/zinc/83/01/40/823830140.db2.gz HOSZOPMNGQKYQY-OWOJBTEDSA-N -1 1 344.310 -0.255 20 0 EBADMM CC(C)OCC(=O)NC[C@@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107788483 823964508 /nfs/dbraw/zinc/96/45/08/823964508.db2.gz ATCSNURVYNBRSN-HNNXBMFYSA-N -1 1 341.412 -0.760 20 0 EBADMM Cn1[n-]c(CN2CCO[C@](C)(CNC(=O)c3cocn3)C2)nc1=O ZINC001107813862 823977028 /nfs/dbraw/zinc/97/70/28/823977028.db2.gz WWQTWYDQNZENAG-CQSZACIVSA-N -1 1 336.352 -0.883 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@](C)(CNC(=O)/C=C\C3CC3)C2)nc1=O ZINC001107842215 823996341 /nfs/dbraw/zinc/99/63/41/823996341.db2.gz YHDKWCLVVOQLTL-KJPDOMRESA-N -1 1 335.408 -0.218 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@](C)(CNC(=O)[C@@H]3CC3(C)C)C2)nc1=O ZINC001107874113 824008376 /nfs/dbraw/zinc/00/83/76/824008376.db2.gz JPGYUMBROILONP-ZBEGNZNMSA-N -1 1 337.424 -0.138 20 0 EBADMM C[C@H](F)CCN1CCO[C@](C)(CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001108051835 824107312 /nfs/dbraw/zinc/10/73/12/824107312.db2.gz PYNKCEVLFXIFTQ-LKFCYVNXSA-N -1 1 343.359 -0.148 20 0 EBADMM C[C@]1(CNC(=O)Cc2cnc[nH]2)CN(Cc2n[nH]c(=O)[n-]2)CCO1 ZINC001108244981 824179432 /nfs/dbraw/zinc/17/94/32/824179432.db2.gz QGVLBVKKCYCSHJ-AWEZNQCLSA-N -1 1 335.368 -0.817 20 0 EBADMM Cc1nsc(NC[C@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001108445453 824290068 /nfs/dbraw/zinc/29/00/68/824290068.db2.gz VKSOVAKJUDMFJX-QMMMGPOBSA-N -1 1 338.393 -0.297 20 0 EBADMM C[C@H](CN(C)c1ncccn1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001109021065 824473298 /nfs/dbraw/zinc/47/32/98/824473298.db2.gz VPICPDXGVZEZDX-LLVKDONJSA-N -1 1 332.364 -0.642 20 0 EBADMM Cc1ccc(N(C)C[C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)nn1 ZINC001109039736 824475911 /nfs/dbraw/zinc/47/59/11/824475911.db2.gz QUCOUDMNYQBRRR-SNVBAGLBSA-N -1 1 342.363 -0.229 20 0 EBADMM NC(=O)NC(=O)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncccc1[O-])C2 ZINC001109175364 824496993 /nfs/dbraw/zinc/49/69/93/824496993.db2.gz HWIWPVIVFZOXRI-UTLUCORTSA-N -1 1 333.348 -0.683 20 0 EBADMM Cc1nccc(NCCCN(C)C(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001109480322 824549447 /nfs/dbraw/zinc/54/94/47/824549447.db2.gz FVLKGIVWPURLFV-UHFFFAOYSA-N -1 1 346.391 -0.014 20 0 EBADMM Cc1ccc(NC2(CNC(=O)c3cc(=O)n4[n-]cnc4n3)CC2)nn1 ZINC001110182761 824672617 /nfs/dbraw/zinc/67/26/17/824672617.db2.gz YRZYBBLYPZFNOD-UHFFFAOYSA-N -1 1 340.347 -0.109 20 0 EBADMM O=C(NCC1(Nc2ncc(F)cn2)CC1)c1cc(=O)n2[n-]cnc2n1 ZINC001110182656 824672977 /nfs/dbraw/zinc/67/29/77/824672977.db2.gz SJXUKULXIVYSOS-UHFFFAOYSA-N -1 1 344.310 -0.279 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)[C@H]1CCCN1c1ncccn1 ZINC001129888813 828687898 /nfs/dbraw/zinc/68/78/98/828687898.db2.gz GQYXEIWHFFDION-SNVBAGLBSA-N -1 1 332.368 -0.825 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)CC2(O)CCC2)C3)nc1=O ZINC001110537447 824749734 /nfs/dbraw/zinc/74/97/34/824749734.db2.gz QHZXKOLPBOHBEB-UTUOFQBUSA-N -1 1 335.408 -0.365 20 0 EBADMM C[C@@]1(NC(=O)CCn2cc[n-]c(=O)c2=O)CCN(c2ncccn2)C1 ZINC001110773662 824788475 /nfs/dbraw/zinc/78/84/75/824788475.db2.gz NTYRJOHBTSQKES-MRXNPFEDSA-N -1 1 344.375 -0.498 20 0 EBADMM COc1ccnc(N2CC[C@@](O)(CNC(=O)c3ncccc3[O-])C2)n1 ZINC001111066549 824894802 /nfs/dbraw/zinc/89/48/02/824894802.db2.gz UZOHJAMYRCBNBE-MRXNPFEDSA-N -1 1 345.359 -0.043 20 0 EBADMM CCC(=O)NCc1c[nH]nc1[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001130340996 828791585 /nfs/dbraw/zinc/79/15/85/828791585.db2.gz OCLSQDJWTBBHPB-SNVBAGLBSA-N -1 1 333.396 -0.153 20 0 EBADMM COCCCCCN1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001113071399 825748834 /nfs/dbraw/zinc/74/88/34/825748834.db2.gz VZSWMDLFXLCZEJ-UHFFFAOYSA-N -1 1 325.369 -0.143 20 0 EBADMM C[C@@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)N(C)c1cc(F)ncn1 ZINC001113657266 825967962 /nfs/dbraw/zinc/96/79/62/825967962.db2.gz JSKYUZWXNQTKET-QMMMGPOBSA-N -1 1 346.326 -0.399 20 0 EBADMM Cc1ccnc(N(C)[C@H](C)CNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001113657722 825967988 /nfs/dbraw/zinc/96/79/88/825967988.db2.gz UXQWGMNVNWPURI-SNVBAGLBSA-N -1 1 342.363 -0.229 20 0 EBADMM CC(C)OCCCC(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001113730141 825999844 /nfs/dbraw/zinc/99/98/44/825999844.db2.gz PFENMEMETJWYJI-UHFFFAOYSA-N -1 1 325.413 -0.042 20 0 EBADMM Cc1cc(N2CCOCC2)ccc1NC(=O)C(=O)NCc1nn[n-]n1 ZINC001116103453 826599365 /nfs/dbraw/zinc/59/93/65/826599365.db2.gz GPQVMQBQCDTSSZ-UHFFFAOYSA-N -1 1 345.363 -0.400 20 0 EBADMM O=C([N-]OCC(F)F)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001116600841 826628197 /nfs/dbraw/zinc/62/81/97/826628197.db2.gz PVSLLFZAKINWJW-YUMQZZPRSA-N -1 1 326.321 -0.665 20 0 EBADMM O=C(Nc1ccc(F)cc1OC(F)F)C(=O)NN1CC(=O)[N-]C1=O ZINC001117438918 826748985 /nfs/dbraw/zinc/74/89/85/826748985.db2.gz SONYLJYCVAIPAY-UHFFFAOYSA-N -1 1 346.221 -0.051 20 0 EBADMM CCn1ncc2ccc(NC(=O)C(=O)NN3CC(=O)[N-]C3=O)cc21 ZINC001117438919 826748995 /nfs/dbraw/zinc/74/89/95/826748995.db2.gz SOYKIJMEQCCFJY-UHFFFAOYSA-N -1 1 330.304 -0.422 20 0 EBADMM O=C([O-])c1ccc(CN2C(=O)C[C@@H](NCCn3ncnn3)C2=O)cc1 ZINC001118277130 826895784 /nfs/dbraw/zinc/89/57/84/826895784.db2.gz GEUHBUMHLQZZTA-GFCCVEGCSA-N -1 1 344.331 -0.711 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)N2CCC[C@H](C(=O)[O-])C2)C1 ZINC001119332945 827099447 /nfs/dbraw/zinc/09/94/47/827099447.db2.gz MXNPFTAMULYBIY-RYUDHWBXSA-N -1 1 327.381 -0.854 20 0 EBADMM Cc1csc(N2CCN(C(=O)[C@@]3(C(=O)[O-])CNCCO3)CC2)n1 ZINC001121990841 827496750 /nfs/dbraw/zinc/49/67/50/827496750.db2.gz IZGUCJYRYJBZED-CQSZACIVSA-N -1 1 340.405 -0.457 20 0 EBADMM O=C([O-])[C@@]1(C(=O)NCCOC(F)(F)C(F)(F)F)CNCCO1 ZINC001122120541 827523773 /nfs/dbraw/zinc/52/37/73/827523773.db2.gz WUILZSKBAGYTJR-QMMMGPOBSA-N -1 1 336.213 -0.283 20 0 EBADMM O=C([O-])[C@]1(C(=O)NC[C@]2(C(F)(F)F)CCCN2)CNCCO1 ZINC001122198052 827543595 /nfs/dbraw/zinc/54/35/95/827543595.db2.gz BLKYPUNZMXUAOC-WDEREUQCSA-N -1 1 325.287 -0.770 20 0 EBADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)COCc1ccnn1C ZINC001122726795 827641529 /nfs/dbraw/zinc/64/15/29/827641529.db2.gz GGNIDSNEEPBLBZ-UHFFFAOYSA-N -1 1 346.347 -0.238 20 0 EBADMM C[C@H]1[C@H](NC(=O)[C@@H]2CCCN2CC2CC2)C(=O)N1S(=O)(=O)[O-] ZINC001182559304 833244071 /nfs/dbraw/zinc/24/40/71/833244071.db2.gz GFXMVGFSNFERBW-LSJOCFKGSA-N -1 1 331.394 -0.621 20 0 EBADMM O=C(CCn1cc(Br)ccc1=O)NCc1nn[n-]n1 ZINC001183244350 833284556 /nfs/dbraw/zinc/28/45/56/833284556.db2.gz CIQYZMJGTAHPNQ-UHFFFAOYSA-N -1 1 327.142 -0.170 20 0 EBADMM Cc1nnc(NC(=O)CNCCNC(=O)c2[nH]nc(C)c2[O-])s1 ZINC001125734116 828129170 /nfs/dbraw/zinc/12/91/70/828129170.db2.gz FZZUFIRPPUBUJO-UHFFFAOYSA-N -1 1 339.381 -0.458 20 0 EBADMM Cc1n[nH]c(C(=O)NCCNCC(=O)N(C)c2nccs2)c1[O-] ZINC001125745928 828129570 /nfs/dbraw/zinc/12/95/70/828129570.db2.gz WRAMGCPWZQJCJY-UHFFFAOYSA-N -1 1 338.393 -0.137 20 0 EBADMM O=C(COCc1cc[nH]c(=O)c1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001183621709 833297119 /nfs/dbraw/zinc/29/71/19/833297119.db2.gz NUSDHLOXJLKOKG-LLVKDONJSA-N -1 1 348.363 -0.482 20 0 EBADMM CC[C@@H](C)C(=O)C(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001184325692 833327090 /nfs/dbraw/zinc/32/70/90/833327090.db2.gz QKTIALDRUNNEOH-KOLCDFICSA-N -1 1 338.364 -0.357 20 0 EBADMM Cc1nccnc1CNCCNC(=O)CCc1n[nH]c(=O)[n-]c1=O ZINC001130757181 828930315 /nfs/dbraw/zinc/93/03/15/828930315.db2.gz VBGGYDUMCSOJHL-UHFFFAOYSA-N -1 1 333.352 -0.780 20 0 EBADMM Cc1ccc(NC(=O)CNCCNC(=O)c2n[nH]c(=O)[n-]c2=O)cc1 ZINC001130863100 828979223 /nfs/dbraw/zinc/97/92/23/828979223.db2.gz KKIYJQSNJCSHSC-UHFFFAOYSA-N -1 1 346.347 -0.451 20 0 EBADMM O=C(NCCNCc1cccc2c1OCCO2)c1n[nH]c(=O)[n-]c1=O ZINC001130865300 828982341 /nfs/dbraw/zinc/98/23/41/828982341.db2.gz TXPYOERWDNVFGT-UHFFFAOYSA-N -1 1 347.331 -0.426 20 0 EBADMM COCCCCC(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001185629567 833364373 /nfs/dbraw/zinc/36/43/73/833364373.db2.gz GFXROXMLTISGRI-LBPRGKRZSA-N -1 1 340.380 -0.155 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)CCn2cccn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001131822634 829228556 /nfs/dbraw/zinc/22/85/56/829228556.db2.gz ZAPAURGCLOYXBA-QWHCGFSZSA-N -1 1 347.423 -0.136 20 0 EBADMM NC(=O)COc1ccc(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cc1 ZINC001186072417 833387586 /nfs/dbraw/zinc/38/75/86/833387586.db2.gz STUHMWYTAPIHGF-UHFFFAOYSA-N -1 1 344.287 -0.168 20 0 EBADMM CC(C)(C)N1CCC[C@@H](C(=O)NCCNCc2n[nH]c(=O)[n-]2)C1=O ZINC001132723322 829511084 /nfs/dbraw/zinc/51/10/84/829511084.db2.gz SOSZVPKXZNNHGR-JTQLQIEISA-N -1 1 338.412 -0.247 20 0 EBADMM CCOC(=O)[C@@]1(C(C)=O)CCC(=O)[C@H](C(=O)NCc2nn[n-]n2)C1 ZINC001186803922 833428998 /nfs/dbraw/zinc/42/89/98/833428998.db2.gz GEQYOWNLYBTKSW-OTYXRUKQSA-N -1 1 337.336 -0.676 20 0 EBADMM Cn1cc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)c(C(F)(F)F)n1 ZINC001134407007 829767561 /nfs/dbraw/zinc/76/75/61/829767561.db2.gz MDQFHROGFAMULE-UHFFFAOYSA-N -1 1 333.274 -0.218 20 0 EBADMM Cc1cccn2cc(CC(=O)NCCNCc3n[nH]c(=O)[n-]3)nc12 ZINC001135310005 829943549 /nfs/dbraw/zinc/94/35/49/829943549.db2.gz RVHJPCMJYSPFQU-UHFFFAOYSA-N -1 1 329.364 -0.085 20 0 EBADMM Cc1nc(CNCCNC(=O)CCn2cc[n-]c(=O)c2=O)oc1C ZINC001135387208 829959406 /nfs/dbraw/zinc/95/94/06/829959406.db2.gz LVGYTZOZBAMKKB-UHFFFAOYSA-N -1 1 335.364 -0.562 20 0 EBADMM C[C@H](C[C@@H](C)NC(=O)Cn1c(=O)[n-][nH]c1=O)NCc1nncs1 ZINC001136036865 830068860 /nfs/dbraw/zinc/06/88/60/830068860.db2.gz ZEUGICFTXFSOKL-HTQZYQBOSA-N -1 1 341.397 -0.386 20 0 EBADMM O=C(c1ccnc(-n2cccn2)c1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001138987691 830100554 /nfs/dbraw/zinc/10/05/54/830100554.db2.gz TWYNGPAMMLPTAA-UHFFFAOYSA-N -1 1 340.347 -0.096 20 0 EBADMM CC[C@@H](CNS(C)(=O)=O)NC(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001141038068 830109796 /nfs/dbraw/zinc/10/97/96/830109796.db2.gz GUQWGBYSGOMWDT-ZETCQYMHSA-N -1 1 334.423 -0.163 20 0 EBADMM Cc1cnn(CC(=O)NCCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])c1 ZINC001142177412 830123991 /nfs/dbraw/zinc/12/39/91/830123991.db2.gz QUWSOFRZXRTIHJ-LBPRGKRZSA-N -1 1 348.363 -0.767 20 0 EBADMM CN(C)C(=O)C(=O)Nc1cccc2c(=O)cc(-c3nn[n-]n3)oc12 ZINC001143277096 830148431 /nfs/dbraw/zinc/14/84/31/830148431.db2.gz UKYJFPMSWLNGAN-UHFFFAOYSA-N -1 1 328.288 0.000 20 0 EBADMM O=C(NCCOP(=O)([O-])[O-])[C@H]1[C@H](O)CC[N@@H+]1Cc1ccccc1 ZINC001143675661 830155221 /nfs/dbraw/zinc/15/52/21/830155221.db2.gz JPWWBYFWOABQSZ-CHWSQXEVSA-N -1 1 344.304 -0.153 20 0 EBADMM O=C(NCCOP(=O)([O-])[O-])[C@H]1[C@H](O)CC[N@H+]1Cc1ccccc1 ZINC001143675661 830155224 /nfs/dbraw/zinc/15/52/24/830155224.db2.gz JPWWBYFWOABQSZ-CHWSQXEVSA-N -1 1 344.304 -0.153 20 0 EBADMM CC(C)OCCC(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001147060981 830276441 /nfs/dbraw/zinc/27/64/41/830276441.db2.gz FOUACIHDRZCRSV-UHFFFAOYSA-N -1 1 337.424 -0.042 20 0 EBADMM CCO[C@@H](CC)C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001147095058 830287135 /nfs/dbraw/zinc/28/71/35/830287135.db2.gz SBGNAQGIXHANKL-LBPRGKRZSA-N -1 1 337.424 -0.042 20 0 EBADMM CC[C@H](C)OCC(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001147345495 830325234 /nfs/dbraw/zinc/32/52/34/830325234.db2.gz ADQNMBMENOQHLF-LBPRGKRZSA-N -1 1 337.424 -0.042 20 0 EBADMM CNC(=O)NC(=O)CN1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001147386936 830333035 /nfs/dbraw/zinc/33/30/35/830333035.db2.gz MPAGQXDHMGGDHY-UHFFFAOYSA-N -1 1 347.375 -0.609 20 0 EBADMM Cc1nc(CNCCNC(=O)c2cc(=O)n3[n-]cnc3n2)c(C)o1 ZINC001147711738 830399956 /nfs/dbraw/zinc/39/99/56/830399956.db2.gz DKUFUYWTNXVLLT-UHFFFAOYSA-N -1 1 331.336 -0.458 20 0 EBADMM Cc1nnc([C@@H](C)NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)s1 ZINC001147712155 830400005 /nfs/dbraw/zinc/40/00/05/830400005.db2.gz LYBZOZKUBLCGEB-SSDOTTSWSA-N -1 1 348.392 -0.342 20 0 EBADMM C[C@H](NCCNC(=O)c1cc(=O)n2[n-]cnc2n1)c1csnn1 ZINC001147712867 830400994 /nfs/dbraw/zinc/40/09/94/830400994.db2.gz XYXWTLILJHEMKA-ZETCQYMHSA-N -1 1 334.365 -0.650 20 0 EBADMM Cc1noc([C@@H](C)NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001147725237 830403205 /nfs/dbraw/zinc/40/32/05/830403205.db2.gz CHAYBSCDQQEJEN-SSDOTTSWSA-N -1 1 332.324 -0.810 20 0 EBADMM CCOCC1(C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)CC1 ZINC001147798612 830414452 /nfs/dbraw/zinc/41/44/52/830414452.db2.gz BCKGGDPIQOFOEK-UHFFFAOYSA-N -1 1 349.435 -0.041 20 0 EBADMM N[C@@H]1NCN(C(=O)c2ccc(C(F)(F)F)cc2[O-])[C@@H]2NCN[C@H]21 ZINC001148805907 830542545 /nfs/dbraw/zinc/54/25/45/830542545.db2.gz HNMBKRPYSIDZIL-AXFHLTTASA-N -1 1 331.298 -0.456 20 0 EBADMM Cn1ncc(C(F)(F)F)c1C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001149559401 830695966 /nfs/dbraw/zinc/69/59/66/830695966.db2.gz RWULYQHKCMGEJX-UHFFFAOYSA-N -1 1 333.274 -0.218 20 0 EBADMM CCn1nnc2cc(C(=O)NCCNCc3n[nH]c(=O)[n-]3)ccc21 ZINC001150718264 830970205 /nfs/dbraw/zinc/97/02/05/830970205.db2.gz WDFRYLJBSGLPHS-UHFFFAOYSA-N -1 1 330.352 -0.206 20 0 EBADMM O=C(c1ccc2[nH]c(CO)nc2c1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001150803353 830986721 /nfs/dbraw/zinc/98/67/21/830986721.db2.gz NTATVIFEFLJQGZ-LLVKDONJSA-N -1 1 329.320 -0.218 20 0 EBADMM O=C(CCCNC(=O)c1ccco1)NCCNCc1n[nH]c(=O)[n-]1 ZINC001150812367 830989074 /nfs/dbraw/zinc/98/90/74/830989074.db2.gz JFUVHZOCYRSERU-UHFFFAOYSA-N -1 1 336.352 -0.481 20 0 EBADMM COCc1ncc2c(n1)CCN(C(=O)CCn1cc[n-]c(=O)c1=O)C2 ZINC001151452452 831077716 /nfs/dbraw/zinc/07/77/16/831077716.db2.gz LIJTZGMITODRST-UHFFFAOYSA-N -1 1 345.359 -0.552 20 0 EBADMM CC(C)C(=O)N1CCCC[C@@H]1C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001152186216 831140360 /nfs/dbraw/zinc/14/03/60/831140360.db2.gz GJUONBBIARNXPE-LLVKDONJSA-N -1 1 338.412 -0.247 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)[C@@H]1CCCN1CC(F)(F)F ZINC001152474117 831166532 /nfs/dbraw/zinc/16/65/32/831166532.db2.gz ZZGLRUORZKPMLH-QMMMGPOBSA-N -1 1 336.318 -0.257 20 0 EBADMM COC[C@@H](NC(=O)c1nn(C)c(=O)c2ccccc21)c1nn[n-]n1 ZINC001155068400 831383315 /nfs/dbraw/zinc/38/33/15/831383315.db2.gz HLSFJZLXESKTKF-SNVBAGLBSA-N -1 1 329.320 -0.436 20 0 EBADMM Cc1cn2nnc(C(=O)N3CCC[C@@H](c4nn[n-]n4)C3)c2c(=O)[nH]1 ZINC001155085352 831384527 /nfs/dbraw/zinc/38/45/27/831384527.db2.gz JNFVPCJATFXXMB-MRVPVSSYSA-N -1 1 329.324 -0.329 20 0 EBADMM CCC[C@@H](C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1OC ZINC001212052800 837530040 /nfs/dbraw/zinc/53/00/40/837530040.db2.gz DPOGOQRUHGYGDI-IJLUTSLNSA-N -1 1 325.413 -0.140 20 0 EBADMM C[C@H]1[C@H](Nc2nc(Cl)nc3c2CNCC3)C(=O)N1S(=O)(=O)[O-] ZINC001157067787 831529973 /nfs/dbraw/zinc/52/99/73/831529973.db2.gz SDDGFGXIWQRONI-XNCJUZBTSA-N -1 1 347.784 -0.410 20 0 EBADMM C[C@@H](NC(=O)CCc1[nH]c(O)nc1O)c1n[n-]c(C(F)(F)F)n1 ZINC001157235121 831546303 /nfs/dbraw/zinc/54/63/03/831546303.db2.gz UVWFXAPQAMROMY-UHNVWZDZSA-N -1 1 334.258 -0.011 20 0 EBADMM C[C@@H](NC(=O)CCc1[nH]c(O)nc1O)c1nnc(C(F)(F)F)[n-]1 ZINC001157235121 831546306 /nfs/dbraw/zinc/54/63/06/831546306.db2.gz UVWFXAPQAMROMY-UHNVWZDZSA-N -1 1 334.258 -0.011 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1C[C@@H]2C(=O)NC(=O)[C@@H]2C1 ZINC001158795944 831687807 /nfs/dbraw/zinc/68/78/07/831687807.db2.gz FAAHNNIKBXJUKT-PHIMTYICSA-N -1 1 343.343 -0.675 20 0 EBADMM Cn1[n-]c(CN2CC=C(CCNC(=O)[C@@H]3CCOC3)CC2)nc1=O ZINC001159488196 831755965 /nfs/dbraw/zinc/75/59/65/831755965.db2.gz LQZNSVOGEOXWGX-CYBMUJFWSA-N -1 1 335.408 -0.217 20 0 EBADMM Cn1cnc2c1nc(Cl)nc2Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001160847571 831894422 /nfs/dbraw/zinc/89/44/22/831894422.db2.gz AUVBNQJGUMQRQU-SCSAIBSYSA-N -1 1 325.741 -0.036 20 0 EBADMM COC(=O)c1ncnc(Nc2c(O)[nH]c(=O)[n-]c2=S)c1OC ZINC001160857141 831896510 /nfs/dbraw/zinc/89/65/10/831896510.db2.gz ALVFDAQTPHEVGG-YFKPBYRVSA-N -1 1 325.306 -0.781 20 0 EBADMM Cn1[n-]c(CN2CC=C(CCNC(=O)Cc3ncc[nH]3)CC2)nc1=O ZINC001161553536 831971313 /nfs/dbraw/zinc/97/13/13/831971313.db2.gz DJJDYMQISZWAQF-UHFFFAOYSA-N -1 1 345.407 -0.287 20 0 EBADMM CC(C)n1ncnc1CNCCCNC(=O)c1cnc([O-])n(C)c1=O ZINC001163781977 832138786 /nfs/dbraw/zinc/13/87/86/832138786.db2.gz XNYQUYFOBDDMNI-UHFFFAOYSA-N -1 1 349.395 -0.432 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC[C@@H](NC(=O)CC(N)=O)C1 ZINC001350581524 832178382 /nfs/dbraw/zinc/17/83/82/832178382.db2.gz YLICQAQFBCHQBL-SNVBAGLBSA-N -1 1 349.391 -0.180 20 0 EBADMM Cn1oc(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)cc1=O ZINC001164280132 832180277 /nfs/dbraw/zinc/18/02/77/832180277.db2.gz NNLIHZVQFFEQOJ-UHFFFAOYSA-N -1 1 336.352 -0.508 20 0 EBADMM Cn1nccc1CC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001164329308 832183635 /nfs/dbraw/zinc/18/36/35/832183635.db2.gz MNVUWFXFRLTEDQ-UHFFFAOYSA-N -1 1 333.396 -0.137 20 0 EBADMM Cc1cc2c(c(N3CC(N4CCN(C)C(=O)C4)C3)n1)C(=O)[N-]C2=O ZINC001165111556 832205381 /nfs/dbraw/zinc/20/53/81/832205381.db2.gz GIVVQOIJFVOGSL-UHFFFAOYSA-N -1 1 329.360 -0.764 20 0 EBADMM O=C(C[C@H]1CCNC1=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001165449347 832220225 /nfs/dbraw/zinc/22/02/25/832220225.db2.gz DCTYQEZUQCTYQI-SNVBAGLBSA-N -1 1 336.396 -0.587 20 0 EBADMM Cn1ccc(CNCC2(CCNC(=O)c3n[nH]c(=O)[n-]c3=O)CC2)n1 ZINC001165993139 832245015 /nfs/dbraw/zinc/24/50/15/832245015.db2.gz RNNINCUENNTDEL-UHFFFAOYSA-N -1 1 347.379 -0.294 20 0 EBADMM COCCOCCC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001168445345 832420932 /nfs/dbraw/zinc/42/09/32/832420932.db2.gz LRMCICHZNNIEKW-UHFFFAOYSA-N -1 1 341.412 -0.061 20 0 EBADMM Cn1cc(-n2cc(C(=O)N3CC[C@H](c4nn[n-]n4)C3)ccc2=O)cn1 ZINC001175561645 832630885 /nfs/dbraw/zinc/63/08/85/832630885.db2.gz KKWMWLBGVJCXKG-JTQLQIEISA-N -1 1 340.347 -0.286 20 0 EBADMM C[C@@](Cn1cccn1)(NCC(=O)[N-]OCc1ccccc1)C(N)=O ZINC001176861017 832696614 /nfs/dbraw/zinc/69/66/14/832696614.db2.gz SAVUKSFLVSSJAV-INIZCTEOSA-N -1 1 331.376 -0.035 20 0 EBADMM CC(C)(C)OC(=O)N1C[C@@H](O)C[C@H](C(=O)NCc2nn[n-]n2)C1 ZINC001178664418 832897401 /nfs/dbraw/zinc/89/74/01/832897401.db2.gz MIQRJINOUPYFBI-IUCAKERBSA-N -1 1 326.357 -0.566 20 0 EBADMM CCO[C@@H](CC)C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001187100543 833899819 /nfs/dbraw/zinc/89/98/19/833899819.db2.gz DMCQLTXBQYSYQJ-XQQFMLRXSA-N -1 1 337.424 -0.044 20 0 EBADMM COCC1(CC(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)CC1 ZINC001187849927 833974110 /nfs/dbraw/zinc/97/41/10/833974110.db2.gz YWTXKCOJWOJVEO-QWHCGFSZSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1ncc(I)c1C(=O)NCc1nn[n-]n1 ZINC001188473420 834053416 /nfs/dbraw/zinc/05/34/16/834053416.db2.gz QPUZPHANKQULSS-UHFFFAOYSA-N -1 1 333.093 -0.532 20 0 EBADMM CN1C(=O)CN([N-]S(=O)(=O)N=[S@@](C)(=O)c2ccccc2)C1=O ZINC001189087293 834122623 /nfs/dbraw/zinc/12/26/23/834122623.db2.gz IEZMIGLQIJQWSM-NRFANRHFSA-N -1 1 346.390 -0.213 20 0 EBADMM CCNC(=O)CCC(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001189344837 834145052 /nfs/dbraw/zinc/14/50/52/834145052.db2.gz GUVAMCLYDXGLJZ-LLVKDONJSA-N -1 1 338.412 -0.943 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@H](F)C(C)C ZINC001213300260 837741379 /nfs/dbraw/zinc/74/13/79/837741379.db2.gz OPICEJGEHANGQO-CKYFFXLPSA-N -1 1 329.376 -0.582 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC[C@H](N(C)[C@H](C)C(=O)NC(N)=O)C2)c1[O-] ZINC001189674359 834177013 /nfs/dbraw/zinc/17/70/13/834177013.db2.gz PPUJSUUQBNFMJN-BDAKNGLRSA-N -1 1 338.368 -0.847 20 0 EBADMM COCCC1(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001190576812 834296566 /nfs/dbraw/zinc/29/65/66/834296566.db2.gz WCYYTBPZMKTJLY-GFCCVEGCSA-N -1 1 337.424 -0.042 20 0 EBADMM N=c1nc(OS(=O)(=O)[O-])c(N)c(N)n1-c1cc(F)c(O)c(F)c1 ZINC001213373497 837758307 /nfs/dbraw/zinc/75/83/07/837758307.db2.gz HUMHAUGBEAZATA-UHFFFAOYSA-N -1 1 349.275 -0.318 20 0 EBADMM CCCC[C@@H](CC)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001191236300 834442826 /nfs/dbraw/zinc/44/28/26/834442826.db2.gz BAVAZKLTCUPYFG-JHJVBQTASA-N -1 1 339.440 -0.014 20 0 EBADMM Cc1cc(Cl)ncc1S(=O)(=O)Nc1c([O-])[nH]c(=O)[nH]c1=S ZINC001192384400 834655912 /nfs/dbraw/zinc/65/59/12/834655912.db2.gz VVQFNBGNGIVOTR-ZETCQYMHSA-N -1 1 348.793 -0.143 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)CCCn2cncn2)C1 ZINC001193319362 834834329 /nfs/dbraw/zinc/83/43/29/834834329.db2.gz JMMQFWIZXIGLLT-GFCCVEGCSA-N -1 1 348.411 -0.787 20 0 EBADMM COCCCOCC(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001193339994 834838349 /nfs/dbraw/zinc/83/83/49/834838349.db2.gz WORGTZISZWAXBA-GFCCVEGCSA-N -1 1 341.412 -0.806 20 0 EBADMM O=S(=O)([N-][C@@H]1CS(=O)(=O)C[C@H]1O)c1cc(F)c(F)cc1F ZINC001193660760 834923746 /nfs/dbraw/zinc/92/37/46/834923746.db2.gz QNTXMZFWSGLQKJ-RKDXNWHRSA-N -1 1 345.320 -0.460 20 0 EBADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1ccc(-n2cncn2)nc1 ZINC001193701990 834927794 /nfs/dbraw/zinc/92/77/94/834927794.db2.gz XGOAFAKKRWMIIN-UHFFFAOYSA-N -1 1 339.275 -0.056 20 0 EBADMM CC[C@H](CC(F)F)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001193718344 834930227 /nfs/dbraw/zinc/93/02/27/834930227.db2.gz DDQIJWKJUWCNRJ-OPRDCNLKSA-N -1 1 347.366 -0.549 20 0 EBADMM CC(C)CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001195205606 835198022 /nfs/dbraw/zinc/19/80/22/835198022.db2.gz WAAPSNLMEBRSFR-CHWSQXEVSA-N -1 1 338.408 -0.303 20 0 EBADMM CCCC(CCC)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001195684460 835272903 /nfs/dbraw/zinc/27/29/03/835272903.db2.gz ZXFHGZPUHSOKHQ-CHWSQXEVSA-N -1 1 339.440 -0.014 20 0 EBADMM CC(C)CCCCC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001197025464 835495512 /nfs/dbraw/zinc/49/55/12/835495512.db2.gz PRCWDIZSCHAGRJ-CHWSQXEVSA-N -1 1 339.440 -0.014 20 0 EBADMM CC[C@@H](C)CCC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001197138554 835510463 /nfs/dbraw/zinc/51/04/63/835510463.db2.gz DAYWRARVWCEWLG-IJLUTSLNSA-N -1 1 325.413 -0.404 20 0 EBADMM COC[C@@H](C)CC(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001197647939 835590235 /nfs/dbraw/zinc/59/02/35/835590235.db2.gz YMCFEUHIJCAYQO-LBPRGKRZSA-N -1 1 325.413 -0.185 20 0 EBADMM COCCn1cc(C(=O)N=c2ncnc3[nH][n-]c(C)c2-3)cnc1=O ZINC001197700303 835598382 /nfs/dbraw/zinc/59/83/82/835598382.db2.gz XFDXXGCWEWEIKR-UHFFFAOYSA-N -1 1 329.320 -0.510 20 0 EBADMM CN(C)C(=O)c1nc(C[N-]S(=O)(=O)Cc2ccccn2)no1 ZINC001197839511 835615774 /nfs/dbraw/zinc/61/57/74/835615774.db2.gz GENHWYFBZQPGHO-UHFFFAOYSA-N -1 1 325.350 -0.214 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)CCc3ccccc3)C2)nc1=O ZINC001198103607 835641298 /nfs/dbraw/zinc/64/12/98/835641298.db2.gz LZAVHXXWWLINBL-ZIAGYGMSSA-N -1 1 345.403 -0.598 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2cnccc2N(C)C)C(=O)N1S(=O)(=O)[O-] ZINC001199353359 835798941 /nfs/dbraw/zinc/79/89/41/835798941.db2.gz OYJVOUMXERYYKI-XVKPBYJWSA-N -1 1 328.350 -0.721 20 0 EBADMM CC(C)OCC(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001200019666 835896628 /nfs/dbraw/zinc/89/66/28/835896628.db2.gz JKEWUATVEVJCPF-NSHDSACASA-N -1 1 327.385 -0.358 20 0 EBADMM CCOCCC(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001200108694 835909586 /nfs/dbraw/zinc/90/95/86/835909586.db2.gz HHLBQTWJMJIFQO-NSHDSACASA-N -1 1 327.385 -0.356 20 0 EBADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]CCNS(C)(=O)=O ZINC001209332224 837157956 /nfs/dbraw/zinc/15/79/56/837157956.db2.gz CUZYBEDKFXFBSU-QGZVFWFLSA-N -1 1 335.473 -0.734 20 0 EBADMM O=C1CNC(=O)N1CC[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC001209488073 837171526 /nfs/dbraw/zinc/17/15/26/837171526.db2.gz HCRJZKLXABBIGH-UHFFFAOYSA-N -1 1 341.271 -0.741 20 0 EBADMM CC(=O)NCC(C)(C)C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210091235 837236087 /nfs/dbraw/zinc/23/60/87/837236087.db2.gz UKDFVZWUQVBDPU-LLVKDONJSA-N -1 1 338.412 -0.247 20 0 EBADMM CC(C)N1C(=O)C[C@@H]([N-]S(=O)(=O)[C@H]2CC(=O)N(C3CC3)C2)C1=O ZINC001210414688 837284940 /nfs/dbraw/zinc/28/49/40/837284940.db2.gz NBKSJDHBGFRKPM-WDEREUQCSA-N -1 1 343.405 -0.795 20 0 EBADMM CCCNC(=O)CCC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210708690 837324606 /nfs/dbraw/zinc/32/46/06/837324606.db2.gz RCIQUPLDLBDYES-LLVKDONJSA-N -1 1 338.412 -0.103 20 0 EBADMM CC(=O)N(C)CCCC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210878811 837341822 /nfs/dbraw/zinc/34/18/22/837341822.db2.gz WASGLQMYXHMWKS-GFCCVEGCSA-N -1 1 338.412 -0.151 20 0 EBADMM C[C@H]1COCCN1c1ccc(NC(=O)C(=O)NCc2nn[n-]n2)cc1 ZINC001318767791 838032712 /nfs/dbraw/zinc/03/27/12/838032712.db2.gz SCGXIMWOZYVTLC-JTQLQIEISA-N -1 1 345.363 -0.320 20 0 EBADMM CC(C)C[C@@H](C(N)=O)C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001319134576 838077865 /nfs/dbraw/zinc/07/78/65/838077865.db2.gz NYKZRHNDWSEQPA-MNOVXSKESA-N -1 1 338.412 -0.262 20 0 EBADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)NCCn1ncnn1 ZINC001319306528 838105163 /nfs/dbraw/zinc/10/51/63/838105163.db2.gz SXNRODHYRLTWFY-UHFFFAOYSA-N -1 1 340.347 -0.514 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC(N2CCCCC2=O)CC1 ZINC001319365237 838121154 /nfs/dbraw/zinc/12/11/54/838121154.db2.gz UXTLLKQAJCBDDG-UHFFFAOYSA-N -1 1 348.403 -0.070 20 0 EBADMM Cn1cc(C=CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)c(=O)n(C)c1=O ZINC001319663982 838164117 /nfs/dbraw/zinc/16/41/17/838164117.db2.gz ZQKUEMWIVFQFKO-PORFMDCZSA-N -1 1 345.363 -0.984 20 0 EBADMM CN1CCC2(CCN(C(=O)CCn3cc[n-]c(=O)c3=O)CC2)C1=O ZINC001320835267 838846352 /nfs/dbraw/zinc/84/63/52/838846352.db2.gz KOXMSBXMLWSMOD-UHFFFAOYSA-N -1 1 334.376 -0.602 20 0 EBADMM O=C(c1ccc(-n2cnnn2)cc1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001321141265 838921243 /nfs/dbraw/zinc/92/12/43/838921243.db2.gz RXSBPAQSBZGJCT-UHFFFAOYSA-N -1 1 341.335 -0.701 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CC(=O)NCC(F)(F)F ZINC001322032890 839127858 /nfs/dbraw/zinc/12/78/58/839127858.db2.gz ORLOBNUICPTPPS-LURJTMIESA-N -1 1 338.290 -0.827 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N[C@@H]3CCC(=O)N(C)C3)ccnc1-2 ZINC001322925416 839298645 /nfs/dbraw/zinc/29/86/45/839298645.db2.gz HYXANHWIUJANHB-SECBINFHSA-N -1 1 330.348 -0.983 20 0 EBADMM Cc1cc(CNC(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)n(C)n1 ZINC001322979532 839312816 /nfs/dbraw/zinc/31/28/16/839312816.db2.gz KVXOKDYEDQWKNC-UHFFFAOYSA-N -1 1 327.348 -0.361 20 0 EBADMM O=C(N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)c1cnn2ncccc12 ZINC001323014416 839324458 /nfs/dbraw/zinc/32/44/58/839324458.db2.gz JJKDCYAAMDFYKZ-NSHDSACASA-N -1 1 342.363 -0.149 20 0 EBADMM Cc1oncc1CN1CC[C@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001323320788 839412777 /nfs/dbraw/zinc/41/27/77/839412777.db2.gz WWBGBONFTUAPEZ-ZDUSSCGKSA-N -1 1 347.375 -0.386 20 0 EBADMM CC(C)NC(=O)CCNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001323637470 839491194 /nfs/dbraw/zinc/49/11/94/839491194.db2.gz TZVUNAJSTWDFBN-UHFFFAOYSA-N -1 1 332.364 -0.689 20 0 EBADMM CN(C(=O)CCCCc1c[nH]nn1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001323957546 839566459 /nfs/dbraw/zinc/56/64/59/839566459.db2.gz AFQHGSKBJCALHC-UHFFFAOYSA-N -1 1 348.411 -0.718 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC(O[C@H]2CCOC2)CC1 ZINC001324703944 839704283 /nfs/dbraw/zinc/70/42/83/839704283.db2.gz SJHLZMPWBFHGOB-ZDUSSCGKSA-N -1 1 337.376 -0.277 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](O)(CNC(=O)[C@@H]3CC=CCC3)C2)nc1=O ZINC001325069612 839768966 /nfs/dbraw/zinc/76/89/66/839768966.db2.gz UEVXTJISLKCFOW-MLGOLLRUSA-N -1 1 335.408 -0.482 20 0 EBADMM C[C@@H]1CN(CCCNC(=O)C(=O)NCCCC(=O)[O-])C[C@H](C)O1 ZINC001326279715 840079694 /nfs/dbraw/zinc/07/96/94/840079694.db2.gz YYIMECWNKLRVQP-TXEJJXNPSA-N -1 1 329.397 -0.417 20 0 EBADMM CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001329080314 840835969 /nfs/dbraw/zinc/83/59/69/840835969.db2.gz JQMOWMBRFZRBGE-KOLCDFICSA-N -1 1 344.375 -0.689 20 0 EBADMM Cc1nn(-c2ccccc2)cc1NC(=O)C(=O)NN1CC(=O)[N-]C1=O ZINC001329112450 840845247 /nfs/dbraw/zinc/84/52/47/840845247.db2.gz YYLKQUMVXRQYQQ-UHFFFAOYSA-N -1 1 342.315 -0.298 20 0 EBADMM COCCN1CC[C@@H](NC(=O)C(=O)NC[C@H](C(=O)[O-])C(C)C)C1 ZINC001329949080 841071412 /nfs/dbraw/zinc/07/14/12/841071412.db2.gz GSQZHKNWSIGWOK-NEPJUHHUSA-N -1 1 329.397 -0.704 20 0 EBADMM CN(CCCO)C(=O)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC001330414810 841193129 /nfs/dbraw/zinc/19/31/29/841193129.db2.gz HXEQHAKPBOZBCX-LBPRGKRZSA-N -1 1 339.314 -0.503 20 0 EBADMM CN(CCOCCNC(=O)C1=CCOCC1)Cc1nc(=O)n(C)[n-]1 ZINC001331119371 841342238 /nfs/dbraw/zinc/34/22/38/841342238.db2.gz ZFWBJYYGVUJUIO-UHFFFAOYSA-N -1 1 339.396 -0.980 20 0 EBADMM CN1CC[C@H](NC(=O)C(=O)NCCc2c(F)cc([O-])cc2F)C1=O ZINC001332830483 841747910 /nfs/dbraw/zinc/74/79/10/841747910.db2.gz RMGMKIQVGKQIQR-LBPRGKRZSA-N -1 1 341.314 -0.324 20 0 EBADMM Cc1ccnn1CC(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001333417395 841870995 /nfs/dbraw/zinc/87/09/95/841870995.db2.gz NZLUVYPBNHPZJT-UHFFFAOYSA-N -1 1 345.407 -0.438 20 0 EBADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C(=O)N2CCOCC2)C1 ZINC001335542740 842301110 /nfs/dbraw/zinc/30/11/10/842301110.db2.gz OYKWKPZWOHLQAZ-LBPRGKRZSA-N -1 1 337.298 -0.485 20 0 EBADMM CNC(=O)CC(=O)NC[C@H]1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001338350003 842785932 /nfs/dbraw/zinc/78/59/32/842785932.db2.gz LHHBZKYCEHLIFI-WDEREUQCSA-N -1 1 334.376 -0.062 20 0 EBADMM CC(C)N(CCN(C)C(=O)c1cnc(C2CC2)[n-]c1=O)C(=O)C(N)=O ZINC001338786998 842869122 /nfs/dbraw/zinc/86/91/22/842869122.db2.gz IHZYWGGUBPJPGD-UHFFFAOYSA-N -1 1 349.391 -0.146 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2CCO[C@@H](C(F)(F)F)CC2)CNCCO1 ZINC001340941110 843045319 /nfs/dbraw/zinc/04/53/19/843045319.db2.gz POYOYDNNDSQYAO-KCJUWKMLSA-N -1 1 326.271 -0.391 20 0 EBADMM CCN(CCOCCO)C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001346553287 843530428 /nfs/dbraw/zinc/53/04/28/843530428.db2.gz CJFOQSINQSYERG-UHFFFAOYSA-N -1 1 335.364 -0.862 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)C1CC1 ZINC001347694230 843639702 /nfs/dbraw/zinc/63/97/02/843639702.db2.gz FBNJPSLLUWAAKJ-UPJWGTAASA-N -1 1 346.387 -0.415 20 0 EBADMM CC(=O)N1C[C@@H]2CCC[C@]2(CNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001348050383 843653528 /nfs/dbraw/zinc/65/35/28/843653528.db2.gz FGPAVXJLPROQDG-GUYCJALGSA-N -1 1 348.403 -0.309 20 0 EBADMM CC(C)OCCC(=O)NCCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001348508827 843703405 /nfs/dbraw/zinc/70/34/05/843703405.db2.gz ALCLQNLRFXKAQF-UHFFFAOYSA-N -1 1 336.352 -0.921 20 0 EBADMM O=C(NCCNC(=O)c1ncccc1[O-])c1ccnc2ccnn21 ZINC001348838224 843755685 /nfs/dbraw/zinc/75/56/85/843755685.db2.gz ACTFKSCYWFZACL-UHFFFAOYSA-N -1 1 326.316 -0.010 20 0 EBADMM O=C(NCCNC(=O)[C@@H]1CCCc2nn[nH]c21)c1ncccc1[O-] ZINC001348839147 843756979 /nfs/dbraw/zinc/75/69/79/843756979.db2.gz RMNFXHRMSBFYRR-SECBINFHSA-N -1 1 330.348 -0.129 20 0 EBADMM O=C(NCCNC(=O)[C@@H]1CCCc2n[nH]nc21)c1ncccc1[O-] ZINC001348839147 843756987 /nfs/dbraw/zinc/75/69/87/843756987.db2.gz RMNFXHRMSBFYRR-SECBINFHSA-N -1 1 330.348 -0.129 20 0 EBADMM Cc1cc(C(=O)NCCNC(=O)CCn2cc[n-]c(=O)c2=O)co1 ZINC001348856366 843759357 /nfs/dbraw/zinc/75/93/57/843759357.db2.gz KJWDESSIXROFOJ-UHFFFAOYSA-N -1 1 334.332 -0.626 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)[C@H]1CCCN1c1ncccn1 ZINC000323938707 971063573 /nfs/dbraw/zinc/06/35/73/971063573.db2.gz IYOSZZHZTOYJTF-NWDGAFQWSA-N -1 1 330.348 -0.019 20 0 EBADMM O=C(c1ccc(-c2nn[nH]n2)cn1)N1CCC(c2nn[n-]n2)CC1 ZINC000095163712 944612885 /nfs/dbraw/zinc/61/28/85/944612885.db2.gz CZZKTOHEMFLYDH-UHFFFAOYSA-N -1 1 326.324 -0.205 20 0 EBADMM CC(=O)NC(C)(C)C(=O)NCCN(C)c1nccnc1-c1nnn[n-]1 ZINC001573463942 945963452 /nfs/dbraw/zinc/96/34/52/945963452.db2.gz DJYLZKZMCZVYAH-UHFFFAOYSA-N -1 1 347.383 -0.876 20 0 EBADMM CC(=O)NC(C)(C)C(=O)NCCN(C)c1nccnc1-c1nn[n-]n1 ZINC001573463942 945963456 /nfs/dbraw/zinc/96/34/56/945963456.db2.gz DJYLZKZMCZVYAH-UHFFFAOYSA-N -1 1 347.383 -0.876 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)[C@@H](C)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001573469393 946007002 /nfs/dbraw/zinc/00/70/02/946007002.db2.gz UZZKOVAZPNJXSI-DTWKUNHWSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)[C@@H](C)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001573469393 946007014 /nfs/dbraw/zinc/00/70/14/946007014.db2.gz UZZKOVAZPNJXSI-DTWKUNHWSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@@H](C)CCNc1cnc(-c2nnn[n-]2)cn1 ZINC001573475438 946100275 /nfs/dbraw/zinc/10/02/75/946100275.db2.gz UDMBCILZZOWQIL-DTWKUNHWSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@@H](C)CCNc1cnc(-c2nn[n-]n2)cn1 ZINC001573475438 946100281 /nfs/dbraw/zinc/10/02/81/946100281.db2.gz UDMBCILZZOWQIL-DTWKUNHWSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@H](C)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001573477890 946142598 /nfs/dbraw/zinc/14/25/98/946142598.db2.gz VADSJPSAMRHPRZ-DTWKUNHWSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@H](C)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001573477890 946142607 /nfs/dbraw/zinc/14/26/07/946142607.db2.gz VADSJPSAMRHPRZ-DTWKUNHWSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@@H](C)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001573482840 946204054 /nfs/dbraw/zinc/20/40/54/946204054.db2.gz ANSCFCKZMSSNBA-JGVFFNPUSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@@H](C)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001573482840 946204059 /nfs/dbraw/zinc/20/40/59/946204059.db2.gz ANSCFCKZMSSNBA-JGVFFNPUSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NCCN(C)c1nc(C)cc(-c2nnn[n-]2)n1 ZINC001573484419 946216693 /nfs/dbraw/zinc/21/66/93/946216693.db2.gz KYQDYXRXMWIMCB-VIFPVBQESA-N -1 1 347.383 -0.958 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NCCN(C)c1nc(C)cc(-c2nn[n-]n2)n1 ZINC001573484419 946216703 /nfs/dbraw/zinc/21/67/03/946216703.db2.gz KYQDYXRXMWIMCB-VIFPVBQESA-N -1 1 347.383 -0.958 20 0 EBADMM CC(=O)NCC(=O)N1C[C@H](Nc2cnc(-c3nnn[n-]3)cn2)C[C@@H]1C ZINC001573501022 946377236 /nfs/dbraw/zinc/37/72/36/946377236.db2.gz NGIWQTQOSUUAFT-WCBMZHEXSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N1C[C@H](Nc2cnc(-c3nn[n-]n3)cn2)C[C@@H]1C ZINC001573501022 946377242 /nfs/dbraw/zinc/37/72/42/946377242.db2.gz NGIWQTQOSUUAFT-WCBMZHEXSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)NC1CN(Cc2ccc(-c3nn[n-]n3)s2)C1 ZINC001573515356 946542937 /nfs/dbraw/zinc/54/29/37/946542937.db2.gz LETAHPQAVXQMIX-UHFFFAOYSA-N -1 1 335.393 -0.635 20 0 EBADMM CC(=O)NC[C@@H]1CCCN(C(=O)[C@@H](C)n2cnc(-c3nn[n-]n3)n2)C1 ZINC001573530697 946702030 /nfs/dbraw/zinc/70/20/30/946702030.db2.gz XLKUEZIMPYYBKR-KOLCDFICSA-N -1 1 347.383 -0.606 20 0 EBADMM CC(=O)c1ccc(Cn2c(=O)c(-c3nn[n-]n3)cn(C)c2=O)cc1 ZINC001573555997 946922765 /nfs/dbraw/zinc/92/27/65/946922765.db2.gz LOWAACAFFIYMHB-UHFFFAOYSA-N -1 1 326.316 -0.022 20 0 EBADMM C[C@H](C(=O)N(C)C)[N@@H+]1CC[C@@H](NC(=O)c2c[nH]c(-c3nn[nH]n3)c2)C1 ZINC001573593615 947375415 /nfs/dbraw/zinc/37/54/15/947375415.db2.gz LAOBJAHEQJBLCR-MWLCHTKSSA-N -1 1 346.395 -0.524 20 0 EBADMM C[C@H](C(=O)N(C)C[C@H](O)CNc1ccnc(-c2nn[n-]n2)n1)C1CC1 ZINC001573596918 947433791 /nfs/dbraw/zinc/43/37/91/947433791.db2.gz MADPULSVSZLDIO-GXSJLCMTSA-N -1 1 346.395 -0.644 20 0 EBADMM C[C@H](C(=O)N(C)CCNc1nccnc1-c1nnn[n-]1)n1cccn1 ZINC001573603165 947479752 /nfs/dbraw/zinc/47/97/52/947479752.db2.gz CYHAZOOXCMEARF-SNVBAGLBSA-N -1 1 342.367 -0.015 20 0 EBADMM C[C@H](C(=O)N(C)CCNc1nccnc1-c1nn[n-]n1)n1cccn1 ZINC001573603165 947479757 /nfs/dbraw/zinc/47/97/57/947479757.db2.gz CYHAZOOXCMEARF-SNVBAGLBSA-N -1 1 342.367 -0.015 20 0 EBADMM C[C@H](C(=O)N1CCC(CC(N)=O)CC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573619910 947674653 /nfs/dbraw/zinc/67/46/53/947674653.db2.gz XOGAWJVEXPFVGE-MRVPVSSYSA-N -1 1 333.356 -0.867 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)CCN(C)c1cncc(-c2nnn[n-]2)n1 ZINC001573387461 947910305 /nfs/dbraw/zinc/91/03/05/947910305.db2.gz AZZVOVJUSAYZCT-UHFFFAOYSA-N -1 1 347.383 -0.970 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)CCN(C)c1cncc(-c2nn[n-]n2)n1 ZINC001573387461 947910313 /nfs/dbraw/zinc/91/03/13/947910313.db2.gz AZZVOVJUSAYZCT-UHFFFAOYSA-N -1 1 347.383 -0.970 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)C[C@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001573389047 947922542 /nfs/dbraw/zinc/92/25/42/947922542.db2.gz PEYSGMZGBZXNOQ-VIFPVBQESA-N -1 1 347.383 -0.606 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)C[C@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001573389047 947922544 /nfs/dbraw/zinc/92/25/44/947922544.db2.gz PEYSGMZGBZXNOQ-VIFPVBQESA-N -1 1 347.383 -0.606 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)CCN(C)c1nccnc1-c1nnn[n-]1 ZINC001573390569 947929252 /nfs/dbraw/zinc/92/92/52/947929252.db2.gz YBTRHGRVFYJPNR-UHFFFAOYSA-N -1 1 347.383 -0.970 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)CCN(C)c1nccnc1-c1nn[n-]n1 ZINC001573390569 947929257 /nfs/dbraw/zinc/92/92/57/947929257.db2.gz YBTRHGRVFYJPNR-UHFFFAOYSA-N -1 1 347.383 -0.970 20 0 EBADMM C[C@H](C(=O)N[C@H](CO)Cc1cncs1)n1cnc(-c2nn[n-]n2)n1 ZINC001573657017 947997307 /nfs/dbraw/zinc/99/73/07/947997307.db2.gz JNOPGRKLTALXAK-SFYZADRCSA-N -1 1 349.380 -0.805 20 0 EBADMM CC(=O)N(C)CC(=O)NCC1(Nc2cnc(-c3nnn[n-]3)cn2)CC1 ZINC001573404226 948017107 /nfs/dbraw/zinc/01/71/07/948017107.db2.gz APCBKPYOXMVVAW-UHFFFAOYSA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)N(C)CC(=O)NCC1(Nc2cnc(-c3nn[n-]n3)cn2)CC1 ZINC001573404226 948017114 /nfs/dbraw/zinc/01/71/14/948017114.db2.gz APCBKPYOXMVVAW-UHFFFAOYSA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)N(C)CC(=O)NCC=CCNc1cnc(-c2nnn[n-]2)cn1 ZINC001573404939 948035304 /nfs/dbraw/zinc/03/53/04/948035304.db2.gz LFHZPCGYXNTGGZ-ONEGZZNKSA-N -1 1 345.367 -0.781 20 0 EBADMM CC(=O)N(C)CC(=O)NCC=CCNc1cnc(-c2nn[n-]n2)cn1 ZINC001573404939 948035313 /nfs/dbraw/zinc/03/53/13/948035313.db2.gz LFHZPCGYXNTGGZ-ONEGZZNKSA-N -1 1 345.367 -0.781 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)CNc1nccnc1-c1nnn[n-]1 ZINC001573406556 948052437 /nfs/dbraw/zinc/05/24/37/948052437.db2.gz KPIPZVQTRZUXBN-MRVPVSSYSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)CNc1nccnc1-c1nn[n-]n1 ZINC001573406556 948052443 /nfs/dbraw/zinc/05/24/43/948052443.db2.gz KPIPZVQTRZUXBN-MRVPVSSYSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)CNc1nccnc1-c1nnn[n-]1 ZINC001573406557 948054091 /nfs/dbraw/zinc/05/40/91/948054091.db2.gz KPIPZVQTRZUXBN-QMMMGPOBSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)CNc1nccnc1-c1nn[n-]n1 ZINC001573406557 948054099 /nfs/dbraw/zinc/05/40/99/948054099.db2.gz KPIPZVQTRZUXBN-QMMMGPOBSA-N -1 1 333.356 -0.948 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CCC2(C1)OCCO2)n1cnc(-c2nn[n-]n2)n1 ZINC001573667470 948098658 /nfs/dbraw/zinc/09/86/58/948098658.db2.gz ZXQLGLNUBMIXHG-DTWKUNHWSA-N -1 1 334.340 -0.569 20 0 EBADMM CC(=O)N1CC(C(=O)NC[C@H](C)Nc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001573419624 948124879 /nfs/dbraw/zinc/12/48/79/948124879.db2.gz NUSLLBRBPSOKOP-QMMMGPOBSA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)N1CC(C(=O)NC[C@H](C)Nc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001573419624 948124884 /nfs/dbraw/zinc/12/48/84/948124884.db2.gz NUSLLBRBPSOKOP-QMMMGPOBSA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)N1C[C@@H](C)O[C@@]2(CCN(c3ccnc(-c4nn[n-]n4)n3)C2)C1 ZINC001573426613 948158927 /nfs/dbraw/zinc/15/89/27/948158927.db2.gz MVRBKIMNMZUQPI-MEBBXXQBSA-N -1 1 344.379 -0.127 20 0 EBADMM C[C@H](C(=O)NCC(C)(C)n1cccn1)n1cnc(-c2nn[n-]n2)n1 ZINC001573681112 948195912 /nfs/dbraw/zinc/19/59/12/948195912.db2.gz UQEJDORHHQLXEP-SECBINFHSA-N -1 1 330.356 -0.233 20 0 EBADMM C[C@@H](C(=O)NC[C@@H](CO)Nc1ccnc(-c2nn[n-]n2)n1)C1CCC1 ZINC001573681917 948216962 /nfs/dbraw/zinc/21/69/62/948216962.db2.gz YYJPSNZOYKEXHB-KOLCDFICSA-N -1 1 346.395 -0.596 20 0 EBADMM C[C@@H](C(=O)NC[C@@H](CO)Nc1cncc(-c2nnn[n-]2)n1)C1CC1 ZINC001573681871 948217096 /nfs/dbraw/zinc/21/70/96/948217096.db2.gz YGQFRBMKBMLNPB-SCZZXKLOSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@@H](C(=O)NC[C@@H](CO)Nc1cncc(-c2nn[n-]n2)n1)C1CC1 ZINC001573681871 948217105 /nfs/dbraw/zinc/21/71/05/948217105.db2.gz YGQFRBMKBMLNPB-SCZZXKLOSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@H](C(=O)NCC1(CF)CCOCC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573691164 948236684 /nfs/dbraw/zinc/23/66/84/948236684.db2.gz IABNKUVRVGIABK-SECBINFHSA-N -1 1 338.347 -0.098 20 0 EBADMM C[C@@H](C(=O)NC[C@@H](O)CNc1cnc(-c2nnn[n-]2)cn1)C1CC1 ZINC001573694492 948295735 /nfs/dbraw/zinc/29/57/35/948295735.db2.gz WHQJNTICTSJKLL-SCZZXKLOSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@@H](C(=O)NC[C@@H](O)CNc1cnc(-c2nn[n-]n2)cn1)C1CC1 ZINC001573694492 948295743 /nfs/dbraw/zinc/29/57/43/948295743.db2.gz WHQJNTICTSJKLL-SCZZXKLOSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@H](C(=O)NC[C@H]1CC[C@H](C(N)=O)CC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573725924 948545287 /nfs/dbraw/zinc/54/52/87/948545287.db2.gz CVGQLERHXPOTQI-OPRDCNLKSA-N -1 1 347.383 -0.573 20 0 EBADMM C[C@@H](C(=O)NCc1nnc2n1CCCC2)n1cnc(-c2nn[n-]n2)n1 ZINC001573729111 948565123 /nfs/dbraw/zinc/56/51/23/948565123.db2.gz GXENECBVYRYOSX-QMMMGPOBSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CCCC(F)(F)[C@H]1O)n1cnc(-c2nn[n-]n2)n1 ZINC001573731584 948592469 /nfs/dbraw/zinc/59/24/69/948592469.db2.gz HCKHDZXZTQYIFY-PRJMDXOYSA-N -1 1 342.310 -0.316 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CN(c2ccc(-c3nnn[n-]3)nn2)C[C@H]1O)C1CC1 ZINC001573740082 948628080 /nfs/dbraw/zinc/62/80/80/948628080.db2.gz DGSOAIRMFAIOEC-GGZOMVNGSA-N -1 1 344.379 -0.632 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CN(c2ccc(-c3nn[n-]n3)nn2)C[C@H]1O)C1CC1 ZINC001573740082 948628085 /nfs/dbraw/zinc/62/80/85/948628085.db2.gz DGSOAIRMFAIOEC-GGZOMVNGSA-N -1 1 344.379 -0.632 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)NC(=O)[C@@H](C)n1cccn1 ZINC001573742248 948659517 /nfs/dbraw/zinc/65/95/17/948659517.db2.gz JLSORIKGHYDHHI-NXEZZACHSA-N -1 1 342.367 -0.547 20 0 EBADMM COc1ncc(NC(=O)[C@@H](C)S(C)(=O)=O)cc1-c1nn[n-]n1 ZINC001570925714 948675348 /nfs/dbraw/zinc/67/53/48/948675348.db2.gz NYXDHLLZUDBBNA-ZCFIWIBFSA-N -1 1 326.338 -0.358 20 0 EBADMM O=C(NCc1ccnc(-c2nnn[n-]2)c1)[C@H]1CCc2nncn2C1 ZINC001570925759 948675814 /nfs/dbraw/zinc/67/58/14/948675814.db2.gz PTFSRGMAUCPHOQ-JTQLQIEISA-N -1 1 325.336 -0.268 20 0 EBADMM O=C(NCc1ccnc(-c2nn[n-]n2)c1)[C@H]1CCc2nncn2C1 ZINC001570925759 948675819 /nfs/dbraw/zinc/67/58/19/948675819.db2.gz PTFSRGMAUCPHOQ-JTQLQIEISA-N -1 1 325.336 -0.268 20 0 EBADMM O=C1N=NC2CN(c3cc(-c4nnn[n-]4)nc(C4CC4)n3)CCN12 ZINC001570926256 948685505 /nfs/dbraw/zinc/68/55/05/948685505.db2.gz ZVLMVDXVETUJAI-UHFFFAOYSA-N -1 1 326.324 -0.149 20 0 EBADMM O=C1N=NC2CN(c3cc(-c4nn[n-]n4)nc(C4CC4)n3)CCN12 ZINC001570926256 948685511 /nfs/dbraw/zinc/68/55/11/948685511.db2.gz ZVLMVDXVETUJAI-UHFFFAOYSA-N -1 1 326.324 -0.149 20 0 EBADMM O=C(N[C@H]1C[C@@H](O)[C@@H](O)C1)c1[nH]c2ccccc2c1-c1nn[n-]n1 ZINC001570926973 948700366 /nfs/dbraw/zinc/70/03/66/948700366.db2.gz LSGNHNUWLDWGAK-FJPPDTINSA-N -1 1 328.332 -0.038 20 0 EBADMM O=C([C@H]1CCOC1)N1CCN(c2nccnc2-c2nnn[n-]2)CC1 ZINC001570927732 948716925 /nfs/dbraw/zinc/71/69/25/948716925.db2.gz KATUPBVUFNIROC-JTQLQIEISA-N -1 1 330.352 -0.658 20 0 EBADMM O=C([C@H]1CCOC1)N1CCN(c2nccnc2-c2nn[n-]n2)CC1 ZINC001570927732 948716933 /nfs/dbraw/zinc/71/69/33/948716933.db2.gz KATUPBVUFNIROC-JTQLQIEISA-N -1 1 330.352 -0.658 20 0 EBADMM C[C@H](C(=O)N[C@]12C[C@H]1COC21CCC1)n1cnc(-c2nn[n-]n2)n1 ZINC001570927708 948718155 /nfs/dbraw/zinc/71/81/55/948718155.db2.gz JCHPLUKDFFEBRN-TVLCNXBWSA-N -1 1 330.352 -0.153 20 0 EBADMM C[C@H](NC(=O)Nc1cccc(-c2nnn[n-]2)n1)C1N=NC(=O)N1C ZINC001570928066 948725077 /nfs/dbraw/zinc/72/50/77/948725077.db2.gz LHIMOSZYEURHKF-LURJTMIESA-N -1 1 330.312 -0.022 20 0 EBADMM C[C@H](NC(=O)Nc1cccc(-c2nn[n-]n2)n1)C1N=NC(=O)N1C ZINC001570928066 948725089 /nfs/dbraw/zinc/72/50/89/948725089.db2.gz LHIMOSZYEURHKF-LURJTMIESA-N -1 1 330.312 -0.022 20 0 EBADMM C[C@@H](C(=O)Nc1cnc2c(c1)CNC2=O)n1cnc(-c2nn[n-]n2)n1 ZINC001573754777 948749945 /nfs/dbraw/zinc/74/99/45/948749945.db2.gz KOSSOMRCERKNDG-LURJTMIESA-N -1 1 340.307 -0.704 20 0 EBADMM O=C1NC[C@@H](O)CC12CCN(c1ccc(-c3nnn[n-]3)nn1)CC2 ZINC001570929127 948752236 /nfs/dbraw/zinc/75/22/36/948752236.db2.gz ZSFJZMXOKRQCDB-VIFPVBQESA-N -1 1 330.352 -0.876 20 0 EBADMM O=C1NC[C@@H](O)CC12CCN(c1ccc(-c3nn[n-]n3)nn1)CC2 ZINC001570929127 948752245 /nfs/dbraw/zinc/75/22/45/948752245.db2.gz ZSFJZMXOKRQCDB-VIFPVBQESA-N -1 1 330.352 -0.876 20 0 EBADMM CC(C)C(NC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)C(C)C ZINC001574079482 948771251 /nfs/dbraw/zinc/77/12/51/948771251.db2.gz JSMJBLCVMWNTDE-UHFFFAOYSA-N -1 1 335.368 -0.074 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)c1cn(C)nn1 ZINC001574680143 948799052 /nfs/dbraw/zinc/79/90/52/948799052.db2.gz FDCBGQOYDAJZNJ-QMMMGPOBSA-N -1 1 343.355 -0.594 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)c1cn(C)nn1 ZINC001574680143 948799066 /nfs/dbraw/zinc/79/90/66/948799066.db2.gz FDCBGQOYDAJZNJ-QMMMGPOBSA-N -1 1 343.355 -0.594 20 0 EBADMM C[C@H](NC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)C1CCCC1 ZINC001570930268 948811304 /nfs/dbraw/zinc/81/13/04/948811304.db2.gz FAICBJONQNZRRC-QMMMGPOBSA-N -1 1 333.352 -0.176 20 0 EBADMM CC(C)[C@@H](NC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)C1CC1 ZINC001570930798 948822877 /nfs/dbraw/zinc/82/28/77/948822877.db2.gz PIJRZDRLZKGXNI-LLVKDONJSA-N -1 1 333.352 -0.320 20 0 EBADMM O=C(Cn1c(=O)[nH]cc(-c2nn[n-]n2)c1=O)NCc1cccs1 ZINC001570931225 948839540 /nfs/dbraw/zinc/83/95/40/948839540.db2.gz UMIJFYSURIACKM-UHFFFAOYSA-N -1 1 333.333 -0.493 20 0 EBADMM Cc1cc(-c2nnn[n-]2)nc(N2C[C@@H](C)[C@H](CS(N)(=O)=O)C2)n1 ZINC001570933954 948918100 /nfs/dbraw/zinc/91/81/00/948918100.db2.gz AHZWZAPUSVJDCJ-APPZFPTMSA-N -1 1 338.397 -0.674 20 0 EBADMM Cc1cc(-c2nn[n-]n2)nc(N2C[C@@H](C)[C@H](CS(N)(=O)=O)C2)n1 ZINC001570933954 948918111 /nfs/dbraw/zinc/91/81/11/948918111.db2.gz AHZWZAPUSVJDCJ-APPZFPTMSA-N -1 1 338.397 -0.674 20 0 EBADMM CO[C@@H]1CN(C(=O)[C@H](C)n2cnc(-c3nn[n-]n3)n2)[C@@](C)(CO)C1 ZINC001570934627 948945040 /nfs/dbraw/zinc/94/50/40/948945040.db2.gz LXVHGMRKURDLIQ-MWODSPESSA-N -1 1 336.356 -0.983 20 0 EBADMM CO[C@@H]1CN(C(=O)[C@@H](C)n2cnc(-c3nn[n-]n3)n2)[C@](C)(CO)C1 ZINC001570934636 948946726 /nfs/dbraw/zinc/94/67/26/948946726.db2.gz LXVHGMRKURDLIQ-ZDMBXUJBSA-N -1 1 336.356 -0.983 20 0 EBADMM CC(F)(F)CCC(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001575239424 948960265 /nfs/dbraw/zinc/96/02/65/948960265.db2.gz SBDAHQMTAQVDLK-UHFFFAOYSA-N -1 1 343.294 -0.319 20 0 EBADMM O=C(c1ccnnc1)N1CC[C@@H](Nc2cncc(-c3nnn[n-]3)n2)C1 ZINC001570935016 948961479 /nfs/dbraw/zinc/96/14/79/948961479.db2.gz KXHIPKRIFMYQKB-SNVBAGLBSA-N -1 1 338.335 -0.227 20 0 EBADMM O=C(c1ccnnc1)N1CC[C@@H](Nc2cncc(-c3nn[n-]n3)n2)C1 ZINC001570935016 948961490 /nfs/dbraw/zinc/96/14/90/948961490.db2.gz KXHIPKRIFMYQKB-SNVBAGLBSA-N -1 1 338.335 -0.227 20 0 EBADMM CC(C)(C(=O)NC[C@@H](CO)Nc1nccnc1-c1nnn[n-]1)C1CC1 ZINC001573774038 948996416 /nfs/dbraw/zinc/99/64/16/948996416.db2.gz IYKVPIYYAPLLNP-JTQLQIEISA-N -1 1 346.395 -0.018 20 0 EBADMM CC(C)(C(=O)NC[C@@H](CO)Nc1nccnc1-c1nn[n-]n1)C1CC1 ZINC001573774038 948996422 /nfs/dbraw/zinc/99/64/22/948996422.db2.gz IYKVPIYYAPLLNP-JTQLQIEISA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)[C@@H]1CCNC1=O ZINC001574690398 949001667 /nfs/dbraw/zinc/00/16/67/949001667.db2.gz OTENSODUWWCJTF-RKDXNWHRSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)[C@@H]1CCNC1=O ZINC001574690398 949001680 /nfs/dbraw/zinc/00/16/80/949001680.db2.gz OTENSODUWWCJTF-RKDXNWHRSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(C)(C(=O)NC[C@@H](CO)Nc1ccnc(-c2nn[n-]n2)n1)C1CC1 ZINC001573775088 949032356 /nfs/dbraw/zinc/03/23/56/949032356.db2.gz ZBKOQCNEMTXHPX-JTQLQIEISA-N -1 1 346.395 -0.596 20 0 EBADMM CS(=O)(=O)C[C@@H](O)CNc1nc(-c2nn[n-]n2)c(Cl)s1 ZINC001570936633 949043031 /nfs/dbraw/zinc/04/30/31/949043031.db2.gz XMANMWQPVUPYMK-BYPYZUCNSA-N -1 1 338.802 -0.206 20 0 EBADMM O=C(N[C@H]1C[C@@H](Nc2ccnc(-c3nn[n-]n3)n2)C1)c1cncnc1 ZINC001570936604 949043923 /nfs/dbraw/zinc/04/39/23/949043923.db2.gz ZBQLIRDIUQDGPK-AOOOYVTPSA-N -1 1 338.335 -0.759 20 0 EBADMM O=C(N[C@H]1C[C@H](Nc2ccnc(-c3nn[n-]n3)n2)C1)c1cncnc1 ZINC001570936605 949044745 /nfs/dbraw/zinc/04/47/45/949044745.db2.gz ZBQLIRDIUQDGPK-MGCOHNPYSA-N -1 1 338.335 -0.759 20 0 EBADMM C[C@@H](CNC(=O)CO[C@H]1CCOC1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574930199 949104507 /nfs/dbraw/zinc/10/45/07/949104507.db2.gz JVLJIWHGLDSRTQ-UWVGGRQHSA-N -1 1 348.367 -0.621 20 0 EBADMM C[C@@H](CNC(=O)CO[C@H]1CCOC1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574930199 949104525 /nfs/dbraw/zinc/10/45/25/949104525.db2.gz JVLJIWHGLDSRTQ-UWVGGRQHSA-N -1 1 348.367 -0.621 20 0 EBADMM C[C@@H](CNC(=O)CO[C@@H]1CCOC1)Nc1nccnc1-c1nn[n-]n1 ZINC001574930769 949109440 /nfs/dbraw/zinc/10/94/40/949109440.db2.gz MIXUNSIGGDYKTP-VHSXEESVSA-N -1 1 348.367 -0.621 20 0 EBADMM C[C@@H](CNC(=O)CO[C@@H]1CCOC1)Nc1nccnc1-c1nnn[n-]1 ZINC001574930769 949109427 /nfs/dbraw/zinc/10/94/27/949109427.db2.gz MIXUNSIGGDYKTP-VHSXEESVSA-N -1 1 348.367 -0.621 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)c1cnnn1C ZINC001574696036 949123428 /nfs/dbraw/zinc/12/34/28/949123428.db2.gz MEMSTUIUBYCUHW-MRVPVSSYSA-N -1 1 343.355 -0.594 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)c1cnnn1C ZINC001574696036 949123433 /nfs/dbraw/zinc/12/34/33/949123433.db2.gz MEMSTUIUBYCUHW-MRVPVSSYSA-N -1 1 343.355 -0.594 20 0 EBADMM O=C(N[C@@H]1CCCN(c2cnc(-c3nnn[n-]3)cn2)C1)c1cnon1 ZINC001570939637 949147323 /nfs/dbraw/zinc/14/73/23/949147323.db2.gz QFKODXHJZNUSKT-MRVPVSSYSA-N -1 1 342.323 -0.562 20 0 EBADMM O=C(N[C@@H]1CCCN(c2cnc(-c3nn[n-]n3)cn2)C1)c1cnon1 ZINC001570939637 949147342 /nfs/dbraw/zinc/14/73/42/949147342.db2.gz QFKODXHJZNUSKT-MRVPVSSYSA-N -1 1 342.323 -0.562 20 0 EBADMM NC(=O)[C@H](CNc1ccnc(-c2nn[n-]n2)n1)Cc1ccc(F)cc1 ZINC001570939661 949153801 /nfs/dbraw/zinc/15/38/01/949153801.db2.gz FPWYPXNRLIOPRU-JTQLQIEISA-N -1 1 342.338 -0.026 20 0 EBADMM O=C(N[C@H]1C[C@H](Nc2cnc(-c3nnn[n-]3)cn2)C1)[C@]12C[C@H]1COC2 ZINC001570939911 949157589 /nfs/dbraw/zinc/15/75/89/949157589.db2.gz IJRSPYOQZISMNN-WSHGPQCASA-N -1 1 342.363 -0.248 20 0 EBADMM O=C(N[C@H]1C[C@H](Nc2cnc(-c3nn[n-]n3)cn2)C1)[C@]12C[C@H]1COC2 ZINC001570939911 949157596 /nfs/dbraw/zinc/15/75/96/949157596.db2.gz IJRSPYOQZISMNN-WSHGPQCASA-N -1 1 342.363 -0.248 20 0 EBADMM O=C(c1cnon1)N1CCC[C@@H]1CNc1nccnc1-c1nnn[n-]1 ZINC001570939865 949158470 /nfs/dbraw/zinc/15/84/70/949158470.db2.gz RDYPXIMGOONBTM-MRVPVSSYSA-N -1 1 342.323 -0.244 20 0 EBADMM O=C(c1cnon1)N1CCC[C@@H]1CNc1nccnc1-c1nn[n-]n1 ZINC001570939865 949158480 /nfs/dbraw/zinc/15/84/80/949158480.db2.gz RDYPXIMGOONBTM-MRVPVSSYSA-N -1 1 342.323 -0.244 20 0 EBADMM O=C(Cc1cnoc1)N[C@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C1 ZINC001570940170 949174582 /nfs/dbraw/zinc/17/45/82/949174582.db2.gz KPNYKIDFWLRPNM-JTQLQIEISA-N -1 1 341.335 -0.418 20 0 EBADMM O=C(Cc1cnoc1)N[C@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C1 ZINC001570940170 949174592 /nfs/dbraw/zinc/17/45/92/949174592.db2.gz KPNYKIDFWLRPNM-JTQLQIEISA-N -1 1 341.335 -0.418 20 0 EBADMM O=C(Cc1cnoc1)N1CC[C@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001570940413 949178247 /nfs/dbraw/zinc/17/82/47/949178247.db2.gz LGHYEJKNWZZOQC-JTQLQIEISA-N -1 1 341.335 -0.100 20 0 EBADMM O=C(Cc1cnoc1)N1CC[C@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001570940413 949178258 /nfs/dbraw/zinc/17/82/58/949178258.db2.gz LGHYEJKNWZZOQC-JTQLQIEISA-N -1 1 341.335 -0.100 20 0 EBADMM CC(C)CC(=O)N(C)C[C@@H](O)CN(C)c1cncc(-c2nnn[n-]2)n1 ZINC001574100327 949193259 /nfs/dbraw/zinc/19/32/59/949193259.db2.gz VSUONUUDQRWTNM-NSHDSACASA-N -1 1 348.411 -0.042 20 0 EBADMM CC(C)CC(=O)N(C)C[C@@H](O)CN(C)c1cncc(-c2nn[n-]n2)n1 ZINC001574100327 949193271 /nfs/dbraw/zinc/19/32/71/949193271.db2.gz VSUONUUDQRWTNM-NSHDSACASA-N -1 1 348.411 -0.042 20 0 EBADMM O=C(Cc1cnoc1)N1CCN(c2cnc(-c3nnn[n-]3)cn2)CC1 ZINC001570940949 949202053 /nfs/dbraw/zinc/20/20/53/949202053.db2.gz OPPJGEBSAIHORC-UHFFFAOYSA-N -1 1 341.335 -0.464 20 0 EBADMM O=C(Cc1cnoc1)N1CCN(c2cnc(-c3nn[n-]n3)cn2)CC1 ZINC001570940949 949202069 /nfs/dbraw/zinc/20/20/69/949202069.db2.gz OPPJGEBSAIHORC-UHFFFAOYSA-N -1 1 341.335 -0.464 20 0 EBADMM Cc1ocnc1C(=O)N[C@@H]1CCN(c2cncc(-c3nnn[n-]3)n2)C1 ZINC001570941550 949229995 /nfs/dbraw/zinc/22/99/95/949229995.db2.gz WKAGCJQXPJJCQN-SECBINFHSA-N -1 1 341.335 -0.038 20 0 EBADMM Cc1ocnc1C(=O)N[C@@H]1CCN(c2cncc(-c3nn[n-]n3)n2)C1 ZINC001570941550 949230027 /nfs/dbraw/zinc/23/00/27/949230027.db2.gz WKAGCJQXPJJCQN-SECBINFHSA-N -1 1 341.335 -0.038 20 0 EBADMM O=C(NC[C@@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C1)c1cocn1 ZINC001570941573 949232425 /nfs/dbraw/zinc/23/24/25/949232425.db2.gz XGWRDTDBMLAKKE-VIFPVBQESA-N -1 1 341.335 -0.099 20 0 EBADMM O=C(NC[C@@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C1)c1cocn1 ZINC001570941573 949232442 /nfs/dbraw/zinc/23/24/42/949232442.db2.gz XGWRDTDBMLAKKE-VIFPVBQESA-N -1 1 341.335 -0.099 20 0 EBADMM C[C@@H]1CCC[C@H](CNC(=O)Cn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)O1 ZINC001576151653 949233695 /nfs/dbraw/zinc/23/36/95/949233695.db2.gz HUVWTOKSSIZCHW-RKDXNWHRSA-N -1 1 349.351 -0.797 20 0 EBADMM C[C@H](CNC(=O)Cc1ccon1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574941429 949304431 /nfs/dbraw/zinc/30/44/31/949304431.db2.gz DHDXPULSGVXGDY-MRVPVSSYSA-N -1 1 329.324 -0.774 20 0 EBADMM C[C@H]1CCC[C@H]1NC(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001576154236 949327630 /nfs/dbraw/zinc/32/76/30/949327630.db2.gz CTKHKDXUVXDXAD-WCBMZHEXSA-N -1 1 348.367 -0.383 20 0 EBADMM CC(C)CC(=O)N[C@H]1CCN(c2cncc(-c3nnn[n-]3)n2)C[C@H]1O ZINC001574117077 949448369 /nfs/dbraw/zinc/44/83/69/949448369.db2.gz KZXKDTOVFAXGCJ-CMPLNLGQSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)CC(=O)N[C@H]1CCN(c2cncc(-c3nn[n-]n3)n2)C[C@H]1O ZINC001574117077 949448376 /nfs/dbraw/zinc/44/83/76/949448376.db2.gz KZXKDTOVFAXGCJ-CMPLNLGQSA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@@H](CNC(=O)Cn1cccn1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574948261 949456843 /nfs/dbraw/zinc/45/68/43/949456843.db2.gz HCJNJJLYEJMPDJ-VIFPVBQESA-N -1 1 328.340 -0.530 20 0 EBADMM C[C@@H](CNC(=O)Cn1cccn1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574948261 949456857 /nfs/dbraw/zinc/45/68/57/949456857.db2.gz HCJNJJLYEJMPDJ-VIFPVBQESA-N -1 1 328.340 -0.530 20 0 EBADMM CC(=O)N1CCC2(CC1)CN(c1cnc(-c3nnn[n-]3)cn1)CCO2 ZINC001570945496 949485120 /nfs/dbraw/zinc/48/51/20/949485120.db2.gz BIGXOFZOPGOERQ-UHFFFAOYSA-N -1 1 344.379 -0.126 20 0 EBADMM CC(=O)N1CCC2(CC1)CN(c1cnc(-c3nn[n-]n3)cn1)CCO2 ZINC001570945496 949485135 /nfs/dbraw/zinc/48/51/35/949485135.db2.gz BIGXOFZOPGOERQ-UHFFFAOYSA-N -1 1 344.379 -0.126 20 0 EBADMM C[C@H](CNC(=O)Cn1ccnc1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001574949441 949493662 /nfs/dbraw/zinc/49/36/62/949493662.db2.gz WODRQHNHJVTPFU-SNVBAGLBSA-N -1 1 342.367 -0.506 20 0 EBADMM O=C(NC[C@@H]1CCCN1c1cncc(-c2nnn[n-]2)n1)[C@H]1CCOC1 ZINC001570945698 949494697 /nfs/dbraw/zinc/49/46/97/949494697.db2.gz HUSXJODLWHRIRD-QWRGUYRKSA-N -1 1 344.379 -0.222 20 0 EBADMM O=C(NC[C@@H]1CCCN1c1cncc(-c2nn[n-]n2)n1)[C@H]1CCOC1 ZINC001570945698 949494715 /nfs/dbraw/zinc/49/47/15/949494715.db2.gz HUSXJODLWHRIRD-QWRGUYRKSA-N -1 1 344.379 -0.222 20 0 EBADMM O=C(C[C@H]1CCOC1)N1CC[C@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570945773 949497550 /nfs/dbraw/zinc/49/75/50/949497550.db2.gz ILORRXGHVJNOIY-MNOVXSKESA-N -1 1 344.379 -0.482 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1CCCCO1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574709237 949503679 /nfs/dbraw/zinc/50/36/79/949503679.db2.gz YTFQVYBHSDBYPH-MNOVXSKESA-N -1 1 346.395 -0.094 20 0 EBADMM C[C@@H]1CN(c2cncc(-c3nnn[n-]3)n2)C[C@H]1NC(=O)[C@H]1CCOC1 ZINC001570945933 949509356 /nfs/dbraw/zinc/50/93/56/949509356.db2.gz LHSUXZZLPMXHLE-JFGNBEQYSA-N -1 1 344.379 -0.366 20 0 EBADMM C[C@@H]1CN(c2cncc(-c3nn[n-]n3)n2)C[C@H]1NC(=O)[C@H]1CCOC1 ZINC001570945933 949509375 /nfs/dbraw/zinc/50/93/75/949509375.db2.gz LHSUXZZLPMXHLE-JFGNBEQYSA-N -1 1 344.379 -0.366 20 0 EBADMM O=C([C@H]1COCCO1)N1CC[C@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001570945954 949516289 /nfs/dbraw/zinc/51/62/89/949516289.db2.gz GGAPKBYICFNOEC-VHSXEESVSA-N -1 1 346.351 -0.915 20 0 EBADMM O=C([C@H]1COCCO1)N1CC[C@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001570945954 949516301 /nfs/dbraw/zinc/51/63/01/949516301.db2.gz GGAPKBYICFNOEC-VHSXEESVSA-N -1 1 346.351 -0.915 20 0 EBADMM CO[C@H](C)C(=O)N1CCCC[C@H]1CNc1ccnc(-c2nn[n-]n2)n1 ZINC001570946137 949526167 /nfs/dbraw/zinc/52/61/67/949526167.db2.gz JXDUJPPWVCXKPO-MNOVXSKESA-N -1 1 346.395 -0.094 20 0 EBADMM CO[C@H](C)C(=O)N1C[C@@H]2C[C@H]1CN2c1nc(C)cc(-c2nnn[n-]2)n1 ZINC001570946488 949532879 /nfs/dbraw/zinc/53/28/79/949532879.db2.gz PEVCWSWSMAWQEM-VWYCJHECSA-N -1 1 344.379 -0.210 20 0 EBADMM CO[C@H](C)C(=O)N1C[C@@H]2C[C@H]1CN2c1nc(C)cc(-c2nn[n-]n2)n1 ZINC001570946488 949532894 /nfs/dbraw/zinc/53/28/94/949532894.db2.gz PEVCWSWSMAWQEM-VWYCJHECSA-N -1 1 344.379 -0.210 20 0 EBADMM C[C@H](NC(=O)CC1(O)CCC1)[C@H](C)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575269765 949560236 /nfs/dbraw/zinc/56/02/36/949560236.db2.gz WTBRPKUIAVYDGB-UWVGGRQHSA-N -1 1 346.395 -0.311 20 0 EBADMM C[C@@H](NC(=O)CC1(O)CCC1)[C@H](C)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575269767 949560355 /nfs/dbraw/zinc/56/03/55/949560355.db2.gz WTBRPKUIAVYDGB-VHSXEESVSA-N -1 1 346.395 -0.311 20 0 EBADMM O=C(N[C@@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C1)[C@@H]1CCCOC1 ZINC001570947318 949566728 /nfs/dbraw/zinc/56/67/28/949566728.db2.gz XIGJPCSDTHPXHA-GHMZBOCLSA-N -1 1 344.379 -0.222 20 0 EBADMM O=C(N[C@@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C1)[C@@H]1CCCOC1 ZINC001570947318 949566745 /nfs/dbraw/zinc/56/67/45/949566745.db2.gz XIGJPCSDTHPXHA-GHMZBOCLSA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1COC(=O)N1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574712977 949593682 /nfs/dbraw/zinc/59/36/82/949593682.db2.gz VPMGEIXMKXQQLT-IONNQARKSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1COC(=O)N1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574712977 949593692 /nfs/dbraw/zinc/59/36/92/949593692.db2.gz VPMGEIXMKXQQLT-IONNQARKSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@@H](CN(C)C(=O)C1CCOCC1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574713859 949613274 /nfs/dbraw/zinc/61/32/74/949613274.db2.gz YQHVDLRHRHBDNY-JTQLQIEISA-N -1 1 346.395 -0.236 20 0 EBADMM O=C(C[C@H]1CCOC1)Nc1[nH]nc(N2CCOCC2)c1-c1nnn[n-]1 ZINC001570949936 949666491 /nfs/dbraw/zinc/66/64/91/949666491.db2.gz UVVKYGOWKYBIEM-SECBINFHSA-N -1 1 348.367 -0.209 20 0 EBADMM O=C(C[C@H]1CCOC1)Nc1[nH]nc(N2CCOCC2)c1-c1nn[n-]n1 ZINC001570949936 949666503 /nfs/dbraw/zinc/66/65/03/949666503.db2.gz UVVKYGOWKYBIEM-SECBINFHSA-N -1 1 348.367 -0.209 20 0 EBADMM CO[C@](C)(C(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)C1CC1 ZINC001570950784 949703225 /nfs/dbraw/zinc/70/32/25/949703225.db2.gz UQGDSGQSMXBOSO-AWEZNQCLSA-N -1 1 349.351 -0.940 20 0 EBADMM Cn1c(=O)[nH]c(NCC(=O)OC2CCCCC2)c(-c2nn[n-]n2)c1=O ZINC001570950938 949716073 /nfs/dbraw/zinc/71/60/73/949716073.db2.gz ZXXAGCMMCZPFIK-UHFFFAOYSA-N -1 1 349.351 -0.046 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CCC(=O)N1C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574962828 949740224 /nfs/dbraw/zinc/74/02/24/949740224.db2.gz CQGMREYZPBKWJB-WCBMZHEXSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CCC(=O)N1C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574962828 949740236 /nfs/dbraw/zinc/74/02/36/949740236.db2.gz CQGMREYZPBKWJB-WCBMZHEXSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CCC(=O)N1)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001574962862 949741655 /nfs/dbraw/zinc/74/16/55/949741655.db2.gz DBTDASJWOVDDEK-RKDXNWHRSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CCC(=O)N1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001574962862 949741663 /nfs/dbraw/zinc/74/16/63/949741663.db2.gz DBTDASJWOVDDEK-RKDXNWHRSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CCC(=O)N1C)Nc1nccnc1-c1nnn[n-]1 ZINC001574964193 949772644 /nfs/dbraw/zinc/77/26/44/949772644.db2.gz RPWWZKSANBNJDZ-RKDXNWHRSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CCC(=O)N1C)Nc1nccnc1-c1nn[n-]n1 ZINC001574964193 949772661 /nfs/dbraw/zinc/77/26/61/949772661.db2.gz RPWWZKSANBNJDZ-RKDXNWHRSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@]1(C(=O)N[C@H]2CCN(c3nccnc3-c3nnn[n-]3)C2)CCOC1 ZINC001575569164 949915569 /nfs/dbraw/zinc/91/55/69/949915569.db2.gz HBXOGYJGGGNJLD-ZUZCIYMTSA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@@]1(C(=O)N[C@H]2CCN(c3nccnc3-c3nn[n-]n3)C2)CCOC1 ZINC001575569164 949915591 /nfs/dbraw/zinc/91/55/91/949915591.db2.gz HBXOGYJGGGNJLD-ZUZCIYMTSA-N -1 1 344.379 -0.222 20 0 EBADMM CC1(C(=O)N[C@@H]2CCN(c3ccnc(-c4nn[n-]n4)n3)C[C@H]2O)CC1 ZINC001575571342 949960479 /nfs/dbraw/zinc/96/04/79/949960479.db2.gz ZRJGZUHINJXLBP-NXEZZACHSA-N -1 1 344.379 -0.487 20 0 EBADMM C[C@H](CN(C)C(=O)Cc1nnc[nH]1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574732151 950064417 /nfs/dbraw/zinc/06/44/17/950064417.db2.gz GGWPVJZDQMUIHN-MRVPVSSYSA-N -1 1 343.355 -0.724 20 0 EBADMM CC(C)(C)CC(=O)NC[C@@H](CO)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001573835805 950066671 /nfs/dbraw/zinc/06/66/71/950066671.db2.gz CFHRVKADEXXONL-VIFPVBQESA-N -1 1 334.384 -0.018 20 0 EBADMM CC(C)(C)CC(=O)NC[C@@H](CO)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001573835805 950066695 /nfs/dbraw/zinc/06/66/95/950066695.db2.gz CFHRVKADEXXONL-VIFPVBQESA-N -1 1 334.384 -0.018 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1CCC(=O)N1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574732946 950085764 /nfs/dbraw/zinc/08/57/64/950085764.db2.gz NIYDWSLUSBLNOV-BDAKNGLRSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1CCC(=O)N1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574732946 950085787 /nfs/dbraw/zinc/08/57/87/950085787.db2.gz NIYDWSLUSBLNOV-BDAKNGLRSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](CC(=O)NC[C@H](CO)Nc1cncc(-c2nnn[n-]2)n1)C1CC1 ZINC001574492736 950101932 /nfs/dbraw/zinc/10/19/32/950101932.db2.gz CHAQTCXNVVAJNJ-MWLCHTKSSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](CC(=O)NC[C@H](CO)Nc1cncc(-c2nn[n-]n2)n1)C1CC1 ZINC001574492736 950101939 /nfs/dbraw/zinc/10/19/39/950101939.db2.gz CHAQTCXNVVAJNJ-MWLCHTKSSA-N -1 1 346.395 -0.018 20 0 EBADMM CC(C)[C@@H](O)C(=O)N(C)C[C@@H](C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574733415 950111087 /nfs/dbraw/zinc/11/10/87/950111087.db2.gz AHINJDNAPCPYAD-BXKDBHETSA-N -1 1 334.384 -0.068 20 0 EBADMM CC(C)[C@@H](O)C(=O)N(C)C[C@@H](C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574733415 950111096 /nfs/dbraw/zinc/11/10/96/950111096.db2.gz AHINJDNAPCPYAD-BXKDBHETSA-N -1 1 334.384 -0.068 20 0 EBADMM C[C@H](CN(C)C(=O)[C@]12C[C@H]1COC2)Nc1nccnc1-c1nnn[n-]1 ZINC001574733690 950120709 /nfs/dbraw/zinc/12/07/09/950120709.db2.gz GAZBPAPDZZXXQI-FTGAXOIBSA-N -1 1 344.379 -0.048 20 0 EBADMM C[C@H](CN(C)C(=O)[C@]12C[C@H]1COC2)Nc1nccnc1-c1nn[n-]n1 ZINC001574733690 950120721 /nfs/dbraw/zinc/12/07/21/950120721.db2.gz GAZBPAPDZZXXQI-FTGAXOIBSA-N -1 1 344.379 -0.048 20 0 EBADMM C[C@H](CNC(=O)c1c[nH]c(=O)n1C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574983937 950247010 /nfs/dbraw/zinc/24/70/10/950247010.db2.gz SRSWOEVKWNPYSB-SSDOTTSWSA-N -1 1 344.339 -0.674 20 0 EBADMM C[C@H](CNC(=O)c1c[nH]c(=O)n1C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574983937 950247026 /nfs/dbraw/zinc/24/70/26/950247026.db2.gz SRSWOEVKWNPYSB-SSDOTTSWSA-N -1 1 344.339 -0.674 20 0 EBADMM C[C@H](CC(=O)NC[C@H](O)CNc1ccnc(-c2nn[n-]n2)n1)C1CC1 ZINC001574498359 950270863 /nfs/dbraw/zinc/27/08/63/950270863.db2.gz MSOABJZHAZLOFU-MWLCHTKSSA-N -1 1 346.395 -0.596 20 0 EBADMM C[C@@H](CC(=O)NC[C@@H](O)CNc1nccnc1-c1nnn[n-]1)C1CC1 ZINC001574499425 950300020 /nfs/dbraw/zinc/30/00/20/950300020.db2.gz VBTOTIKBEWOGMP-GXSJLCMTSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](CC(=O)NC[C@@H](O)CNc1nccnc1-c1nn[n-]n1)C1CC1 ZINC001574499425 950300041 /nfs/dbraw/zinc/30/00/41/950300041.db2.gz VBTOTIKBEWOGMP-GXSJLCMTSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](CC(=O)NC[C@@H](O)CNc1ccc(-c2nnn[n-]2)nn1)C1CC1 ZINC001574499470 950302030 /nfs/dbraw/zinc/30/20/30/950302030.db2.gz WEPRYDFRXTXOKM-KOLCDFICSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](CC(=O)NC[C@@H](O)CNc1ccc(-c2nn[n-]n2)nn1)C1CC1 ZINC001574499470 950302052 /nfs/dbraw/zinc/30/20/52/950302052.db2.gz WEPRYDFRXTXOKM-KOLCDFICSA-N -1 1 346.395 -0.018 20 0 EBADMM CC1(C(=O)NC[C@@H]2CN(c3ccnc(-c4nn[n-]n4)n3)CCO2)CC1 ZINC001575594486 950334447 /nfs/dbraw/zinc/33/44/47/950334447.db2.gz KJMILKYYNHEYKR-SNVBAGLBSA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@]1(C(=O)NCCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)CCCCO1 ZINC001575594526 950336934 /nfs/dbraw/zinc/33/69/34/950336934.db2.gz USOZKPIXYLMWCJ-CQSZACIVSA-N -1 1 349.351 -0.796 20 0 EBADMM CC(C)(C)OC(=O)NC[C@H](O)CNc1ccnc(-c2nnn[n-]2)c1 ZINC001573861020 950434729 /nfs/dbraw/zinc/43/47/29/950434729.db2.gz PDUZIHQKWNWKFI-SNVBAGLBSA-N -1 1 335.368 -0.019 20 0 EBADMM CC(C)(C)OC(=O)NC[C@H](O)CNc1ccnc(-c2nn[n-]n2)c1 ZINC001573861020 950434734 /nfs/dbraw/zinc/43/47/34/950434734.db2.gz PDUZIHQKWNWKFI-SNVBAGLBSA-N -1 1 335.368 -0.019 20 0 EBADMM C[C@@H](CC(=O)NCCn1cnc(-c2nn[n-]n2)n1)c1cnn(C)c1 ZINC001574509776 950529606 /nfs/dbraw/zinc/52/96/06/950529606.db2.gz XEOIBNWLQFJZAD-VIFPVBQESA-N -1 1 330.356 -0.498 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cccnn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574759022 950625897 /nfs/dbraw/zinc/62/58/97/950625897.db2.gz JFOVDQJTMAJJND-VIFPVBQESA-N -1 1 340.351 -0.559 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cn[nH]c1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574761139 950692321 /nfs/dbraw/zinc/69/23/21/950692321.db2.gz RAEDUNWNCBFJDL-QMMMGPOBSA-N -1 1 328.340 -0.048 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cn[nH]c1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574761139 950692327 /nfs/dbraw/zinc/69/23/27/950692327.db2.gz RAEDUNWNCBFJDL-QMMMGPOBSA-N -1 1 328.340 -0.048 20 0 EBADMM C[C@@H]1[C@H](Nc2cnc(-c3nn[n-]n3)cn2)CCN1C(=O)c1cn[nH]n1 ZINC001575926425 950708891 /nfs/dbraw/zinc/70/88/91/950708891.db2.gz KALVXQQAQZADHR-HTQZYQBOSA-N -1 1 341.339 -0.510 20 0 EBADMM C[C@@H](CNC(=O)c1ccn[nH]1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575006061 950710737 /nfs/dbraw/zinc/71/07/37/950710737.db2.gz RGGRGJFICLRJSR-QMMMGPOBSA-N -1 1 328.340 -0.366 20 0 EBADMM C[C@H](CNC(=O)c1ccn[nH]1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575006497 950722100 /nfs/dbraw/zinc/72/21/00/950722100.db2.gz UYGIUUJQPRMDSW-QMMMGPOBSA-N -1 1 328.340 -0.720 20 0 EBADMM CC1(C)CC(C(=O)NC[C@@H](CO)Nc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001575629944 950751015 /nfs/dbraw/zinc/75/10/15/950751015.db2.gz VVKKUEIBEKPGFG-JTQLQIEISA-N -1 1 346.395 -0.018 20 0 EBADMM CC1(C)CC(C(=O)NC[C@@H](CO)Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001575629944 950751027 /nfs/dbraw/zinc/75/10/27/950751027.db2.gz VVKKUEIBEKPGFG-JTQLQIEISA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](C(=O)N1C[C@@H](C)Cn2ncnc21)n1cnc(-c2nn[n-]n2)n1 ZINC001576218185 950781572 /nfs/dbraw/zinc/78/15/72/950781572.db2.gz LHKLCRNVTOWCFS-HTQZYQBOSA-N -1 1 329.328 -0.706 20 0 EBADMM CC(C)CCC(=O)N[C@@H]1CN(c2cnc(-c3nnn[n-]3)cn2)C[C@H]1O ZINC001574184861 950789698 /nfs/dbraw/zinc/78/96/98/950789698.db2.gz PEMOTXNXHDMHGF-VXGBXAGGSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)CCC(=O)N[C@@H]1CN(c2cnc(-c3nn[n-]n3)cn2)C[C@H]1O ZINC001574184861 950789719 /nfs/dbraw/zinc/78/97/19/950789719.db2.gz PEMOTXNXHDMHGF-VXGBXAGGSA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cnon1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574765713 950797593 /nfs/dbraw/zinc/79/75/93/950797593.db2.gz JUBKELMZAJXBJS-ZETCQYMHSA-N -1 1 330.312 -0.388 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cnon1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574765713 950797610 /nfs/dbraw/zinc/79/76/10/950797610.db2.gz JUBKELMZAJXBJS-ZETCQYMHSA-N -1 1 330.312 -0.388 20 0 EBADMM C[C@H]1[C@@H](Nc2cncc(-c3nnn[n-]3)n2)CCN1C(=O)c1cnon1 ZINC001575934800 950865731 /nfs/dbraw/zinc/86/57/31/950865731.db2.gz TYIKNWLGBHBELO-YUMQZZPRSA-N -1 1 342.323 -0.245 20 0 EBADMM C[C@H]1[C@@H](Nc2cncc(-c3nn[n-]n3)n2)CCN1C(=O)c1cnon1 ZINC001575934800 950865748 /nfs/dbraw/zinc/86/57/48/950865748.db2.gz TYIKNWLGBHBELO-YUMQZZPRSA-N -1 1 342.323 -0.245 20 0 EBADMM CC(C)(C)n1cc(-c2nnn[n-]2)c(NC(=O)CCn2cnnn2)n1 ZINC001573888170 950867406 /nfs/dbraw/zinc/86/74/06/950867406.db2.gz QOUXICQVOHNKNJ-UHFFFAOYSA-N -1 1 331.344 -0.167 20 0 EBADMM CC(C)(C)n1cc(-c2nn[n-]n2)c(NC(=O)CCn2cnnn2)n1 ZINC001573888170 950867418 /nfs/dbraw/zinc/86/74/18/950867418.db2.gz QOUXICQVOHNKNJ-UHFFFAOYSA-N -1 1 331.344 -0.167 20 0 EBADMM C[C@@H]1[C@H](Nc2nccnc2-c2nnn[n-]2)CCN1C(=O)c1cnon1 ZINC001575936683 950923377 /nfs/dbraw/zinc/92/33/77/950923377.db2.gz HPDSSRWFAPIZDH-HTQZYQBOSA-N -1 1 342.323 -0.245 20 0 EBADMM C[C@@H]1[C@H](Nc2nccnc2-c2nn[n-]n2)CCN1C(=O)c1cnon1 ZINC001575936683 950923397 /nfs/dbraw/zinc/92/33/97/950923397.db2.gz HPDSSRWFAPIZDH-HTQZYQBOSA-N -1 1 342.323 -0.245 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)N(C)CCN(C)c2ccnc(-c3nn[n-]n3)n2)CO1 ZINC001575938388 950974901 /nfs/dbraw/zinc/97/49/01/950974901.db2.gz KPQAOFDMFUPIRK-GHMZBOCLSA-N -1 1 346.395 -0.024 20 0 EBADMM C[C@@H]1C[C@H](C(=O)N(C)CCN(C)c2ccc(-c3nnn[n-]3)nn2)CO1 ZINC001575938418 950981906 /nfs/dbraw/zinc/98/19/06/950981906.db2.gz LFKOWRZMDWGQAS-MNOVXSKESA-N -1 1 346.395 -0.024 20 0 EBADMM C[C@@H]1C[C@H](C(=O)N(C)CCN(C)c2ccc(-c3nn[n-]n3)nn2)CO1 ZINC001575938418 950981923 /nfs/dbraw/zinc/98/19/23/950981923.db2.gz LFKOWRZMDWGQAS-MNOVXSKESA-N -1 1 346.395 -0.024 20 0 EBADMM C[C@@H](CNC(=O)c1ccc(=O)[nH]c1)Nc1nccnc1-c1nnn[n-]1 ZINC001575017418 950994387 /nfs/dbraw/zinc/99/43/87/950994387.db2.gz FPBMAIJJJQYYMT-QMMMGPOBSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@@H](CNC(=O)c1ccc(=O)[nH]c1)Nc1nccnc1-c1nn[n-]n1 ZINC001575017418 950994401 /nfs/dbraw/zinc/99/44/01/950994401.db2.gz FPBMAIJJJQYYMT-QMMMGPOBSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@H](CNC(=O)c1cccnn1)Nc1nccnc1-c1nnn[n-]1 ZINC001575032265 951221155 /nfs/dbraw/zinc/22/11/55/951221155.db2.gz VLORUDOBDIEDPW-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1cccnn1)Nc1nccnc1-c1nn[n-]n1 ZINC001575032265 951221167 /nfs/dbraw/zinc/22/11/67/951221167.db2.gz VLORUDOBDIEDPW-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@@H](CNC(=O)c1ccncn1)Nc1nccnc1-c1nnn[n-]1 ZINC001575033947 951235752 /nfs/dbraw/zinc/23/57/52/951235752.db2.gz FHMCTLOLJAMYDH-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@@H](CNC(=O)c1ccncn1)Nc1nccnc1-c1nn[n-]n1 ZINC001575033947 951235763 /nfs/dbraw/zinc/23/57/63/951235763.db2.gz FHMCTLOLJAMYDH-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@@H](CNC(=O)c1ccnnc1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575035598 951280436 /nfs/dbraw/zinc/28/04/36/951280436.db2.gz YWMKCCKZQXRTGT-SECBINFHSA-N -1 1 340.351 -0.653 20 0 EBADMM C[C@H](CNC(=O)c1ccn(C)n1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575035798 951282172 /nfs/dbraw/zinc/28/21/72/951282172.db2.gz LTKZZOAIQZVLAN-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1ccn(C)n1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575035798 951282183 /nfs/dbraw/zinc/28/21/83/951282183.db2.gz LTKZZOAIQZVLAN-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1ccn(C)n1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575035985 951285781 /nfs/dbraw/zinc/28/57/81/951285781.db2.gz NZBLJEITYHTXMC-VIFPVBQESA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@H](CNC(=O)c1ccn(C)n1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575035985 951285797 /nfs/dbraw/zinc/28/57/97/951285797.db2.gz NZBLJEITYHTXMC-VIFPVBQESA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)nn1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575042165 951355350 /nfs/dbraw/zinc/35/53/50/951355350.db2.gz GRGJFQOPJHRFQL-MRVPVSSYSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)nn1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575042165 951355362 /nfs/dbraw/zinc/35/53/62/951355362.db2.gz GRGJFQOPJHRFQL-MRVPVSSYSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)cn1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575042593 951367094 /nfs/dbraw/zinc/36/70/94/951367094.db2.gz LBPYNPCQELUJIO-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)cn1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575043091 951380334 /nfs/dbraw/zinc/38/03/34/951380334.db2.gz OPXFVMNMSKZSJS-QMMMGPOBSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)cn1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575043091 951380342 /nfs/dbraw/zinc/38/03/42/951380342.db2.gz OPXFVMNMSKZSJS-QMMMGPOBSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@@H](CNC(=O)c1cn[nH]c1)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001575044304 951413810 /nfs/dbraw/zinc/41/38/10/951413810.db2.gz REDQHXDHNXEMGC-MRVPVSSYSA-N -1 1 328.340 -0.142 20 0 EBADMM C[C@@H](CNC(=O)c1cn[nH]c1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001575044304 951413816 /nfs/dbraw/zinc/41/38/16/951413816.db2.gz REDQHXDHNXEMGC-MRVPVSSYSA-N -1 1 328.340 -0.142 20 0 EBADMM C[C@@H](CNC(=O)c1cnccn1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575047212 951454960 /nfs/dbraw/zinc/45/49/60/951454960.db2.gz ZNHDNMLBIJJPFQ-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@@H](CNC(=O)c1cnccn1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575047212 951454964 /nfs/dbraw/zinc/45/49/64/951454964.db2.gz ZNHDNMLBIJJPFQ-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)n1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575052594 951468112 /nfs/dbraw/zinc/46/81/12/951468112.db2.gz MQGIJNXXBUKAPE-QMMMGPOBSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)n1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575052594 951468118 /nfs/dbraw/zinc/46/81/18/951468118.db2.gz MQGIJNXXBUKAPE-QMMMGPOBSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)c1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575052579 951468283 /nfs/dbraw/zinc/46/82/83/951468283.db2.gz MJAPWAOCJULVQZ-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1cnnn1C)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001575054291 951490810 /nfs/dbraw/zinc/49/08/10/951490810.db2.gz HZAMCUFCEQOETN-MRVPVSSYSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@H](CNC(=O)c1cnnn1C)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575054291 951490817 /nfs/dbraw/zinc/49/08/17/951490817.db2.gz HZAMCUFCEQOETN-MRVPVSSYSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@@H](CNC(=O)c1cnns1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575055209 951499821 /nfs/dbraw/zinc/49/98/21/951499821.db2.gz ODDJALCHJPKUQV-LURJTMIESA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@@H](CNC(=O)c1cnns1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575055209 951499823 /nfs/dbraw/zinc/49/98/23/951499823.db2.gz ODDJALCHJPKUQV-LURJTMIESA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@H](CNC(=O)c1cnnn1C)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001575055617 951508889 /nfs/dbraw/zinc/50/88/89/951508889.db2.gz YGNDQOVKHGXNNU-QMMMGPOBSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@H](CNC(=O)c1cnnn1C)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575055617 951508893 /nfs/dbraw/zinc/50/88/93/951508893.db2.gz YGNDQOVKHGXNNU-QMMMGPOBSA-N -1 1 343.355 -0.737 20 0 EBADMM O=C([O-])CN1CCCC[C@H](N[C@H]2C(=O)NCC23CCOCC3)C1=O ZINC001595095396 951809554 /nfs/dbraw/zinc/80/95/54/951809554.db2.gz DOOJNNPONUIAAD-AAEUAGOBSA-N -1 1 339.392 -0.663 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)c1ccc(-c2nn[nH]n2)[nH]1 ZINC001575117060 951976035 /nfs/dbraw/zinc/97/60/35/951976035.db2.gz KVWOVJFWIHJSHA-SSDOTTSWSA-N -1 1 346.355 -0.731 20 0 EBADMM C[C@@H](CNc1[nH]c(=O)n(C)c(=O)c1-c1nn[n-]n1)Cn1cccn1 ZINC001575117071 951976057 /nfs/dbraw/zinc/97/60/57/951976057.db2.gz KZCMIUABKQBQEM-QMMMGPOBSA-N -1 1 331.340 -0.389 20 0 EBADMM O=C([O-])CS(=O)(=O)CCN[C@H]1CCN(c2ncccc2F)C1 ZINC001595124460 952000068 /nfs/dbraw/zinc/00/00/68/952000068.db2.gz RUAZNNWDVBKXHD-JTQLQIEISA-N -1 1 331.369 -0.112 20 0 EBADMM C[C@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)c1cnn(C)c1 ZINC001575137155 952135910 /nfs/dbraw/zinc/13/59/10/952135910.db2.gz VFBYJJVMHVXMBP-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)c1cnn(C)c1 ZINC001575137155 952135916 /nfs/dbraw/zinc/13/59/16/952135916.db2.gz VFBYJJVMHVXMBP-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)C1CCOCC1 ZINC001575140644 952141793 /nfs/dbraw/zinc/14/17/93/952141793.db2.gz FAQFBDUEUNSKCE-SNVBAGLBSA-N -1 1 346.395 -0.236 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)C1CCOCC1 ZINC001575140633 952142724 /nfs/dbraw/zinc/14/27/24/952142724.db2.gz FAQFBDUEUNSKCE-JTQLQIEISA-N -1 1 346.395 -0.236 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)Cc1cc[nH]n1 ZINC001575142139 952146290 /nfs/dbraw/zinc/14/62/90/952146290.db2.gz JDOCDCCWQPFRER-VIFPVBQESA-N -1 1 342.367 -0.697 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)[C@@H]1CCCOC1 ZINC001575143082 952149891 /nfs/dbraw/zinc/14/98/91/952149891.db2.gz MSLRKSPQJPGZGL-WDEREUQCSA-N -1 1 346.395 -0.236 20 0 EBADMM C[C@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)[C@H]1C[C@H]1C(N)=O ZINC001575153718 952212295 /nfs/dbraw/zinc/21/22/95/952212295.db2.gz JYIPWFOEIZSTAZ-HLTSFMKQSA-N -1 1 345.367 -0.963 20 0 EBADMM C[C@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)[C@H]1C[C@H]1C(N)=O ZINC001575153718 952212302 /nfs/dbraw/zinc/21/23/02/952212302.db2.gz JYIPWFOEIZSTAZ-HLTSFMKQSA-N -1 1 345.367 -0.963 20 0 EBADMM C[C@@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)[C@@H]1CCOC1 ZINC001575155167 952235162 /nfs/dbraw/zinc/23/51/62/952235162.db2.gz WZKOSXQMKHHUEV-VHSXEESVSA-N -1 1 332.368 -0.048 20 0 EBADMM C[C@@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)[C@@H]1CCOC1 ZINC001575155167 952235167 /nfs/dbraw/zinc/23/51/67/952235167.db2.gz WZKOSXQMKHHUEV-VHSXEESVSA-N -1 1 332.368 -0.048 20 0 EBADMM C[C@@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)[C@H]1CCOC1 ZINC001575156099 952248410 /nfs/dbraw/zinc/24/84/10/952248410.db2.gz CHYOANOKCBXWRV-UWVGGRQHSA-N -1 1 332.368 -0.048 20 0 EBADMM C[C@@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)[C@H]1CCOC1 ZINC001575156099 952248416 /nfs/dbraw/zinc/24/84/16/952248416.db2.gz CHYOANOKCBXWRV-UWVGGRQHSA-N -1 1 332.368 -0.048 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)c1cncn1C ZINC001575180940 952420225 /nfs/dbraw/zinc/42/02/25/952420225.db2.gz YHIQBTBQCPHYBH-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)c1cncn1C ZINC001575180940 952420230 /nfs/dbraw/zinc/42/02/30/952420230.db2.gz YHIQBTBQCPHYBH-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CS(C)(=O)=O)NC(=O)NCc1nc(-c2nnn[n-]2)cs1 ZINC001575185446 952433133 /nfs/dbraw/zinc/43/31/33/952433133.db2.gz QQRBYZDXKQGMPI-LURJTMIESA-N -1 1 345.410 -0.445 20 0 EBADMM C[C@@H](CS(C)(=O)=O)NC(=O)NCc1nc(-c2nn[n-]n2)cs1 ZINC001575185446 952433138 /nfs/dbraw/zinc/43/31/38/952433138.db2.gz QQRBYZDXKQGMPI-LURJTMIESA-N -1 1 345.410 -0.445 20 0 EBADMM O=C([O-])[C@@H](C(=O)N[C@H]1CCN(CCN2CCOCC2)C1)C1CC1 ZINC001594833344 954000032 /nfs/dbraw/zinc/00/00/32/954000032.db2.gz ZRYONFMASWCJFQ-UONOGXRCSA-N -1 1 325.409 -0.380 20 0 EBADMM CN(C)CCN(CC(=O)[O-])C(=O)c1cn(CC(=O)C(C)(C)C)nn1 ZINC001593698743 954090171 /nfs/dbraw/zinc/09/01/71/954090171.db2.gz FLFGUCLBPWEEKC-UHFFFAOYSA-N -1 1 339.396 -0.018 20 0 EBADMM C[C@@H]1CN(CCCNC(=O)C(=O)N[C@H]2C[C@@H](C(=O)[O-])C2)C[C@@H](C)O1 ZINC001589400608 954422450 /nfs/dbraw/zinc/42/24/50/954422450.db2.gz QWCVGZQLGVPRLM-LPWJVIDDSA-N -1 1 341.408 -0.419 20 0 EBADMM O=C([O-])[C@@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCC[N@@H+]1CCOCCO ZINC001594896843 954573510 /nfs/dbraw/zinc/57/35/10/954573510.db2.gz SXIWKJVBULNSHW-IUCAKERBSA-N -1 1 328.287 -0.409 20 0 EBADMM CN1CCN(CCCS(=O)(=O)NCC2(C(=O)[O-])CCC2)CC1 ZINC001593784385 954682255 /nfs/dbraw/zinc/68/22/55/954682255.db2.gz HQANIEWAHPKGBO-UHFFFAOYSA-N -1 1 333.454 -0.202 20 0 EBADMM Cn1cc([C@H](O)C[C@@H]2CCCN2[C@@H]2CCN(CC(=O)[O-])C2=O)cn1 ZINC001594531301 955536558 /nfs/dbraw/zinc/53/65/58/955536558.db2.gz JABYKTMBCGKQEZ-BFHYXJOUSA-N -1 1 336.392 -0.007 20 0 EBADMM COC(=O)[C@@H]1CC[C@H](S(=O)(=O)N(CCN(C)C)CC(=O)[O-])C1 ZINC001593860829 955539436 /nfs/dbraw/zinc/53/94/36/955539436.db2.gz JLTITDNXRIBFHX-MNOVXSKESA-N -1 1 336.410 -0.394 20 0 EBADMM COC(=O)c1ccoc1S(=O)(=O)NC1(C(=O)[O-])CCOCC1 ZINC001593892061 955792314 /nfs/dbraw/zinc/79/23/14/955792314.db2.gz RVUWGLWGIHTRHR-UHFFFAOYSA-N -1 1 333.318 -0.022 20 0 EBADMM C[C@H](NC(=O)C1(C(=O)[O-])CCS(=O)(=O)CC1)C1=NN(C)CC1=O ZINC001589090945 955939192 /nfs/dbraw/zinc/93/91/92/955939192.db2.gz MCJJZRMDRZODCK-QMMMGPOBSA-N -1 1 345.377 -0.418 20 0 EBADMM C[C@@H](N[C@H]1CCN(CC(=O)[O-])C1=O)c1cccc(S(N)(=O)=O)c1 ZINC001589124367 956156891 /nfs/dbraw/zinc/15/68/91/956156891.db2.gz VKVBJJJXOVVZBD-SKDRFNHKSA-N -1 1 341.389 -0.330 20 0 EBADMM NC(=O)[C@@H]1CCCN1CCCNC(=O)Nc1ccn(CC(=O)[O-])n1 ZINC001594647304 956439991 /nfs/dbraw/zinc/43/99/91/956439991.db2.gz DTEKZVVNRNCHMP-JTQLQIEISA-N -1 1 338.368 -0.571 20 0 EBADMM C[C@]1(C(=O)[O-])CCN(C(=O)c2cc(S(N)(=O)=O)ccc2O)C1 ZINC001589196291 956610346 /nfs/dbraw/zinc/61/03/46/956610346.db2.gz VUZYGGJYWRBWHU-ZDUSSCGKSA-N -1 1 328.346 -0.024 20 0 EBADMM O=C(CNC1(C(=O)[O-])CCOCC1)NCCCN1CCCC1=O ZINC001594697047 956779361 /nfs/dbraw/zinc/77/93/61/956779361.db2.gz OHIIXPUYDJCJIG-UHFFFAOYSA-N -1 1 327.381 -0.662 20 0 EBADMM C[C@H](CNC(=O)C(=O)NC[C@@H]1CCN1C1CCOCC1)C(=O)[O-] ZINC001603166714 972264246 /nfs/dbraw/zinc/26/42/46/972264246.db2.gz SJRLFSCVLCQAHW-PWSUYJOCSA-N -1 1 327.381 -0.807 20 0 EBADMM CSCC[C@H](NC(=O)C1(C(=O)[O-])CCOCC1)c1nn[nH]n1 ZINC001594186712 959135650 /nfs/dbraw/zinc/13/56/50/959135650.db2.gz WUMYEJFSBLOMMU-QMMMGPOBSA-N -1 1 329.382 -0.009 20 0 EBADMM C[C@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)NC1CCN(CC(=O)[O-])CC1 ZINC001588607119 959483204 /nfs/dbraw/zinc/48/32/04/959483204.db2.gz PSGLXMQEVLSFJD-MNOVXSKESA-N -1 1 349.453 -0.002 20 0 EBADMM CN(C)Cc1ccc(C(N)=O)cc1NC(=O)c1cn(CC(=O)[O-])nn1 ZINC001604074931 972467756 /nfs/dbraw/zinc/46/77/56/972467756.db2.gz NWZYLDVNSBGZCO-UHFFFAOYSA-N -1 1 346.347 -0.225 20 0 EBADMM CS(=O)(=O)Nc1ccc(C(=O)N2CC[C@H](NC(=O)[O-])C2)cn1 ZINC001571180208 962149138 /nfs/dbraw/zinc/14/91/38/962149138.db2.gz HDCIQYCVGKMNDK-VIFPVBQESA-N -1 1 328.350 -0.065 20 0 EBADMM C[C@H](O)CN1CCN(C(=O)NC[C@H]2CCO[C@H]2C(=O)[O-])[C@@H](C)C1 ZINC001571186777 962216556 /nfs/dbraw/zinc/21/65/56/962216556.db2.gz WBSCGWIVGRUZIW-WUHRBBMRSA-N -1 1 329.397 -0.427 20 0 EBADMM O=C([O-])CN1CCC[C@@H](C(=O)N2CCC[C@@H](c3nc[nH]n3)C2)C1=O ZINC001574720295 962765605 /nfs/dbraw/zinc/76/56/05/962765605.db2.gz WVVUHMHIEOCRPR-MNOVXSKESA-N -1 1 335.364 -0.166 20 0 EBADMM CS(=O)(=O)Nc1ccc(C(=O)N2CCN(CC(=O)[O-])CC2)cc1 ZINC000319666522 970560016 /nfs/dbraw/zinc/56/00/16/970560016.db2.gz TUMKDCIOJHFVBF-UHFFFAOYSA-N -1 1 341.389 -0.100 20 0 EBADMM COC(=O)CCN(CC(=O)[O-])Cc1ccc(S(N)(=O)=O)cc1F ZINC001604310679 972965208 /nfs/dbraw/zinc/96/52/08/972965208.db2.gz DFXGFEOVYQCGPL-UHFFFAOYSA-N -1 1 348.352 -0.077 20 0 EBADMM O=C([O-])[C@H]1CS(=O)(=O)CCN1C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001606053704 973160057 /nfs/dbraw/zinc/16/00/57/973160057.db2.gz IWCNHIWTJJGUOT-LDYMZIIASA-N -1 1 327.362 -0.460 20 0 EBADMM CO[C@@](C)(C(=O)[O-])C(=O)N[C@@H]1CCCN(CCN2CCOCC2)C1 ZINC001604454724 973286892 /nfs/dbraw/zinc/28/68/92/973286892.db2.gz BNXXKEWCEUQPGI-CZUORRHYSA-N -1 1 343.424 -0.611 20 0 EBADMM CO[C@@](C)(C(=O)[O-])C(=O)N[C@H]1CCCN(CCN2CCOCC2)C1 ZINC001604454725 973287349 /nfs/dbraw/zinc/28/73/49/973287349.db2.gz BNXXKEWCEUQPGI-XJKSGUPXSA-N -1 1 343.424 -0.611 20 0 EBADMM COCCN(CCC(=O)[O-])CC(=O)NC1CCN(C(C)=O)CC1 ZINC001604604512 973711105 /nfs/dbraw/zinc/71/11/05/973711105.db2.gz ALUMODRPCOTCAV-UHFFFAOYSA-N -1 1 329.397 -0.463 20 0 EBADMM O=C([O-])Cn1cc(C(=O)N2CCC[C@H](N3CCOCC3)CC2)nn1 ZINC001606325365 973744478 /nfs/dbraw/zinc/74/44/78/973744478.db2.gz ABTLIZMCIWBMDX-LBPRGKRZSA-N -1 1 337.380 -0.310 20 0 EBADMM O=C([O-])Cn1cccc(C(=O)NCCN2CCSCC2)c1=O ZINC001606355721 973812823 /nfs/dbraw/zinc/81/28/23/973812823.db2.gz YPSHJHUJLFSDCS-UHFFFAOYSA-N -1 1 325.390 -0.289 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@H]2CCC3N=NC(=O)N3C2)CC1 ZINC001592406215 977982806 /nfs/dbraw/zinc/98/28/06/977982806.db2.gz PGHJEOOYUGKGHS-MNOVXSKESA-N -1 1 337.380 -0.447 20 0 EBADMM CCC(CC)(NCC(=O)NC1CCN(S(C)(=O)=O)CC1)C(=O)[O-] ZINC001595101272 979405435 /nfs/dbraw/zinc/40/54/35/979405435.db2.gz PNDNAALFLROUAR-UHFFFAOYSA-N -1 1 349.453 -0.240 20 0 EBADMM CC[C@](COC)(NS(=O)(=O)N=S1(=O)CCCC1)C(=O)[O-] ZINC001595199431 979939821 /nfs/dbraw/zinc/93/98/21/979939821.db2.gz GAHSSNCESZHWKY-SNVBAGLBSA-N -1 1 328.412 -0.038 20 0 EBADMM C[C@H](NC(=O)CCc1c[nH]nn1)[C@H](C)Nc1ccnc(C(=O)[O-])n1 ZINC001593019970 980276299 /nfs/dbraw/zinc/27/62/99/980276299.db2.gz PAWHTUAVZKZKBE-IUCAKERBSA-N -1 1 333.352 -0.347 20 0 EBADMM C[C@H](NC(=O)CCc1cnn[nH]1)[C@H](C)Nc1ccnc(C(=O)[O-])n1 ZINC001593019970 980276305 /nfs/dbraw/zinc/27/63/05/980276305.db2.gz PAWHTUAVZKZKBE-IUCAKERBSA-N -1 1 333.352 -0.347 20 0 EBADMM CCC/C=C\[C@H](O)C(=O)N(CCN1CCN(C)CC1)CC(=O)[O-] ZINC001595625853 981753417 /nfs/dbraw/zinc/75/34/17/981753417.db2.gz JHRMVCVMEVHYMO-GXMNPTEKSA-N -1 1 327.425 -0.136 20 0 EBADMM CCN(CCO)CCNS(=O)(=O)c1cccc(CC(=O)[O-])c1 ZINC001596340916 983789920 /nfs/dbraw/zinc/78/99/20/983789920.db2.gz SXJRSOOHVXZLMJ-UHFFFAOYSA-N -1 1 330.406 -0.094 20 0 EBADMM CCN1CCN(CC(=O)N[C@@H](CCC2OCCO2)C(=O)[O-])CC1 ZINC001596429923 984093885 /nfs/dbraw/zinc/09/38/85/984093885.db2.gz DDYUDLQUIXKIMU-LBPRGKRZSA-N -1 1 329.397 -0.654 20 0 EBADMM CCOC(=O)[C@@H]1CCCCN1C(=O)CN1CC[C@@](O)(C(=O)[O-])C1 ZINC001596570765 984464618 /nfs/dbraw/zinc/46/46/18/984464618.db2.gz SUIXELPNMWXVKC-NHYWBVRUSA-N -1 1 328.365 -0.548 20 0 EBADMM CCOC(=O)[C@@H]1CCCN(CC(=O)N2CCC(O)(C(=O)[O-])CC2)C1 ZINC001596572892 984467009 /nfs/dbraw/zinc/46/70/09/984467009.db2.gz BDCHEQYVYKPESL-GFCCVEGCSA-N -1 1 342.392 -0.300 20 0 EBADMM CCOC(=O)[C@H]1CCCN(CC(=O)N2CCC(O)(C(=O)[O-])CC2)C1 ZINC001596572893 984467192 /nfs/dbraw/zinc/46/71/92/984467192.db2.gz BDCHEQYVYKPESL-LBPRGKRZSA-N -1 1 342.392 -0.300 20 0 EBADMM CCOC(=O)C1CCN(C(=O)CN(C)C[C@@](C)(O)C(=O)[O-])CC1 ZINC001596576049 984481917 /nfs/dbraw/zinc/48/19/17/984481917.db2.gz FXMNZMJBJKOYBQ-OAHLLOKOSA-N -1 1 330.381 -0.445 20 0 EBADMM CCOC(=O)[C@@H]1CNCCN1CC(=O)N[C@H](C(=O)[O-])[C@@H](C)CC ZINC001596585374 984510073 /nfs/dbraw/zinc/51/00/73/984510073.db2.gz NHRRWZHILYWMOX-GVXVVHGQSA-N -1 1 329.397 -0.561 20 0 EBADMM CC(=O)N1CCN(C(=O)CN[C@@H](Cc2ccccc2)C(=O)[O-])CC1 ZINC001589079359 985237353 /nfs/dbraw/zinc/23/73/53/985237353.db2.gz DXGCIHWCMJJBTJ-HNNXBMFYSA-N -1 1 333.388 -0.037 20 0 EBADMM C[C@H]1CN(S(=O)(=O)CCCN2CCN(C)CC2)CC[C@H]1C(=O)[O-] ZINC001599930430 985303898 /nfs/dbraw/zinc/30/38/98/985303898.db2.gz MHLDYXNNWMIQMD-UONOGXRCSA-N -1 1 347.481 -0.004 20 0 EBADMM CC(=O)N[C@@H]1CCCN(C(=O)CN2CCSC[C@@H](C(=O)[O-])C2)C1 ZINC001589119914 985381884 /nfs/dbraw/zinc/38/18/84/985381884.db2.gz KIUNAVNRUUCLCK-QWHCGFSZSA-N -1 1 343.449 -0.137 20 0 EBADMM C[C@H]1CN([C@@H]2CCN(CCC(=O)[O-])C2=O)CCN1CC(C)(C)O ZINC001594508408 985749061 /nfs/dbraw/zinc/74/90/61/985749061.db2.gz REAMBNLHIPAMEC-QWHCGFSZSA-N -1 1 327.425 -0.161 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@H]1CCC2N=NC(=O)N2C1 ZINC001594514652 985848210 /nfs/dbraw/zinc/84/82/10/985848210.db2.gz IJCGMJBXKLRYLW-MNOVXSKESA-N -1 1 337.380 -0.447 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2[C@H]3CN(C(=O)[C@H]4C[C@H]4C(=O)[O-])C[C@H]32)c1C ZINC001599967054 985924937 /nfs/dbraw/zinc/92/49/37/985924937.db2.gz AOBSWBNTDISURH-VLLVSFFDSA-N -1 1 332.360 -0.066 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1CC(=O)N=[S@](C)(=O)N(C)C ZINC001594521850 985996421 /nfs/dbraw/zinc/99/64/21/985996421.db2.gz KHGDHHJZFLWFAL-SAHAZLINSA-N -1 1 334.442 -0.432 20 0 EBADMM C[C@H]1CN(CCCOC(=O)CN2CCC[C@H](C(=O)[O-])C2=O)CCO1 ZINC001594527244 986070638 /nfs/dbraw/zinc/07/06/38/986070638.db2.gz HWZUAHGDUQYFRS-STQMWFEESA-N -1 1 342.392 -0.036 20 0 EBADMM C[C@H](C(=O)N(CCN1CCN(C)CC1)CC(=O)[O-])[C@@H]1CCC(=O)N1 ZINC001589342465 986270066 /nfs/dbraw/zinc/27/00/66/986270066.db2.gz MVPVPJVWJIINKG-STQMWFEESA-N -1 1 340.424 -0.938 20 0 EBADMM C[C@@H]1COC[C@H]1NC(=O)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC001594592755 986497709 /nfs/dbraw/zinc/49/77/09/986497709.db2.gz MAZYHOHNXVOZMW-GHMZBOCLSA-N -1 1 327.381 -0.855 20 0 EBADMM CCS(=O)(=O)N1CCC[C@@H](C(=O)N2CCN(CC(=O)[O-])CC2)C1 ZINC001597219333 987149506 /nfs/dbraw/zinc/14/95/06/987149506.db2.gz MCTBZMRJPZEELY-GFCCVEGCSA-N -1 1 347.437 -0.723 20 0 EBADMM CCC(=O)N[C@H](CCSC)C(=O)N1CCN(CC(=O)[O-])CC1 ZINC001594708271 987165399 /nfs/dbraw/zinc/16/53/99/987165399.db2.gz RONACSXURFPKPH-LLVKDONJSA-N -1 1 331.438 -0.137 20 0 EBADMM CN(C(=O)C(=O)Nc1c(C(=O)[O-])cnn1C)[C@@H]1CCN(C2CC2)C1 ZINC001597984730 990073425 /nfs/dbraw/zinc/07/34/25/990073425.db2.gz XKMYFJJCFMIGTJ-SNVBAGLBSA-N -1 1 335.364 -0.248 20 0 EBADMM CN(CC(=O)N1CCNCC1)S(=O)(=O)[C@@H]1CCCC[C@@H]1C(=O)[O-] ZINC001598380350 991579933 /nfs/dbraw/zinc/57/99/33/991579933.db2.gz FJLGAWXAIMVCPY-NWDGAFQWSA-N -1 1 347.437 -0.677 20 0 EBADMM COc1cnc(NC(=O)C(=O)N2CCN(C)C[C@@H](C(=O)[O-])C2)s1 ZINC001599725169 991608106 /nfs/dbraw/zinc/60/81/06/991608106.db2.gz YYJQIPONGYBOPT-MRVPVSSYSA-N -1 1 342.377 -0.435 20 0 EBADMM CN(CC(=O)c1c(N)n(C)c(=O)n(C)c1=O)C(C)(C)CC(=O)[O-] ZINC001598419518 991754317 /nfs/dbraw/zinc/75/43/17/991754317.db2.gz LEFBHIXSXSVFNH-UHFFFAOYSA-N -1 1 326.353 -0.966 20 0 EBADMM CN(CC(C)(C)O)C(=O)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC001598423887 991765911 /nfs/dbraw/zinc/76/59/11/991765911.db2.gz IQUKBOZIUJDXIE-UHFFFAOYSA-N -1 1 329.397 -0.777 20 0 EBADMM CS(=O)(=O)N(CCN1CC[C@](O)(C(=O)[O-])C1)Cc1ccccc1 ZINC001599754242 992030945 /nfs/dbraw/zinc/03/09/45/992030945.db2.gz XSXPJIKVFKUSPE-OAHLLOKOSA-N -1 1 342.417 -0.030 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC[N@H+](C)CC(=O)[O-])c(C(F)(F)F)n1 ZINC001598493802 992336502 /nfs/dbraw/zinc/33/65/02/992336502.db2.gz APAZQUIVHSCNFJ-UHFFFAOYSA-N -1 1 344.315 -0.266 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC[N@@H+](C)CC(=O)[O-])c(C(F)(F)F)n1 ZINC001598493802 992336511 /nfs/dbraw/zinc/33/65/11/992336511.db2.gz APAZQUIVHSCNFJ-UHFFFAOYSA-N -1 1 344.315 -0.266 20 0 EBADMM Cn1nc(C2CC2)cc1NC(=O)C(=O)N1CCN(C)C[C@@H](C(=O)[O-])C1 ZINC001598603390 993601658 /nfs/dbraw/zinc/60/16/58/993601658.db2.gz IEDVJFZWWGZMRH-LLVKDONJSA-N -1 1 349.391 -0.289 20 0 EBADMM CN(CCC(=O)[O-])S(=O)(=O)N1CCC(N2CCN(C)CC2)CC1 ZINC001598614743 993856475 /nfs/dbraw/zinc/85/64/75/993856475.db2.gz CLGIVBAKNFCLLG-UHFFFAOYSA-N -1 1 348.469 -0.651 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])CN2CCC(C)(C)C2=O)CC1 ZINC001598617110 993907421 /nfs/dbraw/zinc/90/74/21/993907421.db2.gz OHLWIRPDIXJITR-UHFFFAOYSA-N -1 1 326.441 -0.164 20 0 EBADMM CN([C@H]1C[C@@H](C(=O)[O-])C1)S(=O)(=O)CCCN1CCN(C)CC1 ZINC001598617896 993926930 /nfs/dbraw/zinc/92/69/30/993926930.db2.gz KLGHRUFICBIFQP-BETUJISGSA-N -1 1 333.454 -0.251 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)[C@@H]2CSCCS2)CC1 ZINC001598617885 993927107 /nfs/dbraw/zinc/92/71/07/993927107.db2.gz KBKPAIXAKDZUKK-LBPRGKRZSA-N -1 1 347.506 -0.004 20 0 EBADMM CN1CCN(CCNS(=O)(=O)[C@@H]2CCCC[C@H]2C(=O)[O-])CC1 ZINC001598618548 993945038 /nfs/dbraw/zinc/94/50/38/993945038.db2.gz LFRAHQDVOSZPEI-CHWSQXEVSA-N -1 1 333.454 -0.203 20 0 EBADMM CN1CCN(Cc2cccc(C(=O)N3CC(O)(C(=O)[O-])C3)c2)CC1 ZINC001598619498 993973378 /nfs/dbraw/zinc/97/33/78/993973378.db2.gz NVASQLBVAITWAJ-UHFFFAOYSA-N -1 1 333.388 -0.295 20 0 EBADMM C[C@@H](NC(=O)C1=NC(=O)N(C)C1)[C@H](C)Nc1ccnc(C(=O)[O-])n1 ZINC001593184042 994085655 /nfs/dbraw/zinc/08/56/55/994085655.db2.gz WHIJMGIDZBYVJU-JGVFFNPUSA-N -1 1 334.336 -0.345 20 0 EBADMM CNS(=O)(=O)c1cccc([C@@H](C)N[C@@H](C)C(=O)NCC(=O)[O-])c1 ZINC001598676425 994852135 /nfs/dbraw/zinc/85/21/35/994852135.db2.gz GSFJLXRDGIQJPL-ZJUUUORDSA-N -1 1 343.405 -0.165 20 0 EBADMM CNc1nc(C(=O)N(CCN2CCN(C)CC2)CC(=O)[O-])cs1 ZINC001598679871 994910018 /nfs/dbraw/zinc/91/00/18/994910018.db2.gz QWBARCJINSWLEC-UHFFFAOYSA-N -1 1 341.437 -0.041 20 0 EBADMM COC(=O)C(C)(C)CS(=O)(=O)N1CCN(C)C[C@H](C(=O)[O-])C1 ZINC001598684529 994985670 /nfs/dbraw/zinc/98/56/70/994985670.db2.gz MPNMIHDFMIZFGN-JTQLQIEISA-N -1 1 336.410 -0.536 20 0 EBADMM COC(=O)[C@@H]1CC[C@H](C(=O)[O-])CN1C(=O)CN(C)[C@@H]1CCC[C@H]1O ZINC001598764536 995815320 /nfs/dbraw/zinc/81/53/20/995815320.db2.gz CAWPNKDEMCEEQB-QNWHQSFQSA-N -1 1 342.392 -0.304 20 0 EBADMM COC(=O)C1CCC(NC(=O)C[C@@H]2COCCN2)(C(=O)[O-])CC1 ZINC001598784384 996016034 /nfs/dbraw/zinc/01/60/34/996016034.db2.gz HLPURDHHLOGEDN-LOIXOFCESA-N -1 1 328.365 -0.332 20 0 EBADMM COC(=O)CC1(CS(=O)(=O)N2CCN(C)C[C@H](C(=O)[O-])C2)CC1 ZINC001598842548 996537521 /nfs/dbraw/zinc/53/75/21/996537521.db2.gz IKMCVNLZIBAWMK-NSHDSACASA-N -1 1 348.421 -0.392 20 0 EBADMM COc1cc(C(=O)[O-])ccc1OC[C@H](O)CN1C[C@@H](O)[C@H](OC)C1 ZINC001599380705 996839229 /nfs/dbraw/zinc/83/92/29/996839229.db2.gz LKJLDRPOLXYKSQ-LALPHHSUSA-N -1 1 341.360 -0.175 20 0 EBADMM CO[C@]1(C(=O)[O-])CC[N@H+](Cc2cnc(N3CC[NH+](C)CC3)nc2)C1 ZINC001599078639 996940207 /nfs/dbraw/zinc/94/02/07/996940207.db2.gz FVPGKNNZPZETRI-MRXNPFEDSA-N -1 1 335.408 -0.096 20 0 EBADMM COC(=O)[C@@H](Cc1cnc[nH]1)NC(=O)CC[C@@H]1C[C@H](C(=O)[O-])CO1 ZINC001598894656 997057723 /nfs/dbraw/zinc/05/77/23/997057723.db2.gz LSIQBBWJKIJKIJ-MVWJERBFSA-N -1 1 339.348 -0.120 20 0 EBADMM COC[C@@H]1CNCCN1C(=O)C(=O)NC[C@@H](CC(=O)[O-])C1CC1 ZINC001599208094 997447823 /nfs/dbraw/zinc/44/78/23/997447823.db2.gz PFAJBCVCEHRCHW-NEPJUHHUSA-N -1 1 327.381 -0.950 20 0 EBADMM CO[C@@H]1CCCN(C(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)C1 ZINC001599115510 997645251 /nfs/dbraw/zinc/64/52/51/997645251.db2.gz OJYYGKXSPZURPR-GFCCVEGCSA-N -1 1 341.408 -0.369 20 0 EBADMM COCCCN1CC(N2CCN(C(=O)[C@H]3C[C@@H]3C(=O)[O-])CC2)C1 ZINC001599224013 997723095 /nfs/dbraw/zinc/72/30/95/997723095.db2.gz QCQBWEOBRWHKIR-KBPBESRZSA-N -1 1 325.409 -0.428 20 0 EBADMM COCCN1CCN(C(=O)Cn2cc(C(=O)[O-])nn2)C(C)(C)C1 ZINC001599240033 998022128 /nfs/dbraw/zinc/02/21/28/998022128.db2.gz DSRLLTNNTLXGAO-UHFFFAOYSA-N -1 1 325.369 -0.455 20 0 EBADMM COc1ccc(-c2n[nH]c(CNC(=O)N3CC(O)(C(=O)[O-])C3)n2)cc1 ZINC001599469438 998424548 /nfs/dbraw/zinc/42/45/48/998424548.db2.gz BFHLAJSWGYCSIA-UHFFFAOYSA-N -1 1 347.331 -0.179 20 0 EBADMM COc1ccc(-c2nc(CNC(=O)N3CC(O)(C(=O)[O-])C3)n[nH]2)cc1 ZINC001599469438 998424553 /nfs/dbraw/zinc/42/45/53/998424553.db2.gz BFHLAJSWGYCSIA-UHFFFAOYSA-N -1 1 347.331 -0.179 20 0 EBADMM COC(=O)c1cnn(CC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)c1 ZINC001599011035 998898725 /nfs/dbraw/zinc/89/87/25/998898725.db2.gz FXBOUIIZYKLAQJ-NSHDSACASA-N -1 1 338.364 -0.323 20 0 EBADMM COC(=O)c1cnn(CC(=O)N2CCN(CCC(=O)[O-])C[C@@H]2C)c1 ZINC001599011150 998899411 /nfs/dbraw/zinc/89/94/11/998899411.db2.gz JBGRWSDZNJOTDS-NSHDSACASA-N -1 1 338.364 -0.323 20 0 EBADMM C[C@@H]1CC[C@H](CNC(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)O1 ZINC001594305170 999177991 /nfs/dbraw/zinc/17/79/91/999177991.db2.gz IZWCBODXEUIDGT-VXGBXAGGSA-N -1 1 341.408 -0.323 20 0 EBADMM C[C@@H]1CCNC(=O)[C@@H]1NC(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C ZINC001594439040 999619601 /nfs/dbraw/zinc/61/96/01/999619601.db2.gz KXFYYQYUAMMVGM-NTZNESFSSA-N -1 1 326.397 -0.299 20 0 EBADMM C[C@H]1CN(C(=O)C(=O)N2CCOc3cc(C(=O)[O-])ccc3C2)CCN1 ZINC001594455228 999657798 /nfs/dbraw/zinc/65/77/98/999657798.db2.gz RVLBOSDQEIXSCD-NSHDSACASA-N -1 1 347.371 -0.074 20 0 EBADMM CCN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)Cc1ccnn1C ZINC001691044740 1125813589 /nfs/dbraw/zinc/81/35/89/1125813589.db2.gz FIKPWNFEJMEPKI-UHFFFAOYSA-N -1 1 348.407 -0.702 20 0 EBADMM CC[C@@H](C[N@H+]1CC[C@@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O)OC ZINC001670700274 1125813715 /nfs/dbraw/zinc/81/37/15/1125813715.db2.gz AOYBAECPTVWKKU-OLZOCXBDSA-N -1 1 338.408 -0.458 20 0 EBADMM Cc1cnc(CN(C)CCNC(=O)CCn2cc[n-]c(=O)c2=O)nc1 ZINC001480924300 1125874660 /nfs/dbraw/zinc/87/46/60/1125874660.db2.gz OFZVUFLWGSLAQA-UHFFFAOYSA-N -1 1 346.391 -0.727 20 0 EBADMM CN(CCNC(=O)c1ccn(C)c(=O)c1)C(=O)c1ncccc1[O-] ZINC001408618599 1125892005 /nfs/dbraw/zinc/89/20/05/1125892005.db2.gz GHHSHIKJMMPBTR-UHFFFAOYSA-N -1 1 330.344 -0.012 20 0 EBADMM Cn1ccnc1CCC(=O)NC[C@@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001481050277 1125913821 /nfs/dbraw/zinc/91/38/21/1125913821.db2.gz YAVSTTUGSISXKV-LBPRGKRZSA-N -1 1 347.423 -0.445 20 0 EBADMM CCCN(CCNC(=O)c1c[n-]n2c1nccc2=O)[C@@H](C)C(N)=O ZINC001481151107 1125931455 /nfs/dbraw/zinc/93/14/55/1125931455.db2.gz VDPAWBPBAWZUNN-JTQLQIEISA-N -1 1 334.380 -0.662 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)C[C@H]3CCCOC3)C2)nc1=O ZINC001481122918 1125926981 /nfs/dbraw/zinc/92/69/81/1125926981.db2.gz DJSOMSCSUDPWEF-OLZOCXBDSA-N -1 1 337.424 -0.137 20 0 EBADMM Cn1[nH]c(CN(CCNC(=O)c2ncccc2[O-])C2CC2)nc1=O ZINC001481167558 1125932875 /nfs/dbraw/zinc/93/28/75/1125932875.db2.gz QPDOZRXSBMLZJJ-UHFFFAOYSA-N -1 1 332.364 -0.397 20 0 EBADMM NC(=O)NC1(C(=O)N2CCC[C@@H]2CNC(=O)c2ncccc2[O-])CC1 ZINC001408821912 1125954934 /nfs/dbraw/zinc/95/49/34/1125954934.db2.gz WZQCZRJOZUNFPW-SNVBAGLBSA-N -1 1 347.375 -0.291 20 0 EBADMM O=C(NCC[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)c1ccc(=O)[nH]n1 ZINC001481416261 1125984066 /nfs/dbraw/zinc/98/40/66/1125984066.db2.gz AGCPJLXXVWFAPT-SECBINFHSA-N -1 1 333.352 -0.352 20 0 EBADMM Cn1ccc(CC(=O)NCC[C@@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001481432565 1125988311 /nfs/dbraw/zinc/98/83/11/1125988311.db2.gz OCJFCWHLHJZPHS-LLVKDONJSA-N -1 1 333.396 -0.185 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)CC(F)(F)C(F)F)C2)nc1=O ZINC001481645176 1126030263 /nfs/dbraw/zinc/03/02/63/1126030263.db2.gz ZDKLKBMBDWKIPW-UHFFFAOYSA-N -1 1 339.293 -0.053 20 0 EBADMM CO[C@H](C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1)C1CCCC1 ZINC001481656852 1126032448 /nfs/dbraw/zinc/03/24/48/1126032448.db2.gz CCMJHECBHWZDDY-AWEZNQCLSA-N -1 1 337.424 -0.138 20 0 EBADMM Cc1cc(C)n(CCC(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001481704845 1126043008 /nfs/dbraw/zinc/04/30/08/1126043008.db2.gz NCGUWDSTZNMBIA-CYBMUJFWSA-N -1 1 347.423 -0.297 20 0 EBADMM CCN(C(=O)Cn1cc(C)cn1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001481759869 1126053238 /nfs/dbraw/zinc/05/32/38/1126053238.db2.gz TUXDSKDHJIUTOG-ZDUSSCGKSA-N -1 1 347.423 -0.264 20 0 EBADMM CC[C@H](NC(C)=O)C(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001481906922 1126065881 /nfs/dbraw/zinc/06/58/81/1126065881.db2.gz YAISRTLAUIQRJN-NSHDSACASA-N -1 1 338.412 -0.056 20 0 EBADMM COC1(CC(=O)N(C)CCN(C)Cc2nc(=O)n(C)[n-]2)CCC1 ZINC001496691753 1126116783 /nfs/dbraw/zinc/11/67/83/1126116783.db2.gz CXGCURAUPNWAMR-UHFFFAOYSA-N -1 1 325.413 -0.042 20 0 EBADMM CCC1(CC)CNC(=O)[C@@H]1[N-]S(=O)(=O)N=[S@](C)(=O)N(C)C ZINC001364063395 1126141358 /nfs/dbraw/zinc/14/13/58/1126141358.db2.gz OVXTZFAZRDJQSL-LXGOIASLSA-N -1 1 340.471 -0.300 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)CC(C)C ZINC001482376654 1126141640 /nfs/dbraw/zinc/14/16/40/1126141640.db2.gz RRWKMQVJODWKHK-KOLCDFICSA-N -1 1 326.401 -0.345 20 0 EBADMM CC(=O)NCC(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CCCCC1 ZINC001482403811 1126145128 /nfs/dbraw/zinc/14/51/28/1126145128.db2.gz XYPOBPMSWKYXOH-GFCCVEGCSA-N -1 1 338.412 -0.199 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)C[C@H](C)OC)C1 ZINC001409036667 1126159897 /nfs/dbraw/zinc/15/98/97/1126159897.db2.gz GYVSCWIAPMCTDB-ZETCQYMHSA-N -1 1 340.336 -0.738 20 0 EBADMM CC(C)[C@H](CNC(=O)[C@@H]1CCN(C)C(=O)C1)NCc1n[nH]c(=O)[n-]1 ZINC001482540389 1126194470 /nfs/dbraw/zinc/19/44/70/1126194470.db2.gz XGYSPOCMJOYCQW-MNOVXSKESA-N -1 1 338.412 -0.391 20 0 EBADMM CC(C)[C@H](CNC(=O)Cn1ccccc1=O)[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001482569191 1126199111 /nfs/dbraw/zinc/19/91/11/1126199111.db2.gz VLIHBKXLEPRTPP-LBPRGKRZSA-N -1 1 348.407 -0.799 20 0 EBADMM CCNC(=O)N1CC[C@H]([N-]S(=O)(=O)N=S2(=O)CCCC2)C1 ZINC001414226423 1126211718 /nfs/dbraw/zinc/21/17/18/1126211718.db2.gz SPSAVIPSLZJKRV-JTQLQIEISA-N -1 1 338.455 -0.114 20 0 EBADMM CN(CCN(C)C(=O)[C@H]1CCn2ccnc2C1)Cc1nc(=O)n(C)[n-]1 ZINC001482609558 1126236060 /nfs/dbraw/zinc/23/60/60/1126236060.db2.gz YKSDNLARWSCMEB-LBPRGKRZSA-N -1 1 347.423 -0.542 20 0 EBADMM CCNC(=O)CC(=O)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001482662908 1126248518 /nfs/dbraw/zinc/24/85/18/1126248518.db2.gz UBZCNRGLVHPRTL-QWRGUYRKSA-N -1 1 336.396 -0.541 20 0 EBADMM COc1ccc(CC(=O)NC[C@@H](O)CNCc2n[nH]c(=O)[n-]2)c(C)c1 ZINC001482733213 1126263171 /nfs/dbraw/zinc/26/31/71/1126263171.db2.gz JUOUGMVKBQCPSX-LBPRGKRZSA-N -1 1 349.391 -0.363 20 0 EBADMM O=C(NC[C@H](O)CNCc1n[nH]c(=O)[n-]1)c1cccc2cccnc21 ZINC001482748286 1126269345 /nfs/dbraw/zinc/26/93/45/1126269345.db2.gz UYQAKUHCXLEMDH-LLVKDONJSA-N -1 1 342.359 -0.061 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H](O)CNCc2nccn2C(F)F)c1[O-] ZINC001482721436 1126265609 /nfs/dbraw/zinc/26/56/09/1126265609.db2.gz KAFPIKNTJVZDTG-QMMMGPOBSA-N -1 1 344.322 -0.104 20 0 EBADMM CCn1ccc(CN[C@H](C)[C@H](C)NC(=O)Cn2c(=O)[n-][nH]c2=O)n1 ZINC001409439366 1126367312 /nfs/dbraw/zinc/36/73/12/1126367312.db2.gz CBKAQMSSWUJAAX-ZJUUUORDSA-N -1 1 337.384 -0.411 20 0 EBADMM CCn1ccc(CN[C@@H](C)[C@H](C)NC(=O)Cn2c(=O)[n-][nH]c2=O)n1 ZINC001409439334 1126367394 /nfs/dbraw/zinc/36/73/94/1126367394.db2.gz CBKAQMSSWUJAAX-UWVGGRQHSA-N -1 1 337.384 -0.411 20 0 EBADMM C[C@H](CNC(=O)c1ccc2oc(=O)nc-2[n-]1)N(C)[C@H]1CCNC1=O ZINC001409634641 1126386298 /nfs/dbraw/zinc/38/62/98/1126386298.db2.gz AFWGYISALHXESN-SCZZXKLOSA-N -1 1 333.348 -0.133 20 0 EBADMM C[C@@H](CNC(=O)C[C@H]1CCC(=O)N1)CNC(=O)c1ncccc1[O-] ZINC001409677530 1126390826 /nfs/dbraw/zinc/39/08/26/1126390826.db2.gz CUHBVXBJKBSDJQ-WDEREUQCSA-N -1 1 334.376 -0.062 20 0 EBADMM CSC[C@@H](C)C(=O)NC1(CCO)CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001409700731 1126394014 /nfs/dbraw/zinc/39/40/14/1126394014.db2.gz FDTAQFARUQCJJE-SNVBAGLBSA-N -1 1 343.453 -0.840 20 0 EBADMM O=C(NC1(CNCc2n[nH]c(=O)[n-]2)CCCCC1)[C@H]1COCCO1 ZINC001409760421 1126408192 /nfs/dbraw/zinc/40/81/92/1126408192.db2.gz HHJRWOAGWIAJNA-LLVKDONJSA-N -1 1 339.396 -0.166 20 0 EBADMM C[C@@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)c1cn(C)ccc1=O ZINC001483365246 1126420449 /nfs/dbraw/zinc/42/04/49/1126420449.db2.gz NGNSFUWLDBZQPV-JTQLQIEISA-N -1 1 334.380 -0.943 20 0 EBADMM C[C@H](CN(C)Cc1ccon1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001483395103 1126423355 /nfs/dbraw/zinc/42/33/55/1126423355.db2.gz FFRHDMQZUOVUNF-LLVKDONJSA-N -1 1 335.364 -0.449 20 0 EBADMM C[C@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)CCCC(=O)N(C)C ZINC001483408277 1126425012 /nfs/dbraw/zinc/42/50/12/1126425012.db2.gz GEMBDWDBQVWJBX-LLVKDONJSA-N -1 1 340.428 -0.697 20 0 EBADMM Cn1ncc(CCC(=O)N[C@@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)n1 ZINC001483587181 1126437860 /nfs/dbraw/zinc/43/78/60/1126437860.db2.gz APEJXNJKCJAXDL-NSHDSACASA-N -1 1 334.384 -0.744 20 0 EBADMM CCCSCC(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001280559977 1126470275 /nfs/dbraw/zinc/47/02/75/1126470275.db2.gz QGSYGFLKNNRUBF-SNVBAGLBSA-N -1 1 329.426 -0.030 20 0 EBADMM O=S(=O)([N-]C[C@H](O)[C@@H]1CCCO1)c1nc[nH]c1Br ZINC001365721423 1126471290 /nfs/dbraw/zinc/47/12/90/1126471290.db2.gz GAHHDQUNNHFTSC-BQBZGAKWSA-N -1 1 340.199 -0.010 20 0 EBADMM CN(C(=O)CCn1cc[n-]c(=O)c1=O)C1CN(Cc2ccnn2C)C1 ZINC001483883440 1126485576 /nfs/dbraw/zinc/48/55/76/1126485576.db2.gz DRGXANOETOAVIP-UHFFFAOYSA-N -1 1 346.391 -0.997 20 0 EBADMM Cc1ccn(C[C@H](C)C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001483864102 1126484276 /nfs/dbraw/zinc/48/42/76/1126484276.db2.gz FLZBHWZLFQPMOH-NSHDSACASA-N -1 1 347.423 -0.408 20 0 EBADMM O=C(C[C@@H]1CCCO1)NCC1(NCc2n[nH]c(=O)[n-]2)CCOCC1 ZINC001484180279 1126512097 /nfs/dbraw/zinc/51/20/97/1126512097.db2.gz SBLFKWXQDQZYLF-NSHDSACASA-N -1 1 339.396 -0.166 20 0 EBADMM C[C@H](C(=O)NC[C@@]1(O)CCN(Cc2nc(=O)n(C)[n-]2)C1)n1cccc1 ZINC001484217255 1126519860 /nfs/dbraw/zinc/51/98/60/1126519860.db2.gz ZZPWUZXEJUPFII-WBMJQRKESA-N -1 1 348.407 -0.776 20 0 EBADMM CC(C)[C@H](C)C(=O)NC[C@@]1(O)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001484240079 1126537265 /nfs/dbraw/zinc/53/72/65/1126537265.db2.gz KXZYVSDRNPMKKF-NHYWBVRUSA-N -1 1 325.413 -0.547 20 0 EBADMM CCC[C@@H](C)C(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001484588519 1126606159 /nfs/dbraw/zinc/60/61/59/1126606159.db2.gz FOABTDJJWBXSPG-NEPJUHHUSA-N -1 1 325.413 -0.138 20 0 EBADMM CN1CC[C@@H](C(=O)NCC2(NCc3n[nH]c(=O)[n-]3)CCC2)CC1=O ZINC001484640911 1126612911 /nfs/dbraw/zinc/61/29/11/1126612911.db2.gz OOAVCOAVLQRIJC-SNVBAGLBSA-N -1 1 336.396 -0.493 20 0 EBADMM O=C(CCc1cnc[nH]1)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[n-]1 ZINC001484595891 1126609360 /nfs/dbraw/zinc/60/93/60/1126609360.db2.gz UYHUUJICNXXFJF-LLVKDONJSA-N -1 1 335.368 -0.817 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H](C)NC(=O)CCCC(=O)N(C)C ZINC001485215894 1126680899 /nfs/dbraw/zinc/68/08/99/1126680899.db2.gz OBEGOLQNBMXOIG-NXEZZACHSA-N -1 1 326.401 -0.248 20 0 EBADMM C[C@@H](CNC(=O)c1cnn2ccncc12)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001485313989 1126687777 /nfs/dbraw/zinc/68/77/77/1126687777.db2.gz QGIDSXISUDOKTF-JTQLQIEISA-N -1 1 344.379 -0.599 20 0 EBADMM C[C@@H](CNC(=O)Cc1ccc(F)cn1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001485319749 1126688303 /nfs/dbraw/zinc/68/83/03/1126688303.db2.gz KWSNIGJBUNOEOD-JTQLQIEISA-N -1 1 336.371 -0.178 20 0 EBADMM C[C@@H](CNC(=O)CCCn1cccn1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001485390735 1126695929 /nfs/dbraw/zinc/69/59/29/1126695929.db2.gz ZFCDTZDQWDFRHC-LBPRGKRZSA-N -1 1 335.412 -0.278 20 0 EBADMM CO[C@H](C(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1)C1CCC1 ZINC001485516991 1126740638 /nfs/dbraw/zinc/74/06/38/1126740638.db2.gz JBBYSXQENCONMW-YGRLFVJLSA-N -1 1 337.424 -0.140 20 0 EBADMM CC(C)[C@@H](O)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC001485567606 1126760169 /nfs/dbraw/zinc/76/01/69/1126760169.db2.gz NSKLYFGGDFAHCX-ZYHUDNBSSA-N -1 1 325.413 -0.548 20 0 EBADMM Cc1nc(C(=O)NCCOCCN(C)Cc2nc(=O)n(C)[n-]2)co1 ZINC001485773954 1126833450 /nfs/dbraw/zinc/83/34/50/1126833450.db2.gz RJUDBXOIVWTKBN-UHFFFAOYSA-N -1 1 338.368 -0.717 20 0 EBADMM COC1(OC)CCCC[C@@H]1[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001670922549 1126878971 /nfs/dbraw/zinc/87/89/71/1126878971.db2.gz CWXHSMOFIFSXMN-JTQLQIEISA-N -1 1 347.393 -0.304 20 0 EBADMM CCOC(=O)Cc1cnc(NC(=O)NN2CC(=O)[N-]C2=O)s1 ZINC001670977016 1126881294 /nfs/dbraw/zinc/88/12/94/1126881294.db2.gz NQJSWWVWDJLQNH-UHFFFAOYSA-N -1 1 327.322 -0.163 20 0 EBADMM O=C(N(CCO)CCNCc1n[nH]c(=O)[n-]1)C1(C(F)F)CCC1 ZINC001672669381 1126884729 /nfs/dbraw/zinc/88/47/29/1126884729.db2.gz VXNHLIXYGNHACN-UHFFFAOYSA-N -1 1 333.339 -0.144 20 0 EBADMM C[C@H](CN(C)C(=O)C[C@H]1CCCS1(=O)=O)NCc1n[nH]c(=O)[n-]1 ZINC001485907276 1126912531 /nfs/dbraw/zinc/91/25/31/1126912531.db2.gz WPFCROYFLHSYDH-NXEZZACHSA-N -1 1 345.425 -0.586 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1CCCc2nn[nH]c21)NCc1n[nH]c(=O)[n-]1 ZINC001485916183 1126915274 /nfs/dbraw/zinc/91/52/74/1126915274.db2.gz LPDSFVFOFOBEJT-BDAKNGLRSA-N -1 1 334.384 -0.315 20 0 EBADMM Cn1[n-]c(CN[C@H](CO)CNC(=O)c2csc(Cl)c2)nc1=O ZINC001486041256 1126988312 /nfs/dbraw/zinc/98/83/12/1126988312.db2.gz NCKWSRRFCBAQPA-QMMMGPOBSA-N -1 1 345.812 -0.296 20 0 EBADMM Cn1[n-]c(CN[C@@H](CO)CNC(=O)c2ccoc2C2CC2)nc1=O ZINC001486028244 1126999062 /nfs/dbraw/zinc/99/90/62/1126999062.db2.gz UKJWHOWLGADUFZ-SNVBAGLBSA-N -1 1 335.364 -0.541 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCCS(C)(=O)=O ZINC001486132462 1127043267 /nfs/dbraw/zinc/04/32/67/1127043267.db2.gz WLPHDOPLBNRLNN-NXEZZACHSA-N -1 1 345.425 -0.586 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCCS(C)(=O)=O ZINC001486132464 1127044070 /nfs/dbraw/zinc/04/40/70/1127044070.db2.gz WLPHDOPLBNRLNN-VHSXEESVSA-N -1 1 345.425 -0.586 20 0 EBADMM CNC(=O)CCCCC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC001486134922 1127045056 /nfs/dbraw/zinc/04/50/56/1127045056.db2.gz NDYSHNBYQQYQHO-QWRGUYRKSA-N -1 1 338.412 -0.104 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)CCc2nc[nH]n2)CCCN1Cc1n[nH]c(=O)[n-]1 ZINC001486170948 1127053546 /nfs/dbraw/zinc/05/35/46/1127053546.db2.gz QXOSDPSWWGEKSM-ZJUUUORDSA-N -1 1 334.384 -0.270 20 0 EBADMM C[C@H]1[C@H](NC(=O)CCc2nc[nH]n2)CCCN1Cc1n[nH]c(=O)[n-]1 ZINC001486170946 1127053864 /nfs/dbraw/zinc/05/38/64/1127053864.db2.gz QXOSDPSWWGEKSM-VHSXEESVSA-N -1 1 334.384 -0.270 20 0 EBADMM C[C@@H]1[C@H](NC(=O)CCc2nc[nH]n2)CCCN1Cc1n[nH]c(=O)[n-]1 ZINC001486170943 1127054013 /nfs/dbraw/zinc/05/40/13/1127054013.db2.gz QXOSDPSWWGEKSM-NXEZZACHSA-N -1 1 334.384 -0.270 20 0 EBADMM CN(C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCC(F)(F)C1 ZINC001486279493 1127075322 /nfs/dbraw/zinc/07/53/22/1127075322.db2.gz RUKBLLKCNWAZIJ-BDAKNGLRSA-N -1 1 333.339 -0.145 20 0 EBADMM CN(C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)c1cc2cccn2cn1 ZINC001486286051 1127083445 /nfs/dbraw/zinc/08/34/45/1127083445.db2.gz UBYXKAKKIYDHIJ-LLVKDONJSA-N -1 1 345.363 -0.619 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H](O)CN(C)C(=O)c1ccsn1 ZINC001486341334 1127144080 /nfs/dbraw/zinc/14/40/80/1127144080.db2.gz QTOGUTYROLIGMD-SECBINFHSA-N -1 1 340.409 -0.870 20 0 EBADMM CN(CCOCCNCc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CCCOCC1 ZINC001486493528 1127187513 /nfs/dbraw/zinc/18/75/13/1127187513.db2.gz BDOFRYLZQDYYDK-LBPRGKRZSA-N -1 1 341.412 -0.108 20 0 EBADMM CCCC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)Cn1cnnn1 ZINC001487095594 1127404022 /nfs/dbraw/zinc/40/40/22/1127404022.db2.gz HRRSOTWAZVCEIH-LLVKDONJSA-N -1 1 347.379 -0.121 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NC[C@H](O)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001410132701 1127599798 /nfs/dbraw/zinc/59/97/98/1127599798.db2.gz NXJHPMUXAFNAQR-LSJOCFKGSA-N -1 1 334.376 -0.078 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)COCC4CC4)C3)C2)nc1=O ZINC001410175709 1127632512 /nfs/dbraw/zinc/63/25/12/1127632512.db2.gz GMEUQSLGMYWEOZ-UHFFFAOYSA-N -1 1 349.435 -0.041 20 0 EBADMM C[C@@H](CNC(=O)Cn1ccccc1=O)NC(=O)c1ncccc1[O-] ZINC001410349646 1127685425 /nfs/dbraw/zinc/68/54/25/1127685425.db2.gz ZHRZSBNOUCJRHK-NSHDSACASA-N -1 1 330.344 -0.116 20 0 EBADMM NS(=O)(=O)N1CCC([N-]S(=O)(=O)c2ccns2)CC1 ZINC001364890578 1127720263 /nfs/dbraw/zinc/72/02/63/1127720263.db2.gz RZNAGYLCMDHOCH-UHFFFAOYSA-N -1 1 326.425 -0.911 20 0 EBADMM Cn1[n-]c(CN[C@@H](CO)CNC(=O)c2ccc(Cl)s2)nc1=O ZINC001410774654 1127880988 /nfs/dbraw/zinc/88/09/88/1127880988.db2.gz DMPCCZJWDZBKSS-SSDOTTSWSA-N -1 1 345.812 -0.296 20 0 EBADMM CC(C)c1oncc1C(=O)NC[C@@H](CO)NCc1nc(=O)n(C)[n-]1 ZINC001410783595 1127887311 /nfs/dbraw/zinc/88/73/11/1127887311.db2.gz WPGLKRJMSXLOSJ-VIFPVBQESA-N -1 1 338.368 -0.900 20 0 EBADMM CN1C(=O)CC[C@H]1C(=O)NCC1(NC(=O)c2ncccc2[O-])CC1 ZINC001410784760 1127888499 /nfs/dbraw/zinc/88/84/99/1127888499.db2.gz MGXWEFGLLBIMBA-JTQLQIEISA-N -1 1 332.360 -0.213 20 0 EBADMM CC(C)S(=O)(=O)CC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001410844074 1127914204 /nfs/dbraw/zinc/91/42/04/1127914204.db2.gz GNRWMHFWWNXFOP-ZJUUUORDSA-N -1 1 345.425 -0.588 20 0 EBADMM CCn1ncc(CNC[C@@H](O)CN(C)C(=O)c2ncccc2[O-])n1 ZINC001410996996 1127968010 /nfs/dbraw/zinc/96/80/10/1127968010.db2.gz XWDGIESSMNQXRD-GFCCVEGCSA-N -1 1 334.380 -0.379 20 0 EBADMM CCc1ncsc1C(=O)N(C)C[C@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001411046260 1127985643 /nfs/dbraw/zinc/98/56/43/1127985643.db2.gz RQVGPMLGPCSAAM-MRVPVSSYSA-N -1 1 340.409 -0.248 20 0 EBADMM CCn1cc(CN(C)C[C@H](O)CN(C)C(=O)c2ncccc2[O-])nn1 ZINC001411161954 1128053645 /nfs/dbraw/zinc/05/36/45/1128053645.db2.gz NVFCCAJEJVUXFV-ZDUSSCGKSA-N -1 1 348.407 -0.037 20 0 EBADMM O=C(COCC1CC1)N1CC[C@@](O)(CNC(=O)c2ncccc2[O-])C1 ZINC001411160049 1128057167 /nfs/dbraw/zinc/05/71/67/1128057167.db2.gz OFWFLDCXGVAWBP-QGZVFWFLSA-N -1 1 349.387 -0.093 20 0 EBADMM CCNC(=O)CCCC(=O)NCC1CC(NC(=O)c2cnn[nH]2)C1 ZINC001411317924 1128146478 /nfs/dbraw/zinc/14/64/78/1128146478.db2.gz DTMKWOHFOVSRHU-UHFFFAOYSA-N -1 1 336.396 -0.264 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)c1[O-] ZINC001092548295 1128252307 /nfs/dbraw/zinc/25/23/07/1128252307.db2.gz JPAUYQFDDDOGQL-VXNVDRBHSA-N -1 1 335.368 -0.255 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)c1ccc(=O)n(C)c1 ZINC001092770097 1128275315 /nfs/dbraw/zinc/27/53/15/1128275315.db2.gz OGEQZLFCISQPSK-ZYHUDNBSSA-N -1 1 346.391 -0.293 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)c1cn(C)c(=O)cn1 ZINC001092841142 1128278375 /nfs/dbraw/zinc/27/83/75/1128278375.db2.gz BKESPAFHSIFUPE-NXEZZACHSA-N -1 1 347.379 -0.898 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)c1ccc(C(N)=O)[nH]1 ZINC001092969035 1128290051 /nfs/dbraw/zinc/29/00/51/1128290051.db2.gz FSSVTOFSYHJMBR-RKDXNWHRSA-N -1 1 347.379 -0.565 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001092903468 1128286071 /nfs/dbraw/zinc/28/60/71/1128286071.db2.gz KYUDURYYWOPKKT-PSASIEDQSA-N -1 1 347.379 -0.188 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C[C@@H](C)NC(=O)[C@@H](C)OC ZINC001487843380 1128431255 /nfs/dbraw/zinc/43/12/55/1128431255.db2.gz PYKDTUFLXNQDAX-HTQZYQBOSA-N -1 1 342.352 -0.492 20 0 EBADMM Cc1ccn(CC(=O)N[C@@H](CO)CNC(=O)c2ncccc2[O-])n1 ZINC001487975466 1128471117 /nfs/dbraw/zinc/47/11/17/1128471117.db2.gz DXGQLGWWPOHYKM-LLVKDONJSA-N -1 1 333.348 -0.801 20 0 EBADMM O=C(N[C@H](CO)CNC(=O)c1ncccc1[O-])c1cc2n(n1)CCC2 ZINC001487978022 1128474071 /nfs/dbraw/zinc/47/40/71/1128474071.db2.gz OUGUGKRATZIQRC-JTQLQIEISA-N -1 1 345.359 -0.549 20 0 EBADMM CC1(C(=O)N[C@H](CO)CNC(=O)c2ncccc2[O-])CCOCC1 ZINC001487979020 1128475094 /nfs/dbraw/zinc/47/50/94/1128475094.db2.gz UUXHWXUGLKCYRP-NSHDSACASA-N -1 1 337.376 -0.189 20 0 EBADMM Cc1cn(C)nc1C(=O)NC[C@H](CO)NC(=O)c1ncccc1[O-] ZINC001487990843 1128526822 /nfs/dbraw/zinc/52/68/22/1128526822.db2.gz IDXMGKCHOXGXMF-SNVBAGLBSA-N -1 1 333.348 -0.650 20 0 EBADMM O=C(Cn1ncnn1)NC[C@@H]1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001488290432 1128662910 /nfs/dbraw/zinc/66/29/10/1128662910.db2.gz FSVSBRRRWHFVIA-GHMZBOCLSA-N -1 1 345.363 -0.511 20 0 EBADMM C[C@H]1[C@H](NC(=O)CCNC(N)=O)CCCN1C(=O)c1ncccc1[O-] ZINC001488260386 1128664031 /nfs/dbraw/zinc/66/40/31/1128664031.db2.gz OZDLDCKRAJEUBV-WDEREUQCSA-N -1 1 349.391 -0.045 20 0 EBADMM CN(C[C@H](O)CNC(=O)CC(C)(C)O)C(=O)c1ncccc1[O-] ZINC001488350631 1128688129 /nfs/dbraw/zinc/68/81/29/1128688129.db2.gz KCLZZCQPXJMNPH-SNVBAGLBSA-N -1 1 325.365 -0.503 20 0 EBADMM CC[C@@H](OC)C(=O)NC[C@@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001488351867 1128689713 /nfs/dbraw/zinc/68/97/13/1128689713.db2.gz VYLDIFHYYAFIGJ-ZYHUDNBSSA-N -1 1 325.365 -0.239 20 0 EBADMM Cc1nc(C(=O)N(C)C[C@@H](O)CNC(=O)c2ncccc2[O-])c[nH]1 ZINC001488339115 1128724895 /nfs/dbraw/zinc/72/48/95/1128724895.db2.gz OVFYEYGYSGHRLV-JTQLQIEISA-N -1 1 333.348 -0.318 20 0 EBADMM C[C@H](NC(N)=O)C(=O)N(C)C[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001489736201 1129056325 /nfs/dbraw/zinc/05/63/25/1129056325.db2.gz XLTSQJSCCDOIOM-VHSXEESVSA-N -1 1 335.364 -0.483 20 0 EBADMM COCC(=O)N[C@H]1CCN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)[C@@H]1C ZINC001490070094 1129103857 /nfs/dbraw/zinc/10/38/57/1129103857.db2.gz MOYMWGRDSZWEAJ-SFYZADRCSA-N -1 1 340.336 -0.738 20 0 EBADMM Cn1cncc1CN(CCCNC(=O)c1n[nH]c(=O)[n-]c1=O)C1CC1 ZINC001490379896 1129169856 /nfs/dbraw/zinc/16/98/56/1129169856.db2.gz VIPYYCDPFUFPBR-UHFFFAOYSA-N -1 1 347.379 -0.199 20 0 EBADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@]1(C)CNC(=O)C1 ZINC001416210644 1131310696 /nfs/dbraw/zinc/31/06/96/1131310696.db2.gz SUHWORYANODUBC-HWPZZCPQSA-N -1 1 334.376 -0.062 20 0 EBADMM CC(C)(C)OCC(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001414051444 1131358087 /nfs/dbraw/zinc/35/80/87/1131358087.db2.gz UKIXUNNRYOMBGH-PWSUYJOCSA-N -1 1 337.376 -0.096 20 0 EBADMM O=C(NC[C@H](NCc1n[nH]c(=O)[n-]1)C1CC1)c1cnn2c1OCCC2 ZINC001490681898 1129240221 /nfs/dbraw/zinc/24/02/21/1129240221.db2.gz SPEWEFLONQLGEB-NSHDSACASA-N -1 1 347.379 -0.213 20 0 EBADMM O=C(NCC1(CCNC(=O)[C@H]2CNC(=O)N2)CC1)c1ncccc1[O-] ZINC001413904119 1131361647 /nfs/dbraw/zinc/36/16/47/1131361647.db2.gz GTFDLAJKBMZKIR-SNVBAGLBSA-N -1 1 347.375 -0.515 20 0 EBADMM CC(C)COCCC(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001671099856 1129272057 /nfs/dbraw/zinc/27/20/57/1129272057.db2.gz PGQLNENDDWPROP-UHFFFAOYSA-N -1 1 325.413 -0.186 20 0 EBADMM C[C@@H](NC(=O)CCn1cnnn1)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001411398395 1129287322 /nfs/dbraw/zinc/28/73/22/1129287322.db2.gz UIDIDJUKUJHRHS-NXEZZACHSA-N -1 1 333.352 -0.513 20 0 EBADMM C[C@H](NC(=O)CCn1cnnn1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001411398397 1129287469 /nfs/dbraw/zinc/28/74/69/1129287469.db2.gz UIDIDJUKUJHRHS-UWVGGRQHSA-N -1 1 333.352 -0.513 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)NC[C@H]1CC[N@H+]1C1CCOCC1 ZINC001411562268 1129319139 /nfs/dbraw/zinc/31/91/39/1129319139.db2.gz PRQUIRPVFCDVDY-GFCCVEGCSA-N -1 1 346.391 -0.559 20 0 EBADMM NS(=O)(=O)C1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC001411760221 1129370642 /nfs/dbraw/zinc/37/06/42/1129370642.db2.gz ZDVHDKYLEJSMBA-UHFFFAOYSA-N -1 1 326.378 -0.047 20 0 EBADMM O=C(NC1(CCO)CN(C(=O)c2ncccc2[O-])C1)[C@H]1[C@@H]2COC[C@@H]21 ZINC001411772012 1129377414 /nfs/dbraw/zinc/37/74/14/1129377414.db2.gz IBEWNEMEAPEBFK-PJXYFTJBSA-N -1 1 347.371 -0.627 20 0 EBADMM O=C(Cc1ccccc1)N1CC(CCO)(NC(=O)c2cnn[nH]2)C1 ZINC001411775194 1129378363 /nfs/dbraw/zinc/37/83/63/1129378363.db2.gz CQQZNPNJKSDKGB-UHFFFAOYSA-N -1 1 329.360 -0.259 20 0 EBADMM O=C(NC1(CCO)CN(C(=O)c2ncccc2[O-])C1)c1ccnnc1 ZINC001411802709 1129388916 /nfs/dbraw/zinc/38/89/16/1129388916.db2.gz GXBXWILTOADWIR-UHFFFAOYSA-N -1 1 343.343 -0.416 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)CCNC(N)=O)C1 ZINC001411939074 1129407586 /nfs/dbraw/zinc/40/75/86/1129407586.db2.gz QKNPHQBMCBEXRR-VIFPVBQESA-N -1 1 335.364 -0.578 20 0 EBADMM CCOC(=O)[C@H](NC(=O)CCCc1nn[n-]n1)C1CCOCC1 ZINC001411979820 1129418895 /nfs/dbraw/zinc/41/88/95/1129418895.db2.gz UWXUUBOIWHFPGX-CYBMUJFWSA-N -1 1 325.369 -0.003 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1C[C@H](O)CN1c1ccncn1)c1nn[n-]n1 ZINC001411991158 1129421502 /nfs/dbraw/zinc/42/15/02/1129421502.db2.gz NMRLZPFXVUBWBR-VWYCJHECSA-N -1 1 332.368 -0.809 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCS(=O)(=O)[C@H]2CCC[C@@H]21 ZINC001412139274 1129459896 /nfs/dbraw/zinc/45/98/96/1129459896.db2.gz NKECBMSHFKKWBA-YUMQZZPRSA-N -1 1 343.361 -0.312 20 0 EBADMM COC(=O)[C@H](NC(=O)CCc1nn[n-]n1)[C@@H]1CCC[C@@H](OC)C1 ZINC001412310894 1129500488 /nfs/dbraw/zinc/50/04/88/1129500488.db2.gz PYNVRGMCROMTDS-GIPNMCIBSA-N -1 1 325.369 -0.005 20 0 EBADMM CCOC(=O)c1nc([C@H](C)NC(=O)CS(=O)(=O)N(C)C)n[n-]1 ZINC001412326715 1129502614 /nfs/dbraw/zinc/50/26/14/1129502614.db2.gz KRJFBVDYIPEIDY-ZETCQYMHSA-N -1 1 333.370 -0.950 20 0 EBADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CS(=O)(=O)N(C)C)[n-]1 ZINC001412326715 1129502616 /nfs/dbraw/zinc/50/26/16/1129502616.db2.gz KRJFBVDYIPEIDY-ZETCQYMHSA-N -1 1 333.370 -0.950 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CS(=O)(=O)N(C)C)n1 ZINC001412326715 1129502621 /nfs/dbraw/zinc/50/26/21/1129502621.db2.gz KRJFBVDYIPEIDY-ZETCQYMHSA-N -1 1 333.370 -0.950 20 0 EBADMM CSCC[C@H](NC(=O)CN1CCC(=O)NC1=O)c1nn[n-]n1 ZINC001412366964 1129510941 /nfs/dbraw/zinc/51/09/41/1129510941.db2.gz JUYFMKMWTOWMMV-ZETCQYMHSA-N -1 1 327.370 -0.948 20 0 EBADMM Cc1nc(N2CCOCC2)[n-]c(=O)c1CCC(=O)N1NC(=O)C[C@H]1C ZINC001412387014 1129515354 /nfs/dbraw/zinc/51/53/54/1129515354.db2.gz QDJYFJXPQXFMHV-SNVBAGLBSA-N -1 1 349.391 -0.088 20 0 EBADMM O=C([C@@H]1CC(=O)N(C2CCCC2)C1)N1CCOC[C@H]1c1nn[n-]n1 ZINC001412432225 1129527738 /nfs/dbraw/zinc/52/77/38/1129527738.db2.gz MVMIWANHQFJTPT-PWSUYJOCSA-N -1 1 334.380 -0.109 20 0 EBADMM O=C(CSc1n[nH]c(=O)[n-]1)N1CCN(C[C@H]2CCOC2)CC1 ZINC001412455609 1129532212 /nfs/dbraw/zinc/53/22/12/1129532212.db2.gz MXQGFHUDDFSFCF-SNVBAGLBSA-N -1 1 327.410 -0.217 20 0 EBADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)c1cnn(C)c1N ZINC001412498805 1129542808 /nfs/dbraw/zinc/54/28/08/1129542808.db2.gz SWQFCQBJVJZBOO-SECBINFHSA-N -1 1 332.364 -0.007 20 0 EBADMM CNC(=O)NCCC(=O)N[C@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001412497401 1129542935 /nfs/dbraw/zinc/54/29/35/1129542935.db2.gz RWTYTMAQFGCZFQ-SNVBAGLBSA-N -1 1 337.380 -0.317 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)[C@@H]1CCNC(=O)C1 ZINC001412501618 1129544429 /nfs/dbraw/zinc/54/44/29/1129544429.db2.gz WGRZFNRVPXJGFD-WDEREUQCSA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@H](CN(C)C(=O)CN1CCOCC1)NC(=O)c1ncccc1[O-] ZINC001412510713 1129547174 /nfs/dbraw/zinc/54/71/74/1129547174.db2.gz KNSYPDWKIHBGST-GFCCVEGCSA-N -1 1 336.392 -0.304 20 0 EBADMM COC[C@H](NC(=O)c1ccc(NS(C)(=O)=O)cc1)c1nn[n-]n1 ZINC001412588143 1129569363 /nfs/dbraw/zinc/56/93/63/1129569363.db2.gz FCQUWKYPPQJLAW-JTQLQIEISA-N -1 1 340.365 -0.311 20 0 EBADMM Cc1cc(=O)[nH]c(N2CCC[C@H](NC(=O)CCc3nn[n-]n3)C2)n1 ZINC001412605113 1129574044 /nfs/dbraw/zinc/57/40/44/1129574044.db2.gz DQTWTYUTIKKGSV-JTQLQIEISA-N -1 1 332.368 -0.278 20 0 EBADMM CNS(=O)(=O)CC(=O)NC1(c2ncc(OC)c(=O)[n-]2)CCCC1 ZINC001412710861 1129598370 /nfs/dbraw/zinc/59/83/70/1129598370.db2.gz ZBHPAYQBSSZIFH-UHFFFAOYSA-N -1 1 344.393 -0.375 20 0 EBADMM C[C@@H]1C(=O)CCCN1C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC001412733207 1129605017 /nfs/dbraw/zinc/60/50/17/1129605017.db2.gz YTADJQZWDTYXQF-MRVPVSSYSA-N -1 1 343.361 -0.763 20 0 EBADMM O=C(CC[C@@H]1CCCO1)NC[C@@H](CO)NC(=O)c1ncccc1[O-] ZINC001412786554 1129646430 /nfs/dbraw/zinc/64/64/30/1129646430.db2.gz VCMIVQSUGKADNG-RYUDHWBXSA-N -1 1 337.376 -0.047 20 0 EBADMM O=C(CC[C@H]1CCCO1)NC[C@@H](CO)NC(=O)c1ncccc1[O-] ZINC001412786551 1129646502 /nfs/dbraw/zinc/64/65/02/1129646502.db2.gz VCMIVQSUGKADNG-NWDGAFQWSA-N -1 1 337.376 -0.047 20 0 EBADMM COC1(CC(=O)N[C@@H](CO)CNC(=O)c2ncccc2[O-])CCC1 ZINC001412815039 1129666826 /nfs/dbraw/zinc/66/68/26/1129666826.db2.gz SGBZGKADLJOYSI-LLVKDONJSA-N -1 1 337.376 -0.047 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)C[C@H]2C=CS(=O)(=O)C2)n[n-]1 ZINC001412834274 1129700045 /nfs/dbraw/zinc/70/00/45/1129700045.db2.gz BLNPKEFILNRYPP-MRVPVSSYSA-N -1 1 328.350 -0.454 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)C[C@H]2C=CS(=O)(=O)C2)n1 ZINC001412834274 1129700048 /nfs/dbraw/zinc/70/00/48/1129700048.db2.gz BLNPKEFILNRYPP-MRVPVSSYSA-N -1 1 328.350 -0.454 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)CCC(=O)c2cnn(C)c2)n[n-]1 ZINC001412838729 1129712934 /nfs/dbraw/zinc/71/29/34/1129712934.db2.gz LFZBPYYLXLEYFV-UHFFFAOYSA-N -1 1 334.336 -0.006 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)CCC(=O)c2cnn(C)c2)n1 ZINC001412838729 1129712936 /nfs/dbraw/zinc/71/29/36/1129712936.db2.gz LFZBPYYLXLEYFV-UHFFFAOYSA-N -1 1 334.336 -0.006 20 0 EBADMM COC(=O)C[C@]1(NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)CCCOC1 ZINC001412845998 1129727867 /nfs/dbraw/zinc/72/78/67/1129727867.db2.gz DRXIZLZCLFFWND-CQSZACIVSA-N -1 1 341.320 -0.262 20 0 EBADMM O=C(NC[C@@H](CO)NC(=O)c1ncccc1[O-])c1cc(Cl)no1 ZINC001412959945 1129816939 /nfs/dbraw/zinc/81/69/39/1129816939.db2.gz UHPHAVXZHWOIPH-ZETCQYMHSA-N -1 1 340.723 -0.051 20 0 EBADMM CN(C)C(=O)Cn1cnc([N-]C(=O)c2coc(S(C)(=O)=O)c2)n1 ZINC001361433825 1131408126 /nfs/dbraw/zinc/40/81/26/1131408126.db2.gz ICZDAYMHEJODDI-UHFFFAOYSA-N -1 1 341.349 -0.385 20 0 EBADMM COc1cc(S(=O)(=O)[N-]CC2(C(N)=O)CCOCC2)sn1 ZINC001413280025 1129986960 /nfs/dbraw/zinc/98/69/60/1129986960.db2.gz ZSTLMYGAVZSXAJ-UHFFFAOYSA-N -1 1 335.407 -0.288 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)[N-][C@H](CO)CC(F)(F)F)n[nH]1 ZINC001413315695 1130010311 /nfs/dbraw/zinc/01/03/11/1130010311.db2.gz AABTXARBLWLAOV-YFKPBYRVSA-N -1 1 331.272 -0.212 20 0 EBADMM CC1(C)COC(=O)[C@H]1[N-]S(=O)(=O)C[C@@H]1CCCS(=O)(=O)C1 ZINC001413366804 1130052662 /nfs/dbraw/zinc/05/26/62/1130052662.db2.gz SICJWMZEZAEZMM-NXEZZACHSA-N -1 1 339.435 -0.318 20 0 EBADMM CN(C[C@@H](O)CNC(=O)c1ncccc1[O-])C(=O)c1ccnnc1 ZINC001413339664 1130027482 /nfs/dbraw/zinc/02/74/82/1130027482.db2.gz LBMVVIBXTBDNGG-NSHDSACASA-N -1 1 331.332 -0.560 20 0 EBADMM CCn1cc([C@@H](C)[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)cn1 ZINC001413351869 1130036611 /nfs/dbraw/zinc/03/66/11/1130036611.db2.gz YVZZWENEEWWKTE-MRVPVSSYSA-N -1 1 327.366 -0.258 20 0 EBADMM C[C@H](C[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)N1CCCCC1=O ZINC001413354938 1130041958 /nfs/dbraw/zinc/04/19/58/1130041958.db2.gz XVZYTFIWKLYUTG-SECBINFHSA-N -1 1 344.393 -0.835 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCS(=O)(=O)C(C)C)[n-]n1 ZINC001413363105 1130047597 /nfs/dbraw/zinc/04/75/97/1130047597.db2.gz XPDUZMGKEZIRBX-UHFFFAOYSA-N -1 1 339.395 -0.702 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCS(=O)(=O)C(C)C)n[n-]1 ZINC001413363105 1130047604 /nfs/dbraw/zinc/04/76/04/1130047604.db2.gz XPDUZMGKEZIRBX-UHFFFAOYSA-N -1 1 339.395 -0.702 20 0 EBADMM COC(=O)C[C@@H]1COCCN1S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001413362711 1130047787 /nfs/dbraw/zinc/04/77/87/1130047787.db2.gz VTXDOONNXHDYKB-MRVPVSSYSA-N -1 1 347.349 -0.851 20 0 EBADMM COC(=O)C[C@@H]1COCCN1S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001413362711 1130047793 /nfs/dbraw/zinc/04/77/93/1130047793.db2.gz VTXDOONNXHDYKB-MRVPVSSYSA-N -1 1 347.349 -0.851 20 0 EBADMM CN(C[C@H](O)CN(C)C(=O)c1ncccc1[O-])C(=O)c1ccnnc1 ZINC001413376291 1130060498 /nfs/dbraw/zinc/06/04/98/1130060498.db2.gz NQYJQAAEGOEBHW-LBPRGKRZSA-N -1 1 345.359 -0.218 20 0 EBADMM CCNC(=O)C[N-]S(=O)(=O)N=[S@](=O)(c1ccccc1)N(C)C ZINC001413400536 1130072589 /nfs/dbraw/zinc/07/25/89/1130072589.db2.gz UGSCBTCEFDQOEO-OAQYLSRUSA-N -1 1 348.450 -0.039 20 0 EBADMM COC[C@]1(C)CN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)CCO1 ZINC001413413365 1130077837 /nfs/dbraw/zinc/07/78/37/1130077837.db2.gz ZWPNPUXSEOSOPD-LBPRGKRZSA-N -1 1 333.366 -0.378 20 0 EBADMM COC[C@]1(C)CN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)CCO1 ZINC001413413365 1130077843 /nfs/dbraw/zinc/07/78/43/1130077843.db2.gz ZWPNPUXSEOSOPD-LBPRGKRZSA-N -1 1 333.366 -0.378 20 0 EBADMM NC(=O)C1(NC(=O)c2cccc([O-])c2F)CCS(=O)(=O)CC1 ZINC001413429258 1130085221 /nfs/dbraw/zinc/08/52/21/1130085221.db2.gz AKRHGYPCCOHWLC-UHFFFAOYSA-N -1 1 330.337 -0.306 20 0 EBADMM Cc1cc(C)n(CC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)c(=O)n1 ZINC001413456919 1130095071 /nfs/dbraw/zinc/09/50/71/1130095071.db2.gz WHFCCBNLGKLKQM-UHFFFAOYSA-N -1 1 345.359 -0.255 20 0 EBADMM C[C@H](C(=O)N(C)CC(=O)N1CC[C@@H](c2nn[n-]n2)C1)n1cccn1 ZINC001413469032 1130099216 /nfs/dbraw/zinc/09/92/16/1130099216.db2.gz NKKRBTDYUUXUFI-GHMZBOCLSA-N -1 1 332.368 -0.568 20 0 EBADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@H]1CCCN1S(C)(=O)=O ZINC001413506851 1130115210 /nfs/dbraw/zinc/11/52/10/1130115210.db2.gz WDCPWFWJBGMDLJ-JTQLQIEISA-N -1 1 330.414 -0.392 20 0 EBADMM COc1cnc(C(C)(C)NC(=O)COCc2nncn2C)[n-]c1=O ZINC001413619784 1130199367 /nfs/dbraw/zinc/19/93/67/1130199367.db2.gz CUELRJCKRHRZBO-UHFFFAOYSA-N -1 1 336.352 -0.113 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1CC[C@@H](O)[C@@H](O)C1 ZINC001413696228 1130231888 /nfs/dbraw/zinc/23/18/88/1130231888.db2.gz AVWWAVNYZRLSKW-RTXFEEFZSA-N -1 1 334.376 -0.015 20 0 EBADMM O=C(CSc1n[nH]c(=O)[n-]1)N1CC[C@@H]2[C@H]1CCCN2CCO ZINC001413767267 1130263578 /nfs/dbraw/zinc/26/35/78/1130263578.db2.gz NQENWCJQVIFPRL-NXEZZACHSA-N -1 1 327.410 -0.340 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNC(=O)[C@@H]1C[C@H]1C1CCC1 ZINC001491334283 1130403937 /nfs/dbraw/zinc/40/39/37/1130403937.db2.gz MKKYFBKICAGGMI-QWHCGFSZSA-N -1 1 348.403 -0.405 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCCN(C)C(=O)C(N)=O ZINC001492458153 1130674185 /nfs/dbraw/zinc/67/41/85/1130674185.db2.gz XJVRHKYWUMZIHY-UHFFFAOYSA-N -1 1 337.380 -0.322 20 0 EBADMM C[C@@H](c1ncccn1)N(C)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001492459729 1130674615 /nfs/dbraw/zinc/67/46/15/1130674615.db2.gz WOCJTIIQGGUTSK-LBPRGKRZSA-N -1 1 346.391 -0.474 20 0 EBADMM Cc1ncc(CN(C)CCNC(=O)CCn2cc[n-]c(=O)c2=O)cn1 ZINC001492459585 1130674765 /nfs/dbraw/zinc/67/47/65/1130674765.db2.gz VJEQPEBBKXWUGO-UHFFFAOYSA-N -1 1 346.391 -0.727 20 0 EBADMM CC(=O)N1CCS(=O)(=O)[C@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001414085014 1130727096 /nfs/dbraw/zinc/72/70/96/1130727096.db2.gz JSXBWXHOGCKYML-LLVKDONJSA-N -1 1 341.389 -0.447 20 0 EBADMM C[C@@H](CNC(=O)Cn1nnc2c1CCCC2)NCc1n[nH]c(=O)[n-]1 ZINC001480538664 1130827202 /nfs/dbraw/zinc/82/72/02/1130827202.db2.gz NJIYYEBCZCVGJC-VIFPVBQESA-N -1 1 334.384 -0.725 20 0 EBADMM C[C@@H](CNC(=O)CN1C(=O)CCC1=O)CNC(=O)c1ncccc1[O-] ZINC001357018429 1131025039 /nfs/dbraw/zinc/02/50/39/1131025039.db2.gz OITNFLIPQZCFPQ-JTQLQIEISA-N -1 1 348.359 -0.582 20 0 EBADMM CCN(C(=O)Cn1c(=O)[n-][nH]c1=O)[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001416154368 1131077641 /nfs/dbraw/zinc/07/76/41/1131077641.db2.gz LNIMTKPDEUJKDG-SECBINFHSA-N -1 1 349.351 -0.824 20 0 EBADMM O=C(NC[C@@H](O)CNC(=O)c1ncccc1[O-])c1ccc(F)nc1 ZINC001357400505 1131079905 /nfs/dbraw/zinc/07/99/05/1131079905.db2.gz STBZZBZXHGIDHW-SNVBAGLBSA-N -1 1 334.307 -0.158 20 0 EBADMM CN(Cc1nc2ccccc2n1C)C(=O)[C@]1(C(=O)[O-])CNCCO1 ZINC001357404421 1131080510 /nfs/dbraw/zinc/08/05/10/1131080510.db2.gz MTQYPAXCICHWMU-INIZCTEOSA-N -1 1 332.360 -0.025 20 0 EBADMM COC[C@@H](C)C(=O)NC/C=C/CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001357657506 1131112099 /nfs/dbraw/zinc/11/20/99/1131112099.db2.gz IRRNBNQTVCISTC-HMDXOVGESA-N -1 1 348.363 -0.898 20 0 EBADMM C[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)c1ccco1 ZINC001358048308 1131163853 /nfs/dbraw/zinc/16/38/53/1131163853.db2.gz GTLLNVDBSGOHOS-MRVPVSSYSA-N -1 1 330.304 -0.441 20 0 EBADMM C[C@@H](CNC(=O)C(=O)N1CC[C@H](C)C1)NC(=O)c1ncccc1[O-] ZINC001358507542 1131189952 /nfs/dbraw/zinc/18/99/52/1131189952.db2.gz HBIVVFZEKGWLNR-QWRGUYRKSA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001358535895 1131208106 /nfs/dbraw/zinc/20/81/06/1131208106.db2.gz MDEAMCLKZVLNSQ-WUHRBBMRSA-N -1 1 348.403 -0.406 20 0 EBADMM CC(=O)NC[C@H]1CCCC[C@@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001358553666 1131213050 /nfs/dbraw/zinc/21/30/50/1131213050.db2.gz ZTXLLLIAUILXEY-OLZOCXBDSA-N -1 1 336.392 -0.262 20 0 EBADMM CN(C)C(=O)Cn1cnc([N-]C(=O)c2nnn(C)c2C(F)(F)F)n1 ZINC001361499429 1131415044 /nfs/dbraw/zinc/41/50/44/1131415044.db2.gz UQFQBMNXEDFQIY-UHFFFAOYSA-N -1 1 346.273 -0.234 20 0 EBADMM COc1ccc(C(=O)N(C)c2nn[n-]n2)cc1S(=O)(=O)N(C)C ZINC001361668232 1131437918 /nfs/dbraw/zinc/43/79/18/1131437918.db2.gz XFNGPJAILNEMSI-UHFFFAOYSA-N -1 1 340.365 -0.265 20 0 EBADMM O=C(CCc1nn[n-]n1)N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001361851620 1131466489 /nfs/dbraw/zinc/46/64/89/1131466489.db2.gz IOGMBHDRIOYPML-QWRGUYRKSA-N -1 1 343.409 -0.673 20 0 EBADMM Cn1ccnc1[C@@](O)(CCNC(=O)CCc1nn[n-]n1)C(F)(F)F ZINC001361886692 1131472932 /nfs/dbraw/zinc/47/29/32/1131472932.db2.gz BECFQIXKKRIUSV-NSHDSACASA-N -1 1 347.301 -0.178 20 0 EBADMM CCCN(C(=O)c1[nH]c(=O)[n-]c(=O)c1OC)[C@@H]1CCS(=O)(=O)C1 ZINC001361889933 1131473356 /nfs/dbraw/zinc/47/33/56/1131473356.db2.gz UGDHIYILEVONTR-MRVPVSSYSA-N -1 1 345.377 -0.064 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(CC[S@@](C)=O)CC1 ZINC001362009331 1131495524 /nfs/dbraw/zinc/49/55/24/1131495524.db2.gz BCFPTRNKWWAFOO-HSZRJFAPSA-N -1 1 344.393 -0.967 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@H](N2CCNC2=O)C1 ZINC001362011433 1131496454 /nfs/dbraw/zinc/49/64/54/1131496454.db2.gz UHUFISXIBYAAIN-QMMMGPOBSA-N -1 1 337.336 -0.474 20 0 EBADMM O=C(NCc1nn[n-]n1)[C@H](O)[C@](O)(c1ccccc1)C(F)(F)F ZINC001362038388 1131501977 /nfs/dbraw/zinc/50/19/77/1131501977.db2.gz IUMJSKGAMGYOQS-GXSJLCMTSA-N -1 1 331.254 -0.373 20 0 EBADMM CS(=O)(=O)N1CCN(CCNC(=O)c2ccc([O-])cc2F)CC1 ZINC001362083823 1131512021 /nfs/dbraw/zinc/51/20/21/1131512021.db2.gz JUSPFHJNKBFQES-UHFFFAOYSA-N -1 1 345.396 -0.162 20 0 EBADMM C[C@@H](NC(=O)c1cccc([O-])c1F)C(=O)N1CCS(=O)(=O)CC1 ZINC001362120394 1131519179 /nfs/dbraw/zinc/51/91/79/1131519179.db2.gz LBVPJUBIUHLYPY-SECBINFHSA-N -1 1 344.364 -0.093 20 0 EBADMM NC(=O)c1ccc(CCC(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cc1 ZINC001362121317 1131519292 /nfs/dbraw/zinc/51/92/92/1131519292.db2.gz DPIPMVATDHDKCY-GFCCVEGCSA-N -1 1 330.348 -0.169 20 0 EBADMM O=C(c1cc(N2CCOCC2)ncn1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362209877 1131537519 /nfs/dbraw/zinc/53/75/19/1131537519.db2.gz QUTSCMMABBODCK-LLVKDONJSA-N -1 1 344.379 -0.154 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H](CO)Cc1ccc(O)cc1 ZINC001362277971 1131550904 /nfs/dbraw/zinc/55/09/04/1131550904.db2.gz QGNWZFLBCWOFAB-GFCCVEGCSA-N -1 1 345.355 -0.058 20 0 EBADMM CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)NC(=O)CCCc1nn[n-]n1 ZINC001362396969 1131575268 /nfs/dbraw/zinc/57/52/68/1131575268.db2.gz IDOCLRIXYZYBQC-RKDXNWHRSA-N -1 1 326.357 -0.905 20 0 EBADMM O=C(C1=CS(=O)(=O)CCC1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC001362403751 1131576241 /nfs/dbraw/zinc/57/62/41/1131576241.db2.gz BYSGTPRVKSYEOQ-SECBINFHSA-N -1 1 329.407 -0.091 20 0 EBADMM COC(=O)[C@@H]1O[C@@H](C(=O)N2CCSC[C@@H]2c2nn[n-]n2)C[C@H]1C ZINC001362409047 1131577510 /nfs/dbraw/zinc/57/75/10/1131577510.db2.gz PLSLLCQLRXCLKS-ZYUZMQFOSA-N -1 1 341.393 -0.217 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@@H](C)O[C@@]2(CCOC2)C1 ZINC001362440326 1131583295 /nfs/dbraw/zinc/58/32/95/1131583295.db2.gz STVQDLJCOXRBJO-CLAHSXSESA-N -1 1 325.321 -0.084 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCOC2(CCOCC2)C1 ZINC001362468778 1131589560 /nfs/dbraw/zinc/58/95/60/1131589560.db2.gz INIFJBANJBUNII-UHFFFAOYSA-N -1 1 325.321 -0.082 20 0 EBADMM C[C@H](O)[C@H](NC(=O)CCc1nn[n-]n1)C(=O)OCc1ccccc1 ZINC001362623034 1131626822 /nfs/dbraw/zinc/62/68/22/1131626822.db2.gz YNQWEBSDDFKALW-HZMBPMFUSA-N -1 1 333.348 -0.259 20 0 EBADMM O=C(CCc1nn[n-]n1)NCc1ccc(S(=O)(=O)CCO)cc1 ZINC001362625972 1131627585 /nfs/dbraw/zinc/62/75/85/1131627585.db2.gz QBHLJHOBIXNXEG-UHFFFAOYSA-N -1 1 339.377 -0.785 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)C1(S(C)(=O)=O)CC1 ZINC001362659484 1131634596 /nfs/dbraw/zinc/63/45/96/1131634596.db2.gz CIDOVUVHHCTXBT-LURJTMIESA-N -1 1 331.350 -0.408 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H](C(=O)N2CCCC2)C1 ZINC001362664988 1131636527 /nfs/dbraw/zinc/63/65/27/1131636527.db2.gz BJMJBMQPYOVMOC-VIFPVBQESA-N -1 1 336.348 -0.019 20 0 EBADMM CC(=O)Nc1nc(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)cs1 ZINC001362723646 1131654205 /nfs/dbraw/zinc/65/42/05/1131654205.db2.gz JIYJQZSOZKFHNH-UHFFFAOYSA-N -1 1 337.365 -0.262 20 0 EBADMM CC(=O)Nc1ccc(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)nc1 ZINC001362724054 1131654229 /nfs/dbraw/zinc/65/42/29/1131654229.db2.gz KXMJTQMMYZBEMM-UHFFFAOYSA-N -1 1 331.336 -0.323 20 0 EBADMM O=C(CCc1nn[n-]n1)NCCS(=O)(=O)CCc1ccccc1 ZINC001362725444 1131654679 /nfs/dbraw/zinc/65/46/79/1131654679.db2.gz MPISLACDIZDNCU-UHFFFAOYSA-N -1 1 337.405 -0.094 20 0 EBADMM O=C(Cc1cn2c(n1)CCCC2)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362731523 1131657316 /nfs/dbraw/zinc/65/73/16/1131657316.db2.gz PHYQKPMACOODQN-UHFFFAOYSA-N -1 1 331.380 -0.215 20 0 EBADMM C[C@H](NC(=O)c1ccco1)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362732478 1131657898 /nfs/dbraw/zinc/65/78/98/1131657898.db2.gz SADCWJOTVNDQHM-VIFPVBQESA-N -1 1 334.336 -0.579 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H](CO)Cc2cnn(C)c2)co1 ZINC001362786675 1131673743 /nfs/dbraw/zinc/67/37/43/1131673743.db2.gz GBSVBJHITAFTRN-LLVKDONJSA-N -1 1 342.377 -0.745 20 0 EBADMM CCC(=O)N1CCC[C@H](C(=O)N2CCC(O)(c3nn[n-]n3)CC2)C1 ZINC001363031440 1131755241 /nfs/dbraw/zinc/75/52/41/1131755241.db2.gz YHRVTBDQBRSRGL-NSHDSACASA-N -1 1 336.396 -0.342 20 0 EBADMM Cc1cccc(=O)n1C[C@@](C)(O)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001363035745 1131755850 /nfs/dbraw/zinc/75/58/50/1131755850.db2.gz GDYSPRJRAPIJBH-OAHLLOKOSA-N -1 1 334.332 -0.575 20 0 EBADMM CNS(=O)(=O)CC(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC001363042739 1131758813 /nfs/dbraw/zinc/75/88/13/1131758813.db2.gz VFIWSATZYPFXRT-MRVPVSSYSA-N -1 1 330.366 -0.597 20 0 EBADMM CS(=O)(=O)[N-]c1ccc(F)cc1C(=O)NCc1n[nH]c(CO)n1 ZINC001363114138 1131790216 /nfs/dbraw/zinc/79/02/16/1131790216.db2.gz UBQWNWHIOKMTTD-UHFFFAOYSA-N -1 1 343.340 -0.262 20 0 EBADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)NC[C@@H](O)[C@@H](C)O ZINC001363167152 1131811050 /nfs/dbraw/zinc/81/10/50/1131811050.db2.gz VJKMKSLQPZEEDI-ZWNOBZJWSA-N -1 1 332.360 -0.459 20 0 EBADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)NC[C@H](O)[C@@H](C)O ZINC001363167149 1131811777 /nfs/dbraw/zinc/81/17/77/1131811777.db2.gz VJKMKSLQPZEEDI-MFKMUULPSA-N -1 1 332.360 -0.459 20 0 EBADMM CCS(=O)(=O)N[C@@H](C)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001363205515 1131831003 /nfs/dbraw/zinc/83/10/03/1131831003.db2.gz DIOSPAYLGGWDRL-QMMMGPOBSA-N -1 1 344.393 -0.801 20 0 EBADMM Nc1nc2nc(CN3CC[C@@H](CN4CCCC4=O)C3)cc(=O)n2[n-]1 ZINC001363271762 1131863577 /nfs/dbraw/zinc/86/35/77/1131863577.db2.gz ULAKJMZOXUBTKK-SNVBAGLBSA-N -1 1 331.380 -0.556 20 0 EBADMM CNC(=O)NC[C@H]1CCCCN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001363275072 1131865048 /nfs/dbraw/zinc/86/50/48/1131865048.db2.gz UYQPMECBYSFLNL-MRVPVSSYSA-N -1 1 339.352 -0.180 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCN(C(=O)C3CC3)C2)co1 ZINC001363395091 1131929646 /nfs/dbraw/zinc/92/96/46/1131929646.db2.gz YXSFMVXGTCDSJN-UHFFFAOYSA-N -1 1 327.362 -0.160 20 0 EBADMM CO[C@H]1CCn2cc(C(=O)N3CCOC[C@@H]3c3nn[n-]n3)nc2C1 ZINC001363411199 1131938751 /nfs/dbraw/zinc/93/87/51/1131938751.db2.gz ZGUYGRIMNXCRNI-GXSJLCMTSA-N -1 1 333.352 -0.429 20 0 EBADMM CCS(=O)(=O)N[C@H](C)C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001363435037 1131948565 /nfs/dbraw/zinc/94/85/65/1131948565.db2.gz OLVRWDBAIXBTBT-ZJUUUORDSA-N -1 1 330.414 -0.691 20 0 EBADMM COC[C@H](NC(=O)CNC(=O)Cc1cccc(F)c1)c1nn[n-]n1 ZINC001363437088 1131949636 /nfs/dbraw/zinc/94/96/36/1131949636.db2.gz PINHNEOGJCNDJG-NSHDSACASA-N -1 1 336.327 -0.499 20 0 EBADMM COC[C@@H](NC(=O)c1cc(N2CCOCC2)ccn1)c1nn[n-]n1 ZINC001363438039 1131950655 /nfs/dbraw/zinc/95/06/55/1131950655.db2.gz SZGZIHODKWPGIZ-GFCCVEGCSA-N -1 1 333.352 -0.451 20 0 EBADMM CN(C(=O)[C@H](O)[C@@](O)(c1ccccc1)C(F)(F)F)c1nn[n-]n1 ZINC001363486945 1131968678 /nfs/dbraw/zinc/96/86/78/1131968678.db2.gz BUPROFXKHSGGBZ-KWQFWETISA-N -1 1 331.254 -0.027 20 0 EBADMM CC(C)C(=O)N[C@@H](CO)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001363494351 1131974744 /nfs/dbraw/zinc/97/47/44/1131974744.db2.gz FRPJVABPDWZYDF-NWDGAFQWSA-N -1 1 332.364 -0.462 20 0 EBADMM COC(=O)[C@@H](NC(=O)CNC(=O)c1ncccc1[O-])c1ccnn1C ZINC001363521106 1131989299 /nfs/dbraw/zinc/98/92/99/1131989299.db2.gz LXWWHDVHGMTOAA-LBPRGKRZSA-N -1 1 347.331 -0.719 20 0 EBADMM CC(C)[C@@H](NS(=O)(=O)C1CC1)C(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001363522750 1131990737 /nfs/dbraw/zinc/99/07/37/1131990737.db2.gz FXEOCCDLVPNYPP-MWLCHTKSSA-N -1 1 342.425 -0.378 20 0 EBADMM COC(=O)[C@@H]1[C@H](CNC(=O)CNC(=O)c2ncccc2[O-])C1(F)F ZINC001363537148 1132001480 /nfs/dbraw/zinc/00/14/80/1132001480.db2.gz PTQOPWQNBOOFCU-XVKPBYJWSA-N -1 1 343.286 -0.313 20 0 EBADMM COC[C@H](NC(=O)C1CCC(S(C)(=O)=O)CC1)c1nn[n-]n1 ZINC001363593429 1132026921 /nfs/dbraw/zinc/02/69/21/1132026921.db2.gz WLZGMNFTVZXBOD-RTBKNWGFSA-N -1 1 331.398 -0.393 20 0 EBADMM Cc1cc(C(=O)N2CC([NH2+][C@H]3CCOC3)C2)[n-]c2nc(=O)[n-]c(=O)c1-2 ZINC001363629626 1132037272 /nfs/dbraw/zinc/03/72/72/1132037272.db2.gz JLEGUYQOFKRKMH-VIFPVBQESA-N -1 1 345.359 -0.053 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC3(C2)CCNC(=O)O3)o1 ZINC001363643311 1132041391 /nfs/dbraw/zinc/04/13/91/1132041391.db2.gz ARFYNCRZHFRXRT-UHFFFAOYSA-N -1 1 329.334 -0.488 20 0 EBADMM NC(=O)C1(NC(=O)c2ccc([O-])cc2F)CCS(=O)(=O)CC1 ZINC001363640678 1132041933 /nfs/dbraw/zinc/04/19/33/1132041933.db2.gz KIYJNOVFPYSTIX-UHFFFAOYSA-N -1 1 330.337 -0.306 20 0 EBADMM Cc1nc(N2CCOCC2)[n-]c(=O)c1CCC(=O)N[C@@H]1CC[C@H]1O ZINC001363664151 1132049000 /nfs/dbraw/zinc/04/90/00/1132049000.db2.gz LAMHHQJDDQUKBA-CHWSQXEVSA-N -1 1 336.392 -0.101 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2cn(C)nc2OC)co1 ZINC001363669048 1132050764 /nfs/dbraw/zinc/05/07/64/1132050764.db2.gz AYZKGXQDJDLHOK-UHFFFAOYSA-N -1 1 328.350 -0.140 20 0 EBADMM COc1ccccc1C(=O)NCC(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001363716559 1132068207 /nfs/dbraw/zinc/06/82/07/1132068207.db2.gz DZANJCHQKLDLCZ-JTQLQIEISA-N -1 1 330.348 -0.046 20 0 EBADMM COC(=O)C[C@@H](C)C(=O)Nc1n[n-]c(OCCO)c1C(=O)OC ZINC001363735177 1132071623 /nfs/dbraw/zinc/07/16/23/1132071623.db2.gz OKJWEAWEXYWQPX-SSDOTTSWSA-N -1 1 329.309 -0.295 20 0 EBADMM COC(=O)C[C@@H](C)C(=O)Nc1[n-]nc(OCCO)c1C(=O)OC ZINC001363735177 1132071630 /nfs/dbraw/zinc/07/16/30/1132071630.db2.gz OKJWEAWEXYWQPX-SSDOTTSWSA-N -1 1 329.309 -0.295 20 0 EBADMM Cn1c(=O)cc(N2CC[C@@H](NC(=O)c3cncc([O-])c3)C2)[nH]c1=O ZINC001363747893 1132075227 /nfs/dbraw/zinc/07/52/27/1132075227.db2.gz KBJNNCLVKWZOGO-SNVBAGLBSA-N -1 1 331.332 -0.405 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2c3ccccc3C[C@H]2O)c(=O)[nH]c1=O ZINC001363816486 1132098220 /nfs/dbraw/zinc/09/82/20/1132098220.db2.gz ALHCECQOQFUGCI-ZYHUDNBSSA-N -1 1 337.357 -0.578 20 0 EBADMM O=C(CSCC(=O)N1CCOCC1)NC1(c2nn[n-]n2)CCC1 ZINC001363822838 1132102122 /nfs/dbraw/zinc/10/21/22/1132102122.db2.gz ULEKLKDQBLYEFY-UHFFFAOYSA-N -1 1 340.409 -0.713 20 0 EBADMM CC(C)[C@H](O)C(C)(C)C[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001363827952 1132103906 /nfs/dbraw/zinc/10/39/06/1132103906.db2.gz AZQHFUDFFIGUPN-JTQLQIEISA-N -1 1 333.410 -0.193 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CCO[C@@H](C3CC3)C2)c(=O)[nH]c1=O ZINC001363856444 1132112560 /nfs/dbraw/zinc/11/25/60/1132112560.db2.gz VTFJLTXVQPAHKQ-NXEZZACHSA-N -1 1 329.378 -0.278 20 0 EBADMM NC(=O)Cn1cc(CN2CCC(C[N-]C(=O)C(F)(F)F)CC2)nn1 ZINC001363897728 1132123620 /nfs/dbraw/zinc/12/36/20/1132123620.db2.gz RQBXYLGOZFFMGH-UHFFFAOYSA-N -1 1 348.329 -0.346 20 0 EBADMM CCc1cnc(C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)s1 ZINC001363934497 1132134741 /nfs/dbraw/zinc/13/47/41/1132134741.db2.gz BMINGOIHHUYCBS-UHFFFAOYSA-N -1 1 330.391 -0.017 20 0 EBADMM CCn1nc(C)c(CNS(=O)(=O)c2cc(C(=O)OC)n[n-]2)n1 ZINC001363945521 1132138495 /nfs/dbraw/zinc/13/84/95/1132138495.db2.gz UINBYXSMBCMWMQ-UHFFFAOYSA-N -1 1 328.354 -0.405 20 0 EBADMM CCn1nc(C)c(CNS(=O)(=O)c2cc(C(=O)OC)[n-]n2)n1 ZINC001363945521 1132138500 /nfs/dbraw/zinc/13/85/00/1132138500.db2.gz UINBYXSMBCMWMQ-UHFFFAOYSA-N -1 1 328.354 -0.405 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CCC[C@H]3OCC[C@H]32)c(=O)[nH]c1=O ZINC001363949472 1132140564 /nfs/dbraw/zinc/14/05/64/1132140564.db2.gz CNLABISOCXJADH-IVZWLZJFSA-N -1 1 329.378 -0.278 20 0 EBADMM CC(C)[N@H+]1CCC[C@@H](NS(=O)(=O)c2cn(C)c(=O)[nH]c2=O)C1 ZINC001363986499 1132154485 /nfs/dbraw/zinc/15/44/85/1132154485.db2.gz DTSKIXPMGPUIHU-SNVBAGLBSA-N -1 1 330.410 -0.363 20 0 EBADMM CN1CCN(S(=O)(=O)[N-][C@]2(C(=O)OC(C)(C)C)CCOC2)CC1 ZINC001364004835 1132162680 /nfs/dbraw/zinc/16/26/80/1132162680.db2.gz AJJKFVNBKHYSPG-CQSZACIVSA-N -1 1 349.453 -0.431 20 0 EBADMM COC(=O)[C@H](C)NC(=O)[C@H](C)[N-]S(=O)(=O)c1cc(C)ns1 ZINC001364021582 1132169526 /nfs/dbraw/zinc/16/95/26/1132169526.db2.gz JKLDMADIIVDPFM-YUMQZZPRSA-N -1 1 335.407 -0.204 20 0 EBADMM CC[C@H](C)NC(=O)[C@@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001364022991 1132170180 /nfs/dbraw/zinc/17/01/80/1132170180.db2.gz GHUZKELVGHUFNW-JGVFFNPUSA-N -1 1 332.382 -0.933 20 0 EBADMM CC[C@@H](C)NC(=O)[C@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001364023003 1132170556 /nfs/dbraw/zinc/17/05/56/1132170556.db2.gz GHUZKELVGHUFNW-SFYZADRCSA-N -1 1 332.382 -0.933 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@@H]2CCCCN(C)C2=O)[n-]n1 ZINC001364027763 1132171843 /nfs/dbraw/zinc/17/18/43/1132171843.db2.gz RKRSLJNOXYAYRK-SNVBAGLBSA-N -1 1 344.393 -0.172 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@@H]2CCCCN(C)C2=O)n[n-]1 ZINC001364027763 1132171848 /nfs/dbraw/zinc/17/18/48/1132171848.db2.gz RKRSLJNOXYAYRK-SNVBAGLBSA-N -1 1 344.393 -0.172 20 0 EBADMM COC[C@H](NC(=O)C1CCN(C(=O)N(C)C)CC1)c1nn[n-]n1 ZINC001364031206 1132173851 /nfs/dbraw/zinc/17/38/51/1132173851.db2.gz NMQIRMJGRZCMRF-JTQLQIEISA-N -1 1 325.373 -0.603 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](COC)C(=O)OCC ZINC001364049960 1132184132 /nfs/dbraw/zinc/18/41/32/1132184132.db2.gz OUAXXEBAHYVXFY-VIFPVBQESA-N -1 1 349.365 -0.557 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCn3c(C)nnc3[C@H]2C)[n-]n1 ZINC001364068053 1132193844 /nfs/dbraw/zinc/19/38/44/1132193844.db2.gz IJLSJVWGQBVPBG-SSDOTTSWSA-N -1 1 340.365 -0.138 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCn3c(C)nnc3[C@H]2C)n[n-]1 ZINC001364068053 1132193845 /nfs/dbraw/zinc/19/38/45/1132193845.db2.gz IJLSJVWGQBVPBG-SSDOTTSWSA-N -1 1 340.365 -0.138 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2[C@H](C)C(=O)N(C)[C@H](C)[C@H]2C)[n-]n1 ZINC001364069521 1132194730 /nfs/dbraw/zinc/19/47/30/1132194730.db2.gz HLSDMESSALEBQE-IWSPIJDZSA-N -1 1 344.393 -0.176 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2[C@H](C)C(=O)N(C)[C@H](C)[C@H]2C)n[n-]1 ZINC001364069521 1132194747 /nfs/dbraw/zinc/19/47/47/1132194747.db2.gz HLSDMESSALEBQE-IWSPIJDZSA-N -1 1 344.393 -0.176 20 0 EBADMM CCOC(=O)C[C@H](O)C(=O)NC(C)(C)c1ncc(OC)c(=O)[n-]1 ZINC001364231911 1132247265 /nfs/dbraw/zinc/24/72/65/1132247265.db2.gz FUUIKOLEZGIANY-QMMMGPOBSA-N -1 1 327.337 -0.144 20 0 EBADMM CC(CO)(CO)CNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001364331653 1132279306 /nfs/dbraw/zinc/27/93/06/1132279306.db2.gz PBMORINGRHTEEA-UHFFFAOYSA-N -1 1 326.353 -0.955 20 0 EBADMM Cn1cc(N2CC[C@@H]([NH+]3CCC(Cc4nn[nH]n4)CC3)C2=O)cn1 ZINC001364475432 1132331549 /nfs/dbraw/zinc/33/15/49/1132331549.db2.gz GJNGOAITVXCJHR-CYBMUJFWSA-N -1 1 330.396 -0.007 20 0 EBADMM NC(=O)N1CCC[C@@H](C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC001364548960 1132359387 /nfs/dbraw/zinc/35/93/87/1132359387.db2.gz JYNNFRULPOPDLS-SECBINFHSA-N -1 1 335.364 -0.338 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@H](C(N)=O)CC[C@@H]2C)[n-]n1 ZINC001364783281 1132425270 /nfs/dbraw/zinc/42/52/70/1132425270.db2.gz JILNUSXMASNQJX-JGVFFNPUSA-N -1 1 330.366 -0.529 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@H](C(N)=O)CC[C@@H]2C)n[n-]1 ZINC001364783281 1132425278 /nfs/dbraw/zinc/42/52/78/1132425278.db2.gz JILNUSXMASNQJX-JGVFFNPUSA-N -1 1 330.366 -0.529 20 0 EBADMM NC(=O)c1ccc(C[N-]S(=O)(=O)N=S2(=O)CCCC2)cn1 ZINC001364794881 1132428591 /nfs/dbraw/zinc/42/85/91/1132428591.db2.gz LWRGCPGVLIBQFP-UHFFFAOYSA-N -1 1 332.407 -0.223 20 0 EBADMM CCOC(=O)[C@@H](CO)[N-]S(=O)(=O)c1nc[nH]c1Br ZINC001364807988 1132434064 /nfs/dbraw/zinc/43/40/64/1132434064.db2.gz FACQYOCBBKRERU-RXMQYKEDSA-N -1 1 342.171 -0.626 20 0 EBADMM O=C([C@H]1CCCCS1(=O)=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001364817503 1132438310 /nfs/dbraw/zinc/43/83/10/1132438310.db2.gz BQXUXCSLNTXKQJ-WDEREUQCSA-N -1 1 327.410 -0.052 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCN(C[C@@H](C)O)C[C@H]2C)[n-]n1 ZINC001364819956 1132439285 /nfs/dbraw/zinc/43/92/85/1132439285.db2.gz BFUWHKVGDJESHN-NXEZZACHSA-N -1 1 346.409 -0.728 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCN(C[C@@H](C)O)C[C@H]2C)n[n-]1 ZINC001364819956 1132439289 /nfs/dbraw/zinc/43/92/89/1132439289.db2.gz BFUWHKVGDJESHN-NXEZZACHSA-N -1 1 346.409 -0.728 20 0 EBADMM O=S(=O)([N-]Cc1noc(-c2cccs2)n1)[C@@H]1COC[C@H]1O ZINC001364837391 1132448700 /nfs/dbraw/zinc/44/87/00/1132448700.db2.gz LDEJUOPYIKBNQH-VXNVDRBHSA-N -1 1 331.375 -0.023 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1C[C@H]2CCCCN2C1=O ZINC001364857673 1132455788 /nfs/dbraw/zinc/45/57/88/1132455788.db2.gz GKDQQYGIVFOIQZ-PSASIEDQSA-N -1 1 342.377 -0.372 20 0 EBADMM C[C@H]([N-]S(=O)(=O)[C@@H]1COC[C@H]1O)c1noc(C(F)(F)F)n1 ZINC001364874238 1132463095 /nfs/dbraw/zinc/46/30/95/1132463095.db2.gz SYASDMRGHBCOFI-KVQBGUIXSA-N -1 1 331.272 -0.172 20 0 EBADMM CO[C@]1(CNC(=O)c2cnc(SC)[n-]c2=O)CCS(=O)(=O)C1 ZINC001364878957 1132465475 /nfs/dbraw/zinc/46/54/75/1132465475.db2.gz ISRKDUMCKGCOOV-LBPRGKRZSA-N -1 1 347.418 -0.162 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCS(=O)(=O)C2CC2)o1 ZINC001364880964 1132467327 /nfs/dbraw/zinc/46/73/27/1132467327.db2.gz BEOLLKGQNGXSOQ-UHFFFAOYSA-N -1 1 337.375 -0.078 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@H](C)N(CCO)C[C@H]2C)[n-]n1 ZINC001364887379 1132470131 /nfs/dbraw/zinc/47/01/31/1132470131.db2.gz KZGXGOWVFWJPQL-VHSXEESVSA-N -1 1 346.409 -0.728 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@H](C)N(CCO)C[C@H]2C)n[n-]1 ZINC001364887379 1132470136 /nfs/dbraw/zinc/47/01/36/1132470136.db2.gz KZGXGOWVFWJPQL-VHSXEESVSA-N -1 1 346.409 -0.728 20 0 EBADMM NC(=O)[C@]1([N-]S(=O)(=O)C[C@@H]2CC[C@@H](C(F)(F)F)O2)CCOC1 ZINC001364894470 1132472858 /nfs/dbraw/zinc/47/28/58/1132472858.db2.gz WKROQVSHKPHROB-NRPADANISA-N -1 1 346.327 -0.340 20 0 EBADMM O=S(=O)([N-][C@@H]1CS(=O)(=O)C[C@H]1O)c1cccc(F)c1F ZINC001364902524 1132475885 /nfs/dbraw/zinc/47/58/85/1132475885.db2.gz ZKGOTUAIFWMOKY-HTQZYQBOSA-N -1 1 327.330 -0.599 20 0 EBADMM CCOC(=O)[C@H](COC)[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC001364956843 1132502064 /nfs/dbraw/zinc/50/20/64/1132502064.db2.gz MDTPDYBFAUQLLT-QMMMGPOBSA-N -1 1 335.334 -0.077 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC(c3nnc(C)[nH]3)C2)n[n-]1 ZINC001364964551 1132506208 /nfs/dbraw/zinc/50/62/08/1132506208.db2.gz MZAKCQMDURQFTC-UHFFFAOYSA-N -1 1 326.338 -0.589 20 0 EBADMM COC(=O)[C@H](CC1OCCO1)[N-]S(=O)(=O)CC[C@H]1CCCO1 ZINC001365008754 1132519607 /nfs/dbraw/zinc/51/96/07/1132519607.db2.gz RMESSBUKALLXIK-MNOVXSKESA-N -1 1 337.394 -0.221 20 0 EBADMM Cc1nc(CS(=O)(=O)[N-][C@H]2CCN(c3cnn(C)c3)C2=O)no1 ZINC001365147433 1132560313 /nfs/dbraw/zinc/56/03/13/1132560313.db2.gz RNZOLPXTPKXTEI-JTQLQIEISA-N -1 1 340.365 -0.664 20 0 EBADMM CCn1[n-]nnc1=NC(=O)N1CC[C@@](C)(NC(=O)C(F)(F)F)C1 ZINC001365199434 1132577132 /nfs/dbraw/zinc/57/71/32/1132577132.db2.gz FKWLBEWJUFHMSW-SNVBAGLBSA-N -1 1 335.290 -0.210 20 0 EBADMM C[C@@H](CC(=O)N1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-])NC(N)=O ZINC001414110511 1132604889 /nfs/dbraw/zinc/60/48/89/1132604889.db2.gz BGQMQVVQPMCHMI-WDEREUQCSA-N -1 1 349.391 -0.093 20 0 EBADMM C[C@H](CC(=O)N1CC[C@H]1CN(C)C(=O)c1ncccc1[O-])NC(N)=O ZINC001414110503 1132605412 /nfs/dbraw/zinc/60/54/12/1132605412.db2.gz BGQMQVVQPMCHMI-MNOVXSKESA-N -1 1 349.391 -0.093 20 0 EBADMM Nc1nc2nc(CN3CCC[C@H](N4CCOCC4)C3)cc(=O)n2[n-]1 ZINC001365299849 1132610376 /nfs/dbraw/zinc/61/03/76/1132610376.db2.gz OVVJSGUMRINXOB-LBPRGKRZSA-N -1 1 333.396 -0.704 20 0 EBADMM Nc1nc2nc(CN3CCOCC4(CCOCC4)C3)cc(=O)n2[n-]1 ZINC001365297792 1132610729 /nfs/dbraw/zinc/61/07/29/1132610729.db2.gz PRJNZLMWHKFUBN-UHFFFAOYSA-N -1 1 334.380 -0.371 20 0 EBADMM O=S(=O)([N-][C@H](CO)[C@@H]1CCOC1)c1nc[nH]c1Br ZINC001365382355 1132643589 /nfs/dbraw/zinc/64/35/89/1132643589.db2.gz WUUBRDWFGPYMJP-RNFRBKRXSA-N -1 1 340.199 -0.152 20 0 EBADMM CO[C@@H]1CCOC[C@H]1NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001365431053 1132669760 /nfs/dbraw/zinc/66/97/60/1132669760.db2.gz UDJMDVLKHHHQSZ-VXGBXAGGSA-N -1 1 338.364 -0.142 20 0 EBADMM CNC(=O)[C@H]1CCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC001365431930 1132670790 /nfs/dbraw/zinc/67/07/90/1132670790.db2.gz YLHCSKFXSHVLNK-VIFPVBQESA-N -1 1 335.364 -0.468 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCS(=O)(=O)[C@H](C)C2)[n-]n1 ZINC001365452779 1132685494 /nfs/dbraw/zinc/68/54/94/1132685494.db2.gz RFKURLUUMNEPIS-SSDOTTSWSA-N -1 1 337.379 -0.996 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCS(=O)(=O)[C@H](C)C2)n[n-]1 ZINC001365452779 1132685497 /nfs/dbraw/zinc/68/54/97/1132685497.db2.gz RFKURLUUMNEPIS-SSDOTTSWSA-N -1 1 337.379 -0.996 20 0 EBADMM COC(=O)[C@@H](CCC1OCCO1)[N-]S(=O)(=O)Cc1ccon1 ZINC001365453648 1132686707 /nfs/dbraw/zinc/68/67/07/1132686707.db2.gz DPBNTYZWMXYMHA-SNVBAGLBSA-N -1 1 334.350 -0.211 20 0 EBADMM O=C(NC1CC1)c1[nH]nnc1NC(=O)N1CC[C@@]2(CCOC2=O)C1 ZINC001365539373 1132714127 /nfs/dbraw/zinc/71/41/27/1132714127.db2.gz QNSKRSQITXQKBH-CQSZACIVSA-N -1 1 334.336 -0.132 20 0 EBADMM CO[C@@H]1COCC[C@H]1N(C)C(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC001365539438 1132714301 /nfs/dbraw/zinc/71/43/01/1132714301.db2.gz UACYGNNZVWNBFX-NXEZZACHSA-N -1 1 338.368 -0.036 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)N(C)CCNS(C)(=O)=O)c1 ZINC001365649876 1132746021 /nfs/dbraw/zinc/74/60/21/1132746021.db2.gz MUZRIRJWPUNDQD-UHFFFAOYSA-N -1 1 338.407 -0.430 20 0 EBADMM COCC1(O)CCN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)CC1 ZINC001365697206 1132766287 /nfs/dbraw/zinc/76/62/87/1132766287.db2.gz JXOVIIJOFZLGAA-UHFFFAOYSA-N -1 1 333.366 -0.642 20 0 EBADMM COCC1(O)CCN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)CC1 ZINC001365697206 1132766290 /nfs/dbraw/zinc/76/62/90/1132766290.db2.gz JXOVIIJOFZLGAA-UHFFFAOYSA-N -1 1 333.366 -0.642 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC2(CO)CC3(CCC3)C2)c(=O)[nH]c1=O ZINC001365703553 1132768634 /nfs/dbraw/zinc/76/86/34/1132768634.db2.gz FSOTXHKIOYNNBX-UHFFFAOYSA-N -1 1 343.405 -0.293 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2cc(C(F)F)on2)c(=O)[nH]c1=O ZINC001365738544 1132786107 /nfs/dbraw/zinc/78/61/07/1132786107.db2.gz XFCNEXSVXIZQNL-UHFFFAOYSA-N -1 1 336.276 -0.110 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)Cc1ccc(F)cn1 ZINC001365797812 1132805054 /nfs/dbraw/zinc/80/50/54/1132805054.db2.gz NIICNTLQLAQIQW-ZDUSSCGKSA-N -1 1 348.382 -0.082 20 0 EBADMM CC(C)NC(=O)CN1CCN(CCNC(=O)c2ncccc2[O-])CC1 ZINC001365952901 1132911520 /nfs/dbraw/zinc/91/15/20/1132911520.db2.gz IMOSGFIEXZSKKB-UHFFFAOYSA-N -1 1 349.435 -0.341 20 0 EBADMM CCn1[nH]c(C)nc1=NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001365977720 1132937677 /nfs/dbraw/zinc/93/76/77/1132937677.db2.gz KHYHPSKFTAQQAS-UHFFFAOYSA-N -1 1 333.352 -0.082 20 0 EBADMM C[C@@H](CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)c1cnn(C)c1 ZINC001365991788 1132951613 /nfs/dbraw/zinc/95/16/13/1132951613.db2.gz WVUSIWQKOFTWLU-AAEUAGOBSA-N -1 1 347.423 -0.274 20 0 EBADMM CCNC(=O)NC(=O)[C@@H](C)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001365996415 1132956457 /nfs/dbraw/zinc/95/64/57/1132956457.db2.gz YIVUPBHXRZCTPV-MNOVXSKESA-N -1 1 349.391 -0.175 20 0 EBADMM Cc1nnc(CC(=O)NC[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)o1 ZINC001366167577 1133036437 /nfs/dbraw/zinc/03/64/37/1133036437.db2.gz AJHUFFBUZTWIPF-LLVKDONJSA-N -1 1 349.395 -0.629 20 0 EBADMM C[C@@H](CNC(=O)c1cnc(OCC2CC2)cn1)NCc1n[nH]c(=O)[n-]1 ZINC001366339664 1133104440 /nfs/dbraw/zinc/10/44/40/1133104440.db2.gz CFGDVESAIPQUMO-VIFPVBQESA-N -1 1 347.379 -0.003 20 0 EBADMM CCN(CCNC(=O)C1CCC(O)CC1)Cc1nc(=O)n(C)[n-]1 ZINC001366605994 1133207646 /nfs/dbraw/zinc/20/76/46/1133207646.db2.gz JHLWJECQDHRBPE-UHFFFAOYSA-N -1 1 325.413 -0.402 20 0 EBADMM COC[C@H](O)C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001367001301 1133414886 /nfs/dbraw/zinc/41/48/86/1133414886.db2.gz DGCGRPQHKLWLDU-GARJFASQSA-N -1 1 348.359 -0.166 20 0 EBADMM COC[C@H](O)CN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001367001301 1133414891 /nfs/dbraw/zinc/41/48/91/1133414891.db2.gz DGCGRPQHKLWLDU-GARJFASQSA-N -1 1 348.359 -0.166 20 0 EBADMM CCO[C@H](CC)C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001367041141 1133448228 /nfs/dbraw/zinc/44/82/28/1133448228.db2.gz ACVRYPQGEWDRCB-VXGBXAGGSA-N -1 1 325.413 -0.044 20 0 EBADMM COc1coc(C(=O)N(C)[C@H](C)CNCc2n[nH]c(=O)[n-]2)cc1=O ZINC001367367045 1133565218 /nfs/dbraw/zinc/56/52/18/1133565218.db2.gz AKIHYCHJMBBUCL-MRVPVSSYSA-N -1 1 337.336 -0.278 20 0 EBADMM CC(C)[C@@H](CNC(=O)CN1CCCNC1=O)NCc1n[nH]c(=O)[n-]1 ZINC001367483611 1133599849 /nfs/dbraw/zinc/59/98/49/1133599849.db2.gz HAZAIHIQPLBUHE-SNVBAGLBSA-N -1 1 339.400 -0.844 20 0 EBADMM Cc1nn(C)c(=O)c(C(=O)N[C@H](C)CNCc2n[nH]c(=O)[n-]2)c1C ZINC001367691186 1133749291 /nfs/dbraw/zinc/74/92/91/1133749291.db2.gz BXJPDVAOVAJBNB-SSDOTTSWSA-N -1 1 335.368 -0.871 20 0 EBADMM C[C@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)c1ccc(C(N)=O)o1 ZINC001367831237 1133804895 /nfs/dbraw/zinc/80/48/95/1133804895.db2.gz WRNKHJUOCXCKCO-MRVPVSSYSA-N -1 1 336.352 -0.949 20 0 EBADMM Cc1nnc(CNC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)n1C ZINC001367852600 1133813402 /nfs/dbraw/zinc/81/34/02/1133813402.db2.gz GPWZBINKFISURC-UHFFFAOYSA-N -1 1 333.352 -0.309 20 0 EBADMM Cc1ccn([C@@H](C)CC(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001367951170 1133858676 /nfs/dbraw/zinc/85/86/76/1133858676.db2.gz LTPPQVZMPAJMQA-STQMWFEESA-N -1 1 347.423 -0.045 20 0 EBADMM C[C@H](Cn1cncn1)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001368000432 1133886620 /nfs/dbraw/zinc/88/66/20/1133886620.db2.gz PSJMNCLAWFYOHH-SECBINFHSA-N -1 1 333.352 -0.266 20 0 EBADMM CCC(=O)N[C@@H](C)C(=O)N[C@@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001368147067 1133959780 /nfs/dbraw/zinc/95/97/80/1133959780.db2.gz BKAUOXRONZHCDF-BONVTDFDSA-N -1 1 338.412 -0.896 20 0 EBADMM CCn1nccc1C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCOCC1 ZINC001368219769 1133994373 /nfs/dbraw/zinc/99/43/73/1133994373.db2.gz AAJDOSALQCLJIU-UHFFFAOYSA-N -1 1 349.395 -0.205 20 0 EBADMM O=C(NCC1(NCc2n[nH]c(=O)[n-]2)CCOCC1)[C@@]1(F)CCOC1 ZINC001368234777 1134002264 /nfs/dbraw/zinc/00/22/64/1134002264.db2.gz SERCAQJJQWYMOR-CQSZACIVSA-N -1 1 343.359 -0.606 20 0 EBADMM CCCC[C@H](C)C(=O)NC[C@@]1(O)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001368248463 1134023022 /nfs/dbraw/zinc/02/30/22/1134023022.db2.gz KDFJTVQVEJTMNO-LRDDRELGSA-N -1 1 339.440 -0.012 20 0 EBADMM CCC[C@@H](C)CC(=O)NCC1(O)CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001368279802 1134094479 /nfs/dbraw/zinc/09/44/79/1134094479.db2.gz AOUMZJSUJJIOGJ-LLVKDONJSA-N -1 1 325.413 -0.402 20 0 EBADMM Cn1[n-]c(CN2CC(O)(CNC(=O)CC(C)(C)C3CC3)C2)nc1=O ZINC001368295855 1134115497 /nfs/dbraw/zinc/11/54/97/1134115497.db2.gz KVZXUQGYRXWZDC-UHFFFAOYSA-N -1 1 337.424 -0.402 20 0 EBADMM Cc1cc(CNC2(CNC(=O)c3n[nH]c(=O)[n-]c3=O)CCC2)nn1C ZINC001368478457 1134246861 /nfs/dbraw/zinc/24/68/61/1134246861.db2.gz XTNDPMAYHPHYKU-UHFFFAOYSA-N -1 1 347.379 -0.233 20 0 EBADMM Cc1cn(C)nc1C(=O)N1CCC(O)(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001368691054 1134392118 /nfs/dbraw/zinc/39/21/18/1134392118.db2.gz SKISRTGKQDESGA-UHFFFAOYSA-N -1 1 349.395 -0.691 20 0 EBADMM C[C@@H](CNC(=O)c1ccnc(N(C)C)c1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001368981068 1134507104 /nfs/dbraw/zinc/50/71/04/1134507104.db2.gz FPJJWSMMOVQHAU-NSHDSACASA-N -1 1 347.423 -0.180 20 0 EBADMM C[C@@H](CN1CCOCC1)C(=O)NC[C@H](C)N(C)Cc1n[nH]c(=O)[n-]1 ZINC001369010229 1134517931 /nfs/dbraw/zinc/51/79/31/1134517931.db2.gz TYIIRQZVACFDNE-RYUDHWBXSA-N -1 1 340.428 -0.585 20 0 EBADMM NC(=O)NC(=O)CN1CCCCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001369066972 1134550136 /nfs/dbraw/zinc/55/01/36/1134550136.db2.gz SLULGCXLWNZAGS-NSHDSACASA-N -1 1 349.391 -0.044 20 0 EBADMM CO[C@@H](C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C)C1CC1 ZINC001369131598 1134581527 /nfs/dbraw/zinc/58/15/27/1134581527.db2.gz XXSKBPXEGQNPPT-WCQYABFASA-N -1 1 337.424 -0.140 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H](CO)N[C@H](C)C(=O)N(C)C(C)C)c1[O-] ZINC001369321359 1134661926 /nfs/dbraw/zinc/66/19/26/1134661926.db2.gz LAOPKLNKBKTWPO-MNOVXSKESA-N -1 1 341.412 -0.641 20 0 EBADMM O=C(NC[C@H](CO)NCc1cc(=O)n2[n-]ccc2n1)C1(C2CC2)CC1 ZINC001369330862 1134673452 /nfs/dbraw/zinc/67/34/52/1134673452.db2.gz CEDJTNOPMYYUJR-CYBMUJFWSA-N -1 1 345.403 -0.221 20 0 EBADMM CCCNC(=O)CCC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC001369400849 1134760559 /nfs/dbraw/zinc/76/05/59/1134760559.db2.gz LUJBZYONNDUHNA-QWRGUYRKSA-N -1 1 338.412 -0.104 20 0 EBADMM CCc1nnc(CNC[C@@H](O)CN(C)C(=O)c2ncccc2[O-])o1 ZINC001369454606 1134793025 /nfs/dbraw/zinc/79/30/25/1134793025.db2.gz IQMUGXYEBJVYGO-SNVBAGLBSA-N -1 1 335.364 -0.045 20 0 EBADMM CN(C)c1cc(C(=O)N(C)C[C@@H](O)CNCc2n[nH]c(=O)[n-]2)ccn1 ZINC001369469568 1134820263 /nfs/dbraw/zinc/82/02/63/1134820263.db2.gz GATMPABRQAAQBZ-NSHDSACASA-N -1 1 349.395 -0.806 20 0 EBADMM CCc1ccc(CC(=O)N(C)C[C@H](O)CNCc2n[nH]c(=O)[n-]2)nc1 ZINC001369476868 1134837222 /nfs/dbraw/zinc/83/72/22/1134837222.db2.gz SWVBRTNACGZVOW-CYBMUJFWSA-N -1 1 348.407 -0.381 20 0 EBADMM CCc1ncc(C(=O)N(C)C[C@@H](O)CNCc2n[nH]c(=O)[n-]2)s1 ZINC001369486662 1134856586 /nfs/dbraw/zinc/85/65/86/1134856586.db2.gz GNJBZFMNFIAHQP-QMMMGPOBSA-N -1 1 340.409 -0.248 20 0 EBADMM CNC(=O)NCCC(=O)N[C@H](C)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001369680976 1134985410 /nfs/dbraw/zinc/98/54/10/1134985410.db2.gz GLLDOBBHTFBMOA-ZJUUUORDSA-N -1 1 337.380 -0.271 20 0 EBADMM CCC(=O)NCC(=O)N1CCCC[C@@H]1[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001369743876 1135004617 /nfs/dbraw/zinc/00/46/17/1135004617.db2.gz CPCGCEKLIXDEIJ-WDEREUQCSA-N -1 1 338.412 -0.104 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)COCC(F)F)C2)nc1=O ZINC001369840831 1135042617 /nfs/dbraw/zinc/04/26/17/1135042617.db2.gz ZVYGTHPBCFXIFL-VIFPVBQESA-N -1 1 333.339 -0.529 20 0 EBADMM C[C@H](CNC(=O)[C@@H](C)S(C)(=O)=O)N(C)C(=O)c1ncccc1[O-] ZINC001369891152 1135074649 /nfs/dbraw/zinc/07/46/49/1135074649.db2.gz LYZDEROAYHHWGS-NXEZZACHSA-N -1 1 343.405 -0.203 20 0 EBADMM CCO[C@H](C)C(=O)N1CC(CCO)(NC(=O)c2ncccc2[O-])C1 ZINC001369981838 1135131453 /nfs/dbraw/zinc/13/14/53/1135131453.db2.gz CVNBULALVIBDEE-LLVKDONJSA-N -1 1 337.376 -0.095 20 0 EBADMM C[C@H](CC(N)=O)C(=O)N1CC([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001370097771 1135199544 /nfs/dbraw/zinc/19/95/44/1135199544.db2.gz ALHQHWOXZQPQDQ-NXEZZACHSA-N -1 1 334.376 -0.125 20 0 EBADMM C[C@H](NC(=O)Cc1nc[nH]n1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001370151782 1135226814 /nfs/dbraw/zinc/22/68/14/1135226814.db2.gz KRJPFOMIKMKUTA-VIFPVBQESA-N -1 1 330.348 -0.275 20 0 EBADMM C[C@H](NC(=O)Cc1nnc[nH]1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001370151782 1135226831 /nfs/dbraw/zinc/22/68/31/1135226831.db2.gz KRJPFOMIKMKUTA-VIFPVBQESA-N -1 1 330.348 -0.275 20 0 EBADMM O=C(CO[C@H]1CCOC1)NC[C@@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001370182999 1135239231 /nfs/dbraw/zinc/23/92/31/1135239231.db2.gz XZYIZJMJPXUOKF-WDEREUQCSA-N -1 1 325.369 -0.700 20 0 EBADMM CO[C@@H](C)C(=O)N[C@H]1C[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001370285463 1135283592 /nfs/dbraw/zinc/28/35/92/1135283592.db2.gz LNVZINXIASRSOW-GUBZILKMSA-N -1 1 348.363 -0.923 20 0 EBADMM C[C@@H](C(=O)NC[C@H](CO)NC(=O)c1ncccc1[O-])n1cccn1 ZINC001370656236 1135459243 /nfs/dbraw/zinc/45/92/43/1135459243.db2.gz QPATYYYTBKLSON-WDEREUQCSA-N -1 1 333.348 -0.548 20 0 EBADMM O=C(NC[C@H](CO)NC(=O)C1(F)CCOCC1)c1ncccc1[O-] ZINC001370671160 1135476930 /nfs/dbraw/zinc/47/69/30/1135476930.db2.gz BYGQOUCITLJAKY-SNVBAGLBSA-N -1 1 341.339 -0.487 20 0 EBADMM Cc1ncc(C(=O)N[C@H](CO)CNC(=O)c2ncccc2[O-])c(C)n1 ZINC001370678677 1135486938 /nfs/dbraw/zinc/48/69/38/1135486938.db2.gz NACBWZPSLZXJKR-NSHDSACASA-N -1 1 345.359 -0.285 20 0 EBADMM Cc1ccn(C)c1C(=O)N[C@@H](CO)CNC(=O)c1ncccc1[O-] ZINC001370680264 1135488952 /nfs/dbraw/zinc/48/89/52/1135488952.db2.gz OOALAPFALWFBIS-LLVKDONJSA-N -1 1 332.360 -0.045 20 0 EBADMM O=C(Cc1ncccc1F)NC[C@@H](CO)NC(=O)c1ncccc1[O-] ZINC001370783257 1135628939 /nfs/dbraw/zinc/62/89/39/1135628939.db2.gz ZXONCXNMIBSRHI-JTQLQIEISA-N -1 1 348.334 -0.229 20 0 EBADMM CN(C[C@@H](O)CNC(=O)c1cnc(C2CC2)[n-]c1=O)C(=O)C(F)F ZINC001370946343 1135705032 /nfs/dbraw/zinc/70/50/32/1135705032.db2.gz NFTRMIOFFGSHKS-QMMMGPOBSA-N -1 1 344.318 -0.126 20 0 EBADMM CN(C[C@H](O)CNC(=O)c1ncccc1[O-])C(=O)CCn1ccnn1 ZINC001370992867 1135746023 /nfs/dbraw/zinc/74/60/23/1135746023.db2.gz SQCSGRNLQAILOG-LLVKDONJSA-N -1 1 348.363 -0.982 20 0 EBADMM CCn1cc(C(=O)N(C)C[C@@H](O)CNC(=O)c2ncccc2[O-])nn1 ZINC001371029053 1135794373 /nfs/dbraw/zinc/79/43/73/1135794373.db2.gz CPCBRTIVSGLRPP-JTQLQIEISA-N -1 1 348.363 -0.739 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])[C@H](C)CNC(=O)CCn1cnnn1 ZINC001371367096 1135897311 /nfs/dbraw/zinc/89/73/11/1135897311.db2.gz BOZOGEJZMBWPRV-LLVKDONJSA-N -1 1 347.379 -0.169 20 0 EBADMM CC(=O)NCC(=O)N[C@@H]1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001371718731 1136008467 /nfs/dbraw/zinc/00/84/67/1136008467.db2.gz QYOCLPMFAPDXLT-NWDGAFQWSA-N -1 1 334.376 -0.062 20 0 EBADMM CCN(CCNC(=O)Cc1ccc(F)cn1)Cc1nc(=O)n(C)[n-]1 ZINC001372353617 1136178204 /nfs/dbraw/zinc/17/82/04/1136178204.db2.gz DYIFWSSWPBQDHT-UHFFFAOYSA-N -1 1 336.371 -0.177 20 0 EBADMM O=C(NCCN(Cc1n[nH]c(=O)[n-]1)C1CC1)[C@H]1CCCc2n[nH]nc21 ZINC001372859000 1136306628 /nfs/dbraw/zinc/30/66/28/1136306628.db2.gz HFFJRZXROYPISA-JTQLQIEISA-N -1 1 346.395 -0.171 20 0 EBADMM C[C@H](CNC(=O)CCc1cn(C)nn1)NC(=O)c1ncccc1[O-] ZINC001372944748 1136333821 /nfs/dbraw/zinc/33/38/21/1136333821.db2.gz JMDJTDAKJGUFIK-SNVBAGLBSA-N -1 1 332.364 -0.217 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H](C)NC(=O)c1cccn1C ZINC001372993178 1136348768 /nfs/dbraw/zinc/34/87/68/1136348768.db2.gz OHFDPJUWRLQBBA-QMMMGPOBSA-N -1 1 349.347 -0.217 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)CCNC(=O)C(C)(F)F ZINC001373349281 1136432537 /nfs/dbraw/zinc/43/25/37/1136432537.db2.gz NNYPMQGSCUWZJO-UHFFFAOYSA-N -1 1 334.279 -0.260 20 0 EBADMM C[C@H](Oc1ccccc1)C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001373476318 1136468346 /nfs/dbraw/zinc/46/83/46/1136468346.db2.gz VHNVNENWNNBAIN-NSHDSACASA-N -1 1 331.376 -0.124 20 0 EBADMM CCCCN1CCO[C@H](CNC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001374135767 1136634994 /nfs/dbraw/zinc/63/49/94/1136634994.db2.gz LDEUQFTYJRSTNF-GFCCVEGCSA-N -1 1 348.407 -0.312 20 0 EBADMM CC(C)([N-]C(=O)C(F)(F)F)C(=O)N1CC(NC(=O)c2nc[nH]n2)C1 ZINC001374732183 1136817493 /nfs/dbraw/zinc/81/74/93/1136817493.db2.gz ALZUORXDNNNZBE-UHFFFAOYSA-N -1 1 348.285 -0.798 20 0 EBADMM CC(C)([N-]C(=O)C(F)(F)F)C(=O)N1CC(NC(=O)c2ncn[nH]2)C1 ZINC001374732183 1136817495 /nfs/dbraw/zinc/81/74/95/1136817495.db2.gz ALZUORXDNNNZBE-UHFFFAOYSA-N -1 1 348.285 -0.798 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CCCCS1(=O)=O ZINC001374770885 1136828283 /nfs/dbraw/zinc/82/82/83/1136828283.db2.gz JMJFHWUHMPVIHL-RKDXNWHRSA-N -1 1 331.398 -0.928 20 0 EBADMM O=C(CN1CCCC1=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001374789706 1136833906 /nfs/dbraw/zinc/83/39/06/1136833906.db2.gz UODZWDDYUJEBGE-UHFFFAOYSA-N -1 1 332.360 -0.402 20 0 EBADMM CCC(=O)N1CCC[C@H](C(=O)N[C@@H](C)CNCc2n[nH]c(=O)[n-]2)C1 ZINC001374888606 1136865816 /nfs/dbraw/zinc/86/58/16/1136865816.db2.gz DVWZBWUTJDHQIB-QWRGUYRKSA-N -1 1 338.412 -0.247 20 0 EBADMM O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ncccc1[O-] ZINC000275379894 303989910 /nfs/dbraw/zinc/98/99/10/303989910.db2.gz WRFXWNOXZBDOBO-WDEREUQCSA-N -1 1 341.389 -0.985 20 0 EBADMM Cc1ccsc1C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000028324639 376027180 /nfs/dbraw/zinc/02/71/80/376027180.db2.gz AIDLOYQBRBTZJW-UHFFFAOYSA-N -1 1 329.403 -0.068 20 0 EBADMM COCC[N@@H+](C)CCNC(=O)c1ccc([N-]S(C)(=O)=O)nc1 ZINC000625135185 375983612 /nfs/dbraw/zinc/98/36/12/375983612.db2.gz XKZJASAKXDCVSL-UHFFFAOYSA-N -1 1 330.410 -0.239 20 0 EBADMM O=C(Cc1ccccc1)NCCC(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000363394579 376243888 /nfs/dbraw/zinc/24/38/88/376243888.db2.gz CBXYVMHQIZIKDB-ZDUSSCGKSA-N -1 1 344.375 -0.151 20 0 EBADMM CN(CC(=O)NCc1nn[n-]n1)C(=O)c1ccc(Br)o1 ZINC000600503612 376425933 /nfs/dbraw/zinc/42/59/33/376425933.db2.gz XMOOHXXIMBTSDH-UHFFFAOYSA-N -1 1 343.141 -0.056 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@]1(O)CCO[C@H]1C ZINC000278641526 376436753 /nfs/dbraw/zinc/43/67/53/376436753.db2.gz FXFZGRARNMENDI-QPUJVOFHSA-N -1 1 333.366 -0.595 20 0 EBADMM O=C(CCn1nc2ccccn2c1=O)NC1(c2nn[n-]n2)CCCC1 ZINC000605530895 376527446 /nfs/dbraw/zinc/52/74/46/376527446.db2.gz APMSTUJSNMDVQE-UHFFFAOYSA-N -1 1 342.363 -0.015 20 0 EBADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CC(=O)N(C4CC4)C3)nc2n1 ZINC000622995365 376606369 /nfs/dbraw/zinc/60/63/69/376606369.db2.gz YXXOKVSQGGDWJW-QMMMGPOBSA-N -1 1 330.348 -0.071 20 0 EBADMM CS(=O)(=O)[N-]c1ccc(S(=O)(=O)N2CC[C@@H](O)C2)c(F)c1 ZINC000408431742 376912429 /nfs/dbraw/zinc/91/24/29/376912429.db2.gz BJNRUNSLSPUCGE-SECBINFHSA-N -1 1 338.382 -0.048 20 0 EBADMM COC(=O)C1(CS(=O)(=O)[N-][C@@]2(C(=O)OC)CCSC2)CC1 ZINC000601380349 377551540 /nfs/dbraw/zinc/55/15/40/377551540.db2.gz UGVBQVICVWALGW-LBPRGKRZSA-N -1 1 337.419 -0.092 20 0 EBADMM COC(=O)[C@@H]([N-]S(=O)(=O)CC1(N(C)C)CC1)c1ccn(C)n1 ZINC000601401355 377557457 /nfs/dbraw/zinc/55/74/57/377557457.db2.gz NVGDEVUMVBPFGA-NSHDSACASA-N -1 1 330.410 -0.352 20 0 EBADMM C[C@@H](C(=O)N(C)C)S(=O)(=O)[N-][C@@H]1CN(c2ccccc2)C1=O ZINC000364761354 377657637 /nfs/dbraw/zinc/65/76/37/377657637.db2.gz VCQYNJAUKSBDGG-CMPLNLGQSA-N -1 1 325.390 -0.202 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H](C(=O)OC)C[C@H](O)C2)o1 ZINC000592912617 377861966 /nfs/dbraw/zinc/86/19/66/377861966.db2.gz OQYTXNMOSRVBFR-BDAKNGLRSA-N -1 1 346.361 -0.816 20 0 EBADMM CCOC(=O)c1nc([C@@H](CC(C)C)NC(=O)CNC(N)=O)n[n-]1 ZINC000593895885 377916300 /nfs/dbraw/zinc/91/63/00/377916300.db2.gz SZQRXUHLFQHUAE-MRVPVSSYSA-N -1 1 326.357 -0.147 20 0 EBADMM CCOC(=O)c1nnc([C@@H](CC(C)C)NC(=O)CNC(N)=O)[n-]1 ZINC000593895885 377916307 /nfs/dbraw/zinc/91/63/07/377916307.db2.gz SZQRXUHLFQHUAE-MRVPVSSYSA-N -1 1 326.357 -0.147 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NC(=O)CNC(N)=O)n1 ZINC000593895885 377916314 /nfs/dbraw/zinc/91/63/14/377916314.db2.gz SZQRXUHLFQHUAE-MRVPVSSYSA-N -1 1 326.357 -0.147 20 0 EBADMM CSCC1(CC[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000594819521 377954413 /nfs/dbraw/zinc/95/44/13/377954413.db2.gz KAUBSZJHWGWSRD-UHFFFAOYSA-N -1 1 347.462 -0.104 20 0 EBADMM COC(=O)[C@H](CCF)[N-]S(=O)(=O)C[C@@H](OC)[C@H]1CCOC1 ZINC000594797054 377954701 /nfs/dbraw/zinc/95/47/01/377954701.db2.gz UURJMKZWHVWTEY-GARJFASQSA-N -1 1 327.374 -0.142 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@H]2CC[C@@H](C(N)=O)O2)cc1C ZINC000595320272 377996636 /nfs/dbraw/zinc/99/66/36/377996636.db2.gz SCEPPWWLEYOUMA-BDAKNGLRSA-N -1 1 346.361 -0.314 20 0 EBADMM CCOC(=O)c1cc(CS(=O)(=O)[N-][C@@H]2COC[C@H]2OC)on1 ZINC000599339000 378227461 /nfs/dbraw/zinc/22/74/61/378227461.db2.gz DJGLLZROORZXRX-GHMZBOCLSA-N -1 1 334.350 -0.316 20 0 EBADMM Cc1ccc(S(=O)(=O)NCCC(=O)NCc2nn[n-]n2)cc1C ZINC000599333979 378231377 /nfs/dbraw/zinc/23/13/77/378231377.db2.gz BWGWZWJFKQPFHN-UHFFFAOYSA-N -1 1 338.393 -0.199 20 0 EBADMM O=C(CNC(=O)c1cnc2ccccc2c1O)NCc1nn[n-]n1 ZINC000599334398 378231925 /nfs/dbraw/zinc/23/19/25/378231925.db2.gz KMCSQUUAULFFCF-UHFFFAOYSA-N -1 1 327.304 -0.913 20 0 EBADMM COC(=O)C[C@H](O)C[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000599460964 378244028 /nfs/dbraw/zinc/24/40/28/378244028.db2.gz BJMPANIUWOVAFL-LURJTMIESA-N -1 1 345.299 -0.359 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)[C@@H]1CCOC[C@H]1C)c1ccnn1C ZINC000603366139 378400199 /nfs/dbraw/zinc/40/01/99/378400199.db2.gz KTEVNSHBJBERAA-YUSALJHKSA-N -1 1 331.394 -0.021 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@H](OC)[C@H]2CCOC2)co1 ZINC000617135031 378471513 /nfs/dbraw/zinc/47/15/13/378471513.db2.gz JZGVREWXSGDPQU-ONGXEEELSA-N -1 1 332.378 -0.031 20 0 EBADMM COCCOc1cc(C)ccc1NC(=O)C(=O)NCc1nn[n-]n1 ZINC000606116929 378530268 /nfs/dbraw/zinc/53/02/68/378530268.db2.gz HYSIMXRKRXVJCV-UHFFFAOYSA-N -1 1 334.336 -0.212 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NCC2(C3CC3)CC2)o1 ZINC000337347057 378634330 /nfs/dbraw/zinc/63/43/30/378634330.db2.gz MZPMSPHMRNEWSC-UHFFFAOYSA-N -1 1 341.389 -0.037 20 0 EBADMM CN(CC(=O)[O-])[C@@H]1CN(CCS(=O)(=O)c2ccccc2)C[C@@H]1O ZINC000337685374 378677823 /nfs/dbraw/zinc/67/78/23/378677823.db2.gz ZDVARZBOACTDFS-KGLIPLIRSA-N -1 1 342.417 -0.478 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)CNC(=O)C2CCCCC2)n1 ZINC000338112538 378735879 /nfs/dbraw/zinc/73/58/79/378735879.db2.gz NAUJCUDVMILPAD-UHFFFAOYSA-N -1 1 329.382 -0.157 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)CNC(=O)C2CCCCC2)[n-]1 ZINC000338112538 378735885 /nfs/dbraw/zinc/73/58/85/378735885.db2.gz NAUJCUDVMILPAD-UHFFFAOYSA-N -1 1 329.382 -0.157 20 0 EBADMM COC(=O)[C@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])C[C@@H](C)O1 ZINC000338170035 378742786 /nfs/dbraw/zinc/74/27/86/378742786.db2.gz KXHZYAFUWCEYHE-MWLCHTKSSA-N -1 1 337.332 -0.694 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2CCCS2(=O)=O)c1 ZINC000338229382 378746468 /nfs/dbraw/zinc/74/64/68/378746468.db2.gz GFXHLHVTEXQHGD-VIFPVBQESA-N -1 1 337.375 -0.078 20 0 EBADMM CCS(=O)(=O)C[C@H](C)N(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000337920567 378706979 /nfs/dbraw/zinc/70/69/79/378706979.db2.gz YPTLGHATPVRERU-JTQLQIEISA-N -1 1 343.405 -0.201 20 0 EBADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)NC[C@](C)(O)CO)o1 ZINC000338625308 378797070 /nfs/dbraw/zinc/79/70/70/378797070.db2.gz JXRJEYLDSRCRHR-ZDUSSCGKSA-N -1 1 334.394 -0.171 20 0 EBADMM COCCOCCS(=O)(=O)[N-]c1cnn(CC(=O)NC2CC2)c1 ZINC000339071571 378847215 /nfs/dbraw/zinc/84/72/15/378847215.db2.gz OMYJORGYQPJYRK-UHFFFAOYSA-N -1 1 346.409 -0.434 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@](C)(COC)CC(=O)OC)co1 ZINC000339382595 378911526 /nfs/dbraw/zinc/91/15/26/378911526.db2.gz YDEDFONSWSDJJD-CYBMUJFWSA-N -1 1 348.377 -0.114 20 0 EBADMM Cc1ccc(CN2C[C@H](C(=O)NN3CC(=O)[N-]C3=O)CC2=O)cc1 ZINC000066237147 379046051 /nfs/dbraw/zinc/04/60/51/379046051.db2.gz CGGQJNNBKPXVCF-GFCCVEGCSA-N -1 1 330.344 -0.073 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCC(O)(CO)CC1 ZINC000618242028 379132907 /nfs/dbraw/zinc/13/29/07/379132907.db2.gz SRRHXTLBQWICGG-UHFFFAOYSA-N -1 1 334.376 -0.060 20 0 EBADMM C[C@H](CCc1ccco1)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000052782456 379209825 /nfs/dbraw/zinc/20/98/25/379209825.db2.gz PNWSUVAQGHDTEY-SNVBAGLBSA-N -1 1 341.389 -0.023 20 0 EBADMM CCCCOCCC[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000030494185 379249613 /nfs/dbraw/zinc/24/96/13/379249613.db2.gz ADUGIXGSOQZACB-UHFFFAOYSA-N -1 1 333.410 -0.431 20 0 EBADMM COc1ccc(COCC(=O)Nc2nc(S(C)(=O)=O)n[n-]2)cn1 ZINC000629952371 379249967 /nfs/dbraw/zinc/24/99/67/379249967.db2.gz ASYOINCRCITUSX-UHFFFAOYSA-N -1 1 341.349 -0.233 20 0 EBADMM COc1ccc(COCC(=O)Nc2nnc(S(C)(=O)=O)[n-]2)cn1 ZINC000629952371 379249974 /nfs/dbraw/zinc/24/99/74/379249974.db2.gz ASYOINCRCITUSX-UHFFFAOYSA-N -1 1 341.349 -0.233 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2[C@@H]3CCO[C@@H]3C2(C)C)c(=O)n(C)c1=O ZINC000266030229 379260152 /nfs/dbraw/zinc/26/01/52/379260152.db2.gz SAENBNSVUREBQQ-GDPRMGEGSA-N -1 1 343.405 -0.824 20 0 EBADMM Cn1[n-]c(CN2CCN(c3ccc(F)cc3C(N)=O)CC2)nc1=O ZINC000621383207 379317762 /nfs/dbraw/zinc/31/77/62/379317762.db2.gz WAAMLBDBBRRUOP-UHFFFAOYSA-N -1 1 334.355 -0.331 20 0 EBADMM CC(C)(C)OC(=O)N1CCO[C@H](CC(=O)NN2CC(=O)[N-]C2=O)C1 ZINC000268983422 379334548 /nfs/dbraw/zinc/33/45/48/379334548.db2.gz RZGKQUPGSBVQRV-SECBINFHSA-N -1 1 342.352 -0.405 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H](O)c2cnn(C)c2)o1 ZINC000269753256 379401621 /nfs/dbraw/zinc/40/16/21/379401621.db2.gz AJXUJFHCQBFCNH-VIFPVBQESA-N -1 1 329.334 -0.188 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCCC[C@@H]1C(N)=O ZINC000269773299 379405823 /nfs/dbraw/zinc/40/58/23/379405823.db2.gz GOGRKTVPPSRPPS-WCBMZHEXSA-N -1 1 344.393 -0.091 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@]2(C)CCCC[C@@H]2O)c(=O)n(C)c1=O ZINC000269784488 379407046 /nfs/dbraw/zinc/40/70/46/379407046.db2.gz ITLKPRDUKKSITQ-FZMZJTMJSA-N -1 1 345.421 -0.697 20 0 EBADMM Cn1[n-]c(C(=O)N[C@H]2CCCN(CCN3CCOCC3)C2)cc1=O ZINC000641667921 379461702 /nfs/dbraw/zinc/46/17/02/379461702.db2.gz BADRTCRKVOZGJT-ZDUSSCGKSA-N -1 1 337.424 -0.348 20 0 EBADMM COC(=O)C[C@H]1COCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000489339338 379533588 /nfs/dbraw/zinc/53/35/88/379533588.db2.gz YALWXWZOBUJGEX-JTQLQIEISA-N -1 1 337.332 -0.693 20 0 EBADMM CN1CCC[C@@H]1CNS(=O)(=O)c1c[nH]c2nc(=O)[n-]c(=O)c-2c1 ZINC000271808627 379546598 /nfs/dbraw/zinc/54/65/98/379546598.db2.gz XZWYEIDJDQBKHH-MRVPVSSYSA-N -1 1 339.377 -0.192 20 0 EBADMM CS(=O)(=O)c1ccc(OCCCC(=O)[N-]OCC(N)=O)cc1 ZINC000271369180 379520422 /nfs/dbraw/zinc/52/04/22/379520422.db2.gz HPRPZOQOIPXLTH-UHFFFAOYSA-N -1 1 330.362 -0.218 20 0 EBADMM CCN1C[C@@H](C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])CC1=O ZINC000271951960 379554331 /nfs/dbraw/zinc/55/43/31/379554331.db2.gz BLMRFUYUWDJZGS-QMMMGPOBSA-N -1 1 327.362 -0.154 20 0 EBADMM CC(C)(C)OC(=O)N1CCN(C(=O)CNC(=O)c2cnn[nH]2)CC1 ZINC000490689441 379554484 /nfs/dbraw/zinc/55/44/84/379554484.db2.gz CIKBSXPAXIUWOU-UHFFFAOYSA-N -1 1 338.368 -0.386 20 0 EBADMM COCCNC(=O)CN1CCN(C(=O)c2ncc(C)cc2[O-])CC1 ZINC000494900093 379698641 /nfs/dbraw/zinc/69/86/41/379698641.db2.gz HBJDDEUSWMHJRH-UHFFFAOYSA-N -1 1 336.392 -0.384 20 0 EBADMM C[C@@H](CN(C)C(=O)CNC(=O)COc1ccccc1)c1nn[n-]n1 ZINC000274212204 379677738 /nfs/dbraw/zinc/67/77/38/379677738.db2.gz PDFFOAQZEWLXIW-NSHDSACASA-N -1 1 332.364 -0.043 20 0 EBADMM C[C@@H](CN(C)C(=O)CN1C(=O)NC2(CCCC2)C1=O)c1nn[n-]n1 ZINC000274796018 379713237 /nfs/dbraw/zinc/71/32/37/379713237.db2.gz RFDZPIPXXZKESS-VIFPVBQESA-N -1 1 335.368 -0.374 20 0 EBADMM Cn1cnc(S(=O)(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])c1Cl ZINC000274908961 379722132 /nfs/dbraw/zinc/72/21/32/379722132.db2.gz JZLICWYCNFYPMZ-ZETCQYMHSA-N -1 1 333.757 -0.229 20 0 EBADMM Cc1nc(CN2C[C@@H](F)C[C@H]2CN(C)Cc2nc(=O)[n-][nH]2)no1 ZINC000275859091 379787322 /nfs/dbraw/zinc/78/73/22/379787322.db2.gz ZAUCJLQTXBLVHJ-UWVGGRQHSA-N -1 1 325.348 -0.166 20 0 EBADMM CCN1C[C@H](S(=O)(=O)[N-][C@H]2CN(c3ccccc3)C2=O)CC1=O ZINC000632199551 379828274 /nfs/dbraw/zinc/82/82/74/379828274.db2.gz YYFKTKDCULPJBL-OLZOCXBDSA-N -1 1 337.401 -0.058 20 0 EBADMM CO[C@H]1CS(=O)(=O)C[C@@H]1[N-]S(=O)(=O)[C@@H]1CCC[C@H]1OC ZINC000632552041 379880533 /nfs/dbraw/zinc/88/05/33/379880533.db2.gz LLQWXKGLTBHZGX-ZRUFSTJUSA-N -1 1 327.424 -0.715 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2COCC[C@H]2O)c(C(F)(F)F)n1 ZINC000632707273 379900060 /nfs/dbraw/zinc/90/00/60/379900060.db2.gz JRTISVRQLYPGOB-RNFRBKRXSA-N -1 1 329.300 -0.133 20 0 EBADMM COC(=O)c1c[n-]c(S(=O)(=O)[C@@H](C)C(=O)NC[C@@H]2CCCO2)n1 ZINC000279700231 379961052 /nfs/dbraw/zinc/96/10/52/379961052.db2.gz VVRCEQWDDKVPFN-IUCAKERBSA-N -1 1 345.377 -0.346 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)COc3ccc(F)cc3)CC2)nc1=O ZINC000541589927 380027610 /nfs/dbraw/zinc/02/76/10/380027610.db2.gz RKCRTGKTLCBDOK-UHFFFAOYSA-N -1 1 349.366 -0.029 20 0 EBADMM CC(C)[C@H](C(=O)N(C)C[C@@H](C)c1nn[n-]n1)N1CCCS1(=O)=O ZINC000542772811 380051383 /nfs/dbraw/zinc/05/13/83/380051383.db2.gz GQTLBWZJHBZZKB-GHMZBOCLSA-N -1 1 344.441 -0.178 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@](C)(O)CCOC ZINC000269767332 380071396 /nfs/dbraw/zinc/07/13/96/380071396.db2.gz LNPWTWGZPNGFFK-LBPRGKRZSA-N -1 1 335.382 -0.348 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)C(=O)NCCF ZINC000282385701 380072822 /nfs/dbraw/zinc/07/28/22/380072822.db2.gz RGJROYKCHMESJT-ZETCQYMHSA-N -1 1 336.345 -0.661 20 0 EBADMM COCCN1CN(C(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)CC1=O ZINC000282417284 380075634 /nfs/dbraw/zinc/07/56/34/380075634.db2.gz IZDSGQPSTQKVRP-UHFFFAOYSA-N -1 1 347.375 -0.543 20 0 EBADMM Cc1cc(C(=O)NC[C@@]2(O)CC[N@@H+](C)C2)[n-]c2nc(=O)[n-]c(=O)c1-2 ZINC000545171922 380083315 /nfs/dbraw/zinc/08/33/15/380083315.db2.gz DLOMJKOHSIOPLP-HNNXBMFYSA-N -1 1 333.348 -0.459 20 0 EBADMM Cc1cc(C(=O)NC[C@@]2(O)CC[N@H+](C)C2)[n-]c2nc(=O)[n-]c(=O)c1-2 ZINC000545171922 380083323 /nfs/dbraw/zinc/08/33/23/380083323.db2.gz DLOMJKOHSIOPLP-HNNXBMFYSA-N -1 1 333.348 -0.459 20 0 EBADMM N#Cc1cc(F)c(S(=O)(=O)N[C@H](CC(N)=O)C(=O)[O-])c(F)c1 ZINC000547548398 380143254 /nfs/dbraw/zinc/14/32/54/380143254.db2.gz KLAAWJQBYHQQGQ-MRVPVSSYSA-N -1 1 333.272 -0.557 20 0 EBADMM CN(C)S(=O)(=O)c1ccc(C(=O)NC(C)(C)c2nn[n-]n2)o1 ZINC000354774678 380095969 /nfs/dbraw/zinc/09/59/69/380095969.db2.gz PIAYJRVFWZJMDB-UHFFFAOYSA-N -1 1 328.354 -0.292 20 0 EBADMM Cc1cnc(C(=O)N[C@H]2CC(=O)N(CCN3CCOCC3)C2)c([O-])c1 ZINC000547977286 380175252 /nfs/dbraw/zinc/17/52/52/380175252.db2.gz ZMMCRWFUTJIRSR-ZDUSSCGKSA-N -1 1 348.403 -0.241 20 0 EBADMM O=C(CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000551735763 380270144 /nfs/dbraw/zinc/27/01/44/380270144.db2.gz DXNHNWCYOLEUSG-UTUOFQBUSA-N -1 1 344.375 -0.143 20 0 EBADMM CC(C)[C@H](CCO)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000361312886 380301784 /nfs/dbraw/zinc/30/17/84/380301784.db2.gz XIZZMNCJWMOTQM-VIFPVBQESA-N -1 1 347.393 -0.820 20 0 EBADMM C[C@H]1OCCN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)[C@H]1C ZINC000361445860 380312243 /nfs/dbraw/zinc/31/22/43/380312243.db2.gz MFDOBYKXRCOSGA-DTWKUNHWSA-N -1 1 345.377 -0.707 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C(C)(C)c1cn(C)nn1 ZINC000564970795 380390822 /nfs/dbraw/zinc/39/08/22/380390822.db2.gz SKWPCANKVQQQCO-UHFFFAOYSA-N -1 1 342.381 -0.072 20 0 EBADMM COC(=O)[C@]1(F)CCN(C(=O)C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000288967679 380427069 /nfs/dbraw/zinc/42/70/69/380427069.db2.gz XSEJSJGWOFZRLA-HNIBHBHRSA-N -1 1 349.322 -0.606 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H](C2CC2)C(C)(C)CO)c(=O)n(C)c1=O ZINC000289641913 380444667 /nfs/dbraw/zinc/44/46/67/380444667.db2.gz UZOMAVODOLDGOT-NSHDSACASA-N -1 1 345.421 -0.841 20 0 EBADMM C[C@H](C(=O)N(C)C)S(=O)(=O)[N-][C@@H]1COc2ccccc2[C@@H]1O ZINC000288398463 380407638 /nfs/dbraw/zinc/40/76/38/380407638.db2.gz MJGGKCBLAJOFSG-XWIASGKRSA-N -1 1 328.390 -0.123 20 0 EBADMM CS[C@H](CO)[C@H](C)NC(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000288714383 380415324 /nfs/dbraw/zinc/41/53/24/380415324.db2.gz IRJXKANEDPINKL-WCTXQBDSSA-N -1 1 337.405 -0.491 20 0 EBADMM CO[C@@H]1C[C@@H](C(=O)[O-])N(CCOc2ccc(S(N)(=O)=O)cc2)C1 ZINC000566363087 380465925 /nfs/dbraw/zinc/46/59/25/380465925.db2.gz FTLCRDOBMZKQJZ-YPMHNXCESA-N -1 1 344.389 -0.113 20 0 EBADMM Cc1[nH]c(=O)sc1S(=O)(=O)[N-]CCNC(=O)c1cccn1C ZINC000155505939 380519081 /nfs/dbraw/zinc/51/90/81/380519081.db2.gz XTAXGQUWOVPPIY-UHFFFAOYSA-N -1 1 344.418 -0.208 20 0 EBADMM COC(=O)c1c[nH]c(C(=O)OC)c1[N-]S(=O)(=O)N1CCOCC1 ZINC000340733577 380613985 /nfs/dbraw/zinc/61/39/85/380613985.db2.gz GSHZHTDCHNWTLD-UHFFFAOYSA-N -1 1 347.349 -0.423 20 0 EBADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]c1cnn(CC(=O)N(C)C)c1 ZINC000340971463 380657517 /nfs/dbraw/zinc/65/75/17/380657517.db2.gz WTDABDQZUHXBIJ-UHFFFAOYSA-N -1 1 346.409 -0.088 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H](C(N)=O)CC[C@@H]2C)o1 ZINC000341867533 380743336 /nfs/dbraw/zinc/74/33/36/380743336.db2.gz KSNRLZQBLCOAFC-IUCAKERBSA-N -1 1 329.378 -0.086 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC(C)(C)OCC2CC2)c(=O)n(C)c1=O ZINC000577206654 380811768 /nfs/dbraw/zinc/81/17/68/380811768.db2.gz HUYIDRDUMVWYNA-UHFFFAOYSA-N -1 1 345.421 -0.433 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@@H](n3cncn3)C2)o1 ZINC000375316899 380838092 /nfs/dbraw/zinc/83/80/92/380838092.db2.gz FNOPFLHATWTDPZ-SECBINFHSA-N -1 1 325.350 -0.134 20 0 EBADMM Cc1ccn2c(c1)nnc2[N-]S(=O)(=O)CCCS(N)(=O)=O ZINC000349447017 380879288 /nfs/dbraw/zinc/87/92/88/380879288.db2.gz IDVBCYUXKTWYDO-UHFFFAOYSA-N -1 1 333.395 -0.542 20 0 EBADMM Cc1cccc2c(=O)n(CCC(=O)NN3CC(=O)[N-]C3=O)cnc12 ZINC000029019933 381168152 /nfs/dbraw/zinc/16/81/52/381168152.db2.gz LXORROLCNAAJOZ-UHFFFAOYSA-N -1 1 329.316 -0.322 20 0 EBADMM Cn1nnc(C[N-]S(=O)(=O)c2cncc(Br)c2)n1 ZINC000398155705 381123812 /nfs/dbraw/zinc/12/38/12/381123812.db2.gz INUULHOEQGAIJM-UHFFFAOYSA-N -1 1 333.171 -0.154 20 0 EBADMM CN1C(=O)N[C@H]2CN(C(=O)CNC(=O)c3ncccc3[O-])CC[C@H]21 ZINC000347104981 381177645 /nfs/dbraw/zinc/17/76/45/381177645.db2.gz UCEIZWYRRIGWAQ-VHSXEESVSA-N -1 1 333.348 -0.859 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCOC[C@H]1CCOC1 ZINC000611017616 381253220 /nfs/dbraw/zinc/25/32/20/381253220.db2.gz CBNVESKFUNZXKS-JTQLQIEISA-N -1 1 347.393 -0.082 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NCCC(F)(F)F)o1 ZINC000347725932 381254007 /nfs/dbraw/zinc/25/40/07/381254007.db2.gz QEQPTJKPVNLSNU-UHFFFAOYSA-N -1 1 343.283 -0.275 20 0 EBADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H](O)C[C@@H]2CO)o1 ZINC000347730773 381254406 /nfs/dbraw/zinc/25/44/06/381254406.db2.gz LIEQLOXJRMTPER-ZJUUUORDSA-N -1 1 346.405 -0.076 20 0 EBADMM Cc1[nH]c(=O)sc1S(=O)(=O)[N-]CCNC(=O)c1cnn(C)c1 ZINC000347685411 381247113 /nfs/dbraw/zinc/24/71/13/381247113.db2.gz HXMDYRHBHBGXON-UHFFFAOYSA-N -1 1 345.406 -0.401 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C[C@H](C)O1 ZINC000347907536 381288091 /nfs/dbraw/zinc/28/80/91/381288091.db2.gz ZZGYUKHMGNCDQE-DTORHVGOSA-N -1 1 345.377 -0.707 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CC[C@H](C(N)=O)O2)o1 ZINC000347824681 381282154 /nfs/dbraw/zinc/28/21/54/381282154.db2.gz LQTQLJMNENQAOB-RKDXNWHRSA-N -1 1 346.361 -0.233 20 0 EBADMM Cc1cc(C(=O)NC2(c3nn[n-]n3)CC2)cc(S(N)(=O)=O)c1C ZINC000348272453 381352674 /nfs/dbraw/zinc/35/26/74/381352674.db2.gz BNZDGERERHSIJD-UHFFFAOYSA-N -1 1 336.377 -0.117 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCC[C@@H](C(N)=O)C2)o1 ZINC000349414575 381460024 /nfs/dbraw/zinc/46/00/24/381460024.db2.gz HKLDZZGXWMCKDW-RKDXNWHRSA-N -1 1 329.378 -0.038 20 0 EBADMM O=C(N[C@H]1CCCN(CCO)C1=O)c1nc2ccccc2c(=O)[n-]1 ZINC000349852875 381486912 /nfs/dbraw/zinc/48/69/12/381486912.db2.gz QIEOBYWQRGZHTP-LBPRGKRZSA-N -1 1 330.344 -0.364 20 0 EBADMM C[C@@H]1CCCC[C@@]12NC(=O)N(CC(=O)NN1CC(=O)[N-]C1=O)C2=O ZINC000053286055 381658810 /nfs/dbraw/zinc/65/88/10/381658810.db2.gz ZVEWEKUQYGFLHX-XLKFXECMSA-N -1 1 337.336 -0.930 20 0 EBADMM Cc1nc(S(=O)(=O)[N-]CCC(N)=O)c(Br)n1C ZINC000286638177 381666573 /nfs/dbraw/zinc/66/65/73/381666573.db2.gz NQOWICPNQSYWCD-UHFFFAOYSA-N -1 1 325.188 -0.355 20 0 EBADMM CO[C@H]1CC[C@@H](NC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C1 ZINC000351760038 381671486 /nfs/dbraw/zinc/67/14/86/381671486.db2.gz RWSZBHRMGXTCNM-BDAKNGLRSA-N -1 1 345.377 -0.660 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCN1C[C@@H](C)OC[C@@H]1C ZINC000617725230 381945200 /nfs/dbraw/zinc/94/52/00/381945200.db2.gz IZNRKSMXSPZLMH-DTWKUNHWSA-N -1 1 326.353 -0.265 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)Cn2c(=O)cnc3ccccc32)n1 ZINC000352749178 382027378 /nfs/dbraw/zinc/02/73/78/382027378.db2.gz PYXAUYVKTUTADV-UHFFFAOYSA-N -1 1 348.344 -0.443 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)Cn2c(=O)cnc3ccccc32)[n-]1 ZINC000352749178 382027382 /nfs/dbraw/zinc/02/73/82/382027382.db2.gz PYXAUYVKTUTADV-UHFFFAOYSA-N -1 1 348.344 -0.443 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@@H]2COCCN2C2CCCC2)n1 ZINC000352795512 382032054 /nfs/dbraw/zinc/03/20/54/382032054.db2.gz KESURNCZEDWWKZ-JTQLQIEISA-N -1 1 343.409 -0.210 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)[C@@H]2COCCN2C2CCCC2)[n-]1 ZINC000352795512 382032061 /nfs/dbraw/zinc/03/20/61/382032061.db2.gz KESURNCZEDWWKZ-JTQLQIEISA-N -1 1 343.409 -0.210 20 0 EBADMM COC(=O)c1ccc(Cl)c(NC(=O)C(=O)NCc2nn[n-]n2)c1 ZINC000609952998 382092137 /nfs/dbraw/zinc/09/21/37/382092137.db2.gz WQAWEMWPPMMHJB-UHFFFAOYSA-N -1 1 338.711 -0.105 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NCc1nnnn1CC1CC1 ZINC000354265990 382224691 /nfs/dbraw/zinc/22/46/91/382224691.db2.gz QCFARNPNVKDLRI-UHFFFAOYSA-N -1 1 331.336 -0.770 20 0 EBADMM CS(=O)(=O)NC[C@@H]1COCCN1C(=O)c1cc(F)ccc1[O-] ZINC000629570793 382229022 /nfs/dbraw/zinc/22/90/22/382229022.db2.gz DAMIYUSPUMSXBS-SNVBAGLBSA-N -1 1 332.353 -0.079 20 0 EBADMM COC(=O)c1nscc1S(=O)(=O)[N-][C@@H](CF)C(=O)OC ZINC000344979032 292039006 /nfs/dbraw/zinc/03/90/06/292039006.db2.gz FALQXPNJGUVPMU-YFKPBYRVSA-N -1 1 326.327 -0.281 20 0 EBADMM Cc1nc(CC[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)c(C)o1 ZINC000328900150 155193797 /nfs/dbraw/zinc/19/37/97/155193797.db2.gz ZLLKRBKLVHHQBN-UHFFFAOYSA-N -1 1 342.377 -0.790 20 0 EBADMM CC[C@@H](C)[C@](C)(O)C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000331270567 155355444 /nfs/dbraw/zinc/35/54/44/155355444.db2.gz AOYYQNDBJLJWHA-NOZJJQNGSA-N -1 1 333.410 -0.841 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCCNC(=O)N(C)C ZINC000181039827 154130184 /nfs/dbraw/zinc/13/01/84/154130184.db2.gz JCTIYABVZCPMLW-UHFFFAOYSA-N -1 1 334.380 -0.431 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N(C[C@@H](C)O)[C@@H](C)CO ZINC000189310899 154152823 /nfs/dbraw/zinc/15/28/23/154152823.db2.gz GMIIKIBEGZSDSW-VHSXEESVSA-N -1 1 336.392 -0.228 20 0 EBADMM CCOc1ccc(S(=O)(=O)NCCC(=O)Nc2nnn[n-]2)cc1 ZINC000263987423 154271779 /nfs/dbraw/zinc/27/17/79/154271779.db2.gz DYYGOQIYQCIKLC-UHFFFAOYSA-N -1 1 340.365 -0.095 20 0 EBADMM CCOc1ccc(S(=O)(=O)NCCC(=O)Nc2nn[n-]n2)cc1 ZINC000263987423 154271782 /nfs/dbraw/zinc/27/17/82/154271782.db2.gz DYYGOQIYQCIKLC-UHFFFAOYSA-N -1 1 340.365 -0.095 20 0 EBADMM COCCS(=O)(=O)[N-]c1ccc(C(=O)NCC(=O)OC)cc1 ZINC000264630187 154287997 /nfs/dbraw/zinc/28/79/97/154287997.db2.gz KNZFSBPZUBDSOP-UHFFFAOYSA-N -1 1 330.362 -0.023 20 0 EBADMM O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cncc([O-])c1 ZINC000264843029 154295222 /nfs/dbraw/zinc/29/52/22/154295222.db2.gz LLLNJNSPLRNEKC-QWHCGFSZSA-N -1 1 341.389 -0.985 20 0 EBADMM CO[C@@H]1CCCC[C@H]1[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000266155875 154337859 /nfs/dbraw/zinc/33/78/59/154337859.db2.gz GLCWEGSYVHPJIC-NXEZZACHSA-N -1 1 331.394 -0.680 20 0 EBADMM Cc1cc(C(=O)NCC2(O)CC[NH+](C)CC2)[n-]c2nc(=O)[n-]c(=O)c1-2 ZINC000267079713 154363436 /nfs/dbraw/zinc/36/34/36/154363436.db2.gz IYJKDOHNGPKEBD-UHFFFAOYSA-N -1 1 347.375 -0.069 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C)CS(C)(=O)=O)c1 ZINC000270263092 154483199 /nfs/dbraw/zinc/48/31/99/154483199.db2.gz WLDVNLDFOCCDHM-ZETCQYMHSA-N -1 1 325.364 -0.222 20 0 EBADMM COCC[C@@H]([N-]S(=O)(=O)c1cc(C(=O)OC)co1)C(=O)OC ZINC000289973428 154996475 /nfs/dbraw/zinc/99/64/75/154996475.db2.gz RIMLMEVREKJQMR-SECBINFHSA-N -1 1 335.334 -0.077 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H](C)NC(=O)OC)c1 ZINC000291830153 155025465 /nfs/dbraw/zinc/02/54/65/155025465.db2.gz CAGYICQVGRJTFO-ZETCQYMHSA-N -1 1 331.350 -0.017 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H](OC)C(=O)OC ZINC000291967610 155026153 /nfs/dbraw/zinc/02/61/53/155026153.db2.gz QBXCWNAFGFUCQU-MRVPVSSYSA-N -1 1 335.338 -0.947 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C2CN(C(C)=O)C2)c1 ZINC000292325333 155030355 /nfs/dbraw/zinc/03/03/55/155030355.db2.gz UPBIGWRADDRGBZ-UHFFFAOYSA-N -1 1 327.362 -0.283 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CCCC(=O)N2)c1 ZINC000292232839 155031142 /nfs/dbraw/zinc/03/11/42/155031142.db2.gz NDQBNHBERWEERO-SECBINFHSA-N -1 1 327.362 -0.093 20 0 EBADMM COCCN1C(=O)C(=C(C)NC[C@H]2CCCO2)C(=O)[N-]C1=S ZINC000293418512 155047149 /nfs/dbraw/zinc/04/71/49/155047149.db2.gz RHNFIWRYNLHDOS-OYVNLZQHSA-N -1 1 327.406 -0.081 20 0 EBADMM COC(=O)[C@H](CNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C)OC ZINC000294171272 155057076 /nfs/dbraw/zinc/05/70/76/155057076.db2.gz WEYRZFCCIMJJSA-NSHDSACASA-N -1 1 336.348 -0.514 20 0 EBADMM COCCN1C[C@@H](C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)CC1=O ZINC000294296940 155058880 /nfs/dbraw/zinc/05/88/80/155058880.db2.gz PSNFMXXLQVFPJM-MNOVXSKESA-N -1 1 337.380 -0.701 20 0 EBADMM Cc1ccc2c(c1)C(=O)N([C@@H](C)C(=O)NN1CC(=O)[N-]C1=O)C2=O ZINC000298085504 155100176 /nfs/dbraw/zinc/10/01/76/155100176.db2.gz HJYNIVPJJBNXKN-QMMMGPOBSA-N -1 1 330.300 -0.437 20 0 EBADMM CC(C)[C@@H](NC(=O)Cc1ccccc1)C(=O)NN1CC(=O)[N-]C1=O ZINC000298114511 155101763 /nfs/dbraw/zinc/10/17/63/155101763.db2.gz RDXKFGTWSITYNZ-CQSZACIVSA-N -1 1 332.360 -0.047 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCN(c2cccnn2)CC1 ZINC000343345349 156128587 /nfs/dbraw/zinc/12/85/87/156128587.db2.gz VDHOOBOXDPWRHI-UHFFFAOYSA-N -1 1 342.359 -0.344 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCN(Cc2ccon2)CC1 ZINC000343495473 156140104 /nfs/dbraw/zinc/14/01/04/156140104.db2.gz NTCVUDVOWLVOKL-UHFFFAOYSA-N -1 1 345.359 -0.151 20 0 EBADMM Cc1ccoc1CNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000343571583 156144260 /nfs/dbraw/zinc/14/42/60/156144260.db2.gz BOCYHDYIXVNZLH-UHFFFAOYSA-N -1 1 341.345 -0.125 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H]1CCCS(=O)(=O)C1 ZINC000343622263 156148931 /nfs/dbraw/zinc/14/89/31/156148931.db2.gz KBRLFPDGBGKVNM-SECBINFHSA-N -1 1 327.362 -0.790 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2cc(C(N)=O)no2)o1 ZINC000343641880 156152099 /nfs/dbraw/zinc/15/20/99/156152099.db2.gz UOFZSISLGAKAPV-UHFFFAOYSA-N -1 1 329.290 -0.368 20 0 EBADMM CN(C[C@@H]1CCS(=O)(=O)C1)C(=O)CNC(=O)c1ncccc1[O-] ZINC000343726740 156161196 /nfs/dbraw/zinc/16/11/96/156161196.db2.gz RHVOBQYGNLYMSA-JTQLQIEISA-N -1 1 341.389 -0.590 20 0 EBADMM CCC[C@@H](NC(=O)c1ccc(S(N)(=O)=O)cn1)c1nn[n-]n1 ZINC000344878418 156277003 /nfs/dbraw/zinc/27/70/03/156277003.db2.gz IIFBVNBRKDGYNZ-MRVPVSSYSA-N -1 1 325.354 -0.487 20 0 EBADMM COC[C@H](C)C(=O)NCC[N-]S(=O)(=O)c1sc(=O)[nH]c1C ZINC000345444530 156334902 /nfs/dbraw/zinc/33/49/02/156334902.db2.gz IKZKXXFISGAUNJ-ZETCQYMHSA-N -1 1 337.423 -0.166 20 0 EBADMM Cc1[nH]c(=O)sc1S(=O)(=O)[N-]CCNC(=O)Cn1cccn1 ZINC000345483069 156336298 /nfs/dbraw/zinc/33/62/98/156336298.db2.gz KUWBTMJZBQETOH-UHFFFAOYSA-N -1 1 345.406 -0.552 20 0 EBADMM CCOCCN(CC)C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000345505271 156338826 /nfs/dbraw/zinc/33/88/26/156338826.db2.gz KNQNKXKTTPHZJL-UHFFFAOYSA-N -1 1 347.393 -0.458 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCC[C@H]2C(=O)NC)o1 ZINC000345816819 156364874 /nfs/dbraw/zinc/36/48/74/156364874.db2.gz UQVPWUVCMOJNLI-RKDXNWHRSA-N -1 1 329.378 -0.168 20 0 EBADMM Cn1ncc2c1[n-]cc(C(=O)NCCCNS(C)(=O)=O)c2=O ZINC000355863948 157029991 /nfs/dbraw/zinc/02/99/91/157029991.db2.gz VVFXNNPNPVNUMV-UHFFFAOYSA-N -1 1 327.366 -0.657 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H](O)COCC2CC2)o1 ZINC000356546209 157076832 /nfs/dbraw/zinc/07/68/32/157076832.db2.gz VVZLXBCHDGGAPO-JTQLQIEISA-N -1 1 332.378 -0.295 20 0 EBADMM C[C@@H](C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C(F)(F)F ZINC000356703080 157086868 /nfs/dbraw/zinc/08/68/68/157086868.db2.gz RIJOLGRBPOCHQG-LURJTMIESA-N -1 1 329.300 -0.439 20 0 EBADMM Cc1nc(S(=O)(=O)NCC(=O)N2CC[N@H+](C)[C@H](C)[C@H]2C)cn1C ZINC000356848779 157095480 /nfs/dbraw/zinc/09/54/80/157095480.db2.gz KRFKFZWNRICTLG-GHMZBOCLSA-N -1 1 343.453 -0.442 20 0 EBADMM Cc1nc(S(=O)(=O)NCC(=O)N2CC[N@H+](C)[C@@H](C)[C@H]2C)cn1C ZINC000356848799 157095713 /nfs/dbraw/zinc/09/57/13/157095713.db2.gz KRFKFZWNRICTLG-WDEREUQCSA-N -1 1 343.453 -0.442 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@H]1CCO[C@@]2(CCOC2)C1 ZINC000356892337 157097984 /nfs/dbraw/zinc/09/79/84/157097984.db2.gz JDDAOUKRKGRVJU-ZBEGNZNMSA-N -1 1 335.360 -0.029 20 0 EBADMM C[C@@H](NS(=O)(=O)c1ccccc1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000357066263 157116921 /nfs/dbraw/zinc/11/69/21/157116921.db2.gz HGCDMPWQRDEJDA-SECBINFHSA-N -1 1 336.377 -0.328 20 0 EBADMM CN(C)c1noc(C[N-]S(=O)(=O)c2cc(C(N)=O)n(C)c2)n1 ZINC000357156353 157123988 /nfs/dbraw/zinc/12/39/88/157123988.db2.gz ZJIGYNYETTXXKU-UHFFFAOYSA-N -1 1 328.354 -0.949 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2ccc(F)c(F)c2)c(=O)n(C)c1=O ZINC000357338756 157137237 /nfs/dbraw/zinc/13/72/37/157137237.db2.gz AHJPXCLQPPEUNY-UHFFFAOYSA-N -1 1 345.327 -0.159 20 0 EBADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CCCCS2(=O)=O)c1 ZINC000357596293 157159736 /nfs/dbraw/zinc/15/97/36/157159736.db2.gz IGYDSFVGVNOLJE-LLVKDONJSA-N -1 1 348.402 -0.055 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)c1cn(C)c(=O)[nH]c1=O ZINC000358287304 157231248 /nfs/dbraw/zinc/23/12/48/157231248.db2.gz BWEZCABWUZMSDS-UHFFFAOYSA-N -1 1 344.331 -0.219 20 0 EBADMM Cn1ncc2c1[n-]cc(C(=O)N1CCN(S(C)(=O)=O)CC1)c2=O ZINC000358309008 157231593 /nfs/dbraw/zinc/23/15/93/157231593.db2.gz HXGMALKPKICNBC-UHFFFAOYSA-N -1 1 339.377 -0.609 20 0 EBADMM O=C(CNC(=O)c1c[nH]c2ccccc2c1=O)NCc1n[nH]c(=O)[n-]1 ZINC000358354375 157235728 /nfs/dbraw/zinc/23/57/28/157235728.db2.gz KJPWULRDSZGQRM-UHFFFAOYSA-N -1 1 342.315 -0.602 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C[C@H]1NC(=O)NC1=O ZINC000358411519 157243845 /nfs/dbraw/zinc/24/38/45/157243845.db2.gz WCGQAVFAQSNNBY-SECBINFHSA-N -1 1 332.320 -0.734 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H](C)N2CCOCC2)c1 ZINC000359674094 157336455 /nfs/dbraw/zinc/33/64/55/157336455.db2.gz CACAMYDYFXXYPG-SNVBAGLBSA-N -1 1 343.405 -0.041 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CCn2cncn2)c1 ZINC000359676235 157336860 /nfs/dbraw/zinc/33/68/60/157336860.db2.gz FEIJLRLLPOEBAZ-UHFFFAOYSA-N -1 1 325.350 -0.079 20 0 EBADMM Cn1cnc(C[N-]S(=O)(=O)c2ccc(S(C)(=O)=O)nc2)n1 ZINC000360140228 157359014 /nfs/dbraw/zinc/35/90/14/157359014.db2.gz NNCAZRNMPXBLOP-UHFFFAOYSA-N -1 1 331.379 -0.908 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H](CCSC)C(=O)NC)co1 ZINC000360800408 157398114 /nfs/dbraw/zinc/39/81/14/157398114.db2.gz VZOXEUPVKOJAIU-SECBINFHSA-N -1 1 349.434 -0.215 20 0 EBADMM O=C([O-])c1cccc(N2C(=O)C[C@@H](NCCN3CCOCC3)C2=O)c1 ZINC000653282583 419113002 /nfs/dbraw/zinc/11/30/02/419113002.db2.gz BYCMFZDLTUUCFI-CQSZACIVSA-N -1 1 347.371 -0.062 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]c2ncccc2Cl)c(=O)n(C)c1=O ZINC000180197657 419134537 /nfs/dbraw/zinc/13/45/37/419134537.db2.gz TXFDGIOKJLLGKZ-UHFFFAOYSA-N -1 1 330.753 -0.067 20 0 EBADMM CN(C)C(=O)NCC[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC000647904360 419318186 /nfs/dbraw/zinc/31/81/86/419318186.db2.gz DZWZAWMLDUVUBQ-UHFFFAOYSA-N -1 1 329.304 -0.022 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@@]2(CNC(=O)C2)C1 ZINC000344093108 419475991 /nfs/dbraw/zinc/47/59/91/419475991.db2.gz GIMLEXCPLNSLFQ-MRXNPFEDSA-N -1 1 332.360 -0.354 20 0 EBADMM CC[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)[C@H]1CCCCO1 ZINC000655665794 419501918 /nfs/dbraw/zinc/50/19/18/419501918.db2.gz IFBYSXJCWFSFOC-GHMZBOCLSA-N -1 1 345.421 -0.290 20 0 EBADMM Cc1[nH]c(=O)sc1S(=O)(=O)[N-]CCNC(=O)[C@H]1CO[C@H](C)C1 ZINC000526242321 419602914 /nfs/dbraw/zinc/60/29/14/419602914.db2.gz VHTAMKHTBPHXPR-VXNVDRBHSA-N -1 1 349.434 -0.023 20 0 EBADMM O=C(Cn1nc2ccccn2c1=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000532793241 419628763 /nfs/dbraw/zinc/62/87/63/419628763.db2.gz BFJUBQUKVCRSNY-JTQLQIEISA-N -1 1 328.336 -0.585 20 0 EBADMM CC(C)CN1CCO[C@@H](CNC(=O)CN2CCOC[C@H]2C(=O)[O-])C1 ZINC000648425162 419733707 /nfs/dbraw/zinc/73/37/07/419733707.db2.gz UXEMXTIYGMNQQC-KBPBESRZSA-N -1 1 343.424 -0.755 20 0 EBADMM C[C@@H](NC(=O)c1n[nH]c2ccccc21)C(=O)N1CCOC[C@H]1C(=O)[O-] ZINC000648451348 419787545 /nfs/dbraw/zinc/78/75/45/419787545.db2.gz QVVHBNYXCCGHFN-SKDRFNHKSA-N -1 1 346.343 -0.007 20 0 EBADMM COc1cc(C(=O)N[C@@H]2CS(=O)(=O)C[C@H]2O)cc(Cl)c1[O-] ZINC000514797475 419972811 /nfs/dbraw/zinc/97/28/11/419972811.db2.gz CIHYXUVKMCCEDK-RKDXNWHRSA-N -1 1 335.765 -0.058 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2ccc(CO)cc2)c(=O)n(C)c1=O ZINC000323324136 420529954 /nfs/dbraw/zinc/52/99/54/420529954.db2.gz SYHNGSALXXDTNL-UHFFFAOYSA-N -1 1 339.373 -0.945 20 0 EBADMM Nc1nc(-n2cccn2)cc(N2CC[C@@H](n3cc(C(=O)[O-])nn3)C2)n1 ZINC000565299559 420668019 /nfs/dbraw/zinc/66/80/19/420668019.db2.gz JKWOZEQAJILSBC-SECBINFHSA-N -1 1 341.335 -0.014 20 0 EBADMM CN1CC[C@H](C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)S1(=O)=O ZINC000649219200 420791543 /nfs/dbraw/zinc/79/15/43/420791543.db2.gz WIAMOKRCBNFFLW-DTWKUNHWSA-N -1 1 330.366 -0.485 20 0 EBADMM CO[C@H](CS(=O)(=O)[N-][C@@H]1CC(=O)N(C(C)C)C1=O)[C@@H]1CCOC1 ZINC000656926419 420904241 /nfs/dbraw/zinc/90/42/41/420904241.db2.gz DTOPOAGUGFQZLM-IJLUTSLNSA-N -1 1 348.421 -0.507 20 0 EBADMM CN1C(=O)C[C@H]([N-]S(=O)(=O)c2ncccc2Br)C1=O ZINC000656981715 420908000 /nfs/dbraw/zinc/90/80/00/420908000.db2.gz DVWJXJAQNPDUJS-ZETCQYMHSA-N -1 1 348.178 -0.120 20 0 EBADMM Cc1cc(NC(=O)CSCC(=O)NC2(c3nn[n-]n3)CC2)no1 ZINC000348275800 421256674 /nfs/dbraw/zinc/25/66/74/421256674.db2.gz JKMLMKSRBXTBGK-UHFFFAOYSA-N -1 1 337.365 -0.027 20 0 EBADMM CCC[C@H](NC(=O)Cn1c(=O)[nH]c2ccccc2c1=O)c1nn[n-]n1 ZINC000176779911 264591040 /nfs/dbraw/zinc/59/10/40/264591040.db2.gz RZJWIFHOXQJTRD-NSHDSACASA-N -1 1 343.347 -0.140 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NC[C@H]1CCNCC1(F)F ZINC000423703367 265033367 /nfs/dbraw/zinc/03/33/67/265033367.db2.gz ZZBAPPULSVRDAW-SECBINFHSA-N -1 1 328.319 -0.122 20 0 EBADMM CN(C)c1ccncc1C(=O)N1CCS(=O)(=O)[C@H](C(=O)[O-])C1 ZINC000424242956 265054480 /nfs/dbraw/zinc/05/44/80/265054480.db2.gz MOMNPCXGACXPDX-NSHDSACASA-N -1 1 327.362 -0.529 20 0 EBADMM C[C@]1(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])CCCNC1=O ZINC000427681926 265109457 /nfs/dbraw/zinc/10/94/57/265109457.db2.gz FZLLXJYMEXCVGV-ZDUSSCGKSA-N -1 1 327.362 -0.106 20 0 EBADMM C[C@H]1CCN(C(=O)CNC(=O)c2ncccc2[O-])CC[S@]1=O ZINC000430354279 265146995 /nfs/dbraw/zinc/14/69/95/265146995.db2.gz PQJODOXLCBGKOS-MLMJSJRWSA-N -1 1 325.390 -0.114 20 0 EBADMM CN(C)S(=O)(=O)c1ccsc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000430329953 265147660 /nfs/dbraw/zinc/14/76/60/265147660.db2.gz KCXOQWDNDDYGAE-UHFFFAOYSA-N -1 1 342.406 -0.069 20 0 EBADMM C[C@H]1CCN(C(=O)CNC(=O)c2ncccc2[O-])CC[S@@]1=O ZINC000430354280 265147999 /nfs/dbraw/zinc/14/79/99/265147999.db2.gz PQJODOXLCBGKOS-MGUXCZDKSA-N -1 1 325.390 -0.114 20 0 EBADMM COC(=O)CCC(C)(C)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000433743311 265193456 /nfs/dbraw/zinc/19/34/56/265193456.db2.gz AXEXEHSQICUTHN-UHFFFAOYSA-N -1 1 347.393 -0.906 20 0 EBADMM CCC[C@H](NC(=O)CSCC(=O)N1CCOCC1)c1nn[n-]n1 ZINC000434770558 265214303 /nfs/dbraw/zinc/21/43/03/265214303.db2.gz UPPLIKDWMUGMOH-JTQLQIEISA-N -1 1 342.425 -0.251 20 0 EBADMM Cn1nnc2cc(C(=O)Nc3cc(S(N)(=O)=O)ccc3[O-])cnc21 ZINC000436976629 265243380 /nfs/dbraw/zinc/24/33/80/265243380.db2.gz CKFFTMPDSRXWST-UHFFFAOYSA-N -1 1 348.344 -0.031 20 0 EBADMM COc1ccccc1-n1nnnc1SCC(=O)Nc1nnn[n-]1 ZINC000439255507 265267757 /nfs/dbraw/zinc/26/77/57/265267757.db2.gz AQLMBFJTWFNBQG-UHFFFAOYSA-N -1 1 333.337 -0.085 20 0 EBADMM COc1ccccc1-n1nnnc1SCC(=O)Nc1nn[n-]n1 ZINC000439255507 265267765 /nfs/dbraw/zinc/26/77/65/265267765.db2.gz AQLMBFJTWFNBQG-UHFFFAOYSA-N -1 1 333.337 -0.085 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@]1(O)CCSC1 ZINC000443341190 265347940 /nfs/dbraw/zinc/34/79/40/265347940.db2.gz CDWKHSLXFNMUJL-LLVKDONJSA-N -1 1 335.407 -0.267 20 0 EBADMM COC(=O)c1nscc1S(=O)(=O)[N-][C@H](C(=O)OC)[C@@H](C)O ZINC000444199208 265361621 /nfs/dbraw/zinc/36/16/21/265361621.db2.gz VFTZHUTXRLFQGD-VDTYLAMSSA-N -1 1 338.363 -0.870 20 0 EBADMM C[C@H](NC(=O)c1n[nH]c2ccccc21)C(=O)NCCc1nc(=O)o[n-]1 ZINC000447632466 265375966 /nfs/dbraw/zinc/37/59/66/265375966.db2.gz KDUGPOQHBLVDPG-QMMMGPOBSA-N -1 1 344.331 -0.284 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccc3c(c2)S(=O)(=O)[N-]c2ncnn2-3)CCN1 ZINC000269222629 288041152 /nfs/dbraw/zinc/04/11/52/288041152.db2.gz RZJWPJUMFQQOLP-SECBINFHSA-N -1 1 348.388 -0.185 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCOC[C@@H]1[C@@H]1CCC[C@@H]1O ZINC000347198859 295518642 /nfs/dbraw/zinc/51/86/42/295518642.db2.gz NLSNXSVPNYLVMB-XQQFMLRXSA-N -1 1 349.387 -0.095 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1cnn(Cc2ccccc2)c1 ZINC000675595836 546186751 /nfs/dbraw/zinc/18/67/51/546186751.db2.gz NSACRJSQLSIFHD-UHFFFAOYSA-N -1 1 326.320 -0.301 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCNc2ncccn2)co1 ZINC000677302570 546228270 /nfs/dbraw/zinc/22/82/70/546228270.db2.gz VOFWAYUOCVBKIG-UHFFFAOYSA-N -1 1 325.350 -0.180 20 0 EBADMM Cc1nn(C)c2ncc(S(=O)(=O)[N-]c3cc(C(N)=O)[nH]n3)cc12 ZINC000677644938 546233601 /nfs/dbraw/zinc/23/36/01/546233601.db2.gz NVNNKSRGZMSSLQ-UHFFFAOYSA-N -1 1 335.349 -0.100 20 0 EBADMM CNC(=O)C1(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])CCC1 ZINC000679113942 546266061 /nfs/dbraw/zinc/26/60/61/546266061.db2.gz MWUBKKBCHKFBAR-UHFFFAOYSA-N -1 1 327.362 -0.106 20 0 EBADMM C[C@H]1CCN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C[C@@H]1F ZINC000679263453 546268436 /nfs/dbraw/zinc/26/84/36/546268436.db2.gz ZMXONIFEAAYOTL-IUCAKERBSA-N -1 1 347.368 -0.137 20 0 EBADMM O=C(CNC(=O)c1ccc([O-])c(F)c1)NCCN1CCOCC1 ZINC000681045281 546297898 /nfs/dbraw/zinc/29/78/98/546297898.db2.gz PMFIAWMIXXBIEP-UHFFFAOYSA-N -1 1 325.340 -0.291 20 0 EBADMM NS(=O)(=O)CCN1CCN(C(=O)c2ccc([O-])c(F)c2)CC1 ZINC000681089818 546299238 /nfs/dbraw/zinc/29/92/38/546299238.db2.gz OCFZYUZUSGJZRN-UHFFFAOYSA-N -1 1 331.369 -0.422 20 0 EBADMM C[C@@H](C[N-]S(=O)(=O)N=S1(=O)CCCC1)N1CCN(C)CC1 ZINC000866857856 582565910 /nfs/dbraw/zinc/56/59/10/582565910.db2.gz SOIJOYVEUQMGCM-LBPRGKRZSA-N -1 1 338.499 -0.282 20 0 EBADMM COCc1nc(N2CCCN(S(=O)(=O)N(C)C)CC2)cc(=O)[n-]1 ZINC000893915467 582611844 /nfs/dbraw/zinc/61/18/44/582611844.db2.gz BXXIUESZRYZZBT-UHFFFAOYSA-N -1 1 345.425 -0.353 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCc1nncn1-c1ccccc1 ZINC000726870816 582623177 /nfs/dbraw/zinc/62/31/77/582623177.db2.gz BPEZVGVWYVFONM-UHFFFAOYSA-N -1 1 340.343 -0.176 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1ccc(N2CCOCC2)cn1 ZINC000726865452 582623246 /nfs/dbraw/zinc/62/32/46/582623246.db2.gz FJDLTNSSYNWONT-UHFFFAOYSA-N -1 1 345.359 -0.203 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(c2ccccn2)CC1 ZINC000726864717 582623314 /nfs/dbraw/zinc/62/33/14/582623314.db2.gz ICFJFVKXXYBBOH-UHFFFAOYSA-N -1 1 329.360 -0.330 20 0 EBADMM CC[C@@](C)(CC(=O)OC)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000748655946 582635721 /nfs/dbraw/zinc/63/57/21/582635721.db2.gz AAWCSBACWNLGAK-ZDUSSCGKSA-N -1 1 347.393 -0.906 20 0 EBADMM COc1ccccc1[C@H](O)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC000727850188 582660851 /nfs/dbraw/zinc/66/08/51/582660851.db2.gz SECMELGPEGOESG-GFCCVEGCSA-N -1 1 333.344 -0.215 20 0 EBADMM CCNC(=O)c1ccc(CNC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC000727850051 582661019 /nfs/dbraw/zinc/66/10/19/582661019.db2.gz QOSFZXPLNIKOEV-UHFFFAOYSA-N -1 1 344.371 -0.007 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@@H](C(=O)NCC2CC2)C1 ZINC000727849890 582661113 /nfs/dbraw/zinc/66/11/13/582661113.db2.gz NECMPHJMQKOZIM-CYBMUJFWSA-N -1 1 348.403 -0.309 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCCNC(=O)c1ccccc1 ZINC000727853546 582661749 /nfs/dbraw/zinc/66/17/49/582661749.db2.gz LIPKZJSSEQPHFE-UHFFFAOYSA-N -1 1 344.371 -0.137 20 0 EBADMM CC(C)(C)OC(=O)NCCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC000728976113 582681063 /nfs/dbraw/zinc/68/10/63/582681063.db2.gz RDQGRDUSMUJVAA-UHFFFAOYSA-N -1 1 340.380 -0.042 20 0 EBADMM COC[C@@]1(C(=O)[N-]S(=O)(=O)Cc2ccccc2)CNCCO1 ZINC000901007192 582787861 /nfs/dbraw/zinc/78/78/61/582787861.db2.gz KBQCXALJQDFDRF-CQSZACIVSA-N -1 1 328.390 -0.362 20 0 EBADMM O=C(C[C@@H]1COCCN1)[N-]S(=O)(=O)c1nc(Cl)cs1 ZINC000901035453 582789249 /nfs/dbraw/zinc/78/92/49/582789249.db2.gz WAOGPSOSPGPPTI-ZCFIWIBFSA-N -1 1 325.799 -0.020 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCc1nnnn1-c1ccccc1 ZINC000730591590 582829936 /nfs/dbraw/zinc/82/99/36/582829936.db2.gz NEDOQWHQNVALSL-UHFFFAOYSA-N -1 1 341.331 -0.781 20 0 EBADMM NC(=O)[C@H]1CC(C(=O)NCc2nn[n-]n2)=NN1c1ccc(F)cc1 ZINC000731872561 582841547 /nfs/dbraw/zinc/84/15/47/582841547.db2.gz BIHJYIPNJJSYKG-SNVBAGLBSA-N -1 1 332.299 -0.925 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)ccc1-n1cnnn1 ZINC000732089910 582844137 /nfs/dbraw/zinc/84/41/37/582844137.db2.gz OQDNDQVJUBLVME-LBPRGKRZSA-N -1 1 341.335 -0.302 20 0 EBADMM C[C@@H](C(=O)N(C)CC(=O)N1CCO[C@H](c2nn[n-]n2)C1)n1cccn1 ZINC000732089309 582844308 /nfs/dbraw/zinc/84/43/08/582844308.db2.gz GFFCIGLWSWAQIY-QWRGUYRKSA-N -1 1 348.367 -0.984 20 0 EBADMM C[C@H](NC(=O)c1ccccc1)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000732092411 582844633 /nfs/dbraw/zinc/84/46/33/582844633.db2.gz ZNBZUIMROADSON-CMPLNLGQSA-N -1 1 330.348 -0.082 20 0 EBADMM COc1cccc([C@@H](O)CNC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000732296316 582847377 /nfs/dbraw/zinc/84/73/77/582847377.db2.gz BFLCJPOVELVBMJ-ZDUSSCGKSA-N -1 1 333.344 -0.215 20 0 EBADMM NS(=O)(=O)c1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC000733122818 582855927 /nfs/dbraw/zinc/85/59/27/582855927.db2.gz BAQKNJRIDBDKKL-UHFFFAOYSA-N -1 1 338.345 -0.787 20 0 EBADMM C[C@@](NC(=O)CCn1cc[n-]c(=O)c1=O)(C(N)=O)c1ccccc1 ZINC000733142709 582855986 /nfs/dbraw/zinc/85/59/86/582855986.db2.gz QZYLHUYQDSKAJM-INIZCTEOSA-N -1 1 330.344 -0.556 20 0 EBADMM C[C@@H](C(=O)NC1CC1)N1CCN(c2nccnc2-c2nnn[n-]2)CC1 ZINC000735535552 582898408 /nfs/dbraw/zinc/89/84/08/582898408.db2.gz ADADEIVDVLUTHP-JTQLQIEISA-N -1 1 343.395 -0.554 20 0 EBADMM C[C@@H](C(=O)NC1CC1)N1CCN(c2nccnc2-c2nn[n-]n2)CC1 ZINC000735535552 582898410 /nfs/dbraw/zinc/89/84/10/582898410.db2.gz ADADEIVDVLUTHP-JTQLQIEISA-N -1 1 343.395 -0.554 20 0 EBADMM C[C@H](Cn1cncn1)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736099315 582918247 /nfs/dbraw/zinc/91/82/47/582918247.db2.gz UTDZISRPOMSBBE-MRVPVSSYSA-N -1 1 335.353 -0.780 20 0 EBADMM C[C@H](Cn1cncn1)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736099315 582918250 /nfs/dbraw/zinc/91/82/50/582918250.db2.gz UTDZISRPOMSBBE-MRVPVSSYSA-N -1 1 335.353 -0.780 20 0 EBADMM CC(=O)N1CCN(C(=O)CN(CCC(=O)[O-])C[C@@H]2CCCO2)CC1 ZINC000736134861 582919946 /nfs/dbraw/zinc/91/99/46/582919946.db2.gz RYLHKOAGQSYKPN-AWEZNQCLSA-N -1 1 341.408 -0.367 20 0 EBADMM C[C@H](OC(=O)c1ccc(-c2nnn[n-]2)nc1)C(=O)NC(=O)NC1CC1 ZINC000736156946 582921813 /nfs/dbraw/zinc/92/18/13/582921813.db2.gz GJLIPXXJWYTDKX-ZETCQYMHSA-N -1 1 345.319 -0.205 20 0 EBADMM C[C@H](OC(=O)c1ccc(-c2nn[n-]n2)nc1)C(=O)NC(=O)NC1CC1 ZINC000736156946 582921817 /nfs/dbraw/zinc/92/18/17/582921817.db2.gz GJLIPXXJWYTDKX-ZETCQYMHSA-N -1 1 345.319 -0.205 20 0 EBADMM CC[C@@H]1CN(c2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)C[C@H](C)O1 ZINC000736408352 582933062 /nfs/dbraw/zinc/93/30/62/582933062.db2.gz TVLOSAKWGVLCFP-DTWKUNHWSA-N -1 1 335.368 -0.732 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)CN2CCO[C@@H](C(=O)[O-])C2)C1 ZINC000736443020 582934227 /nfs/dbraw/zinc/93/42/27/582934227.db2.gz KIEOQUDCPZIJNA-ZIAGYGMSSA-N -1 1 343.424 -0.755 20 0 EBADMM CCCCNC(=O)NC(=O)Cn1nc(C)c(C)c(-c2nn[n-]n2)c1=O ZINC000736461472 582934611 /nfs/dbraw/zinc/93/46/11/582934611.db2.gz RDLKNKUIGNDPRK-UHFFFAOYSA-N -1 1 348.367 -0.334 20 0 EBADMM CCCNC(=O)CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736483857 582935591 /nfs/dbraw/zinc/93/55/91/582935591.db2.gz RHWMQUZSPWTHAC-UHFFFAOYSA-N -1 1 325.354 -0.934 20 0 EBADMM CCCNC(=O)CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736483857 582935593 /nfs/dbraw/zinc/93/55/93/582935593.db2.gz RHWMQUZSPWTHAC-UHFFFAOYSA-N -1 1 325.354 -0.934 20 0 EBADMM CN(C)C(=O)Cn1cnc([N-]C(=O)c2sccc2-c2nn[nH]n2)n1 ZINC000736751506 582953333 /nfs/dbraw/zinc/95/33/33/582953333.db2.gz LQYPWYFKCRRYOI-UHFFFAOYSA-N -1 1 347.364 -0.140 20 0 EBADMM CN(C)c1nc(N)nc(COC(=O)c2ccc(-c3nnn[n-]3)nc2)n1 ZINC000736780020 582956051 /nfs/dbraw/zinc/95/60/51/582956051.db2.gz RIKCPCIVVFGYIF-UHFFFAOYSA-N -1 1 342.323 -0.548 20 0 EBADMM CN(C)c1nc(N)nc(COC(=O)c2ccc(-c3nn[n-]n3)nc2)n1 ZINC000736780020 582956052 /nfs/dbraw/zinc/95/60/52/582956052.db2.gz RIKCPCIVVFGYIF-UHFFFAOYSA-N -1 1 342.323 -0.548 20 0 EBADMM CNC(=O)c1ccc(NCCCc2[nH]nc(N)c2-c2nn[n-]n2)nn1 ZINC000736877351 582968327 /nfs/dbraw/zinc/96/83/27/582968327.db2.gz JFUIQPHKUDAVBP-UHFFFAOYSA-N -1 1 343.355 -0.634 20 0 EBADMM CC[C@@H](C)NC(=O)CN1CCN(CCC(=O)NCC(=O)[O-])CC1 ZINC000736887616 582969497 /nfs/dbraw/zinc/96/94/97/582969497.db2.gz SVDAMRFDVILPNM-GFCCVEGCSA-N -1 1 328.413 -0.890 20 0 EBADMM CC[C@H](C)[C@H](NC(=O)CN1CCN(CC(C)(C)O)CC1)C(=O)[O-] ZINC000736888639 582970106 /nfs/dbraw/zinc/97/01/06/582970106.db2.gz VLYYAQLIQYDPDA-JSGCOSHPSA-N -1 1 329.441 -0.010 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)c2sccc2-c2nn[nH]n2)[n-]1 ZINC000737171407 582994874 /nfs/dbraw/zinc/99/48/74/582994874.db2.gz AFMPSMACZMWSLM-UHFFFAOYSA-N -1 1 340.350 -0.298 20 0 EBADMM CS(=O)(=O)c1nc(NC(=O)c2sccc2-c2nn[nH]n2)n[n-]1 ZINC000737171407 582994877 /nfs/dbraw/zinc/99/48/77/582994877.db2.gz AFMPSMACZMWSLM-UHFFFAOYSA-N -1 1 340.350 -0.298 20 0 EBADMM COC(=O)N(C)Cc1ccc(NC(=O)C(=O)NCc2nn[n-]n2)cc1 ZINC000737268911 583003186 /nfs/dbraw/zinc/00/31/86/583003186.db2.gz VRDVPGITGXIVCX-UHFFFAOYSA-N -1 1 347.335 -0.347 20 0 EBADMM CN(CC(=O)[O-])C(=O)CCN1CCN(CC(=O)N2CCCC2)CC1 ZINC000737401122 583007451 /nfs/dbraw/zinc/00/74/51/583007451.db2.gz ITTYBEBLCUNCTH-UHFFFAOYSA-N -1 1 340.424 -0.841 20 0 EBADMM CN1CCN(C[C@@H]2CN(S(=O)(=O)CCCC(=O)[O-])CCO2)CC1 ZINC000737526017 583012147 /nfs/dbraw/zinc/01/21/47/583012147.db2.gz KXYXYFYPRQTBBG-CYBMUJFWSA-N -1 1 349.453 -0.871 20 0 EBADMM Cc1oc(NC(=O)CN2CCN(C)C(=O)C2)c(-c2nn[n-]n2)c1C ZINC000737552413 583015305 /nfs/dbraw/zinc/01/53/05/583015305.db2.gz PIOBZLUMYYDKCC-UHFFFAOYSA-N -1 1 333.352 -0.211 20 0 EBADMM NS(=O)(=O)[C@H]1CCN(c2c3ccccc3nnc2-c2nnn[n-]2)C1 ZINC000737764254 583026692 /nfs/dbraw/zinc/02/66/92/583026692.db2.gz JDDKZDMQDJZGAK-QMMMGPOBSA-N -1 1 346.376 -0.323 20 0 EBADMM NS(=O)(=O)[C@H]1CCN(c2c3ccccc3nnc2-c2nn[n-]n2)C1 ZINC000737764254 583026693 /nfs/dbraw/zinc/02/66/93/583026693.db2.gz JDDKZDMQDJZGAK-QMMMGPOBSA-N -1 1 346.376 -0.323 20 0 EBADMM O=C(CN1CCN(c2cnc(-c3nnn[n-]3)cn2)CC1)N1CCCC1 ZINC000737884919 583032137 /nfs/dbraw/zinc/03/21/37/583032137.db2.gz XYHBNSRASICULH-UHFFFAOYSA-N -1 1 343.395 -0.599 20 0 EBADMM O=C(CN1CCN(c2cnc(-c3nn[n-]n3)cn2)CC1)N1CCCC1 ZINC000737884919 583032140 /nfs/dbraw/zinc/03/21/40/583032140.db2.gz XYHBNSRASICULH-UHFFFAOYSA-N -1 1 343.395 -0.599 20 0 EBADMM O=C(c1cccc(-c2nn[nH]n2)c1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000738269708 583046668 /nfs/dbraw/zinc/04/66/68/583046668.db2.gz QDAUBQCSFSZVAZ-SNVBAGLBSA-N -1 1 327.308 -0.407 20 0 EBADMM Cc1cccnc1NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000741143944 583069683 /nfs/dbraw/zinc/06/96/83/583069683.db2.gz BORHRDHNLCTKJV-UHFFFAOYSA-N -1 1 338.345 -0.001 20 0 EBADMM COC(=O)CN(C(=O)CCn1cc[n-]c(=O)c1=O)C1CCOCC1 ZINC000742955448 583083296 /nfs/dbraw/zinc/08/32/96/583083296.db2.gz GSHMQBOUACIFBA-UHFFFAOYSA-N -1 1 339.348 -0.893 20 0 EBADMM CC(=O)NCC(=O)NCC(=O)OCCc1cc(C(C)=O)ccc1[O-] ZINC000744824674 583093769 /nfs/dbraw/zinc/09/37/69/583093769.db2.gz ZLIKEEOKNBZKIG-UHFFFAOYSA-N -1 1 336.344 -0.067 20 0 EBADMM CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@H]1CCCCNC1=O ZINC000750997121 583140623 /nfs/dbraw/zinc/14/06/23/583140623.db2.gz CKFWOFVWUIYODG-VIFPVBQESA-N -1 1 344.393 -0.158 20 0 EBADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@@H]1CCCCNC1=O ZINC000750998037 583140636 /nfs/dbraw/zinc/14/06/36/583140636.db2.gz HTEAWDUULLRZCE-MRVPVSSYSA-N -1 1 330.366 -0.548 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C1(C(N)=O)CCCC1 ZINC000751106753 583142384 /nfs/dbraw/zinc/14/23/84/583142384.db2.gz KNAHOBWVROCXLN-UHFFFAOYSA-N -1 1 330.366 -0.337 20 0 EBADMM Cn1[n-]c(COC(=O)C2=NN(c3ccccc3)[C@@H](C(N)=O)C2)nc1=O ZINC000765408694 583281232 /nfs/dbraw/zinc/28/12/32/583281232.db2.gz IFKUTWPPCLHPNF-LLVKDONJSA-N -1 1 344.331 -0.728 20 0 EBADMM CNS(=O)(=O)c1cccc(C(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000765393427 583281254 /nfs/dbraw/zinc/28/12/54/583281254.db2.gz QTXUEIHDNNCFBM-UHFFFAOYSA-N -1 1 326.334 -0.627 20 0 EBADMM CN(C)S(=O)(=O)c1ccc(C(=O)OCc2nc(=O)n(C)[n-]2)o1 ZINC000765440086 583282447 /nfs/dbraw/zinc/28/24/47/583282447.db2.gz LPRSZQRZMXSIEL-UHFFFAOYSA-N -1 1 330.322 -0.691 20 0 EBADMM Cn1[n-]c(COC(=O)CNS(=O)(=O)c2cccc(F)c2)nc1=O ZINC000765434326 583282452 /nfs/dbraw/zinc/28/24/52/583282452.db2.gz VQRFBFJKNKBATG-UHFFFAOYSA-N -1 1 344.324 -0.731 20 0 EBADMM CNS(=O)(=O)c1cc(C(=O)OCc2nc(=O)n(C)[n-]2)ccc1F ZINC000765492727 583283430 /nfs/dbraw/zinc/28/34/30/583283430.db2.gz QLEKLOIQFQOIGO-UHFFFAOYSA-N -1 1 344.324 -0.487 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCCN(CC(F)F)CC1 ZINC000766836897 583297944 /nfs/dbraw/zinc/29/79/44/583297944.db2.gz WADZFTTZFIZDNP-UHFFFAOYSA-N -1 1 330.335 -0.274 20 0 EBADMM CN(C)C(=O)COc1ccc(NC(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000770145438 583333159 /nfs/dbraw/zinc/33/31/59/583333159.db2.gz NUWVLYLXDNKRHW-UHFFFAOYSA-N -1 1 335.320 -0.258 20 0 EBADMM COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)Nc2nnn[n-]2)C1=O ZINC000771497553 583348186 /nfs/dbraw/zinc/34/81/86/583348186.db2.gz OODXVLYKIFYFMZ-CQSZACIVSA-N -1 1 345.319 -0.386 20 0 EBADMM COc1ccccc1[C@@]1(C)NC(=O)N(CC(=O)Nc2nn[n-]n2)C1=O ZINC000771497553 583348190 /nfs/dbraw/zinc/34/81/90/583348190.db2.gz OODXVLYKIFYFMZ-CQSZACIVSA-N -1 1 345.319 -0.386 20 0 EBADMM O=C(NCCS(=O)(=O)N1CCOCC1)c1c([O-])cccc1F ZINC000771995678 583354079 /nfs/dbraw/zinc/35/40/79/583354079.db2.gz UKTZIKJWYQUHQY-UHFFFAOYSA-N -1 1 332.353 -0.077 20 0 EBADMM Cc1cc(C)nc(-n2nccc2NC(=O)NN2CC(=O)[N-]C2=O)n1 ZINC000772434031 583360030 /nfs/dbraw/zinc/36/00/30/583360030.db2.gz NUVPQHPUNICMSW-UHFFFAOYSA-N -1 1 330.308 -0.133 20 0 EBADMM CCOCCO[N-]C(=O)C(=O)Nc1ccc2[nH]nc(C(N)=O)c2c1 ZINC000773619175 583375297 /nfs/dbraw/zinc/37/52/97/583375297.db2.gz PICCBYGQLIZMAW-UHFFFAOYSA-N -1 1 335.320 -0.315 20 0 EBADMM O=C(CC[C@H]1NC(=O)NC1=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774899632 583391961 /nfs/dbraw/zinc/39/19/61/583391961.db2.gz AHTKSHRKUMKDEI-ZCFIWIBFSA-N -1 1 325.243 -0.414 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(c2ccc(F)cn2)CC1 ZINC000775211332 583395570 /nfs/dbraw/zinc/39/55/70/583395570.db2.gz DBIDPMNZYYBLLP-UHFFFAOYSA-N -1 1 347.350 -0.190 20 0 EBADMM CCCCN(C(=O)COC(=O)c1cn[n-]n1)[C@@H]1CCS(=O)(=O)C1 ZINC000805608186 583422182 /nfs/dbraw/zinc/42/21/82/583422182.db2.gz ABVGEGWTOSOQBQ-SNVBAGLBSA-N -1 1 344.393 -0.223 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)C[C@@H]2NC(=O)NC2=O)cc1 ZINC000779104745 583430816 /nfs/dbraw/zinc/43/08/16/583430816.db2.gz OEYLNPTYWVVMRQ-JTQLQIEISA-N -1 1 349.299 -0.907 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@H]2CCCOC2)o1 ZINC000786194260 583506571 /nfs/dbraw/zinc/50/65/71/583506571.db2.gz GUZCIFCCGVWNBB-SECBINFHSA-N -1 1 346.361 -0.373 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)OCc2cccnc2)o1 ZINC000786194383 583506625 /nfs/dbraw/zinc/50/66/25/583506625.db2.gz IPZLSVZIHLGPOT-UHFFFAOYSA-N -1 1 339.329 -0.205 20 0 EBADMM CC(C)CC(=O)COC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000786198392 583506923 /nfs/dbraw/zinc/50/69/23/583506923.db2.gz RKZPYLVVUHTBDH-UHFFFAOYSA-N -1 1 346.361 -0.185 20 0 EBADMM CCC(=O)[C@@H](C)OC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000786201293 583506997 /nfs/dbraw/zinc/50/69/97/583506997.db2.gz TYMHYDKGQSBOPR-SSDOTTSWSA-N -1 1 332.334 -0.432 20 0 EBADMM CCOC(=O)[C@@H](C)OC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000786199059 583507136 /nfs/dbraw/zinc/50/71/36/583507136.db2.gz BHNKEOOWUSCOJS-SSDOTTSWSA-N -1 1 348.333 -0.848 20 0 EBADMM Cn1nnc2cc(C(=O)N3CCSC[C@H]3c3nn[n-]n3)cnc21 ZINC000787902333 583524077 /nfs/dbraw/zinc/52/40/77/583524077.db2.gz MKUQGVMMGJUVFI-VIFPVBQESA-N -1 1 331.365 -0.193 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C(=O)c2ccc3cncn3c2)cc1C(N)=O ZINC000793113687 583564340 /nfs/dbraw/zinc/56/43/40/583564340.db2.gz VFLPUVDFNLYCFR-UHFFFAOYSA-N -1 1 347.356 -0.110 20 0 EBADMM CNC(=O)[C@@H](CO)[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000795157016 583588054 /nfs/dbraw/zinc/58/80/54/583588054.db2.gz JJMZSGUKAUAJEJ-ZCFIWIBFSA-N -1 1 328.177 -0.226 20 0 EBADMM COC(=O)c1ccc(C(=O)OCCN(C)S(=O)(=O)N(C)C)[n-]1 ZINC000796865357 583597215 /nfs/dbraw/zinc/59/72/15/583597215.db2.gz VIGPPCOSGMYIIR-UHFFFAOYSA-N -1 1 333.366 -0.304 20 0 EBADMM COC(=O)c1cn(CCNC(=O)c2ccc3n[n-]c(=S)n3c2)nn1 ZINC000803643818 583631302 /nfs/dbraw/zinc/63/13/02/583631302.db2.gz AHTGMVUTHZURRA-UHFFFAOYSA-N -1 1 347.360 -0.174 20 0 EBADMM CC[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H](C)[S@]1=O ZINC000804437865 583644569 /nfs/dbraw/zinc/64/45/69/583644569.db2.gz MHBHEPVKQCXPST-XJUBTRFSSA-N -1 1 327.406 -0.315 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)NCCCN3C(=O)CNC3=O)ccnc1-2 ZINC000806482019 583650329 /nfs/dbraw/zinc/65/03/29/583650329.db2.gz SPNRFSIPSTZONU-UHFFFAOYSA-N -1 1 331.336 -0.595 20 0 EBADMM CNS(=O)(=O)[C@H]1CCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000806490870 583650810 /nfs/dbraw/zinc/65/08/10/583650810.db2.gz PCPKPTBAYGRABY-VIFPVBQESA-N -1 1 338.393 -0.503 20 0 EBADMM C[C@H](Cn1cccn1)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806835171 583654904 /nfs/dbraw/zinc/65/49/04/583654904.db2.gz ANICSEJEIDCVJX-SNVBAGLBSA-N -1 1 327.348 -0.318 20 0 EBADMM C[C@@H]1[C@H](C)[S@@](=O)CCN1C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806906875 583655615 /nfs/dbraw/zinc/65/56/15/583655615.db2.gz JMXGTKMJGJDPCR-IGSRQBSMSA-N -1 1 349.416 -0.352 20 0 EBADMM COC(=O)C1(CNC(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1 ZINC000806985220 583656431 /nfs/dbraw/zinc/65/64/31/583656431.db2.gz IGAYAUAPSZVAJE-UHFFFAOYSA-N -1 1 331.332 -0.650 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CC4(C3)CCCOC4)ccnc1-2 ZINC000807541453 583661370 /nfs/dbraw/zinc/66/13/70/583661370.db2.gz LCCLBHGOZPOQMD-UHFFFAOYSA-N -1 1 329.360 -0.081 20 0 EBADMM Cn1cc(C(=O)N=c2nc[n-]n2-c2ccccc2)c(=O)n(C)c1=O ZINC000808499643 583669702 /nfs/dbraw/zinc/66/97/02/583669702.db2.gz HIPJSSZGMVMJBA-UHFFFAOYSA-N -1 1 326.316 -0.661 20 0 EBADMM Nc1nc(N)nc(CN2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000810068320 583682253 /nfs/dbraw/zinc/68/22/53/583682253.db2.gz MQWNRIDKVDQEDY-SSDOTTSWSA-N -1 1 333.318 -0.074 20 0 EBADMM COCC[C@H](COC)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000810467883 583684361 /nfs/dbraw/zinc/68/43/61/583684361.db2.gz GSUOYWDWELXFAI-SNVBAGLBSA-N -1 1 335.364 -0.552 20 0 EBADMM CCOC(=O)c1ccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)nc1 ZINC000815520403 583711080 /nfs/dbraw/zinc/71/10/80/583711080.db2.gz YTRIZQWJWDYJTE-NSHDSACASA-N -1 1 332.320 -0.015 20 0 EBADMM O=C([N-]OCCC1CC1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000817399009 583726738 /nfs/dbraw/zinc/72/67/38/583726738.db2.gz BHOHSKXCDPBTNI-RYUDHWBXSA-N -1 1 330.406 -0.130 20 0 EBADMM CN(CCC(=O)[O-])CC(=O)N1CCc2cc(S(N)(=O)=O)ccc21 ZINC000818462918 583743613 /nfs/dbraw/zinc/74/36/13/583743613.db2.gz ALYUJDWNTQLZOW-UHFFFAOYSA-N -1 1 341.389 -0.370 20 0 EBADMM Cc1ccc(S(N)(=O)=O)cc1NC(=O)CN(C)CCC(=O)[O-] ZINC000818922112 583753392 /nfs/dbraw/zinc/75/33/92/583753392.db2.gz IWJOQVLNFVEFMS-UHFFFAOYSA-N -1 1 329.378 -0.013 20 0 EBADMM COCCS(=O)(=O)CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000819627368 583759198 /nfs/dbraw/zinc/75/91/98/583759198.db2.gz ARYCYISNEGERBC-SECBINFHSA-N -1 1 333.366 -0.458 20 0 EBADMM CC(C)[C@@H]1OCC[C@@H]1CNc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000820045616 583765362 /nfs/dbraw/zinc/76/53/62/583765362.db2.gz OJMPONTVYGNVSL-KOLCDFICSA-N -1 1 349.395 -0.263 20 0 EBADMM CC(C)[C@@H](NCC(=O)Nc1ccc(S(N)(=O)=O)cc1)C(=O)[O-] ZINC000820041749 583765400 /nfs/dbraw/zinc/76/54/00/583765400.db2.gz QAQWDNIFIFBLQA-GFCCVEGCSA-N -1 1 329.378 -0.029 20 0 EBADMM CC(C)OCCOC[C@@H](O)CN(C)c1nccnc1-c1nnn[n-]1 ZINC000820146598 583767438 /nfs/dbraw/zinc/76/74/38/583767438.db2.gz OUEZULWOADFPDI-NSHDSACASA-N -1 1 337.384 -0.105 20 0 EBADMM CC(C)OCCOC[C@@H](O)CN(C)c1nccnc1-c1nn[n-]n1 ZINC000820146598 583767440 /nfs/dbraw/zinc/76/74/40/583767440.db2.gz OUEZULWOADFPDI-NSHDSACASA-N -1 1 337.384 -0.105 20 0 EBADMM C[C@@H](CCNC(=O)Nc1nn(C)cc1-c1nnn[n-]1)[S@](C)=O ZINC000820242157 583768951 /nfs/dbraw/zinc/76/89/51/583768951.db2.gz LGTHDHCDYJOCBA-QNLYAUKNSA-N -1 1 326.386 -0.121 20 0 EBADMM C[C@@H](CCNC(=O)Nc1nn(C)cc1-c1nn[n-]n1)[S@](C)=O ZINC000820242157 583768953 /nfs/dbraw/zinc/76/89/53/583768953.db2.gz LGTHDHCDYJOCBA-QNLYAUKNSA-N -1 1 326.386 -0.121 20 0 EBADMM C[C@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)C(=O)N1CCCC[C@@H]1C ZINC000820334217 583771457 /nfs/dbraw/zinc/77/14/57/583771457.db2.gz LIVDZRXWNUPFLN-UWVGGRQHSA-N -1 1 347.383 -0.636 20 0 EBADMM C[C@H](NS(C)(=O)=O)C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000820450212 583773272 /nfs/dbraw/zinc/77/32/72/583773272.db2.gz IAKGDPVONRIQQW-LURJTMIESA-N -1 1 326.338 -0.552 20 0 EBADMM CN(C)CCN(CC(=O)[O-])S(=O)(=O)c1cnn([C@H]2CCOC2)c1 ZINC000820539891 583775305 /nfs/dbraw/zinc/77/53/05/583775305.db2.gz ZVJUCUNDQFIGNB-NSHDSACASA-N -1 1 346.409 -0.519 20 0 EBADMM C[C@H]1CC(=O)NCCN1S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820643020 583776727 /nfs/dbraw/zinc/77/67/27/583776727.db2.gz OVTDRHVHDHMMBT-QMMMGPOBSA-N -1 1 337.365 -0.839 20 0 EBADMM C[C@H]1CC(=O)NCCN1S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820643020 583776729 /nfs/dbraw/zinc/77/67/29/583776729.db2.gz OVTDRHVHDHMMBT-QMMMGPOBSA-N -1 1 337.365 -0.839 20 0 EBADMM C[C@@H]1CN(c2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)C[C@@H](C)S1 ZINC000820769331 583779544 /nfs/dbraw/zinc/77/95/44/583779544.db2.gz SLFMGWWQJWOOFY-HTQZYQBOSA-N -1 1 337.409 -0.406 20 0 EBADMM CC[C@H](CCO)CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820902560 583782755 /nfs/dbraw/zinc/78/27/55/583782755.db2.gz MTLAHQMPVMBILN-SECBINFHSA-N -1 1 326.382 -0.051 20 0 EBADMM CC[C@H](CCO)CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820902560 583782757 /nfs/dbraw/zinc/78/27/57/583782757.db2.gz MTLAHQMPVMBILN-SECBINFHSA-N -1 1 326.382 -0.051 20 0 EBADMM CS(C)(=O)=NS(=O)(=O)Nc1ccc(-n2nn[n-]c2=O)cc1 ZINC000821198573 583786646 /nfs/dbraw/zinc/78/66/46/583786646.db2.gz ONMWUPLDJIBEGG-UHFFFAOYSA-N -1 1 332.367 -0.248 20 0 EBADMM CCN1C(=O)[C@@H]2CN(c3cccc(-c4nnn[n-]4)n3)CCN2C1=O ZINC000821241321 583786835 /nfs/dbraw/zinc/78/68/35/583786835.db2.gz MIICYHNZZQNHQK-JTQLQIEISA-N -1 1 328.336 -0.266 20 0 EBADMM CCN1C(=O)[C@@H]2CN(c3cccc(-c4nn[n-]n4)n3)CCN2C1=O ZINC000821241321 583786837 /nfs/dbraw/zinc/78/68/37/583786837.db2.gz MIICYHNZZQNHQK-JTQLQIEISA-N -1 1 328.336 -0.266 20 0 EBADMM CCNC(=O)N1CC[C@@H](NC(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000821285492 583787889 /nfs/dbraw/zinc/78/78/89/583787889.db2.gz KKJJZSDTPHLOGI-SNVBAGLBSA-N -1 1 330.352 -0.205 20 0 EBADMM CCNC(=O)N1CC[C@@H](NC(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000821285492 583787891 /nfs/dbraw/zinc/78/78/91/583787891.db2.gz KKJJZSDTPHLOGI-SNVBAGLBSA-N -1 1 330.352 -0.205 20 0 EBADMM CCNC(=O)CN1CCN(c2nccnc2-c2nnn[n-]2)C[C@H]1C ZINC000821285536 583788168 /nfs/dbraw/zinc/78/81/68/583788168.db2.gz KQDXATQOXRDALE-SNVBAGLBSA-N -1 1 331.384 -0.697 20 0 EBADMM CCNC(=O)CN1CCN(c2nccnc2-c2nn[n-]n2)C[C@H]1C ZINC000821285536 583788169 /nfs/dbraw/zinc/78/81/69/583788169.db2.gz KQDXATQOXRDALE-SNVBAGLBSA-N -1 1 331.384 -0.697 20 0 EBADMM CCOC(=O)[C@H](C)CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821313139 583788503 /nfs/dbraw/zinc/78/85/03/583788503.db2.gz AYDPNBDXABWGRH-MRVPVSSYSA-N -1 1 340.365 -0.261 20 0 EBADMM CCOC(=O)[C@H](C)CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821313139 583788505 /nfs/dbraw/zinc/78/85/05/583788505.db2.gz AYDPNBDXABWGRH-MRVPVSSYSA-N -1 1 340.365 -0.261 20 0 EBADMM COc1cccc([S@@](=O)CC(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000821352645 583789433 /nfs/dbraw/zinc/78/94/33/583789433.db2.gz LRGOOSMBVBJQQT-QFIPXVFZSA-N -1 1 325.346 -0.032 20 0 EBADMM COc1cccc(S([O-])=CC(=O)O[C@H](C)C(=O)NC(N)=O)c1 ZINC000821359378 583789637 /nfs/dbraw/zinc/78/96/37/583789637.db2.gz OKZRHJHXSKKHLI-PJLCYMMNSA-N -1 1 328.346 -0.071 20 0 EBADMM CCO[C@@H]1C[C@H](NC(=O)Cn2cnc(-c3nn[n-]n3)n2)C12CCC2 ZINC000821361162 583789769 /nfs/dbraw/zinc/78/97/69/583789769.db2.gz TWXCUIHTPBHKHK-VHSXEESVSA-N -1 1 332.368 -0.078 20 0 EBADMM CCONC(=O)N1CCN(c2nccnc2-c2nnn[n-]2)C[C@@H]1C ZINC000821389324 583790560 /nfs/dbraw/zinc/79/05/60/583790560.db2.gz JFAKPUNCNWNMPN-VIFPVBQESA-N -1 1 333.356 -0.172 20 0 EBADMM CCONC(=O)N1CCN(c2nccnc2-c2nn[n-]n2)C[C@@H]1C ZINC000821389324 583790561 /nfs/dbraw/zinc/79/05/61/583790561.db2.gz JFAKPUNCNWNMPN-VIFPVBQESA-N -1 1 333.356 -0.172 20 0 EBADMM CCc1c[nH]c(Sc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)n1 ZINC000821465777 583791492 /nfs/dbraw/zinc/79/14/92/583791492.db2.gz OWJWLXWWVKIUNV-UHFFFAOYSA-N -1 1 334.365 -0.299 20 0 EBADMM CCS(=O)(=O)NCCCNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821441810 583791507 /nfs/dbraw/zinc/79/15/07/583791507.db2.gz OUZOIFNXNXHVFX-UHFFFAOYSA-N -1 1 344.422 -0.013 20 0 EBADMM CCS(=O)(=O)NCCCNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821441810 583791508 /nfs/dbraw/zinc/79/15/08/583791508.db2.gz OUZOIFNXNXHVFX-UHFFFAOYSA-N -1 1 344.422 -0.013 20 0 EBADMM CCc1nn(Cc2nnnn2C2CC2)c(=O)c(-c2nn[n-]n2)c1CC ZINC000821517465 583792108 /nfs/dbraw/zinc/79/21/08/583792108.db2.gz JFKNOYOSDOPTTH-UHFFFAOYSA-N -1 1 342.367 -0.082 20 0 EBADMM CCn1cc(-c2nn[n-]n2)c(=O)n(Cc2nc(C)cc(=O)[nH]2)c1=O ZINC000821565513 583792988 /nfs/dbraw/zinc/79/29/88/583792988.db2.gz WDKGPYGTGVRWJH-UHFFFAOYSA-N -1 1 330.308 -0.938 20 0 EBADMM CCn1nc(C)c(CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)c1C ZINC000821568052 583793278 /nfs/dbraw/zinc/79/32/78/583793278.db2.gz FNANXRNLIZXMPW-UHFFFAOYSA-N -1 1 330.356 -0.392 20 0 EBADMM CNC(=O)C(C)(C)CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821630371 583797700 /nfs/dbraw/zinc/79/77/00/583797700.db2.gz VZLYQUWRDWJNBW-UHFFFAOYSA-N -1 1 339.381 -0.688 20 0 EBADMM CNC(=O)C(C)(C)CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821630371 583797702 /nfs/dbraw/zinc/79/77/02/583797702.db2.gz VZLYQUWRDWJNBW-UHFFFAOYSA-N -1 1 339.381 -0.688 20 0 EBADMM CNC(=O)Cc1noc(COC(=O)c2sccc2-c2nn[n-]n2)n1 ZINC000821634161 583798092 /nfs/dbraw/zinc/79/80/92/583798092.db2.gz UPVHBFPLXOEBOT-UHFFFAOYSA-N -1 1 349.332 -0.043 20 0 EBADMM COC(=O)/C(C)=C/Cn1cc(C(=O)OC)cc(-c2nn[n-]n2)c1=O ZINC000821638612 583798425 /nfs/dbraw/zinc/79/84/25/583798425.db2.gz CWWCJHGXDQTUAS-XBXARRHUSA-N -1 1 333.304 -0.066 20 0 EBADMM CNC(=O)c1cc(C)ccc1NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821635019 583798465 /nfs/dbraw/zinc/79/84/65/583798465.db2.gz HINNIFDPBXJICR-UHFFFAOYSA-N -1 1 341.335 -0.235 20 0 EBADMM COC(=O)[C@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)c1cccs1 ZINC000821640278 583798579 /nfs/dbraw/zinc/79/85/79/583798579.db2.gz FEBLHRVDQOKGOU-SECBINFHSA-N -1 1 348.348 -0.450 20 0 EBADMM COC(=O)CCC1CCN(C(=O)Cn2cnc(-c3nn[n-]n3)n2)CC1 ZINC000821660458 583799551 /nfs/dbraw/zinc/79/95/51/583799551.db2.gz SAWZVFQUUOWDQQ-UHFFFAOYSA-N -1 1 348.367 -0.350 20 0 EBADMM COC[C@@H](Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C)c1ccco1 ZINC000821684039 583801158 /nfs/dbraw/zinc/80/11/58/583801158.db2.gz QOOPPTWAESHGIS-MRVPVSSYSA-N -1 1 347.335 -0.343 20 0 EBADMM COCCC1(CNc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)CC1 ZINC000821687030 583801769 /nfs/dbraw/zinc/80/17/69/583801769.db2.gz KXOQPBDVFJLROH-UHFFFAOYSA-N -1 1 335.368 -0.507 20 0 EBADMM COc1ccc([C@H](O)CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)cc1 ZINC000821782616 583804154 /nfs/dbraw/zinc/80/41/54/583804154.db2.gz HZJAPIBYVFIXMF-LLVKDONJSA-N -1 1 344.335 -0.683 20 0 EBADMM Cc1nc2n(n1)CCN(C(=O)Nc1nn(C)cc1-c1nnn[n-]1)C2 ZINC000822463741 583811331 /nfs/dbraw/zinc/81/13/31/583811331.db2.gz VYQIPZURDMFONH-UHFFFAOYSA-N -1 1 329.328 -0.452 20 0 EBADMM Cc1nc2n(n1)CCN(C(=O)Nc1nn(C)cc1-c1nn[n-]n1)C2 ZINC000822463741 583811332 /nfs/dbraw/zinc/81/13/32/583811332.db2.gz VYQIPZURDMFONH-UHFFFAOYSA-N -1 1 329.328 -0.452 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N[C@@H](CO)CC(C)(C)C)n(C)c1=O ZINC000822601176 583813710 /nfs/dbraw/zinc/81/37/10/583813710.db2.gz UTQSZGVVPNWLPE-MRVPVSSYSA-N -1 1 337.384 -0.527 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N[C@H]2CCC[C@@H](C(N)=O)C2)n1 ZINC000822613208 583814097 /nfs/dbraw/zinc/81/40/97/583814097.db2.gz CCHAYYRHPDJZLI-SFYZADRCSA-N -1 1 333.356 -0.234 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N[C@H]2CCC[C@@H](C(N)=O)C2)n1 ZINC000822613208 583814098 /nfs/dbraw/zinc/81/40/98/583814098.db2.gz CCHAYYRHPDJZLI-SFYZADRCSA-N -1 1 333.356 -0.234 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCCN2CCOC(C)(C)C2)n1 ZINC000822613723 583814309 /nfs/dbraw/zinc/81/43/09/583814309.db2.gz FXGLJVMDWWBLQY-UHFFFAOYSA-N -1 1 349.399 -0.168 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCCOC[C@@H]2CCOC2)n1 ZINC000822619656 583814654 /nfs/dbraw/zinc/81/46/54/583814654.db2.gz DBSPFALEQCKUQG-SECBINFHSA-N -1 1 336.356 -0.225 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCCOC[C@@H]2CCOC2)n1 ZINC000822619656 583814657 /nfs/dbraw/zinc/81/46/57/583814657.db2.gz DBSPFALEQCKUQG-SECBINFHSA-N -1 1 336.356 -0.225 20 0 EBADMM O=C(CN1C(=O)[C@H]2CCCC[C@@H]2C1=O)Nc1n[nH]cc1-c1nnn[n-]1 ZINC000822993776 583822384 /nfs/dbraw/zinc/82/23/84/583822384.db2.gz XZNLKKVVRGLPIP-YUMQZZPRSA-N -1 1 344.335 -0.297 20 0 EBADMM O=C(CN1C(=O)[C@H]2CCCC[C@@H]2C1=O)Nc1n[nH]cc1-c1nn[n-]n1 ZINC000822993776 583822386 /nfs/dbraw/zinc/82/23/86/583822386.db2.gz XZNLKKVVRGLPIP-YUMQZZPRSA-N -1 1 344.335 -0.297 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CC[C@]2(O)CCCC[C@@H]2C1 ZINC000823122827 583824274 /nfs/dbraw/zinc/82/42/74/583824274.db2.gz JKCUFDJFZVFPTK-QMTHXVAHSA-N -1 1 332.368 -0.388 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCN(c2nccs2)CC1 ZINC000823126597 583825180 /nfs/dbraw/zinc/82/51/80/583825180.db2.gz JVVVQULZDHZDPZ-UHFFFAOYSA-N -1 1 346.380 -0.737 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCCOc1ccccc1F ZINC000823132039 583825453 /nfs/dbraw/zinc/82/54/53/583825453.db2.gz WNMQIQWMIODCIF-UHFFFAOYSA-N -1 1 332.299 -0.208 20 0 EBADMM CC(C)(O)CN1CCN(CN2CC3(CN(C(=O)[O-])C3)CC2=O)CC1 ZINC000824034502 583840421 /nfs/dbraw/zinc/84/04/21/583840421.db2.gz DHMCXVMZRDLTJO-UHFFFAOYSA-N -1 1 340.424 -0.455 20 0 EBADMM CC(C)(C)n1cc(-c2nnn[n-]2)c(NC(=O)C(=O)N2CC[C@H](O)C2)n1 ZINC000824142865 583841572 /nfs/dbraw/zinc/84/15/72/583841572.db2.gz YOKKVEPEOYUAMY-QMMMGPOBSA-N -1 1 348.367 -0.650 20 0 EBADMM CC(C)(C)n1cc(-c2nn[n-]n2)c(NC(=O)C(=O)N2CC[C@H](O)C2)n1 ZINC000824142865 583841574 /nfs/dbraw/zinc/84/15/74/583841574.db2.gz YOKKVEPEOYUAMY-QMMMGPOBSA-N -1 1 348.367 -0.650 20 0 EBADMM CC(C)[C@H]1C[C@@H](Nc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)CCO1 ZINC000824214283 583842378 /nfs/dbraw/zinc/84/23/78/583842378.db2.gz FECUCUFCHKLUQM-VHSXEESVSA-N -1 1 349.395 -0.120 20 0 EBADMM CC(C)OCCCCNc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000824314844 583843989 /nfs/dbraw/zinc/84/39/89/583843989.db2.gz IBHGJAQIJQRKAQ-UHFFFAOYSA-N -1 1 337.384 -0.119 20 0 EBADMM C[C@H]1C[C@@H](Nc2ccc(-c3nnn[n-]3)nn2)C(=O)N1c1cnn(C)c1 ZINC000824892832 583851842 /nfs/dbraw/zinc/85/18/42/583851842.db2.gz NFEPSCKARUYCEP-GZMMTYOYSA-N -1 1 340.351 -0.004 20 0 EBADMM C[C@H]1C[C@@H](Nc2ccc(-c3nn[n-]n3)nn2)C(=O)N1c1cnn(C)c1 ZINC000824892832 583851844 /nfs/dbraw/zinc/85/18/44/583851844.db2.gz NFEPSCKARUYCEP-GZMMTYOYSA-N -1 1 340.351 -0.004 20 0 EBADMM CCC(CC)(CNC(=O)Cn1cnc(-c2nn[n-]n2)n1)C(=O)OC ZINC000825090380 583854717 /nfs/dbraw/zinc/85/47/17/583854717.db2.gz XUXRJDFHQWXGLO-UHFFFAOYSA-N -1 1 336.356 -0.446 20 0 EBADMM C[C@H](NC(=O)[O-])C(=O)N(CCCN(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000825170868 583856083 /nfs/dbraw/zinc/85/60/83/583856083.db2.gz FEWUPYYZLWJLCT-QWRGUYRKSA-N -1 1 335.426 -0.390 20 0 EBADMM C[C@@H](NC(=O)[O-])C(=O)N(CCCN(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000825170861 583856098 /nfs/dbraw/zinc/85/60/98/583856098.db2.gz FEWUPYYZLWJLCT-GHMZBOCLSA-N -1 1 335.426 -0.390 20 0 EBADMM CCC[C@H](NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)C(=O)OC ZINC000825242163 583856718 /nfs/dbraw/zinc/85/67/18/583856718.db2.gz JUTWKAYNYBFBJQ-JTQLQIEISA-N -1 1 340.365 -0.118 20 0 EBADMM CCC[C@H](NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)C(=O)OC ZINC000825242163 583856719 /nfs/dbraw/zinc/85/67/19/583856719.db2.gz JUTWKAYNYBFBJQ-JTQLQIEISA-N -1 1 340.365 -0.118 20 0 EBADMM C[C@H](O)CN1CCN(C(=O)C[C@H]2CN(C(=O)[O-])CCO2)C[C@@H]1C ZINC000825527748 583862734 /nfs/dbraw/zinc/86/27/34/583862734.db2.gz GKHGFZUUMCPTMP-AVGNSLFASA-N -1 1 329.397 -0.331 20 0 EBADMM CN(C)C(=O)CCNS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000825838709 583867671 /nfs/dbraw/zinc/86/76/71/583867671.db2.gz BMDOJXYWXSJGIN-UHFFFAOYSA-N -1 1 342.356 -0.238 20 0 EBADMM CN(C)C(=O)CCNS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000825838709 583867672 /nfs/dbraw/zinc/86/76/72/583867672.db2.gz BMDOJXYWXSJGIN-UHFFFAOYSA-N -1 1 342.356 -0.238 20 0 EBADMM CNC(=O)C1(NC(=O)Cc2noc(C)c2-c2nnn[n-]2)CCCC1 ZINC000826051698 583875457 /nfs/dbraw/zinc/87/54/57/583875457.db2.gz MICZBYFMQDDHEZ-UHFFFAOYSA-N -1 1 333.352 -0.119 20 0 EBADMM CNC(=O)C1(NC(=O)Cc2noc(C)c2-c2nn[n-]n2)CCCC1 ZINC000826051698 583875460 /nfs/dbraw/zinc/87/54/60/583875460.db2.gz MICZBYFMQDDHEZ-UHFFFAOYSA-N -1 1 333.352 -0.119 20 0 EBADMM CNC(=O)[C@H](C)NS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000826053722 583875852 /nfs/dbraw/zinc/87/58/52/583875852.db2.gz YLFQKDHUZVTHEW-LURJTMIESA-N -1 1 328.329 -0.581 20 0 EBADMM CNC(=O)[C@H]1CCCN(C(=O)Cc2noc(C)c2-c2nnn[n-]2)C1 ZINC000826061081 583876543 /nfs/dbraw/zinc/87/65/43/583876543.db2.gz ZLEOIUNSKLHEKB-VIFPVBQESA-N -1 1 333.352 -0.310 20 0 EBADMM CNC(=O)[C@H]1CCCN(C(=O)Cc2noc(C)c2-c2nn[n-]n2)C1 ZINC000826061081 583876545 /nfs/dbraw/zinc/87/65/45/583876545.db2.gz ZLEOIUNSKLHEKB-VIFPVBQESA-N -1 1 333.352 -0.310 20 0 EBADMM COC(=O)[C@H]1CN(C(=O)Cc2noc(C)c2-c2nnn[n-]2)C[C@H]1C ZINC000826133787 583881752 /nfs/dbraw/zinc/88/17/52/583881752.db2.gz PQPXWVJMKUOPED-APPZFPTMSA-N -1 1 334.336 -0.027 20 0 EBADMM COC(=O)[C@H]1CN(C(=O)Cc2noc(C)c2-c2nn[n-]n2)C[C@H]1C ZINC000826133787 583881753 /nfs/dbraw/zinc/88/17/53/583881753.db2.gz PQPXWVJMKUOPED-APPZFPTMSA-N -1 1 334.336 -0.027 20 0 EBADMM CO[C@H]1CCC[C@@H]1CNc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000826146144 583883080 /nfs/dbraw/zinc/88/30/80/583883080.db2.gz QVMSPEWUYGRERT-BDAKNGLRSA-N -1 1 335.368 -0.509 20 0 EBADMM COC[C@@H](CCO)NS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000826149301 583883421 /nfs/dbraw/zinc/88/34/21/583883421.db2.gz FDQIAWDHXGSTAO-SECBINFHSA-N -1 1 345.356 -0.319 20 0 EBADMM COC[C@@](C)(O)CNS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000826148899 583883514 /nfs/dbraw/zinc/88/35/14/583883514.db2.gz TYZKRHXQHQFSBG-LBPRGKRZSA-N -1 1 345.356 -0.319 20 0 EBADMM COC[C@@](C)(O)CNS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000826148899 583883516 /nfs/dbraw/zinc/88/35/16/583883516.db2.gz TYZKRHXQHQFSBG-LBPRGKRZSA-N -1 1 345.356 -0.319 20 0 EBADMM COCC1(O)CCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)CC1 ZINC000826153064 583884927 /nfs/dbraw/zinc/88/49/27/583884927.db2.gz ASINRNKENREJSM-UHFFFAOYSA-N -1 1 336.356 -0.395 20 0 EBADMM COCC1(O)CCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)CC1 ZINC000826153064 583884928 /nfs/dbraw/zinc/88/49/28/583884928.db2.gz ASINRNKENREJSM-UHFFFAOYSA-N -1 1 336.356 -0.395 20 0 EBADMM COCC1(C(=O)N(C)CCCc2[nH]nc(N)c2-c2nnn[n-]2)CC1 ZINC000826152986 583884948 /nfs/dbraw/zinc/88/49/48/583884948.db2.gz WVOZAQZHXJCEEE-UHFFFAOYSA-N -1 1 334.384 -0.010 20 0 EBADMM COCC1(C(=O)N(C)CCCc2[nH]nc(N)c2-c2nn[n-]n2)CC1 ZINC000826152986 583884949 /nfs/dbraw/zinc/88/49/49/583884949.db2.gz WVOZAQZHXJCEEE-UHFFFAOYSA-N -1 1 334.384 -0.010 20 0 EBADMM Cc1cc(-c2nn[nH]n2)ccc1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000826246210 583889564 /nfs/dbraw/zinc/88/95/64/583889564.db2.gz WHJSJUZFTBHSGV-NSHDSACASA-N -1 1 341.335 -0.098 20 0 EBADMM Cc1ccsc1[C@H](CO)NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000826315333 583891205 /nfs/dbraw/zinc/89/12/05/583891205.db2.gz GQGGQVZXDMNAAS-QMMMGPOBSA-N -1 1 334.365 -0.322 20 0 EBADMM Cc1onc(CC(=O)N[C@H](C)CN2CCCC2=O)c1-c1nnn[n-]1 ZINC000826345647 583892555 /nfs/dbraw/zinc/89/25/55/583892555.db2.gz PZLCBMXGDHUURL-MRVPVSSYSA-N -1 1 333.352 -0.167 20 0 EBADMM Cc1onc(CC(=O)N[C@H](C)CN2CCCC2=O)c1-c1nn[n-]n1 ZINC000826345647 583892558 /nfs/dbraw/zinc/89/25/58/583892558.db2.gz PZLCBMXGDHUURL-MRVPVSSYSA-N -1 1 333.352 -0.167 20 0 EBADMM Cc1onc(CC(=O)Nc2cc(C(N)=O)n(C)c2)c1-c1nnn[n-]1 ZINC000826348540 583893443 /nfs/dbraw/zinc/89/34/43/583893443.db2.gz RJHRONQSHHBRGZ-UHFFFAOYSA-N -1 1 330.308 -0.218 20 0 EBADMM Cc1onc(CC(=O)Nc2cc(C(N)=O)n(C)c2)c1-c1nn[n-]n1 ZINC000826348540 583893445 /nfs/dbraw/zinc/89/34/45/583893445.db2.gz RJHRONQSHHBRGZ-UHFFFAOYSA-N -1 1 330.308 -0.218 20 0 EBADMM Cc1onc(CC(=O)NCCCN2CCCC2=O)c1-c1nnn[n-]1 ZINC000826347618 583893499 /nfs/dbraw/zinc/89/34/99/583893499.db2.gz XWGRQGDTZAELBR-UHFFFAOYSA-N -1 1 333.352 -0.166 20 0 EBADMM Cc1onc(CC(=O)NCCCN2CCCC2=O)c1-c1nn[n-]n1 ZINC000826347618 583893501 /nfs/dbraw/zinc/89/35/01/583893501.db2.gz XWGRQGDTZAELBR-UHFFFAOYSA-N -1 1 333.352 -0.166 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NC[C@@H]2CCCCS2)n(C)c1=O ZINC000826356020 583893707 /nfs/dbraw/zinc/89/37/07/583893707.db2.gz XGOWJXLAQIDPPS-QMMMGPOBSA-N -1 1 337.409 -0.038 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(=O)n(Cc2nc(-c3ccoc3)no2)c1=O ZINC000826357006 583893713 /nfs/dbraw/zinc/89/37/13/583893713.db2.gz NRFKUVQOJFFERH-UHFFFAOYSA-N -1 1 342.275 -0.582 20 0 EBADMM Cn1cc(N2CC[C@H](Nc3ccc(-c4nnn[n-]4)nn3)C2=O)cn1 ZINC000826362485 583894802 /nfs/dbraw/zinc/89/48/02/583894802.db2.gz VCHRNFPSYAUHFI-JTQLQIEISA-N -1 1 326.324 -0.392 20 0 EBADMM Cn1cc(N2CC[C@H](Nc3ccc(-c4nn[n-]n4)nn3)C2=O)cn1 ZINC000826362485 583894803 /nfs/dbraw/zinc/89/48/03/583894803.db2.gz VCHRNFPSYAUHFI-JTQLQIEISA-N -1 1 326.324 -0.392 20 0 EBADMM Cn1cccc1C(=O)N1CCN(c2ccc(-c3nnn[n-]3)nn2)CC1 ZINC000826363373 583894886 /nfs/dbraw/zinc/89/48/86/583894886.db2.gz JQCMNRUVYDIFKI-UHFFFAOYSA-N -1 1 339.363 -0.042 20 0 EBADMM Cn1cccc1C(=O)N1CCN(c2ccc(-c3nn[n-]n3)nn2)CC1 ZINC000826363373 583894888 /nfs/dbraw/zinc/89/48/88/583894888.db2.gz JQCMNRUVYDIFKI-UHFFFAOYSA-N -1 1 339.363 -0.042 20 0 EBADMM Nc1c(=O)[nH]c(=O)[nH]c1C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000826395471 583898696 /nfs/dbraw/zinc/89/86/96/583898696.db2.gz DIJDQRJHXUYZFH-UHFFFAOYSA-N -1 1 330.264 -0.392 20 0 EBADMM O=C(CN1CCN(Cc2ccc(-c3nnn[n-]3)o2)CC1)NC1CC1 ZINC000826411264 583899444 /nfs/dbraw/zinc/89/94/44/583899444.db2.gz RFRKDTMVBUPBPC-UHFFFAOYSA-N -1 1 331.380 -0.144 20 0 EBADMM O=C(CN1CCN(Cc2ccc(-c3nn[n-]n3)o2)CC1)NC1CC1 ZINC000826411264 583899445 /nfs/dbraw/zinc/89/94/45/583899445.db2.gz RFRKDTMVBUPBPC-UHFFFAOYSA-N -1 1 331.380 -0.144 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@H](CCO)C1CCCCC1 ZINC000826425308 583901207 /nfs/dbraw/zinc/90/12/07/583901207.db2.gz XTDCWFSVRJAXTJ-LLVKDONJSA-N -1 1 334.384 -0.094 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@@H]1[C@H]2CCO[C@@H]2C12CCCC2 ZINC000826425562 583901308 /nfs/dbraw/zinc/90/13/08/583901308.db2.gz JUHWAWKXWSILCB-JLLWLGSASA-N -1 1 344.379 -0.078 20 0 EBADMM O=C1NCCC[C@@H]1NS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000826482904 583904715 /nfs/dbraw/zinc/90/47/15/583904715.db2.gz UPBHAGYDACPLSV-QMMMGPOBSA-N -1 1 340.340 -0.437 20 0 EBADMM O=C1NCCC[C@@H]1NS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000826482904 583904716 /nfs/dbraw/zinc/90/47/16/583904716.db2.gz UPBHAGYDACPLSV-QMMMGPOBSA-N -1 1 340.340 -0.437 20 0 EBADMM CO[C@@](C)(C(=O)OC(C)(C)C)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000826833297 583911120 /nfs/dbraw/zinc/91/11/20/583911120.db2.gz AWRUXMYXTLWVHD-YMTOWFKASA-N -1 1 341.368 -0.154 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)CNC(=O)c2ccccn2)CC1 ZINC000827361747 583919849 /nfs/dbraw/zinc/91/98/49/583919849.db2.gz FCGXHJAXLJOFKE-GFCCVEGCSA-N -1 1 334.376 -0.181 20 0 EBADMM C[C@@H](CC(=O)[O-])[NH+]1CCN(C(=O)Cn2[n-]cc3c(=O)ncnc2-3)CC1 ZINC000827368510 583920119 /nfs/dbraw/zinc/92/01/19/583920119.db2.gz DRPSDIKERGEVCP-JTQLQIEISA-N -1 1 348.363 -0.921 20 0 EBADMM C[C@H](CNS(=O)(=O)c1cc(C(=O)[O-])n(C)c1)N1CCN(C)CC1 ZINC000827508296 583922381 /nfs/dbraw/zinc/92/23/81/583922381.db2.gz XUCQNOZGUJEJGJ-LLVKDONJSA-N -1 1 344.437 -0.363 20 0 EBADMM C[C@@H](CS(C)(=O)=O)C(=O)NCCCN1CCC(C(=O)[O-])CC1 ZINC000827575411 583924148 /nfs/dbraw/zinc/92/41/48/583924148.db2.gz NLRUAGDWICVVJO-NSHDSACASA-N -1 1 334.438 -0.030 20 0 EBADMM CC(C)N1C[C@@H](C)[C@H](NS(=O)(=O)c2cnn(CC(=O)[O-])c2)C1 ZINC000828424941 583938778 /nfs/dbraw/zinc/93/87/78/583938778.db2.gz VCQWOFDZBLMVCW-ZYHUDNBSSA-N -1 1 330.410 -0.025 20 0 EBADMM CC[C@@H](CO)N1CCN(CC(=O)N[C@@H](CC(C)C)C(=O)[O-])CC1 ZINC000828890864 583948169 /nfs/dbraw/zinc/94/81/69/583948169.db2.gz OPPSZLYDTHDSRD-KBPBESRZSA-N -1 1 329.441 -0.010 20 0 EBADMM CN1CCN(C[C@@H]2CN(C(=O)NC3CN(C(=O)[O-])C3)CCO2)CC1 ZINC000830951083 583972891 /nfs/dbraw/zinc/97/28/91/583972891.db2.gz UCNGLPHEJTZXCX-CYBMUJFWSA-N -1 1 341.412 -0.994 20 0 EBADMM O=C([O-])N1CCN(C(=O)c2ccn[nH]2)[C@H](CNC(=O)c2ccn[nH]2)C1 ZINC000831653571 583989133 /nfs/dbraw/zinc/98/91/33/583989133.db2.gz SYIGIWGNBCIIBB-SECBINFHSA-N -1 1 347.335 -0.633 20 0 EBADMM O=C([O-])N1CCN(C(=O)c2cnc[nH]2)[C@H](CNC(=O)c2cnc[nH]2)C1 ZINC000831652941 583989178 /nfs/dbraw/zinc/98/91/78/583989178.db2.gz GMUCLPFYXPZLTD-SECBINFHSA-N -1 1 347.335 -0.633 20 0 EBADMM CC[C@H](CNS(C)(=O)=O)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000831976161 583994209 /nfs/dbraw/zinc/99/42/09/583994209.db2.gz LPLCAPWAKKUMEG-ZCFIWIBFSA-N -1 1 330.819 -0.331 20 0 EBADMM O=C([O-])N1CCC[C@@H](OCC(=O)N2CCO[C@@H](c3nn[nH]n3)C2)C1 ZINC000831998762 583994369 /nfs/dbraw/zinc/99/43/69/583994369.db2.gz WBBGDDJNRAKJKS-NXEZZACHSA-N -1 1 340.340 -0.741 20 0 EBADMM O=C([O-])N1CCO[C@H](C(=O)N2CCN(CC3CCOCC3)CC2)C1 ZINC000832049689 583995197 /nfs/dbraw/zinc/99/51/97/583995197.db2.gz HZADEGHNVZOSSF-AWEZNQCLSA-N -1 1 341.408 -0.064 20 0 EBADMM Cn1cc(C[N-]S(=O)(=O)c2cn(C)nc2Br)nn1 ZINC000867088706 584007832 /nfs/dbraw/zinc/00/78/32/584007832.db2.gz SYTCGJQCJBFEJD-UHFFFAOYSA-N -1 1 335.187 -0.210 20 0 EBADMM CC(C)CN1CCO[C@@H](CNC(=O)COC(=O)[C@H](C)NC(=O)[O-])C1 ZINC000833489296 584011024 /nfs/dbraw/zinc/01/10/24/584011024.db2.gz ZIOKGCFXLIHVEY-RYUDHWBXSA-N -1 1 345.396 -0.341 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)CN[C@]2(C(=O)[O-])CCOC2)C1 ZINC000833488090 584011070 /nfs/dbraw/zinc/01/10/70/584011070.db2.gz PBCRVBFUNJWYIA-CZUORRHYSA-N -1 1 343.424 -0.707 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000833625636 584012538 /nfs/dbraw/zinc/01/25/38/584012538.db2.gz WYXOAFMZPFCMNG-RYUDHWBXSA-N -1 1 349.391 -0.230 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NCCN1CCOCC1 ZINC000833627120 584012884 /nfs/dbraw/zinc/01/28/84/584012884.db2.gz UGNJDUVULGBSQR-CYBMUJFWSA-N -1 1 328.413 -0.491 20 0 EBADMM O=C([O-])N1CCC2(C[C@@H]2C(=O)N2CCO[C@H](c3nn[nH]n3)C2)CC1 ZINC000834086231 584026101 /nfs/dbraw/zinc/02/61/01/584026101.db2.gz CEHOQVYHBTYXQN-ZJUUUORDSA-N -1 1 336.352 -0.120 20 0 EBADMM CN(C)S(=O)(=O)CC[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000867285101 584057241 /nfs/dbraw/zinc/05/72/41/584057241.db2.gz ZMOICLZDIMGAHY-UHFFFAOYSA-N -1 1 329.350 -0.471 20 0 EBADMM CC(C)(CNC(=O)CCn1cc[n-]c(=O)c1=O)[C@@]1(O)CCOC1 ZINC000844045540 584086904 /nfs/dbraw/zinc/08/69/04/584086904.db2.gz NVWZWMUQYNORHA-OAHLLOKOSA-N -1 1 325.365 -0.780 20 0 EBADMM COC[C@@H]([N-]S(=O)(=O)c1ncccc1Br)C(N)=O ZINC000849382042 584168580 /nfs/dbraw/zinc/16/85/80/584168580.db2.gz DBZHMEYPXQTBEN-SSDOTTSWSA-N -1 1 338.183 -0.377 20 0 EBADMM O=C([O-])[C@H]1[C@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1CCn1cncn1 ZINC000852734423 584214999 /nfs/dbraw/zinc/21/49/99/584214999.db2.gz AYEFEQCILSNLOE-RKDXNWHRSA-N -1 1 335.286 -0.126 20 0 EBADMM Cn1ncc(CN2CCC[C@H](NC(=O)C(F)(F)F)[C@@H]2C(=O)[O-])n1 ZINC000852736966 584215125 /nfs/dbraw/zinc/21/51/25/584215125.db2.gz PTNISHBOILSTHC-DTWKUNHWSA-N -1 1 335.286 -0.089 20 0 EBADMM COC[C@H](CS(=O)(=O)[N-]C(=O)CCCCc1cn[nH]n1)OC ZINC000853206121 584224592 /nfs/dbraw/zinc/22/45/92/584224592.db2.gz MQELWQCKCFEWRI-LLVKDONJSA-N -1 1 334.398 -0.375 20 0 EBADMM CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000855474777 584259678 /nfs/dbraw/zinc/25/96/78/584259678.db2.gz YQSAOALWFMOYLB-IUCAKERBSA-N -1 1 342.402 -0.440 20 0 EBADMM CC(C)(C)N1C[C@H](CNC(=O)CCn2cc[n-]c(=O)c2=O)CC1=O ZINC000856105227 584266648 /nfs/dbraw/zinc/26/66/48/584266648.db2.gz SLLSHTPTVYYAOU-NSHDSACASA-N -1 1 336.392 -0.310 20 0 EBADMM COc1cc(COCC(=O)N2CCO[C@@H](c3nn[n-]n3)C2)ccn1 ZINC000859279089 584298883 /nfs/dbraw/zinc/29/88/83/584298883.db2.gz YJDSUAKQXKRMIZ-LLVKDONJSA-N -1 1 334.336 -0.280 20 0 EBADMM COC(=O)[C@@H]1CC[C@H](C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])O1 ZINC000860544364 584318470 /nfs/dbraw/zinc/31/84/70/584318470.db2.gz PSVACBKUMHHUJA-MNOVXSKESA-N -1 1 344.345 -0.301 20 0 EBADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)c2cn3c(n2)COCC3)CCC1 ZINC000860847550 584323234 /nfs/dbraw/zinc/32/32/34/584323234.db2.gz NZLZBZGUEWVSFE-UHFFFAOYSA-N -1 1 342.421 -0.043 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCC(=O)N1CCCO1 ZINC000862083207 584346690 /nfs/dbraw/zinc/34/66/90/584346690.db2.gz BZYVWMRMLBIOJG-UHFFFAOYSA-N -1 1 333.348 -0.126 20 0 EBADMM O=C([N-]N1CCOC1=O)c1ccc(C(=O)NN2CCOC2=O)s1 ZINC000862703121 584356403 /nfs/dbraw/zinc/35/64/03/584356403.db2.gz KKDZOUSGSAHKBH-UHFFFAOYSA-N -1 1 340.317 -0.058 20 0 EBADMM O=C1OC[C@]2(C[N-]S(=O)(=O)c3ccc(F)nc3F)COCCN12 ZINC000867750523 584416172 /nfs/dbraw/zinc/41/61/72/584416172.db2.gz XDFINQLQOCPOBY-LBPRGKRZSA-N -1 1 349.315 -0.141 20 0 EBADMM COC(=O)[C@@H](C)CS(=O)(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000867902299 584420590 /nfs/dbraw/zinc/42/05/90/584420590.db2.gz FHIJBNYAWDJCMF-ZETCQYMHSA-N -1 1 346.327 -0.264 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@H]2CC(C)(C)CO2)c(=O)n(C)c1=O ZINC000872548647 584463082 /nfs/dbraw/zinc/46/30/82/584463082.db2.gz FYSOPHJGAKIEDG-SECBINFHSA-N -1 1 331.394 -0.823 20 0 EBADMM CCS(=O)(=O)CCNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875222403 584494303 /nfs/dbraw/zinc/49/43/03/584494303.db2.gz JHJLHPGLNNUZQT-UHFFFAOYSA-N -1 1 345.343 -0.259 20 0 EBADMM COCC(COC)NC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875643194 584503938 /nfs/dbraw/zinc/50/39/38/584503938.db2.gz SCHROBINORGUCM-UHFFFAOYSA-N -1 1 327.303 -0.032 20 0 EBADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-]CC1(O)Cc2ccccc2C1 ZINC000881923464 584579634 /nfs/dbraw/zinc/57/96/34/584579634.db2.gz KQJUWJYOBRFOBE-OAQYLSRUSA-N -1 1 347.462 -0.075 20 0 EBADMM COC1(C[N-]S(=O)(=O)N=[S@](C)(=O)N(C)C)CCOCC1 ZINC000882006868 584581708 /nfs/dbraw/zinc/58/17/08/584581708.db2.gz ZADFJLMYBYZVLB-IBGZPJMESA-N -1 1 329.444 -0.409 20 0 EBADMM CCO[C@@H]1COCC[C@@H]1[N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C ZINC000882066601 584582917 /nfs/dbraw/zinc/58/29/17/584582917.db2.gz NZPUDKQOQIHZJV-QHJCOXKOSA-N -1 1 329.444 -0.411 20 0 EBADMM O=C(COc1cccc(N2CCCC2=O)c1)NN1CC(=O)[N-]C1=O ZINC000029019395 584607756 /nfs/dbraw/zinc/60/77/56/584607756.db2.gz MADDTJFFZUVXQK-UHFFFAOYSA-N -1 1 332.316 -0.225 20 0 EBADMM COC[C@H]([N-]S(=O)(=O)N=[S@](C)(=O)N(C)C)[C@@H]1CCCOC1 ZINC000885752896 584651794 /nfs/dbraw/zinc/65/17/94/584651794.db2.gz HJFPOFDWDWGXFA-NLQQUQSOSA-N -1 1 343.471 -0.163 20 0 EBADMM CN1CCN(C[C@H]2CN(C(=O)CSCC(=O)[O-])CCO2)CC1 ZINC000739737275 597100264 /nfs/dbraw/zinc/10/02/64/597100264.db2.gz MHIOQQUEAIXLTM-LBPRGKRZSA-N -1 1 331.438 -0.721 20 0 EBADMM O=S(=O)(CCNc1nccnc1-c1nnn[n-]1)NCC1CCC1 ZINC000738328416 598592395 /nfs/dbraw/zinc/59/23/95/598592395.db2.gz ARUUNSTXDMKYEO-UHFFFAOYSA-N -1 1 338.397 -0.212 20 0 EBADMM O=S(=O)(CCNc1nccnc1-c1nn[n-]n1)NCC1CCC1 ZINC000738328416 598592396 /nfs/dbraw/zinc/59/23/96/598592396.db2.gz ARUUNSTXDMKYEO-UHFFFAOYSA-N -1 1 338.397 -0.212 20 0 EBADMM Cc1cc(OCC(=O)[O-])ccc1NC(=O)C(=O)NCc1nn[nH]n1 ZINC000738473297 600341243 /nfs/dbraw/zinc/34/12/43/600341243.db2.gz LPMABGNTJRQLJL-UHFFFAOYSA-N -1 1 334.292 -0.774 20 0 EBADMM C[C@H]1CN2CCC[C@@H]2CN1S(=O)(=O)c1cnn(CC(=O)[O-])c1 ZINC000316448678 600748260 /nfs/dbraw/zinc/74/82/60/600748260.db2.gz NVOGGFPOYJQYCV-WDEREUQCSA-N -1 1 328.394 -0.175 20 0 EBADMM O=C([O-])C1CCN(C(=O)CN2CC[C@H](N3CCOCC3)C2)CC1 ZINC000320844178 601109333 /nfs/dbraw/zinc/10/93/33/601109333.db2.gz GPBVUUVFPVHWAQ-AWEZNQCLSA-N -1 1 325.409 -0.284 20 0 EBADMM CN(CCC(=O)[O-])S(=O)(=O)N1CCCN(C[C@H]2CCCO2)CC1 ZINC000322359855 601256569 /nfs/dbraw/zinc/25/65/69/601256569.db2.gz WJBCHNGRGNKPIL-CYBMUJFWSA-N -1 1 349.453 -0.176 20 0 EBADMM O=C([O-])NC[C@H]1CCN(CC(=O)N2CCN(C(=O)C3CC3)CC2)C1 ZINC000740643684 601937854 /nfs/dbraw/zinc/93/78/54/601937854.db2.gz UMAGSKMPEVHXKK-GFCCVEGCSA-N -1 1 338.408 -0.343 20 0 EBADMM CSCC[C@H](NC(=O)[O-])C(=O)N1CCN(C(=O)CN(C)C)CC1 ZINC000740013771 602269553 /nfs/dbraw/zinc/26/95/53/602269553.db2.gz RSWOONGLDQHKGI-NSHDSACASA-N -1 1 346.453 -0.392 20 0 EBADMM COCCN1CC[C@H](NC(=O)C2(OC)CCN(C(=O)[O-])CC2)C1 ZINC000739828410 602574797 /nfs/dbraw/zinc/57/47/97/602574797.db2.gz IBFPZMVBXVEHEB-LBPRGKRZSA-N -1 1 329.397 -0.018 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)NC[C@@H]2CN(C)CCN2C)C1)C(=O)[O-] ZINC000739735811 602637018 /nfs/dbraw/zinc/63/70/18/602637018.db2.gz VGZBSTFDNZNIAF-QWHCGFSZSA-N -1 1 327.429 -0.127 20 0 EBADMM O=C([O-])N1CC[C@H](C(=O)N[C@H]2CCN(CCN3CCOCC3)C2)C1 ZINC000740400580 603316333 /nfs/dbraw/zinc/31/63/33/603316333.db2.gz QIQIDXCNUXXRML-KBPBESRZSA-N -1 1 340.424 -0.491 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-]CCC(=O)N2CCN(C)CC2)c1Cl ZINC000042744256 649942505 /nfs/dbraw/zinc/94/25/05/649942505.db2.gz HQYPCYJXINQZLC-UHFFFAOYSA-N -1 1 349.844 -0.484 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H](C(=O)NC(N)=O)C(C)C)o1 ZINC000046315423 649946456 /nfs/dbraw/zinc/94/64/56/649946456.db2.gz SIJPZYIOBJWGPN-VIFPVBQESA-N -1 1 347.349 -0.436 20 0 EBADMM O=C(COC(=O)[C@@H]1CCS(=O)(=O)C1)[N-]C(=O)c1ccccc1 ZINC000052491391 649954934 /nfs/dbraw/zinc/95/49/34/649954934.db2.gz OQYIBTMOXJZXJJ-LLVKDONJSA-N -1 1 325.342 -0.079 20 0 EBADMM CCn1c(=O)[nH]c(=O)c2c(C(=O)[N-]NC(=O)NC)cc(C(C)C)nc21 ZINC000056377131 649959227 /nfs/dbraw/zinc/95/92/27/649959227.db2.gz RTGFVDCHCRPNMM-UHFFFAOYSA-N -1 1 348.363 -0.198 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2cc3c(cc2O)OCO3)n1 ZINC000436941701 650010584 /nfs/dbraw/zinc/01/05/84/650010584.db2.gz RLEMIOHUOUCWMB-UHFFFAOYSA-N -1 1 326.290 -0.105 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)c2cc3c(cc2O)OCO3)[n-]1 ZINC000436941701 650010586 /nfs/dbraw/zinc/01/05/86/650010586.db2.gz RLEMIOHUOUCWMB-UHFFFAOYSA-N -1 1 326.290 -0.105 20 0 EBADMM COCCN(CCC(=O)[O-])C(=O)C(=O)N(C)[C@H]1CCN(C2CC2)C1 ZINC000904935604 650049939 /nfs/dbraw/zinc/04/99/39/650049939.db2.gz BLZOMBKXUPZQCO-ZDUSSCGKSA-N -1 1 341.408 -0.369 20 0 EBADMM CN(C[C@H]1COc2ccccc2O1)C(=O)[C@]1(C(=O)[O-])CNCCO1 ZINC000912021677 650199270 /nfs/dbraw/zinc/19/92/70/650199270.db2.gz GHYNLHOKJDFYGI-ZBEGNZNMSA-N -1 1 336.344 -0.272 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1ccc(C(N)=O)cc1 ZINC000912528576 650206376 /nfs/dbraw/zinc/20/63/76/650206376.db2.gz XSSMGHXVBNMGNX-UHFFFAOYSA-N -1 1 338.345 -0.226 20 0 EBADMM CCC(=O)N1CC[C@@H]([N-]S(=O)(=O)c2n[nH]cc2C(=O)OC)C1 ZINC000912540671 650206760 /nfs/dbraw/zinc/20/67/60/650206760.db2.gz DZYIZPAOBLFWNF-MRVPVSSYSA-N -1 1 330.366 -0.515 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CC(=O)N(C(C)(C)C)C1 ZINC000912541989 650207540 /nfs/dbraw/zinc/20/75/40/650207540.db2.gz KKKGHSDMKQGAPW-MRVPVSSYSA-N -1 1 344.393 -0.126 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](CO)c1cccnc1 ZINC000912553972 650208491 /nfs/dbraw/zinc/20/84/91/650208491.db2.gz PVEJPBQSNJLCBI-SNVBAGLBSA-N -1 1 326.334 -0.397 20 0 EBADMM CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@](C)(CO)C(=O)OC ZINC000912556294 650208929 /nfs/dbraw/zinc/20/89/29/650208929.db2.gz KSJWPJMLKHBJRW-GFCCVEGCSA-N -1 1 349.365 -0.903 20 0 EBADMM O=C(NCC12COCCN1CCOC2)c1ccc2n[n-]c(=S)n2c1 ZINC000913301045 650230375 /nfs/dbraw/zinc/23/03/75/650230375.db2.gz IATPMKJYTFMOOJ-UHFFFAOYSA-N -1 1 349.416 -0.151 20 0 EBADMM CCOC(=O)[C@H](COC)[N-]S(=O)(=O)CCCN1CCOCC1 ZINC000921379834 650319134 /nfs/dbraw/zinc/31/91/34/650319134.db2.gz RGMARDQQNZQQGL-LBPRGKRZSA-N -1 1 338.426 -0.794 20 0 EBADMM CS(C)(=O)=NS(=O)(=O)[N-]C[C@H]1CCN1C1CCOCC1 ZINC000921909729 650326402 /nfs/dbraw/zinc/32/64/02/650326402.db2.gz ANMQTQNLRSIQGU-LLVKDONJSA-N -1 1 325.456 -0.198 20 0 EBADMM COC[C@@H](O)C[N@H+]1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC000923255468 650337064 /nfs/dbraw/zinc/33/70/64/650337064.db2.gz NAQUQVWPOXNNNU-DJLDLDEBSA-N -1 1 328.287 -0.410 20 0 EBADMM C[C@@H]1OCCO[C@@H]1C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000923803364 650356381 /nfs/dbraw/zinc/35/63/81/650356381.db2.gz RKDNWTPSOITQIV-CBAPKCEASA-N -1 1 327.255 -0.029 20 0 EBADMM COc1cccc(S([O-])=CC(=O)NC[C@@H]2C[C@@H](O)CN2C)c1 ZINC000924976599 650369917 /nfs/dbraw/zinc/36/99/17/650369917.db2.gz MXOUWIWFOTXTIF-DRONIGIMSA-N -1 1 326.418 -0.016 20 0 EBADMM CN(C(=O)[C@@H]1CCCNC1=O)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216496 651684668 /nfs/dbraw/zinc/68/46/68/651684668.db2.gz FKOFGLSPRKXYCH-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)[C@H]1CCN(C(=O)C(F)F)C1 ZINC000936318868 651764472 /nfs/dbraw/zinc/76/44/72/651764472.db2.gz YKCOQQUZROIPCR-LURJTMIESA-N -1 1 346.290 -0.166 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H](NC(=O)C2CCC2)C1 ZINC000937590939 651852182 /nfs/dbraw/zinc/85/21/82/651852182.db2.gz GOXWATHYTLHIHZ-LBPRGKRZSA-N -1 1 334.376 -0.556 20 0 EBADMM Nc1nccnc1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937764668 651913045 /nfs/dbraw/zinc/91/30/45/651913045.db2.gz VJTYQSSEVJFURT-VIFPVBQESA-N -1 1 328.332 -0.196 20 0 EBADMM Nc1nccnc1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937861166 651957850 /nfs/dbraw/zinc/95/78/50/651957850.db2.gz OWDYJDHHGROFON-VIFPVBQESA-N -1 1 328.332 -0.196 20 0 EBADMM Cc1nnc(CC(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)[nH]1 ZINC000937861220 651958022 /nfs/dbraw/zinc/95/80/22/651958022.db2.gz QPCZMYJHJDVVDD-JTQLQIEISA-N -1 1 330.348 -0.213 20 0 EBADMM CN1C[C@@H](C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000937863561 651958769 /nfs/dbraw/zinc/95/87/69/651958769.db2.gz JRHBAKIDPNEFGA-QWRGUYRKSA-N -1 1 332.360 -0.404 20 0 EBADMM O=C(C[C@H]1CCNC1=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937864819 651959459 /nfs/dbraw/zinc/95/94/59/651959459.db2.gz YSVKSCJBUFMVDY-MNOVXSKESA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(C[C@@H]1CCNC1=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937864820 651960163 /nfs/dbraw/zinc/96/01/63/651960163.db2.gz YSVKSCJBUFMVDY-QWRGUYRKSA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CS(=O)(=O)C1 ZINC000938008597 652040329 /nfs/dbraw/zinc/04/03/29/652040329.db2.gz SKTMNPJEEVPDLO-JTQLQIEISA-N -1 1 339.373 -0.838 20 0 EBADMM CCN1CCO[C@H](C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000938049466 652057504 /nfs/dbraw/zinc/05/75/04/652057504.db2.gz VRNHHKCCZKDKNH-JSGCOSHPSA-N -1 1 348.403 -0.161 20 0 EBADMM CN1C[C@H](C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)CCC1=O ZINC000938099392 652081187 /nfs/dbraw/zinc/08/11/87/652081187.db2.gz SHECUPUBMOAMNM-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(C[C@@H]1CCC(=O)N1)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000938256836 652164086 /nfs/dbraw/zinc/16/40/86/652164086.db2.gz VQKVUFMTARTKAI-QWRGUYRKSA-N -1 1 332.360 -0.213 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)C[C@@H]2CCNC2=O)C1 ZINC000938642271 652648274 /nfs/dbraw/zinc/64/82/74/652648274.db2.gz YQCZIACXJNADCR-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)C[C@@H]2CCNC2=O)C1 ZINC000938642272 652648652 /nfs/dbraw/zinc/64/86/52/652648652.db2.gz YQCZIACXJNADCR-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1ccnn1C ZINC000941331111 652670671 /nfs/dbraw/zinc/67/06/71/652670671.db2.gz AWVHLNYFBPUMBL-ZWNOBZJWSA-N -1 1 345.359 -0.210 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NC[C@H]1CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000944146587 652694016 /nfs/dbraw/zinc/69/40/16/652694016.db2.gz YVKHRQAJNCJIKD-AXFHLTTASA-N -1 1 344.375 -0.206 20 0 EBADMM CNC(=O)[C@H](C)N1CC(N2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC000941387388 652708794 /nfs/dbraw/zinc/70/87/94/652708794.db2.gz CJTWILOXZNETMZ-LBPRGKRZSA-N -1 1 347.419 -0.636 20 0 EBADMM CCn1cnc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)c1 ZINC000944193678 652709914 /nfs/dbraw/zinc/70/99/14/652709914.db2.gz ZJBBGJIBLQZHSE-VXGBXAGGSA-N -1 1 347.423 -0.035 20 0 EBADMM NC(=O)C1(C(=O)N2CCC[C@@H]2CNC(=O)c2ncccc2[O-])CC1 ZINC000944244787 652726302 /nfs/dbraw/zinc/72/63/02/652726302.db2.gz KNKSRGZLFFXAQO-SNVBAGLBSA-N -1 1 332.360 -0.227 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N(C)[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000938901440 652765825 /nfs/dbraw/zinc/76/58/25/652765825.db2.gz JLLIWTBUMOAGPZ-AXFHLTTASA-N -1 1 344.375 -0.254 20 0 EBADMM Cc1cc(C(=O)N(C)[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC000938943128 652781160 /nfs/dbraw/zinc/78/11/60/652781160.db2.gz SQQOMJYTJDADED-MRVPVSSYSA-N -1 1 347.335 -0.699 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1cn(C)cn1 ZINC000941531011 652787920 /nfs/dbraw/zinc/78/79/20/652787920.db2.gz AMSLNJZUOVGMMR-ZWNOBZJWSA-N -1 1 345.359 -0.210 20 0 EBADMM Cc1ncc(C(=O)N(C)[C@@H]2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)[nH]1 ZINC000938984699 652791783 /nfs/dbraw/zinc/79/17/83/652791783.db2.gz XGVXYFVWLIXVTP-SECBINFHSA-N -1 1 349.351 -0.906 20 0 EBADMM CO[C@@H]1CN(C(=O)c2cncn2C)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941604988 652827826 /nfs/dbraw/zinc/82/78/26/652827826.db2.gz NLJGMLBIFGCTOW-ZWNOBZJWSA-N -1 1 345.359 -0.210 20 0 EBADMM C[C@@]1(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)CNC(=O)C1 ZINC000941624352 652840256 /nfs/dbraw/zinc/84/02/56/652840256.db2.gz DTTMGYPZGQFIBT-HWPZZCPQSA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2ncc[nH]2)CCO1)c1ncccc1[O-] ZINC000944559235 652858326 /nfs/dbraw/zinc/85/83/26/652858326.db2.gz AAFALPGWZQVNCD-JTQLQIEISA-N -1 1 331.332 -0.219 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCN(C(=O)[C@H](C)OC)C1 ZINC000941663080 652864652 /nfs/dbraw/zinc/86/46/52/652864652.db2.gz JVSVOAFVPYZBCQ-JGVFFNPUSA-N -1 1 340.336 -0.738 20 0 EBADMM CC[C@@H](OC)C(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000941664133 652866876 /nfs/dbraw/zinc/86/68/76/652866876.db2.gz OJBIFSDPMXPORW-MWLCHTKSSA-N -1 1 348.363 -0.827 20 0 EBADMM C[C@@H]1CN(C(=O)c2cn(CC3CC3)nn2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939419265 652930213 /nfs/dbraw/zinc/93/02/13/652930213.db2.gz AOSPTJANWTWQTD-MWLCHTKSSA-N -1 1 346.395 -0.238 20 0 EBADMM Cc1ncncc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC000941857009 652932150 /nfs/dbraw/zinc/93/21/50/652932150.db2.gz FTSQSLCSLCSWMU-ZWNOBZJWSA-N -1 1 345.407 -0.153 20 0 EBADMM Cc1cnn(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)c1 ZINC000941866705 652935467 /nfs/dbraw/zinc/93/54/67/652935467.db2.gz RUZQZVCFZXEFJB-OLZOCXBDSA-N -1 1 347.423 -0.360 20 0 EBADMM Cc1cc(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)no1 ZINC000941899504 652945362 /nfs/dbraw/zinc/94/53/62/652945362.db2.gz JCFRIFSTTRQOAS-GWCFXTLKSA-N -1 1 348.407 -0.026 20 0 EBADMM C[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1NCc1ccon1 ZINC000939520297 652955867 /nfs/dbraw/zinc/95/58/67/652955867.db2.gz ADLAVJUSKOLPLF-BXKDBHETSA-N -1 1 343.347 -0.344 20 0 EBADMM Cn1c(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)c[nH]c1=O ZINC000942001206 653017246 /nfs/dbraw/zinc/01/72/46/653017246.db2.gz IHXOOFZODZTGNT-SECBINFHSA-N -1 1 331.332 -0.129 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)Cc1ccnn1C ZINC000942003424 653017771 /nfs/dbraw/zinc/01/77/71/653017771.db2.gz CBLBOTDRVTXVJU-YPMHNXCESA-N -1 1 347.423 -0.589 20 0 EBADMM Cc1c[nH]nc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC000942029488 653024182 /nfs/dbraw/zinc/02/41/82/653024182.db2.gz MIAPGQPGTVSTQU-MNOVXSKESA-N -1 1 333.396 -0.220 20 0 EBADMM Cc1cn(C)nc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1C ZINC000942047665 653026914 /nfs/dbraw/zinc/02/69/14/653026914.db2.gz ZPJYBPRJGIJVOS-JQWIXIFHSA-N -1 1 347.423 -0.209 20 0 EBADMM Cc1ncn(C)c1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1C ZINC000942153720 653046360 /nfs/dbraw/zinc/04/63/60/653046360.db2.gz RLBGSWAUYXQWNW-CMPLNLGQSA-N -1 1 347.423 -0.209 20 0 EBADMM Cc1nc(CN2CC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)[C@H](C)C2)n[nH]1 ZINC000942303095 653078216 /nfs/dbraw/zinc/07/82/16/653078216.db2.gz KWVJLCQJZSNJEJ-VXNVDRBHSA-N -1 1 348.367 -0.650 20 0 EBADMM O=C(CCn1ccnn1)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940132426 653085039 /nfs/dbraw/zinc/08/50/39/653085039.db2.gz YCOLRPWCQAGTKT-LLVKDONJSA-N -1 1 330.348 -0.200 20 0 EBADMM C[C@]1(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)CCNC1=O ZINC000942423543 653096444 /nfs/dbraw/zinc/09/64/44/653096444.db2.gz TUHFKKLQWFCNNQ-HWPZZCPQSA-N -1 1 332.360 -0.356 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC000942565823 653150040 /nfs/dbraw/zinc/15/00/40/653150040.db2.gz RORUOEIBISVGMQ-DIACKHNESA-N -1 1 335.408 -0.673 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1)c1csnn1 ZINC000944680963 653150432 /nfs/dbraw/zinc/15/04/32/653150432.db2.gz HSLAKGJCTMPYNE-SECBINFHSA-N -1 1 349.372 -0.090 20 0 EBADMM CCN(C(=O)C1CC1)[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000942614303 653162721 /nfs/dbraw/zinc/16/27/21/653162721.db2.gz JDCKUSUABJRQKC-ZDUSSCGKSA-N -1 1 348.403 -0.214 20 0 EBADMM CCN(C(=O)c1ccn[nH]1)[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000942685868 653177241 /nfs/dbraw/zinc/17/72/41/653177241.db2.gz YPZINTCGVKFKDW-MRVPVSSYSA-N -1 1 347.335 -0.617 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@H]2CNC(=O)N2)C1 ZINC000942759685 653197720 /nfs/dbraw/zinc/19/77/20/653197720.db2.gz ZUNLVQWSNUYCBE-WDEREUQCSA-N -1 1 347.375 -0.468 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1)[C@H]1[C@@H]2COC[C@@H]21 ZINC000944727188 653201665 /nfs/dbraw/zinc/20/16/65/653201665.db2.gz YFZGOMFANRUZJK-CIQGVGRVSA-N -1 1 347.371 -0.363 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)Cn3cccn3)C(C)(C)C2)nc1=O ZINC000940574453 653222786 /nfs/dbraw/zinc/22/27/86/653222786.db2.gz TXYMZPSDJHHZTF-GFCCVEGCSA-N -1 1 347.423 -0.278 20 0 EBADMM O=C(c1ncccc1[O-])N1CCCN(C(=O)[C@H]2CCNC(=O)C2)CC1 ZINC000940734643 653271478 /nfs/dbraw/zinc/27/14/78/653271478.db2.gz QPDRWCFFHPJKPU-LBPRGKRZSA-N -1 1 346.387 -0.012 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1ccnnc1 ZINC000942980273 653277793 /nfs/dbraw/zinc/27/77/93/653277793.db2.gz VDNNFHXLWLZLLP-ZYHUDNBSSA-N -1 1 331.380 -0.461 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2ccc(=O)[nH]n2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947020923 653292281 /nfs/dbraw/zinc/29/22/81/653292281.db2.gz HYPFBSPJQQQXAD-ZJUUUORDSA-N -1 1 347.379 -0.613 20 0 EBADMM CC(C)(C(N)=O)C(=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940832970 653298408 /nfs/dbraw/zinc/29/84/08/653298408.db2.gz SJZCWROQFCPPJS-UHFFFAOYSA-N -1 1 334.376 -0.027 20 0 EBADMM O=C(c1ncccc1[O-])N1CCCN(C(=O)[C@@H]2CCC(=O)N2)CC1 ZINC000940832692 653298767 /nfs/dbraw/zinc/29/87/67/653298767.db2.gz MRBSSNAYHWNQME-NSHDSACASA-N -1 1 332.360 -0.260 20 0 EBADMM Cc1cnn(CC(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H](C)C2)c1 ZINC000947108635 653315412 /nfs/dbraw/zinc/31/54/12/653315412.db2.gz JOZDJJVAKWHXKU-CHWSQXEVSA-N -1 1 347.423 -0.217 20 0 EBADMM O=C(C[C@@H]1CCNC1=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000940887146 653317540 /nfs/dbraw/zinc/31/75/40/653317540.db2.gz MJWARZQOJAPCHR-WDEREUQCSA-N -1 1 332.360 -0.356 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2nccnc2N)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947144959 653326976 /nfs/dbraw/zinc/32/69/76/653326976.db2.gz YFEPMPNCFYEXBO-NXEZZACHSA-N -1 1 346.395 -0.737 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2cnnn2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947265111 653351832 /nfs/dbraw/zinc/35/18/32/653351832.db2.gz DDZAJBWPJMZDDQ-VHSXEESVSA-N -1 1 334.384 -0.980 20 0 EBADMM CCn1nncc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@H](C)C1 ZINC000947287046 653356418 /nfs/dbraw/zinc/35/64/18/653356418.db2.gz ONPAHNQRIBONNW-GHMZBOCLSA-N -1 1 348.411 -0.497 20 0 EBADMM Cc1cn(C)nc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H](C)C1 ZINC000947306881 653363249 /nfs/dbraw/zinc/36/32/49/653363249.db2.gz QVOBOGVEKDPFDW-RYUDHWBXSA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1NC(=O)Cn1ncnn1 ZINC000945276905 653386499 /nfs/dbraw/zinc/38/64/99/653386499.db2.gz FRKLVXLTPBZNKC-WDEREUQCSA-N -1 1 345.363 -0.559 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cnon3)C(C)(C)C2)nc1=O ZINC000941112720 653417680 /nfs/dbraw/zinc/41/76/80/653417680.db2.gz GZSCCCLCTSNWMS-SNVBAGLBSA-N -1 1 335.368 -0.478 20 0 EBADMM C[C@H]1CN(CCF)CC[C@H]1NC(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC000943690279 653450493 /nfs/dbraw/zinc/45/04/93/653450493.db2.gz RGKWUUPGHWQAMK-CMPLNLGQSA-N -1 1 336.371 -0.133 20 0 EBADMM CCn1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)nn1 ZINC000943778167 653474194 /nfs/dbraw/zinc/47/41/94/653474194.db2.gz RKTKKNAUBKLXKH-MNOVXSKESA-N -1 1 348.411 -0.640 20 0 EBADMM O=C(NC[C@H]1CCCN1C(=O)[C@H]1COCCO1)c1ncccc1[O-] ZINC000943879564 653485104 /nfs/dbraw/zinc/48/51/04/653485104.db2.gz XWBZVOOBKHYPEZ-DGCLKSJQSA-N -1 1 335.360 -0.077 20 0 EBADMM CC(=O)N1CCC[C@@H]([C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000945550080 653488219 /nfs/dbraw/zinc/48/82/19/653488219.db2.gz ORSSUADLNFYBRX-GXSJLCMTSA-N -1 1 332.364 -0.206 20 0 EBADMM CC(=O)N[C@@H](C)[C@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000945656822 653495044 /nfs/dbraw/zinc/49/50/44/653495044.db2.gz IVVLNPAKLXYKCC-AAEUAGOBSA-N -1 1 336.392 -0.310 20 0 EBADMM CC(=O)N1CCC[C@H](C)[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000945694737 653499390 /nfs/dbraw/zinc/49/93/90/653499390.db2.gz JACVJNBYAGJXJK-JOYOIKCWSA-N -1 1 332.364 -0.206 20 0 EBADMM CN1CCO[C@H](C(=O)NC[C@H]2CCCN2C(=O)c2ncccc2[O-])C1 ZINC000943977151 653501130 /nfs/dbraw/zinc/50/11/30/653501130.db2.gz VCRQPBDVJXYYKW-OCCSQVGLSA-N -1 1 348.403 -0.161 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H]2CN(C(C)=O)CC[C@H]21 ZINC000945820528 653509686 /nfs/dbraw/zinc/50/96/86/653509686.db2.gz KSIRTXUOAYJMAG-VHSXEESVSA-N -1 1 336.348 -0.021 20 0 EBADMM Cc1nccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H](C)C2)n1 ZINC000948057107 653517552 /nfs/dbraw/zinc/51/75/52/653517552.db2.gz RNKVKUALRYVPBK-JQWIXIFHSA-N -1 1 345.407 -0.010 20 0 EBADMM NC(=O)CC(=O)N1CC[C@H]2[C@H](CCN2C(=O)c2ncccc2[O-])C1 ZINC000945913246 653518395 /nfs/dbraw/zinc/51/83/95/653518395.db2.gz KYOWIFKPMRRXSN-MNOVXSKESA-N -1 1 332.360 -0.274 20 0 EBADMM CC(=O)N1CC[C@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)CC[C@H]21 ZINC000945986496 653528203 /nfs/dbraw/zinc/52/82/03/653528203.db2.gz BOLLGKUANUMRMM-QWHCGFSZSA-N -1 1 334.376 -0.604 20 0 EBADMM CCC(=O)N1CC[C@@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC[C@H]21 ZINC000945991294 653529165 /nfs/dbraw/zinc/52/91/65/653529165.db2.gz HANQBDPJUCAGIP-ZYHUDNBSSA-N -1 1 344.375 -0.109 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1ccncn1 ZINC000946035133 653536862 /nfs/dbraw/zinc/53/68/62/653536862.db2.gz KZELQXZPVUTDPK-DGCLKSJQSA-N -1 1 343.343 -0.153 20 0 EBADMM CCC(=O)N[C@H]1CCC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000946055860 653539976 /nfs/dbraw/zinc/53/99/76/653539976.db2.gz FZHKMLNFTVSCTN-UWVGGRQHSA-N -1 1 332.364 -0.158 20 0 EBADMM O=C(NC[C@H]1CCC[C@H]1NC(=O)C1CC1)c1cc(=O)n2[n-]cnc2n1 ZINC000946079556 653541333 /nfs/dbraw/zinc/54/13/33/653541333.db2.gz LMPYHWOLTGQGLJ-GHMZBOCLSA-N -1 1 344.375 -0.158 20 0 EBADMM Cn1nnc(C(=O)N[C@H]2CCC[C@@H]2CNC(=O)c2ncccc2[O-])n1 ZINC000946284260 653560042 /nfs/dbraw/zinc/56/00/42/653560042.db2.gz UJCOCDAHTWUVRN-ZJUUUORDSA-N -1 1 345.363 -0.361 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)C3(F)CCOCC3)CC2)nc1=O ZINC000948675819 653566565 /nfs/dbraw/zinc/56/65/65/653566565.db2.gz FZMHZRMZUDTHGO-UHFFFAOYSA-N -1 1 327.360 -0.729 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)[C@H]3CCCn4nccc43)CC2)nc1=O ZINC000948935398 653583320 /nfs/dbraw/zinc/58/33/20/653583320.db2.gz KXXQGKHKXOWZAS-LBPRGKRZSA-N -1 1 345.407 -0.473 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CCOC2(CCN(Cc3nc(=O)n(C)[n-]3)CC2)C1 ZINC000949015245 653588621 /nfs/dbraw/zinc/58/86/21/653588621.db2.gz MCQHCMLXVQBTBH-CHWSQXEVSA-N -1 1 349.435 -0.042 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCN(CCC[C@@H]2CCOC2)CC1 ZINC000949111474 653595410 /nfs/dbraw/zinc/59/54/10/653595410.db2.gz XPULIOZMFACXAX-LLVKDONJSA-N -1 1 337.380 -0.143 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N1CCOC2(CCN(CCF)CC2)C1 ZINC000949236466 653839364 /nfs/dbraw/zinc/83/93/64/653839364.db2.gz ZSFOSWSUCROIOO-UHFFFAOYSA-N -1 1 343.359 -0.648 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3onc4c3CCCC4)CC2)nc1=O ZINC000949290035 653855447 /nfs/dbraw/zinc/85/54/47/653855447.db2.gz VDHUXHCSYUHYNV-UHFFFAOYSA-N -1 1 346.391 -0.067 20 0 EBADMM O=C(NCC1CCN(C(=O)[C@@H]2CNC(=O)N2)CC1)c1ncccc1[O-] ZINC000949367195 653874546 /nfs/dbraw/zinc/87/45/46/653874546.db2.gz SPRAQCMLMBSOLD-NSHDSACASA-N -1 1 347.375 -0.563 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3occ4c3CCOC4)CC2)nc1=O ZINC000949484005 653905955 /nfs/dbraw/zinc/90/59/55/653905955.db2.gz ITWFKDBJZYMLHW-UHFFFAOYSA-N -1 1 347.375 -0.268 20 0 EBADMM O=C(CN1CCCC1=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949531795 653921544 /nfs/dbraw/zinc/92/15/44/653921544.db2.gz UIRPVCAYXILHLH-LLVKDONJSA-N -1 1 332.360 -0.260 20 0 EBADMM Cc1c(C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])nnn1C ZINC000949535352 653922272 /nfs/dbraw/zinc/92/22/72/653922272.db2.gz SQQODDFSKOGFJJ-SNVBAGLBSA-N -1 1 330.348 -0.131 20 0 EBADMM CN1CCOC[C@@H]1C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949534698 653922384 /nfs/dbraw/zinc/92/23/84/653922384.db2.gz CXFIEHPIDBZIIL-VXGBXAGGSA-N -1 1 334.376 -0.552 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)[C@H]1CCCC(=O)N1)c1ncccc1[O-] ZINC000949538650 653923429 /nfs/dbraw/zinc/92/34/29/653923429.db2.gz OHACXDHYFNEGMA-GHMZBOCLSA-N -1 1 332.360 -0.213 20 0 EBADMM C[C@@H](C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-])S(C)(=O)=O ZINC000949541599 653923917 /nfs/dbraw/zinc/92/39/17/653923917.db2.gz LQHPXDNYSBHZBD-UWVGGRQHSA-N -1 1 341.389 -0.449 20 0 EBADMM C[C@@H](C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-])N1CCOCC1 ZINC000949541674 653924718 /nfs/dbraw/zinc/92/47/18/653924718.db2.gz NGLZNYYOZUWIEY-QWHCGFSZSA-N -1 1 348.403 -0.161 20 0 EBADMM COc1ccnc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c1 ZINC000949600064 653931576 /nfs/dbraw/zinc/93/15/76/653931576.db2.gz ZYZGOZCSYZUUDB-UHFFFAOYSA-N -1 1 332.364 -0.530 20 0 EBADMM CCN(C(=O)c1cncc(F)c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000949874341 653990831 /nfs/dbraw/zinc/99/08/31/653990831.db2.gz HZKVBCAIFMOIME-UHFFFAOYSA-N -1 1 334.355 -0.011 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1ncccc1[O-])C1CS(=O)(=O)C1 ZINC000950155607 654053903 /nfs/dbraw/zinc/05/39/03/654053903.db2.gz LYRAINHDYOASHR-JTQLQIEISA-N -1 1 339.373 -0.838 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N[C@@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000951408228 654117957 /nfs/dbraw/zinc/11/79/57/654117957.db2.gz HKJSFKJRAQFRKZ-GMTAPVOTSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1ncccc1[O-])[C@H]1CCCNC1=O ZINC000950492700 654146252 /nfs/dbraw/zinc/14/62/52/654146252.db2.gz TVCJDEGALAUIOQ-MNOVXSKESA-N -1 1 332.360 -0.356 20 0 EBADMM CN1CC[C@@H](C(=O)N[C@H]2CCCN(C(=O)c3ncccc3[O-])C2)C1=O ZINC000950542605 654173606 /nfs/dbraw/zinc/17/36/06/654173606.db2.gz FOUGFVUXFGGQMO-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(Cc1nc[nH]n1)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950542663 654173730 /nfs/dbraw/zinc/17/37/30/654173730.db2.gz GSQWOXOQGDECKC-JTQLQIEISA-N -1 1 330.348 -0.131 20 0 EBADMM O=C(Cc1nnc[nH]1)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950542663 654173742 /nfs/dbraw/zinc/17/37/42/654173742.db2.gz GSQWOXOQGDECKC-JTQLQIEISA-N -1 1 330.348 -0.131 20 0 EBADMM O=C(N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1)[C@H]1CCNC1=O ZINC000950546646 654174823 /nfs/dbraw/zinc/17/48/23/654174823.db2.gz SAFWDBDVNRSMDZ-QWRGUYRKSA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCNC1=O ZINC000950546643 654174923 /nfs/dbraw/zinc/17/49/23/654174923.db2.gz SAFWDBDVNRSMDZ-GHMZBOCLSA-N -1 1 332.360 -0.356 20 0 EBADMM Cn1cc(C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])n(C)c1=O ZINC000950629630 654200863 /nfs/dbraw/zinc/20/08/63/654200863.db2.gz HKKBECZRAGGUJF-SNVBAGLBSA-N -1 1 345.359 -0.531 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)[C@@H]3C[C@H]3c3cccnc3)CC2)nc1=O ZINC000950640752 654206008 /nfs/dbraw/zinc/20/60/08/654206008.db2.gz ZRAWFFADCURUJC-UONOGXRCSA-N -1 1 342.403 -0.049 20 0 EBADMM CCN(C(=O)c1n[nH]c2c1CCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950718117 654235167 /nfs/dbraw/zinc/23/51/67/654235167.db2.gz QLESQRLZAYDQOL-UHFFFAOYSA-N -1 1 345.407 -0.333 20 0 EBADMM Cn1cnc(C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])cc1=O ZINC000950761938 654252454 /nfs/dbraw/zinc/25/24/54/654252454.db2.gz OPWLUVZTRZARRC-JTQLQIEISA-N -1 1 343.343 -0.475 20 0 EBADMM CCN(C(=O)c1n[nH]c(=O)[n-]c1=O)C1CN(CC[C@@H]2CCOC2)C1 ZINC000950766407 654254635 /nfs/dbraw/zinc/25/46/35/654254635.db2.gz FDQKSPRTSPWUJT-SNVBAGLBSA-N -1 1 337.380 -0.144 20 0 EBADMM CCN(C(=O)c1n[nH]c(=O)[n-]c1=O)C1CN(CC[C@H]2CCCO2)C1 ZINC000950766461 654255338 /nfs/dbraw/zinc/25/53/38/654255338.db2.gz HMFAAWCJNOUZDA-LLVKDONJSA-N -1 1 337.380 -0.002 20 0 EBADMM Cn1cc(C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])[nH]c1=O ZINC000951959028 654357029 /nfs/dbraw/zinc/35/70/29/654357029.db2.gz PQPRDQXIFVVMNE-SECBINFHSA-N -1 1 331.332 -0.129 20 0 EBADMM CC(F)(F)C(=O)N1CC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951222242 654422881 /nfs/dbraw/zinc/42/28/81/654422881.db2.gz IBNVMLWUYPJJBB-ZETCQYMHSA-N -1 1 340.290 -0.597 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCN1C(=O)C(C)(F)F ZINC000951222998 654424648 /nfs/dbraw/zinc/42/46/48/654424648.db2.gz WSTXYIIJHQOYTI-ZCFIWIBFSA-N -1 1 346.290 -0.118 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H]1CNC(=O)[C@H]1CC12CC2 ZINC000952248303 654429988 /nfs/dbraw/zinc/42/99/88/654429988.db2.gz KAJAGNUSPRSTFD-NWDGAFQWSA-N -1 1 346.387 -0.556 20 0 EBADMM C[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1NC(=O)CC1CC1 ZINC000952369682 654449900 /nfs/dbraw/zinc/44/99/00/654449900.db2.gz KWMSRXMPELJMER-DGCLKSJQSA-N -1 1 348.403 -0.310 20 0 EBADMM CC(C)n1cc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)nn1 ZINC000952449281 654460714 /nfs/dbraw/zinc/46/07/14/654460714.db2.gz CUICQXKRHAQRBJ-UHFFFAOYSA-N -1 1 334.384 -0.761 20 0 EBADMM CC(=O)N1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000952565301 654477959 /nfs/dbraw/zinc/47/79/59/654477959.db2.gz WJAHZHKCOHATOK-MNOVXSKESA-N -1 1 330.348 -0.357 20 0 EBADMM CC(=O)N1CCC[C@]2(CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)C1 ZINC000952924997 654510358 /nfs/dbraw/zinc/51/03/58/654510358.db2.gz GUQVSRQUOQWKAZ-KRWDZBQOSA-N -1 1 348.403 -0.212 20 0 EBADMM CC(=O)N1CC[C@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)[C@@H]2C1 ZINC000953215220 654544875 /nfs/dbraw/zinc/54/48/75/654544875.db2.gz AJTDXDKETPICDO-QWHCGFSZSA-N -1 1 334.376 -0.604 20 0 EBADMM NC(=O)CC(=O)N1CC[C@H]2CCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC000953305039 654553669 /nfs/dbraw/zinc/55/36/69/654553669.db2.gz SVMJFBQPJQFPHD-QWRGUYRKSA-N -1 1 332.360 -0.274 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H]2CCN(C(C)=O)[C@H]2C1 ZINC000953372187 654567974 /nfs/dbraw/zinc/56/79/74/654567974.db2.gz HXRSZRTYFYMWSV-ZJUUUORDSA-N -1 1 336.348 -0.021 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cc1cc[nH]n1 ZINC000965762023 724484610 /nfs/dbraw/zinc/48/46/10/724484610.db2.gz KISVJGMVFZZFDK-ZYHUDNBSSA-N -1 1 333.396 -0.599 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[nH]2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000965940117 724543885 /nfs/dbraw/zinc/54/38/85/724543885.db2.gz CDZLNOKNXFIYDQ-QWRGUYRKSA-N -1 1 346.391 -0.151 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[nH]2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000965940115 724544129 /nfs/dbraw/zinc/54/41/29/724544129.db2.gz CDZLNOKNXFIYDQ-GHMZBOCLSA-N -1 1 346.391 -0.151 20 0 EBADMM CCn1nccc1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1C ZINC000965946742 724544844 /nfs/dbraw/zinc/54/48/44/724544844.db2.gz READEKNGWMZBPP-RYUDHWBXSA-N -1 1 347.423 -0.035 20 0 EBADMM CCn1nccc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1C ZINC000965946741 724544898 /nfs/dbraw/zinc/54/48/98/724544898.db2.gz READEKNGWMZBPP-NWDGAFQWSA-N -1 1 347.423 -0.035 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CN(C(=O)C2CC2)C[C@@H]1C ZINC000966019823 724559893 /nfs/dbraw/zinc/55/98/93/724559893.db2.gz FXKJNQONYLLOBY-IONNQARKSA-N -1 1 336.348 -0.117 20 0 EBADMM CNC(=O)C[N-]S(=O)(=O)c1nc(C)n(C)c1Br ZINC000384052607 719413439 /nfs/dbraw/zinc/41/34/39/719413439.db2.gz OPNRKSVJTQCZLZ-UHFFFAOYSA-N -1 1 325.188 -0.485 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCCc1nncn1C ZINC000391740455 719415404 /nfs/dbraw/zinc/41/54/04/719415404.db2.gz BUMGYXRUQGGBKC-UHFFFAOYSA-N -1 1 342.381 -0.374 20 0 EBADMM COCC(=O)N1CCC(N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000954095196 719557011 /nfs/dbraw/zinc/55/70/11/719557011.db2.gz FTVDPQZLVMKBOL-UHFFFAOYSA-N -1 1 348.363 -0.873 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)CN2CCCC2=O)C1 ZINC000954124279 719569601 /nfs/dbraw/zinc/56/96/01/719569601.db2.gz TVZWEVPIWZFYTR-UHFFFAOYSA-N -1 1 332.360 -0.308 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC000954125452 719570192 /nfs/dbraw/zinc/57/01/92/719570192.db2.gz OVIQXJIXBZNPGX-UHFFFAOYSA-N -1 1 343.343 -0.189 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@]2(C)CCNC2=O)C1 ZINC000954127999 719571628 /nfs/dbraw/zinc/57/16/28/719571628.db2.gz OBCCVVUFDPWTJK-INIZCTEOSA-N -1 1 332.360 -0.404 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CN(C(=O)Cc3ccn[nH]3)C[C@@H]2C)c1[O-] ZINC000966263637 724601417 /nfs/dbraw/zinc/60/14/17/724601417.db2.gz SSXUIYOOSNOBKR-KWQFWETISA-N -1 1 332.364 -0.034 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)c1nnn(C)n1 ZINC000966324681 724612897 /nfs/dbraw/zinc/61/28/97/724612897.db2.gz BEBLWXQYTSOZDH-BDAKNGLRSA-N -1 1 331.336 -0.799 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)c1c[nH]c(=O)cn1 ZINC000966341625 724618387 /nfs/dbraw/zinc/61/83/87/724618387.db2.gz AJAMEMOVDGCHFW-ONGXEEELSA-N -1 1 343.343 -0.239 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C)C1 ZINC000966343865 724618613 /nfs/dbraw/zinc/61/86/13/724618613.db2.gz DBNDETYWIDFWKY-GXFFZTMASA-N -1 1 346.387 -0.158 20 0 EBADMM C[C@H]1CN(C(=O)c2cn(C)nn2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966373879 724628188 /nfs/dbraw/zinc/62/81/88/724628188.db2.gz MPWHHOKEDQPBOV-UWVGGRQHSA-N -1 1 330.348 -0.194 20 0 EBADMM C[C@H](C(=O)N1CC(N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC000954833321 719926921 /nfs/dbraw/zinc/92/69/21/719926921.db2.gz WZIMIYURCMVPFF-VIFPVBQESA-N -1 1 344.375 -0.254 20 0 EBADMM C[C@H]1CN(C(=O)Cn2nccn2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966464172 724656216 /nfs/dbraw/zinc/65/62/16/724656216.db2.gz QXRCPACMOHBBQT-WDEREUQCSA-N -1 1 330.348 -0.344 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)Cn1cnnn1 ZINC000955535603 720186731 /nfs/dbraw/zinc/18/67/31/720186731.db2.gz JQWAPMHOXSLKPJ-WDEREUQCSA-N -1 1 345.363 -0.417 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)Cn2c(=O)[n-][nH]c2=O)CCN1C(=O)c1ccn[nH]1 ZINC000955571585 720193364 /nfs/dbraw/zinc/19/33/64/720193364.db2.gz NMGZKKGTPKWXNA-RKDXNWHRSA-N -1 1 349.351 -0.778 20 0 EBADMM C[C@H]1C[C@H](NC(=O)Cn2cnnn2)CCN1C(=O)c1ncccc1[O-] ZINC000955649589 720209689 /nfs/dbraw/zinc/20/96/89/720209689.db2.gz IWVBOORDPBBZPA-WDEREUQCSA-N -1 1 345.363 -0.417 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)Cn1ncnn1 ZINC000955695308 720227733 /nfs/dbraw/zinc/22/77/33/720227733.db2.gz NBIBUFWCGSPXED-WDEREUQCSA-N -1 1 345.363 -0.417 20 0 EBADMM Cc1cc(C(=O)N2CCC[C@H]2CNC(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC000955911657 720292126 /nfs/dbraw/zinc/29/21/26/720292126.db2.gz IUVZPSIZDXBTQQ-QMMMGPOBSA-N -1 1 347.335 -0.651 20 0 EBADMM O=C(NC[C@@H]1CCCN1C(=O)c1ncccc1[O-])[C@@H]1CNC(=O)N1 ZINC000955978613 720314980 /nfs/dbraw/zinc/31/49/80/720314980.db2.gz LSVHYASXSTZGDK-UWVGGRQHSA-N -1 1 333.348 -0.811 20 0 EBADMM Cn1cnc(C(=O)N2CCC[C@H]2CNC(=O)c2ncccc2[O-])n1 ZINC000955996810 720322551 /nfs/dbraw/zinc/32/25/51/720322551.db2.gz SBHFXHMCIDNBLN-JTQLQIEISA-N -1 1 330.348 -0.050 20 0 EBADMM Cn1ncc(C(=O)N2CCC[C@H]2CNC(=O)c2ncccc2[O-])n1 ZINC000956103100 720362720 /nfs/dbraw/zinc/36/27/20/720362720.db2.gz XUBFLPAAAHJEAT-JTQLQIEISA-N -1 1 330.348 -0.050 20 0 EBADMM CC1(NC(=O)c2cnc(C3CC3)[n-]c2=O)CCN(C(=O)C(N)=O)CC1 ZINC000956372696 720418991 /nfs/dbraw/zinc/41/89/91/720418991.db2.gz YPJPXBDZZCZCSV-UHFFFAOYSA-N -1 1 347.375 -0.344 20 0 EBADMM C[C@]1(NC(=O)C2CC2)CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000956613903 720467325 /nfs/dbraw/zinc/46/73/25/720467325.db2.gz KVIFQRWWOJUJBC-HNNXBMFYSA-N -1 1 330.348 -0.452 20 0 EBADMM C[C@@]1(NC(=O)[C@@H]2COCCO2)CCN(C(=O)c2ncccc2[O-])C1 ZINC000956717285 720477247 /nfs/dbraw/zinc/47/72/47/720477247.db2.gz IFHDYUOPVMDZAL-BLLLJJGKSA-N -1 1 335.360 -0.077 20 0 EBADMM C[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1NC(=O)C1CCC1 ZINC000966591117 724691598 /nfs/dbraw/zinc/69/15/98/724691598.db2.gz IXIGUZQVNCVVIP-WCQYABFASA-N -1 1 348.403 -0.310 20 0 EBADMM Cc1nn[nH]c1C(=O)N1C[C@H](C)[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000966657659 724708436 /nfs/dbraw/zinc/70/84/36/724708436.db2.gz OGLUQQLCDSOHEP-IMTBSYHQSA-N -1 1 333.352 -0.259 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CN(C(=O)C(N)=O)C[C@@H]1C ZINC000966766578 724747202 /nfs/dbraw/zinc/74/72/02/724747202.db2.gz VYPPMPOUOPVHQD-WCBMZHEXSA-N -1 1 349.391 -0.324 20 0 EBADMM CC(=O)N(C)C[C@@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000967067289 724793769 /nfs/dbraw/zinc/79/37/69/724793769.db2.gz HRCCKMTURKXEPT-ZDUSSCGKSA-N -1 1 336.392 -0.356 20 0 EBADMM CC(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C(C)(C)C1 ZINC000967078375 724796006 /nfs/dbraw/zinc/79/60/06/724796006.db2.gz KSXGAKQXDZKKJO-LLVKDONJSA-N -1 1 332.364 -0.206 20 0 EBADMM CN1CCOC[C@@H]1C(=O)N[C@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC000956838740 722115848 /nfs/dbraw/zinc/11/58/48/722115848.db2.gz KLJXOOJFAFGQMH-SJKOYZFVSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)CN2CN=NC2=O)C1 ZINC000956849839 722119526 /nfs/dbraw/zinc/11/95/26/722119526.db2.gz ZMUFAHIDJZASJP-OAHLLOKOSA-N -1 1 346.347 -0.495 20 0 EBADMM CN1CC[C@@H](C(=O)N2CC[C@](C)(NC(=O)c3ncccc3[O-])C2)C1=O ZINC000956851399 722119912 /nfs/dbraw/zinc/11/99/12/722119912.db2.gz ZYAZKFQQJMMEDQ-DIFFPNOSSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1cc(C(=O)N[C@]2(C)CCN(C(=O)c3ncccc3[O-])C2)nn1 ZINC000956897601 722131731 /nfs/dbraw/zinc/13/17/31/722131731.db2.gz LMTIDIDJQMAFNQ-OAHLLOKOSA-N -1 1 330.348 -0.050 20 0 EBADMM C[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1NC(=O)CC1CC1 ZINC000957053259 722147754 /nfs/dbraw/zinc/14/77/54/722147754.db2.gz BDKXFLRZMKSCBY-BXKDBHETSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@]1(NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN(C(=O)C2CC2)C1 ZINC000957220266 722176552 /nfs/dbraw/zinc/17/65/52/722176552.db2.gz WWUGWURXUDFPNV-HNNXBMFYSA-N -1 1 330.348 -0.452 20 0 EBADMM Cc1cc(C(=O)N2CC[C@@](C)(NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC000957235363 722178040 /nfs/dbraw/zinc/17/80/40/722178040.db2.gz BFVHFQXYMYJNQS-CQSZACIVSA-N -1 1 347.335 -0.651 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(Cc2cnon2)CC1 ZINC000957314365 722192775 /nfs/dbraw/zinc/19/27/75/722192775.db2.gz BLNWGQDULOUZGZ-UHFFFAOYSA-N -1 1 336.308 -0.763 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N[C@@]1(C)CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000957325929 722196996 /nfs/dbraw/zinc/19/69/96/722196996.db2.gz BEZSWHGLWDZHSD-PUTJDCORSA-N -1 1 344.375 -0.206 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)[C@H]3Cc4cccnc4C3)CC2)nc1=O ZINC000957464909 722214744 /nfs/dbraw/zinc/21/47/44/722214744.db2.gz TYDZQKHQHIDZGM-ZDUSSCGKSA-N -1 1 342.403 -0.437 20 0 EBADMM CCN(C(=O)[C@@H]1CC12CCOCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000957473907 722215612 /nfs/dbraw/zinc/21/56/12/722215612.db2.gz NLOWSNAVPNCXPS-ZDUSSCGKSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cn4ccccc4n3)CC2)nc1=O ZINC000957541220 722220853 /nfs/dbraw/zinc/22/08/53/722220853.db2.gz UNBKYVFWOCZGMA-UHFFFAOYSA-N -1 1 341.375 -0.286 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2CN(C)C(=O)N2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000957614143 722227516 /nfs/dbraw/zinc/22/75/16/722227516.db2.gz CFSYNOSQKSSIRU-GMTAPVOTSA-N -1 1 347.375 -0.613 20 0 EBADMM O=C(NC[C@H]1CN(Cc2cc(=O)n3[n-]ccc3n2)C[C@@H]1O)C1CC1 ZINC000957783181 722241159 /nfs/dbraw/zinc/24/11/59/722241159.db2.gz DAFDWVIVURJELB-AAEUAGOBSA-N -1 1 331.376 -0.659 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)CCc3cscn3)CC2)nc1=O ZINC000957879364 722256562 /nfs/dbraw/zinc/25/65/62/722256562.db2.gz BELSHNGEQWCRQJ-UHFFFAOYSA-N -1 1 336.421 -0.158 20 0 EBADMM Cc1nonc1CN1C[C@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957989885 722283571 /nfs/dbraw/zinc/28/35/71/722283571.db2.gz IWMJKKFPNZVNKV-GWCFXTLKSA-N -1 1 333.348 -0.299 20 0 EBADMM CCn1ncnc1CN1C[C@@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957990243 722283699 /nfs/dbraw/zinc/28/36/99/722283699.db2.gz LOOSOPCFNNECJY-WCQYABFASA-N -1 1 346.391 -0.379 20 0 EBADMM Cn1ncc(CN2C[C@H](O)[C@@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC000957990652 722283901 /nfs/dbraw/zinc/28/39/01/722283901.db2.gz OAYOYGJVHJNKKH-GWCFXTLKSA-N -1 1 332.364 -0.862 20 0 EBADMM Cn1cnnc1CN1C[C@@H](CNC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000957992618 722284546 /nfs/dbraw/zinc/28/45/46/722284546.db2.gz YQIYKULRIXJPPD-ZYHUDNBSSA-N -1 1 332.364 -0.862 20 0 EBADMM Cc1nnc(CN2C[C@@H](CNC(=O)c3ncccc3[O-])[C@H](O)C2)[nH]1 ZINC000957996047 722285269 /nfs/dbraw/zinc/28/52/69/722285269.db2.gz REYMWEBIQGROLS-ZYHUDNBSSA-N -1 1 332.364 -0.564 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](CNC(=O)C3=CCCCCC3)[C@H](O)C2)nc1=O ZINC000958003943 722287309 /nfs/dbraw/zinc/28/73/09/722287309.db2.gz CODSLPDLQRVACU-ZIAGYGMSSA-N -1 1 349.435 -0.092 20 0 EBADMM Cc1cc(C)c(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)[nH]1 ZINC000958187773 722321499 /nfs/dbraw/zinc/32/14/99/722321499.db2.gz WETBKZFRJKFSOD-RYUDHWBXSA-N -1 1 348.407 -0.724 20 0 EBADMM O=C(NC[C@@H]1CN(C/C=C/Cl)C[C@@H]1O)c1n[nH]c(=O)[n-]c1=O ZINC000958222656 722329834 /nfs/dbraw/zinc/32/98/34/722329834.db2.gz FNUNWNOAXCQACJ-FLPBZWPXSA-N -1 1 329.744 -0.942 20 0 EBADMM CN(C(=O)c1cnn(C)c1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958491599 722378154 /nfs/dbraw/zinc/37/81/54/722378154.db2.gz SFKMSOFBQIKNPX-AAEUAGOBSA-N -1 1 345.359 -0.522 20 0 EBADMM CCc1nc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)co1 ZINC000958621861 722403802 /nfs/dbraw/zinc/40/38/02/722403802.db2.gz NOQIKQWATBPDJO-WOFXILAISA-N -1 1 332.364 -0.481 20 0 EBADMM CN(C(=O)c1cnns1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958662913 722412694 /nfs/dbraw/zinc/41/26/94/722412694.db2.gz DCRUNONRNBLQJZ-WPRPVWTQSA-N -1 1 349.372 -0.404 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)c2cnns2)C[C@@H]1O ZINC000958830273 722604188 /nfs/dbraw/zinc/60/41/88/722604188.db2.gz NTJZHRLKACBABR-WPRPVWTQSA-N -1 1 349.372 -0.404 20 0 EBADMM CSCC(=O)N1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000958830336 722604210 /nfs/dbraw/zinc/60/42/10/722604210.db2.gz QEWPATRVYHMHKM-ONGXEEELSA-N -1 1 325.390 -0.206 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)[C@]23C[C@H]2COC3)C[C@@H]1O ZINC000958830746 722604759 /nfs/dbraw/zinc/60/47/59/722604759.db2.gz IRAWTOJFXJYZJW-BTIUKSQHSA-N -1 1 347.371 -0.533 20 0 EBADMM CC(=O)Nc1ccc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)nc1 ZINC001018944551 728752052 /nfs/dbraw/zinc/75/20/52/728752052.db2.gz PYMKPRBIHJNQPD-NSHDSACASA-N -1 1 345.363 -0.132 20 0 EBADMM C[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)[C@@H]1CCN(C(=O)C2CC2)C1 ZINC000958992960 722681976 /nfs/dbraw/zinc/68/19/76/722681976.db2.gz DIXCBNKFFAJKJW-GXSJLCMTSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@H]1CN(C(=O)c2ccn[nH]2)C[C@@H]1CNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC000959383936 722714592 /nfs/dbraw/zinc/71/45/92/722714592.db2.gz BSNNNJZDDFCFBK-YUMQZZPRSA-N -1 1 347.335 -0.856 20 0 EBADMM C[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1CNC(=O)C1CC1 ZINC000959521148 722734842 /nfs/dbraw/zinc/73/48/42/722734842.db2.gz YMXYLMMOUOQJSV-WCQYABFASA-N -1 1 348.403 -0.453 20 0 EBADMM C[C@H]1CN(C(=O)C2(C(N)=O)CC2)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000959570271 722744329 /nfs/dbraw/zinc/74/43/29/722744329.db2.gz KPRSRGLBAUXRBW-WDEREUQCSA-N -1 1 346.387 -0.123 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2C[C@H]2C(N)=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000959669648 722754486 /nfs/dbraw/zinc/75/44/86/722754486.db2.gz DJWPGMSOVNXAMF-CHWFTXMASA-N -1 1 332.360 -0.515 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1C[C@H]2CN(C(=O)C3CC3)C[C@H]2C1 ZINC000959777337 722760051 /nfs/dbraw/zinc/76/00/51/722760051.db2.gz GERCDSXETOBPQP-PHIMTYICSA-N -1 1 342.359 -0.642 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H]2CN(C(=O)C3CC3)C[C@H]2C1 ZINC000959777512 722760063 /nfs/dbraw/zinc/76/00/63/722760063.db2.gz LECURQQPPZWUHM-AOOOYVTPSA-N -1 1 348.359 -0.163 20 0 EBADMM O=C(c1cnc[nH]c1=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000960040576 722785051 /nfs/dbraw/zinc/78/50/51/722785051.db2.gz OHZXYIRCFXDLFZ-VIFPVBQESA-N -1 1 333.352 -0.210 20 0 EBADMM Cc1nonc1CC(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000960177446 722803627 /nfs/dbraw/zinc/80/36/27/722803627.db2.gz RXHLOLXDFBYFNQ-JTQLQIEISA-N -1 1 335.368 -0.085 20 0 EBADMM NC(=O)c1cc(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)c[nH]1 ZINC000960221969 722807787 /nfs/dbraw/zinc/80/77/87/722807787.db2.gz ZEAGTDMIKWIKFP-JTQLQIEISA-N -1 1 347.379 -0.278 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)c1cnccn1 ZINC000960383377 722824472 /nfs/dbraw/zinc/82/44/72/722824472.db2.gz JVOZMHJYTCDFRX-NSHDSACASA-N -1 1 331.380 -0.365 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)c1cnns1 ZINC000960468287 722831710 /nfs/dbraw/zinc/83/17/10/722831710.db2.gz WRQTZZVAAJMNSU-VIFPVBQESA-N -1 1 337.409 -0.304 20 0 EBADMM Cc1noc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)c1C ZINC000960477539 722832571 /nfs/dbraw/zinc/83/25/71/722832571.db2.gz WXXUKUASMUOCFE-IAZYJMLFSA-N -1 1 332.364 -0.427 20 0 EBADMM CN(Cc1nc(=O)n(C)[nH]1)C[C@H]1CCCN1C(=O)Cc1ccn[nH]1 ZINC000960515895 722835612 /nfs/dbraw/zinc/83/56/12/722835612.db2.gz SMQPKBBQZGWWGS-GFCCVEGCSA-N -1 1 333.396 -0.503 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)CC(C)(C)O ZINC000960603649 722843267 /nfs/dbraw/zinc/84/32/67/722843267.db2.gz LBJLSXBLAJCVRI-NSHDSACASA-N -1 1 325.413 -0.308 20 0 EBADMM Cc1nnc(CC(=O)N2CCC[C@@H]2CN(C)Cc2nc(=O)n(C)[n-]2)[nH]1 ZINC000960614673 722844895 /nfs/dbraw/zinc/84/48/95/722844895.db2.gz BAIFTHDZOJVQKG-LLVKDONJSA-N -1 1 348.411 -0.800 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(Cc3cncs3)C[C@H]21)c1n[nH]c(=O)[n-]c1=O ZINC000960810274 722867429 /nfs/dbraw/zinc/86/74/29/722867429.db2.gz PVBALTQFWZUJGH-BRPSZJMVSA-N -1 1 334.361 -0.401 20 0 EBADMM Cc1cnn(C)c1C(=O)N1CCC[C@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC000960810068 722867509 /nfs/dbraw/zinc/86/75/09/722867509.db2.gz UIGSMWHNSOBTEC-LBPRGKRZSA-N -1 1 347.423 -0.113 20 0 EBADMM CCc1nocc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC000960838235 722871589 /nfs/dbraw/zinc/87/15/89/722871589.db2.gz NNHJGWNODWMVEG-JZYVYDRUSA-N -1 1 346.347 -0.307 20 0 EBADMM CCc1nnsc1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000960885413 722876969 /nfs/dbraw/zinc/87/69/69/722876969.db2.gz OVDGKVMNPCHUIH-VROVMSAKSA-N -1 1 349.420 -0.618 20 0 EBADMM NC(=O)C(=O)N1CCC2(CN(C(=O)c3cnc(C4CC4)[n-]c3=O)C2)C1 ZINC001019034175 728777088 /nfs/dbraw/zinc/77/70/88/728777088.db2.gz TXRURIDWVUOYKI-UHFFFAOYSA-N -1 1 345.359 -0.781 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2cc(C3CC3)on2)nc1=O ZINC000961390419 723012344 /nfs/dbraw/zinc/01/23/44/723012344.db2.gz RDYRRRSHOWKUJN-MSRIBSCDSA-N -1 1 344.375 -0.166 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)Cc2ncccc2F)nc1=O ZINC000961605125 723044745 /nfs/dbraw/zinc/04/47/45/723044745.db2.gz VCSAWLPGRHMYBK-RTUWITSCSA-N -1 1 346.366 -0.568 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1ccnc(F)c1 ZINC000961817196 723090497 /nfs/dbraw/zinc/09/04/97/723090497.db2.gz FIQOBWQJTIHCCG-PWSUYJOCSA-N -1 1 346.318 -0.063 20 0 EBADMM Cc1conc1CNCC1CC(NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000962038808 723155860 /nfs/dbraw/zinc/15/58/60/723155860.db2.gz JHRWMQPRZDQKDT-UHFFFAOYSA-N -1 1 334.336 -0.123 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CC[C@H](NCc2n[nH]c(C3CC3)n2)C1 ZINC001019094766 728794772 /nfs/dbraw/zinc/79/47/72/728794772.db2.gz LOXDQLTYSYVFPR-QMMMGPOBSA-N -1 1 346.351 -0.717 20 0 EBADMM Cc1nonc1CNCC1CC(NC(=O)c2cnc([O-])n(C)c2=O)C1 ZINC000962157831 723182924 /nfs/dbraw/zinc/18/29/24/723182924.db2.gz NOGQLNQLBTWMGR-UHFFFAOYSA-N -1 1 348.363 -0.524 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]21)[C@H]1CCc2[nH]cnc2C1 ZINC000962244633 723204502 /nfs/dbraw/zinc/20/45/02/723204502.db2.gz XWTBSSXPWXLKTR-LFNDISMMSA-N -1 1 343.391 -0.415 20 0 EBADMM CN(C)C(=O)CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC000962276349 723213618 /nfs/dbraw/zinc/21/36/18/723213618.db2.gz CJYBHNHQZSKHDG-WOFXILAISA-N -1 1 345.359 -0.323 20 0 EBADMM Cc1cc(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)on1 ZINC000962433910 723251422 /nfs/dbraw/zinc/25/14/22/723251422.db2.gz AJOIGYGMJMBHTC-KOLCDFICSA-N -1 1 332.316 -0.301 20 0 EBADMM Cc1cc(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)[nH]n1 ZINC000962434105 723251546 /nfs/dbraw/zinc/25/15/46/723251546.db2.gz DGBLBDGLYDJQOJ-PWSUYJOCSA-N -1 1 331.332 -0.566 20 0 EBADMM Cc1cc(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n[nH]1 ZINC000962434105 723251547 /nfs/dbraw/zinc/25/15/47/723251547.db2.gz DGBLBDGLYDJQOJ-PWSUYJOCSA-N -1 1 331.332 -0.566 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2cncs2)C[C@@H]1O)c1ncccc1[O-] ZINC000962435287 723251798 /nfs/dbraw/zinc/25/17/98/723251798.db2.gz OJHWBCWBGZPUIA-SCZZXKLOSA-N -1 1 334.357 -0.141 20 0 EBADMM O=C(Cc1ccon1)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962435293 723251998 /nfs/dbraw/zinc/25/19/98/723251998.db2.gz OOSHIXVIAFXFKA-PWSUYJOCSA-N -1 1 332.316 -0.681 20 0 EBADMM CCc1oncc1C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962445888 723255896 /nfs/dbraw/zinc/25/58/96/723255896.db2.gz ZHISRTOUGTUEQS-PWSUYJOCSA-N -1 1 346.343 -0.047 20 0 EBADMM O=C(c1cc2n(n1)CCCO2)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019129484 728806097 /nfs/dbraw/zinc/80/60/97/728806097.db2.gz RWHLZLUHBIPHFU-VIFPVBQESA-N -1 1 333.352 -0.506 20 0 EBADMM COc1ccnc(OC)c1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019135190 728806407 /nfs/dbraw/zinc/80/64/07/728806407.db2.gz KZXTVCVRGUUFBP-VIFPVBQESA-N -1 1 348.363 -0.073 20 0 EBADMM Cc1c[nH]nc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000962813688 723329468 /nfs/dbraw/zinc/32/94/68/723329468.db2.gz DMCAUGIBUBUAPG-KOLCDFICSA-N -1 1 331.332 -0.566 20 0 EBADMM CC(=O)N1CCC[C@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]21 ZINC000963606777 723456817 /nfs/dbraw/zinc/45/68/17/723456817.db2.gz VDIDFXVEJZIOBG-CMPLNLGQSA-N -1 1 330.348 -0.500 20 0 EBADMM CCC(=O)N1CCC2(CN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)C1 ZINC001019194211 728824043 /nfs/dbraw/zinc/82/40/43/728824043.db2.gz SDYKZTFPGCPLAJ-UHFFFAOYSA-N -1 1 334.376 -0.602 20 0 EBADMM CCCC(=O)N1CCC2(CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)C1 ZINC001019207434 728826646 /nfs/dbraw/zinc/82/66/46/728826646.db2.gz QEXOOTGKEIVBHO-UHFFFAOYSA-N -1 1 344.375 -0.108 20 0 EBADMM Cc1nc([C@H](C)N[C@H]2CCN(C(=O)c3cnc([O-])n(C)c3=O)C2)n[nH]1 ZINC001019227780 728830902 /nfs/dbraw/zinc/83/09/02/728830902.db2.gz SYLUVKGMTLLKOC-WPRPVWTQSA-N -1 1 347.379 -0.522 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2COCCN2C(=O)Cc2ccn[nH]2)c1[O-] ZINC000964147723 723836156 /nfs/dbraw/zinc/83/61/56/723836156.db2.gz DNHMLYBOKCOEGH-NSHDSACASA-N -1 1 348.363 -0.653 20 0 EBADMM O=C(Cc1cnc[nH]1)N1CCOC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000964152140 723839191 /nfs/dbraw/zinc/83/91/91/723839191.db2.gz CSRHWRUHXHWXPZ-LBPRGKRZSA-N -1 1 345.359 -0.290 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)[C@H]1CCC(=O)N1)c1ncccc1[O-] ZINC000964153286 723840004 /nfs/dbraw/zinc/84/00/04/723840004.db2.gz BTWDVRBAXRPKNN-GHMZBOCLSA-N -1 1 348.359 -0.977 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1nc[nH]n1)c1ncccc1[O-] ZINC000964154137 723840375 /nfs/dbraw/zinc/84/03/75/723840375.db2.gz JOXWMBRCNJCVGT-VIFPVBQESA-N -1 1 332.320 -0.824 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1cnon1)c1ncccc1[O-] ZINC000964155489 723841635 /nfs/dbraw/zinc/84/16/35/723841635.db2.gz PBDHPVICOGEKLV-SECBINFHSA-N -1 1 333.304 -0.559 20 0 EBADMM Cn1nnc2c1C[C@@H](C(=O)N1CC[C@H](NCc3n[nH]c(=O)[n-]3)C1)CC2 ZINC001019294476 728850475 /nfs/dbraw/zinc/85/04/75/728850475.db2.gz XGANEPHPVVJCQX-UWVGGRQHSA-N -1 1 346.395 -0.866 20 0 EBADMM O=C([C@@H]1CCCc2nn[nH]c21)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019299325 728852170 /nfs/dbraw/zinc/85/21/70/728852170.db2.gz LAPIDPFQKRDYQS-DTWKUNHWSA-N -1 1 332.368 -0.561 20 0 EBADMM NC(=O)C1(C(=O)N2CC3(C2)CCN(C(=O)c2ncccc2[O-])C3)CC1 ZINC001019354212 728867225 /nfs/dbraw/zinc/86/72/25/728867225.db2.gz RXYZWUQTQFRQFC-UHFFFAOYSA-N -1 1 344.371 -0.273 20 0 EBADMM O=C(NC[C@H]1CCCCN1C(=O)[C@H]1CNC(=O)N1)c1ncccc1[O-] ZINC000964577490 724035041 /nfs/dbraw/zinc/03/50/41/724035041.db2.gz MEAQNIJZPYEKNJ-GHMZBOCLSA-N -1 1 347.375 -0.421 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)Cc1cnn(C)c1 ZINC000965527682 724285312 /nfs/dbraw/zinc/28/53/12/724285312.db2.gz OTFATXNKIJVQFE-YPMHNXCESA-N -1 1 347.423 -0.589 20 0 EBADMM Cc1nn(C)cc1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1C ZINC000965596109 724312023 /nfs/dbraw/zinc/31/20/23/724312023.db2.gz LIQAHMUNFLOJFG-MFKMUULPSA-N -1 1 347.423 -0.209 20 0 EBADMM Cc1nn(C)cc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1C ZINC000965596111 724312036 /nfs/dbraw/zinc/31/20/36/724312036.db2.gz LIQAHMUNFLOJFG-ZWNOBZJWSA-N -1 1 347.423 -0.209 20 0 EBADMM O=C(c1cnc2cccnn21)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019480861 728897445 /nfs/dbraw/zinc/89/74/45/728897445.db2.gz IUGQNLNPUOALAG-VIFPVBQESA-N -1 1 328.336 -0.443 20 0 EBADMM CC(C)c1nnnn1CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019484403 728898177 /nfs/dbraw/zinc/89/81/77/728898177.db2.gz XGQAFJLFFIAPDS-VIFPVBQESA-N -1 1 335.372 -0.989 20 0 EBADMM CCN(C(=O)C1CC1)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000967717297 724897956 /nfs/dbraw/zinc/89/79/56/724897956.db2.gz HMHHHJMBPJXTRT-UHFFFAOYSA-N -1 1 334.376 -0.604 20 0 EBADMM Cc1cnn(C)c1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1C ZINC000967899088 724927533 /nfs/dbraw/zinc/92/75/33/724927533.db2.gz YYEWKKSKRDNHFS-PWSUYJOCSA-N -1 1 347.423 -0.209 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)Cn2nccn2)C1 ZINC000967907461 724928741 /nfs/dbraw/zinc/92/87/41/724928741.db2.gz LYICADXCKUEQBS-UHFFFAOYSA-N -1 1 330.348 -0.248 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)Cn2ncnn2)C1 ZINC000967907548 724929018 /nfs/dbraw/zinc/92/90/18/724929018.db2.gz NCVFLRSQKXMZHR-UHFFFAOYSA-N -1 1 331.336 -0.853 20 0 EBADMM CCN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)[C@H]2C[C@@H]2C)C1 ZINC000968155664 724970865 /nfs/dbraw/zinc/97/08/65/724970865.db2.gz YRTXXOYSTUCOIC-ONGXEEELSA-N -1 1 344.375 -0.254 20 0 EBADMM CCN(C(=O)[C@@H]1CC[C@H]1C(N)=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000968204879 724978336 /nfs/dbraw/zinc/97/83/36/724978336.db2.gz IALOXPVXCXVHDR-VXGBXAGGSA-N -1 1 346.387 -0.028 20 0 EBADMM CCN(C(=O)c1c(C)nc(C(C)C)[n-]c1=O)C1CN(C(=O)C(N)=O)C1 ZINC000968204758 724978388 /nfs/dbraw/zinc/97/83/88/724978388.db2.gz BFIZUQYUOCRAGM-UHFFFAOYSA-N -1 1 349.391 -0.228 20 0 EBADMM COCCN1CC[C@H](C)[C@@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC000968432088 725063336 /nfs/dbraw/zinc/06/33/36/725063336.db2.gz VIHVOXPASGRWIB-AAEUAGOBSA-N -1 1 348.407 -0.456 20 0 EBADMM Cc1nn(C)c(C)c1OCC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000968680437 725101379 /nfs/dbraw/zinc/10/13/79/725101379.db2.gz YLZFMWZPSZYKIS-LLVKDONJSA-N -1 1 349.395 -0.370 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2cnc(C3CC3)nc2)C[C@@H]1O)c1cnn[nH]1 ZINC000968704813 725106441 /nfs/dbraw/zinc/10/64/41/725106441.db2.gz YSRBFVAGJFPCFW-NEPJUHHUSA-N -1 1 343.347 -0.913 20 0 EBADMM O=C(c1ccc2n[nH]nc2n1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000968860389 725121985 /nfs/dbraw/zinc/12/19/85/725121985.db2.gz OCXCJWHKWMRZJF-SSDOTTSWSA-N -1 1 329.324 -0.819 20 0 EBADMM Cc1nn[nH]c1C(=O)NC[C@H]1CCCCCN1Cc1nc(=O)n(C)[n-]1 ZINC000968976972 725137105 /nfs/dbraw/zinc/13/71/05/725137105.db2.gz MSILLUIMDCBJRW-LLVKDONJSA-N -1 1 348.411 -0.290 20 0 EBADMM Cn1[n-]c(CN2CCCCC[C@@H]2CNC(=O)c2cnon2)nc1=O ZINC000969085057 725149879 /nfs/dbraw/zinc/14/98/79/725149879.db2.gz KXKFXPZERAQDTP-SNVBAGLBSA-N -1 1 335.368 -0.334 20 0 EBADMM O=C(c1cnn2c1OCCC2)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969204886 725161443 /nfs/dbraw/zinc/16/14/43/725161443.db2.gz LIBIKDLEKUTNNR-SECBINFHSA-N -1 1 333.352 -0.506 20 0 EBADMM C[C@@H](N[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1)c1cnccn1 ZINC000969211572 725162073 /nfs/dbraw/zinc/16/20/73/725162073.db2.gz GWFCRFPEFSEYOL-RKDXNWHRSA-N -1 1 331.336 -0.362 20 0 EBADMM Cc1nnsc1CN[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000969211835 725162100 /nfs/dbraw/zinc/16/21/00/725162100.db2.gz OATSVSBMJUCXNP-SSDOTTSWSA-N -1 1 337.365 -0.553 20 0 EBADMM Cc1noc(C)c1CN[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000969211547 725162196 /nfs/dbraw/zinc/16/21/96/725162196.db2.gz FNCVAEDERFOJRR-SECBINFHSA-N -1 1 334.336 -0.108 20 0 EBADMM CC(=O)N1CCC[C@@H](C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC000969253100 725166205 /nfs/dbraw/zinc/16/62/05/725166205.db2.gz NXZCRYMGIMCWTI-VXGBXAGGSA-N -1 1 336.396 -0.541 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1[C@@H]2CC[C@H]1CN(C(=O)C1CC1)C2 ZINC000969422498 725179229 /nfs/dbraw/zinc/17/92/29/725179229.db2.gz FJWPNLACSGOPGP-PHIMTYICSA-N -1 1 342.359 -0.357 20 0 EBADMM C[C@@H](NC(=O)c1ccncc1F)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969428115 725179610 /nfs/dbraw/zinc/17/96/10/725179610.db2.gz SCCDMUSSSWESCL-SECBINFHSA-N -1 1 334.355 -0.107 20 0 EBADMM Cc1cc(CN[C@@H]2CCN(C(=O)c3cnc([O-])n(C)c3=O)C2)ncn1 ZINC000969553345 725192425 /nfs/dbraw/zinc/19/24/25/725192425.db2.gz QKWZGZWWQMTRDS-LLVKDONJSA-N -1 1 344.375 -0.411 20 0 EBADMM CCn1nncc1CN[C@@H]1CCN(C(=O)c2cnc([O-])n(C)c2=O)C1 ZINC000969556215 725192626 /nfs/dbraw/zinc/19/26/26/725192626.db2.gz SSPVEWAYGOVRKM-SNVBAGLBSA-N -1 1 347.379 -0.898 20 0 EBADMM Cc1ccnc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000969588045 725195270 /nfs/dbraw/zinc/19/52/70/725195270.db2.gz JKHSLUPRSWFBID-JTQLQIEISA-N -1 1 331.380 -0.543 20 0 EBADMM Cn1ncc2c1C[C@@H](C(=O)N1CC[C@@H](NCc3n[nH]c(=O)[n-]3)C1)CC2 ZINC000969719870 725206396 /nfs/dbraw/zinc/20/63/96/725206396.db2.gz CFAPZPZDEFRGDG-CMPLNLGQSA-N -1 1 345.407 -0.261 20 0 EBADMM Cc1cc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c(C)nn1 ZINC000969747604 725211011 /nfs/dbraw/zinc/21/10/11/725211011.db2.gz AQFMWDHPLMUINS-SNVBAGLBSA-N -1 1 345.407 -0.234 20 0 EBADMM C[C@@H](NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)C1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC000969887734 725225700 /nfs/dbraw/zinc/22/57/00/725225700.db2.gz LGQFDEWUGGHVGS-FBIMIBRVSA-N -1 1 331.380 -0.026 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1ccncn1 ZINC000969972508 725233630 /nfs/dbraw/zinc/23/36/30/725233630.db2.gz IIELPOHDOUWKOP-PWSUYJOCSA-N -1 1 329.316 -0.808 20 0 EBADMM COc1cc(=O)[nH]cc1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969998502 725236830 /nfs/dbraw/zinc/23/68/30/725236830.db2.gz OKOHQRDQZBOOSN-MRVPVSSYSA-N -1 1 334.336 -0.376 20 0 EBADMM CC(C)c1nnnn1CC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970208688 725263110 /nfs/dbraw/zinc/26/31/10/725263110.db2.gz XGQAFJLFFIAPDS-SECBINFHSA-N -1 1 335.372 -0.989 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)s1 ZINC000970251812 725267888 /nfs/dbraw/zinc/26/78/88/725267888.db2.gz KBIRTQOKIZTEKC-SSDOTTSWSA-N -1 1 336.377 -0.325 20 0 EBADMM Cc1cnc(CN[C@@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)o1 ZINC000970278154 725272613 /nfs/dbraw/zinc/27/26/13/725272613.db2.gz OXARMHOTIYCMLU-GFCCVEGCSA-N -1 1 347.375 -0.386 20 0 EBADMM Cc1cc(=O)[nH]cc1C(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970407257 725287316 /nfs/dbraw/zinc/28/73/16/725287316.db2.gz XJMKTKKHRJMMIW-SNVBAGLBSA-N -1 1 346.391 -0.232 20 0 EBADMM CCC(=O)N1CC[C@H](C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC000970425318 725289540 /nfs/dbraw/zinc/28/95/40/725289540.db2.gz HZJMOEAHDFEAGI-WDEREUQCSA-N -1 1 336.396 -0.541 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CC[C@@H](NCc2cnsn2)C1 ZINC000970474618 725294867 /nfs/dbraw/zinc/29/48/67/725294867.db2.gz BCEYWNDMNAUYEQ-MRVPVSSYSA-N -1 1 346.376 -0.727 20 0 EBADMM CC(C)n1ccc(CN[C@@H]2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)n1 ZINC000970584275 725302350 /nfs/dbraw/zinc/30/23/50/725302350.db2.gz VRZHELLNZJOHLU-GFCCVEGCSA-N -1 1 349.395 -0.143 20 0 EBADMM COc1cccc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000970672210 725314801 /nfs/dbraw/zinc/31/48/01/725314801.db2.gz GLZNGMLWZFPYHI-SNVBAGLBSA-N -1 1 346.391 -0.238 20 0 EBADMM C[C@@H](NC(=O)[C@H]1CCc2[nH]cnc2C1)C1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC000970708424 725319630 /nfs/dbraw/zinc/31/96/30/725319630.db2.gz LBCLJVFQEXRFRR-ZJUUUORDSA-N -1 1 345.407 -0.025 20 0 EBADMM CCn1cnc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC000970748739 725323392 /nfs/dbraw/zinc/32/33/92/725323392.db2.gz SVKCTORODMZHNQ-JTQLQIEISA-N -1 1 333.396 -0.425 20 0 EBADMM CCn1cnc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC000970748741 725323425 /nfs/dbraw/zinc/32/34/25/725323425.db2.gz SVKCTORODMZHNQ-SNVBAGLBSA-N -1 1 333.396 -0.425 20 0 EBADMM C[C@H](C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1)n1cccn1 ZINC000971120726 725351526 /nfs/dbraw/zinc/35/15/26/725351526.db2.gz NEWYKVCCRLSYKQ-NEPJUHHUSA-N -1 1 333.396 -0.401 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)CC[C@H]2CCOC2)C1 ZINC000971298438 725358393 /nfs/dbraw/zinc/35/83/93/725358393.db2.gz SVDCFKDTBYHJDP-STQMWFEESA-N -1 1 337.424 -0.042 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)C[C@H]2COC(=O)C2)C1 ZINC000971344754 725359866 /nfs/dbraw/zinc/35/98/66/725359866.db2.gz VBXGFJKJKGVZAE-GHMZBOCLSA-N -1 1 337.380 -0.906 20 0 EBADMM CC(C)C(=O)N1C[C@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@H]21 ZINC000971360046 725360459 /nfs/dbraw/zinc/36/04/59/725360459.db2.gz OPLAGMLVCIDABY-CHWSQXEVSA-N -1 1 348.403 -0.358 20 0 EBADMM O=C(c1cn([C@H]2CCOC2)nn1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019621588 728945995 /nfs/dbraw/zinc/94/59/95/728945995.db2.gz WJSDZJGQKWTSQD-UWVGGRQHSA-N -1 1 348.367 -0.933 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)CCc2ncc[nH]2)C1 ZINC000971428556 725365326 /nfs/dbraw/zinc/36/53/26/725365326.db2.gz AFOPKVISIVXZGM-LLVKDONJSA-N -1 1 333.396 -0.503 20 0 EBADMM Cc1nnc(CC(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)o1 ZINC000971497126 725369611 /nfs/dbraw/zinc/36/96/11/725369611.db2.gz MESMILJKQZXDCO-JTQLQIEISA-N -1 1 335.368 -0.925 20 0 EBADMM Cc1cn(C)nc1C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000971528089 725371140 /nfs/dbraw/zinc/37/11/40/725371140.db2.gz IDNMTLVIOQDALS-LLVKDONJSA-N -1 1 333.396 -0.503 20 0 EBADMM Cc1cn(C)nc1C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000971528090 725371181 /nfs/dbraw/zinc/37/11/81/725371181.db2.gz IDNMTLVIOQDALS-NSHDSACASA-N -1 1 333.396 -0.503 20 0 EBADMM Cc1nc([C@@H](C)N(C)[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC000971870972 725444668 /nfs/dbraw/zinc/44/46/68/725444668.db2.gz WTDPIDZIKQVTBL-VXNVDRBHSA-N -1 1 348.367 -0.383 20 0 EBADMM O=C(c1nc[nH]n1)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000971899380 725446862 /nfs/dbraw/zinc/44/68/62/725446862.db2.gz GFQAPMAVTKRJCL-NXEZZACHSA-N -1 1 328.332 -0.108 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)[C@]2(F)CCOC2)C1 ZINC000972171941 725473381 /nfs/dbraw/zinc/47/33/81/725473381.db2.gz JTGREUUMKBUUGP-YGRLFVJLSA-N -1 1 327.360 -0.730 20 0 EBADMM CC[C@H](C)C(=O)N1CC[C@]2(C1)CN(Cc1nc(=O)n(C)[n-]1)CCO2 ZINC000972269699 725487868 /nfs/dbraw/zinc/48/78/68/725487868.db2.gz QMEPPKMAXJBWEW-BLLLJJGKSA-N -1 1 337.424 -0.042 20 0 EBADMM Cn1[n-]c(CN2CCO[C@]3(CCN(C(=O)c4cc[nH]c4)C3)C2)nc1=O ZINC000972391982 725514189 /nfs/dbraw/zinc/51/41/89/725514189.db2.gz RFSJEVCREONGLN-MRXNPFEDSA-N -1 1 346.391 -0.446 20 0 EBADMM O=C(NC1CN(C(=O)[C@H]2COCCO2)C1)c1cnc(C2CC2)[n-]c1=O ZINC000991655894 725517699 /nfs/dbraw/zinc/51/76/99/725517699.db2.gz VJTIPBPWFJVVGF-GFCCVEGCSA-N -1 1 348.359 -0.584 20 0 EBADMM CCn1cc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC000972631473 725566079 /nfs/dbraw/zinc/56/60/79/725566079.db2.gz IOAMRCJEPJJJQY-JTQLQIEISA-N -1 1 334.384 -0.934 20 0 EBADMM CN(Cc1cnon1)[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000972722539 725587718 /nfs/dbraw/zinc/58/77/18/725587718.db2.gz YHNJVEKMRZKOSG-JTQLQIEISA-N -1 1 344.335 -0.853 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)Cn3cccn3)C(C)(C)C2)nc1=O ZINC000972807723 725595298 /nfs/dbraw/zinc/59/52/98/725595298.db2.gz GEJVHOHNXJZUDW-NSHDSACASA-N -1 1 333.396 -0.668 20 0 EBADMM Cn1cnc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)c1 ZINC000973034780 725621771 /nfs/dbraw/zinc/62/17/71/725621771.db2.gz UHBMMFBSMCUSKQ-NSHDSACASA-N -1 1 333.396 -0.518 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@H](NC(=O)c2ccn[nH]2)C1 ZINC000973040351 725622862 /nfs/dbraw/zinc/62/28/62/725622862.db2.gz JDYNVFIYPAPKGU-LJGSYFOKSA-N -1 1 348.319 -0.690 20 0 EBADMM O=C(Cc1c[nH]cn1)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000991801941 725632213 /nfs/dbraw/zinc/63/22/13/725632213.db2.gz DLINTFWYGRNCGL-YPMHNXCESA-N -1 1 345.359 -0.555 20 0 EBADMM COC(=O)[C@H]1C[C@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973092728 725634864 /nfs/dbraw/zinc/63/48/64/725634864.db2.gz LADYJXLMYPKPAP-DBIOUOCHSA-N -1 1 333.344 -0.027 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)c1ccnnc1 ZINC000991817377 725644505 /nfs/dbraw/zinc/64/45/05/725644505.db2.gz FEJXLJYHEYXXMU-WCQYABFASA-N -1 1 343.343 -0.417 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CC(CNC(=O)C2CC2)C1 ZINC000991836685 725651786 /nfs/dbraw/zinc/65/17/86/725651786.db2.gz PNYHXDYZVAXIHC-UHFFFAOYSA-N -1 1 336.348 -0.069 20 0 EBADMM Cn1cc(C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)ncc1=O ZINC000973198784 725667865 /nfs/dbraw/zinc/66/78/65/725667865.db2.gz HBLHFWUVHJYFGA-MGCOHNPYSA-N -1 1 343.343 -0.428 20 0 EBADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)c1cccn2nnnc12 ZINC000991925552 725676481 /nfs/dbraw/zinc/67/64/81/725676481.db2.gz HHJRCWRQUJNIEW-UHFFFAOYSA-N -1 1 339.315 -0.521 20 0 EBADMM Cc1nn[nH]c1C(=O)N[C@@]12CCC[C@@H]1N(Cc1nc(=O)n(C)[n-]1)CC2 ZINC000992099177 725724842 /nfs/dbraw/zinc/72/48/42/725724842.db2.gz LGUDNBWEENKZKQ-ZUZCIYMTSA-N -1 1 346.395 -0.538 20 0 EBADMM CC(=O)N1CC[C@@H]2[C@@H](CCCN2C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000973752712 725798546 /nfs/dbraw/zinc/79/85/46/725798546.db2.gz YEEZZTRFTVOUPH-WCQYABFASA-N -1 1 344.375 -0.109 20 0 EBADMM O=C(N[C@H]1C[C@@H](NC(=O)C2CCC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000973784019 725803254 /nfs/dbraw/zinc/80/32/54/725803254.db2.gz HSNJZECBLNOZSP-AOOOYVTPSA-N -1 1 330.348 -0.405 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@@H](NC(=O)[C@@H]2C[C@H]2C)C1 ZINC000973826697 725805939 /nfs/dbraw/zinc/80/59/39/725805939.db2.gz LVELCVAWDCFPLS-LURQLKTLSA-N -1 1 336.348 -0.071 20 0 EBADMM Cc1c(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)cnn1C ZINC000993011253 725850532 /nfs/dbraw/zinc/85/05/32/725850532.db2.gz NHSCUZQXOJXMGG-WCQYABFASA-N -1 1 347.423 -0.067 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)[nH]n1 ZINC000993075998 725853580 /nfs/dbraw/zinc/85/35/80/725853580.db2.gz SWGPVUOXTFBTLH-MNOVXSKESA-N -1 1 333.396 -0.077 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)nn1C ZINC000993082817 725854030 /nfs/dbraw/zinc/85/40/30/725854030.db2.gz CBFMQIGCZPETTJ-NWDGAFQWSA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cnsn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993120773 725856495 /nfs/dbraw/zinc/85/64/95/725856495.db2.gz WWDIPGNKGRGAHF-BDAKNGLRSA-N -1 1 337.409 -0.257 20 0 EBADMM C[C@H]1[C@@H](NC(=O)Cc2cc[nH]n2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993140195 725857561 /nfs/dbraw/zinc/85/75/61/725857561.db2.gz AKWMILMZYFLEBB-JQWIXIFHSA-N -1 1 333.396 -0.457 20 0 EBADMM CN1C[C@H](C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)NC1=O ZINC000974054215 725858312 /nfs/dbraw/zinc/85/83/12/725858312.db2.gz BMQUWMBYBLGLKZ-KXUCPTDWSA-N -1 1 333.348 -0.812 20 0 EBADMM C[C@H]1[C@@H](NC(=O)Cc2ccon2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993160013 725858904 /nfs/dbraw/zinc/85/89/04/725858904.db2.gz PWDJOXMTGDOKHY-JQWIXIFHSA-N -1 1 334.380 -0.192 20 0 EBADMM Cc1c[nH]nc1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1C ZINC000993278263 725868349 /nfs/dbraw/zinc/86/83/49/725868349.db2.gz HHWPSTIRNADXHX-WDEREUQCSA-N -1 1 333.396 -0.077 20 0 EBADMM C[C@@H]1[C@H](NC(=O)Cc2cnoc2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993711602 725931005 /nfs/dbraw/zinc/93/10/05/725931005.db2.gz AWKCCMMYVSAOCQ-ZYHUDNBSSA-N -1 1 334.380 -0.192 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2n[nH]cc2F)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993749229 725933351 /nfs/dbraw/zinc/93/33/51/725933351.db2.gz ZJXDLUVPGHKZNY-WPRPVWTQSA-N -1 1 337.359 -0.247 20 0 EBADMM O=C(N[C@H]1C[C@@H](NC(=O)c2cnco2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000974324879 725945529 /nfs/dbraw/zinc/94/55/29/725945529.db2.gz QKEKXSXIKMVHJM-OCAPTIKFSA-N -1 1 343.303 -0.904 20 0 EBADMM CCn1cc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)nn1 ZINC000994105525 725979322 /nfs/dbraw/zinc/97/93/22/725979322.db2.gz KHIVWALHBBTQCA-QWRGUYRKSA-N -1 1 348.411 -0.497 20 0 EBADMM Cc1nnccc1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051700338 735335749 /nfs/dbraw/zinc/33/57/49/735335749.db2.gz XUVDVTRFUUXNIG-SNVBAGLBSA-N -1 1 333.352 -0.760 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)[C@H]1CCNC1=O ZINC000994418090 726000824 /nfs/dbraw/zinc/00/08/24/726000824.db2.gz KXTYSNVRHCCZKS-UTUOFQBUSA-N -1 1 346.387 -0.252 20 0 EBADMM COCCn1nccc1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000994420269 726000885 /nfs/dbraw/zinc/00/08/85/726000885.db2.gz PYOMKBPUKYYBEK-UHFFFAOYSA-N -1 1 345.359 -0.116 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ccn(C)n2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000994431094 726002235 /nfs/dbraw/zinc/00/22/35/726002235.db2.gz OENXHUBEUBRYIG-QWRGUYRKSA-N -1 1 333.396 -0.375 20 0 EBADMM Cn1ncc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)c1N ZINC000974479252 726029724 /nfs/dbraw/zinc/02/97/24/726029724.db2.gz NISJCWVZAAHGCL-SNVBAGLBSA-N -1 1 348.411 -0.936 20 0 EBADMM O=C(c1ncccc1[O-])N1CC[C@@H]2CN(C(=O)[C@@H]3CNC(=O)N3)[C@@H]2C1 ZINC000974942000 726084717 /nfs/dbraw/zinc/08/47/17/726084717.db2.gz WIAAJPDEAFRYGQ-OUAUKWLOSA-N -1 1 345.359 -0.859 20 0 EBADMM O=C(NC[C@H]1CCCN(C(=O)[C@@H]2CNC(=O)N2)C1)c1ncccc1[O-] ZINC000975229540 726117142 /nfs/dbraw/zinc/11/71/42/726117142.db2.gz YKKPDMOVUSCGFY-MNOVXSKESA-N -1 1 347.375 -0.563 20 0 EBADMM Cn1ncc(CNC[C@@H]2CC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC000995006077 726141609 /nfs/dbraw/zinc/14/16/09/726141609.db2.gz KPDYOGIPCCMZBP-BDAKNGLRSA-N -1 1 348.367 -0.900 20 0 EBADMM Cn1cc(CNC[C@H]2CC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)nn1 ZINC000995007004 726141618 /nfs/dbraw/zinc/14/16/18/726141618.db2.gz NYVNTCOOTLRFBX-DTWKUNHWSA-N -1 1 348.367 -0.900 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1CCC1 ZINC000976039582 726195631 /nfs/dbraw/zinc/19/56/31/726195631.db2.gz VWUCQLFKNJWRKT-MYJAWHEDSA-N -1 1 348.359 -0.117 20 0 EBADMM NC(=O)C(=O)N1CC[C@@]2(CNC(=O)c3ncccc3[O-])CCC[C@@H]12 ZINC000995276906 726198155 /nfs/dbraw/zinc/19/81/55/726198155.db2.gz IGHVBQWJKVNWPH-BDJLRTHQSA-N -1 1 332.360 -0.227 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@H]1C ZINC000976091281 726200414 /nfs/dbraw/zinc/20/04/14/726200414.db2.gz AIUNBISOWZSSDE-VFCFLDTKSA-N -1 1 348.359 -0.261 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N[C@@H]1[C@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]21 ZINC000976100123 726201289 /nfs/dbraw/zinc/20/12/89/726201289.db2.gz RIMHKYSGNBRWSP-LGMRYKSHSA-N -1 1 342.359 -0.740 20 0 EBADMM CC1(C)CN(C(=O)c2ccc(=O)[nH]n2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995388899 726209577 /nfs/dbraw/zinc/20/95/77/726209577.db2.gz HXCPTKPSAIIZOV-VIFPVBQESA-N -1 1 333.352 -0.354 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1CC1)C2 ZINC000995568447 726275441 /nfs/dbraw/zinc/27/54/41/726275441.db2.gz WWGXWZXFNZGAEG-AGIUHOORSA-N -1 1 346.387 -0.415 20 0 EBADMM CN1C(=O)CC[C@H]1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976394165 726290347 /nfs/dbraw/zinc/29/03/47/726290347.db2.gz LGRPMRIWDMBYTK-BBGACYKPSA-N -1 1 344.371 -0.405 20 0 EBADMM C[C@@H](C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-])n1cncn1 ZINC000976395475 726291056 /nfs/dbraw/zinc/29/10/56/726291056.db2.gz CSHQFYNQNDCWCE-MEWQQHAOSA-N -1 1 342.359 -0.174 20 0 EBADMM Cn1nnc(CN[C@H]2CN(C(=O)c3ncccc3[O-])CC2(C)C)n1 ZINC000995703038 726291143 /nfs/dbraw/zinc/29/11/43/726291143.db2.gz MSCDSRPCLYIFAT-NSHDSACASA-N -1 1 331.380 -0.049 20 0 EBADMM NC(=O)[C@H]1CC[C@H]1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976395168 726291295 /nfs/dbraw/zinc/29/12/95/726291295.db2.gz SUOIMOARFWSJFE-VLLVSFFDSA-N -1 1 344.371 -0.515 20 0 EBADMM Nc1nccnc1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976398064 726292237 /nfs/dbraw/zinc/29/22/37/726292237.db2.gz MBHXHFZIHNWOAI-JZYVYDRUSA-N -1 1 340.343 -0.340 20 0 EBADMM CC(C)(C(N)=O)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976400068 726293173 /nfs/dbraw/zinc/29/31/73/726293173.db2.gz NEZZMMWWSFKZIG-JZYVYDRUSA-N -1 1 332.360 -0.515 20 0 EBADMM Cn1cc(C(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ncccc2[O-])[nH]c1=O ZINC000976401183 726293578 /nfs/dbraw/zinc/29/35/78/726293578.db2.gz NJAOUDHFZQGLOO-GDGBQDQQSA-N -1 1 343.343 -0.273 20 0 EBADMM CC(C)n1cnc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001051735291 735360506 /nfs/dbraw/zinc/36/05/06/735360506.db2.gz LGZCVWBEZVUQJH-NSHDSACASA-N -1 1 349.395 -0.082 20 0 EBADMM CC1(C)CN(C(=O)C[C@H]2CCNC2=O)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995887279 726309779 /nfs/dbraw/zinc/30/97/79/726309779.db2.gz NUUNEHOGMLWSAZ-NXEZZACHSA-N -1 1 336.396 -0.637 20 0 EBADMM Cn1c(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)c[nH]c1=O ZINC000996124896 726335491 /nfs/dbraw/zinc/33/54/91/726335491.db2.gz KYCXXTZKZHFCEJ-SECBINFHSA-N -1 1 335.368 -0.410 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000996175901 726341164 /nfs/dbraw/zinc/34/11/64/726341164.db2.gz MOGCUKUXTHTTHY-SECBINFHSA-N -1 1 347.379 -0.045 20 0 EBADMM CN1CC[C@H](C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)C1=O ZINC000996182778 726341877 /nfs/dbraw/zinc/34/18/77/726341877.db2.gz LSVWUJQCCQTTOA-VHSXEESVSA-N -1 1 336.396 -0.685 20 0 EBADMM O=C(CCn1ccnc1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051745044 735366927 /nfs/dbraw/zinc/36/69/27/735366927.db2.gz OXKPDTZSUSHZGX-NSHDSACASA-N -1 1 335.368 -0.886 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)c2oncc2C)C1 ZINC000996396178 726384893 /nfs/dbraw/zinc/38/48/93/726384893.db2.gz HYLPNDVPWQPDNX-UHFFFAOYSA-N -1 1 349.303 -0.553 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)C=C2CCC2)C1 ZINC000996397622 726386152 /nfs/dbraw/zinc/38/61/52/726386152.db2.gz PDELYABHCFQZPB-UHFFFAOYSA-N -1 1 334.332 -0.053 20 0 EBADMM CC(F)(F)CC(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996403920 726387935 /nfs/dbraw/zinc/38/79/35/726387935.db2.gz CTIYKDNABWSYIA-UHFFFAOYSA-N -1 1 340.290 -0.597 20 0 EBADMM O=C(CCC1CC1)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996408280 726388710 /nfs/dbraw/zinc/38/87/10/726388710.db2.gz MPEAJXDREOWLSL-UHFFFAOYSA-N -1 1 330.348 -0.452 20 0 EBADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCNC(=O)CC1 ZINC000996532549 726411727 /nfs/dbraw/zinc/41/17/27/726411727.db2.gz MXTURJFVCUPHKM-JTQLQIEISA-N -1 1 332.360 -0.356 20 0 EBADMM CC(=O)N1CCC[C@H]1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000997128604 726439370 /nfs/dbraw/zinc/43/93/70/726439370.db2.gz KRQVBTFEUPCMNT-LBPRGKRZSA-N -1 1 332.360 -0.261 20 0 EBADMM O=C(Cc1ccco1)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000998242599 726481905 /nfs/dbraw/zinc/48/19/05/726481905.db2.gz MXJIYYYFTBBDIB-UHFFFAOYSA-N -1 1 342.315 -0.806 20 0 EBADMM CCC(=O)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(=O)n3[n-]cnc3n1)C2 ZINC000998612316 726493047 /nfs/dbraw/zinc/49/30/47/726493047.db2.gz BBMHNBMJILFZKH-YWVKMMECSA-N -1 1 330.348 -0.311 20 0 EBADMM CC(C)[C@@H]1C[C@H]1C(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000998838310 726499445 /nfs/dbraw/zinc/49/94/45/726499445.db2.gz SGGRWXGXQJLSDO-WDEREUQCSA-N -1 1 344.375 -0.350 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)C[C@@H]2C[C@H]2C)C1 ZINC000999090016 726507463 /nfs/dbraw/zinc/50/74/63/726507463.db2.gz CKYDHGWTUVXKEL-SFYZADRCSA-N -1 1 336.348 -0.117 20 0 EBADMM O=C(c1cccn2nnnc12)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999248742 726512444 /nfs/dbraw/zinc/51/24/44/726512444.db2.gz DSYSXMFBZGMNMU-SECBINFHSA-N -1 1 343.351 -0.658 20 0 EBADMM O=C(Cn1cc(C2CC2)nn1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999348310 726515431 /nfs/dbraw/zinc/51/54/31/726515431.db2.gz NNQUAKZJCMNLHR-NSHDSACASA-N -1 1 346.395 -0.240 20 0 EBADMM CN(C)Cc1cc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)no1 ZINC000999554525 726522700 /nfs/dbraw/zinc/52/27/00/726522700.db2.gz AZKOIVNXKSYMJK-JTQLQIEISA-N -1 1 349.395 -0.046 20 0 EBADMM CCn1ccnc1CN[C@H]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000999610841 726524811 /nfs/dbraw/zinc/52/48/11/726524811.db2.gz QZFHJHDRZYGBOY-JTQLQIEISA-N -1 1 347.379 -0.106 20 0 EBADMM Cn1cc(C(N)=O)cc1C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999615193 726524874 /nfs/dbraw/zinc/52/48/74/726524874.db2.gz QJHQAXCHPHSGSJ-JTQLQIEISA-N -1 1 347.379 -0.658 20 0 EBADMM Cc1ncc(CN[C@H]2CCCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC000999623203 726525233 /nfs/dbraw/zinc/52/52/33/726525233.db2.gz WTYDUCSJNCLZSJ-VIFPVBQESA-N -1 1 334.336 -0.026 20 0 EBADMM COc1nn(C)cc1C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999873051 726537231 /nfs/dbraw/zinc/53/72/31/726537231.db2.gz QUOBYZNHIVLHJO-SECBINFHSA-N -1 1 335.368 -0.353 20 0 EBADMM CN(C)C(=O)[C@@H]1C[C@@H]1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000999899027 726540355 /nfs/dbraw/zinc/54/03/55/726540355.db2.gz YNULJBVNYKIGFW-WDEREUQCSA-N -1 1 332.360 -0.548 20 0 EBADMM O=C(c1cnc2cccnn21)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000391625 726566132 /nfs/dbraw/zinc/56/61/32/726566132.db2.gz WVSSFIATVKSKQX-SNVBAGLBSA-N -1 1 342.363 -0.053 20 0 EBADMM CCO[C@H]1C[C@@H]1C(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001000481219 726568503 /nfs/dbraw/zinc/56/85/03/726568503.db2.gz JECUGDWHEPTMBM-STQMWFEESA-N -1 1 335.408 -0.218 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)[C@H]3CCCCO3)CC2)nc1=O ZINC001000584196 726572031 /nfs/dbraw/zinc/57/20/31/726572031.db2.gz QHSJIMOYRJSIFI-CYBMUJFWSA-N -1 1 335.408 -0.074 20 0 EBADMM Cc1cc(=O)c(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c[nH]1 ZINC001000613463 726572761 /nfs/dbraw/zinc/57/27/61/726572761.db2.gz FRGCCGZRFORUBE-SNVBAGLBSA-N -1 1 332.364 -0.099 20 0 EBADMM O=C(c1cnn2cc[nH]c12)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000788844 726577671 /nfs/dbraw/zinc/57/76/71/726577671.db2.gz KDAHHQGHFJLZLL-VIFPVBQESA-N -1 1 330.352 -0.120 20 0 EBADMM Cn1cncc1CCC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000819361 726579492 /nfs/dbraw/zinc/57/94/92/726579492.db2.gz RMIQVTVCMOZKFT-LLVKDONJSA-N -1 1 333.396 -0.043 20 0 EBADMM Cc1nnccc1C(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001000908530 726583557 /nfs/dbraw/zinc/58/35/57/726583557.db2.gz JLYUZNLQTWHBAS-UHFFFAOYSA-N -1 1 343.391 -0.231 20 0 EBADMM C[C@H]1CO[C@H](C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)C1 ZINC001000967261 726585499 /nfs/dbraw/zinc/58/54/99/726585499.db2.gz GKEJCVXJUQAMQO-YPMHNXCESA-N -1 1 335.408 -0.218 20 0 EBADMM CN1C[C@H](C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CCC1=O ZINC001001015148 726587619 /nfs/dbraw/zinc/58/76/19/726587619.db2.gz NUQIAGFANLGPSV-GHMZBOCLSA-N -1 1 336.396 -0.541 20 0 EBADMM NC(=O)c1ccnc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001001093788 726591699 /nfs/dbraw/zinc/59/16/99/726591699.db2.gz YFSLYDLEUGVURB-JTQLQIEISA-N -1 1 345.363 -0.601 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)c3ccnnc3)CC2)nc1=O ZINC001001198081 726596059 /nfs/dbraw/zinc/59/60/59/726596059.db2.gz URIJCZIDDHUFEB-UHFFFAOYSA-N -1 1 329.364 -0.540 20 0 EBADMM Cc1n[nH]c(C(=O)NCC2CN(C(=O)CCc3c[nH]nn3)C2)c1[O-] ZINC001001458780 726607067 /nfs/dbraw/zinc/60/70/67/726607067.db2.gz NEWWHWYKUUNIFB-UHFFFAOYSA-N -1 1 333.352 -0.637 20 0 EBADMM O=C(NCC1CN(C(=O)C2CS(=O)(=O)C2)C1)c1ncccc1[O-] ZINC001001540955 726611191 /nfs/dbraw/zinc/61/11/91/726611191.db2.gz HFDMGYYYTSOPHE-UHFFFAOYSA-N -1 1 339.373 -0.980 20 0 EBADMM C[C@]1(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)CCNC1=O ZINC001001542536 726611280 /nfs/dbraw/zinc/61/12/80/726611280.db2.gz VDZFNVWRBQQCFF-INIZCTEOSA-N -1 1 332.360 -0.498 20 0 EBADMM NC(=O)[C@@H]1CC[C@H]1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001543657 726611343 /nfs/dbraw/zinc/61/13/43/726611343.db2.gz HSZKTLAFPDEODI-GHMZBOCLSA-N -1 1 332.360 -0.513 20 0 EBADMM C[C@H]1CC(=O)N[C@@H](C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)C1 ZINC001001545201 726611386 /nfs/dbraw/zinc/61/13/86/726611386.db2.gz SHBFDKULYSFTNQ-ZYHUDNBSSA-N -1 1 346.387 -0.110 20 0 EBADMM Cn1c(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)c[nH]c1=O ZINC001001553572 726611672 /nfs/dbraw/zinc/61/16/72/726611672.db2.gz XFFQAWOJZGCKHK-UHFFFAOYSA-N -1 1 331.332 -0.272 20 0 EBADMM NC(=O)C1(C(=O)N2[C@@H]3CC[C@H]2[C@H](NC(=O)c2ncccc2[O-])C3)CC1 ZINC001002195575 726635280 /nfs/dbraw/zinc/63/52/80/726635280.db2.gz QFVJPTQULLLEOO-MXWKQRLJSA-N -1 1 344.371 -0.086 20 0 EBADMM CN(C[C@@H]1CCCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1CNC(=O)N1 ZINC001002411594 726640474 /nfs/dbraw/zinc/64/04/74/726640474.db2.gz KQLUDGUKQOOZNR-WDEREUQCSA-N -1 1 347.375 -0.468 20 0 EBADMM Cn1cc(CC(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cn1 ZINC001002435013 726640768 /nfs/dbraw/zinc/64/07/68/726640768.db2.gz PUIYAZXLSPUOOP-UHFFFAOYSA-N -1 1 333.396 -0.835 20 0 EBADMM CN1C[C@@H](C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC001002460824 726641558 /nfs/dbraw/zinc/64/15/58/726641558.db2.gz XEMNKABKSVGDBR-NSHDSACASA-N -1 1 332.360 -0.546 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)C[C@@H]3COC(=O)C3)CC2)nc1=O ZINC001002607619 726643542 /nfs/dbraw/zinc/64/35/42/726643542.db2.gz HNXGJLZLLXAONX-JTQLQIEISA-N -1 1 337.380 -0.858 20 0 EBADMM Cn1nccc1CC(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001002708308 726645239 /nfs/dbraw/zinc/64/52/39/726645239.db2.gz DCURTHRMURSHPV-UHFFFAOYSA-N -1 1 333.396 -0.835 20 0 EBADMM CCN1CCOC[C@H]1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001002973896 726656805 /nfs/dbraw/zinc/65/68/05/726656805.db2.gz VMQQSRTUGJQJLP-ZDUSSCGKSA-N -1 1 348.403 -0.304 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)c3cc4n(n3)CCO4)CC2)nc1=O ZINC001003030742 726659324 /nfs/dbraw/zinc/65/93/24/726659324.db2.gz JZFIOWGMFKNGNX-UHFFFAOYSA-N -1 1 347.379 -0.908 20 0 EBADMM CCc1c(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cnn1C ZINC001003238581 726666423 /nfs/dbraw/zinc/66/64/23/726666423.db2.gz PYNNWNSHDRVQAQ-UHFFFAOYSA-N -1 1 347.423 -0.201 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CN(C(=O)[C@H]2C[C@H]2C)C1 ZINC001003313642 726668879 /nfs/dbraw/zinc/66/88/79/726668879.db2.gz BHQDCXUONNRYLU-APPZFPTMSA-N -1 1 336.348 -0.259 20 0 EBADMM CC(C)CC(=O)N1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001003319111 726669555 /nfs/dbraw/zinc/66/95/55/726669555.db2.gz JVPZBVLRLPSYMX-UHFFFAOYSA-N -1 1 332.364 -0.348 20 0 EBADMM CC1CC(C(=O)N2CC(CNC(=O)c3cc(=O)n4[n-]cnc4n3)C2)C1 ZINC001003319142 726669672 /nfs/dbraw/zinc/66/96/72/726669672.db2.gz KNXLKDUEGIXUPJ-UHFFFAOYSA-N -1 1 344.375 -0.348 20 0 EBADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCNC(=O)CC1 ZINC001003349303 726670846 /nfs/dbraw/zinc/67/08/46/726670846.db2.gz LYHASDCSQFPMMH-LBPRGKRZSA-N -1 1 346.387 -0.108 20 0 EBADMM CNC(=O)[C@@H](C)N1CCC(NC(=O)c2c[n-]n3c2nccc3=O)CC1 ZINC001003753522 726689033 /nfs/dbraw/zinc/68/90/33/726689033.db2.gz XULKTQVTYFRYNU-SNVBAGLBSA-N -1 1 346.391 -0.649 20 0 EBADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)c1c[nH]c(=O)cn1 ZINC001003913122 726694521 /nfs/dbraw/zinc/69/45/21/726694521.db2.gz DNNJFCLZLFDBOI-UHFFFAOYSA-N -1 1 329.316 -0.628 20 0 EBADMM Cn1cncc1CC(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001003972060 726696435 /nfs/dbraw/zinc/69/64/35/726696435.db2.gz UPFWYJMSBFOYPZ-UHFFFAOYSA-N -1 1 333.396 -0.835 20 0 EBADMM Cc1ncoc1CN1CCC(NC(=O)Cn2c(=O)[n-][nH]c2=O)CC1 ZINC001004058124 726698214 /nfs/dbraw/zinc/69/82/14/726698214.db2.gz FNOAKGUOLHAFBV-UHFFFAOYSA-N -1 1 336.352 -0.233 20 0 EBADMM C[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H]1NC(=O)C1CC1 ZINC001004315945 726705183 /nfs/dbraw/zinc/70/51/83/726705183.db2.gz QNZDYQLTFAZUHJ-AAEUAGOBSA-N -1 1 348.403 -0.310 20 0 EBADMM O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ccn[nH]1)c1n[nH]c(=O)[n-]c1=O ZINC001004574244 726711690 /nfs/dbraw/zinc/71/16/90/726711690.db2.gz ZFFIABRWJJRBBJ-VDAHYXPESA-N -1 1 345.319 -0.819 20 0 EBADMM O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-])[C@@H]1CCC(=O)N1 ZINC001004752382 726715067 /nfs/dbraw/zinc/71/50/67/726715067.db2.gz CYEWJCDPYVBNSW-KXNHARMFSA-N -1 1 344.371 -0.073 20 0 EBADMM C[C@@H]1CCN(C(=O)C2CC2)C[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001005053821 726723833 /nfs/dbraw/zinc/72/38/33/726723833.db2.gz FQRJBKIHAVKVIG-BXKDBHETSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@@H]1CCN(C(=O)C2CC2)C[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001005053824 726723913 /nfs/dbraw/zinc/72/39/13/726723913.db2.gz FQRJBKIHAVKVIG-SKDRFNHKSA-N -1 1 344.375 -0.206 20 0 EBADMM Cc1c(C(=O)N(C)C2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cnn1C ZINC001005118855 726725400 /nfs/dbraw/zinc/72/54/00/726725400.db2.gz ZKZCYUQQKBHHAC-UHFFFAOYSA-N -1 1 347.423 -0.113 20 0 EBADMM Cc1cc(CC(=O)N(C)C2CCN(Cc3nc(=O)n(C)[n-]3)CC2)[nH]n1 ZINC001005236189 726730676 /nfs/dbraw/zinc/73/06/76/726730676.db2.gz QBKIYZRTZWPHOH-UHFFFAOYSA-N -1 1 347.423 -0.195 20 0 EBADMM C[C@H](C(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1)n1cncn1 ZINC001005279253 726731271 /nfs/dbraw/zinc/73/12/71/726731271.db2.gz NYLGHJJMPUYAFZ-LLVKDONJSA-N -1 1 348.411 -0.616 20 0 EBADMM C[C@H]1CO[C@H](C(=O)N(C)C2CCN(Cc3nc(=O)n(C)[n-]3)CC2)C1 ZINC001005734515 726740189 /nfs/dbraw/zinc/74/01/89/726740189.db2.gz QVTVMHYKOYKLKG-YPMHNXCESA-N -1 1 337.424 -0.044 20 0 EBADMM C[C@H](NC(=O)[C@H]1COCCO1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005735914 726740283 /nfs/dbraw/zinc/74/02/83/726740283.db2.gz ZTJZCGWZWODCCU-GXFFZTMASA-N -1 1 335.360 -0.221 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2COCCN2C)C1 ZINC001005950294 726749544 /nfs/dbraw/zinc/74/95/44/726749544.db2.gz IWQYAXLXWFUXAU-DGCLKSJQSA-N -1 1 348.403 -0.306 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)CN2CN=NC2=O)C1 ZINC001005951563 726749706 /nfs/dbraw/zinc/74/97/06/726749706.db2.gz NLULJYYWSXGNGB-SECBINFHSA-N -1 1 346.347 -0.639 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CN(C)CCO2)C1 ZINC001005954264 726749849 /nfs/dbraw/zinc/74/98/49/726749849.db2.gz XMGVYXYINGELMG-SMDDNHRTSA-N -1 1 348.403 -0.306 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)Cn2cnnn2)C1 ZINC001005954545 726749876 /nfs/dbraw/zinc/74/98/76/726749876.db2.gz YTZKEMCLXCSMMS-VIFPVBQESA-N -1 1 331.336 -0.949 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)c2cnnn2C)C1 ZINC001005954199 726749914 /nfs/dbraw/zinc/74/99/14/726749914.db2.gz WXKRIWGEKOXTAH-SECBINFHSA-N -1 1 330.348 -0.194 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)c2nnn(C)n2)C1 ZINC001005956570 726750085 /nfs/dbraw/zinc/75/00/85/726750085.db2.gz LNAKOSPRZWENGY-QMMMGPOBSA-N -1 1 331.336 -0.799 20 0 EBADMM C[C@H](NC(=O)[C@H]1CCNC1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006563430 726774225 /nfs/dbraw/zinc/77/42/25/726774225.db2.gz MWFFBBVONLVOHD-ONGXEEELSA-N -1 1 332.360 -0.500 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)C[C@H]3COC(=O)C3)C2)nc1=O ZINC001007099883 726789204 /nfs/dbraw/zinc/78/92/04/726789204.db2.gz MEIIPENHXLFRAM-GHMZBOCLSA-N -1 1 337.380 -0.858 20 0 EBADMM O=C(N[C@@H]1CCCN([C@@H]2CCC(=O)NC2=O)C1)c1ncccc1[O-] ZINC001007189772 726790219 /nfs/dbraw/zinc/79/02/19/726790219.db2.gz NIILJMXLBPSNDN-GHMZBOCLSA-N -1 1 332.360 -0.213 20 0 EBADMM O=C(N[C@@H]1CCCN([C@H]2CCC(=O)NC2=O)C1)c1ncccc1[O-] ZINC001007189773 726790221 /nfs/dbraw/zinc/79/02/21/726790221.db2.gz NIILJMXLBPSNDN-MNOVXSKESA-N -1 1 332.360 -0.213 20 0 EBADMM CCn1ncc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001007291987 726791620 /nfs/dbraw/zinc/79/16/20/726791620.db2.gz XBSNXPKJQDUWLR-SNVBAGLBSA-N -1 1 334.384 -0.886 20 0 EBADMM Cc1ccc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001007311257 726791819 /nfs/dbraw/zinc/79/18/19/726791819.db2.gz JXADQMJCNVYPIV-NSHDSACASA-N -1 1 331.380 -0.399 20 0 EBADMM CCn1ccc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001008755567 726801382 /nfs/dbraw/zinc/80/13/82/726801382.db2.gz RPCQBSZQJZQJBG-LLVKDONJSA-N -1 1 333.396 -0.281 20 0 EBADMM COC[C@@H](C)N1CCC[C@@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001008891778 726823750 /nfs/dbraw/zinc/82/37/50/726823750.db2.gz JIXPIFKGSSVOHL-VXGBXAGGSA-N -1 1 348.407 -0.313 20 0 EBADMM O=C(NC[C@@H]1[C@H]2CN(C(=O)[C@@H]3COC(=O)N3)C[C@H]21)c1ncccc1[O-] ZINC001008972960 726837370 /nfs/dbraw/zinc/83/73/70/726837370.db2.gz HKEBXNDWVNDPDK-MMWGEVLESA-N -1 1 346.343 -0.670 20 0 EBADMM CCC(=O)N1C[C@@H]2[C@@H](CNC(=O)c3[nH]c(=O)[n-]c(=O)c3OC)[C@@H]2C1 ZINC001009282799 726885848 /nfs/dbraw/zinc/88/58/48/726885848.db2.gz XKASFLIEVZLGPY-PSVAKVPMSA-N -1 1 336.348 -0.259 20 0 EBADMM CC(=O)N1C[C@@H]2CC[C@@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C[C@@H]2C1 ZINC001009851875 727005898 /nfs/dbraw/zinc/00/58/98/727005898.db2.gz GBPKAYOFVBAARQ-QJPTWQEYSA-N -1 1 344.375 -0.206 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(C(=O)CSC)CC1 ZINC001010165053 727040219 /nfs/dbraw/zinc/04/02/19/727040219.db2.gz IVFJZKWMYVPODP-UHFFFAOYSA-N -1 1 342.377 -0.456 20 0 EBADMM O=C(N[C@@H]1CCN(CCN2C(=O)CNC2=O)C1)c1ncccc1[O-] ZINC001010731444 727135637 /nfs/dbraw/zinc/13/56/37/727135637.db2.gz JHANXLPWFVNEDQ-SNVBAGLBSA-N -1 1 333.348 -0.857 20 0 EBADMM C[C@@H]1CCN(C(=O)C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC001011035778 727186222 /nfs/dbraw/zinc/18/62/22/727186222.db2.gz RITJNHSTLBBCQE-GFCCVEGCSA-N -1 1 346.387 -0.060 20 0 EBADMM Cn1cc(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)ncc1=O ZINC001011035694 727186281 /nfs/dbraw/zinc/18/62/81/727186281.db2.gz NURXOBLDDBRREG-UHFFFAOYSA-N -1 1 343.343 -0.521 20 0 EBADMM O=C(c1ncccc1[O-])N1CCN(C(=O)[C@@H]2CCC(=O)NC2)CC1 ZINC001011036684 727186569 /nfs/dbraw/zinc/18/65/69/727186569.db2.gz TWSDEUXQPSCZFF-LLVKDONJSA-N -1 1 332.360 -0.402 20 0 EBADMM O=C(c1cc2n(n1)CCO2)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011036627 727186635 /nfs/dbraw/zinc/18/66/35/727186635.db2.gz QNAVFFRPLRCXJA-UHFFFAOYSA-N -1 1 343.343 -0.026 20 0 EBADMM C[C@H]1C[C@H](C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)CC(=O)N1 ZINC001011037668 727186987 /nfs/dbraw/zinc/18/69/87/727186987.db2.gz GMBHXEKSIUKQSX-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM COCC(=O)N1CC[C@H](NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)[C@@H]1C ZINC001011386245 727521359 /nfs/dbraw/zinc/52/13/59/727521359.db2.gz WTOHXQKWVNCNJK-YUMQZZPRSA-N -1 1 340.336 -0.738 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CCN(C(=O)CCc3nc[nH]n3)[C@H]2C)c1[O-] ZINC001011628890 727551011 /nfs/dbraw/zinc/55/10/11/727551011.db2.gz RMSPLUHMCFLGON-UWVGGRQHSA-N -1 1 347.379 -0.106 20 0 EBADMM C[C@@H]1[C@H](NC(=O)Cn2cnnn2)CCN1C(=O)c1ncccc1[O-] ZINC001011670996 727556459 /nfs/dbraw/zinc/55/64/59/727556459.db2.gz CXQPWBGWLBPEIV-NXEZZACHSA-N -1 1 331.336 -0.807 20 0 EBADMM C[C@H]1[C@@H](NC(=O)C2(C)CC2)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001011914609 727602684 /nfs/dbraw/zinc/60/26/84/727602684.db2.gz UBXJELLLJGLSQA-UWVGGRQHSA-N -1 1 344.375 -0.063 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CCN(C(=O)C(N)=O)[C@H]1C ZINC001012022509 727625257 /nfs/dbraw/zinc/62/52/57/727625257.db2.gz MZECCTWVWJCKDY-VHSXEESVSA-N -1 1 349.391 -0.181 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CCN(C(=O)C(N)=O)[C@@H]1C ZINC001012022507 727625281 /nfs/dbraw/zinc/62/52/81/727625281.db2.gz MZECCTWVWJCKDY-NXEZZACHSA-N -1 1 349.391 -0.181 20 0 EBADMM Cc1cc(C(=O)N2C[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C[C@@H]2C)n[nH]1 ZINC001012353404 727656640 /nfs/dbraw/zinc/65/66/40/727656640.db2.gz BEIWYJYVNMBIJY-JGVFFNPUSA-N -1 1 347.335 -0.653 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)Cn2cncn2)CN1C(=O)c1ncccc1[O-] ZINC001012504750 727672940 /nfs/dbraw/zinc/67/29/40/727672940.db2.gz CINHDTRGJPPCND-WDEREUQCSA-N -1 1 330.348 -0.202 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2ncn(C)n2)CN1C(=O)c1ncccc1[O-] ZINC001012510785 727673689 /nfs/dbraw/zinc/67/36/89/727673689.db2.gz LVZCCGMLZKXENU-ZJUUUORDSA-N -1 1 330.348 -0.051 20 0 EBADMM CC(C)C(=O)N[C@H]1C[C@H](C)N(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001013238449 727786156 /nfs/dbraw/zinc/78/61/56/727786156.db2.gz DPQCMACDDGUEFY-RYUDHWBXSA-N -1 1 336.392 -0.312 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CN1C(=O)C(N)=O ZINC001013984088 727863594 /nfs/dbraw/zinc/86/35/94/727863594.db2.gz SDFVBXXKXGASRY-VXNVDRBHSA-N -1 1 333.348 -0.736 20 0 EBADMM CCc1ncncc1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051936332 735474012 /nfs/dbraw/zinc/47/40/12/735474012.db2.gz DWCRMYPYLDNLLH-SNVBAGLBSA-N -1 1 347.379 -0.507 20 0 EBADMM CC(=O)N[C@@]12CCC[C@H]1N(C(=O)CCn1cc[n-]c(=O)c1=O)CC2 ZINC001014114933 727901838 /nfs/dbraw/zinc/90/18/38/727901838.db2.gz SDAMJCLDTYMAOC-MLGOLLRUSA-N -1 1 334.376 -0.414 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@H]3CCCc4n[nH]cc43)C2)nc1=O ZINC001014438459 727953536 /nfs/dbraw/zinc/95/35/36/727953536.db2.gz TVTYJGCAVYPTCL-QWRGUYRKSA-N -1 1 345.407 -0.358 20 0 EBADMM COc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)sn1 ZINC001014473297 727958873 /nfs/dbraw/zinc/95/88/73/727958873.db2.gz ZDHWERNDNJRGSF-QMMMGPOBSA-N -1 1 338.393 -0.422 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c(C)nn1 ZINC001014563465 727969931 /nfs/dbraw/zinc/96/99/31/727969931.db2.gz WXYCOFWHWXFWOF-LLVKDONJSA-N -1 1 331.380 -0.480 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cnc4n3CCC4)C2)nc1=O ZINC001014772839 728007576 /nfs/dbraw/zinc/00/75/76/728007576.db2.gz INSKTFPZCHPTRP-SNVBAGLBSA-N -1 1 331.380 -0.745 20 0 EBADMM CCc1nn(C)cc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001014839628 728018229 /nfs/dbraw/zinc/01/82/29/728018229.db2.gz BLAKWBRBKUHUDV-JTQLQIEISA-N -1 1 333.396 -0.591 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cnc4n[nH]cc4c3)C2)nc1=O ZINC001014877147 728024590 /nfs/dbraw/zinc/02/45/90/728024590.db2.gz HMBLNSSZWMCHEQ-NSHDSACASA-N -1 1 342.363 -0.616 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cncc4[nH]ccc43)C2)nc1=O ZINC001015107813 728080024 /nfs/dbraw/zinc/08/00/24/728080024.db2.gz LTTZKPPCSAMGJH-JTQLQIEISA-N -1 1 341.375 -0.011 20 0 EBADMM O=C(N[C@@H]1CCN(Cc2n[nH]c(C3CC3)n2)C1)c1n[nH]c(=O)[n-]c1=O ZINC001015108327 728080122 /nfs/dbraw/zinc/08/01/22/728080122.db2.gz AZDAVANVBPQHEK-MRVPVSSYSA-N -1 1 346.351 -0.717 20 0 EBADMM Cc1nc([C@@H](C)N2CC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001015117820 728083785 /nfs/dbraw/zinc/08/37/85/728083785.db2.gz HAOJCMJDCHMNAF-HTRCEHHLSA-N -1 1 334.340 -0.725 20 0 EBADMM Cc1cc(C)n(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001015173909 728103530 /nfs/dbraw/zinc/10/35/30/728103530.db2.gz KCIUDGUTPYKIJD-LBPRGKRZSA-N -1 1 333.396 -0.688 20 0 EBADMM COCc1ccc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)o1 ZINC001015190250 728109510 /nfs/dbraw/zinc/10/95/10/728109510.db2.gz UEIZPXIAGVBMDR-SNVBAGLBSA-N -1 1 335.364 -0.148 20 0 EBADMM Cn1nnc(C(=O)NC[C@@H]2CC[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001015411444 728412101 /nfs/dbraw/zinc/41/21/01/728412101.db2.gz PGBLTJGBXLOYIJ-ZJUUUORDSA-N -1 1 345.363 -0.361 20 0 EBADMM Cn1cncc1[C@@H]1C[C@H]1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001015722631 728430288 /nfs/dbraw/zinc/43/02/88/728430288.db2.gz GYYUEPRFQCVXIY-QJPTWQEYSA-N -1 1 345.407 -0.664 20 0 EBADMM COc1cccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001015933221 728439310 /nfs/dbraw/zinc/43/93/10/728439310.db2.gz WRNJETFULYTFPY-JTQLQIEISA-N -1 1 332.364 -0.484 20 0 EBADMM O=C(c1ncccc1[O-])N1[C@@H]2CC[C@H]1CN([C@@H]1CCC(=O)NC1=O)C2 ZINC001017499058 728581679 /nfs/dbraw/zinc/58/16/79/728581679.db2.gz BUHVFDYWISTPLS-GRYCIOLGSA-N -1 1 344.371 -0.119 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)c2cncnc2)nc1=O ZINC001017498244 728581688 /nfs/dbraw/zinc/58/16/88/728581688.db2.gz VLLDSALMEOUQDB-TXEJJXNPSA-N -1 1 329.364 -0.613 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)[C@]2(F)CCOC2)nc1=O ZINC001017852450 728619095 /nfs/dbraw/zinc/61/90/95/728619095.db2.gz XGJNDYWGUFQXIG-RWSFTLGLSA-N -1 1 339.371 -0.588 20 0 EBADMM CCC(=O)N[C@@H]1CC[C@H](C)N(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001017863592 728621049 /nfs/dbraw/zinc/62/10/49/728621049.db2.gz JYUGWULKGQGBHP-VHSXEESVSA-N -1 1 332.364 -0.063 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)[C@]2(C)CCOC2)nc1=O ZINC001018105508 728633866 /nfs/dbraw/zinc/63/38/66/728633866.db2.gz JGTSAANDAMHACX-BFQNTYOBSA-N -1 1 335.408 -0.290 20 0 EBADMM CCn1nncc1C(=O)N1C[C@H](NC(=O)c2cnn[nH]2)CC[C@@H]1C ZINC001018251810 728650211 /nfs/dbraw/zinc/65/02/11/728650211.db2.gz SHKUNDWWDZQBQG-VHSXEESVSA-N -1 1 332.368 -0.161 20 0 EBADMM CC(=O)NC[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC[C@H]1C ZINC001018603147 728678748 /nfs/dbraw/zinc/67/87/48/728678748.db2.gz MPXNPXPUDJYBAZ-MWLCHTKSSA-N -1 1 332.364 -0.348 20 0 EBADMM COc1cc[nH]c(=O)c1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019661960 728968685 /nfs/dbraw/zinc/96/86/85/728968685.db2.gz NBXIREWTWGXIBG-QMMMGPOBSA-N -1 1 334.336 -0.376 20 0 EBADMM CN(C)c1ncccc1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019770479 728988129 /nfs/dbraw/zinc/98/81/29/728988129.db2.gz SYECBEPUCHWAGG-JTQLQIEISA-N -1 1 331.380 -0.024 20 0 EBADMM CC(=O)N(C)C[C@H]1CCCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001019775626 728989133 /nfs/dbraw/zinc/98/91/33/728989133.db2.gz VPCAEDIHILTRFD-CYBMUJFWSA-N -1 1 336.392 -0.214 20 0 EBADMM Cc1nnc2n1C[C@H](C(=O)N1CC[C@H](NCc3n[nH]c(=O)[n-]3)C1)CC2 ZINC001019806404 728997225 /nfs/dbraw/zinc/99/72/25/728997225.db2.gz XREFUNXWFSSSET-MNOVXSKESA-N -1 1 346.395 -0.637 20 0 EBADMM C[C@H]1[C@@H](NC(=O)[C@H]2COCCO2)CCN1C(=O)c1ncccc1[O-] ZINC001020205247 729099294 /nfs/dbraw/zinc/09/92/94/729099294.db2.gz DALLGWFGCNRXOE-GMXVVIOVSA-N -1 1 335.360 -0.078 20 0 EBADMM C[C@H]1[C@@H](NC(=O)[C@H]2CN(C)CCO2)CCN1C(=O)c1ncccc1[O-] ZINC001020205365 729099842 /nfs/dbraw/zinc/09/98/42/729099842.db2.gz URTOPDUUHIVZMG-SGMGOOAPSA-N -1 1 348.403 -0.163 20 0 EBADMM C[C@H]1[C@@H](NC(=O)[C@H]2CC(=O)N(C)C2)CCN1C(=O)c1ncccc1[O-] ZINC001020206783 729100066 /nfs/dbraw/zinc/10/00/66/729100066.db2.gz SMVWXVCXPIBFAU-SRVKXCTJSA-N -1 1 346.387 -0.015 20 0 EBADMM COc1c[nH]c(C(=O)N[C@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC001020395700 729158024 /nfs/dbraw/zinc/15/80/24/729158024.db2.gz VHLMKFKVJFPSEB-ZKCHVHJHSA-N -1 1 334.336 -0.742 20 0 EBADMM O=C(N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C1)C1CCS(=O)(=O)CC1 ZINC001020666802 729216350 /nfs/dbraw/zinc/21/63/50/729216350.db2.gz LJKMBKYLRAWGDG-MGCOHNPYSA-N -1 1 343.409 -0.928 20 0 EBADMM Cn1cnnc1CN[C@H]1C[C@H](NC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001020696269 729219563 /nfs/dbraw/zinc/21/95/63/729219563.db2.gz BAWKMNMMLOMSJP-MGCOHNPYSA-N -1 1 342.363 -0.798 20 0 EBADMM CC(=O)NC[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H]1C ZINC001020770339 729231934 /nfs/dbraw/zinc/23/19/34/729231934.db2.gz HYYWRCLEUCHDAJ-WCQYABFASA-N -1 1 336.392 -0.453 20 0 EBADMM Cn1cc(C(=O)NC2C[C@H]3CC[C@@H](C2)[N@H+]3Cc2nc(=O)n(C)[nH]2)cn1 ZINC001021188433 729292976 /nfs/dbraw/zinc/29/29/76/729292976.db2.gz XCZMJOBIXLIIFX-YHWZYXNKSA-N -1 1 345.407 -0.233 20 0 EBADMM O=C(c1ncccc1[O-])N1CC[C@H]2CN(C(=O)[C@H]3COCCO3)C[C@H]21 ZINC001021441809 729314061 /nfs/dbraw/zinc/31/40/61/729314061.db2.gz CCQXALSCPIXOCE-OUCADQQQSA-N -1 1 347.371 -0.125 20 0 EBADMM NC(=O)C(=O)N1C[C@@H]2CCN(C(=O)c3cnc(C4CC4)[n-]c3=O)[C@@H]2C1 ZINC001021683535 729330960 /nfs/dbraw/zinc/33/09/60/729330960.db2.gz DPWCYZBBNDEONH-GXSJLCMTSA-N -1 1 345.359 -0.782 20 0 EBADMM CCn1ccc(CN[C@H]2C[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001022369275 729486004 /nfs/dbraw/zinc/48/60/04/729486004.db2.gz DCCSQDDIVPJHDM-AOOOYVTPSA-N -1 1 333.352 -0.450 20 0 EBADMM COc1cncc(CN[C@H]2C[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001022369980 729486092 /nfs/dbraw/zinc/48/60/92/729486092.db2.gz QSAGWMFSCIDLPO-OCAPTIKFSA-N -1 1 347.335 -0.868 20 0 EBADMM Cc1cnc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)cn1 ZINC001022966830 729564015 /nfs/dbraw/zinc/56/40/15/729564015.db2.gz MUWNDBCNBLMJEW-DGCLKSJQSA-N -1 1 343.343 -0.499 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)[C@H]1CCCOC1 ZINC001023187623 729632127 /nfs/dbraw/zinc/63/21/27/729632127.db2.gz BVRGCCBHECGUFI-DMDPSCGWSA-N -1 1 335.360 -0.485 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](CNC(=O)C3CCOCC3)C2)nc1=O ZINC001023197099 729634827 /nfs/dbraw/zinc/63/48/27/729634827.db2.gz ATDQJVWJFDFLJI-LBPRGKRZSA-N -1 1 337.424 -0.137 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCCN([C@@H]3CCC(=O)NC3=O)C2)c1[O-] ZINC001023307212 729661324 /nfs/dbraw/zinc/66/13/24/729661324.db2.gz VDNUAXRGCOCACO-WDEREUQCSA-N -1 1 349.391 -0.329 20 0 EBADMM Cc1c[nH]nc1C(=O)NC[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001023357865 729670475 /nfs/dbraw/zinc/67/04/75/729670475.db2.gz YCAUUDKQZMCSHA-NSHDSACASA-N -1 1 333.396 -0.218 20 0 EBADMM Cc1cc(CC(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)n[nH]1 ZINC001023359324 729670531 /nfs/dbraw/zinc/67/05/31/729670531.db2.gz FVCHJLRHJAAKTF-DGCLKSJQSA-N -1 1 345.359 -0.637 20 0 EBADMM Cc1cc(CC(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)[nH]n1 ZINC001023359324 729670533 /nfs/dbraw/zinc/67/05/33/729670533.db2.gz FVCHJLRHJAAKTF-DGCLKSJQSA-N -1 1 345.359 -0.637 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](CNC(=O)c3cn[nH]c(=O)c3)C2)nc1=O ZINC001023435101 729688621 /nfs/dbraw/zinc/68/86/21/729688621.db2.gz BBUVJLGQIYCLBA-SNVBAGLBSA-N -1 1 347.379 -0.754 20 0 EBADMM Cn1cncc1C(=O)NC[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001023472337 729697612 /nfs/dbraw/zinc/69/76/12/729697612.db2.gz WIOVEERTRRMQFT-NSHDSACASA-N -1 1 333.396 -0.516 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)[C@@H]2CCCOCC2)C[C@H]1O)c1ncccc1[O-] ZINC001023488399 729702165 /nfs/dbraw/zinc/70/21/65/729702165.db2.gz GJGGQDONQZAEFK-YRGRVCCFSA-N -1 1 349.387 -0.095 20 0 EBADMM CCc1cc(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)no1 ZINC001023491139 729703574 /nfs/dbraw/zinc/70/35/74/729703574.db2.gz XJYOIABATQENBX-DGCLKSJQSA-N -1 1 346.343 -0.047 20 0 EBADMM CO[C@@H](C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1)C1CCC1 ZINC001023492575 729703939 /nfs/dbraw/zinc/70/39/39/729703939.db2.gz WILVACAIWOQWBH-UXIGCNINSA-N -1 1 349.387 -0.096 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)[C@]23C[C@H]2COC3)C[C@H]1O)c1ncccc1[O-] ZINC001023491961 729704086 /nfs/dbraw/zinc/70/40/86/729704086.db2.gz CCRSNQGXUKHVEI-WFLGSWMJSA-N -1 1 333.344 -0.875 20 0 EBADMM Cc1c[nH]c(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001023493342 729704605 /nfs/dbraw/zinc/70/46/05/729704605.db2.gz AUVJUZGHRNKBEF-MWLCHTKSSA-N -1 1 331.332 -0.566 20 0 EBADMM Cn1ccc(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001023493883 729704633 /nfs/dbraw/zinc/70/46/33/729704633.db2.gz PRLSVHZYZXMKBE-ZYHUDNBSSA-N -1 1 331.332 -0.864 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)[C@H]1CC1(F)F ZINC001023613433 729738086 /nfs/dbraw/zinc/73/80/86/729738086.db2.gz XHZIBRBJBAWYJG-NQMVMOMDSA-N -1 1 327.287 -0.256 20 0 EBADMM Cn1ccc(CC(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)n1 ZINC001023650110 729747867 /nfs/dbraw/zinc/74/78/67/729747867.db2.gz NNCABHSTFYOCNR-DGCLKSJQSA-N -1 1 345.359 -0.935 20 0 EBADMM Cn1ccc(C(=O)NC[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001023748680 729792386 /nfs/dbraw/zinc/79/23/86/729792386.db2.gz ZFSRKGAXJZTOFX-LLVKDONJSA-N -1 1 333.396 -0.516 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001024188066 729857627 /nfs/dbraw/zinc/85/76/27/729857627.db2.gz JPICOVBGUIEXEG-PSASIEDQSA-N -1 1 347.331 -0.860 20 0 EBADMM Cc1cnn(C)c1C(=O)NC[C@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001024609751 729911311 /nfs/dbraw/zinc/91/13/11/729911311.db2.gz KHJSDFZBCDKJAM-GFCCVEGCSA-N -1 1 347.423 -0.065 20 0 EBADMM Cn1ncc(C(=O)NC[C@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001024706302 729920754 /nfs/dbraw/zinc/92/07/54/729920754.db2.gz WPSPZVICGYAMJI-SNVBAGLBSA-N -1 1 334.384 -0.979 20 0 EBADMM CCn1cc(C(=O)NC[C@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)nn1 ZINC001024733576 729923289 /nfs/dbraw/zinc/92/32/89/729923289.db2.gz LISJMBWZLFXOCL-LLVKDONJSA-N -1 1 348.411 -0.496 20 0 EBADMM C[C@]1(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CNC(=O)C1 ZINC001025030397 729988251 /nfs/dbraw/zinc/98/82/51/729988251.db2.gz PRXCFHCWSVHHOR-MEBBXXQBSA-N -1 1 336.396 -0.493 20 0 EBADMM Cn1c(=O)cccc1C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001025079247 729993381 /nfs/dbraw/zinc/99/33/81/729993381.db2.gz NNCLVJGEMUETLL-LLVKDONJSA-N -1 1 346.391 -0.007 20 0 EBADMM Cc1ncc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)n1C ZINC001025216040 730015716 /nfs/dbraw/zinc/01/57/16/730015716.db2.gz FEEQIVDIZYYAIX-ZWNOBZJWSA-N -1 1 345.359 -0.556 20 0 EBADMM CCn1nncc1C(=O)NC[C@@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001027872502 730244891 /nfs/dbraw/zinc/24/48/91/730244891.db2.gz VCOWSLLIYZKELB-JTQLQIEISA-N -1 1 334.384 -0.886 20 0 EBADMM Cn1cc(CN2CCC[C@@H]2CNC(=O)c2n[nH]c(=O)[n-]c2=O)cn1 ZINC001027999675 730255984 /nfs/dbraw/zinc/25/59/84/730255984.db2.gz NBPKYCBHITWGKC-SNVBAGLBSA-N -1 1 333.352 -0.589 20 0 EBADMM Cc1nnccc1C(=O)NC[C@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001028001162 730256251 /nfs/dbraw/zinc/25/62/51/730256251.db2.gz SQAABLFTSHCKFJ-LLVKDONJSA-N -1 1 331.380 -0.399 20 0 EBADMM COc1cncc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001028183759 730272915 /nfs/dbraw/zinc/27/29/15/730272915.db2.gz CMEUEHGOPIPKIV-JTQLQIEISA-N -1 1 347.379 -0.699 20 0 EBADMM Cc1ncc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)c(C)n1 ZINC001028208156 730274361 /nfs/dbraw/zinc/27/43/61/730274361.db2.gz JLXOTSSTPGZBHD-GFCCVEGCSA-N -1 1 345.407 -0.090 20 0 EBADMM COc1cccc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001028235799 730276673 /nfs/dbraw/zinc/27/66/73/730276673.db2.gz DSTQATKNYGYXSL-NSHDSACASA-N -1 1 346.391 -0.094 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)c3ccc(F)cn3)C2)nc1=O ZINC001028495852 730300586 /nfs/dbraw/zinc/30/05/86/730300586.db2.gz NFILMASJQOAPJF-SNVBAGLBSA-N -1 1 334.355 -0.106 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)c3cn4c(n3)CCC4)C2)nc1=O ZINC001028547804 730312491 /nfs/dbraw/zinc/31/24/91/730312491.db2.gz CQYPXYGGLWDXMT-LLVKDONJSA-N -1 1 345.407 -0.497 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)c3cnc4n3CCC4)C2)nc1=O ZINC001028600792 730320203 /nfs/dbraw/zinc/32/02/03/730320203.db2.gz DGGNFXGKGNMNBN-NSHDSACASA-N -1 1 345.407 -0.497 20 0 EBADMM C[C@@H](c1cnccn1)N1CC[C@@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001028660730 730333449 /nfs/dbraw/zinc/33/34/49/730333449.db2.gz BRLVPNDKNVXNKW-UWVGGRQHSA-N -1 1 345.363 -0.114 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)[C@@H]3CCO[C@H]3C3CC3)C2)nc1=O ZINC001028701998 730342279 /nfs/dbraw/zinc/34/22/79/730342279.db2.gz MULYNOXAWQZTBJ-LNSITVRQSA-N -1 1 349.435 -0.138 20 0 EBADMM Cc1c(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)cnn1C ZINC001029214370 730393384 /nfs/dbraw/zinc/39/33/84/730393384.db2.gz LUEOYCOWHHRVDL-TXEJJXNPSA-N -1 1 345.407 -0.361 20 0 EBADMM Cc1ncoc1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029258274 730395474 /nfs/dbraw/zinc/39/54/74/730395474.db2.gz LKIXZBPKSAQYAX-PHIMTYICSA-N -1 1 332.364 -0.106 20 0 EBADMM C[C@@H](C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1)n1cncn1 ZINC001029278309 730396082 /nfs/dbraw/zinc/39/60/82/730396082.db2.gz DWAKIARDPKYQLN-SDDRHHMPSA-N -1 1 346.395 -0.864 20 0 EBADMM C[C@@H]1COCC[C@@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029463546 730406462 /nfs/dbraw/zinc/40/64/62/730406462.db2.gz XAHREUVBMJHGAS-MQYQWHSLSA-N -1 1 349.435 -0.044 20 0 EBADMM Cn1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)n1 ZINC001029584129 730411795 /nfs/dbraw/zinc/41/17/95/730411795.db2.gz NUAWTFPTRNIKCC-PHIMTYICSA-N -1 1 331.380 -0.669 20 0 EBADMM C[C@@H](C(=O)N1CC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1)n1cncn1 ZINC001029709328 730485926 /nfs/dbraw/zinc/48/59/26/730485926.db2.gz AWVTVACLTLBXQG-WDEREUQCSA-N -1 1 334.384 -0.357 20 0 EBADMM CN(Cc1cncn1C)C[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001029777803 730500094 /nfs/dbraw/zinc/50/00/94/730500094.db2.gz QUDVRBAWVGSQAG-JTQLQIEISA-N -1 1 347.379 -0.390 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001029877879 730517120 /nfs/dbraw/zinc/51/71/20/730517120.db2.gz XWEFRQXZFFNIPX-SECBINFHSA-N -1 1 347.379 -0.469 20 0 EBADMM CN(Cc1ccn(C)n1)C[C@@H]1CCN(C(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC001029894404 730519875 /nfs/dbraw/zinc/51/98/75/730519875.db2.gz HZFDMLAJFKCXBJ-NSHDSACASA-N -1 1 349.395 -0.597 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)Cn2ccccc2=O)C1 ZINC001029903514 730523079 /nfs/dbraw/zinc/52/30/79/730523079.db2.gz YIVPPASBVXWEIF-GFCCVEGCSA-N -1 1 346.391 -0.348 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)CCc2cn(C)nn2)C1 ZINC001029916349 730525006 /nfs/dbraw/zinc/52/50/06/730525006.db2.gz HKGOGNIZEAXLFE-NSHDSACASA-N -1 1 348.411 -0.448 20 0 EBADMM Cc1cc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1C(F)F ZINC001030377320 730576019 /nfs/dbraw/zinc/57/60/19/730576019.db2.gz XSMUTRIDOAPUBB-UHFFFAOYSA-N -1 1 341.322 -0.377 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cc(=O)[nH]c(C4CC4)n3)C2)nc1=O ZINC001030491267 730594673 /nfs/dbraw/zinc/59/46/73/730594673.db2.gz FCGSBHZXHVLRIL-UHFFFAOYSA-N -1 1 345.363 -0.904 20 0 EBADMM Cc1ccc2nc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)cn2c1 ZINC001030514519 730597522 /nfs/dbraw/zinc/59/75/22/730597522.db2.gz QSIXIDYBOJFRAA-UHFFFAOYSA-N -1 1 341.375 -0.321 20 0 EBADMM Cc1nc2c([nH]1)CC[C@@H](C(=O)NC1CN(Cc3n[nH]c(=O)[n-]3)C1)C2 ZINC001030552123 730604555 /nfs/dbraw/zinc/60/45/55/730604555.db2.gz FJZRJIRNWVXACI-SECBINFHSA-N -1 1 331.380 -0.353 20 0 EBADMM CCc1nc([C@H](C)N2CC(NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001030616982 730614598 /nfs/dbraw/zinc/61/45/98/730614598.db2.gz RFMVWVICNNJZPZ-LURJTMIESA-N -1 1 334.340 -0.862 20 0 EBADMM Cc1n[nH]c2ccc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)cc12 ZINC001030660994 730621366 /nfs/dbraw/zinc/62/13/66/730621366.db2.gz JXYSXAFLWGJKIZ-UHFFFAOYSA-N -1 1 341.375 -0.093 20 0 EBADMM C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)NC1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001030701138 730625807 /nfs/dbraw/zinc/62/58/07/730625807.db2.gz LRXNIWQXYMCJAZ-AMIZOPFISA-N -1 1 331.380 -0.100 20 0 EBADMM CN1CC[C@@H](N2CC(NC(=O)c3cnc(C4CC4)[n-]c3=O)C2)C1=O ZINC001031062892 730670321 /nfs/dbraw/zinc/67/03/21/730670321.db2.gz HAHXYSUWNZVDLU-GFCCVEGCSA-N -1 1 331.376 -0.296 20 0 EBADMM Cn1cc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c(C(C)(C)C)n1 ZINC001031114426 730677359 /nfs/dbraw/zinc/67/73/59/730677359.db2.gz SMFSBXUSBKSVML-UHFFFAOYSA-N -1 1 347.423 -0.246 20 0 EBADMM Cc1csc(CN2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)n1 ZINC001031249519 730693062 /nfs/dbraw/zinc/69/30/62/730693062.db2.gz AWDWBJOAAZATOL-UHFFFAOYSA-N -1 1 345.388 -0.203 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C[C@@H]2CC[C@H](C)O2)C1 ZINC001031249778 730693243 /nfs/dbraw/zinc/69/32/43/730693243.db2.gz HNGMLQTXTUKHDX-WPRPVWTQSA-N -1 1 338.364 -0.122 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(Cc2cnc(C)o2)C1 ZINC001031249781 730693315 /nfs/dbraw/zinc/69/33/15/730693315.db2.gz HRVORSABJZUISI-UHFFFAOYSA-N -1 1 335.320 -0.193 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(CCc2ccnn2C)C1 ZINC001031249861 730693464 /nfs/dbraw/zinc/69/34/64/730693464.db2.gz JLYYAGKJDMBZOO-UHFFFAOYSA-N -1 1 348.363 -0.713 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(Cc2nccnc2C)C1 ZINC001031249834 730693468 /nfs/dbraw/zinc/69/34/68/730693468.db2.gz IKHDXTVSXHLFDW-UHFFFAOYSA-N -1 1 346.347 -0.391 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(Cc2ocnc2C)C1 ZINC001031249588 730693484 /nfs/dbraw/zinc/69/34/84/730693484.db2.gz CHQUTHJZSJFZQI-UHFFFAOYSA-N -1 1 335.320 -0.193 20 0 EBADMM Cc1cc(CN2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)no1 ZINC001031250092 730693714 /nfs/dbraw/zinc/69/37/14/730693714.db2.gz SLYIYMBMAZIZHD-UHFFFAOYSA-N -1 1 329.320 -0.672 20 0 EBADMM Cc1cc(CN2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)on1 ZINC001031250105 730693788 /nfs/dbraw/zinc/69/37/88/730693788.db2.gz TZDYUKFTEGQHPW-UHFFFAOYSA-N -1 1 329.320 -0.672 20 0 EBADMM Cc1nn(C)cc1CN1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001031250052 730693898 /nfs/dbraw/zinc/69/38/98/730693898.db2.gz RCNSNEZVHFGGMR-UHFFFAOYSA-N -1 1 342.363 -0.926 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(Cc2cnoc2C)C1 ZINC001031250062 730693967 /nfs/dbraw/zinc/69/39/67/730693967.db2.gz RPSGDGHEBWEWPN-UHFFFAOYSA-N -1 1 335.320 -0.193 20 0 EBADMM C[C@H]1CC[C@@H](CN2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)O1 ZINC001031251607 730695098 /nfs/dbraw/zinc/69/50/98/730695098.db2.gz RLKMJHKKVGMBGS-ONGXEEELSA-N -1 1 332.364 -0.601 20 0 EBADMM C[C@@H](c1csnn1)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001031251665 730695157 /nfs/dbraw/zinc/69/51/57/730695157.db2.gz UOBCWKPVZMEQPM-ZETCQYMHSA-N -1 1 346.376 -0.556 20 0 EBADMM C[C@H](c1ncccn1)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001031253536 730695625 /nfs/dbraw/zinc/69/56/25/730695625.db2.gz QMMJMXWLNOGHCT-SECBINFHSA-N -1 1 340.347 -0.617 20 0 EBADMM Cc1cccc2c1C[C@@H](C(=O)NC1CN(Cc3nc(=O)n(C)[n-]3)C1)O2 ZINC001031266228 730696751 /nfs/dbraw/zinc/69/67/51/730696751.db2.gz PCCYUUBQKRPWEZ-AWEZNQCLSA-N -1 1 343.387 -0.279 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ccc4[nH]c(=O)oc4c3)C2)nc1=O ZINC001031441637 730724399 /nfs/dbraw/zinc/72/43/99/730724399.db2.gz IMQAAZCGLFZCKN-UHFFFAOYSA-N -1 1 344.331 -0.431 20 0 EBADMM Cc1cccc2c1O[C@@H](C(=O)NC1CN(Cc3nc(=O)n(C)[n-]3)C1)C2 ZINC001031501221 730731255 /nfs/dbraw/zinc/73/12/55/730731255.db2.gz LOWWBBCIZKAEIT-CYBMUJFWSA-N -1 1 343.387 -0.279 20 0 EBADMM Cn1ncc(Cl)c1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031673233 730749027 /nfs/dbraw/zinc/74/90/27/730749027.db2.gz BASXZKIXXSSTDZ-UHFFFAOYSA-N -1 1 339.787 -0.643 20 0 EBADMM Cn1nc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)cc1C1CC1 ZINC001031717941 730756901 /nfs/dbraw/zinc/75/69/01/730756901.db2.gz PHSKTCQJMUETFH-UHFFFAOYSA-N -1 1 345.407 -0.419 20 0 EBADMM Cc1nc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)c(=O)[nH]c1C ZINC001031762019 730764719 /nfs/dbraw/zinc/76/47/19/730764719.db2.gz MASUZFPJLIDCBU-UHFFFAOYSA-N -1 1 347.379 -0.917 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3ncc(F)cc3F)C2)nc1=O ZINC001031771568 730766728 /nfs/dbraw/zinc/76/67/28/730766728.db2.gz SPFUTEFSKMYEKK-UHFFFAOYSA-N -1 1 338.318 -0.357 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3ccc4[nH]ccc4n3)C2)nc1=O ZINC001031845927 730779635 /nfs/dbraw/zinc/77/96/35/730779635.db2.gz LTJHRQBDNDPESQ-UHFFFAOYSA-N -1 1 341.375 -0.154 20 0 EBADMM CCc1cncc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001031849978 730779876 /nfs/dbraw/zinc/77/98/76/730779876.db2.gz JXDFEELELYYROP-UHFFFAOYSA-N -1 1 330.392 -0.072 20 0 EBADMM CCc1cc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)cc(=O)[nH]1 ZINC001031851953 730780254 /nfs/dbraw/zinc/78/02/54/730780254.db2.gz OIFGCEBSMKCZIW-UHFFFAOYSA-N -1 1 346.391 -0.367 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@@H]3OC[C@@H]4CCC[C@@H]43)C2)nc1=O ZINC001031855463 730780366 /nfs/dbraw/zinc/78/03/66/730780366.db2.gz HJGQIRYRHIERSQ-SGMGOOAPSA-N -1 1 335.408 -0.529 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cccc4n[nH]cc43)C2)nc1=O ZINC001031889641 730785668 /nfs/dbraw/zinc/78/56/68/730785668.db2.gz LSHFBRMTFOWTJP-UHFFFAOYSA-N -1 1 341.375 -0.154 20 0 EBADMM COc1cc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)cc(C)n1 ZINC001031923883 730793042 /nfs/dbraw/zinc/79/30/42/730793042.db2.gz WHQNWNKKEOBSNJ-UHFFFAOYSA-N -1 1 346.391 -0.318 20 0 EBADMM CCc1cc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001032070746 730810359 /nfs/dbraw/zinc/81/03/59/730810359.db2.gz FCXUXQRXMCSPPO-UHFFFAOYSA-N -1 1 333.396 -0.734 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cccc4[nH]cnc43)C2)nc1=O ZINC001032067934 730810416 /nfs/dbraw/zinc/81/04/16/730810416.db2.gz HDYNYGLQQDJZPO-UHFFFAOYSA-N -1 1 341.375 -0.154 20 0 EBADMM CCCc1cc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)no1 ZINC001032091766 730811784 /nfs/dbraw/zinc/81/17/84/730811784.db2.gz CICXBIJKPKCSRU-UHFFFAOYSA-N -1 1 334.380 -0.089 20 0 EBADMM COc1ccc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)cc1 ZINC001032146819 730818753 /nfs/dbraw/zinc/81/87/53/730818753.db2.gz HSTZHDKIBFYEHW-UHFFFAOYSA-N -1 1 331.376 -0.021 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3ccc(C(N)=O)cc3)C2)nc1=O ZINC001032180625 730823136 /nfs/dbraw/zinc/82/31/36/730823136.db2.gz GRXPDPQWUYBCGN-UHFFFAOYSA-N -1 1 344.375 -0.931 20 0 EBADMM CO[C@@H]1CCCC[C@H]1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032221073 730831600 /nfs/dbraw/zinc/83/16/00/730831600.db2.gz WKJWFVVJLLKKLC-CHWSQXEVSA-N -1 1 337.424 -0.138 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)Cc2cccnc2)nc1=O ZINC001032358219 730844589 /nfs/dbraw/zinc/84/45/89/730844589.db2.gz YIRKMKPCEZJPRG-STQMWFEESA-N -1 1 328.376 -0.469 20 0 EBADMM CCc1nc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)co1 ZINC001032365559 730845881 /nfs/dbraw/zinc/84/58/81/730845881.db2.gz DRSZBWGSHJYPCX-UWVGGRQHSA-N -1 1 332.364 -0.242 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@@H]2C[C@H]1CN2CCN1CCNC1=O ZINC001032369933 730846870 /nfs/dbraw/zinc/84/68/70/730846870.db2.gz ZPYNLPWJSPBRPQ-RYUDHWBXSA-N -1 1 331.376 -0.289 20 0 EBADMM Cc1cc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)cnn1 ZINC001032374821 730847040 /nfs/dbraw/zinc/84/70/40/730847040.db2.gz NATIPCCHVUTBMM-RYUDHWBXSA-N -1 1 329.364 -0.694 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)[C@H]2CCCOCC2)nc1=O ZINC001032377542 730848671 /nfs/dbraw/zinc/84/86/71/730848671.db2.gz CZNPMGSIJMBMGO-AVGNSLFASA-N -1 1 335.408 -0.290 20 0 EBADMM Cn1ccc(CCC(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)n1 ZINC001032429106 730857883 /nfs/dbraw/zinc/85/78/83/730857883.db2.gz CZZGJQDLZTZQBM-STQMWFEESA-N -1 1 345.407 -0.740 20 0 EBADMM CC1(C)CO[C@H](CN2C[C@@H]3C[C@H]2CN3C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001032498853 730876354 /nfs/dbraw/zinc/87/63/54/730876354.db2.gz LQWAHBZTEUBFTD-DCAQKATOSA-N -1 1 349.391 -0.003 20 0 EBADMM CO[C@H](C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1)C1CCC1 ZINC001032545475 730886118 /nfs/dbraw/zinc/88/61/18/730886118.db2.gz GPMYLJBLIGQZPH-OBJOEFQTSA-N -1 1 335.408 -0.291 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)[C@@]2(F)CCOC2)nc1=O ZINC001032587538 730894241 /nfs/dbraw/zinc/89/42/41/730894241.db2.gz KHJBIMUVLZRHIP-PKFCDNJMSA-N -1 1 325.344 -0.978 20 0 EBADMM C[C@@H](C(N)=O)N1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001032668635 730907640 /nfs/dbraw/zinc/90/76/40/730907640.db2.gz JIDKGHPKFUBMHQ-LSJOCFKGSA-N -1 1 331.376 -0.168 20 0 EBADMM COCc1nc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)co1 ZINC001032706591 730915696 /nfs/dbraw/zinc/91/56/96/730915696.db2.gz AXTJRZVWTKHDHL-UWVGGRQHSA-N -1 1 348.363 -0.658 20 0 EBADMM Cc1cnc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001032884154 730945792 /nfs/dbraw/zinc/94/57/92/730945792.db2.gz KKODREVIQMAILV-NSHDSACASA-N -1 1 331.380 -0.447 20 0 EBADMM Cc1cc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001032938214 730950689 /nfs/dbraw/zinc/95/06/89/730950689.db2.gz PCIZNKKAOCMXKS-NSHDSACASA-N -1 1 333.396 -0.503 20 0 EBADMM CN(C(=O)Cc1cncnc1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033040763 730961322 /nfs/dbraw/zinc/96/13/22/730961322.db2.gz DZIXPHZXRICROS-LBPRGKRZSA-N -1 1 331.380 -0.826 20 0 EBADMM CN(C(=O)C1(F)CCOCC1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033137081 730975709 /nfs/dbraw/zinc/97/57/09/730975709.db2.gz UDUCOVBNXRLTCW-NSHDSACASA-N -1 1 341.387 -0.340 20 0 EBADMM CN(C(=O)c1cccc(=O)n1C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033404444 731019130 /nfs/dbraw/zinc/01/91/30/731019130.db2.gz BFPOGNBAIXZYSG-LLVKDONJSA-N -1 1 346.391 -0.846 20 0 EBADMM Cc1ccc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c(=O)[nH]1 ZINC001033460147 731024906 /nfs/dbraw/zinc/02/49/06/731024906.db2.gz MQSODPITNYLSSX-LLVKDONJSA-N -1 1 346.391 -0.136 20 0 EBADMM CCn1ccc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001033472088 731026024 /nfs/dbraw/zinc/02/60/24/731026024.db2.gz RTFMGZXAHZITAP-NSHDSACASA-N -1 1 333.396 -0.329 20 0 EBADMM CCn1cc(CC(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001033572466 731041185 /nfs/dbraw/zinc/04/11/85/731041185.db2.gz ANFBGBPHZCBILK-CYBMUJFWSA-N -1 1 347.423 -0.400 20 0 EBADMM Cc1nccc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001033672720 731061145 /nfs/dbraw/zinc/06/11/45/731061145.db2.gz ZEAJKCQDQFQMHY-NSHDSACASA-N -1 1 331.380 -0.447 20 0 EBADMM CCN(C(=O)c1n[nH]cc1C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033822479 731077127 /nfs/dbraw/zinc/07/71/27/731077127.db2.gz JBTNLMWKWWNBOX-LLVKDONJSA-N -1 1 333.396 -0.123 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H](NC(=O)Cc3cncnc3)C2)nc1=O ZINC001034188324 731109109 /nfs/dbraw/zinc/10/91/09/731109109.db2.gz NWXHETKQCIMNIM-CYBMUJFWSA-N -1 1 345.407 -0.388 20 0 EBADMM Cc1cn(C)nc1C(=O)N[C@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034202289 731110822 /nfs/dbraw/zinc/11/08/22/731110822.db2.gz SLTFSWGEHLQJKN-LBPRGKRZSA-N -1 1 347.423 -0.065 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H](NC(=O)c3cnc[nH]c3=O)C2)nc1=O ZINC001034265060 731115888 /nfs/dbraw/zinc/11/58/88/731115888.db2.gz MSUGDMWUGMLSEA-JTQLQIEISA-N -1 1 347.379 -0.612 20 0 EBADMM Cc1ccnn1CC(=O)N[C@@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034425825 731130195 /nfs/dbraw/zinc/13/01/95/731130195.db2.gz IAXVXGXTHWGJHX-CYBMUJFWSA-N -1 1 347.423 -0.216 20 0 EBADMM CCn1cc(C(=O)N[C@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001034466942 731133468 /nfs/dbraw/zinc/13/34/68/731133468.db2.gz HZRNLYULUDUXDD-NSHDSACASA-N -1 1 348.411 -0.496 20 0 EBADMM Cn1cc(CN2CCCC[C@H](NC(=O)Cn3c(=O)[n-][nH]c3=O)C2)cn1 ZINC001034510186 731136658 /nfs/dbraw/zinc/13/66/58/731136658.db2.gz GPCRZRXKAFMXGV-LBPRGKRZSA-N -1 1 349.395 -0.406 20 0 EBADMM O=C(N[C@H]1CC[C@H](NCc2n[nH]c(=O)[n-]2)CC1)C1CS(=O)(=O)C1 ZINC001034651021 731148221 /nfs/dbraw/zinc/14/82/21/731148221.db2.gz BIUHWVQSTYZYIN-MGCOHNPYSA-N -1 1 343.409 -0.928 20 0 EBADMM Cn1cc(CN[C@H]2CC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)CC2)nn1 ZINC001034683280 731150574 /nfs/dbraw/zinc/15/05/74/731150574.db2.gz DXCGEYHWINKYCI-KYZUINATSA-N -1 1 348.367 -0.758 20 0 EBADMM Cc1nn(C)cc1CC(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034961984 731169036 /nfs/dbraw/zinc/16/90/36/731169036.db2.gz NPZLRQPXWWBGHD-GFCCVEGCSA-N -1 1 333.396 -0.124 20 0 EBADMM Cn1ccc(CNC[C@H]2CCCN2C(=O)c2n[nH]c(=O)[n-]c2=O)n1 ZINC001034984970 731172709 /nfs/dbraw/zinc/17/27/09/731172709.db2.gz JDTPUWBGUQSDLS-SNVBAGLBSA-N -1 1 333.352 -0.589 20 0 EBADMM CO[C@@H](C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)c1cnn(C)c1 ZINC001035001636 731175391 /nfs/dbraw/zinc/17/53/91/731175391.db2.gz ANFHMPHEUKZTDD-DGCLKSJQSA-N -1 1 349.395 -0.288 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)[nH]1 ZINC001035053302 731181458 /nfs/dbraw/zinc/18/14/58/731181458.db2.gz OYIONIPJAWZPOF-MRVPVSSYSA-N -1 1 333.352 -0.668 20 0 EBADMM CN1C[C@@H](C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CCC1=O ZINC001035135314 731191255 /nfs/dbraw/zinc/19/12/55/731191255.db2.gz ILCARMVVHOILPX-WDEREUQCSA-N -1 1 336.396 -0.541 20 0 EBADMM O=C(Cn1nccn1)N1CCC2(CCN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035190690 731195920 /nfs/dbraw/zinc/19/59/20/731195920.db2.gz BUFXPUBSDLMBFT-UHFFFAOYSA-N -1 1 346.395 -0.384 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)[C@H]3CC=CCC3)C2)nc1=O ZINC001035295583 731207137 /nfs/dbraw/zinc/20/71/37/731207137.db2.gz ZYCRRPHNKMNQIS-STQMWFEESA-N -1 1 335.408 -0.218 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)[C@H]3[C@@H]4CCC[C@@H]43)C2)nc1=O ZINC001035315744 731212708 /nfs/dbraw/zinc/21/27/08/731212708.db2.gz FGJLVZOUSRPZNU-CIQGVGRVSA-N -1 1 335.408 -0.529 20 0 EBADMM O=C(CN1CCO[C@H](CNC(=O)c2ncccc2[O-])C1)N1CCCC1 ZINC001035343307 731221519 /nfs/dbraw/zinc/22/15/19/731221519.db2.gz HOAUQOIHQVZVIW-CYBMUJFWSA-N -1 1 348.403 -0.160 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC[C@H]3[C@@H](CC[N@H+]3Cc3n[nH]c(=O)[n-]3)C2)c1[O-] ZINC001036691768 731264276 /nfs/dbraw/zinc/26/42/76/731264276.db2.gz VCSRKAHNGSUFNS-UWVGGRQHSA-N -1 1 347.379 -0.016 20 0 EBADMM CC[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC[C@H]1NCc1cnon1 ZINC001037888510 731270962 /nfs/dbraw/zinc/27/09/62/731270962.db2.gz LZUYJFDONXNBRZ-WCBMZHEXSA-N -1 1 349.351 -0.304 20 0 EBADMM CC(C)Cn1ccc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001038307132 731304713 /nfs/dbraw/zinc/30/47/13/731304713.db2.gz NMPGNYMWIOUUQA-GFCCVEGCSA-N -1 1 347.423 -0.035 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2ccnc3ccnn32)nc1=O ZINC001038331392 731308619 /nfs/dbraw/zinc/30/86/19/731308619.db2.gz JEUCFEGXXFASBI-JTQLQIEISA-N -1 1 342.363 -0.845 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2ccc3nonc3c2)nc1=O ZINC001038655686 731361796 /nfs/dbraw/zinc/36/17/96/731361796.db2.gz BAHXWEDMSCRRFX-JTQLQIEISA-N -1 1 343.347 -0.351 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2conc2C2CC2)nc1=O ZINC001038682481 731363398 /nfs/dbraw/zinc/36/33/98/731363398.db2.gz CKZSGHYTKXETBO-JTQLQIEISA-N -1 1 332.364 -0.022 20 0 EBADMM Cc1ccc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)c(=O)[nH]1 ZINC001038744040 731370920 /nfs/dbraw/zinc/37/09/20/731370920.db2.gz ZEMOVSIILBCPHW-SNVBAGLBSA-N -1 1 332.364 -0.478 20 0 EBADMM CC(C)n1ccc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001038762734 731373144 /nfs/dbraw/zinc/37/31/44/731373144.db2.gz VJQICBMFAIJWPH-LLVKDONJSA-N -1 1 333.396 -0.110 20 0 EBADMM Cn1nnc(CN2CC[C@@H]2CNC(=O)c2cnc(C3CC3)[n-]c2=O)n1 ZINC001038781430 731376040 /nfs/dbraw/zinc/37/60/40/731376040.db2.gz SMQQLNZPHHECSD-SNVBAGLBSA-N -1 1 344.379 -0.413 20 0 EBADMM CCCn1cc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)nn1 ZINC001038851971 731384774 /nfs/dbraw/zinc/38/47/74/731384774.db2.gz BHQWAXDYFRDFFG-SNVBAGLBSA-N -1 1 334.384 -0.886 20 0 EBADMM Cn1cc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)c(Cl)n1 ZINC001038902580 731389660 /nfs/dbraw/zinc/38/96/60/731389660.db2.gz PKGNLAOBEPBPTC-QMMMGPOBSA-N -1 1 339.787 -0.500 20 0 EBADMM Cc1ncoc1CN1CC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001038920390 731392704 /nfs/dbraw/zinc/39/27/04/731392704.db2.gz IEAFZLDDZSRNOL-SNVBAGLBSA-N -1 1 343.347 -0.282 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cc(Cl)no2)nc1=O ZINC001038946315 731396546 /nfs/dbraw/zinc/39/65/46/731396546.db2.gz ZJLMNLUVPFCYIP-SSDOTTSWSA-N -1 1 326.744 -0.246 20 0 EBADMM Cc1nn(C)c(F)c1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038965191 731396942 /nfs/dbraw/zinc/39/69/42/731396942.db2.gz QDEJKVMYHZIJBB-VIFPVBQESA-N -1 1 337.359 -0.706 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2ncc3n2CCCC3)nc1=O ZINC001039035002 731408423 /nfs/dbraw/zinc/40/84/23/731408423.db2.gz UFSQJJYCTJUHRN-LLVKDONJSA-N -1 1 345.407 -0.355 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@@H]2COc3ccccc32)nc1=O ZINC001039085067 731417001 /nfs/dbraw/zinc/41/70/01/731417001.db2.gz NSOQQAFYMGLXOC-WCQYABFASA-N -1 1 343.387 -0.025 20 0 EBADMM Cn1nccc1C(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001039529350 731439015 /nfs/dbraw/zinc/43/90/15/731439015.db2.gz JPPGIRDMLXDPST-TXEJJXNPSA-N -1 1 345.407 -0.279 20 0 EBADMM CC(C)[C@@H](O)C(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001039567639 731446610 /nfs/dbraw/zinc/44/66/10/731446610.db2.gz CTLGPXWRNIELTM-MBNYWOFBSA-N -1 1 337.424 -0.309 20 0 EBADMM Cc1n[nH]nc1C(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001039817210 731541854 /nfs/dbraw/zinc/54/18/54/731541854.db2.gz PUWCBKDFJZNOIS-PHIMTYICSA-N -1 1 346.395 -0.586 20 0 EBADMM Cc1c[nH]c(C(=O)NC[C@@]2(O)CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001039832323 731548877 /nfs/dbraw/zinc/54/88/77/731548877.db2.gz KUJTTWWXEXNCDW-INIZCTEOSA-N -1 1 345.359 -0.174 20 0 EBADMM O=C(Cn1ccnc1)NC[C@]1(O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001040214453 731648546 /nfs/dbraw/zinc/64/85/46/731648546.db2.gz NHUQREZECXKDSQ-MRXNPFEDSA-N -1 1 345.359 -0.623 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(CCN(C(=O)Cn4cccn4)C3)C2)nc1=O ZINC001041071419 731980683 /nfs/dbraw/zinc/98/06/83/731980683.db2.gz FUEDFNRLAKBLEJ-INIZCTEOSA-N -1 1 345.407 -0.571 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)C1CCOCC1 ZINC001041121275 731996952 /nfs/dbraw/zinc/99/69/52/731996952.db2.gz IFFHKOZEBPSZGC-UHFFFAOYSA-N -1 1 335.360 -0.483 20 0 EBADMM O=C(COCC1CC1)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001041645252 732181544 /nfs/dbraw/zinc/18/15/44/732181544.db2.gz DXYUHODNUPJGSE-UHFFFAOYSA-N -1 1 335.360 -0.483 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)c4cnccn4)C[C@H]32)nc1=O ZINC001041909048 732252117 /nfs/dbraw/zinc/25/21/17/732252117.db2.gz HLBPZGAXXNXMOS-DGCLKSJQSA-N -1 1 343.391 -0.365 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)c4cnns4)C[C@@H]32)nc1=O ZINC001041959416 732263656 /nfs/dbraw/zinc/26/36/56/732263656.db2.gz ZBFLZHUBUDXYPL-UWVGGRQHSA-N -1 1 349.420 -0.304 20 0 EBADMM Cn1nccc1C(=O)N1CC[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1 ZINC001041973764 732265709 /nfs/dbraw/zinc/26/57/09/732265709.db2.gz WLSIIQHDEZHBSI-AAEUAGOBSA-N -1 1 345.407 -0.422 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)C1=COCCC1 ZINC001041976412 732266255 /nfs/dbraw/zinc/26/62/55/732266255.db2.gz KMUIXPTVZAOOPR-UHFFFAOYSA-N -1 1 333.344 -0.215 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)c4ncc[nH]4)C[C@@H]32)nc1=O ZINC001042051683 732287904 /nfs/dbraw/zinc/28/79/04/732287904.db2.gz PGZMXWADYHOOIQ-MNOVXSKESA-N -1 1 331.380 -0.432 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)c1cc[nH]c(=O)c1 ZINC001042510271 732458744 /nfs/dbraw/zinc/45/87/44/732458744.db2.gz NKMSXHMRPDROBW-UHFFFAOYSA-N -1 1 344.327 -0.495 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(CC(=O)NC2CCOCC2)C1 ZINC001042642602 732510543 /nfs/dbraw/zinc/51/05/43/732510543.db2.gz OKXFLXMEFCSUEB-UHFFFAOYSA-N -1 1 348.403 -0.161 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2cc[n+]([O-])cc2)C1)c1ncccc1[O-] ZINC001042713181 732546585 /nfs/dbraw/zinc/54/65/85/732546585.db2.gz QEKGKTJBYNYISF-UHFFFAOYSA-N -1 1 344.327 -0.963 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2cnccn2)C1)c1ncccc1[O-] ZINC001042713098 732546830 /nfs/dbraw/zinc/54/68/30/732546830.db2.gz OHVHLPOMWNDLPZ-UHFFFAOYSA-N -1 1 329.316 -0.806 20 0 EBADMM O=C(C[C@@H]1CCOC1)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042713465 732547421 /nfs/dbraw/zinc/54/74/21/732547421.db2.gz YTTOQMIGZXQGLM-NSHDSACASA-N -1 1 335.360 -0.483 20 0 EBADMM C[C@H](OCC1CC1)C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042713312 732547426 /nfs/dbraw/zinc/54/74/26/732547426.db2.gz SJMNAKORYDVAJX-NSHDSACASA-N -1 1 349.387 -0.095 20 0 EBADMM C[C@@H]1OCC[C@@H]1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042716173 732548321 /nfs/dbraw/zinc/54/83/21/732548321.db2.gz ICVAHXFRZXPKMM-QWRGUYRKSA-N -1 1 335.360 -0.485 20 0 EBADMM CO[C@H](C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1)C(C)C ZINC001042716671 732548752 /nfs/dbraw/zinc/54/87/52/732548752.db2.gz XGCBVQXUEYFUES-ZDUSSCGKSA-N -1 1 337.376 -0.239 20 0 EBADMM O=C(NCC1(O)CN(C(=O)[C@@]2(F)CCOC2)C1)c1ncccc1[O-] ZINC001042719396 732550167 /nfs/dbraw/zinc/55/01/67/732550167.db2.gz BWOXKCUNEOGDHJ-OAHLLOKOSA-N -1 1 339.323 -0.781 20 0 EBADMM COCC1(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)CCC1 ZINC001042720000 732550543 /nfs/dbraw/zinc/55/05/43/732550543.db2.gz PSJCBMDPTHXEAW-UHFFFAOYSA-N -1 1 349.387 -0.093 20 0 EBADMM O=C(Cc1cscn1)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042719581 732550605 /nfs/dbraw/zinc/55/06/05/732550605.db2.gz GRJWLMZZOCEDBO-UHFFFAOYSA-N -1 1 348.384 -0.211 20 0 EBADMM CO[C@@H]1CC[C@H](C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)C1 ZINC001042719718 732550670 /nfs/dbraw/zinc/55/06/70/732550670.db2.gz IFZSRVDJKLZWSD-NWDGAFQWSA-N -1 1 349.387 -0.095 20 0 EBADMM CO[C@@H]1CCC[C@H]1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042719965 732550727 /nfs/dbraw/zinc/55/07/27/732550727.db2.gz OTJRMRHDVQHWIE-DGCLKSJQSA-N -1 1 349.387 -0.095 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2cc(F)c[nH]2)C1)c1ncccc1[O-] ZINC001042720296 732551148 /nfs/dbraw/zinc/55/11/48/732551148.db2.gz UIYISINRUAHOOQ-UHFFFAOYSA-N -1 1 334.307 -0.129 20 0 EBADMM C[C@@H]1COCC[C@@H]1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042720144 732551197 /nfs/dbraw/zinc/55/11/97/732551197.db2.gz RUNHHPWJFDEPLX-NEPJUHHUSA-N -1 1 349.387 -0.237 20 0 EBADMM Cc1c[nH]c(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)n1 ZINC001042720285 732551287 /nfs/dbraw/zinc/55/12/87/732551287.db2.gz UEKOAICWEQNOGQ-UHFFFAOYSA-N -1 1 331.332 -0.564 20 0 EBADMM O=C(NCC1(O)CN(C(=O)[C@]23C[C@H]2COC3)C1)c1ncccc1[O-] ZINC001042720547 732551774 /nfs/dbraw/zinc/55/17/74/732551774.db2.gz YUBIIAYWHVWGGB-QFYYESIMSA-N -1 1 333.344 -0.873 20 0 EBADMM CN(C(=O)c1ccnn1C1CCC1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042734831 732557491 /nfs/dbraw/zinc/55/74/91/732557491.db2.gz DFTOCVDOULNCBX-UHFFFAOYSA-N -1 1 345.407 -0.014 20 0 EBADMM COc1cc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)sn1 ZINC001042742051 732561540 /nfs/dbraw/zinc/56/15/40/732561540.db2.gz AORIDXYOPSEKPC-UHFFFAOYSA-N -1 1 338.393 -0.470 20 0 EBADMM COc1nc(C)ncc1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042862667 732633190 /nfs/dbraw/zinc/63/31/90/732633190.db2.gz SREJGQDCEKMZAT-UHFFFAOYSA-N -1 1 347.379 -0.828 20 0 EBADMM CC(C)[C@@H]1OCC[C@H]1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042881469 732641571 /nfs/dbraw/zinc/64/15/71/732641571.db2.gz JCPCOEZHLMLMJI-OCCSQVGLSA-N -1 1 337.424 -0.188 20 0 EBADMM CN(C(=O)c1cnn2ccncc12)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042934347 732667945 /nfs/dbraw/zinc/66/79/45/732667945.db2.gz BUOPHSSQSVKZMF-UHFFFAOYSA-N -1 1 342.363 -0.893 20 0 EBADMM CN(C(=O)c1cnc2n[nH]cc2c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043030726 732719025 /nfs/dbraw/zinc/71/90/25/732719025.db2.gz GJOMSIUYVDLNJR-UHFFFAOYSA-N -1 1 342.363 -0.664 20 0 EBADMM CN(C(=O)[C@@H]1CCc2nccn2C1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043132150 732780177 /nfs/dbraw/zinc/78/01/77/732780177.db2.gz DOLWKBULNLFPOM-LLVKDONJSA-N -1 1 345.407 -0.790 20 0 EBADMM CN(C(=O)c1cn2cccnc2n1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043218264 732827524 /nfs/dbraw/zinc/82/75/24/732827524.db2.gz ORCQBSYIMPGDKL-UHFFFAOYSA-N -1 1 342.363 -0.893 20 0 EBADMM CN(C(=O)[C@@H]1C[C@H]1C1CCOCC1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043324159 732871881 /nfs/dbraw/zinc/87/18/81/732871881.db2.gz TYPSWQMJIFWIFK-UONOGXRCSA-N -1 1 349.435 -0.186 20 0 EBADMM CN(C(=O)c1nccn2ccnc12)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043911813 733194676 /nfs/dbraw/zinc/19/46/76/733194676.db2.gz SFUPQRHTXQURLR-UHFFFAOYSA-N -1 1 342.363 -0.893 20 0 EBADMM CC(C)n1ccc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001043994550 733235881 /nfs/dbraw/zinc/23/58/81/733235881.db2.gz KJWJCQUVAABOOU-UHFFFAOYSA-N -1 1 333.396 -0.158 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(Cc2nncs2)C1 ZINC001044181891 733321120 /nfs/dbraw/zinc/32/11/20/733321120.db2.gz KPZVNCUJVROEMV-UHFFFAOYSA-N -1 1 346.376 -0.775 20 0 EBADMM COC[C@H](C)N1CC(N(C)C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC001044181881 733321421 /nfs/dbraw/zinc/32/14/21/733321421.db2.gz KEBXLIOSUZZOMX-QMMMGPOBSA-N -1 1 326.353 -0.313 20 0 EBADMM Cc1ncoc1CN1CC(N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001044182595 733322072 /nfs/dbraw/zinc/32/20/72/733322072.db2.gz VUBUKXHSXYRATO-UHFFFAOYSA-N -1 1 343.347 -0.330 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CS(C)(=O)=O ZINC001044489344 733437877 /nfs/dbraw/zinc/43/78/77/733437877.db2.gz IIZAAUSHWLQXGF-RKDXNWHRSA-N -1 1 331.398 -0.976 20 0 EBADMM C[C@@H]1C[C@H](NCc2cnn(C)n2)CCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001044663727 733474871 /nfs/dbraw/zinc/47/48/71/733474871.db2.gz NHUOFWARSRBKJX-RKDXNWHRSA-N -1 1 348.367 -0.806 20 0 EBADMM C[C@@H]1C[C@@H](NCc2cnon2)CCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001044664942 733475273 /nfs/dbraw/zinc/47/52/73/733475273.db2.gz WFZIPNNYRYYOLA-SFYZADRCSA-N -1 1 335.324 -0.551 20 0 EBADMM C[C@@H]1C[C@@H](NCc2cnon2)CCN1C(=O)c1cnc([O-])n(C)c1=O ZINC001044709542 733487472 /nfs/dbraw/zinc/48/74/72/733487472.db2.gz XQQVUXFZTYHDAM-ZJUUUORDSA-N -1 1 348.363 -0.348 20 0 EBADMM Cc1cnn(C)c1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001044953402 733542791 /nfs/dbraw/zinc/54/27/91/733542791.db2.gz JOGNTENLJBYKIW-UHFFFAOYSA-N -1 1 345.359 -0.554 20 0 EBADMM O=C(C[C@H]1CCC(=O)NC1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045065543 733570410 /nfs/dbraw/zinc/57/04/10/733570410.db2.gz YHXWWFGIXIOWPD-MNOVXSKESA-N -1 1 336.396 -0.493 20 0 EBADMM O=C(c1cn2c(n1)COCC2)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045092336 733577328 /nfs/dbraw/zinc/57/73/28/733577328.db2.gz YBFRZAHOIPSUDI-JTQLQIEISA-N -1 1 347.379 -0.369 20 0 EBADMM CN1CC[C@@H](C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CC1=O ZINC001045096546 733578818 /nfs/dbraw/zinc/57/88/18/733578818.db2.gz XMDPFLDJCRDEAB-MNOVXSKESA-N -1 1 336.396 -0.541 20 0 EBADMM O=C(CN1CCCNC1=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045105579 733583636 /nfs/dbraw/zinc/58/36/36/733583636.db2.gz DAVHZRCQKBBFCV-JTQLQIEISA-N -1 1 337.384 -0.994 20 0 EBADMM Cc1noc(CCC(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)n1 ZINC001045142841 733598981 /nfs/dbraw/zinc/59/89/81/733598981.db2.gz BKZLOHPRSNKFSV-JTQLQIEISA-N -1 1 335.368 -0.085 20 0 EBADMM C[C@@]1(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CCC(=O)NC1 ZINC001045165828 733607465 /nfs/dbraw/zinc/60/74/65/733607465.db2.gz UEIFEPBJHSPJLR-ZUZCIYMTSA-N -1 1 336.396 -0.493 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)c1nccs1 ZINC001045240412 733633011 /nfs/dbraw/zinc/63/30/11/733633011.db2.gz MZQQMOAIYXHMBN-UHFFFAOYSA-N -1 1 334.357 -0.139 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](N3CCN(C(=O)C4CCC4)CC3)C2)nc1=O ZINC001045993964 733789287 /nfs/dbraw/zinc/78/92/87/733789287.db2.gz CVQKKLBQBRKMFX-CQSZACIVSA-N -1 1 348.451 -0.373 20 0 EBADMM Cc1cnc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001046116665 733862758 /nfs/dbraw/zinc/86/27/58/733862758.db2.gz BHVSUSYBAXDTRS-OAHLLOKOSA-N -1 1 331.380 -0.399 20 0 EBADMM Cc1cc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001046178745 733872086 /nfs/dbraw/zinc/87/20/86/733872086.db2.gz WEPNYDCNTZIOCO-OAHLLOKOSA-N -1 1 333.396 -0.455 20 0 EBADMM CCn1ccc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001046677283 733999625 /nfs/dbraw/zinc/99/96/25/733999625.db2.gz JYOZAKVNXJCEJE-HNNXBMFYSA-N -1 1 333.396 -0.281 20 0 EBADMM NC(=O)CN1CCC(F)(F)[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001046933054 734064928 /nfs/dbraw/zinc/06/49/28/734064928.db2.gz YMXBOEQGFFULFH-SECBINFHSA-N -1 1 328.319 -0.041 20 0 EBADMM Cc1nnc(CC(=O)N[C@H]2C[C@H]3C[C@@H](C2)N(Cc2n[nH]c(=O)[n-]2)C3)o1 ZINC001047067472 734088539 /nfs/dbraw/zinc/08/85/39/734088539.db2.gz WMUDOVQQXQEKIO-DCAQKATOSA-N -1 1 347.379 -0.086 20 0 EBADMM Cc1nnc(CC(=O)N[C@H]2C[C@H]3C[C@@H](C2)N(Cc2n[nH]c(=O)[n-]2)C3)[nH]1 ZINC001047071960 734089299 /nfs/dbraw/zinc/08/92/99/734089299.db2.gz WMSLMCGCZFIMHI-DCAQKATOSA-N -1 1 346.395 -0.351 20 0 EBADMM C[C@H](NCc1nnn(C)n1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001047806178 734309588 /nfs/dbraw/zinc/30/95/88/734309588.db2.gz BEUOXGVWZJSHFX-WDEREUQCSA-N -1 1 331.380 -0.049 20 0 EBADMM C[C@@H](NCc1ncccn1)[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001047896524 734319070 /nfs/dbraw/zinc/31/90/70/734319070.db2.gz VTTKLVDPSQSHDR-ZJUUUORDSA-N -1 1 345.363 -0.287 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnc([O-])n(C)c2=O)C[C@@H]1CNCc1cnon1 ZINC001048337402 734392945 /nfs/dbraw/zinc/39/29/45/734392945.db2.gz HXMZARXWPCKJOA-ZJUUUORDSA-N -1 1 348.363 -0.633 20 0 EBADMM COCCCC(=O)N(C)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001048371778 734403088 /nfs/dbraw/zinc/40/30/88/734403088.db2.gz PSOZBKXKDGZBMS-AAEUAGOBSA-N -1 1 337.376 -0.143 20 0 EBADMM CCO[C@@H](C)C(=O)N1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001048722922 734483139 /nfs/dbraw/zinc/48/31/39/734483139.db2.gz YYDNBDFCDOLTMM-GVXVVHGQSA-N -1 1 337.376 -0.144 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4cncc(F)c4)C[C@@H]3C2)nc1=O ZINC001048761931 734496399 /nfs/dbraw/zinc/49/63/99/734496399.db2.gz YLEBBBJZFZZHPE-TXEJJXNPSA-N -1 1 346.366 -0.154 20 0 EBADMM CC(C)[C@@H](O)C(=O)N(C)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001048785892 734508896 /nfs/dbraw/zinc/50/88/96/734508896.db2.gz TYCLGQKGMFZKJM-VHRBIJSZSA-N -1 1 337.376 -0.552 20 0 EBADMM Cn1c(C(=O)N2C[C@H]3CN(Cc4n[nH]c(=O)[n-]4)C[C@H]3C2)c[nH]c1=O ZINC001048979318 734596674 /nfs/dbraw/zinc/59/66/74/734596674.db2.gz SDUYHHPYINJYPZ-DTORHVGOSA-N -1 1 333.352 -0.847 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@H]2CCCN3C(=O)c2cnccn2)nc1=O ZINC001049301682 734705164 /nfs/dbraw/zinc/70/51/64/734705164.db2.gz KNUXOXSVVSBQDF-CHWSQXEVSA-N -1 1 343.391 -0.223 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@@H]2CCCN3C(=O)C2=COCCO2)nc1=O ZINC001049305314 734705956 /nfs/dbraw/zinc/70/59/56/734705956.db2.gz XOOJGTOSGQTXEF-RYUDHWBXSA-N -1 1 349.391 -0.438 20 0 EBADMM Cn1cc(C(=O)N2CCC[C@@H]3[C@H]2CCN3Cc2nc(=O)n(C)[n-]2)cn1 ZINC001049319375 734709033 /nfs/dbraw/zinc/70/90/33/734709033.db2.gz VVWCRUWMOFPRED-CHWSQXEVSA-N -1 1 345.407 -0.279 20 0 EBADMM Cn1cc(C(=O)N2CCC[C@@H]3[C@@H]2CCN3Cc2nc(=O)n(C)[n-]2)cn1 ZINC001049319376 734709091 /nfs/dbraw/zinc/70/90/91/734709091.db2.gz VVWCRUWMOFPRED-OLZOCXBDSA-N -1 1 345.407 -0.279 20 0 EBADMM O=C(CCc1nc[nH]n1)N1CCC[C@@H]2[C@H]1CCN2Cc1n[nH]c(=O)[n-]1 ZINC001049411591 734724647 /nfs/dbraw/zinc/72/46/47/734724647.db2.gz PRLUTVZFPQMGOD-GHMZBOCLSA-N -1 1 346.395 -0.174 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@@H]2CCCN3C(=O)c2cncnc2)nc1=O ZINC001049437753 734730457 /nfs/dbraw/zinc/73/04/57/734730457.db2.gz SWVMWASSLKMESC-STQMWFEESA-N -1 1 343.391 -0.223 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CCC[C@@H]2[C@@H]1CCN2Cc1n[nH]c(=O)[n-]1 ZINC001049630390 734767781 /nfs/dbraw/zinc/76/77/81/734767781.db2.gz LJHLCLNNRSLJCX-ZJUUUORDSA-N -1 1 332.368 -0.184 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@@H]2CCCN3C(=O)c2csnn2)nc1=O ZINC001049625279 734767874 /nfs/dbraw/zinc/76/78/74/734767874.db2.gz GOXYHDGAIIBJIM-QWRGUYRKSA-N -1 1 349.420 -0.161 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)CNC(N)=O)C1 ZINC001049984652 734818620 /nfs/dbraw/zinc/81/86/20/734818620.db2.gz VOVXAMNKNGBIDG-NXEZZACHSA-N -1 1 335.364 -0.578 20 0 EBADMM Cc1c[nH]nc1C(=O)N1CCC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001050044560 734831049 /nfs/dbraw/zinc/83/10/49/734831049.db2.gz VUUQSUAWONERQA-NWDGAFQWSA-N -1 1 345.407 -0.123 20 0 EBADMM C[C@H](NC(=O)Cn1cnnn1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050532057 734919774 /nfs/dbraw/zinc/91/97/74/734919774.db2.gz WOQWUGJBUVRYKR-QWRGUYRKSA-N -1 1 345.363 -0.559 20 0 EBADMM NC(=O)NCCC(=O)NC[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001062149864 738866360 /nfs/dbraw/zinc/86/63/60/738866360.db2.gz NTYDOHUAUFVGSV-LLVKDONJSA-N -1 1 349.391 -0.044 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)c2ccccc2O)nc1=O ZINC001050820576 734966518 /nfs/dbraw/zinc/96/65/18/734966518.db2.gz DDOXNXHIKBILOL-NSHDSACASA-N -1 1 347.375 -0.555 20 0 EBADMM CN(C(=O)CN1CCOC[C@H]1CNC(=O)c1ncccc1[O-])C1CC1 ZINC001050887987 734989695 /nfs/dbraw/zinc/98/96/95/734989695.db2.gz OPPLRJXHXFONHG-CYBMUJFWSA-N -1 1 348.403 -0.161 20 0 EBADMM CNC(=O)NCC(=O)N1CC[C@@H]([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001050933781 735003707 /nfs/dbraw/zinc/00/37/07/735003707.db2.gz JFRUWJIPGHABKV-GHMZBOCLSA-N -1 1 349.391 -0.317 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)c2cc(F)c[nH]2)nc1=O ZINC001051030478 735040649 /nfs/dbraw/zinc/04/06/49/735040649.db2.gz AOFRZGBFACFFNB-JTQLQIEISA-N -1 1 338.343 -0.794 20 0 EBADMM CCC[C@@H]1C[C@H]1C(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001051105054 735067771 /nfs/dbraw/zinc/06/77/71/735067771.db2.gz UDNMJHUQFPWCRK-JHJVBQTASA-N -1 1 337.424 -0.138 20 0 EBADMM C[C@H](O)CN1CCOC[C@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001051153238 735078535 /nfs/dbraw/zinc/07/85/35/735078535.db2.gz OILMNGRLAXYQDY-CMPLNLGQSA-N -1 1 336.392 -0.129 20 0 EBADMM O=C(NC[C@H]1COCCN1CCC1CC1)c1cc(=O)n2[n-]cnc2n1 ZINC001051210720 735100516 /nfs/dbraw/zinc/10/05/16/735100516.db2.gz ZMOPXMZNOVMURF-LBPRGKRZSA-N -1 1 346.391 -0.352 20 0 EBADMM Cc1cnc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001051412298 735141786 /nfs/dbraw/zinc/14/17/86/735141786.db2.gz IVBGUNHQZJZWEY-SNVBAGLBSA-N -1 1 333.352 -0.760 20 0 EBADMM O=C(c1ccccc1O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051449825 735155966 /nfs/dbraw/zinc/15/59/66/735155966.db2.gz NCZNTFCBNJHQRL-JTQLQIEISA-N -1 1 333.348 -0.153 20 0 EBADMM O=C(c1ccc(F)nc1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051494710 735197565 /nfs/dbraw/zinc/19/75/65/735197565.db2.gz MTAOTIRYSFMXBI-SNVBAGLBSA-N -1 1 336.327 -0.325 20 0 EBADMM Cc1[nH]nc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)c1C ZINC001051502284 735201695 /nfs/dbraw/zinc/20/16/95/735201695.db2.gz PMTJRQLCHPOSTH-SNVBAGLBSA-N -1 1 335.368 -0.519 20 0 EBADMM C[C@@H](C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)n1cccc1 ZINC001051553389 735229712 /nfs/dbraw/zinc/22/97/12/735229712.db2.gz RFPBSAISPGOBSL-RYUDHWBXSA-N -1 1 334.380 -0.110 20 0 EBADMM C[C@H](NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1)c1nnnn1C ZINC001051566655 735238330 /nfs/dbraw/zinc/23/83/30/735238330.db2.gz PDIHTEMRBNATCX-QWRGUYRKSA-N -1 1 347.379 -0.498 20 0 EBADMM O=C(c1ncccc1[O-])N1CCO[C@H](C[NH2+]Cc2n[nH]c(=O)[n-]2)C1 ZINC001051566745 735238393 /nfs/dbraw/zinc/23/83/93/735238393.db2.gz RIVVQDLXFZZQMM-SECBINFHSA-N -1 1 334.336 -0.758 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)cnn1 ZINC001051573683 735241635 /nfs/dbraw/zinc/24/16/35/735241635.db2.gz SNTFLNBSOLDQJI-NSHDSACASA-N -1 1 333.352 -0.760 20 0 EBADMM O=C([C@@H]1CCCOCC1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051578768 735245830 /nfs/dbraw/zinc/24/58/30/735245830.db2.gz OXTFKTRLNNTXDS-VXGBXAGGSA-N -1 1 339.396 -0.356 20 0 EBADMM Cc1cnccc1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051595943 735256997 /nfs/dbraw/zinc/25/69/97/735256997.db2.gz GDVWPYSMBMOWPY-LLVKDONJSA-N -1 1 332.364 -0.155 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)c3nccnc3N)CC2)nc1=O ZINC001052262320 735578071 /nfs/dbraw/zinc/57/80/71/735578071.db2.gz PGFQAFSNRJXBLR-SNVBAGLBSA-N -1 1 346.395 -0.735 20 0 EBADMM CNC(=O)CC(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001052421456 735610992 /nfs/dbraw/zinc/61/09/92/735610992.db2.gz KYQUQJWYLTUJCT-WDEREUQCSA-N -1 1 334.376 -0.252 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)CCNC(N)=O ZINC001052420291 735611189 /nfs/dbraw/zinc/61/11/89/735611189.db2.gz CYPJIODEIHSTPL-WDEREUQCSA-N -1 1 349.391 -0.330 20 0 EBADMM CCC(=O)NC[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC001053026792 735739657 /nfs/dbraw/zinc/73/96/57/735739657.db2.gz YGCDHFDLTLPOEN-ZJUUUORDSA-N -1 1 332.364 -0.348 20 0 EBADMM CN1CCC[C@H]1C(=O)N1CCOC2(CN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001053190050 735773608 /nfs/dbraw/zinc/77/36/08/735773608.db2.gz JGDRBRXZZDDRDO-NSHDSACASA-N -1 1 336.396 -0.982 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)C2CC=CC2)CCO3)nc1=O ZINC001053197393 735775797 /nfs/dbraw/zinc/77/57/97/735775797.db2.gz LEBIWPMIVWPXNH-UHFFFAOYSA-N -1 1 333.392 -0.512 20 0 EBADMM C[C@@H](C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1)C1CC1 ZINC001053206885 735778219 /nfs/dbraw/zinc/77/82/19/735778219.db2.gz YBJJVFZBCZSCJZ-LLVKDONJSA-N -1 1 335.408 -0.432 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)C=C2CCC2)CCO3)nc1=O ZINC001053215726 735779393 /nfs/dbraw/zinc/77/93/93/735779393.db2.gz FPPWSRXEIUWWMP-UHFFFAOYSA-N -1 1 333.392 -0.368 20 0 EBADMM Cc1cc[nH]c1C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053240318 735791971 /nfs/dbraw/zinc/79/19/71/735791971.db2.gz WHDQIQAVPLSTKI-UHFFFAOYSA-N -1 1 346.391 -0.528 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)C2(C)CC=CC2)CCO3)nc1=O ZINC001053408405 735864660 /nfs/dbraw/zinc/86/46/60/735864660.db2.gz IIGZPSCKTWCBOY-UHFFFAOYSA-N -1 1 347.419 -0.122 20 0 EBADMM CC(C)[C@H](C)C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053457286 735880505 /nfs/dbraw/zinc/88/05/05/735880505.db2.gz YNIBYMZINMCHRZ-LBPRGKRZSA-N -1 1 337.424 -0.186 20 0 EBADMM CC[C@]1(C)C[C@H]1C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053491713 735901140 /nfs/dbraw/zinc/90/11/40/735901140.db2.gz XNCFSZBPUKJALG-BLLLJJGKSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)c2ccccc2)CO3)nc1=O ZINC001053726034 735979797 /nfs/dbraw/zinc/97/97/97/735979797.db2.gz ULEQHJQRUIJKSF-CYBMUJFWSA-N -1 1 343.387 -0.118 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)C[C@H]2C=CCC2)CO3)nc1=O ZINC001053733897 735984067 /nfs/dbraw/zinc/98/40/67/735984067.db2.gz GYHALNGDWUOLPJ-STQMWFEESA-N -1 1 347.419 -0.076 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)[C@H]2C[C@H]4C[C@H]4C2)CO3)nc1=O ZINC001053900002 736084806 /nfs/dbraw/zinc/08/48/06/736084806.db2.gz CQQCPIUTLIXCRJ-MROQNXINSA-N -1 1 347.419 -0.386 20 0 EBADMM C[C@@H]1CN(C(=O)c2nnn(C(C)(C)C)n2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054670758 736228760 /nfs/dbraw/zinc/22/87/60/736228760.db2.gz IZKXMEVXXIRKSK-BDAKNGLRSA-N -1 1 349.399 -0.498 20 0 EBADMM C[C@H]1CN(C(=O)CCc2ccnn2C)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054696025 736232713 /nfs/dbraw/zinc/23/27/13/736232713.db2.gz QMJMEZKHSZPMEN-CMPLNLGQSA-N -1 1 333.396 -0.187 20 0 EBADMM Cc1nn(C)cc1CC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H](C)C1 ZINC001054748542 736239320 /nfs/dbraw/zinc/23/93/20/736239320.db2.gz JJMDIVKSDQRSTJ-CABZTGNLSA-N -1 1 333.396 -0.269 20 0 EBADMM COCCn1nccc1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H](C)C1 ZINC001054768036 736243653 /nfs/dbraw/zinc/24/36/53/736243653.db2.gz JBVVOPKYEFJNQU-QWRGUYRKSA-N -1 1 349.395 -0.397 20 0 EBADMM C[C@@H]1CN(C(=O)c2cn3cccnc3n2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054854199 736256542 /nfs/dbraw/zinc/25/65/42/736256542.db2.gz QCXCRSOUBFAIPL-ZJUUUORDSA-N -1 1 342.363 -0.197 20 0 EBADMM C[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1NCc1ccn(C)n1 ZINC001054862995 736258401 /nfs/dbraw/zinc/25/84/01/736258401.db2.gz OKGDJJOTVMKAAZ-WCBMZHEXSA-N -1 1 333.352 -0.733 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncnc3[nH]ccc32)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054863479 736258584 /nfs/dbraw/zinc/25/85/84/736258584.db2.gz VPKBHJXMCSYTIB-SCZZXKLOSA-N -1 1 342.363 -0.017 20 0 EBADMM C[C@H]1CN(C(=O)c2cccc3ncnn32)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054866847 736258944 /nfs/dbraw/zinc/25/89/44/736258944.db2.gz NSBZGUXIIFCWDX-VHSXEESVSA-N -1 1 342.363 -0.197 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccc(C(N)=O)o2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054886173 736262653 /nfs/dbraw/zinc/26/26/53/736262653.db2.gz ZXAWVLNLSICRSB-SFYZADRCSA-N -1 1 334.336 -0.548 20 0 EBADMM COc1nccc(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001054894605 736264786 /nfs/dbraw/zinc/26/47/86/736264786.db2.gz WDJYSJHRUQKGGF-PSASIEDQSA-N -1 1 333.352 -0.441 20 0 EBADMM C[C@H]1CN(C(=O)c2cc3n(n2)CCCO3)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054894456 736264972 /nfs/dbraw/zinc/26/49/72/736264972.db2.gz UAQQWQFQSZIFLY-ONGXEEELSA-N -1 1 347.379 -0.260 20 0 EBADMM CCNC(=O)CC(=O)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC001055294898 736325953 /nfs/dbraw/zinc/32/59/53/736325953.db2.gz ZAUYEUXXTSAJFL-TXEJJXNPSA-N -1 1 346.387 -0.156 20 0 EBADMM COC[C@@H](OC)C(=O)N1C[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C1 ZINC001055297967 736326674 /nfs/dbraw/zinc/32/66/74/736326674.db2.gz VHXPNIRWAAWYRY-MBNYWOFBSA-N -1 1 349.387 -0.021 20 0 EBADMM O=C(c1ncccc1[O-])N1CCN(c2ccc3nnnn3n2)CC1 ZINC001055776954 736471963 /nfs/dbraw/zinc/47/19/63/736471963.db2.gz LAKZMDAEYNACHZ-UHFFFAOYSA-N -1 1 326.320 -0.418 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCN(c2nccnc2F)CC1 ZINC001055857115 736524034 /nfs/dbraw/zinc/52/40/34/736524034.db2.gz SZWQXWDZGOOPPL-UHFFFAOYSA-N -1 1 344.310 -0.691 20 0 EBADMM O=C(Cn1cccn1)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001056965074 736897361 /nfs/dbraw/zinc/89/73/61/736897361.db2.gz BRDJYNDBSDBRHG-UHFFFAOYSA-N -1 1 333.348 -0.765 20 0 EBADMM Cn1nccc1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057152673 737033093 /nfs/dbraw/zinc/03/30/93/737033093.db2.gz NCKZVEGXMJRNIC-UHFFFAOYSA-N -1 1 333.348 -0.615 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCCN(c2ncccn2)CC1 ZINC001057157507 737038331 /nfs/dbraw/zinc/03/83/31/737038331.db2.gz IZXIDBUSDHSSCT-UHFFFAOYSA-N -1 1 340.347 -0.440 20 0 EBADMM CO[C@H](C)CC(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057162318 737040849 /nfs/dbraw/zinc/04/08/49/737040849.db2.gz ADCWLEJSPSNCJX-LLVKDONJSA-N -1 1 325.365 -0.237 20 0 EBADMM COC[C@H](C)C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410221 737229702 /nfs/dbraw/zinc/22/97/02/737229702.db2.gz IMGPLSXNRIBNLA-NSHDSACASA-N -1 1 325.365 -0.380 20 0 EBADMM Cc1cc(C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])ncn1 ZINC001057410428 737230776 /nfs/dbraw/zinc/23/07/76/737230776.db2.gz RBIHUNBYLDDZEQ-UHFFFAOYSA-N -1 1 345.359 -0.250 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccc1[O-])[C@@H]1C[C@@]12CCOC2 ZINC001057412034 737232755 /nfs/dbraw/zinc/23/27/55/737232755.db2.gz ULOYLEJJYHBCQI-YVEFUNNKSA-N -1 1 349.387 -0.236 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccc1[O-])c1ccc(=O)[nH]c1 ZINC001057413046 737233342 /nfs/dbraw/zinc/23/33/42/737233342.db2.gz FWGWGTAFDRKRNW-UHFFFAOYSA-N -1 1 346.343 -0.248 20 0 EBADMM Cc1nc(C)c(C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])[nH]1 ZINC001057413442 737233961 /nfs/dbraw/zinc/23/39/61/737233961.db2.gz WFZVBEVKOKOSBN-UHFFFAOYSA-N -1 1 347.375 -0.008 20 0 EBADMM O=C(CCc1c[nH]cn1)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057416075 737235803 /nfs/dbraw/zinc/23/58/03/737235803.db2.gz DHIHPTRUMJIQKL-UHFFFAOYSA-N -1 1 347.375 -0.306 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1cn[nH]c(=O)c1)c1ncccc1[O-] ZINC001057885541 737505877 /nfs/dbraw/zinc/50/58/77/737505877.db2.gz BFOVJITWZRGDKL-UHFFFAOYSA-N -1 1 347.331 -0.853 20 0 EBADMM C[C@@H]1C[C@H](C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])CO1 ZINC001057948908 737552221 /nfs/dbraw/zinc/55/22/21/737552221.db2.gz ORNOATUDHQCWMK-NEPJUHHUSA-N -1 1 337.376 -0.237 20 0 EBADMM O=C(N[C@H]1CCN(c2ncccn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001058406185 737816448 /nfs/dbraw/zinc/81/64/48/737816448.db2.gz MJOLNQGPDYKFMQ-VIFPVBQESA-N -1 1 326.320 -0.784 20 0 EBADMM O=C(N[C@@H]1CCN(c2cnc(F)cn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001058406700 737816705 /nfs/dbraw/zinc/81/67/05/737816705.db2.gz XZRSFPPGVPXNON-MRVPVSSYSA-N -1 1 344.310 -0.645 20 0 EBADMM Cc1nccc(N[C@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)n1 ZINC001058927044 738076895 /nfs/dbraw/zinc/07/68/95/738076895.db2.gz ZMZAZQAZUGQFEU-LBPRGKRZSA-N -1 1 344.375 -0.262 20 0 EBADMM O=C(N[C@H]1C[C@H](Nc2ncnc3[nH]cnc32)C1)c1n[nH]c(=O)[n-]c1=O ZINC001059468473 738176334 /nfs/dbraw/zinc/17/63/34/738176334.db2.gz KGOIUOVFGZKYLI-IZLXSQMJSA-N -1 1 343.307 -0.678 20 0 EBADMM O=C(NCC[C@@H]1CCN(C(=O)[C@H]2COC(=O)N2)C1)c1ncccc1[O-] ZINC001059573455 738200064 /nfs/dbraw/zinc/20/00/64/738200064.db2.gz CKNNCGYEIPLVCL-GHMZBOCLSA-N -1 1 348.359 -0.136 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N1CC[C@@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001060411399 738356790 /nfs/dbraw/zinc/35/67/90/738356790.db2.gz SPPCIVZUZZKTGV-UTUOFQBUSA-N -1 1 346.387 -0.123 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1(CNC(=O)C2CC2)CCC1 ZINC001062377512 738909706 /nfs/dbraw/zinc/90/97/06/738909706.db2.gz GBVUYAOFAXOIDZ-UHFFFAOYSA-N -1 1 334.376 -0.508 20 0 EBADMM CN1CCOC[C@@H]1C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062824388 738995231 /nfs/dbraw/zinc/99/52/31/738995231.db2.gz LEYFVISSHWPTJK-GFCCVEGCSA-N -1 1 348.403 -0.114 20 0 EBADMM O=C(NCC1(NC(=O)[C@@H]2CNC(=O)N2)CCC1)c1ncccc1[O-] ZINC001062840200 739002509 /nfs/dbraw/zinc/00/25/09/739002509.db2.gz ATDXAVHLELXNIB-VIFPVBQESA-N -1 1 333.348 -0.763 20 0 EBADMM O=C(Cn1ncnn1)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062840603 739003190 /nfs/dbraw/zinc/00/31/90/739003190.db2.gz KEQLRKBZPVNKJB-UHFFFAOYSA-N -1 1 331.336 -0.757 20 0 EBADMM C[C@H](NC(N)=O)C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062848328 739005816 /nfs/dbraw/zinc/00/58/16/739005816.db2.gz AIQOGHWPDBFDOT-VIFPVBQESA-N -1 1 335.364 -0.387 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1(NC(=O)C2CCC2)CCC1 ZINC001063651238 739172750 /nfs/dbraw/zinc/17/27/50/739172750.db2.gz POKLNDSQKYYBFE-UHFFFAOYSA-N -1 1 348.403 -0.118 20 0 EBADMM O=C(Cc1c[nH]cn1)NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001065073207 739510363 /nfs/dbraw/zinc/51/03/63/739510363.db2.gz KQAHCVFSTIRIAA-GFCCVEGCSA-N -1 1 345.359 -0.290 20 0 EBADMM O=C(Cn1nccn1)NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001065073766 739510616 /nfs/dbraw/zinc/51/06/16/739510616.db2.gz OLIAIBWKRCBSSV-LLVKDONJSA-N -1 1 346.347 -0.964 20 0 EBADMM COc1ccnc(N2C[C@H](O)[C@@H](N(C)C(=O)c3ncccc3[O-])C2)n1 ZINC001065586539 739779364 /nfs/dbraw/zinc/77/93/64/739779364.db2.gz HBPVPNHEEOEIPM-JQWIXIFHSA-N -1 1 345.359 -0.093 20 0 EBADMM CC(C)C(=O)N(C)CCCN(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001066849836 740054598 /nfs/dbraw/zinc/05/45/98/740054598.db2.gz RRVFPWAOVPGKKY-UHFFFAOYSA-N -1 1 338.408 -0.110 20 0 EBADMM Cc1cc(C(=O)N(C)CCCN(C)C(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC001066946479 740073544 /nfs/dbraw/zinc/07/35/44/740073544.db2.gz MIQJMGICOHGWRK-UHFFFAOYSA-N -1 1 349.351 -0.451 20 0 EBADMM O=C(NC[C@H]1CN(c2ncc(F)cn2)C[C@@H]1O)c1ncccc1[O-] ZINC001067665542 740178617 /nfs/dbraw/zinc/17/86/17/740178617.db2.gz PLNVZMITOMPDQE-CABZTGNLSA-N -1 1 333.323 -0.057 20 0 EBADMM O=C(NC[C@@H]1CN(c2cc(F)ncn2)C[C@@H]1O)c1ncccc1[O-] ZINC001067666146 740179273 /nfs/dbraw/zinc/17/92/73/740179273.db2.gz YHEUUYHYBHLJTQ-KOLCDFICSA-N -1 1 333.323 -0.057 20 0 EBADMM COCC(=O)NC[C@@H]1CCC2(CN(C(=O)c3ncccc3[O-])C2)O1 ZINC001068491561 740343029 /nfs/dbraw/zinc/34/30/29/740343029.db2.gz OEOHQVQXDOFLAI-NSHDSACASA-N -1 1 335.360 -0.077 20 0 EBADMM CCC1(NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN(C(C)=O)CC1 ZINC001069073336 740534485 /nfs/dbraw/zinc/53/44/85/740534485.db2.gz YQWNYWWQHFZNTG-UHFFFAOYSA-N -1 1 332.364 -0.062 20 0 EBADMM CCC(=O)N[C@H]1CCc2nnc(CNCc3nc(=O)n(C)[n-]3)n2CC1 ZINC001069842224 740586858 /nfs/dbraw/zinc/58/68/58/740586858.db2.gz AWCLWLZYJHUFDS-JTQLQIEISA-N -1 1 348.411 -0.779 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](c3n[nH]cc3CNC(=O)C3CC3)C2)nc1=O ZINC001070388945 740803421 /nfs/dbraw/zinc/80/34/21/740803421.db2.gz VFEHECZOYJFKFV-NSHDSACASA-N -1 1 345.407 -0.153 20 0 EBADMM C[C@H](CC(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1)NC(N)=O ZINC001070734160 740932763 /nfs/dbraw/zinc/93/27/63/740932763.db2.gz JMIIAYVOHSICFO-GHMZBOCLSA-N -1 1 349.391 -0.045 20 0 EBADMM CC(C)C(=O)N1C[C@@H](C)O[C@@]2(CCN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001071134229 741064727 /nfs/dbraw/zinc/06/47/27/741064727.db2.gz ONNAAOUGSIBFFO-MLGOLLRUSA-N -1 1 337.424 -0.044 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2cnn(C)c2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071337522 741123284 /nfs/dbraw/zinc/12/32/84/741123284.db2.gz FYHLRZLAQPXJCH-PWSUYJOCSA-N -1 1 333.396 -0.375 20 0 EBADMM Cc1c(C(=O)N[C@@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001071352253 741126419 /nfs/dbraw/zinc/12/64/19/741126419.db2.gz OVCZUDHPWBNHKB-ZYHUDNBSSA-N -1 1 347.423 -0.067 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001071373671 741128971 /nfs/dbraw/zinc/12/89/71/741128971.db2.gz MWSFJHOPCGVADM-RYUDHWBXSA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2ccnn2C)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071383629 741130858 /nfs/dbraw/zinc/13/08/58/741130858.db2.gz KNWACLIWOGLLSJ-MNOVXSKESA-N -1 1 333.396 -0.375 20 0 EBADMM Cc1c(C(=O)N[C@@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)nnn1C ZINC001071404925 741133372 /nfs/dbraw/zinc/13/33/72/741133372.db2.gz WNMHJLFDRKVURF-MWLCHTKSSA-N -1 1 348.411 -0.672 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2cn(C)cn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071434855 741135994 /nfs/dbraw/zinc/13/59/94/741135994.db2.gz MWUTYZVTIAZHAN-GHMZBOCLSA-N -1 1 333.396 -0.375 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2cncnc2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071447325 741138237 /nfs/dbraw/zinc/13/82/37/741138237.db2.gz LMQUQKYPIMXPSO-PWSUYJOCSA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@@H]1CN(C(=O)CC2CC2)C[C@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001071448136 741138686 /nfs/dbraw/zinc/13/86/86/741138686.db2.gz DIDFRVMOLBSGNZ-DGCLKSJQSA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2cnn(C)c2N)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071448641 741138746 /nfs/dbraw/zinc/13/87/46/741138746.db2.gz XZYXPOCTMSHHOE-UWVGGRQHSA-N -1 1 348.411 -0.793 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2ncccc2[O-])CN1Cc1nc(=O)n(C)[nH]1 ZINC001071454536 741139835 /nfs/dbraw/zinc/13/98/35/741139835.db2.gz NJIFMFOFCGWATK-MNOVXSKESA-N -1 1 346.391 -0.008 20 0 EBADMM Cc1nc(CC(=O)N[C@H]2CC[C@H](C)N(Cc3n[nH]c(=O)[n-]3)C2)n[nH]1 ZINC001071499269 741147481 /nfs/dbraw/zinc/14/74/81/741147481.db2.gz ZABMKDWSLGVDLJ-WPRPVWTQSA-N -1 1 334.384 -0.351 20 0 EBADMM CC(C)=CC(=O)N1C[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)[C@@H](C)C1 ZINC001071523622 741155213 /nfs/dbraw/zinc/15/52/13/741155213.db2.gz AWLIRORGVZQRDS-STQMWFEESA-N -1 1 348.403 -0.144 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)C2=NC(=O)N(C)C2)CN1Cc1n[nH]c(=O)[n-]1 ZINC001071802782 741219616 /nfs/dbraw/zinc/21/96/16/741219616.db2.gz BWGBVTBEVJEEEH-RKDXNWHRSA-N -1 1 335.368 -0.268 20 0 EBADMM CCC(=O)NCC(=O)N1C[C@@H](C)[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001071815660 741222628 /nfs/dbraw/zinc/22/26/28/741222628.db2.gz PYILDAAXXYNDKY-MNOVXSKESA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2cnn(C)n2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071890910 741239237 /nfs/dbraw/zinc/23/92/37/741239237.db2.gz UEXZFNPGYBQLFP-ZJUUUORDSA-N -1 1 334.384 -0.980 20 0 EBADMM CCn1cc(C(=O)N[C@@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001071941066 741256272 /nfs/dbraw/zinc/25/62/72/741256272.db2.gz CSSHSYHHYKDODD-WDEREUQCSA-N -1 1 348.411 -0.497 20 0 EBADMM CCn1cc(C(=O)N[C@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001071941064 741256416 /nfs/dbraw/zinc/25/64/16/741256416.db2.gz CSSHSYHHYKDODD-MNOVXSKESA-N -1 1 348.411 -0.497 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001071952672 741263926 /nfs/dbraw/zinc/26/39/26/741263926.db2.gz PNXZUIBHHINIIV-JQWIXIFHSA-N -1 1 334.376 -0.158 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)c4ccccn4)C3)C2)nc1=O ZINC001072391843 741387747 /nfs/dbraw/zinc/38/77/47/741387747.db2.gz CJSMGISSSJFQLT-UHFFFAOYSA-N -1 1 328.376 -0.149 20 0 EBADMM COCC(=O)N[C@@H]1CN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C[C@H]1C ZINC001072426406 741395374 /nfs/dbraw/zinc/39/53/74/741395374.db2.gz HQJDQYTXPIIPLI-HTQZYQBOSA-N -1 1 340.336 -0.881 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)C4=COCCC4)C3)C2)nc1=O ZINC001072537725 741425826 /nfs/dbraw/zinc/42/58/26/741425826.db2.gz IPFAQLNFRCDEGC-UHFFFAOYSA-N -1 1 333.392 -0.163 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)C(=O)C(C)(C)C)C3)C2)nc1=O ZINC001072556426 741428483 /nfs/dbraw/zinc/42/84/83/741428483.db2.gz JEASVPPPVQCXGP-UHFFFAOYSA-N -1 1 335.408 -0.242 20 0 EBADMM C[C@H]1CN(C(=O)C(=O)NCC2CC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001072612305 741441723 /nfs/dbraw/zinc/44/17/23/741441723.db2.gz ZTAIHINFQHYKDL-CMPLNLGQSA-N -1 1 346.387 -0.110 20 0 EBADMM CCOC1CC(C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)C1 ZINC001072690718 741461593 /nfs/dbraw/zinc/46/15/93/741461593.db2.gz MFSSBQREQWYCID-UHFFFAOYSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)Cc4cscn4)C3)C2)nc1=O ZINC001073038225 741546407 /nfs/dbraw/zinc/54/64/07/741546407.db2.gz ZEPUAMRBNHJPLR-UHFFFAOYSA-N -1 1 348.432 -0.158 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)c4ncccn4)C3)C2)nc1=O ZINC001073069104 741553504 /nfs/dbraw/zinc/55/35/04/741553504.db2.gz QKOSUNLGQOLFOH-UHFFFAOYSA-N -1 1 329.364 -0.754 20 0 EBADMM C[C@H]1OCC[C@H]1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001073081034 741555268 /nfs/dbraw/zinc/55/52/68/741555268.db2.gz UGBOFHWELJGGLN-VXGBXAGGSA-N -1 1 335.408 -0.432 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)[C@@H]4C[C@]45CCOC5)C3)C2)nc1=O ZINC001073111371 741562404 /nfs/dbraw/zinc/56/24/04/741562404.db2.gz ZGSLSOBQMZLXEK-SJCJKPOMSA-N -1 1 347.419 -0.431 20 0 EBADMM CCC(=O)NC1(C)CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001073164504 741574478 /nfs/dbraw/zinc/57/44/78/741574478.db2.gz ASDQXHMFQROFNS-UHFFFAOYSA-N -1 1 332.364 -0.062 20 0 EBADMM CC1(C)CN(C(=O)c2ncccc2[O-])CC[C@H]1NC(=O)CNC(N)=O ZINC001073305033 741595765 /nfs/dbraw/zinc/59/57/65/741595765.db2.gz GBENLXBHRPMVHS-LLVKDONJSA-N -1 1 349.391 -0.188 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CCCO2)[nH]n1 ZINC001073545823 741644161 /nfs/dbraw/zinc/64/41/61/741644161.db2.gz UHLHWYADTWVKGW-NSHDSACASA-N -1 1 349.395 -0.839 20 0 EBADMM COCCC(=O)N1CC(N2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC001073562684 741655285 /nfs/dbraw/zinc/65/52/85/741655285.db2.gz BLBOJQBNCYSNMB-UHFFFAOYSA-N -1 1 348.403 -0.208 20 0 EBADMM CC[C@H](C(N)=O)N1CCCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001073583654 741668924 /nfs/dbraw/zinc/66/89/24/741668924.db2.gz BHUQKWYQLSNFFB-NWDGAFQWSA-N -1 1 336.392 -0.128 20 0 EBADMM COCC(=O)N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1C ZINC001073633371 741690209 /nfs/dbraw/zinc/69/02/09/741690209.db2.gz XXCNXXQPDLGTQB-VHSXEESVSA-N -1 1 348.363 -0.969 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1NC(=O)CNC(N)=O ZINC001073704444 741715422 /nfs/dbraw/zinc/71/54/22/741715422.db2.gz IIPODSCBPPYDII-UWVGGRQHSA-N -1 1 335.364 -0.578 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@@H](CNC(=O)[C@@H]3C[C@H]3C3CC3)C2)nc1=O ZINC001073812835 741748497 /nfs/dbraw/zinc/74/84/97/741748497.db2.gz GDQRWMPJRNSADQ-MELADBBJSA-N -1 1 349.435 -0.138 20 0 EBADMM C[C@@H]1CN(C(=O)CNC(N)=O)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC001073900777 741782071 /nfs/dbraw/zinc/78/20/71/741782071.db2.gz NXCHQJJSIBFZDF-ZJUUUORDSA-N -1 1 335.364 -0.578 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCCN1C(=O)c1cn(C)nn1 ZINC001074010487 741805766 /nfs/dbraw/zinc/80/57/66/741805766.db2.gz ZRMHNHYNPLWAML-LLVKDONJSA-N -1 1 348.411 -0.636 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H]3CCN(C(=O)C(C)(F)F)C[C@H]32)nc1=O ZINC001074130981 741837632 /nfs/dbraw/zinc/83/76/32/741837632.db2.gz JNPROHASNMGHFB-NXEZZACHSA-N -1 1 345.350 -0.435 20 0 EBADMM CSCC(=O)N1CC[C@H]2OCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C1 ZINC001074163425 741846284 /nfs/dbraw/zinc/84/62/84/741846284.db2.gz QISSJFIVZXTQNO-GHMZBOCLSA-N -1 1 341.437 -0.727 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H]3CCN(C(=O)c4cocn4)C[C@H]32)nc1=O ZINC001074190206 741855477 /nfs/dbraw/zinc/85/54/77/741855477.db2.gz NFQISVZTFIYFLW-VXGBXAGGSA-N -1 1 348.363 -0.788 20 0 EBADMM CC(C)CN1CCO[C@H]2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)C[C@@H]21 ZINC001074392965 741925749 /nfs/dbraw/zinc/92/57/49/741925749.db2.gz SSGSFUHOBXOYCA-RYUDHWBXSA-N -1 1 339.396 -0.353 20 0 EBADMM O=C(NCC1(O)CCN(C(=O)[C@@H]2CCOC2)CC1)c1ncccc1[O-] ZINC001074506737 741971468 /nfs/dbraw/zinc/97/14/68/741971468.db2.gz URCHPJYVNBISPK-GFCCVEGCSA-N -1 1 349.387 -0.093 20 0 EBADMM O=C(NCC1(O)CCN(C(=O)c2ncccc2[O-])CC1)c1cn[nH]c1 ZINC001074558910 741996935 /nfs/dbraw/zinc/99/69/35/741996935.db2.gz LBUHGTBGDHPNSL-UHFFFAOYSA-N -1 1 345.359 -0.093 20 0 EBADMM O=C(NCC1(O)CCN(C(=O)c2ncc[nH]2)CC1)c1ncccc1[O-] ZINC001074577427 742007087 /nfs/dbraw/zinc/00/70/87/742007087.db2.gz NHVMSYOOKJEICD-UHFFFAOYSA-N -1 1 345.359 -0.093 20 0 EBADMM C[C@@H]1CCN(C(=O)C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)C1 ZINC001075028432 742161988 /nfs/dbraw/zinc/16/19/88/742161988.db2.gz OSDVLGCZCSROLN-VWYCJHECSA-N -1 1 336.396 -0.542 20 0 EBADMM C[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC[C@H]1CNCc1cnon1 ZINC001075301428 742210007 /nfs/dbraw/zinc/21/00/07/742210007.db2.gz OGAOPGLYPFGKKF-BDAKNGLRSA-N -1 1 349.351 -0.446 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)C[C@H]4COC(=O)C4)[C@@H]3C2)nc1=O ZINC001075684949 742272609 /nfs/dbraw/zinc/27/26/09/742272609.db2.gz IBJZHXNCDBCLPB-GRYCIOLGSA-N -1 1 349.391 -0.906 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)C[C@@](C)(O)C4CC4)[C@@H]3C2)nc1=O ZINC001075703952 742276385 /nfs/dbraw/zinc/27/63/85/742276385.db2.gz WKJNVCPFPOUOFJ-XTQGRXLLSA-N -1 1 349.435 -0.308 20 0 EBADMM COc1cc(C(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)on1 ZINC001075712275 742279713 /nfs/dbraw/zinc/27/97/13/742279713.db2.gz PHMSLLPERXWWHV-VHSXEESVSA-N -1 1 348.363 -0.549 20 0 EBADMM C[C@@H](CCNC(=O)c1ccon1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001075768071 742295285 /nfs/dbraw/zinc/29/52/85/742295285.db2.gz YVLHCVDNXOJTRB-QMMMGPOBSA-N -1 1 345.319 -0.656 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)[C@@H]4CC4(F)F)[C@@H]3C2)nc1=O ZINC001075768481 742295349 /nfs/dbraw/zinc/29/53/49/742295349.db2.gz PEBGKVUIAOCWKU-LPEHRKFASA-N -1 1 327.335 -0.204 20 0 EBADMM C[C@@H](CCNC(=O)/C=C\C1CC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001076035981 742367801 /nfs/dbraw/zinc/36/78/01/742367801.db2.gz QQDQXEJAIHWJOE-RXNFCKPNSA-N -1 1 348.403 -0.096 20 0 EBADMM C[C@@H](CCNC(=O)[C@H]1CCNC(=O)C1)NC(=O)c1ncccc1[O-] ZINC001076135864 742383788 /nfs/dbraw/zinc/38/37/88/742383788.db2.gz OBFQULQXVXPZQX-QWRGUYRKSA-N -1 1 334.376 -0.062 20 0 EBADMM Cc1nc(CC(=O)N[C@@H](C)CCNC(=O)c2[nH]nc(C)c2[O-])n[nH]1 ZINC001076165505 742392569 /nfs/dbraw/zinc/39/25/69/742392569.db2.gz DUEWJTIJIACXBK-ZETCQYMHSA-N -1 1 335.368 -0.282 20 0 EBADMM Cc1nc(C)c(C(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)[nH]1 ZINC001076255982 742423040 /nfs/dbraw/zinc/42/30/40/742423040.db2.gz DCNYFRZMNVXXKF-NWDGAFQWSA-N -1 1 345.407 -0.205 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)Cc3ccsc3)C2)nc1=O ZINC001076704842 742539235 /nfs/dbraw/zinc/53/92/35/742539235.db2.gz GBUTTZXXBZMAGK-GHMZBOCLSA-N -1 1 337.405 -0.926 20 0 EBADMM CCCn1nnnc1CN1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001076917381 742642878 /nfs/dbraw/zinc/64/28/78/742642878.db2.gz ZMGWNUACRSVBML-ZYHUDNBSSA-N -1 1 347.379 -0.841 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)[C@H]3CCC=CCCC3)C2)nc1=O ZINC001077216326 742793918 /nfs/dbraw/zinc/79/39/18/742793918.db2.gz AKXHSCCGKLGGDQ-BFHYXJOUSA-N -1 1 349.435 -0.094 20 0 EBADMM C[C@@H](CCNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)C1CC=CC1 ZINC001077402111 742956225 /nfs/dbraw/zinc/95/62/25/742956225.db2.gz CJIQMVYJIOXHLI-LBPRGKRZSA-N -1 1 348.403 -0.096 20 0 EBADMM C[C@@H](CCNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)/C=C/C1CC1 ZINC001077402676 742957022 /nfs/dbraw/zinc/95/70/22/742957022.db2.gz XSHLSNBLHJRZTM-ITKZLYELSA-N -1 1 348.403 -0.096 20 0 EBADMM C[C@@H](CCNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)/C=C\C1CC1 ZINC001077402677 742957079 /nfs/dbraw/zinc/95/70/79/742957079.db2.gz XSHLSNBLHJRZTM-RXNFCKPNSA-N -1 1 348.403 -0.096 20 0 EBADMM C[C@@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)[C@H]1CCCO1 ZINC001077487015 743011231 /nfs/dbraw/zinc/01/12/31/743011231.db2.gz AXVISWCVFIVCSI-GXSJLCMTSA-N -1 1 348.363 -0.779 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC[C@H](C)NC(=O)[C@H](C)OC ZINC001077487161 743011263 /nfs/dbraw/zinc/01/12/63/743011263.db2.gz HOPDXOOJUVFHAF-YUMQZZPRSA-N -1 1 342.352 -0.444 20 0 EBADMM CO[C@@H](C)C(=O)N[C@@H](C)CCNC(=O)c1cc(=O)n2nc[n-]c2n1 ZINC001077488387 743013354 /nfs/dbraw/zinc/01/33/54/743013354.db2.gz OPPANYDYGTVUPF-IUCAKERBSA-N -1 1 336.352 -0.923 20 0 EBADMM C[C@@H](CCNC(=O)c1cc(=O)n2nc[n-]c2n1)NC(=O)c1cocn1 ZINC001077488393 743013503 /nfs/dbraw/zinc/01/35/03/743013503.db2.gz OYIBSXWNRDPVHK-QMMMGPOBSA-N -1 1 345.319 -0.656 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)C[C@@H]3CC=CCC3)C2)nc1=O ZINC001077518217 743045967 /nfs/dbraw/zinc/04/59/67/743045967.db2.gz RBKXKJNWPJIXCZ-JHJVBQTASA-N -1 1 335.408 -0.484 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)c3ccc(Cl)[nH]3)C2)nc1=O ZINC001077637427 743132427 /nfs/dbraw/zinc/13/24/27/743132427.db2.gz GTLTWQMLXUMCQI-RKDXNWHRSA-N -1 1 340.771 -0.935 20 0 EBADMM C=Cc1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)cc1 ZINC001077716091 743197413 /nfs/dbraw/zinc/19/74/13/743197413.db2.gz LIDUVZQCGRFEJL-ZIAGYGMSSA-N -1 1 343.387 -0.274 20 0 EBADMM CC[C@@H](F)CN1C[C@@H](O)[C@H](NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC001077997218 743403670 /nfs/dbraw/zinc/40/36/70/743403670.db2.gz VLTKFFOAAYCEPG-IWSPIJDZSA-N -1 1 344.343 -0.581 20 0 EBADMM CC(C)n1cccc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001078188054 743526797 /nfs/dbraw/zinc/52/67/97/743526797.db2.gz QFTHCHPMRHEKTF-DGCLKSJQSA-N -1 1 348.407 -0.534 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)[C@H]3[C@H]4[C@@H]3[C@@H]3CC[C@H]4C3)C2)nc1=O ZINC001078257058 743557435 /nfs/dbraw/zinc/55/74/35/743557435.db2.gz RGLHTWKMEUXENR-SSCDBTCDSA-N -1 1 347.419 -0.938 20 0 EBADMM CS[C@@H](C)C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078341435 743591250 /nfs/dbraw/zinc/59/12/50/743591250.db2.gz GREOVQKRHXTZHO-UWVGGRQHSA-N -1 1 329.426 -0.031 20 0 EBADMM O=C(C[C@@H]1CCCO1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078343814 743592115 /nfs/dbraw/zinc/59/21/15/743592115.db2.gz BTJSFLZKNWUCIR-NWDGAFQWSA-N -1 1 339.396 -0.214 20 0 EBADMM C[C@@H](C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1)n1cccn1 ZINC001078341717 743592298 /nfs/dbraw/zinc/59/22/98/743592298.db2.gz LAJCIQQZLSDWBH-NWDGAFQWSA-N -1 1 349.395 -0.325 20 0 EBADMM Cc1nn(C)cc1C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078356160 743601923 /nfs/dbraw/zinc/60/19/23/743601923.db2.gz DBQYCLCZRDNCLD-LLVKDONJSA-N -1 1 349.395 -0.427 20 0 EBADMM Cc1ncoc1C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078367589 743611705 /nfs/dbraw/zinc/61/17/05/743611705.db2.gz NXSPHYKXFIXUJW-JTQLQIEISA-N -1 1 336.352 -0.172 20 0 EBADMM O=C([C@H]1CCCCO1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078381732 743615853 /nfs/dbraw/zinc/61/58/53/743615853.db2.gz VVZJMKPQBDGJRL-NWDGAFQWSA-N -1 1 339.396 -0.214 20 0 EBADMM O=C([C@@H]1CCCCO1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078381731 743616082 /nfs/dbraw/zinc/61/60/82/743616082.db2.gz VVZJMKPQBDGJRL-NEPJUHHUSA-N -1 1 339.396 -0.214 20 0 EBADMM Cn1ccc(CC(=O)N2CCCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001078400108 743630853 /nfs/dbraw/zinc/63/08/53/743630853.db2.gz IGIBDFORTXHRMR-GFCCVEGCSA-N -1 1 349.395 -0.806 20 0 EBADMM Cc1ccc(C(=O)N2CCCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)nn1 ZINC001078400934 743631733 /nfs/dbraw/zinc/63/17/33/743631733.db2.gz SGONXHFXELXDMT-NSHDSACASA-N -1 1 347.379 -0.370 20 0 EBADMM Cc1nnccc1C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078425974 743643184 /nfs/dbraw/zinc/64/31/84/743643184.db2.gz IZIKBDNLQMSSFX-NSHDSACASA-N -1 1 347.379 -0.370 20 0 EBADMM O=C(Cc1cnoc1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078453977 743655747 /nfs/dbraw/zinc/65/57/47/743655747.db2.gz RIOGXCUDLMSRFS-NSHDSACASA-N -1 1 336.352 -0.552 20 0 EBADMM O=C(c1n[nH]cc1F)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078462963 743662138 /nfs/dbraw/zinc/66/21/38/743662138.db2.gz AMHBXYPZGPGFNG-QMMMGPOBSA-N -1 1 339.331 -0.607 20 0 EBADMM CCn1ncc(C(=O)N[C@@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)n1 ZINC001078620213 743709382 /nfs/dbraw/zinc/70/93/82/743709382.db2.gz VGBOYIVKSRQSSA-WDEREUQCSA-N -1 1 346.395 -0.048 20 0 EBADMM O=C(N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2)[C@H]1[C@@H]2COC[C@@H]21 ZINC001078700323 743721637 /nfs/dbraw/zinc/72/16/37/743721637.db2.gz KDTJFDZHQBEASB-TUTUZKQUSA-N -1 1 333.392 -0.080 20 0 EBADMM Cn1cc(C(=O)N[C@@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)[nH]c1=O ZINC001078721308 743724719 /nfs/dbraw/zinc/72/47/19/743724719.db2.gz ZQIUWISAOOCFRN-VHSXEESVSA-N -1 1 347.379 -0.220 20 0 EBADMM Cn1ncc(C(=O)NC[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC000980044944 805707825 /nfs/dbraw/zinc/70/78/25/805707825.db2.gz VSCOWLDJEPWXCM-MGCOHNPYSA-N -1 1 330.348 -0.146 20 0 EBADMM CC(=O)N1CCC[C@H]1C(=O)NC[C@H]1C[C@H](NC(=O)c2cnn[nH]2)C1 ZINC000980089325 805726007 /nfs/dbraw/zinc/72/60/07/805726007.db2.gz CYNYXRCLBTXAEF-GVXVVHGQSA-N -1 1 334.380 -0.560 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@H]1C[C@H](NC(=O)C(N)=O)C1 ZINC000980105141 805733866 /nfs/dbraw/zinc/73/38/66/805733866.db2.gz FQCCFHVIZDLVIR-MGCOHNPYSA-N -1 1 349.391 -0.276 20 0 EBADMM O=C(Cn1ncnn1)NC1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000980147037 805767676 /nfs/dbraw/zinc/76/76/76/805767676.db2.gz UFRGTPCBJIBNLO-UHFFFAOYSA-N -1 1 345.363 -0.369 20 0 EBADMM CN1CC[C@@H](C(=O)NC[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)C1=O ZINC000980223864 805807902 /nfs/dbraw/zinc/80/79/02/805807902.db2.gz QDVIDAYNYCECIG-SRVKXCTJSA-N -1 1 346.387 -0.110 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)C[C@H]2CCCOC2)C1 ZINC000980419372 805886842 /nfs/dbraw/zinc/88/68/42/805886842.db2.gz SWXYZOCXHAZPQS-CHWSQXEVSA-N -1 1 337.424 -0.042 20 0 EBADMM CCc1cc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC000980552448 805936409 /nfs/dbraw/zinc/93/64/09/805936409.db2.gz DIXKQXPZXADXIF-GFCCVEGCSA-N -1 1 347.423 -0.249 20 0 EBADMM C[C@H]1C[C@@H](CNCc2cnon2)CN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000980640895 805971987 /nfs/dbraw/zinc/97/19/87/805971987.db2.gz AKNHCCXZHJSSSI-YUMQZZPRSA-N -1 1 335.324 -0.694 20 0 EBADMM C[C@@H]1C[C@H](CNCc2ccon2)CN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000980641481 805972256 /nfs/dbraw/zinc/97/22/56/805972256.db2.gz FTDRKOWDDLPPJW-RKDXNWHRSA-N -1 1 334.336 -0.089 20 0 EBADMM C[C@@H]1C[C@H](CNCc2ncccn2)CN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000980641634 805972327 /nfs/dbraw/zinc/97/23/27/805972327.db2.gz HPJHXQQQEBCKLB-NXEZZACHSA-N -1 1 345.363 -0.287 20 0 EBADMM C[C@@H]1C[C@@H](CNCc2nncn2C)CN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000980643073 805973213 /nfs/dbraw/zinc/97/32/13/805973213.db2.gz VGBMPTZQKHMLHO-BDAKNGLRSA-N -1 1 348.367 -0.948 20 0 EBADMM C[C@@H]1OCCC[C@H]1C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000981033953 806065354 /nfs/dbraw/zinc/06/53/54/806065354.db2.gz STRCOSIOQUHJQO-QWHCGFSZSA-N -1 1 337.424 -0.042 20 0 EBADMM CCn1ccnc1C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000981064771 806073781 /nfs/dbraw/zinc/07/37/81/806073781.db2.gz WJJQKESEVVQURX-UHFFFAOYSA-N -1 1 333.396 -0.327 20 0 EBADMM O=C(NC[C@H]1CC[C@@H](NC(=O)[C@@H]2CCNC2=O)C1)c1ncccc1[O-] ZINC000981212439 806111670 /nfs/dbraw/zinc/11/16/70/806111670.db2.gz CWSXVUVIEMWFIS-QJPTWQEYSA-N -1 1 346.387 -0.062 20 0 EBADMM NC(=O)C(=O)N[C@@H]1CC[C@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000981364495 806152815 /nfs/dbraw/zinc/15/28/15/806152815.db2.gz ZNZDYJKORKKRTG-WCBMZHEXSA-N -1 1 347.375 -0.440 20 0 EBADMM COc1ccnc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)c1 ZINC000981734325 806254518 /nfs/dbraw/zinc/25/45/18/806254518.db2.gz YOACSGOMNMQBSP-UHFFFAOYSA-N -1 1 346.391 -0.140 20 0 EBADMM C[C@@H]1C[C@H](C(=O)N2CC3(C2)CCCN(Cc2nc(=O)n(C)[n-]2)C3)CO1 ZINC000981859605 806305738 /nfs/dbraw/zinc/30/57/38/806305738.db2.gz SGKBHFGMKKCOHN-OLZOCXBDSA-N -1 1 349.435 -0.042 20 0 EBADMM C[C@H]1C[C@H](C(=O)N2CC3(C2)CCCN(Cc2nc(=O)n(C)[n-]2)C3)CO1 ZINC000981859613 806306601 /nfs/dbraw/zinc/30/66/01/806306601.db2.gz SGKBHFGMKKCOHN-STQMWFEESA-N -1 1 349.435 -0.042 20 0 EBADMM C[C@@H]1CO[C@@H](C(=O)N2CC3(C2)CCCN(Cc2nc(=O)n(C)[n-]2)C3)C1 ZINC000981902799 806320451 /nfs/dbraw/zinc/32/04/51/806320451.db2.gz GKUROEDGGZFRQZ-QWHCGFSZSA-N -1 1 349.435 -0.042 20 0 EBADMM C[C@H](CC(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1)n1ccnc1 ZINC000982048950 806383132 /nfs/dbraw/zinc/38/31/32/806383132.db2.gz AWEFBYPVMMQJLK-CYBMUJFWSA-N -1 1 347.423 -0.010 20 0 EBADMM CO[C@H](C)C(=O)N1CC[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000982510717 806535370 /nfs/dbraw/zinc/53/53/70/806535370.db2.gz KMTCELFATROLOF-NXEZZACHSA-N -1 1 348.363 -0.969 20 0 EBADMM CN1CCO[C@@H](C(=O)N2CC[C@H](CNC(=O)c3ncccc3[O-])C2)C1 ZINC000982576968 806577126 /nfs/dbraw/zinc/57/71/26/806577126.db2.gz DLHMMKBSGZGTBF-TZMCWYRMSA-N -1 1 348.403 -0.304 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCC(=O)NC1 ZINC000982671947 806628669 /nfs/dbraw/zinc/62/86/69/806628669.db2.gz SUDXEYBOLWVIJS-NWDGAFQWSA-N -1 1 346.387 -0.108 20 0 EBADMM CN1C[C@H](C(=O)NC[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC000982675411 806631520 /nfs/dbraw/zinc/63/15/20/806631520.db2.gz LVZBJUSNFHCLLI-NWDGAFQWSA-N -1 1 346.387 -0.156 20 0 EBADMM CC(=O)N1CC(C(=O)N2CC[C@@H](CNC(=O)c3ncccc3[O-])C2)C1 ZINC000982687605 806636009 /nfs/dbraw/zinc/63/60/09/806636009.db2.gz NSGMISBHQLVBNW-LBPRGKRZSA-N -1 1 346.387 -0.156 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)[C@@H]2COC(=O)N2)C1)c1ncccc1[O-] ZINC000982711607 806658910 /nfs/dbraw/zinc/65/89/10/806658910.db2.gz ZKTCYBFXKAXHKO-ZJUUUORDSA-N -1 1 334.332 -0.526 20 0 EBADMM Cn1cc(C(=O)N2CC[C@@H](CNC(=O)c3ncccc3[O-])C2)nn1 ZINC000982716336 806663010 /nfs/dbraw/zinc/66/30/10/806663010.db2.gz CMTOHNAGSMGIJW-JTQLQIEISA-N -1 1 330.348 -0.192 20 0 EBADMM O=C(C[C@@H]1CCNC1=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982846580 806731598 /nfs/dbraw/zinc/73/15/98/806731598.db2.gz ATVCLBWDDZCXSQ-NEPJUHHUSA-N -1 1 346.387 -0.108 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)[C@@H]2CCC(=O)NC2)C1)c1ncccc1[O-] ZINC000982957605 806801945 /nfs/dbraw/zinc/80/19/45/806801945.db2.gz SYWDNWRICXMWAO-VXGBXAGGSA-N -1 1 346.387 -0.108 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC000983010980 806835002 /nfs/dbraw/zinc/83/50/02/806835002.db2.gz CWCAZGQTXGCIGM-VIFPVBQESA-N -1 1 330.348 -0.594 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000983084700 806873465 /nfs/dbraw/zinc/87/34/65/806873465.db2.gz VTJDAACAQUZSPI-GMTAPVOTSA-N -1 1 344.375 -0.348 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000983303898 806974772 /nfs/dbraw/zinc/97/47/72/806974772.db2.gz AWKUVFQVUZJEFR-AXFHLTTASA-N -1 1 332.360 -0.513 20 0 EBADMM COCCNC(=O)C[N-]S(=O)(=O)c1ccc(Cl)nc1F ZINC000692903572 803785066 /nfs/dbraw/zinc/78/50/66/803785066.db2.gz DAWHNKCMYABILX-UHFFFAOYSA-N -1 1 325.749 -0.085 20 0 EBADMM CC(C)(C)C(=O)N[C@@H]1[C@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@H]21 ZINC000977167203 804184541 /nfs/dbraw/zinc/18/45/41/804184541.db2.gz WABCKRGFTUGGIB-PJXYFTJBSA-N -1 1 348.403 -0.454 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1COCCO1 ZINC000977393577 804304527 /nfs/dbraw/zinc/30/45/27/804304527.db2.gz HUFFBZPWBBOTPB-WCQYABFASA-N -1 1 335.360 -0.125 20 0 EBADMM Cc1cc(C(=O)N(C)C[C@H]2CCN2C(=O)Cn2c(=O)[n-][nH]c2=O)[nH]n1 ZINC000977427309 804322734 /nfs/dbraw/zinc/32/27/34/804322734.db2.gz KSMHTYQHKCXERR-SECBINFHSA-N -1 1 349.351 -0.906 20 0 EBADMM Cn1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)n1 ZINC000977591332 804401184 /nfs/dbraw/zinc/40/11/84/804401184.db2.gz WAPGFHYKARTZSO-LLVKDONJSA-N -1 1 333.396 -0.518 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)[C@H]1CN(C)C(=O)N1)C(=O)c1ncccc1[O-] ZINC000977622058 804418769 /nfs/dbraw/zinc/41/87/69/804418769.db2.gz VPAGAUFMUBYUND-WDEREUQCSA-N -1 1 347.375 -0.516 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)c1ncn(C)n1 ZINC000977656738 804446473 /nfs/dbraw/zinc/44/64/73/804446473.db2.gz SBTALPULUPIORC-SNVBAGLBSA-N -1 1 330.348 -0.098 20 0 EBADMM CO[C@@H](C)C(=O)N1CC[C@H]1CN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000978052598 804634742 /nfs/dbraw/zinc/63/47/42/804634742.db2.gz JQDWLNFBIJGTHM-UWVGGRQHSA-N -1 1 348.363 -0.875 20 0 EBADMM Cn1nnnc1CN1C[C@@H]2[C@@H](CNC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000978071141 804645816 /nfs/dbraw/zinc/64/58/16/804645816.db2.gz ZFVDFJNHTUKPPK-RTCCRHLQSA-N -1 1 329.364 -0.582 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N(C)C[C@H]1CCN1C(=O)C(N)=O ZINC000978135008 804680194 /nfs/dbraw/zinc/68/01/94/804680194.db2.gz NXCWZLXMLSAJDF-SNVBAGLBSA-N -1 1 349.391 -0.228 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1CCN(C)C1=O ZINC000978207039 804728334 /nfs/dbraw/zinc/72/83/34/804728334.db2.gz ATKKZAIIDIRLTB-NEPJUHHUSA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@@H]1CCN(C)C1=O ZINC000978207042 804728376 /nfs/dbraw/zinc/72/83/76/804728376.db2.gz ATKKZAIIDIRLTB-NWDGAFQWSA-N -1 1 346.387 -0.062 20 0 EBADMM Cc1nocc1CN1C[C@@H]2[C@@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)[C@@H]2C1 ZINC000978419344 804830066 /nfs/dbraw/zinc/83/00/66/804830066.db2.gz ZTYGXRCLPFBGMU-RTCCRHLQSA-N -1 1 346.347 -0.313 20 0 EBADMM C[C@H]1C[C@H]1C(=O)NC[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000978548025 804858440 /nfs/dbraw/zinc/85/84/40/804858440.db2.gz IWTGHPDVUNJGRA-UKKRHICBSA-N -1 1 344.375 -0.302 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N[C@H]1C[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000979043278 805106593 /nfs/dbraw/zinc/10/65/93/805106593.db2.gz IFXSMLMBLKLKCA-CHWFTXMASA-N -1 1 344.375 -0.302 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)Cn2cccn2)CCO1 ZINC000979184249 805195336 /nfs/dbraw/zinc/19/53/36/805195336.db2.gz BBFTWQBXVDMNIZ-QWRGUYRKSA-N -1 1 335.368 -0.888 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)C2=COCCO2)CCO1 ZINC000979184660 805195490 /nfs/dbraw/zinc/19/54/90/805195490.db2.gz VPVXQYGNQCYUMO-ZJUUUORDSA-N -1 1 339.352 -0.896 20 0 EBADMM Cc1cnc(C(=O)N2CCO[C@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC000979198832 805205794 /nfs/dbraw/zinc/20/57/94/805205794.db2.gz KDPLMBSYIDBMJM-JQWIXIFHSA-N -1 1 347.379 -0.372 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)C2=COCCC2)CCO1 ZINC000979211585 805211499 /nfs/dbraw/zinc/21/14/99/805211499.db2.gz FJFLZLJUYUPGAH-CMPLNLGQSA-N -1 1 337.380 -0.090 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)on1 ZINC000979221104 805216515 /nfs/dbraw/zinc/21/65/15/805216515.db2.gz WGNORIGKRLCAHJ-ONGXEEELSA-N -1 1 336.352 -0.174 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)C(=O)C(C)(C)C)CCO1 ZINC000979245592 805236002 /nfs/dbraw/zinc/23/60/02/805236002.db2.gz CLLBGGZTEVOXLT-NXEZZACHSA-N -1 1 339.396 -0.169 20 0 EBADMM Cc1nc(C(=O)N2CCO[C@@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)c[nH]1 ZINC000979247475 805237138 /nfs/dbraw/zinc/23/71/38/805237138.db2.gz VIRTXFICCBEJDC-GZMMTYOYSA-N -1 1 335.368 -0.439 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2cccn2C)CCO1 ZINC000979259164 805244845 /nfs/dbraw/zinc/24/48/45/805244845.db2.gz RXTXIDXQJIVYDP-ZYHUDNBSSA-N -1 1 334.380 -0.132 20 0 EBADMM CCn1nccc1C(=O)N1CCO[C@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979258577 805245190 /nfs/dbraw/zinc/24/51/90/805245190.db2.gz NNNGUZCPZSDTNI-PWSUYJOCSA-N -1 1 349.395 -0.254 20 0 EBADMM C[C@H](NCc1nnnn1C)[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC000979277947 805257199 /nfs/dbraw/zinc/25/71/99/805257199.db2.gz QGXSYAPCYPEELS-JQWIXIFHSA-N -1 1 347.379 -0.670 20 0 EBADMM C[C@@H](NCc1cnn(C)n1)[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC000979279926 805258978 /nfs/dbraw/zinc/25/89/78/805258978.db2.gz SPXLGENJNWKHOO-BXUZGUMPSA-N -1 1 346.391 -0.065 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2ccns2)CCO1 ZINC000979308681 805281600 /nfs/dbraw/zinc/28/16/00/805281600.db2.gz OIVGEAKZURDMDO-BDAKNGLRSA-N -1 1 338.393 -0.014 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2cc(F)c[nH]2)CCO1 ZINC000979344757 805310060 /nfs/dbraw/zinc/31/00/60/805310060.db2.gz TYXAQTXXVMMRFU-LDYMZIIASA-N -1 1 338.343 -0.003 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)C2(CF)CC2)CCO1 ZINC000979410720 805358496 /nfs/dbraw/zinc/35/84/96/805358496.db2.gz PGNAIZUIANAYLT-UWVGGRQHSA-N -1 1 327.360 -0.035 20 0 EBADMM Cc1c[nH]c(C(=O)N2CCO[C@@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000979415274 805362382 /nfs/dbraw/zinc/36/23/82/805362382.db2.gz RPXACJMJVSWDDO-VHSXEESVSA-N -1 1 335.368 -0.439 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)Cn2ccnc2)CCO1 ZINC000979439246 805380049 /nfs/dbraw/zinc/38/00/49/805380049.db2.gz BYNYIRLYSRFZIY-MNOVXSKESA-N -1 1 335.368 -0.888 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CC[C@@H]2CN(C(C)=O)C[C@@H]12 ZINC000979544867 805424995 /nfs/dbraw/zinc/42/49/95/805424995.db2.gz BVBOXBDFAKSANR-OPRDCNLKSA-N -1 1 336.348 -0.117 20 0 EBADMM CCC(=O)N1CCC[C@@H](N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000983726108 807089096 /nfs/dbraw/zinc/08/90/96/807089096.db2.gz ZRUNIEXPXZBATA-SNVBAGLBSA-N -1 1 332.364 -0.109 20 0 EBADMM Cc1cc(=O)c(C(=O)N[C@H]2C[C@H](CNCc3n[nH]c(=O)[n-]3)C2)cn1C ZINC000983947327 807126627 /nfs/dbraw/zinc/12/66/27/807126627.db2.gz KFNAPMXKHHPQBB-XYPYZODXSA-N -1 1 346.391 -0.184 20 0 EBADMM Cc1nnc(CNC[C@H]2C[C@H](NC(=O)c3cnc([O-])n(C)c3=O)C2)[nH]1 ZINC000984536733 807322238 /nfs/dbraw/zinc/32/22/38/807322238.db2.gz XMFUEDLPHBSNJB-MGCOHNPYSA-N -1 1 347.379 -0.789 20 0 EBADMM C[C@H](C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1)n1cncn1 ZINC000985386887 807502798 /nfs/dbraw/zinc/50/27/98/807502798.db2.gz OAWGXSAVAZMQFD-SNVBAGLBSA-N -1 1 334.384 -0.214 20 0 EBADMM COc1c(C(=O)N2CCC(N(C)Cc3n[nH]c(=O)[n-]3)CC2)cnn1C ZINC000985769409 807577211 /nfs/dbraw/zinc/57/72/11/807577211.db2.gz KKXCCUSOPIHAOF-UHFFFAOYSA-N -1 1 349.395 -0.011 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnc(N(C)C)cn1 ZINC000986270472 807661725 /nfs/dbraw/zinc/66/17/25/807661725.db2.gz LOQKSVBTGLSWBR-UWVGGRQHSA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnn2ccncc12 ZINC000986352278 807681886 /nfs/dbraw/zinc/68/18/86/807681886.db2.gz GCFUOSPGVKIMEW-ONGXEEELSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnc2n[nH]nc2c1 ZINC000986360442 807686215 /nfs/dbraw/zinc/68/62/15/807686215.db2.gz XMUYNWDLNTXBBC-IONNQARKSA-N -1 1 343.351 -0.431 20 0 EBADMM Cc1cc(=O)c(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)cn1C ZINC000986399511 807695327 /nfs/dbraw/zinc/69/53/27/807695327.db2.gz NLBNBQHPYVJLOW-JQWIXIFHSA-N -1 1 346.391 -0.090 20 0 EBADMM CCn1ccnc1CN[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)[C@H]1C ZINC000986486068 807717679 /nfs/dbraw/zinc/71/76/79/807717679.db2.gz FCYNQWVLNKQIPN-VHSXEESVSA-N -1 1 347.379 -0.108 20 0 EBADMM COc1cc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)nn1C ZINC000986543615 807731124 /nfs/dbraw/zinc/73/11/24/807731124.db2.gz ZTSISFARCFHSHW-DTWKUNHWSA-N -1 1 335.368 -0.355 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc2nnnn2c1 ZINC000986554485 807733684 /nfs/dbraw/zinc/73/36/84/807733684.db2.gz BJCKIBQGKNNNJZ-WCBMZHEXSA-N -1 1 343.351 -0.659 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc2nnnn2c1 ZINC000986554484 807733850 /nfs/dbraw/zinc/73/38/50/807733850.db2.gz BJCKIBQGKNNNJZ-SCZZXKLOSA-N -1 1 343.351 -0.659 20 0 EBADMM C[C@@H]1[C@@H](NCc2nccn2C)CCN1C(=O)c1cnc([O-])n(C)c1=O ZINC000986647296 807755629 /nfs/dbraw/zinc/75/56/29/807755629.db2.gz ASQJDNQNGKVTTI-PWSUYJOCSA-N -1 1 346.391 -0.388 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccn2nnnc2c1 ZINC000986663950 807760126 /nfs/dbraw/zinc/76/01/26/807760126.db2.gz NGXJUUPGAHJYCI-SCZZXKLOSA-N -1 1 343.351 -0.659 20 0 EBADMM CC(=O)N[C@H](C)C1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000986936466 807800403 /nfs/dbraw/zinc/80/04/03/807800403.db2.gz REDNLOXGWWUDJE-SECBINFHSA-N -1 1 332.364 -0.206 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc(C(N)=O)[nH]1 ZINC000986985363 807814612 /nfs/dbraw/zinc/81/46/12/807814612.db2.gz NSIZSOMERGYDAJ-YUMQZZPRSA-N -1 1 333.352 -0.670 20 0 EBADMM COc1ncc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)cn1 ZINC000987295860 807884679 /nfs/dbraw/zinc/88/46/79/807884679.db2.gz LULRRKPTACYXTE-PSASIEDQSA-N -1 1 333.352 -0.298 20 0 EBADMM COc1ncc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)cn1 ZINC000987295861 807884696 /nfs/dbraw/zinc/88/46/96/807884696.db2.gz LULRRKPTACYXTE-SCZZXKLOSA-N -1 1 333.352 -0.298 20 0 EBADMM C[C@H]1[C@H](NCc2ccon2)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000987353349 807898973 /nfs/dbraw/zinc/89/89/73/807898973.db2.gz OIDSOCRYFIEQFN-GXSJLCMTSA-N -1 1 343.347 -0.202 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc(N(C)C)nn1 ZINC000987366640 807900837 /nfs/dbraw/zinc/90/08/37/807900837.db2.gz XPYQOKRFJNHSTQ-VHSXEESVSA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)[C@@H]2COCCO2)CCN1C(=O)c1ncccc1[O-] ZINC000987371920 807902183 /nfs/dbraw/zinc/90/21/83/807902183.db2.gz DALLGWFGCNRXOE-MDZLAQPJSA-N -1 1 335.360 -0.078 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1c[nH]c(C(N)=O)c1 ZINC000987517674 807951128 /nfs/dbraw/zinc/95/11/28/807951128.db2.gz JEYYJPDVJSJZQY-VXNVDRBHSA-N -1 1 333.352 -0.670 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCc1cn(C)nn1 ZINC000987610659 807971206 /nfs/dbraw/zinc/97/12/06/807971206.db2.gz AIFDFLIOESBAAA-KOLCDFICSA-N -1 1 334.384 -0.649 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cc(C(N)=O)ccn1 ZINC000987630288 807974555 /nfs/dbraw/zinc/97/45/55/807974555.db2.gz CCGVYUQYLVMUFS-WCBMZHEXSA-N -1 1 345.363 -0.603 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)[C@H]2CNC(=O)N2)C1)C(=O)c1ncccc1[O-] ZINC000988269917 808175329 /nfs/dbraw/zinc/17/53/29/808175329.db2.gz CAVYOTGPPRVFBT-WDEREUQCSA-N -1 1 347.375 -0.611 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cc2n(n1)CCO2 ZINC000988957519 808336692 /nfs/dbraw/zinc/33/66/92/808336692.db2.gz ZHRRPRVTJVPJEL-IUCAKERBSA-N -1 1 333.352 -0.508 20 0 EBADMM Cc1nn(C)cc1CC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC000988975406 808340253 /nfs/dbraw/zinc/34/02/53/808340253.db2.gz VGZOWVIDZCRQLP-BXKDBHETSA-N -1 1 333.396 -0.126 20 0 EBADMM Cc1nc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)c(=O)[nH]c1C ZINC000989031787 808352793 /nfs/dbraw/zinc/35/27/93/808352793.db2.gz AUWRODZIWNKDJL-XVKPBYJWSA-N -1 1 347.379 -0.397 20 0 EBADMM CO[C@H](C)C(=O)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@H]1C ZINC000989040559 808353875 /nfs/dbraw/zinc/35/38/75/808353875.db2.gz JZCHNPXCAQQZTR-BBBLOLIVSA-N -1 1 348.363 -0.828 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN1C(=O)C1CC1 ZINC000989040918 808355248 /nfs/dbraw/zinc/35/52/48/808355248.db2.gz RXVKFUXOAQEMQC-SCZZXKLOSA-N -1 1 330.348 -0.453 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cc(CN(C)C)on1 ZINC000989080169 808369150 /nfs/dbraw/zinc/36/91/50/808369150.db2.gz YHAZHAXKQGSMFJ-NXEZZACHSA-N -1 1 349.395 -0.047 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CN1CCCNC1=O ZINC000989097411 808372775 /nfs/dbraw/zinc/37/27/75/808372775.db2.gz GMWQEWJMLVSDMV-VHSXEESVSA-N -1 1 337.384 -0.995 20 0 EBADMM Cc1nocc1CN[C@H]1C[C@@H](C)N(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000989107857 808375970 /nfs/dbraw/zinc/37/59/70/808375970.db2.gz BECGVSBMPQHRIH-XCBNKYQSSA-N -1 1 334.336 -0.028 20 0 EBADMM C[C@H]1C[C@@H](NCc2ccn(C)n2)CN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000989118889 808380578 /nfs/dbraw/zinc/38/05/78/808380578.db2.gz INXCITOPMRRPDV-WCBMZHEXSA-N -1 1 333.352 -0.591 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cn(C)ccc1=O ZINC000989156081 808388182 /nfs/dbraw/zinc/38/81/82/808388182.db2.gz RLPZLMRHWGDGAU-ZJUUUORDSA-N -1 1 332.364 -0.398 20 0 EBADMM COc1cc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)nn1C ZINC000989160315 808390671 /nfs/dbraw/zinc/39/06/71/808390671.db2.gz LHCQSUYGENAMCU-RKDXNWHRSA-N -1 1 335.368 -0.355 20 0 EBADMM COc1c[nH]c(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)cc1=O ZINC000989184696 808397267 /nfs/dbraw/zinc/39/72/67/808397267.db2.gz BTSRBUBOXCNMOH-BDAKNGLRSA-N -1 1 348.363 -0.400 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccn2nnnc2c1 ZINC000989301821 808433604 /nfs/dbraw/zinc/43/36/04/808433604.db2.gz MIHGBKNQEWBIFT-PSASIEDQSA-N -1 1 343.351 -0.659 20 0 EBADMM COc1cncc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)n1 ZINC000989522698 808496157 /nfs/dbraw/zinc/49/61/57/808496157.db2.gz PJHXEWXLUDUBIA-BDAKNGLRSA-N -1 1 333.352 -0.298 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cn(CC2CC2)nn1 ZINC000989623394 808535982 /nfs/dbraw/zinc/53/59/82/808535982.db2.gz VRRRCNLUGLQMPI-KOLCDFICSA-N -1 1 346.395 -0.095 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)Cn1ccccc1=O ZINC000989776962 808592154 /nfs/dbraw/zinc/59/21/54/808592154.db2.gz ZFNJQFRKDUULAU-QWRGUYRKSA-N -1 1 332.364 -0.549 20 0 EBADMM CCn1nc(C)c(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC000989802092 808597810 /nfs/dbraw/zinc/59/78/10/808597810.db2.gz DPKVQSQFUDOZKB-UHFFFAOYSA-N -1 1 348.411 -0.624 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCN1CCCC1=O ZINC000989811952 808603174 /nfs/dbraw/zinc/60/31/74/808603174.db2.gz BOFXPSNBSOOQOH-MNOVXSKESA-N -1 1 336.396 -0.398 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1noc2c1COCC2 ZINC000989823044 808604587 /nfs/dbraw/zinc/60/45/87/808604587.db2.gz QWJWQTRTHQMCCW-DTWKUNHWSA-N -1 1 348.363 -0.036 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)c4ccn[nH]4)CCC[C@@H]23)nc1=O ZINC000989968976 808628846 /nfs/dbraw/zinc/62/88/46/808628846.db2.gz FEMLCFYKAJXOTJ-IAQYHMDHSA-N -1 1 331.380 -0.242 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)c4cn[nH]c4)CCC[C@H]23)nc1=O ZINC000989974400 808629914 /nfs/dbraw/zinc/62/99/14/808629914.db2.gz VBVAKPPTOPUDJC-XHDPSFHLSA-N -1 1 331.380 -0.242 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)c4cnns4)CCC[C@H]23)nc1=O ZINC000989977443 808630130 /nfs/dbraw/zinc/63/01/30/808630130.db2.gz ZQMLVADFTNVNIE-IINYFYTJSA-N -1 1 349.420 -0.113 20 0 EBADMM Cn1cnc(C(=O)N[C@@]23CCC[C@H]2N(Cc2nc(=O)n(C)[n-]2)CC3)c1 ZINC000990236499 808707595 /nfs/dbraw/zinc/70/75/95/808707595.db2.gz LVGRUUHWTXIXKX-MLGOLLRUSA-N -1 1 345.407 -0.231 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1=COCCC1 ZINC000990455948 808794705 /nfs/dbraw/zinc/79/47/05/808794705.db2.gz QIQPHKPRLKMHMO-UHFFFAOYSA-N -1 1 344.331 -0.948 20 0 EBADMM CC1CC(C(=O)NC2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)C1 ZINC000990749268 808901758 /nfs/dbraw/zinc/90/17/58/808901758.db2.gz NXSBPQJSUSSWRI-UHFFFAOYSA-N -1 1 334.376 -0.700 20 0 EBADMM Cc1n[nH]c(C(=O)NC2CN(C(=O)[C@@H]3C[C@H]3c3cnc[nH]3)C2)c1[O-] ZINC000990854600 808951316 /nfs/dbraw/zinc/95/13/16/808951316.db2.gz OJUMEFBZDQUKRJ-NXEZZACHSA-N -1 1 330.348 -0.109 20 0 EBADMM O=C(NC1CN(C(=O)c2ccnc3n[nH]nc32)C1)c1ncccc1[O-] ZINC000990970051 809018148 /nfs/dbraw/zinc/01/81/48/809018148.db2.gz SLZZPYJLSPYMHC-UHFFFAOYSA-N -1 1 339.315 -0.292 20 0 EBADMM CN1C(=O)CCC[C@@H]1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990971755 809019133 /nfs/dbraw/zinc/01/91/33/809019133.db2.gz JBIPTTSILWUGJG-LLVKDONJSA-N -1 1 332.360 -0.261 20 0 EBADMM COc1c[nH]c(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)cc1=O ZINC000990976005 809022741 /nfs/dbraw/zinc/02/27/41/809022741.db2.gz CVTNAPDJLBDHLR-UHFFFAOYSA-N -1 1 344.327 -0.262 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)[C@@H]1CC1(F)F ZINC000991207763 809156657 /nfs/dbraw/zinc/15/66/57/809156657.db2.gz MRDYBBJBWUIJBF-ZETCQYMHSA-N -1 1 338.274 -0.987 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)c1ccn[nH]1 ZINC000991308333 809269545 /nfs/dbraw/zinc/26/95/45/809269545.db2.gz NJWUMMRMCHDTSY-JOYOIKCWSA-N -1 1 331.332 -0.484 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1ccn[nH]1 ZINC000991308337 809269741 /nfs/dbraw/zinc/26/97/41/809269741.db2.gz NJWUMMRMCHDTSY-SKDRFNHKSA-N -1 1 331.332 -0.484 20 0 EBADMM O=C(Cn1ccccc1=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000991364317 809362173 /nfs/dbraw/zinc/36/21/73/809362173.db2.gz WJWYVOMIQWMQNK-UHFFFAOYSA-N -1 1 328.328 -0.410 20 0 EBADMM Cc1cc(C(=O)N2CC[C@@H](NC(=O)c3[nH]nc(C)c3[O-])[C@@H](O)C2)n[nH]1 ZINC000991386182 809390747 /nfs/dbraw/zinc/39/07/47/809390747.db2.gz HCCKOCIVXVZPGP-KOLCDFICSA-N -1 1 348.363 -0.539 20 0 EBADMM Cc1ncc(C(=O)N2CC[C@H](NC(=O)c3[nH]nc(C)c3[O-])[C@H](O)C2)[nH]1 ZINC000991396633 809406933 /nfs/dbraw/zinc/40/69/33/809406933.db2.gz WMRFQQHCTLFRDX-GXSJLCMTSA-N -1 1 348.363 -0.539 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cn[nH]c2)C[C@@H]1O)c1ncccc1[O-] ZINC000991405683 809422799 /nfs/dbraw/zinc/42/27/99/809422799.db2.gz HALIGPWSFZRXQI-JQWIXIFHSA-N -1 1 331.332 -0.484 20 0 EBADMM O=C(Cn1cccn1)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991407750 809426203 /nfs/dbraw/zinc/42/62/03/809426203.db2.gz KCAFIKDDGQSRMG-YPMHNXCESA-N -1 1 345.359 -0.625 20 0 EBADMM O=C(Cc1cnc[nH]1)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991423581 809446108 /nfs/dbraw/zinc/44/61/08/809446108.db2.gz JMNHYPCMWLYFJA-DGCLKSJQSA-N -1 1 345.359 -0.555 20 0 EBADMM O=C(CC1(O)CCC1)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991424180 809448022 /nfs/dbraw/zinc/44/80/22/809448022.db2.gz JOVFMIJXNWLIMA-DGCLKSJQSA-N -1 1 349.387 -0.216 20 0 EBADMM CC(C)(O)CC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991427467 809454632 /nfs/dbraw/zinc/45/46/32/809454632.db2.gz OHLWKNJNQNROQW-ZYHUDNBSSA-N -1 1 337.376 -0.360 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cnco2)C[C@H]1O)c1ncccc1[O-] ZINC000991432771 809464217 /nfs/dbraw/zinc/46/42/17/809464217.db2.gz XHWFMWDNQVFCPD-GXSJLCMTSA-N -1 1 332.316 -0.219 20 0 EBADMM Cn1ccc(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]2O)n1 ZINC000991512258 809588291 /nfs/dbraw/zinc/58/82/91/809588291.db2.gz ZFMZHSWHBZBBNM-ZWNOBZJWSA-N -1 1 345.359 -0.474 20 0 EBADMM O=C(Cn1ccnc1)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC000991574913 809661049 /nfs/dbraw/zinc/66/10/49/809661049.db2.gz QITMTFZLGJQOIY-DGCLKSJQSA-N -1 1 345.359 -0.625 20 0 EBADMM Cn1ccc(C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)cc1=O ZINC001003070590 809774093 /nfs/dbraw/zinc/77/40/93/809774093.db2.gz MCMZNGRJFXLVHI-UHFFFAOYSA-N -1 1 342.355 -0.012 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)c1cnnn1C ZINC001004851780 809827806 /nfs/dbraw/zinc/82/78/06/809827806.db2.gz YWDYRMQPTGXFHO-NXEZZACHSA-N -1 1 334.384 -0.188 20 0 EBADMM CC(=O)NC[C@@H]1CCCCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001005316705 809852255 /nfs/dbraw/zinc/85/22/55/809852255.db2.gz PABAMZCINZGSAK-NSHDSACASA-N -1 1 332.364 -0.062 20 0 EBADMM C[C@H](NC(=O)c1cnnn1C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005997757 809875396 /nfs/dbraw/zinc/87/53/96/809875396.db2.gz OIXPRFWSUXYIOF-VIFPVBQESA-N -1 1 330.348 -0.194 20 0 EBADMM CCC(=O)N[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1(C)C ZINC001007740643 810010075 /nfs/dbraw/zinc/01/00/75/810010075.db2.gz UEFLKENKPRCIMD-JTQLQIEISA-N -1 1 332.364 -0.206 20 0 EBADMM Cn1nccc1CCN1CCC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001007798503 810021025 /nfs/dbraw/zinc/02/10/25/810021025.db2.gz GDXJRHLTBWEOPT-SNVBAGLBSA-N -1 1 347.379 -0.547 20 0 EBADMM Cc1nc(CN2CCC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001007799801 810021987 /nfs/dbraw/zinc/02/19/87/810021987.db2.gz KYHOWVSOMGSUPM-QMMMGPOBSA-N -1 1 334.340 -0.896 20 0 EBADMM CC1(C)CN(C(=O)[C@H]2CNC(=O)N2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001008081872 810073992 /nfs/dbraw/zinc/07/39/92/810073992.db2.gz HYDCMAAKILAYME-MWLCHTKSSA-N -1 1 347.375 -0.565 20 0 EBADMM NC(=O)C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C(F)(F)F ZINC001008692911 810214656 /nfs/dbraw/zinc/21/46/56/810214656.db2.gz UCPBCEXWXGOXGE-RNFRBKRXSA-N -1 1 346.265 -0.608 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCO[C@H]([C@@H](C)NC(C)=O)C1 ZINC001009714852 810256373 /nfs/dbraw/zinc/25/63/73/810256373.db2.gz ODZKQPQPSRNZGY-APPZFPTMSA-N -1 1 340.336 -0.738 20 0 EBADMM CCC(=O)N1C[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1C ZINC001009884464 810267205 /nfs/dbraw/zinc/26/72/05/810267205.db2.gz AIYQLWMENZMPPC-UWVGGRQHSA-N -1 1 332.364 -0.206 20 0 EBADMM C[C@@H]1CN(C(=O)COCc2nccn2C)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001214928215 810292340 /nfs/dbraw/zinc/29/23/40/810292340.db2.gz QBACDDTUGSBTGE-GHMZBOCLSA-N -1 1 349.395 -0.603 20 0 EBADMM CCn1nnc(C)c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001014693436 810446089 /nfs/dbraw/zinc/44/60/89/810446089.db2.gz GCZYKEYDFGITLY-JTQLQIEISA-N -1 1 334.384 -0.967 20 0 EBADMM CCn1nnc(C)c1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001014693437 810446219 /nfs/dbraw/zinc/44/62/19/810446219.db2.gz GCZYKEYDFGITLY-SNVBAGLBSA-N -1 1 334.384 -0.967 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@H]3CCc4nccn4C3)C2)nc1=O ZINC001014984318 810458922 /nfs/dbraw/zinc/45/89/22/810458922.db2.gz QSURAZNMIBBJIY-RYUDHWBXSA-N -1 1 345.407 -0.742 20 0 EBADMM CC[C@H](C)CC(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21 ZINC001217736771 810459256 /nfs/dbraw/zinc/45/92/56/810459256.db2.gz KOIXWNCPKSQSQI-RWMBFGLXSA-N -1 1 337.424 -0.044 20 0 EBADMM CCc1nnc(C)cc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001014991852 810461152 /nfs/dbraw/zinc/46/11/52/810461152.db2.gz ROVWOTXQKVFMKE-NSHDSACASA-N -1 1 345.407 -0.226 20 0 EBADMM CC(C)c1n[nH]cc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001015066797 810471514 /nfs/dbraw/zinc/47/15/14/810471514.db2.gz SRKZUENWUICXJK-SNVBAGLBSA-N -1 1 333.396 -0.041 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cnn4cccnc34)C2)nc1=O ZINC001015481056 810490621 /nfs/dbraw/zinc/49/06/21/810490621.db2.gz BTNOHXRYWOVIHL-JTQLQIEISA-N -1 1 342.363 -0.845 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)/C=C\c3ccco3)[C@@H](O)C2)nc1=O ZINC001219114468 810587738 /nfs/dbraw/zinc/58/77/38/810587738.db2.gz SOSNRTKWKHEXLU-JYYSYOFASA-N -1 1 333.348 -0.924 20 0 EBADMM CC[C@H](CN1CC[C@@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1)OC ZINC001015865025 810604007 /nfs/dbraw/zinc/60/40/07/810604007.db2.gz OCMBSIWATWKPJE-VXGBXAGGSA-N -1 1 348.407 -0.313 20 0 EBADMM Cn1nc2c(c1C(=O)N[C@@H]1CCN(Cc3nc(=O)n(C)[n-]3)C1)CCC2 ZINC001015985614 810638862 /nfs/dbraw/zinc/63/88/62/810638862.db2.gz ABSYMEIEGKOIBU-SNVBAGLBSA-N -1 1 345.407 -0.665 20 0 EBADMM O=C(N[C@H]1CCN(Cc2nccs2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001015992033 810645889 /nfs/dbraw/zinc/64/58/89/810645889.db2.gz KGGOHKRJCSPQDL-VIFPVBQESA-N -1 1 345.388 -0.122 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C(C)(C)c3c[nH]cn3)C2)nc1=O ZINC001016002236 810649296 /nfs/dbraw/zinc/64/92/96/810649296.db2.gz SNTATIGVJSEACN-JTQLQIEISA-N -1 1 333.396 -0.500 20 0 EBADMM COc1cccnc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001016094178 810663660 /nfs/dbraw/zinc/66/36/60/810663660.db2.gz SVRPEDJSTZUWJA-SNVBAGLBSA-N -1 1 332.364 -0.484 20 0 EBADMM CN(C(=O)C(F)F)C1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001016143394 810678787 /nfs/dbraw/zinc/67/87/87/810678787.db2.gz CWABPMSFSVWPPN-UHFFFAOYSA-N -1 1 340.290 -0.598 20 0 EBADMM CS(=O)(=O)c1cc(F)c(NC(=O)[C@@H](N)Cc2nnn[n-]2)cc1F ZINC001219446562 810702935 /nfs/dbraw/zinc/70/29/35/810702935.db2.gz QMBXKYBYLALGMY-ZETCQYMHSA-N -1 1 346.319 -0.610 20 0 EBADMM O=C(CN1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1)NCC1CC1 ZINC001219495609 810720551 /nfs/dbraw/zinc/72/05/51/810720551.db2.gz JUQNZVBNCHLTJD-YPMHNXCESA-N -1 1 334.376 -0.912 20 0 EBADMM CCc1cc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001033529667 810777123 /nfs/dbraw/zinc/77/71/23/810777123.db2.gz JSNYTSMAHGORTQ-GFCCVEGCSA-N -1 1 347.423 -0.249 20 0 EBADMM CC1CC(CN2CCO[C@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)C1 ZINC001035432055 810796359 /nfs/dbraw/zinc/79/63/59/810796359.db2.gz NJEIOECTEIEDMR-VQXHTEKXSA-N -1 1 337.380 -0.241 20 0 EBADMM Cc1cocc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035476831 810837075 /nfs/dbraw/zinc/83/70/75/810837075.db2.gz WCEMMLQOZCQCLL-LLVKDONJSA-N -1 1 335.364 -0.359 20 0 EBADMM Cc1coc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)c1 ZINC001035480594 810841376 /nfs/dbraw/zinc/84/13/76/810841376.db2.gz GHBCBGVKNSGVPP-NSHDSACASA-N -1 1 335.364 -0.359 20 0 EBADMM Cc1cnoc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035567145 810917924 /nfs/dbraw/zinc/91/79/24/810917924.db2.gz GREBVWALXBOAND-SNVBAGLBSA-N -1 1 336.352 -0.964 20 0 EBADMM COc1ccc(CSC[C@H](N)C(=O)N[C@@H]2CO[N-]C2=O)cc1 ZINC001220028162 810954748 /nfs/dbraw/zinc/95/47/48/810954748.db2.gz MFLBOSITPPSMFA-NWDGAFQWSA-N -1 1 325.390 -0.198 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)c2cc[nH]n2)CC3)nc1=O ZINC001035654854 810981171 /nfs/dbraw/zinc/98/11/71/810981171.db2.gz CRTXXJQTJQFQIY-UHFFFAOYSA-N -1 1 331.380 -0.430 20 0 EBADMM C[C@H]1C[C@H](C(=O)N2CCC3(CN(Cc4nc(=O)n(C)[n-]4)C3)CC2)CO1 ZINC001035737137 811007169 /nfs/dbraw/zinc/00/71/69/811007169.db2.gz FIKQNNISXGGXON-STQMWFEESA-N -1 1 349.435 -0.042 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CCC2(CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001035762974 811014257 /nfs/dbraw/zinc/01/42/57/811014257.db2.gz XXAXKKFAFGVDIL-UHFFFAOYSA-N -1 1 346.395 -0.727 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)[C@@]2(C)CCOC2)CC3)nc1=O ZINC001035825414 811035296 /nfs/dbraw/zinc/03/52/96/811035296.db2.gz JRULEHQZFRPYMP-INIZCTEOSA-N -1 1 349.435 -0.041 20 0 EBADMM Cc1nnc(CN[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@H]2C)o1 ZINC001036097234 811097535 /nfs/dbraw/zinc/09/75/35/811097535.db2.gz VUNAKCFYDPBSQG-VXNVDRBHSA-N -1 1 349.351 -0.385 20 0 EBADMM C[C@H]1CCCN(C(=O)CS(C)(=O)=O)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036520788 811167963 /nfs/dbraw/zinc/16/79/63/811167963.db2.gz GEYOQNSCUMSFNW-UWVGGRQHSA-N -1 1 345.425 -0.728 20 0 EBADMM Cc1nnc(CC(=O)N2CCC[C@@H](C)[C@H]2CNCc2n[nH]c(=O)[n-]2)[nH]1 ZINC001036551441 811175192 /nfs/dbraw/zinc/17/51/92/811175192.db2.gz KYVKJKDLAYUVKC-MWLCHTKSSA-N -1 1 348.411 -0.104 20 0 EBADMM C[C@H]1CCCN(C(=O)c2cnn(C)n2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036582975 811181830 /nfs/dbraw/zinc/18/18/30/811181830.db2.gz NJACUCIPSGDDPF-GXSJLCMTSA-N -1 1 334.384 -0.331 20 0 EBADMM C[C@@H]1CCCN(C(=O)CCn2cnnn2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036588659 811182402 /nfs/dbraw/zinc/18/24/02/811182402.db2.gz ZUSZNYIGZRGORE-GHMZBOCLSA-N -1 1 349.399 -0.696 20 0 EBADMM O=C(C1=COCCO1)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036635767 811187701 /nfs/dbraw/zinc/18/77/01/811187701.db2.gz OGHXLJOEJXIGGC-GHMZBOCLSA-N -1 1 335.364 -0.179 20 0 EBADMM O=C(Cc1cc[nH]n1)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036676542 811207141 /nfs/dbraw/zinc/20/71/41/811207141.db2.gz NZGPPAULOFZJBG-ZYHUDNBSSA-N -1 1 331.380 -0.101 20 0 EBADMM CC(=O)N1CC(C(=O)N2CC[C@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001036699558 811211053 /nfs/dbraw/zinc/21/10/53/811211053.db2.gz RGGPHQLANGBWRB-YPMHNXCESA-N -1 1 348.407 -0.589 20 0 EBADMM CCn1ncc(C(=O)N2CC[C@@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001036710834 811222469 /nfs/dbraw/zinc/22/24/69/811222469.db2.gz QSVFYLYBGWASQT-ZYHUDNBSSA-N -1 1 346.395 -0.142 20 0 EBADMM O=C(Cn1nccn1)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036727957 811231434 /nfs/dbraw/zinc/23/14/34/811231434.db2.gz MHWLWZFTZVZXNW-QWRGUYRKSA-N -1 1 332.368 -0.775 20 0 EBADMM C[C@H](CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O)c1ccco1 ZINC001220777974 811237385 /nfs/dbraw/zinc/23/73/85/811237385.db2.gz LWSBXKVXNGJEKW-UTUOFQBUSA-N -1 1 349.391 -0.444 20 0 EBADMM Cn1c(C(=O)N2CC[C@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)c[nH]c1=O ZINC001036791358 811257696 /nfs/dbraw/zinc/25/76/96/811257696.db2.gz YFLICRRMMDRWLU-ZJUUUORDSA-N -1 1 347.379 -0.314 20 0 EBADMM O=C([C@H]1[C@@H]2COC[C@@H]21)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036795582 811260835 /nfs/dbraw/zinc/26/08/35/811260835.db2.gz OPOXMVYAGLFHJO-YCGPCKTQSA-N -1 1 333.392 -0.175 20 0 EBADMM NC(=O)CN1CC[C@H]2CN(C(=O)c3c[n-]n4c3nccc4=O)CC[C@@H]21 ZINC001036837716 811281607 /nfs/dbraw/zinc/28/16/07/811281607.db2.gz NECDTQJOZIGKOS-JQWIXIFHSA-N -1 1 344.375 -0.956 20 0 EBADMM O=C(N[C@H]1CCCC[C@H]1CNCc1cnon1)c1n[nH]c(=O)[n-]c1=O ZINC001037197615 811384209 /nfs/dbraw/zinc/38/42/09/811384209.db2.gz FPMPGEZNQQRYHO-WPRPVWTQSA-N -1 1 349.351 -0.256 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)COCC4CC4)[C@@H]3C2)nc1=O ZINC001221312897 811438587 /nfs/dbraw/zinc/43/85/87/811438587.db2.gz TWFOGKKXATUUED-CHWSQXEVSA-N -1 1 335.408 -0.432 20 0 EBADMM CCN(C(=O)C(F)F)C1CN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC001079186971 811442501 /nfs/dbraw/zinc/44/25/01/811442501.db2.gz KMODFKAASIJBSD-UHFFFAOYSA-N -1 1 346.290 -0.166 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C)n1cccn1 ZINC001079439085 811540905 /nfs/dbraw/zinc/54/09/05/811540905.db2.gz SCOMUADKHHZTQW-IJLUTSLNSA-N -1 1 333.396 -0.497 20 0 EBADMM CCC(=O)NCC(=O)N(CC)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079500153 811564060 /nfs/dbraw/zinc/56/40/60/811564060.db2.gz KQAUFZOKKYGMMV-UHFFFAOYSA-N -1 1 334.376 -0.014 20 0 EBADMM COCCOCCC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001222076401 811591281 /nfs/dbraw/zinc/59/12/81/811591281.db2.gz GOXQQWJRUCHNFJ-LLVKDONJSA-N -1 1 327.385 -0.356 20 0 EBADMM CC(C)C[C@H](C(N)=O)C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001222150088 811604275 /nfs/dbraw/zinc/60/42/75/811604275.db2.gz SLFBFERERKRDEP-GHMZBOCLSA-N -1 1 338.412 -0.262 20 0 EBADMM COC[C@H](C)C(=O)N1CC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1 ZINC001222423344 811628124 /nfs/dbraw/zinc/62/81/24/811628124.db2.gz PDTRTTGZOLJNOI-XQQFMLRXSA-N -1 1 337.424 -0.186 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)COCC(F)F)CC2)nc1=O ZINC001223937575 811737678 /nfs/dbraw/zinc/73/76/78/811737678.db2.gz JKAYPDXJKDHEAY-UHFFFAOYSA-N -1 1 347.366 -0.282 20 0 EBADMM C[C@@H](Cn1cnc2c1c(=O)n(C)c(=O)n2C)OCC[P@](=O)([O-])O ZINC001224606345 811767655 /nfs/dbraw/zinc/76/76/55/811767655.db2.gz OOVQZJKGJSMCGL-QMMMGPOBSA-N -1 1 346.280 -0.984 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cnc2n1CCC2 ZINC001079877997 811768579 /nfs/dbraw/zinc/76/85/79/811768579.db2.gz ADMMHFXNQOGOTL-GHMZBOCLSA-N -1 1 345.407 -0.499 20 0 EBADMM CCN(C(=O)C[C@H]1CCNC1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079897506 811777964 /nfs/dbraw/zinc/77/79/64/811777964.db2.gz XTSHLTVMWHYUJP-LLVKDONJSA-N -1 1 346.387 -0.014 20 0 EBADMM CCNC(=O)CCC(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001226563002 811870182 /nfs/dbraw/zinc/87/01/82/811870182.db2.gz CCKXPHANGCWWHK-UHFFFAOYSA-N -1 1 338.412 -0.895 20 0 EBADMM CCN(C(=O)CCn1cc[n-]c(=O)c1=O)C1CN(C(=O)C(C)C)C1 ZINC001080100187 811898176 /nfs/dbraw/zinc/89/81/76/811898176.db2.gz PXOPZJYPZDTQQQ-UHFFFAOYSA-N -1 1 336.392 -0.358 20 0 EBADMM CCN(C(=O)CCn1cc[n-]c(=O)c1=O)C1CN(C(=O)[C@@H]2C[C@@H]2C)C1 ZINC001080100138 811898417 /nfs/dbraw/zinc/89/84/17/811898417.db2.gz NQAJXRDUZHUUTC-WCQYABFASA-N -1 1 348.403 -0.358 20 0 EBADMM CCC(=O)N[C@@H](C)C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001227506571 811926788 /nfs/dbraw/zinc/92/67/88/811926788.db2.gz YFXUMXHARWLTEV-JTQLQIEISA-N -1 1 338.412 -0.896 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)CCn3ccnc3)CC2)nc1=O ZINC001228526005 811985692 /nfs/dbraw/zinc/98/56/92/811985692.db2.gz JGRGNTXXMYUXCY-UHFFFAOYSA-N -1 1 333.396 -0.524 20 0 EBADMM Cc1ccn(CC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)n1 ZINC001080468111 812036784 /nfs/dbraw/zinc/03/67/84/812036784.db2.gz XDWNLACYTDRSEU-ZYHUDNBSSA-N -1 1 333.396 -0.750 20 0 EBADMM COc1c(=O)[n-]c(C)nc1O[C@H]1CO[C@@H]2[C@H](O[N+](=O)[O-])CO[C@H]12 ZINC001230020901 812069869 /nfs/dbraw/zinc/06/98/69/812069869.db2.gz IECMMBJHIRNJLA-JQCXWYLXSA-N -1 1 329.265 -0.379 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)Cc1cnn(C)c1 ZINC001231163309 812276803 /nfs/dbraw/zinc/27/68/03/812276803.db2.gz ZQDAQJUIFKDGBX-LBPRGKRZSA-N -1 1 333.396 -0.883 20 0 EBADMM Cc1cc(CC(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)no1 ZINC001231334449 812293034 /nfs/dbraw/zinc/29/30/34/812293034.db2.gz KSIJBEVMNXNHTM-GFCCVEGCSA-N -1 1 334.380 -0.320 20 0 EBADMM CO[C@H](C)CCC(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001233829028 812353206 /nfs/dbraw/zinc/35/32/06/812353206.db2.gz RPNQMTQNCRGZPG-VXGBXAGGSA-N -1 1 325.413 -0.044 20 0 EBADMM CN(C[C@H]1CCN1CCNS(C)(=O)=O)C(=O)c1ncccc1[O-] ZINC001233837490 812354460 /nfs/dbraw/zinc/35/44/60/812354460.db2.gz OFBLRGZVGYQUPX-LLVKDONJSA-N -1 1 342.421 -0.517 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)CC1OCCCO1 ZINC001233916292 812368736 /nfs/dbraw/zinc/36/87/36/812368736.db2.gz WMHGHNQAJNHRJW-LLVKDONJSA-N -1 1 339.396 -0.706 20 0 EBADMM Cc1nnc(CC(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)o1 ZINC001234100041 812385875 /nfs/dbraw/zinc/38/58/75/812385875.db2.gz RDAHUPBIQUKEEM-JTQLQIEISA-N -1 1 335.368 -0.925 20 0 EBADMM CCn1ccc(CC(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001234436101 812426470 /nfs/dbraw/zinc/42/64/70/812426470.db2.gz NGUBTIWXIGVFLK-ZDUSSCGKSA-N -1 1 347.423 -0.400 20 0 EBADMM CC(=O)O[C@H]1CO[C@@H]2[C@H](Oc3c(C(N)=O)nc(C)[n-]c3=O)CO[C@@H]21 ZINC001234478758 812430448 /nfs/dbraw/zinc/43/04/48/812430448.db2.gz UTJBSRXXJVEMTD-SCVMZPAESA-N -1 1 339.304 -0.934 20 0 EBADMM CCn1nc(C)c(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)n1 ZINC001081051106 812458386 /nfs/dbraw/zinc/45/83/86/812458386.db2.gz MJYYJYLAHHDLFF-MWLCHTKSSA-N -1 1 348.411 -0.721 20 0 EBADMM CC(F)(F)C(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001081184275 812535723 /nfs/dbraw/zinc/53/57/23/812535723.db2.gz RHFKOBDAFNKAIQ-SCZZXKLOSA-N -1 1 329.303 -0.009 20 0 EBADMM CS(=O)(=O)N1CCC(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)CC1 ZINC001257228736 812756151 /nfs/dbraw/zinc/75/61/51/812756151.db2.gz UGQDHBNTCLOOOK-UHFFFAOYSA-N -1 1 328.354 -0.448 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cnc4[nH]ccc4c3)CC2)nc1=O ZINC001258310238 812786443 /nfs/dbraw/zinc/78/64/43/812786443.db2.gz WMEXCELBITZXAF-UHFFFAOYSA-N -1 1 341.375 -0.106 20 0 EBADMM COC(=O)CS(=O)(=O)[N-][C@@](C)(Cc1ccc(O)cc1)C(=O)OC ZINC001259030091 812804960 /nfs/dbraw/zinc/80/49/60/812804960.db2.gz NWOQKIFWXKKREQ-AWEZNQCLSA-N -1 1 345.373 -0.041 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ccc(C)nc1 ZINC001081372682 812830597 /nfs/dbraw/zinc/83/05/97/812830597.db2.gz PWYBYUCJIPUMSD-CHWSQXEVSA-N -1 1 346.391 -0.559 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CC[C@]4(CNC(=O)C4)C3)ccnc1-2 ZINC001260404102 812833092 /nfs/dbraw/zinc/83/30/92/812833092.db2.gz KTNAYGNREXVNIK-INIZCTEOSA-N -1 1 342.359 -0.981 20 0 EBADMM COC(=O)c1sc(Cl)cc1S(=O)(=O)[N-][C@H](CO)C(N)=O ZINC001261062513 812851116 /nfs/dbraw/zinc/85/11/16/812851116.db2.gz JRRUQEDIYPTEJW-SCSAIBSYSA-N -1 1 342.782 -0.687 20 0 EBADMM COCCCC(=O)N1C[C@H](O)[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001081424158 812910070 /nfs/dbraw/zinc/91/00/70/812910070.db2.gz ATOMLNSRZVKPQY-YPMHNXCESA-N -1 1 337.376 -0.237 20 0 EBADMM CCCOCC(=O)N1C[C@@H](CNC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001081424276 812910890 /nfs/dbraw/zinc/91/08/90/812910890.db2.gz CLFCLHQRCDEGKO-DGCLKSJQSA-N -1 1 337.376 -0.237 20 0 EBADMM O=C(COCC1CC1)N1C[C@@H](CNC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001081424374 812911014 /nfs/dbraw/zinc/91/10/14/812911014.db2.gz DPDYWZRQCJSYOA-TZMCWYRMSA-N -1 1 349.387 -0.237 20 0 EBADMM CO[C@@H](CS(=O)(=O)NNc1nc(=O)c2cnn(C)c2[n-]1)C1CC1 ZINC001261480328 812916019 /nfs/dbraw/zinc/91/60/19/812916019.db2.gz MMDLNSQXKDRFBQ-VIFPVBQESA-N -1 1 342.381 -0.260 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1n[nH]c(C)c1C ZINC001081445964 812961357 /nfs/dbraw/zinc/96/13/57/812961357.db2.gz IRTYMXWWSQXONM-GHMZBOCLSA-N -1 1 349.395 -0.922 20 0 EBADMM CC(C)(O)CC(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001081450662 812976036 /nfs/dbraw/zinc/97/60/36/812976036.db2.gz WZNYNQUARHXRCN-JQWIXIFHSA-N -1 1 337.376 -0.503 20 0 EBADMM CO[C@@H]1CN(CCN2CCNC2=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001081578795 813226703 /nfs/dbraw/zinc/22/67/03/813226703.db2.gz XBPYKESGLMCOEB-DGCLKSJQSA-N -1 1 349.391 -0.759 20 0 EBADMM CCNC(=O)CN(C)CCCNC(=O)c1c[n-]n2c1nccc2=O ZINC001265045637 813228159 /nfs/dbraw/zinc/22/81/59/813228159.db2.gz ZGOSYPOYOOESHB-UHFFFAOYSA-N -1 1 334.380 -0.790 20 0 EBADMM CCN(CCCNC(=O)[C@@H]1CCN(C)C(=O)C1)Cc1n[nH]c(=O)[n-]1 ZINC001265119252 813241589 /nfs/dbraw/zinc/24/15/89/813241589.db2.gz AHKSMBDRRCZUGS-LLVKDONJSA-N -1 1 338.412 -0.293 20 0 EBADMM O=C(NCCCN(Cc1n[nH]c(=O)[n-]1)C1CC1)C(=O)NCC1CC1 ZINC001265180549 813255240 /nfs/dbraw/zinc/25/52/40/813255240.db2.gz VYTIIPLRKJOWCU-UHFFFAOYSA-N -1 1 336.396 -0.493 20 0 EBADMM C[C@H](CNC(=O)c1cccnc1-n1cccn1)NCc1n[nH]c(=O)[n-]1 ZINC001265806980 813374974 /nfs/dbraw/zinc/37/49/74/813374974.db2.gz QNPWLPQHPAVGPG-SNVBAGLBSA-N -1 1 342.363 -0.001 20 0 EBADMM CN(CCNC(=O)c1ccc2ncn(C)c2n1)Cc1nc(=O)n(C)[n-]1 ZINC001266326599 813531718 /nfs/dbraw/zinc/53/17/18/813531718.db2.gz FOWOGVVQPAXETB-UHFFFAOYSA-N -1 1 344.379 -0.748 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cc(C)co1 ZINC001082046233 813735648 /nfs/dbraw/zinc/73/56/48/813735648.db2.gz MVKZBVMGFQOLAB-ZYHUDNBSSA-N -1 1 335.364 -0.361 20 0 EBADMM CCN(CCNC(=O)[C@@H]1CCNC1=O)Cc1cc(=O)n2[n-]ccc2n1 ZINC001267247426 813800502 /nfs/dbraw/zinc/80/05/02/813800502.db2.gz MJPORDFIGLTUST-GFCCVEGCSA-N -1 1 346.391 -0.903 20 0 EBADMM CCN(CCNC(=O)[C@H]1CCNC1=O)Cc1cc(=O)n2[n-]ccc2n1 ZINC001267247427 813802667 /nfs/dbraw/zinc/80/26/67/813802667.db2.gz MJPORDFIGLTUST-LBPRGKRZSA-N -1 1 346.391 -0.903 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@@H]1CC12CCC2 ZINC001082183402 813807721 /nfs/dbraw/zinc/80/77/21/813807721.db2.gz DIESDNCQBAXXAP-QJPTWQEYSA-N -1 1 335.408 -0.386 20 0 EBADMM CO[C@H](C)CN1C[C@@H](NC(=O)c2c[n-]n3c2nccc3=O)[C@H](OC)C1 ZINC001082210745 813824822 /nfs/dbraw/zinc/82/48/22/813824822.db2.gz QETSFWFFOSZNKC-RAIGVLPGSA-N -1 1 349.391 -0.514 20 0 EBADMM CCO[C@@H](C(=O)N(C)CCNCc1n[nH]c(=O)[n-]1)[C@H]1CCOC1 ZINC001267409420 813880539 /nfs/dbraw/zinc/88/05/39/813880539.db2.gz WZKJIJMUEWFTSD-CMPLNLGQSA-N -1 1 327.385 -0.500 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)C(C)(C)N1CCOCC1 ZINC001267441522 813894926 /nfs/dbraw/zinc/89/49/26/813894926.db2.gz RTSQZNFZYHNHMK-UHFFFAOYSA-N -1 1 326.401 -0.831 20 0 EBADMM C[C@H](N[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1C)c1cnccn1 ZINC001082619943 813981283 /nfs/dbraw/zinc/98/12/83/813981283.db2.gz KLCHBPXNTFGCDE-WCABBAIRSA-N -1 1 345.363 -0.116 20 0 EBADMM CO[C@@H](C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1)c1cnn(C)c1 ZINC001082666877 813988295 /nfs/dbraw/zinc/98/82/95/813988295.db2.gz QRMIQPRXDGGPMO-IRUJWGPZSA-N -1 1 349.395 -0.432 20 0 EBADMM Cc1ccc(C(=O)N2CCO[C@@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@@H]32)o1 ZINC001082990620 814043795 /nfs/dbraw/zinc/04/37/95/814043795.db2.gz DDBKMNJYROHEGB-WCQYABFASA-N -1 1 347.375 -0.265 20 0 EBADMM Cn1nnc(C(=O)N2CCC[C@@H](CCNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001267891295 814064004 /nfs/dbraw/zinc/06/40/04/814064004.db2.gz MOYOZYXGIWCQTI-VIFPVBQESA-N -1 1 335.372 -0.934 20 0 EBADMM CC(C)=C(F)C(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21 ZINC001083047734 814087241 /nfs/dbraw/zinc/08/72/41/814087241.db2.gz SEWBHEHJBKOMOZ-WDEREUQCSA-N -1 1 339.371 -0.217 20 0 EBADMM Cn1cc(CN2C[C@H]3OCCN(C(=O)c4ncccc4[O-])[C@H]3C2)nn1 ZINC001083059756 814098593 /nfs/dbraw/zinc/09/85/93/814098593.db2.gz VAEJCCJFDFPLBO-GXTWGEPZSA-N -1 1 344.375 -0.359 20 0 EBADMM Cc1cc[nH]c1C(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21 ZINC001083068774 814107963 /nfs/dbraw/zinc/10/79/63/814107963.db2.gz WJCZUXDJNWYDGX-NWDGAFQWSA-N -1 1 346.391 -0.530 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)[C@H]4CCC4(C)C)[C@H]3C2)nc1=O ZINC001083170121 814178575 /nfs/dbraw/zinc/17/85/75/814178575.db2.gz REWLVQWDELWIKK-FRRDWIJNSA-N -1 1 349.435 -0.044 20 0 EBADMM CCN(CCNCc1cnc(C)cn1)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001268463686 814252971 /nfs/dbraw/zinc/25/29/71/814252971.db2.gz VCHLCLAFXHTJTN-UHFFFAOYSA-N -1 1 333.352 -0.367 20 0 EBADMM Cc1cc(CN2CC(NC(=O)CCn3cc[n-]c(=O)c3=O)C2)on1 ZINC001268463657 814253545 /nfs/dbraw/zinc/25/35/45/814253545.db2.gz RBDCCDDGMAPKIA-UHFFFAOYSA-N -1 1 333.348 -0.776 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@H]3[C@@H]4CCCC[C@@H]43)[C@@H](O)C2)nc1=O ZINC001083387035 814363998 /nfs/dbraw/zinc/36/39/98/814363998.db2.gz DLDOYTOSJZAEOY-MOWSAHLDSA-N -1 1 335.408 -0.794 20 0 EBADMM CC[C@H](C(N)=O)N1C(=O)COCC12CN(C(=O)c1ncccc1[O-])C2 ZINC001268842416 814409703 /nfs/dbraw/zinc/40/97/03/814409703.db2.gz KSBJRZHGKUATED-SNVBAGLBSA-N -1 1 348.359 -0.896 20 0 EBADMM Cc1coc(C)c1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001083440201 814426631 /nfs/dbraw/zinc/42/66/31/814426631.db2.gz FYAIMAXFXCKYMX-MNOVXSKESA-N -1 1 335.364 -0.707 20 0 EBADMM CC[C@]1(C(=O)N[C@@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)CCNC1=O ZINC001269080320 814519806 /nfs/dbraw/zinc/51/98/06/814519806.db2.gz ZGVMICHTUHFSAM-BONVTDFDSA-N -1 1 336.396 -0.589 20 0 EBADMM CC[C@@H](C)N1C[C@@]2(CC1=O)COCCN(C(=O)Cc1nn[n-]n1)C2 ZINC001269576357 814733921 /nfs/dbraw/zinc/73/39/21/814733921.db2.gz JRCRSXQKIUHGPV-ABAIWWIYSA-N -1 1 336.396 -0.382 20 0 EBADMM CC[C@H](C)N1C[C@@]2(CC1=O)COCCN(C(=O)Cc1nn[n-]n1)C2 ZINC001269576359 814733938 /nfs/dbraw/zinc/73/39/38/814733938.db2.gz JRCRSXQKIUHGPV-NHYWBVRUSA-N -1 1 336.396 -0.382 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@]2(CCN(CC(F)(F)F)C2=O)C1 ZINC001269576663 814733990 /nfs/dbraw/zinc/73/39/90/814733990.db2.gz RMPIBRPVKIKEKX-NSHDSACASA-N -1 1 332.286 -0.245 20 0 EBADMM CC[C@H](C)N1C(=O)C[C@]2(CCCN(C(=O)Cc3nn[n-]n3)C2)C1=O ZINC001269576518 814734006 /nfs/dbraw/zinc/73/40/06/814734006.db2.gz NXAJJHNSCZJUQI-BONVTDFDSA-N -1 1 334.380 -0.092 20 0 EBADMM CC(C)(C)C(=O)CN1CC[C@@]2(CCN(C(=O)Cc3nn[n-]n3)C2)C1=O ZINC001269576479 814734111 /nfs/dbraw/zinc/73/41/11/814734111.db2.gz MZIHBHVPHMTVAW-INIZCTEOSA-N -1 1 348.407 -0.192 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@@]2(C1)CN(CC(F)(F)F)C(=O)CO2 ZINC001269576848 814734614 /nfs/dbraw/zinc/73/46/14/814734614.db2.gz YMNOEIPZKHSZCJ-LLVKDONJSA-N -1 1 348.285 -0.866 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC2(CCN(Cc3cnoc3)C2=O)CC1 ZINC001269577853 814735861 /nfs/dbraw/zinc/73/58/61/814735861.db2.gz PBIXKNIVVVFTMX-UHFFFAOYSA-N -1 1 345.363 -0.229 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)c3cc[nH]c3C3CC3)[C@@H](O)C2)nc1=O ZINC001083732230 814738927 /nfs/dbraw/zinc/73/89/27/814738927.db2.gz KLLBHKBPNMMFQD-NEPJUHHUSA-N -1 1 346.391 -0.711 20 0 EBADMM CCc1ccccc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001083736093 814742919 /nfs/dbraw/zinc/74/29/19/814742919.db2.gz IZMLEVNPIXFPJQ-KGLIPLIRSA-N -1 1 345.403 -0.354 20 0 EBADMM CN(C)C(=O)CN1C[C@@H]2COC[C@H](C1)N2C(=O)c1ccc([O-])cn1 ZINC001269895283 815630969 /nfs/dbraw/zinc/63/09/69/815630969.db2.gz JPMDSUHMJXOMFA-TXEJJXNPSA-N -1 1 334.376 -0.599 20 0 EBADMM O=C(NCc1cnc[nH]1)[C@@]12C[C@@H]1CN(C(=O)c1c[nH]c(=O)[nH]c1=O)C2 ZINC001270173970 815708088 /nfs/dbraw/zinc/70/80/88/815708088.db2.gz KMFCYNRMJRIWLD-ANRSDYALSA-N -1 1 344.331 -0.611 20 0 EBADMM O=C(CCc1ccc[nH]1)N1C[C@@H]2CCN(C(=O)Cc3nn[n-]n3)[C@@H]2C1 ZINC001270182890 815714476 /nfs/dbraw/zinc/71/44/76/815714476.db2.gz NJOJFKZNZSUFBQ-WCQYABFASA-N -1 1 343.391 -0.238 20 0 EBADMM COC1(CC(=O)N2CC[C@H]3[C@@H]2CCN3C(=O)Cc2nn[n-]n2)CCC1 ZINC001270183447 815714492 /nfs/dbraw/zinc/71/44/92/815714492.db2.gz WMXQQELPVFVOJA-RYUDHWBXSA-N -1 1 348.407 -0.097 20 0 EBADMM O=C(CCc1ccc[nH]1)N1CC2(C1)CN(C(=O)Cc1nn[n-]n1)C2 ZINC001270183375 815714667 /nfs/dbraw/zinc/71/46/67/815714667.db2.gz VBJWBZFIQGXVAE-UHFFFAOYSA-N -1 1 329.364 -0.626 20 0 EBADMM COCCOCN1CCO[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001270257701 815736305 /nfs/dbraw/zinc/73/63/05/815736305.db2.gz DVQKMMARKZLAIL-OCCSQVGLSA-N -1 1 337.376 -0.067 20 0 EBADMM Cc1cc(C)cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c1 ZINC001083994597 815760176 /nfs/dbraw/zinc/76/01/76/815760176.db2.gz WIFSNPIGSAEUPT-KGLIPLIRSA-N -1 1 345.403 -0.300 20 0 EBADMM C=C/C(C)=C\CC(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001270674087 815852415 /nfs/dbraw/zinc/85/24/15/815852415.db2.gz KNERXTXQCDGKKZ-QWGHSUKYSA-N -1 1 335.408 -0.052 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)Cc4ccoc4)[C@@H]3C2)nc1=O ZINC001084299979 815885920 /nfs/dbraw/zinc/88/59/20/815885920.db2.gz PEYBDJGOVPUMHX-CHWSQXEVSA-N -1 1 331.376 -0.023 20 0 EBADMM Cc1cc(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)cnn1 ZINC001084306889 815887520 /nfs/dbraw/zinc/88/75/20/815887520.db2.gz MHDUOWRAYHXGBZ-DGCLKSJQSA-N -1 1 343.391 -0.447 20 0 EBADMM C[C@H]1CN(C(=O)[C@@]23C[C@@H]2CN(C(=O)Cc2nn[n-]n2)C3)C[C@H](C)O1 ZINC001270788352 815890290 /nfs/dbraw/zinc/89/02/90/815890290.db2.gz GEXFDUDGKAGBIK-KIGUWFBYSA-N -1 1 334.380 -0.774 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@H]2C[C@]21C(=O)N1Cc2cncnc2C1 ZINC001270788930 815892035 /nfs/dbraw/zinc/89/20/35/815892035.db2.gz JRORZUJVQXXGMH-ZUZCIYMTSA-N -1 1 340.347 -0.934 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCc2onc(C(=O)N3CC=CC3)c2C1 ZINC001270789998 815894380 /nfs/dbraw/zinc/89/43/80/815894380.db2.gz YYZHRZDRYFNTHR-UHFFFAOYSA-N -1 1 329.320 -0.673 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)Cc4cncs4)[C@@H]3C2)nc1=O ZINC001084429943 815914888 /nfs/dbraw/zinc/91/48/88/815914888.db2.gz WDBWHDMCFZKTTC-ZYHUDNBSSA-N -1 1 348.432 -0.160 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4cc(F)c[nH]4)[C@@H]3C2)nc1=O ZINC001084528320 815932356 /nfs/dbraw/zinc/93/23/56/815932356.db2.gz OVNPVDPCUUNAQY-BXKDBHETSA-N -1 1 334.355 -0.078 20 0 EBADMM CO[C@@H](C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)C1CCC1 ZINC001084553017 815936551 /nfs/dbraw/zinc/93/65/51/815936551.db2.gz YUSHRLYUOXFQHZ-UMVBOHGHSA-N -1 1 349.435 -0.044 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)Cc4cnc[nH]4)[C@@H]3C2)nc1=O ZINC001084687315 815961137 /nfs/dbraw/zinc/96/11/37/815961137.db2.gz WCUUQVMGLPWKAS-ZYHUDNBSSA-N -1 1 331.380 -0.893 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)C4(C)CCOCC4)[C@@H]3C2)nc1=O ZINC001084722926 815968716 /nfs/dbraw/zinc/96/87/16/815968716.db2.gz KGUKIDIQLYAFAA-CHWSQXEVSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)[C@@H]4CCOC4)C[C@@H]32)nc1=O ZINC001084901272 816000287 /nfs/dbraw/zinc/00/02/87/816000287.db2.gz DEFDXOXVKIDXEQ-UPJWGTAASA-N -1 1 335.408 -0.432 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](O)(CNC(=O)[C@@]3(C)CC=CCC3)C2)nc1=O ZINC001271152165 816006762 /nfs/dbraw/zinc/00/67/62/816006762.db2.gz JYDKQNGRJNUHPK-DLBZAZTESA-N -1 1 349.435 -0.092 20 0 EBADMM Cn1c(C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)c[nH]c1=O ZINC001085297219 816038192 /nfs/dbraw/zinc/03/81/92/816038192.db2.gz SCRGHQVCMBZVSK-RKDXNWHRSA-N -1 1 335.368 -0.220 20 0 EBADMM Cc1nn(C)cc1C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085519483 816062003 /nfs/dbraw/zinc/06/20/03/816062003.db2.gz QAJVYCMIYSDLAC-NSHDSACASA-N -1 1 333.396 -0.503 20 0 EBADMM CN(C[C@H]1CCN1[C@@H]1CCC(=O)NC1=O)C(=O)c1ncccc1[O-] ZINC001085562598 816072725 /nfs/dbraw/zinc/07/27/25/816072725.db2.gz PAXNFCWJPBPEPX-GHMZBOCLSA-N -1 1 332.360 -0.261 20 0 EBADMM CCn1nccc1C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085563607 816074631 /nfs/dbraw/zinc/07/46/31/816074631.db2.gz RYZYZEFOFNOOOE-NSHDSACASA-N -1 1 333.396 -0.329 20 0 EBADMM CCn1ncc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001085583997 816080474 /nfs/dbraw/zinc/08/04/74/816080474.db2.gz VISQNHCXNGLCPZ-SNVBAGLBSA-N -1 1 334.384 -0.934 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1ccc(=O)n(C)c1 ZINC001085693888 816112454 /nfs/dbraw/zinc/11/24/54/816112454.db2.gz SNLMXMMZTXYDNE-LBPRGKRZSA-N -1 1 346.391 -0.846 20 0 EBADMM CN(C[C@H]1CCN1CCn1cccn1)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001085699710 816115566 /nfs/dbraw/zinc/11/55/66/816115566.db2.gz UNZDHUAZNQDVAD-SNVBAGLBSA-N -1 1 333.352 -0.674 20 0 EBADMM CN(C[C@H]1CCN1CCc1ccnn1C)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001085699987 816115719 /nfs/dbraw/zinc/11/57/19/816115719.db2.gz BGPOKLDVFHJABL-LLVKDONJSA-N -1 1 347.379 -0.595 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1cc(C(N)=O)co1 ZINC001085812210 816153965 /nfs/dbraw/zinc/15/39/65/816153965.db2.gz YOHDZQCIIASKLT-JTQLQIEISA-N -1 1 348.363 -0.853 20 0 EBADMM CCCn1cc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)nn1 ZINC001085896709 816174776 /nfs/dbraw/zinc/17/47/76/816174776.db2.gz KUXWVEUHQPTBRG-NSHDSACASA-N -1 1 348.411 -0.544 20 0 EBADMM CCc1nc[nH]c1C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085948549 816185493 /nfs/dbraw/zinc/18/54/93/816185493.db2.gz LHCYOJRVKFYPOM-SNVBAGLBSA-N -1 1 333.396 -0.260 20 0 EBADMM Cc1ncoc1CN[C@H]1C[C@@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001086138735 816244943 /nfs/dbraw/zinc/24/49/43/816244943.db2.gz ZPWINMZKFHMAFU-DTORHVGOSA-N -1 1 334.336 -0.123 20 0 EBADMM C[C@@H](C(=O)N1CC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1)n1cncn1 ZINC001272409842 816424591 /nfs/dbraw/zinc/42/45/91/816424591.db2.gz OWVVAZWUKPDFAL-WDEREUQCSA-N -1 1 334.384 -0.309 20 0 EBADMM C[C@@H](C(=O)N1CC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1)n1cncn1 ZINC001272409840 816425185 /nfs/dbraw/zinc/42/51/85/816425185.db2.gz OWVVAZWUKPDFAL-QWRGUYRKSA-N -1 1 334.384 -0.309 20 0 EBADMM CN1C[C@H](C(=O)N2CC[C@@H](CCNCc3n[nH]c(=O)[n-]3)C2)CC1=O ZINC001272449805 816435489 /nfs/dbraw/zinc/43/54/89/816435489.db2.gz WALMGXDVQPKJLO-GHMZBOCLSA-N -1 1 336.396 -0.683 20 0 EBADMM C[C@@H]1CCN(C(=O)CS(C)(=O)=O)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087130335 816466597 /nfs/dbraw/zinc/46/65/97/816466597.db2.gz LKAQCXWKCCZDMM-ZJUUUORDSA-N -1 1 345.425 -0.728 20 0 EBADMM C[C@@H]1CCN(C(=O)CCn2cncn2)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087122554 816467027 /nfs/dbraw/zinc/46/70/27/816467027.db2.gz YWQDMYVWBWEQIJ-NEPJUHHUSA-N -1 1 348.411 -0.091 20 0 EBADMM C[C@@H]1CCN(C(=O)c2cnn(C)c2N)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087153731 816468479 /nfs/dbraw/zinc/46/84/79/816468479.db2.gz LEOSBXXXMNWPIX-ZJUUUORDSA-N -1 1 348.411 -0.144 20 0 EBADMM O=C1CC[C@@H](CN2C(=O)COCC23CN(Cc2ccncc2[O-])C3)N1 ZINC001273080101 816583129 /nfs/dbraw/zinc/58/31/29/816583129.db2.gz MFQLHQGGEKSEPT-ZDUSSCGKSA-N -1 1 346.387 -0.521 20 0 EBADMM CC[C@H](C(N)=O)N1C(=O)COCC12CN(Cc1ccncc1[O-])C2 ZINC001273081247 816583167 /nfs/dbraw/zinc/58/31/67/816583167.db2.gz RUKCEMBZZHHWNP-GFCCVEGCSA-N -1 1 334.376 -0.536 20 0 EBADMM CN(C)C(=O)CCN1CC2(CN(Cc3ncccc3[O-])C2)OCC1=O ZINC001273326991 816645387 /nfs/dbraw/zinc/64/53/87/816645387.db2.gz NUVMBNWZNRSDFX-UHFFFAOYSA-N -1 1 348.403 -0.321 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1nc2ncc(C)cn2n1 ZINC001273441808 816676030 /nfs/dbraw/zinc/67/60/30/816676030.db2.gz VRNWCPHGNWGQNV-UHFFFAOYSA-N -1 1 345.367 -0.492 20 0 EBADMM Cc1cc(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)no1 ZINC001088495023 816692004 /nfs/dbraw/zinc/69/20/04/816692004.db2.gz XOYONCGPLPYZNU-PWSUYJOCSA-N -1 1 334.380 -0.273 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cc2ccn(C)n2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088562419 816703703 /nfs/dbraw/zinc/70/37/03/816703703.db2.gz UXQRGDJQMHFPHU-PWSUYJOCSA-N -1 1 333.396 -0.836 20 0 EBADMM Cc1c(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)cnn1C ZINC001088613605 816713650 /nfs/dbraw/zinc/71/36/50/816713650.db2.gz QITVWRCMZDUCKL-YPMHNXCESA-N -1 1 347.423 -0.528 20 0 EBADMM Cc1nn(C)cc1CN1CC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)[C@H]1C ZINC001088708113 816729202 /nfs/dbraw/zinc/72/92/02/816729202.db2.gz GFCXZGXBFDVYED-KOLCDFICSA-N -1 1 347.379 -0.282 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cc2cscn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088902057 816761103 /nfs/dbraw/zinc/76/11/03/816761103.db2.gz YDJWOLIDBNQAIE-KOLCDFICSA-N -1 1 336.421 -0.113 20 0 EBADMM COc1ccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)nn1 ZINC001088959941 816770516 /nfs/dbraw/zinc/77/05/16/816770516.db2.gz FROKUPPWKCXXAJ-ZJUUUORDSA-N -1 1 347.379 -0.700 20 0 EBADMM COc1c(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)cnn1C ZINC001089008106 816778510 /nfs/dbraw/zinc/77/85/10/816778510.db2.gz OKYMHQIZKUFNIY-KOLCDFICSA-N -1 1 349.395 -0.757 20 0 EBADMM Cc1nccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)n1 ZINC001089046348 816789007 /nfs/dbraw/zinc/78/90/07/816789007.db2.gz FBGLLWPAQNSKBP-KOLCDFICSA-N -1 1 331.380 -0.400 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCCN1C(=O)c1cnn(C)c1 ZINC001089116249 816804444 /nfs/dbraw/zinc/80/44/44/816804444.db2.gz ICCIFJXVPMRKPH-ZDUSSCGKSA-N -1 1 347.423 -0.031 20 0 EBADMM CC1(C)C[C@H](NC(=O)Cn2cnnn2)CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001089314135 816828596 /nfs/dbraw/zinc/82/85/96/816828596.db2.gz AQFMEGIIPLTDHH-SNVBAGLBSA-N -1 1 349.399 -0.696 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C3CCCCC3)[C@@H](O)C2)nc1=O ZINC001090023819 816972370 /nfs/dbraw/zinc/97/23/70/816972370.db2.gz URXUSIMYVJEXQI-STQMWFEESA-N -1 1 337.424 -0.260 20 0 EBADMM Cc1ccccc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001090033437 816976931 /nfs/dbraw/zinc/97/69/31/816976931.db2.gz JCOHKDDFAPANNO-ZIAGYGMSSA-N -1 1 345.403 -0.218 20 0 EBADMM Cc1occc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001090055058 816989982 /nfs/dbraw/zinc/98/99/82/816989982.db2.gz OFDOPMYRCGNTES-RYUDHWBXSA-N -1 1 335.364 -0.625 20 0 EBADMM Cc1occc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001090055056 816990196 /nfs/dbraw/zinc/99/01/96/816990196.db2.gz OFDOPMYRCGNTES-NWDGAFQWSA-N -1 1 335.364 -0.625 20 0 EBADMM Cn1ncc(CN2CC[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1 ZINC001090215481 817132296 /nfs/dbraw/zinc/13/22/96/817132296.db2.gz FMZAOPULAGMBFK-YPMHNXCESA-N -1 1 332.364 -0.719 20 0 EBADMM Cc1noc(CN2CC[C@H](NC(=O)c3ncccc3[O-])[C@H](O)C2)n1 ZINC001090215207 817132670 /nfs/dbraw/zinc/13/26/70/817132670.db2.gz CZFUMQIDRNFSLS-CMPLNLGQSA-N -1 1 333.348 -0.156 20 0 EBADMM Cc1ccc([O-])c(C(=O)N2CC3(C[C@H]3C(=O)NCC(=O)N(C)C)C2)n1 ZINC001274975357 817134974 /nfs/dbraw/zinc/13/49/74/817134974.db2.gz XLHJIOUKPMIYBX-NSHDSACASA-N -1 1 346.387 -0.238 20 0 EBADMM Cn1ncnc1CN1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001090218191 817137862 /nfs/dbraw/zinc/13/78/62/817137862.db2.gz TVYUMDHBBOFDMC-JQWIXIFHSA-N -1 1 332.364 -0.719 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)co1 ZINC001090224336 817146188 /nfs/dbraw/zinc/14/61/88/817146188.db2.gz DJQBRXLJXVCQMZ-NEPJUHHUSA-N -1 1 335.364 -0.625 20 0 EBADMM C[C@@H](CNCc1cnnn1C)NC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001275407158 817209432 /nfs/dbraw/zinc/20/94/32/817209432.db2.gz RAZQKZINLXRMIN-QMMMGPOBSA-N -1 1 331.336 -0.035 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H](C)NC(=O)c1cnn2ncccc12 ZINC001275424628 817214859 /nfs/dbraw/zinc/21/48/59/817214859.db2.gz PWVLDBFSGNUQPZ-RKDXNWHRSA-N -1 1 330.352 -0.150 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)Cc3ccccc3)[C@@H](O)C2)nc1=O ZINC001090364470 817306646 /nfs/dbraw/zinc/30/66/46/817306646.db2.gz JPDZBEOHVDLQPY-KBPBESRZSA-N -1 1 345.403 -0.598 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)Cc3ccccc3)[C@H](O)C2)nc1=O ZINC001090364473 817307142 /nfs/dbraw/zinc/30/71/42/817307142.db2.gz JPDZBEOHVDLQPY-ZIAGYGMSSA-N -1 1 345.403 -0.598 20 0 EBADMM O=C(NCc1cnc[nH]1)c1[nH]nc2c1CN(C(=O)c1cc(=O)[nH][n-]1)C2 ZINC001276022483 817342733 /nfs/dbraw/zinc/34/27/33/817342733.db2.gz IAZVOFQLVHUCDG-UHFFFAOYSA-N -1 1 342.319 -0.353 20 0 EBADMM C[C@H](NC(=O)CCCCC(N)=O)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001276447875 817406089 /nfs/dbraw/zinc/40/60/89/817406089.db2.gz RTVYJQQAHGTAQA-JTQLQIEISA-N -1 1 338.412 -0.909 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C3(C4CC4)CCC3)[C@H](O)C2)nc1=O ZINC001090463743 817438022 /nfs/dbraw/zinc/43/80/22/817438022.db2.gz PGJFBMMSSLQYON-QWHCGFSZSA-N -1 1 349.435 -0.260 20 0 EBADMM Cc1coc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c1 ZINC001090503458 817488247 /nfs/dbraw/zinc/48/82/47/817488247.db2.gz JRTROWRUDDTAIM-QWRGUYRKSA-N -1 1 335.364 -0.625 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C(C)(C)C3CC3)[C@@H](O)C2)nc1=O ZINC001090505845 817490536 /nfs/dbraw/zinc/49/05/36/817490536.db2.gz GDEMCJSLILGJAY-NEPJUHHUSA-N -1 1 337.424 -0.404 20 0 EBADMM Cc1c(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)ccn1C ZINC001090553394 817535335 /nfs/dbraw/zinc/53/53/35/817535335.db2.gz ALRWPFUYLPHTLX-CHWSQXEVSA-N -1 1 348.407 -0.879 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C3CC4(CC4)C3)[C@H](O)C2)nc1=O ZINC001090561019 817541380 /nfs/dbraw/zinc/54/13/80/817541380.db2.gz YATYILFYHRKFRW-VXGBXAGGSA-N -1 1 335.408 -0.650 20 0 EBADMM Cn1[n-]nnc1=NC(=O)C1=NO[C@@H]2CN(CCC3CCOCC3)C[C@H]12 ZINC001277294315 817544278 /nfs/dbraw/zinc/54/42/78/817544278.db2.gz PHLXPQAGFOCPLO-NWDGAFQWSA-N -1 1 349.395 -0.926 20 0 EBADMM CC[C@H](F)CN1CC(N(C)C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001277670508 817620693 /nfs/dbraw/zinc/62/06/93/817620693.db2.gz CWTWNKHUZRCNLJ-NSHDSACASA-N -1 1 326.372 -0.183 20 0 EBADMM CS[C@H](C)CCN1C[C@@H]2C(C(=O)N=c3nn[n-]n3C)=NO[C@@H]2C1 ZINC001278056861 817688731 /nfs/dbraw/zinc/68/87/31/817688731.db2.gz DICHKWPKKYFNCM-KXUCPTDWSA-N -1 1 339.425 -0.601 20 0 EBADMM COCCCOCC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC001278307977 817739469 /nfs/dbraw/zinc/73/94/69/817739469.db2.gz JZRTUEOTXAAMLB-MNOVXSKESA-N -1 1 327.385 -0.358 20 0 EBADMM Cc1c[nH]cc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001090804831 817759012 /nfs/dbraw/zinc/75/90/12/817759012.db2.gz KWHNEXWWNCXZDB-NWDGAFQWSA-N -1 1 334.380 -0.890 20 0 EBADMM CN(C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)c1cccn2ccnc12 ZINC001278816422 817846771 /nfs/dbraw/zinc/84/67/71/817846771.db2.gz IHDAFFAAZCUHEU-SNVBAGLBSA-N -1 1 345.363 -0.619 20 0 EBADMM C[C@@H](NC1CC(CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1)c1nncn1C ZINC001091121372 817892965 /nfs/dbraw/zinc/89/29/65/817892965.db2.gz KLWSUZIWJGEZMW-AFPNSQJFSA-N -1 1 348.367 -0.730 20 0 EBADMM CCn1ncnc1CNC1CC(CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001091123767 817895275 /nfs/dbraw/zinc/89/52/75/817895275.db2.gz GCSGAYNLURYCHF-UHFFFAOYSA-N -1 1 348.367 -0.808 20 0 EBADMM Cc1ccncc1CC(=O)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001279730992 817977670 /nfs/dbraw/zinc/97/76/70/817977670.db2.gz IYYSELCJYZJFML-UHFFFAOYSA-N -1 1 334.380 -0.633 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4ccon4)C[C@]3(C)C2)nc1=O ZINC001091505012 817999925 /nfs/dbraw/zinc/99/99/25/817999925.db2.gz PONVKXLADRGVIN-BMIGLBTASA-N -1 1 332.364 -0.310 20 0 EBADMM C[C@H](C(=O)N(CCO)CCNCc1n[nH]c(=O)[n-]1)c1cccnc1 ZINC001279885727 818000750 /nfs/dbraw/zinc/00/07/50/818000750.db2.gz BGTLZVIQVRYQOJ-NSHDSACASA-N -1 1 334.380 -0.381 20 0 EBADMM Cc1ncoc1C(=O)N1C[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@]2(C)C1 ZINC001091573670 818012193 /nfs/dbraw/zinc/01/21/93/818012193.db2.gz XSFPHALIPBXQIF-BZNIZROVSA-N -1 1 346.391 -0.001 20 0 EBADMM Cn1[n-]c(CN2CC(OC3CCN(C(=O)C4CC4)CC3)C2)nc1=O ZINC001093495713 818035220 /nfs/dbraw/zinc/03/52/20/818035220.db2.gz NFMJVWWBKKSALV-UHFFFAOYSA-N -1 1 335.408 -0.290 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)CCN1C(=O)C1CCC1 ZINC001281119856 818041050 /nfs/dbraw/zinc/04/10/50/818041050.db2.gz CGFJQVJQEPYMGW-YPMHNXCESA-N -1 1 348.403 -0.168 20 0 EBADMM C/C=C(\C)C(=O)N[C@@H]1C[C@@H](C)N(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001281177544 818067434 /nfs/dbraw/zinc/06/74/34/818067434.db2.gz OGRDCHSTUSDJLX-UDLQBDOPSA-N -1 1 348.403 -0.002 20 0 EBADMM C/C=C(/C)C(=O)N[C@@H]1C[C@H](C)N(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001281177543 818067462 /nfs/dbraw/zinc/06/74/62/818067462.db2.gz OGRDCHSTUSDJLX-FZXKYSEESA-N -1 1 348.403 -0.002 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)NCc1cn(C2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001094271100 818192380 /nfs/dbraw/zinc/19/23/80/818192380.db2.gz NTYHRQCLMMEMFR-BXKDBHETSA-N -1 1 346.395 -0.971 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCCNc1cc(F)ncn1 ZINC001094499232 818277303 /nfs/dbraw/zinc/27/73/03/818277303.db2.gz QDZFABWZSJSZRR-UHFFFAOYSA-N -1 1 336.327 -0.526 20 0 EBADMM COCCN1CC(N2C[C@H](NC(=O)c3ncccc3[O-])CC2=O)C1 ZINC001094732135 818383690 /nfs/dbraw/zinc/38/36/90/818383690.db2.gz XOHWIWZNKKDDFC-LLVKDONJSA-N -1 1 334.376 -0.552 20 0 EBADMM COCCN1CC(N2C[C@@H](NC(=O)c3ncccc3[O-])CC2=O)C1 ZINC001094732136 818383744 /nfs/dbraw/zinc/38/37/44/818383744.db2.gz XOHWIWZNKKDDFC-NSHDSACASA-N -1 1 334.376 -0.552 20 0 EBADMM NC(=O)C1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3C(=O)c2ncccc2[O-])CC1 ZINC001282680185 818472884 /nfs/dbraw/zinc/47/28/84/818472884.db2.gz JQBPXVWJHCCKQC-VWYCJHECSA-N -1 1 344.371 -0.086 20 0 EBADMM CCN(CC)C[C@@H](F)C(=O)NCCNC(=O)c1[nH]nc(C)c1[O-] ZINC001282976090 818558150 /nfs/dbraw/zinc/55/81/50/818558150.db2.gz YYLOUOITHNDUGT-SNVBAGLBSA-N -1 1 329.376 -0.050 20 0 EBADMM COCCn1cc(C(=O)NCCNC(=O)c2ncccc2[O-])cn1 ZINC001282980991 818560507 /nfs/dbraw/zinc/56/05/07/818560507.db2.gz CQDWGQODCBRFQZ-UHFFFAOYSA-N -1 1 333.348 -0.210 20 0 EBADMM CN1CCCC[C@@H]1C(=O)NCCNC(=O)c1c[n-]n2c1nccc2=O ZINC001283024790 818590759 /nfs/dbraw/zinc/59/07/59/818590759.db2.gz YCWBLBNYGNMTRK-GFCCVEGCSA-N -1 1 346.391 -0.647 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)C[C@H]2CCOC2)C3)nc1=O ZINC001095255386 818592401 /nfs/dbraw/zinc/59/24/01/818592401.db2.gz PSRDNQUWLABZRC-NDBYEHHHSA-N -1 1 335.408 -0.244 20 0 EBADMM Cn1nccc1CC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001095366479 818611802 /nfs/dbraw/zinc/61/18/02/818611802.db2.gz COZRKXHVAMTSKO-AGIUHOORSA-N -1 1 345.407 -0.694 20 0 EBADMM Cc1cn(C)nc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001095402064 818619499 /nfs/dbraw/zinc/61/94/99/818619499.db2.gz YDJYTCPCVAYHAZ-WOPDTQHZSA-N -1 1 345.407 -0.314 20 0 EBADMM CN(CCCNC(=O)c1cc(=O)n2[n-]cnc2n1)c1ncccn1 ZINC001095592900 818654666 /nfs/dbraw/zinc/65/46/66/818654666.db2.gz SBLFGMVPOGRBRN-UHFFFAOYSA-N -1 1 328.336 -0.536 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCCNC(=O)[C@@H]1CC12CCC2 ZINC001283254986 818721411 /nfs/dbraw/zinc/72/14/11/818721411.db2.gz WTAYSNWNSAYROA-LBPRGKRZSA-N -1 1 348.403 -0.261 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCCNC(=O)[C@H]1CC12CCC2 ZINC001283254984 818721938 /nfs/dbraw/zinc/72/19/38/818721938.db2.gz WTAYSNWNSAYROA-GFCCVEGCSA-N -1 1 348.403 -0.261 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@@]2(C)CCOC2)C3)nc1=O ZINC001096244059 818751880 /nfs/dbraw/zinc/75/18/80/818751880.db2.gz HNLLUKDRTTZZJI-YRORDHRNSA-N -1 1 335.408 -0.244 20 0 EBADMM CN(CCCNC(=O)C1(NC(N)=O)CC1)C(=O)c1ncccc1[O-] ZINC001283527102 818840595 /nfs/dbraw/zinc/84/05/95/818840595.db2.gz LZQLJBJRTAVHIH-UHFFFAOYSA-N -1 1 335.364 -0.434 20 0 EBADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)CNC(=O)C1CC1 ZINC001283526852 818840606 /nfs/dbraw/zinc/84/06/06/818840606.db2.gz ZHIFVQHOOCIADM-UHFFFAOYSA-N -1 1 334.376 -0.108 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)C[C@@H]2CCCO2)C3)nc1=O ZINC001096832838 818892639 /nfs/dbraw/zinc/89/26/39/818892639.db2.gz NLOHLJIMHSMTBP-XQHKEYJVSA-N -1 1 335.408 -0.101 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)C[C@@H]2CCOC2)C3)nc1=O ZINC001096900887 818901317 /nfs/dbraw/zinc/90/13/17/818901317.db2.gz PSRDNQUWLABZRC-LOWDOPEQSA-N -1 1 335.408 -0.244 20 0 EBADMM Cc1nc(CN[C@H](C)CN(C)C(=O)CCn2cc[n-]c(=O)c2=O)co1 ZINC001283691702 818907393 /nfs/dbraw/zinc/90/73/93/818907393.db2.gz NLPQUSUAOIBFSE-LLVKDONJSA-N -1 1 349.391 -0.140 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)C[C@H]2COC(=O)C2)C3)nc1=O ZINC001096955577 818912143 /nfs/dbraw/zinc/91/21/43/818912143.db2.gz LFCPCUFHMDMHEH-KKOKHZNYSA-N -1 1 349.391 -0.717 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)ncn1 ZINC001096965834 818914727 /nfs/dbraw/zinc/91/47/27/818914727.db2.gz PJOYDWYYYMPPCT-WZRBSPASSA-N -1 1 343.391 -0.258 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1C[C@@H](Nc2nccnc2F)C1 ZINC001097202004 818954538 /nfs/dbraw/zinc/95/45/38/818954538.db2.gz OJVAXZYFLLUSOW-AOOOYVTPSA-N -1 1 348.338 -0.385 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CCN(C(=O)[C@H]2CC23CC3)C1 ZINC001283875609 819011914 /nfs/dbraw/zinc/01/19/14/819011914.db2.gz FSIWVPAIGQPHMV-NWDGAFQWSA-N -1 1 346.387 -0.556 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)Nc1nccnc1F ZINC001097940377 819059280 /nfs/dbraw/zinc/05/92/80/819059280.db2.gz ZBWOUNMPXCPXQZ-SECBINFHSA-N -1 1 336.327 -0.527 20 0 EBADMM COCCOCCC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001284032081 819073196 /nfs/dbraw/zinc/07/31/96/819073196.db2.gz LRJWRMPJZMFCDL-GHMZBOCLSA-N -1 1 327.385 -0.358 20 0 EBADMM CCC[C@H](C)C(=O)NC[C@@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001284212489 819133777 /nfs/dbraw/zinc/13/37/77/819133777.db2.gz HEFVBYIIIGKPHN-NWDGAFQWSA-N -1 1 338.408 -0.016 20 0 EBADMM C[C@@H](CNC(=O)[C@@H]1CC1(C)C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001284225804 819138455 /nfs/dbraw/zinc/13/84/55/819138455.db2.gz DSVBXQOIVHCLAK-QWRGUYRKSA-N -1 1 336.392 -0.406 20 0 EBADMM C[C@@H](CNC(=O)C(=O)NCC(F)F)NC(=O)c1ncccc1[O-] ZINC001284274464 819161747 /nfs/dbraw/zinc/16/17/47/819161747.db2.gz SPNVIEUZAAJQMP-ZETCQYMHSA-N -1 1 330.291 -0.597 20 0 EBADMM O=C(c1ccnnc1)N1CCC(N(CCO)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001098487184 819212722 /nfs/dbraw/zinc/21/27/22/819212722.db2.gz MYNFZQQTIXBXOA-UHFFFAOYSA-N -1 1 347.379 -0.601 20 0 EBADMM O=C(Cc1ncc[nH]1)N1CCC(N(CCO)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001098513923 819226038 /nfs/dbraw/zinc/22/60/38/819226038.db2.gz FHJRDVKEBICJCP-UHFFFAOYSA-N -1 1 349.395 -0.739 20 0 EBADMM CCN(CCNC(=O)[C@H]1C[C@H]1C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001284597451 819300665 /nfs/dbraw/zinc/30/06/65/819300665.db2.gz BSYLEWIXLLLDAE-NEPJUHHUSA-N -1 1 336.392 -0.453 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCC[C@]3(NC(=O)Cn3cccn3)C2)nc1=O ZINC001098731384 819346608 /nfs/dbraw/zinc/34/66/08/819346608.db2.gz GAQSFRPXIFVNOZ-LRDDRELGSA-N -1 1 345.407 -0.524 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCC[C@]3(NC(=O)c3ccon3)C2)nc1=O ZINC001098744249 819349959 /nfs/dbraw/zinc/34/99/59/819349959.db2.gz YUTFYELJVFZWFS-BONVTDFDSA-N -1 1 332.364 -0.119 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCC[C@]3(NC(=O)[C@H]3CCOC3)C2)nc1=O ZINC001098751037 819353536 /nfs/dbraw/zinc/35/35/36/819353536.db2.gz GOGZPUKCMTXYRV-MKBNYLNASA-N -1 1 335.408 -0.384 20 0 EBADMM CNC(=O)NC(=O)CN1CC=C(CNC(=O)c2ncccc2[O-])CC1 ZINC001284901576 819397007 /nfs/dbraw/zinc/39/70/07/819397007.db2.gz SCCDLSDUCSDKLT-UHFFFAOYSA-N -1 1 347.375 -0.395 20 0 EBADMM Cc1nonc1C(=O)N[C@]12CCC[C@H]1CN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001099184658 819431585 /nfs/dbraw/zinc/43/15/85/819431585.db2.gz ZSENNGRXJLGLSI-BONVTDFDSA-N -1 1 347.379 -0.416 20 0 EBADMM C[C@@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)Nc1nccnc1F ZINC001099313481 819450485 /nfs/dbraw/zinc/45/04/85/819450485.db2.gz COPMSHRKYOGURF-QMMMGPOBSA-N -1 1 346.326 -0.033 20 0 EBADMM CC[C@H](CNC(=O)[C@@H](C)CC)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285036597 819461155 /nfs/dbraw/zinc/46/11/55/819461155.db2.gz FYHIZOKNBROADK-NWDGAFQWSA-N -1 1 338.408 -0.016 20 0 EBADMM CC[C@@H](C)C(=O)NC[C@H](CC)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285036595 819461416 /nfs/dbraw/zinc/46/14/16/819461416.db2.gz FYHIZOKNBROADK-NEPJUHHUSA-N -1 1 338.408 -0.016 20 0 EBADMM CC(C)CCC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001099663858 819550869 /nfs/dbraw/zinc/55/08/69/819550869.db2.gz UDRNCCUXQUBDFS-VXGBXAGGSA-N -1 1 325.413 -0.404 20 0 EBADMM CN(CCN(C)C(=O)CC1CC1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001285347685 819550896 /nfs/dbraw/zinc/55/08/96/819550896.db2.gz NIJDWOMKXPPNJT-UHFFFAOYSA-N -1 1 336.392 -0.356 20 0 EBADMM CCCCCC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001099674515 819559852 /nfs/dbraw/zinc/55/98/52/819559852.db2.gz BOQIVELKOIVECX-RYUDHWBXSA-N -1 1 325.413 -0.260 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)[C@@H]1CCCNC1=O ZINC001285655548 819709399 /nfs/dbraw/zinc/70/93/99/819709399.db2.gz PXHBBFHWEYUIHN-WDEREUQCSA-N -1 1 334.376 -0.110 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)/C=C/c3ccc[nH]3)[C@@H](O)C2)nc1=O ZINC001099798877 819722225 /nfs/dbraw/zinc/72/22/25/819722225.db2.gz DLDXOCCRAAVTML-WWKJKZQJSA-N -1 1 346.391 -0.799 20 0 EBADMM C[C@@H](CNC(=O)c1ccc2nnnn2c1)NC(=O)c1ncccc1[O-] ZINC001285723269 819738795 /nfs/dbraw/zinc/73/87/95/819738795.db2.gz PTNLMLPZFACSQZ-VIFPVBQESA-N -1 1 341.331 -0.227 20 0 EBADMM CC[C@H](F)C(=O)N1CC[C@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285874717 819801170 /nfs/dbraw/zinc/80/11/70/819801170.db2.gz NKDYNEUUOGIOJG-QWRGUYRKSA-N -1 1 340.355 -0.608 20 0 EBADMM CN(C)C(=O)C1(C(=O)N2CC(N(C)C(=O)c3ncccc3[O-])C2)CC1 ZINC001286007787 819863474 /nfs/dbraw/zinc/86/34/74/819863474.db2.gz SDMOYIIBWSUGCP-UHFFFAOYSA-N -1 1 346.387 -0.062 20 0 EBADMM CNC(=O)C1(C(=O)N(C)C2CN(C(=O)c3ncccc3[O-])C2)CCC1 ZINC001286027779 819876996 /nfs/dbraw/zinc/87/69/96/819876996.db2.gz NEKIIUPDMDUURH-UHFFFAOYSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@@]1(CNC(=O)[C@@H]2CCNC2=O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001286120056 819907629 /nfs/dbraw/zinc/90/76/29/819907629.db2.gz HAUCFQVMWNQHST-DIFFPNOSSA-N -1 1 346.387 -0.108 20 0 EBADMM CC(C)=CC(=O)N[C@H](C)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001286171049 819923420 /nfs/dbraw/zinc/92/34/20/819923420.db2.gz JNMUVBWFULIGFG-GFCCVEGCSA-N -1 1 348.403 -0.144 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C3(CF)CCC3)[C@H](O)C2)nc1=O ZINC001100012872 820039806 /nfs/dbraw/zinc/03/98/06/820039806.db2.gz FGCLPOLSGFTVLR-WDEREUQCSA-N -1 1 341.387 -0.700 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H](CNC(=O)[C@H]1CCNC1=O)C1CC1 ZINC001287514865 820066480 /nfs/dbraw/zinc/06/64/80/820066480.db2.gz RQGVNWFDKVAHAX-NWDGAFQWSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@@H](CN(C)C(=O)C(=O)NCC1CC1)NC(=O)c1ncccc1[O-] ZINC001287698880 820098438 /nfs/dbraw/zinc/09/84/38/820098438.db2.gz KNJWAONBGYHROF-JTQLQIEISA-N -1 1 334.376 -0.110 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)CCC(C)(C)C)[C@H](O)C2)nc1=O ZINC001100043055 820111666 /nfs/dbraw/zinc/11/16/66/820111666.db2.gz ADFOTKMEIWXTQP-NWDGAFQWSA-N -1 1 339.440 -0.014 20 0 EBADMM CCN(CCNC(=O)c1cc(=O)n2[n-]cnc2n1)c1cc(F)ncn1 ZINC001100567425 820476014 /nfs/dbraw/zinc/47/60/14/820476014.db2.gz KPKRDOCCXOARMV-UHFFFAOYSA-N -1 1 346.326 -0.397 20 0 EBADMM CC(C)(C(=O)NCC1CC(NCc2n[nH]c(=O)[n-]2)C1)S(C)(=O)=O ZINC001100724909 820524793 /nfs/dbraw/zinc/52/47/93/820524793.db2.gz FTPLOLDFVFUUTP-UHFFFAOYSA-N -1 1 345.425 -0.682 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNC(=O)[C@H]1CCC1(F)F ZINC001292901251 820654572 /nfs/dbraw/zinc/65/45/72/820654572.db2.gz WDBZBCMUIBPQQG-SECBINFHSA-N -1 1 344.318 -0.796 20 0 EBADMM CCOCC1(C(=O)NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001292905895 820655804 /nfs/dbraw/zinc/65/58/04/820655804.db2.gz WIFDCMFSGPQZHJ-UHFFFAOYSA-N -1 1 348.363 -0.920 20 0 EBADMM O=C(NCCNC(=O)[C@@H]1CCC[C@@H]2C[C@@H]21)c1cc(=O)n2[n-]cnc2n1 ZINC001292914049 820656768 /nfs/dbraw/zinc/65/67/68/820656768.db2.gz AXTWRBNIWYYXPE-MXWKQRLJSA-N -1 1 344.375 -0.300 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNC(=O)C1CC2(CCC2)C1 ZINC001292981480 820680746 /nfs/dbraw/zinc/68/07/46/820680746.db2.gz AJKMAPQLHLEOJL-UHFFFAOYSA-N -1 1 348.403 -0.261 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCCNC(=O)[C@H]1CC1(F)F ZINC001293293911 820738653 /nfs/dbraw/zinc/73/86/53/820738653.db2.gz UUYGOHSHKAPVCW-SECBINFHSA-N -1 1 344.318 -0.796 20 0 EBADMM Cc1cnc(C)nc1NCCN(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001101687029 820878977 /nfs/dbraw/zinc/87/89/77/820878977.db2.gz UYEDKPIPDMCYAU-UHFFFAOYSA-N -1 1 346.391 -0.096 20 0 EBADMM CN(CCNc1cc(F)ncn1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001101687119 820879389 /nfs/dbraw/zinc/87/93/89/820879389.db2.gz YIYNHNDZNUXHID-UHFFFAOYSA-N -1 1 336.327 -0.574 20 0 EBADMM CNC(=O)CCCC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001101900618 820952070 /nfs/dbraw/zinc/95/20/70/820952070.db2.gz SRUNFZHQUTXIEV-GHMZBOCLSA-N -1 1 338.412 -0.389 20 0 EBADMM CCn1cc(CC(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C)nn1 ZINC001102175466 821039768 /nfs/dbraw/zinc/03/97/68/821039768.db2.gz DWQBAGVQZDWJMZ-GHMZBOCLSA-N -1 1 348.411 -0.452 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)[C@]1(F)CCOC1 ZINC001102277303 821058038 /nfs/dbraw/zinc/05/80/38/821058038.db2.gz BUICMJOLWUTYHB-RULNRJAQSA-N -1 1 327.360 -0.177 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)/C=C/C1CC1 ZINC001295569488 821126916 /nfs/dbraw/zinc/12/69/16/821126916.db2.gz MYSXEDJRZQXVAO-SGUJLRQBSA-N -1 1 334.376 -0.486 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)CCCCC(N)=O ZINC001102503107 821129153 /nfs/dbraw/zinc/12/91/53/821129153.db2.gz HJHNGNUEAPYDFX-GHMZBOCLSA-N -1 1 338.412 -0.260 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1C[C@H]2C[C@H]2C1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001295617242 821136332 /nfs/dbraw/zinc/13/63/32/821136332.db2.gz ZLKYIRKTDBIFJP-WUHRBBMRSA-N -1 1 348.403 -0.406 20 0 EBADMM CCCC(=O)NCCN(C(=O)CCn1cc[n-]c(=O)c1=O)C1CC1 ZINC001296545658 821265341 /nfs/dbraw/zinc/26/53/41/821265341.db2.gz SYPDAEKZHZSGEM-UHFFFAOYSA-N -1 1 336.392 -0.166 20 0 EBADMM CC[C@@H](CNC(=O)Cn1c(=O)[n-][nH]c1=O)Nc1ncnc2[nH]cnc21 ZINC001103158248 821267736 /nfs/dbraw/zinc/26/77/36/821267736.db2.gz AODZZJBBNNKRRS-ZETCQYMHSA-N -1 1 347.339 -0.638 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001103849103 821360132 /nfs/dbraw/zinc/36/01/32/821360132.db2.gz LWVCWEFGWBRKTR-JFGNBEQYSA-N -1 1 338.412 -0.391 20 0 EBADMM C[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)CNc1ncc(F)cn1 ZINC001104036226 821389954 /nfs/dbraw/zinc/38/99/54/821389954.db2.gz CVCONIIHQUDUOE-MRVPVSSYSA-N -1 1 346.326 -0.175 20 0 EBADMM C[C@@H](CNC(=O)Cn1c(=O)[n-][nH]c1=O)CNc1ncnc2[nH]cnc21 ZINC001104039587 821391273 /nfs/dbraw/zinc/39/12/73/821391273.db2.gz VADNQSKIWLFDSZ-ZETCQYMHSA-N -1 1 347.339 -0.828 20 0 EBADMM CN(CCN(C)C(=O)C1CC=CC1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001298052002 821440022 /nfs/dbraw/zinc/44/00/22/821440022.db2.gz MIWUYWBPIGPUJU-UHFFFAOYSA-N -1 1 348.403 -0.190 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2CCNC2=O)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001104489979 821502069 /nfs/dbraw/zinc/50/20/69/821502069.db2.gz KSQMOSSNLGGSSG-GMTAPVOTSA-N -1 1 336.396 -0.779 20 0 EBADMM C[C@]1(C(=O)NC/C=C\CNC(=O)c2ncccc2[O-])CNC(=O)C1 ZINC001298357344 821516003 /nfs/dbraw/zinc/51/60/03/821516003.db2.gz UPFITBXZUDQORH-RXYHWBRQSA-N -1 1 332.360 -0.284 20 0 EBADMM C[C@H](CNC(=O)C(=O)N1CC[C@@H](C)C1)NC(=O)c1ncccc1[O-] ZINC001298578048 821569847 /nfs/dbraw/zinc/56/98/47/821569847.db2.gz HBIVVFZEKGWLNR-GHMZBOCLSA-N -1 1 334.376 -0.110 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC(n3cc(CNC[C@@H](C)O)nn3)C2)c1[O-] ZINC001105213641 821623338 /nfs/dbraw/zinc/62/33/38/821623338.db2.gz DWKJKZCKHQJGJP-MRVPVSSYSA-N -1 1 335.368 -0.817 20 0 EBADMM C[C@@H](NCc1cn(C2CN(C(=O)c3ncccc3[O-])C2)nn1)C(N)=O ZINC001105217815 821626671 /nfs/dbraw/zinc/62/66/71/821626671.db2.gz MIBFEJSVBJBGGB-SECBINFHSA-N -1 1 345.363 -0.961 20 0 EBADMM C[C@H](NC(=O)CC(F)(F)F)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001127966552 828368441 /nfs/dbraw/zinc/36/84/41/828368441.db2.gz BGGYXZPJYHBXRS-LURJTMIESA-N -1 1 338.290 -0.827 20 0 EBADMM CC1(C)NC(=O)N(CC(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)C1=O ZINC001303595166 821758664 /nfs/dbraw/zinc/75/86/64/821758664.db2.gz CTCQHVNZRDSYTR-VIFPVBQESA-N -1 1 335.368 -0.689 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCCC[C@H]1CCn1ccnn1 ZINC001305368064 821766390 /nfs/dbraw/zinc/76/63/90/821766390.db2.gz GXGGDDGJSKFNPH-ZDUSSCGKSA-N -1 1 346.391 -0.010 20 0 EBADMM CCNS(=O)(=O)CC[N-]S(=O)(=O)c1ccc(F)nc1F ZINC001309155745 821775593 /nfs/dbraw/zinc/77/55/93/821775593.db2.gz BGTFCLQGSRXQGT-UHFFFAOYSA-N -1 1 329.350 -0.423 20 0 EBADMM CS(=O)(=O)Cc1cccc(NC(=O)NN2CC(=O)[N-]C2=O)c1 ZINC001310223222 821784456 /nfs/dbraw/zinc/78/44/56/821784456.db2.gz LSXINBCOQZHNFG-UHFFFAOYSA-N -1 1 326.334 -0.181 20 0 EBADMM CCc1nocc1CN(C)CCCNC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001316865901 821899493 /nfs/dbraw/zinc/89/94/93/821899493.db2.gz ZCHQWDNPVHRKNO-UHFFFAOYSA-N -1 1 338.368 -0.122 20 0 EBADMM O=C(NCCCN(Cc1n[nH]c(=O)[n-]1)C1CC1)[C@@H]1CCNC(=O)C1 ZINC001316914712 821915105 /nfs/dbraw/zinc/91/51/05/821915105.db2.gz XDASDUMWIIEFDP-SNVBAGLBSA-N -1 1 336.396 -0.493 20 0 EBADMM O=C(N[C@H]1CCCN(CCOCC2CC2)C1)c1n[nH]c(=O)[n-]c1=O ZINC001316944532 821927116 /nfs/dbraw/zinc/92/71/16/821927116.db2.gz LIXPRWUTCNBNDX-NSHDSACASA-N -1 1 337.380 -0.096 20 0 EBADMM CCOCCC(=O)NC[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001317129702 822012257 /nfs/dbraw/zinc/01/22/57/822012257.db2.gz XVBIAQNSQPFBED-GFCCVEGCSA-N -1 1 325.413 -0.137 20 0 EBADMM CC(C)(C)C(=O)N1CCC[C@H]1C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001128005337 828388600 /nfs/dbraw/zinc/38/86/00/828388600.db2.gz TVFWUOJCUQZTCZ-JTQLQIEISA-N -1 1 338.412 -0.247 20 0 EBADMM Cc1cnc(CN(C)CCNC(=O)CCn2cc[n-]c(=O)c2=O)cn1 ZINC001317464727 822119307 /nfs/dbraw/zinc/11/93/07/822119307.db2.gz CBQYIEHLFQMPJB-UHFFFAOYSA-N -1 1 346.391 -0.727 20 0 EBADMM CCN(CCNC(=O)c1cnc2[nH]cnc2c1)Cc1nc(=O)n(C)[n-]1 ZINC001317479307 822125643 /nfs/dbraw/zinc/12/56/43/822125643.db2.gz JFXQNTZLWPLPCU-UHFFFAOYSA-N -1 1 344.379 -0.368 20 0 EBADMM Cc1[nH]nc2ncc(C(=O)N(C)CCNCc3n[nH]c(=O)[n-]3)cc12 ZINC001317661140 822196075 /nfs/dbraw/zinc/19/60/75/822196075.db2.gz IOSPZCUTTVQSAU-UHFFFAOYSA-N -1 1 330.352 -0.048 20 0 EBADMM C[C@]1(C(=O)NCC[C@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)CCNC1=O ZINC001317799677 822215256 /nfs/dbraw/zinc/21/52/56/822215256.db2.gz BLRVIUGIWCBTOA-ZUZCIYMTSA-N -1 1 336.396 -0.635 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)CCOCC(F)F)C2)nc1=O ZINC001318123544 822260477 /nfs/dbraw/zinc/26/04/77/822260477.db2.gz YMXBPGQTHCIWRD-UHFFFAOYSA-N -1 1 333.339 -0.672 20 0 EBADMM COc1cccc2c(C(=O)NCCNCc3n[nH]c(=O)[n-]3)cnn21 ZINC001128572804 828477476 /nfs/dbraw/zinc/47/74/76/828477476.db2.gz IOPUPOAXOAXRFX-UHFFFAOYSA-N -1 1 331.336 -0.314 20 0 EBADMM CC(C)CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1n1ccnn1 ZINC001128637562 828496126 /nfs/dbraw/zinc/49/61/26/828496126.db2.gz KQBOHJJCYVWWHD-NEPJUHHUSA-N -1 1 348.411 -0.712 20 0 EBADMM Cc1cc(NC/C=C\CNC(=O)c2cc(=O)n3[n-]cnc3n2)ncn1 ZINC001107262843 823830962 /nfs/dbraw/zinc/83/09/62/823830962.db2.gz JJCAWRIQFMDXMU-IHWYPQMZSA-N -1 1 340.347 -0.086 20 0 EBADMM Cc1nsc(NC/C=C/CNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001107262964 823832102 /nfs/dbraw/zinc/83/21/02/823832102.db2.gz MSVKYDRXACAPMX-NSCUHMNNSA-N -1 1 346.376 -0.024 20 0 EBADMM CC[C@@H](C)C(=O)NC[C@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107751363 823938146 /nfs/dbraw/zinc/93/81/46/823938146.db2.gz BHAWDVAVJZFKFC-IAQYHMDHSA-N -1 1 325.413 -0.138 20 0 EBADMM Cc1cc(NC[C@@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)ncn1 ZINC001107836895 823993842 /nfs/dbraw/zinc/99/38/42/823993842.db2.gz BUWIRRBHEUCMMV-LLVKDONJSA-N -1 1 332.364 -0.358 20 0 EBADMM Cn1ccc(C(=O)NC[C@@]2(C)CN(Cc3nc(=O)n(C)[n-]3)CCO2)c1 ZINC001107867858 824004731 /nfs/dbraw/zinc/00/47/31/824004731.db2.gz NUHLHUVMVVXGRV-INIZCTEOSA-N -1 1 348.407 -0.532 20 0 EBADMM Cn1cc(CN2CCO[C@](C)(CNC(=O)c3ncccc3[O-])C2)nn1 ZINC001107890841 824021830 /nfs/dbraw/zinc/02/18/30/824021830.db2.gz XLOSQNPQOJFLLO-MRXNPFEDSA-N -1 1 346.391 -0.063 20 0 EBADMM CC(C)N1CCO[C@](C)(CNC(=O)CCc2n[nH]c(=O)[n-]c2=O)C1 ZINC001108048177 824105620 /nfs/dbraw/zinc/10/56/20/824105620.db2.gz QZMZTRVRNBSOMM-OAHLLOKOSA-N -1 1 339.396 -0.169 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@](C)(CNC(=O)CC(C)(F)F)C2)nc1=O ZINC001108189382 824160795 /nfs/dbraw/zinc/16/07/95/824160795.db2.gz AALOGTOORDSQGD-ZDUSSCGKSA-N -1 1 347.366 -0.139 20 0 EBADMM CCCN1CCO[C@](C)(CNC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001108283771 824195108 /nfs/dbraw/zinc/19/51/08/824195108.db2.gz KQJFAUKIUNMZCU-MRXNPFEDSA-N -1 1 348.407 -0.312 20 0 EBADMM Cc1ccnc(NC[C@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001108444850 824289423 /nfs/dbraw/zinc/28/94/23/824289423.db2.gz IXNPLXDTEPKCJP-NSHDSACASA-N -1 1 332.364 -0.358 20 0 EBADMM CO[C@H](C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001109226128 824507715 /nfs/dbraw/zinc/50/77/15/824507715.db2.gz HDTOOJSZQUBEBO-UHXUPSOCSA-N -1 1 335.408 -0.245 20 0 EBADMM O=C(N[C@@H](CNc1ncccn1)C1CC1)c1cc(=O)n2[n-]cnc2n1 ZINC001109878839 824618138 /nfs/dbraw/zinc/61/81/38/824618138.db2.gz IUOSMQPDDOADBO-NSHDSACASA-N -1 1 340.347 -0.172 20 0 EBADMM COCCOC[C@@H](O)CN1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001112782365 825636854 /nfs/dbraw/zinc/63/68/54/825636854.db2.gz PJQUWMZEXAMCCM-ZDUSSCGKSA-N -1 1 339.392 -0.431 20 0 EBADMM O=C(CN1CCN(C(=O)c2ncccc2[O-])CC1)NC[C@@H]1CCOC1 ZINC001112784107 825638153 /nfs/dbraw/zinc/63/81/53/825638153.db2.gz QQGLWPOCTKYDME-ZDUSSCGKSA-N -1 1 348.403 -0.302 20 0 EBADMM C[C@@H](NC(=O)Cn1c(=O)[n-][nH]c1=O)[C@H](C)Nc1ncnc2[nH]cnc21 ZINC001113110514 825759962 /nfs/dbraw/zinc/75/99/62/825759962.db2.gz SRDGXJSNKMIHOB-RQJHMYQMSA-N -1 1 347.339 -0.639 20 0 EBADMM C[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)[C@H](C)Nc1ncc(F)cn1 ZINC001113119036 825764288 /nfs/dbraw/zinc/76/42/88/825764288.db2.gz PWIIUAVLZNXJBE-YUMQZZPRSA-N -1 1 346.326 -0.034 20 0 EBADMM C[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)[C@H](C)Nc1ncccn1 ZINC001113119495 825764575 /nfs/dbraw/zinc/76/45/75/825764575.db2.gz SOALYOJEXHJJOE-IUCAKERBSA-N -1 1 328.336 -0.174 20 0 EBADMM COCCC[C@H](C)C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001113507176 825900450 /nfs/dbraw/zinc/90/04/50/825900450.db2.gz CLNJABMIMHFBLU-LBPRGKRZSA-N -1 1 325.413 -0.185 20 0 EBADMM CC(C)COCCN1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001113534887 825911456 /nfs/dbraw/zinc/91/14/56/825911456.db2.gz XWKUEUSHIKGEHG-UHFFFAOYSA-N -1 1 348.407 -0.152 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)N(C)c1ncccn1 ZINC001113655753 825967249 /nfs/dbraw/zinc/96/72/49/825967249.db2.gz QFQOGJJFSMGNFA-NSHDSACASA-N -1 1 332.364 -0.642 20 0 EBADMM C[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)N(C)c1ncc(F)cn1 ZINC001113657185 825968531 /nfs/dbraw/zinc/96/85/31/825968531.db2.gz HRTJBWRJCKOVDO-MRVPVSSYSA-N -1 1 346.326 -0.399 20 0 EBADMM CC(C)CO[C@H](C)C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001113966199 826098996 /nfs/dbraw/zinc/09/89/96/826098996.db2.gz UFXNUWURXTWHNL-NMKXLXIOSA-N -1 1 337.424 -0.284 20 0 EBADMM O=C(CCC(=O)N1CCNC(=O)CC1)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001180273104 833067871 /nfs/dbraw/zinc/06/78/71/833067871.db2.gz UNOHLWBGAQVAHA-UHFFFAOYSA-N -1 1 347.335 -0.874 20 0 EBADMM Cc1ccc(N[C@@H](C)CN(C)C(=O)CCn2cc[n-]c(=O)c2=O)nn1 ZINC001115778224 826584014 /nfs/dbraw/zinc/58/40/14/826584014.db2.gz UFXSHCGNGQIFCB-LBPRGKRZSA-N -1 1 346.391 -0.016 20 0 EBADMM O=C(COC(=O)CC[C@H]1NC(=O)NC1=O)[N-]C(=O)c1ccccc1 ZINC001115846079 826589907 /nfs/dbraw/zinc/58/99/07/826589907.db2.gz XKRWNUINROTOLE-SNVBAGLBSA-N -1 1 333.300 -0.526 20 0 EBADMM C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)NCC(F)(F)F ZINC001116054646 826596819 /nfs/dbraw/zinc/59/68/19/826596819.db2.gz HWFOQHDAHXWTST-SSDOTTSWSA-N -1 1 336.270 -0.890 20 0 EBADMM COC(=O)[C@@H]1C[C@@H](OC)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001116492937 826622619 /nfs/dbraw/zinc/62/26/19/826622619.db2.gz WOIOHVAPMSPKDP-QWRGUYRKSA-N -1 1 339.348 -0.894 20 0 EBADMM CC(C)C(=O)Nc1cccc(NC(=O)C(=O)NN2CC(=O)[N-]C2=O)c1 ZINC001117395954 826739559 /nfs/dbraw/zinc/73/95/59/826739559.db2.gz HTOCYABXGFLSCK-UHFFFAOYSA-N -1 1 347.331 -0.197 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)N2CC[C@@H](C)[C@@H](C(=O)[O-])C2)C1 ZINC001118254435 826889531 /nfs/dbraw/zinc/88/95/31/826889531.db2.gz GAJMTWRFANGPCS-AGIUHOORSA-N -1 1 341.408 -0.608 20 0 EBADMM Cc1cc(NC(=O)C(=O)NN2CC(=O)[N-]C2=O)cnc1C(F)(F)F ZINC001118487926 826940057 /nfs/dbraw/zinc/94/00/57/826940057.db2.gz WETWBYQXDKVMMU-UHFFFAOYSA-N -1 1 345.237 -0.070 20 0 EBADMM Cc1cc(C)n2ncc(C(=O)N3CCC(O)(c4nn[n-]n4)CC3)c2n1 ZINC001119628469 827126463 /nfs/dbraw/zinc/12/64/63/827126463.db2.gz MGYRKVRHQIGRGR-UHFFFAOYSA-N -1 1 342.363 -0.017 20 0 EBADMM COCCN(CCC(=O)[O-])C(=O)C(=O)N[C@H]1CCN(C2CC2)C1 ZINC001120428176 827218053 /nfs/dbraw/zinc/21/80/53/827218053.db2.gz QTMJJAFLFKYWDU-NSHDSACASA-N -1 1 327.381 -0.711 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)[C@@H]1C[C@@]1(F)Cl ZINC001181669086 833173100 /nfs/dbraw/zinc/17/31/00/833173100.db2.gz CMENKLABDVTFAO-RVBZMBCESA-N -1 1 346.746 -0.048 20 0 EBADMM O=C(COCCO)Nc1cccc2c(=O)cc(-c3nn[n-]n3)oc12 ZINC001181790995 833182305 /nfs/dbraw/zinc/18/23/05/833182305.db2.gz QBXXBMMGBODCBO-UHFFFAOYSA-N -1 1 331.288 -0.080 20 0 EBADMM Cn1cnc2c1ncnc2N[C@@H](CO)CNC(=O)c1ncccc1[O-] ZINC001121339558 827347475 /nfs/dbraw/zinc/34/74/75/827347475.db2.gz LVIWKKWSMYWAJP-SECBINFHSA-N -1 1 343.347 -0.333 20 0 EBADMM CO[C@@H](C(=O)OCCc1c(C)nc2nc[n-]n2c1=O)c1cnn(C)c1 ZINC001122644808 827625710 /nfs/dbraw/zinc/62/57/10/827625710.db2.gz ZDXUSTCOVDVDHM-GFCCVEGCSA-N -1 1 346.347 -0.067 20 0 EBADMM C[C@H](NC(=O)c1ccoc1)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001182812053 833261965 /nfs/dbraw/zinc/26/19/65/833261965.db2.gz YNMUXEGBYPHXNR-GXSJLCMTSA-N -1 1 348.363 -0.388 20 0 EBADMM CCOC(=O)c1cc(NC(=O)CC[C@@H]2NC(=O)[C@H](C)NC2=O)n[nH]1 ZINC001184064046 833319040 /nfs/dbraw/zinc/31/90/40/833319040.db2.gz RWBLPEADQYODPD-YUMQZZPRSA-N -1 1 337.336 -0.692 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cc2cc[nH]c(=O)c2s1 ZINC001130603912 828896567 /nfs/dbraw/zinc/89/65/67/828896567.db2.gz WSVZHMCWPXJSBM-UHFFFAOYSA-N -1 1 334.361 -0.067 20 0 EBADMM O=C(CCc1n[nH]c(=O)[n-]c1=O)NCCNCc1ccc(F)cn1 ZINC001130754112 828928075 /nfs/dbraw/zinc/92/80/75/828928075.db2.gz OFYXVOXYAUMMAM-UHFFFAOYSA-N -1 1 336.327 -0.345 20 0 EBADMM O=[P@]([O-])(O)CCNS(=O)(=O)c1ccc(-c2nn[nH]n2)cc1 ZINC001185620660 833363585 /nfs/dbraw/zinc/36/35/85/833363585.db2.gz LUPOLWPCMGENRQ-UHFFFAOYSA-N -1 1 333.266 -0.677 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)CCn2cncn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001131811867 829224995 /nfs/dbraw/zinc/22/49/95/829224995.db2.gz DPSNVHODSNEFIS-NWDGAFQWSA-N -1 1 348.411 -0.741 20 0 EBADMM CNC(=O)NC(=O)CN1C[C@H](NC(=O)c2ncccc2[O-])CC[C@@H]1C ZINC001131972227 829286254 /nfs/dbraw/zinc/28/62/54/829286254.db2.gz QRUZQVWGXGKYNZ-WDEREUQCSA-N -1 1 349.391 -0.175 20 0 EBADMM Cc1cc(C)n2ncc(C(=O)NCCNCc3n[nH]c(=O)[n-]3)c2n1 ZINC001132202715 829377481 /nfs/dbraw/zinc/37/74/81/829377481.db2.gz JAKDUDILZFTXEL-UHFFFAOYSA-N -1 1 330.352 -0.311 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cccc(-n2cccn2)n1 ZINC001133696317 829662822 /nfs/dbraw/zinc/66/28/22/829662822.db2.gz BIHWASOOBNEQQV-UHFFFAOYSA-N -1 1 328.336 -0.389 20 0 EBADMM C[C@H](C[C@H](C)NCc1n[nH]c(=O)[n-]1)NC(=O)CCS(C)(=O)=O ZINC001134748189 829838923 /nfs/dbraw/zinc/83/89/23/829838923.db2.gz JSRDIFXWCYEASA-DTWKUNHWSA-N -1 1 333.414 -0.682 20 0 EBADMM C[C@H](C[C@H](C)NC(=O)c1n[nH]c(=O)[n-]c1=O)NCc1ncccn1 ZINC001134862858 829860105 /nfs/dbraw/zinc/86/01/05/829860105.db2.gz DFRKJPYNGZBLQD-BDAKNGLRSA-N -1 1 333.352 -0.241 20 0 EBADMM Cc1nnsc1CNCCNC(=O)c1c[n-]n2c1nccc2=O ZINC001134941540 829875238 /nfs/dbraw/zinc/87/52/38/829875238.db2.gz HLPJGQBTSAWRPA-UHFFFAOYSA-N -1 1 333.377 -0.298 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1ccnn1C1CCOCC1 ZINC001135067664 829892657 /nfs/dbraw/zinc/89/26/57/829892657.db2.gz GYRPRYNOHYQGKV-UHFFFAOYSA-N -1 1 335.368 -0.422 20 0 EBADMM CCc1cnc(CNCCNC(=O)CCn2cc[n-]c(=O)c2=O)o1 ZINC001135387764 829960375 /nfs/dbraw/zinc/96/03/75/829960375.db2.gz WSEIKVWBJNUENP-UHFFFAOYSA-N -1 1 335.364 -0.617 20 0 EBADMM Cc1nnsc1CNCCNC(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC001135465327 829971092 /nfs/dbraw/zinc/97/10/92/829971092.db2.gz FCDXSRQCSNHUJT-UHFFFAOYSA-N -1 1 348.392 -0.863 20 0 EBADMM O=C(CCCN1C(=O)CCC1=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC001138437787 830099470 /nfs/dbraw/zinc/09/94/70/830099470.db2.gz LRCMGODBFBDABF-VIFPVBQESA-N -1 1 338.393 -0.255 20 0 EBADMM O=C(Cn1c(=O)oc2ccccc21)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001138987680 830101268 /nfs/dbraw/zinc/10/12/68/830101268.db2.gz STCUJEXQXSOCGS-UHFFFAOYSA-N -1 1 344.331 -0.382 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC[C@@]2(C1)NC(=O)NC2=O ZINC001139760182 830104277 /nfs/dbraw/zinc/10/42/77/830104277.db2.gz XLWOBZWZSFKTGP-ZDUSSCGKSA-N -1 1 337.361 -0.406 20 0 EBADMM CC(C)C[C@H](O)C(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001144184039 830160935 /nfs/dbraw/zinc/16/09/35/830160935.db2.gz PYFNLQWVRKPXNL-RYUDHWBXSA-N -1 1 340.380 -0.565 20 0 EBADMM C[C@@H](OCC1CC1)C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001147133309 830291342 /nfs/dbraw/zinc/29/13/42/830291342.db2.gz UZOBXERQTOINGE-GFCCVEGCSA-N -1 1 349.435 -0.042 20 0 EBADMM CCc1noc(CNCCNC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)n1 ZINC001147699021 830395677 /nfs/dbraw/zinc/39/56/77/830395677.db2.gz IHLKHGOOQCSISF-UHFFFAOYSA-N -1 1 338.324 -0.639 20 0 EBADMM Cc1ncc(CO)c(C(=O)Nc2cncc(S(N)(=O)=O)c2)c1[O-] ZINC001147836638 830418806 /nfs/dbraw/zinc/41/88/06/830418806.db2.gz NNNQSCKQKGIUJS-UHFFFAOYSA-N -1 1 338.345 -0.117 20 0 EBADMM COC(=O)[C@@H](Cc1cncn1C)NC(=O)c1c([O-])c(C)ncc1CO ZINC001147844372 830419589 /nfs/dbraw/zinc/41/95/89/830419589.db2.gz PEJFVFCVZCBMAV-GFCCVEGCSA-N -1 1 348.359 -0.164 20 0 EBADMM CC(C)(C)c1nc(CNCCNC(=O)Cn2c(=O)[n-][nH]c2=O)n[nH]1 ZINC001149141514 830600942 /nfs/dbraw/zinc/60/09/42/830600942.db2.gz PSAWXRYTRWZKEW-UHFFFAOYSA-N -1 1 338.372 -0.989 20 0 EBADMM CCO[C@H](C)C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149235724 830620880 /nfs/dbraw/zinc/62/08/80/830620880.db2.gz CCPVNCNCJXKPPA-NEPJUHHUSA-N -1 1 341.412 -0.760 20 0 EBADMM CCOCCC(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149356789 830648978 /nfs/dbraw/zinc/64/89/78/830648978.db2.gz UEIKMROWADMJDE-LBPRGKRZSA-N -1 1 341.412 -0.758 20 0 EBADMM CO[C@@H](C)CC(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149414934 830659731 /nfs/dbraw/zinc/65/97/31/830659731.db2.gz HTAXEURINRUXFR-RYUDHWBXSA-N -1 1 341.412 -0.760 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@@H](CNC(=O)C3(CF)CC3)C2)nc1=O ZINC001150290913 830858077 /nfs/dbraw/zinc/85/80/77/830858077.db2.gz IPIDWYAVAOSVGM-NSHDSACASA-N -1 1 341.387 -0.435 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@@H](CNC(=O)C(C)(C)C)C2)nc1=O ZINC001150634739 830947281 /nfs/dbraw/zinc/94/72/81/830947281.db2.gz UCDDGLFCWPOFNX-NSHDSACASA-N -1 1 325.413 -0.138 20 0 EBADMM O=C(c1ccc2[nH]c(CO)nc2c1)N1CCOC[C@H]1c1nn[n-]n1 ZINC001150803354 830986025 /nfs/dbraw/zinc/98/60/25/830986025.db2.gz NTATVIFEFLJQGZ-NSHDSACASA-N -1 1 329.320 -0.218 20 0 EBADMM COCCC1(NC(=O)c2ncccc2[O-])CCN(CC(N)=O)CC1 ZINC001151084466 831023935 /nfs/dbraw/zinc/02/39/35/831023935.db2.gz RRKQFWVCJVPVHX-UHFFFAOYSA-N -1 1 336.392 -0.127 20 0 EBADMM Cc1nn(C)c2nc(C(=O)NCCNCc3n[nH]c(=O)[n-]3)ccc12 ZINC001152552798 831173854 /nfs/dbraw/zinc/17/38/54/831173854.db2.gz FOEXQELHZHQZKJ-UHFFFAOYSA-N -1 1 330.352 -0.380 20 0 EBADMM Cc1nccn2c(C(=O)Nc3nc(O)[n-]c4nc(=O)[nH]c3-4)nnc12 ZINC001156418071 831474752 /nfs/dbraw/zinc/47/47/52/831474752.db2.gz CCZLQQOJHYEBPS-UHFFFAOYSA-N -1 1 327.264 -0.286 20 0 EBADMM COCCC(=O)N1CCCC[C@@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001157422939 831565832 /nfs/dbraw/zinc/56/58/32/831565832.db2.gz QQODTPRRWKZZNL-GFCCVEGCSA-N -1 1 325.413 -0.042 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CCc1ccoc1 ZINC001212111733 837546424 /nfs/dbraw/zinc/54/64/24/837546424.db2.gz QTRPDBGZHPGUJZ-CHWSQXEVSA-N -1 1 349.391 -0.350 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)COC(C)(C)C ZINC001212152316 837558235 /nfs/dbraw/zinc/55/82/35/837558235.db2.gz OJDWGLNOHRQQCT-GHMZBOCLSA-N -1 1 341.412 -0.761 20 0 EBADMM COCCOCCN1C[C@@H](NC(=O)c2ncccc2[O-])[C@H](OC)C1 ZINC001212156567 837560158 /nfs/dbraw/zinc/56/01/58/837560158.db2.gz RZDVEPLQLMKLGJ-TZMCWYRMSA-N -1 1 339.392 -0.121 20 0 EBADMM Cn1[n-]c(CN2CC=C(CCNC(=O)Cc3ccon3)CC2)nc1=O ZINC001159636636 831768463 /nfs/dbraw/zinc/76/84/63/831768463.db2.gz JNQXAGXWZHHNJX-UHFFFAOYSA-N -1 1 346.391 -0.023 20 0 EBADMM Cn1[n-]c(CN2CC=C(CCNC(=O)c3cncnc3)CC2)nc1=O ZINC001159898938 831794782 /nfs/dbraw/zinc/79/47/82/831794782.db2.gz FXPRHUJVLUEIMW-UHFFFAOYSA-N -1 1 343.391 -0.149 20 0 EBADMM CCOC(Cn1cc(C(=O)NN2CC(=O)[N-]C2=O)cn1)OCC ZINC001160530590 831859617 /nfs/dbraw/zinc/85/96/17/831859617.db2.gz TYMHNYAWSWTAHE-UHFFFAOYSA-N -1 1 325.325 -0.521 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCCNC2=O)c1 ZINC001160667102 831878209 /nfs/dbraw/zinc/87/82/09/831878209.db2.gz JSEHGFVMOLKXDG-SECBINFHSA-N -1 1 327.362 -0.235 20 0 EBADMM COC(OC)c1c(CO)ccnc1Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001160853442 831895974 /nfs/dbraw/zinc/89/59/74/831895974.db2.gz NPKWZEOOJOAJKM-MRVPVSSYSA-N -1 1 340.361 -0.187 20 0 EBADMM CS(C)(=O)=NC(=O)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC001212217025 837580796 /nfs/dbraw/zinc/58/07/96/837580796.db2.gz KSLXHKBXOBUPSA-UHFFFAOYSA-N -1 1 327.334 -0.108 20 0 EBADMM O=C(CCc1n[nH]c(=O)[n-]c1=O)NCCCNCc1cscn1 ZINC001161715126 831985813 /nfs/dbraw/zinc/98/58/13/831985813.db2.gz DMRXKUMEXRGOQJ-UHFFFAOYSA-N -1 1 338.393 -0.032 20 0 EBADMM Cn1[n-]c(CN2CC=C(CCNC(=O)Cn3ccnc3)CC2)nc1=O ZINC001161989423 832008119 /nfs/dbraw/zinc/00/81/19/832008119.db2.gz CZHIQRCOWROEOI-UHFFFAOYSA-N -1 1 345.407 -0.357 20 0 EBADMM CCCC(=O)NCC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001163651241 832128089 /nfs/dbraw/zinc/12/80/89/832128089.db2.gz KUZOCBOXFUKXLD-UHFFFAOYSA-N -1 1 338.412 -0.197 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCCNC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001350291632 832149193 /nfs/dbraw/zinc/14/91/93/832149193.db2.gz LBPMENCCVWTHTQ-ITGUQSILSA-N -1 1 348.403 -0.405 20 0 EBADMM O=C(C[C@@H]1COC(=O)C1)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001164097232 832170635 /nfs/dbraw/zinc/17/06/35/832170635.db2.gz APBCELWPRZDXIN-JTQLQIEISA-N -1 1 337.380 -0.160 20 0 EBADMM CN(C(=O)CC(N)=O)[C@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001350688884 832185421 /nfs/dbraw/zinc/18/54/21/832185421.db2.gz OPDVGXGDFHSFIA-JTQLQIEISA-N -1 1 347.375 -0.392 20 0 EBADMM CNC(=O)CC(=O)NCC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001350962921 832207188 /nfs/dbraw/zinc/20/71/88/832207188.db2.gz HQHJDZONGHZXKN-UHFFFAOYSA-N -1 1 334.376 -0.108 20 0 EBADMM C[C@@H](CC(=O)N(C)CCCNC(=O)c1ncccc1[O-])NC(N)=O ZINC001351406570 832234456 /nfs/dbraw/zinc/23/44/56/832234456.db2.gz KGAYZEYNOYAGCA-JTQLQIEISA-N -1 1 337.380 -0.188 20 0 EBADMM CCn1cc(CC(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)nn1 ZINC001166341650 832257984 /nfs/dbraw/zinc/25/79/84/832257984.db2.gz JNFJQFILZYBSMC-UHFFFAOYSA-N -1 1 348.411 -0.260 20 0 EBADMM COc1cc(CNCCCNC(=O)c2c[n-]n3c2nccc3=O)on1 ZINC001167783797 832372380 /nfs/dbraw/zinc/37/23/80/832372380.db2.gz OUAVRODXLGOHPV-UHFFFAOYSA-N -1 1 346.347 -0.071 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)NCCCNCc1ncccn1 ZINC001168642174 832430187 /nfs/dbraw/zinc/43/01/87/832430187.db2.gz UIYFRRDBWPPYFY-UHFFFAOYSA-N -1 1 342.363 -0.843 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)NCCCNCc1ccon1 ZINC001168641144 832430237 /nfs/dbraw/zinc/43/02/37/832430237.db2.gz DCGQYUMUMKSGPL-UHFFFAOYSA-N -1 1 331.336 -0.645 20 0 EBADMM C[C@@H](CNC(=O)c1ccc[nH]1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001354240819 832473309 /nfs/dbraw/zinc/47/33/09/832473309.db2.gz AKMPGFLUPBQOML-QMMMGPOBSA-N -1 1 329.320 -0.706 20 0 EBADMM C[C@H](CNC(=O)CCC1CC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001354305475 832477749 /nfs/dbraw/zinc/47/77/49/832477749.db2.gz MRTVRIHFLPALQF-LLVKDONJSA-N -1 1 336.392 -0.262 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC(NS(N)(=O)=O)CC1 ZINC001170757221 832523406 /nfs/dbraw/zinc/52/34/06/832523406.db2.gz KTYWXGRGAITBAD-UHFFFAOYSA-N -1 1 347.422 -0.823 20 0 EBADMM Cn1ncc(CNCCCNC(=O)c2ccc3oc(=O)nc-3[n-]2)n1 ZINC001171065711 832540623 /nfs/dbraw/zinc/54/06/23/832540623.db2.gz YTFRPTOMJNQVSC-UHFFFAOYSA-N -1 1 331.336 -0.033 20 0 EBADMM Cc1ncoc1C(=O)NCCN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001355535507 832564880 /nfs/dbraw/zinc/56/48/80/832564880.db2.gz KJNPUGRTUBKFSZ-UHFFFAOYSA-N -1 1 345.319 -0.784 20 0 EBADMM CCN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)C1CC=CC1 ZINC001356126690 832602348 /nfs/dbraw/zinc/60/23/48/832602348.db2.gz ZGMNXRXMGIBEBO-UHFFFAOYSA-N -1 1 348.403 -0.142 20 0 EBADMM CC(C)CC(=O)NC[C@]12COC[C@H]1CN(C(=O)Cc1nn[n-]n1)C2 ZINC001176839832 832693271 /nfs/dbraw/zinc/69/32/71/832693271.db2.gz DRCCHGRUSQWEDT-ABAIWWIYSA-N -1 1 336.396 -0.621 20 0 EBADMM O=C(Cc1nn[n-]n1)N1Cc2ccnn2C[C@H](C(=O)N2CCCC2)C1 ZINC001176839843 832693300 /nfs/dbraw/zinc/69/33/00/832693300.db2.gz FAZZBBVQTHKQSZ-LLVKDONJSA-N -1 1 344.379 -0.780 20 0 EBADMM CCOC(=O)[C@H]1Cn2nccc2[C@H](C)N(C(=O)Cc2nn[n-]n2)C1 ZINC001176842481 832694281 /nfs/dbraw/zinc/69/42/81/832694281.db2.gz KDAAWTHOKKQTHU-VHSXEESVSA-N -1 1 333.352 -0.279 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@@]2(C[C@H]2C(=O)NCc2cccnc2)C1 ZINC001176841355 832694561 /nfs/dbraw/zinc/69/45/61/832694561.db2.gz XCJOTXZGQGCUEX-BLLLJJGKSA-N -1 1 341.375 -0.308 20 0 EBADMM CCOC(=O)[C@@H]1c2[nH]cnc2CCN1C(=O)Cn1nn[n-]c1=S ZINC001177738209 832800842 /nfs/dbraw/zinc/80/08/42/832800842.db2.gz DDAQSUZXDXBDCD-JTQLQIEISA-N -1 1 337.365 -0.626 20 0 EBADMM Cc1cc(CNC(=O)c2cc3n(n2)CCCN(CC(=O)[O-])C3=O)n[nH]1 ZINC001178371776 832856832 /nfs/dbraw/zinc/85/68/32/832856832.db2.gz RIGLEMBTWJUGBY-UHFFFAOYSA-N -1 1 346.347 -0.225 20 0 EBADMM CN1C[C@H](C(=O)NCC[N-]C(=O)C(F)(F)Br)CC1=O ZINC001178527794 832879197 /nfs/dbraw/zinc/87/91/97/832879197.db2.gz OWPSLHWLZPCGGL-ZCFIWIBFSA-N -1 1 342.140 -0.315 20 0 EBADMM CC(C)(C)OC(=O)N1CC[C@H](O)[C@H](C(=O)NCc2nn[n-]n2)C1 ZINC001178751458 832908351 /nfs/dbraw/zinc/90/83/51/832908351.db2.gz YMNJBIUXONKNCR-BDAKNGLRSA-N -1 1 326.357 -0.566 20 0 EBADMM CC(C)(C)OC(=O)N1CC[C@](C)(C(=O)NCc2nn[n-]n2)[C@H](O)C1 ZINC001179065503 832948640 /nfs/dbraw/zinc/94/86/40/832948640.db2.gz VFGNQQWTGVBHIO-OTYXRUKQSA-N -1 1 340.384 -0.176 20 0 EBADMM CC(C)(C)OC(=O)N1CC2(C1)CC[C@@H](C(=O)NCc1nn[n-]n1)O2 ZINC001179138138 832955917 /nfs/dbraw/zinc/95/59/17/832955917.db2.gz HBYIVSUIKJKDJE-VIFPVBQESA-N -1 1 338.368 -0.016 20 0 EBADMM O=S(=O)([N-]CCN1CCS(=O)(=O)CC1)c1nccs1 ZINC001187918912 833983848 /nfs/dbraw/zinc/98/38/48/833983848.db2.gz RKNPMQDTIHQGTQ-UHFFFAOYSA-N -1 1 325.437 -0.848 20 0 EBADMM CCN(CC)C(=O)CCC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001188032892 833994931 /nfs/dbraw/zinc/99/49/31/833994931.db2.gz GHVRSHGYJNUDRK-LLVKDONJSA-N -1 1 338.412 -0.151 20 0 EBADMM COC(=O)CCS(=O)(=O)[N-]c1cnn(CCN2CCOCC2)c1 ZINC001188355295 834036429 /nfs/dbraw/zinc/03/64/29/834036429.db2.gz JQGJEHXOIBJTQS-UHFFFAOYSA-N -1 1 346.409 -0.480 20 0 EBADMM CCOCCCC(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001189032689 834118290 /nfs/dbraw/zinc/11/82/90/834118290.db2.gz ZJASLDCWQCQTRS-GFCCVEGCSA-N -1 1 325.413 -0.042 20 0 EBADMM CCCC(=O)NCC(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001189169706 834133084 /nfs/dbraw/zinc/13/30/84/834133084.db2.gz KFQKVGWNIACTKC-NSHDSACASA-N -1 1 338.412 -0.943 20 0 EBADMM CC[C@@H](C)OCC(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001189716272 834182469 /nfs/dbraw/zinc/18/24/69/834182469.db2.gz IEPGXXHDHNJSTA-NEPJUHHUSA-N -1 1 325.413 -0.044 20 0 EBADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1cncc(N2CCOCC2)n1 ZINC001190760302 834336019 /nfs/dbraw/zinc/33/60/19/834336019.db2.gz GWLIMUFPKJSTEZ-UHFFFAOYSA-N -1 1 333.308 -0.651 20 0 EBADMM COc1cc(C(=O)N2CN[C@H](N)[C@H]3NCN[C@H]32)cc(Cl)c1[O-] ZINC001191157738 834426383 /nfs/dbraw/zinc/42/63/83/834426383.db2.gz BJNLGFCZNOXAIK-USWWRNFRSA-N -1 1 327.772 -0.813 20 0 EBADMM COCC1(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)CCC1 ZINC001191413296 834474118 /nfs/dbraw/zinc/47/41/18/834474118.db2.gz CNHXMVUSRNKNKO-LBPRGKRZSA-N -1 1 337.424 -0.042 20 0 EBADMM CSc1ncc(C(=O)N2CC(N3CCN(C)C(=O)C3)C2)c(=O)[n-]1 ZINC001191430274 834475690 /nfs/dbraw/zinc/47/56/90/834475690.db2.gz DQZNITBZIRONPL-UHFFFAOYSA-N -1 1 337.405 -0.498 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C1(CF)CCC1 ZINC001213470417 837781670 /nfs/dbraw/zinc/78/16/70/837781670.db2.gz UEERMHFCXGQWQG-GHMZBOCLSA-N -1 1 341.387 -0.436 20 0 EBADMM Cc1c(C(=O)NCCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])ccn1C ZINC001191851112 834560792 /nfs/dbraw/zinc/56/07/92/834560792.db2.gz VQISSEWFXYKHQF-ZDUSSCGKSA-N -1 1 347.375 -0.011 20 0 EBADMM CNC(=O)C[N@H+](C)[C@@H]1CCN(C(=O)c2ccc3c(c2)C(=O)NC3=O)C1 ZINC001193532338 834901410 /nfs/dbraw/zinc/90/14/10/834901410.db2.gz NJIBPGUCYPYRNK-LLVKDONJSA-N -1 1 344.371 -0.538 20 0 EBADMM C[C@H](OCC1CC1)C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001195148754 835190222 /nfs/dbraw/zinc/19/02/22/835190222.db2.gz JTXDKBBENLYADV-LBPRGKRZSA-N -1 1 337.424 -0.042 20 0 EBADMM CC(C)NC(=O)CN1CCN(C(=O)c2c[nH]c(=S)[n-]c2=O)CC1 ZINC001196013923 835326446 /nfs/dbraw/zinc/32/64/46/835326446.db2.gz NIVRPYSKTAXJRS-UHFFFAOYSA-N -1 1 339.421 -0.247 20 0 EBADMM O=C(NCc1nn[n-]n1)c1cccc(=O)n1OCc1ccccc1 ZINC001196465038 835420006 /nfs/dbraw/zinc/42/00/06/835420006.db2.gz YRHKCCUVSNGQAC-UHFFFAOYSA-N -1 1 326.316 -0.080 20 0 EBADMM C[C@H](Cc1ccco1)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001196867512 835473295 /nfs/dbraw/zinc/47/32/95/835473295.db2.gz LFWOIVFRJRDING-RAIGVLPGSA-N -1 1 349.391 -0.759 20 0 EBADMM CCC[C@@](C)(CC)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001197220756 835525578 /nfs/dbraw/zinc/52/55/78/835525578.db2.gz IVESCUKYYKFYOG-XHBSWPGZSA-N -1 1 339.440 -0.014 20 0 EBADMM COCCCN1CCCN(C(=O)Cn2[n-]cc3c(=O)ncnc2-3)CC1 ZINC001197466965 835559502 /nfs/dbraw/zinc/55/95/02/835559502.db2.gz HHKOKUDNICEETM-UHFFFAOYSA-N -1 1 348.407 -0.358 20 0 EBADMM COCCOc1ncc(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cn1 ZINC001199258778 835784817 /nfs/dbraw/zinc/78/48/17/835784817.db2.gz WBYRKHVSQBTTAL-UHFFFAOYSA-N -1 1 347.291 -0.217 20 0 EBADMM NC(=O)C([N-]S(=O)(=O)c1cc(Cl)c(Cl)cc1F)C(N)=O ZINC001201275923 836120980 /nfs/dbraw/zinc/12/09/80/836120980.db2.gz GGCIZLVVUSFUEN-UHFFFAOYSA-N -1 1 344.151 -0.250 20 0 EBADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@@H](C)C(=O)NCC(N)=O ZINC001201768634 836190956 /nfs/dbraw/zinc/19/09/56/836190956.db2.gz HJMZIQKNGXKNDZ-BYPYZUCNSA-N -1 1 340.814 -0.627 20 0 EBADMM CC[C@H](C)OCC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001206878615 836687682 /nfs/dbraw/zinc/68/76/82/836687682.db2.gz URYKUXBSDAITKT-GRYCIOLGSA-N -1 1 325.413 -0.140 20 0 EBADMM CCNC(=O)NC(=O)CN1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001206892962 836696463 /nfs/dbraw/zinc/69/64/63/836696463.db2.gz QQPVTVLHMJURFG-GHMZBOCLSA-N -1 1 349.391 -0.317 20 0 EBADMM CCO[C@@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C)C1CC1 ZINC001206983126 836741707 /nfs/dbraw/zinc/74/17/07/836741707.db2.gz FGBQMUCVBJHOCU-MPKXVKKWSA-N -1 1 337.424 -0.140 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CCc1ccncn1 ZINC001207206504 836763957 /nfs/dbraw/zinc/76/39/57/836763957.db2.gz ULVHDYMLKVHXAF-DGCLKSJQSA-N -1 1 345.407 -0.532 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CCc1nccn1C ZINC001208061776 836938463 /nfs/dbraw/zinc/93/84/63/836938463.db2.gz MRPQVKMDTGZPHI-VXGBXAGGSA-N -1 1 347.423 -0.589 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)COCC(F)F ZINC001208081912 836944543 /nfs/dbraw/zinc/94/45/43/836944543.db2.gz VKZSXAUYJGRZSF-RKDXNWHRSA-N -1 1 333.339 -0.673 20 0 EBADMM N=c1nc(OS(=O)(=O)[O-])c(N)c(N)n1-c1ccc2nc[nH]c2c1 ZINC001209845463 837206303 /nfs/dbraw/zinc/20/63/03/837206303.db2.gz ZDUKSUJHJFJBNY-UHFFFAOYSA-N -1 1 337.321 -0.426 20 0 EBADMM C[C@H](CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C)n1cccn1 ZINC001210098562 837237008 /nfs/dbraw/zinc/23/70/08/837237008.db2.gz PRJWMKKTBYAPTK-JHJVBQTASA-N -1 1 347.423 -0.107 20 0 EBADMM CC(=O)N[C@@H](C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001210764115 837329026 /nfs/dbraw/zinc/32/90/26/837329026.db2.gz UZJJOWBCEGDHIB-WCQYABFASA-N -1 1 338.412 -0.248 20 0 EBADMM CC=C(C)Nc1[n-]c(=O)nc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001210792192 837331054 /nfs/dbraw/zinc/33/10/54/837331054.db2.gz IGHNATPJJMWCEI-PNDXXAKZSA-N -1 1 337.336 -0.521 20 0 EBADMM COC(=O)c1ccc(NC(=O)C(=O)NCc2nn[n-]n2)cc1Cl ZINC001318738809 838030026 /nfs/dbraw/zinc/03/00/26/838030026.db2.gz NFRXTLZLMOODLJ-UHFFFAOYSA-N -1 1 338.711 -0.105 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)[C@@]3(C)C=CCC3)C2)nc1=O ZINC001319318305 838108751 /nfs/dbraw/zinc/10/87/51/838108751.db2.gz NMOZFLQLACWJFG-WBMJQRKESA-N -1 1 335.408 -0.218 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1ccnc(Cc2ccccc2)n1 ZINC001320182310 838705710 /nfs/dbraw/zinc/70/57/10/838705710.db2.gz CZNFEWZDTGVFLR-UHFFFAOYSA-N -1 1 338.331 -0.165 20 0 EBADMM Cn1cc(-c2nn(C)cc2C(=O)N2CCO[C@H](c3nn[n-]n3)C2)cn1 ZINC001320514881 838782868 /nfs/dbraw/zinc/78/28/68/838782868.db2.gz CEMQCTLEUNREBP-NSHDSACASA-N -1 1 343.351 -0.453 20 0 EBADMM C[C@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)c1ccc2nncn2c1 ZINC001322263245 839163755 /nfs/dbraw/zinc/16/37/55/839163755.db2.gz XHJDRMNKKJOQRK-SNVBAGLBSA-N -1 1 344.379 -0.599 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)NCCCCN1CCOCC1 ZINC001322565247 839204104 /nfs/dbraw/zinc/20/41/04/839204104.db2.gz KBFWRRLAPIMJPS-UHFFFAOYSA-N -1 1 334.380 -0.700 20 0 EBADMM CN1CCN(C)[C@H](CNC(=O)C(=O)[N-]OCCC(F)(F)F)C1 ZINC001322960960 839306589 /nfs/dbraw/zinc/30/65/89/839306589.db2.gz CDDBVOQXWFULKR-SECBINFHSA-N -1 1 326.319 -0.651 20 0 EBADMM COc1ccc(NC(=O)C(=O)NN2CC(=O)[N-]C2=O)c2ncccc12 ZINC001323041634 839331658 /nfs/dbraw/zinc/33/16/58/839331658.db2.gz GQIZHFNRXKJBDQ-UHFFFAOYSA-N -1 1 343.299 -0.235 20 0 EBADMM COC(=O)CCc1ccc(NC(=O)C(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC001323042626 839332485 /nfs/dbraw/zinc/33/24/85/839332485.db2.gz SGPYGCINARDTEH-UHFFFAOYSA-N -1 1 348.315 -0.686 20 0 EBADMM CCOCCS(=O)(=O)[N-]Cc1nc(Cc2noc(C)n2)no1 ZINC001323052193 839336115 /nfs/dbraw/zinc/33/61/15/839336115.db2.gz OINAVTNQLVJBPF-UHFFFAOYSA-N -1 1 331.354 -0.192 20 0 EBADMM CN(C(=O)CCn1cc[n-]c(=O)c1=O)C1CN(Cc2ccn(C)n2)C1 ZINC001323994872 839574365 /nfs/dbraw/zinc/57/43/65/839574365.db2.gz MONUHZCFNVGOBI-UHFFFAOYSA-N -1 1 346.391 -0.997 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CSCC(N)=O)c1 ZINC001324103295 839591795 /nfs/dbraw/zinc/59/17/95/839591795.db2.gz VDBQYKGEBDHESS-UHFFFAOYSA-N -1 1 333.391 -0.543 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@](CO)(NC(=O)C[C@H]3C=CCC3)C2)nc1=O ZINC001325817645 839944428 /nfs/dbraw/zinc/94/44/28/839944428.db2.gz JMWHZQGLQFNHLI-SUMWQHHRSA-N -1 1 349.435 -0.092 20 0 EBADMM CCc1nnc(CNCCN(CCO)C(=O)c2ncccc2[O-])o1 ZINC001326010193 840001105 /nfs/dbraw/zinc/00/11/05/840001105.db2.gz VYYXUMFGZPDLSL-UHFFFAOYSA-N -1 1 335.364 -0.043 20 0 EBADMM CCO[N-]C(=O)CNC(=O)C(=O)N1CCN(C2CCCCC2)CC1 ZINC001327039265 840280634 /nfs/dbraw/zinc/28/06/34/840280634.db2.gz VYQPVTIPORYMCL-UHFFFAOYSA-N -1 1 340.424 -0.353 20 0 EBADMM COC(=O)CCSCCNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001329277777 840887826 /nfs/dbraw/zinc/88/78/26/840887826.db2.gz UYVZCYQZLWJPSG-UHFFFAOYSA-N -1 1 331.350 -0.078 20 0 EBADMM COCc1nnc(S(=O)(=O)Cc2nnnn2-c2ccccc2)[n-]1 ZINC001333092939 841793900 /nfs/dbraw/zinc/79/39/00/841793900.db2.gz KLKZDAUOJPKGNV-UHFFFAOYSA-N -1 1 335.349 -0.099 20 0 EBADMM COCc1nc(S(=O)(=O)Cc2nnnn2-c2ccccc2)n[n-]1 ZINC001333092939 841793909 /nfs/dbraw/zinc/79/39/09/841793909.db2.gz KLKZDAUOJPKGNV-UHFFFAOYSA-N -1 1 335.349 -0.099 20 0 EBADMM CCOCCS(=O)(=O)[N-]Cc1nc(-c2ccc[nH]c2=O)no1 ZINC001333201677 841819170 /nfs/dbraw/zinc/81/91/70/841819170.db2.gz KCHLUIUIAMFIGL-VIFPVBQESA-N -1 1 328.350 -0.224 20 0 EBADMM CO[N-]C(=O)CNC(=O)C(=O)N[C@H]1C[C@H](C)N(Cc2ccccc2)C1 ZINC001333446453 841881562 /nfs/dbraw/zinc/88/15/62/841881562.db2.gz KFFCZJFKFSQWMO-JSGCOSHPSA-N -1 1 348.403 -0.441 20 0 EBADMM CN(C)C(=O)CCNC(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC001335523129 842299205 /nfs/dbraw/zinc/29/92/05/842299205.db2.gz RTLNDOLJWFHCMS-UHFFFAOYSA-N -1 1 343.330 -0.076 20 0 EBADMM CCOCCN(C)C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC001337163761 842583123 /nfs/dbraw/zinc/58/31/23/842583123.db2.gz AWZGIDDQVIFQEO-UHFFFAOYSA-N -1 1 333.366 -0.848 20 0 EBADMM CC[C@H](F)C(=O)N(C)C[C@@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001337378070 842607487 /nfs/dbraw/zinc/60/74/87/842607487.db2.gz OMQJIZYCLHLSSY-MNOVXSKESA-N -1 1 342.371 -0.362 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1CNC(=O)CC(N)=O ZINC001340861361 843038891 /nfs/dbraw/zinc/03/88/91/843038891.db2.gz WUPQGWMRQSLZMJ-WDEREUQCSA-N -1 1 334.376 -0.123 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2CCN(c3cccs3)CC2)CNCCO1 ZINC001340879224 843039863 /nfs/dbraw/zinc/03/98/63/843039863.db2.gz CTTCPYSIDUEPFN-AWEZNQCLSA-N -1 1 325.390 -0.160 20 0 EBADMM CO[C@@]1(C(F)(F)F)CCN(C(=O)[C@]2(C(=O)[O-])CNCCO2)C1 ZINC001340949879 843046466 /nfs/dbraw/zinc/04/64/66/843046466.db2.gz BZRJSCIVTKMFEW-QWRGUYRKSA-N -1 1 326.271 -0.391 20 0 EBADMM COc1cc[n-]c(=NNS(=O)(=O)c2cnn([C@H]3CCOC3)c2)n1 ZINC001340987850 843047797 /nfs/dbraw/zinc/04/77/97/843047797.db2.gz NMJJPTPZVQDQJB-VIFPVBQESA-N -1 1 340.365 -0.052 20 0 EBADMM COCCOCc1noc(C[N-]S(=O)(=O)c2cn(C)c(C)n2)n1 ZINC001342550734 843168499 /nfs/dbraw/zinc/16/84/99/843168499.db2.gz YUXINTLWNJLKLG-UHFFFAOYSA-N -1 1 345.381 -0.247 20 0 EBADMM CC(=O)N1CCc2c1cccc2NC(=O)C(=O)NCc1nn[n-]n1 ZINC001342733441 843177334 /nfs/dbraw/zinc/17/73/34/843177334.db2.gz QIYHYLIPBDEDJP-UHFFFAOYSA-N -1 1 329.320 -0.636 20 0 EBADMM CN(C[C@H]1CCN1C(=O)C1CC1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001344170560 843287072 /nfs/dbraw/zinc/28/70/72/843287072.db2.gz RORCHQVURXDVIM-GFCCVEGCSA-N -1 1 334.376 -0.604 20 0 EBADMM CNC(=O)C1(C(=O)OCCc2c(C)nc3nc[n-]n3c2=O)CCC1 ZINC001344621873 843324236 /nfs/dbraw/zinc/32/42/36/843324236.db2.gz OURPORHUYKGCJQ-UHFFFAOYSA-N -1 1 333.348 -0.272 20 0 EBADMM O=C(NCCNC(=O)c1ncccc1[O-])c1ccc2nnnn2c1 ZINC001348839209 843756356 /nfs/dbraw/zinc/75/63/56/843756356.db2.gz UJDMJFQJZJCFLF-UHFFFAOYSA-N -1 1 327.304 -0.615 20 0 EBADMM Cn1nncc1C(=O)NCCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001348886653 843764970 /nfs/dbraw/zinc/76/49/70/843764970.db2.gz KDBKJZSJDUREAO-UHFFFAOYSA-N -1 1 331.336 -0.652 20 0 EBADMM Cc1cc(C(=O)NCCCNC(=O)c2cc(=O)n3[n-]cnc3n2)co1 ZINC001349943487 843951423 /nfs/dbraw/zinc/95/14/23/843951423.db2.gz BQHPUSUHBDSTHZ-UHFFFAOYSA-N -1 1 344.331 -0.131 20 0 EBADMM C[C@@]12CCC(=O)N1[C@H](C(=O)N[C@H](Cc1cnc[nH]1)C(=O)[O-])CS2 ZINC000322515333 970897700 /nfs/dbraw/zinc/89/77/00/970897700.db2.gz RCKRHLRUDSIMSW-ISTVAULSSA-N -1 1 338.389 -0.024 20 0 EBADMM O=C([O-])[C@H]1CC(=O)N(CN2CCC[C@H](CN3CCOCC3)C2)C1 ZINC001601758906 971046540 /nfs/dbraw/zinc/04/65/40/971046540.db2.gz ICYOOQKREMOMDU-KGLIPLIRSA-N -1 1 325.409 -0.079 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)[C@H](C)CNc1cncc(-c2nnn[n-]2)n1 ZINC001573469770 946011072 /nfs/dbraw/zinc/01/10/72/946011072.db2.gz XJGLRSZGNIMVDJ-BDAKNGLRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)[C@H](C)CNc1cncc(-c2nn[n-]n2)n1 ZINC001573469770 946011081 /nfs/dbraw/zinc/01/10/81/946011081.db2.gz XJGLRSZGNIMVDJ-BDAKNGLRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@H](C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001573476000 946108990 /nfs/dbraw/zinc/10/89/90/946108990.db2.gz BYBFTUIFXURERU-JGVFFNPUSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@H](C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001573476000 946109003 /nfs/dbraw/zinc/10/90/03/946109003.db2.gz BYBFTUIFXURERU-JGVFFNPUSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@H](C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001573476002 946109371 /nfs/dbraw/zinc/10/93/71/946109371.db2.gz BYBFTUIFXURERU-YUMQZZPRSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@H](C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001573476002 946109379 /nfs/dbraw/zinc/10/93/79/946109379.db2.gz BYBFTUIFXURERU-YUMQZZPRSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NCC[C@@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001573482463 946196252 /nfs/dbraw/zinc/19/62/52/946196252.db2.gz WTCQDHHLVVYPMI-RKDXNWHRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NCC[C@@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001573482463 946196257 /nfs/dbraw/zinc/19/62/57/946196257.db2.gz WTCQDHHLVVYPMI-RKDXNWHRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@@H](C)CNc1cncc(-c2nnn[n-]2)n1 ZINC001573483342 946206996 /nfs/dbraw/zinc/20/69/96/946206996.db2.gz DMMUMLDTMPLHNC-YUMQZZPRSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@@H](C)CNc1cncc(-c2nn[n-]n2)n1 ZINC001573483342 946207003 /nfs/dbraw/zinc/20/70/03/946207003.db2.gz DMMUMLDTMPLHNC-YUMQZZPRSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@H]1C[C@@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001573488181 946266765 /nfs/dbraw/zinc/26/67/65/946266765.db2.gz ZVRULEGCEMBOLH-QNSHHTMESA-N -1 1 345.367 -0.760 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@H]1C[C@@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001573488181 946266772 /nfs/dbraw/zinc/26/67/72/946266772.db2.gz ZVRULEGCEMBOLH-QNSHHTMESA-N -1 1 345.367 -0.760 20 0 EBADMM CC(=O)NC1CCC(NC(=O)Cn2cnc(-c3nn[n-]n3)n2)CC1 ZINC001573496384 946323810 /nfs/dbraw/zinc/32/38/10/946323810.db2.gz ZHCCVRLTDJIWOT-UHFFFAOYSA-N -1 1 333.356 -0.978 20 0 EBADMM CC(=O)N[C@@H]1CCN(S(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC001573496865 946333627 /nfs/dbraw/zinc/33/36/27/946333627.db2.gz FETVVALYRYKPRP-SECBINFHSA-N -1 1 337.365 -0.839 20 0 EBADMM CC(=O)N[C@@H]1CCN(S(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC001573496865 946333647 /nfs/dbraw/zinc/33/36/47/946333647.db2.gz FETVVALYRYKPRP-SECBINFHSA-N -1 1 337.365 -0.839 20 0 EBADMM CC(=O)NCC(=O)N(C)C1CN(Cc2ccc(-c3nn[n-]n3)s2)C1 ZINC001573501564 946382802 /nfs/dbraw/zinc/38/28/02/946382802.db2.gz RCRRMLCKBWQJMG-UHFFFAOYSA-N -1 1 349.420 -0.293 20 0 EBADMM CC(=O)NCC(=O)N1C[C@H](Nc2cncc(-c3nnn[n-]3)n2)C[C@@H]1C ZINC001573502479 946388904 /nfs/dbraw/zinc/38/89/04/946388904.db2.gz WLFKGCGSHJBSTI-WCBMZHEXSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N1C[C@H](Nc2cncc(-c3nn[n-]n3)n2)C[C@@H]1C ZINC001573502479 946388917 /nfs/dbraw/zinc/38/89/17/946388917.db2.gz WLFKGCGSHJBSTI-WCBMZHEXSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N[C@H]1C[C@H](CNc2nccnc2-c2nnn[n-]2)C1 ZINC001573521843 946614018 /nfs/dbraw/zinc/61/40/18/946614018.db2.gz KHMDUJKECQLAEI-MGCOHNPYSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)N[C@H]1C[C@H](CNc2nccnc2-c2nn[n-]n2)C1 ZINC001573521843 946614024 /nfs/dbraw/zinc/61/40/24/946614024.db2.gz KHMDUJKECQLAEI-MGCOHNPYSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)CNc1nc(C)cc(-c2nnn[n-]2)n1 ZINC001573522082 946620722 /nfs/dbraw/zinc/62/07/22/946620722.db2.gz ZYSNMPTUWFWRST-QMMMGPOBSA-N -1 1 333.356 -0.982 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)CNc1nc(C)cc(-c2nn[n-]n2)n1 ZINC001573522082 946620731 /nfs/dbraw/zinc/62/07/31/946620731.db2.gz ZYSNMPTUWFWRST-QMMMGPOBSA-N -1 1 333.356 -0.982 20 0 EBADMM CC(=O)NC[C@@]1(C)CN(c2nc(C)cc(-c3nnn[n-]3)n2)CCO1 ZINC001573530747 946704176 /nfs/dbraw/zinc/70/41/76/946704176.db2.gz XZSBKDNPOVOMCI-AWEZNQCLSA-N -1 1 332.368 -0.303 20 0 EBADMM CC(=O)NC[C@@]1(C)CN(c2nc(C)cc(-c3nn[n-]n3)n2)CCO1 ZINC001573530747 946704187 /nfs/dbraw/zinc/70/41/87/946704187.db2.gz XZSBKDNPOVOMCI-AWEZNQCLSA-N -1 1 332.368 -0.303 20 0 EBADMM O=C([O-])c1ccc2c(c1)CN(C(=O)NCC(=O)N1CCNCC1)CC2 ZINC000327188976 971374787 /nfs/dbraw/zinc/37/47/87/971374787.db2.gz FGCMYXISSUFCPE-UHFFFAOYSA-N -1 1 346.387 -0.116 20 0 EBADMM C[C@@H](C(=O)N(C)C[C@H](O)c1cccnc1)n1cnc(-c2nn[n-]n2)n1 ZINC001573595061 947415431 /nfs/dbraw/zinc/41/54/31/947415431.db2.gz FJIPDRXFETUSGK-ONGXEEELSA-N -1 1 343.351 -0.394 20 0 EBADMM C[C@@H](C(=O)N1C[C@H](CO)OC(C)(C)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573607596 947551491 /nfs/dbraw/zinc/55/14/91/947551491.db2.gz PAGNDTMZYNTXFT-DTWKUNHWSA-N -1 1 336.356 -0.983 20 0 EBADMM C[C@@H](C(=O)N1CCC(F)(F)[C@@H](CO)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573618954 947657432 /nfs/dbraw/zinc/65/74/32/947657432.db2.gz SZIKPCABMZWMRG-JGVFFNPUSA-N -1 1 342.310 -0.505 20 0 EBADMM C[C@@H](C(=O)N1CCCc2c(=O)[nH]cnc21)n1cnc(-c2nn[n-]n2)n1 ZINC001573638863 947845198 /nfs/dbraw/zinc/84/51/98/947845198.db2.gz XKNWZJMGZJGJGO-ZETCQYMHSA-N -1 1 342.323 -0.506 20 0 EBADMM CC(=O)CCCC(=O)NC[C@H](O)CNc1nccnc1-c1nnn[n-]1 ZINC001573381120 947868889 /nfs/dbraw/zinc/86/88/89/947868889.db2.gz OGFUDOBTIRSNTD-JTQLQIEISA-N -1 1 348.367 -0.695 20 0 EBADMM CC(=O)CCCC(=O)NC[C@H](O)CNc1nccnc1-c1nn[n-]n1 ZINC001573381120 947868897 /nfs/dbraw/zinc/86/88/97/947868897.db2.gz OGFUDOBTIRSNTD-JTQLQIEISA-N -1 1 348.367 -0.695 20 0 EBADMM C[C@H](C(=O)N1CCc2n[nH]c(=O)cc2C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573647536 947897706 /nfs/dbraw/zinc/89/77/06/947897706.db2.gz NZQXAYZRVCPDMH-SSDOTTSWSA-N -1 1 342.323 -0.900 20 0 EBADMM C[C@H](C(=O)N=S1(=O)CCOCC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573650731 947914280 /nfs/dbraw/zinc/91/42/80/947914280.db2.gz DMRNUDKLMFQZPU-SSDOTTSWSA-N -1 1 326.342 -0.956 20 0 EBADMM C[C@H](C(=O)NC(C)(C)c1ncccn1)n1cnc(-c2nn[n-]n2)n1 ZINC001573652590 947942116 /nfs/dbraw/zinc/94/21/16/947942116.db2.gz DYDTYTKMXDZNDO-MRVPVSSYSA-N -1 1 328.340 -0.139 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)[C@@H](C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001573398081 947976697 /nfs/dbraw/zinc/97/66/97/947976697.db2.gz GNRAPZTYDJQUIB-BDAKNGLRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)[C@@H](C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001573398081 947976706 /nfs/dbraw/zinc/97/67/06/947976706.db2.gz GNRAPZTYDJQUIB-BDAKNGLRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)[C@H](C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001573399208 947990496 /nfs/dbraw/zinc/99/04/96/947990496.db2.gz SXDRPESALCAYJI-IUCAKERBSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)[C@H](C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001573399208 947990502 /nfs/dbraw/zinc/99/05/02/947990502.db2.gz SXDRPESALCAYJI-IUCAKERBSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@H](C)Nc1nc(C)cc(-c2nnn[n-]2)n1 ZINC001573404065 948015200 /nfs/dbraw/zinc/01/52/00/948015200.db2.gz PFXPKRBFLQHLIJ-VIFPVBQESA-N -1 1 347.383 -0.640 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@H](C)Nc1nc(C)cc(-c2nn[n-]n2)n1 ZINC001573404065 948015209 /nfs/dbraw/zinc/01/52/09/948015209.db2.gz PFXPKRBFLQHLIJ-VIFPVBQESA-N -1 1 347.383 -0.640 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@H](C)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001573404165 948018196 /nfs/dbraw/zinc/01/81/96/948018196.db2.gz QXSVRXVKGJGJQW-SECBINFHSA-N -1 1 347.383 -0.701 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@H](C)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001573404165 948018199 /nfs/dbraw/zinc/01/81/99/948018199.db2.gz QXSVRXVKGJGJQW-SECBINFHSA-N -1 1 347.383 -0.701 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CC(=O)N(CC2CC2)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573663328 948035036 /nfs/dbraw/zinc/03/50/36/948035036.db2.gz LMMKFJBFBZETCA-PSASIEDQSA-N -1 1 345.367 -0.854 20 0 EBADMM CC(=O)N1CCC(NC(=O)[C@H](C)n2cnc(-c3nn[n-]n3)n2)CC1 ZINC001573432516 948190406 /nfs/dbraw/zinc/19/04/06/948190406.db2.gz CVCGTGDLFDAHHD-QMMMGPOBSA-N -1 1 333.356 -0.854 20 0 EBADMM C[C@@H](C(=O)NC[C@H](O)CNc1ccc(-c2nnn[n-]2)nn1)C1CCC1 ZINC001573693875 948289226 /nfs/dbraw/zinc/28/92/26/948289226.db2.gz UVIWTWKMRZTZQP-MWLCHTKSSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](C(=O)NC[C@H](O)CNc1ccc(-c2nn[n-]n2)nn1)C1CCC1 ZINC001573693875 948289233 /nfs/dbraw/zinc/28/92/33/948289233.db2.gz UVIWTWKMRZTZQP-MWLCHTKSSA-N -1 1 346.395 -0.018 20 0 EBADMM CC(=O)N1CCC[C@H](CNC(=O)[C@@H](C)n2cnc(-c3nn[n-]n3)n2)C1 ZINC001573443029 948303991 /nfs/dbraw/zinc/30/39/91/948303991.db2.gz LKVSCRLWAHSTNE-MWLCHTKSSA-N -1 1 347.383 -0.606 20 0 EBADMM C[C@H](C(=O)NCc1cnn2c1CCCC2)n1cnc(-c2nn[n-]n2)n1 ZINC001573730502 948580567 /nfs/dbraw/zinc/58/05/67/948580567.db2.gz PTADSXQPQWGHAB-SECBINFHSA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CN(c2cnc(-c3nnn[n-]3)cn2)C[C@H]1O)C1CC1 ZINC001573740555 948637817 /nfs/dbraw/zinc/63/78/17/948637817.db2.gz LNUKAKBTRSADMP-GGZOMVNGSA-N -1 1 344.379 -0.632 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CN(c2cnc(-c3nn[n-]n3)cn2)C[C@H]1O)C1CC1 ZINC001573740555 948637819 /nfs/dbraw/zinc/63/78/19/948637819.db2.gz LNUKAKBTRSADMP-GGZOMVNGSA-N -1 1 344.379 -0.632 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)N(C)CCn1cnnc1 ZINC001570925455 948668679 /nfs/dbraw/zinc/66/86/79/948668679.db2.gz DEHIZVUISIFCLW-UHFFFAOYSA-N -1 1 329.324 -0.361 20 0 EBADMM O=S1(=O)CCC(O)(CNc2ccc(-c3nnn[n-]3)nn2)CC1 ZINC001570925569 948672651 /nfs/dbraw/zinc/67/26/51/948672651.db2.gz MSNCYJCHJNYGNP-UHFFFAOYSA-N -1 1 325.354 -0.992 20 0 EBADMM O=S1(=O)CCC(O)(CNc2ccc(-c3nn[n-]n3)nn2)CC1 ZINC001570925569 948672656 /nfs/dbraw/zinc/67/26/56/948672656.db2.gz MSNCYJCHJNYGNP-UHFFFAOYSA-N -1 1 325.354 -0.992 20 0 EBADMM O=C(N[C@@H]1CCN(c2cnc(-c3nn[n-]n3)cn2)C1)c1ccn[nH]1 ZINC001570925695 948673329 /nfs/dbraw/zinc/67/33/29/948673329.db2.gz NIEHEOJAVOAWBX-MRVPVSSYSA-N -1 1 326.324 -0.612 20 0 EBADMM Cc1oc(-c2nnn[n-]2)cc1C(=O)NCC(=O)N=S(C)(C)=O ZINC001570925931 948678839 /nfs/dbraw/zinc/67/88/39/948678839.db2.gz SOVMLQWFOQHZDF-UHFFFAOYSA-N -1 1 326.338 -0.248 20 0 EBADMM Cc1oc(-c2nn[n-]n2)cc1C(=O)NCC(=O)N=S(C)(C)=O ZINC001570925931 948678843 /nfs/dbraw/zinc/67/88/43/948678843.db2.gz SOVMLQWFOQHZDF-UHFFFAOYSA-N -1 1 326.338 -0.248 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCc1nc2c([nH]1)CCCC2 ZINC001570926750 948697347 /nfs/dbraw/zinc/69/73/47/948697347.db2.gz HLSFKZLLQLRWBK-UHFFFAOYSA-N -1 1 328.340 -0.624 20 0 EBADMM O=C(c1[nH]c2ccccc2c1-c1nn[n-]n1)N1C[C@H](CO)[C@H](O)C1 ZINC001570927009 948702279 /nfs/dbraw/zinc/70/22/79/948702279.db2.gz MPYDEYDMMIMQKX-LDYMZIIASA-N -1 1 328.332 -0.227 20 0 EBADMM Cc1onc(CC(=O)NCc2nc(C)cc(=O)[nH]2)c1-c1nn[n-]n1 ZINC001570927674 948715847 /nfs/dbraw/zinc/71/58/47/948715847.db2.gz IHUUVPNSKRAGPS-UHFFFAOYSA-N -1 1 330.308 -0.174 20 0 EBADMM COC[C@H](O)CCNC(=O)NCc1nc(-c2nnn[n-]2)cs1 ZINC001570927900 948721499 /nfs/dbraw/zinc/72/14/99/948721499.db2.gz SYCHJEFVEAVYBM-SSDOTTSWSA-N -1 1 327.370 -0.480 20 0 EBADMM COC[C@H](O)CCNC(=O)NCc1nc(-c2nn[n-]n2)cs1 ZINC001570927900 948721506 /nfs/dbraw/zinc/72/15/06/948721506.db2.gz SYCHJEFVEAVYBM-SSDOTTSWSA-N -1 1 327.370 -0.480 20 0 EBADMM COc1ncc(NC(=O)N2CC(=O)N[C@@H](C)C2)cc1-c1nn[n-]n1 ZINC001570928189 948728633 /nfs/dbraw/zinc/72/86/33/948728633.db2.gz DKDNIOKKSLPIOA-ZETCQYMHSA-N -1 1 332.324 -0.378 20 0 EBADMM Cc1nocc1NC(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570928297 948729417 /nfs/dbraw/zinc/72/94/17/948729417.db2.gz FSDYLWPIPVCUAM-UHFFFAOYSA-N -1 1 332.280 -0.546 20 0 EBADMM C[C@@H](CCNc1cnc(-c2nnn[n-]2)cn1)NC(=O)c1cnon1 ZINC001574679263 948773345 /nfs/dbraw/zinc/77/33/45/948773345.db2.gz RHZXCDNZDLIIDB-ZETCQYMHSA-N -1 1 330.312 -0.340 20 0 EBADMM C[C@@H](CCNc1cnc(-c2nn[n-]n2)cn1)NC(=O)c1cnon1 ZINC001574679263 948773359 /nfs/dbraw/zinc/77/33/59/948773359.db2.gz RHZXCDNZDLIIDB-ZETCQYMHSA-N -1 1 330.312 -0.340 20 0 EBADMM C[C@@H]1CCCC[C@@H]1NC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570930096 948797626 /nfs/dbraw/zinc/79/76/26/948797626.db2.gz BPUWVOUKNDJVCV-SCZZXKLOSA-N -1 1 333.352 -0.176 20 0 EBADMM Cc1nc(-c2nnn[n-]2)n(CC(=O)N2CCC(C(N)=O)CC2)c1C ZINC001570930264 948811249 /nfs/dbraw/zinc/81/12/49/948811249.db2.gz DUTCYWWLBMXMJH-UHFFFAOYSA-N -1 1 332.368 -0.596 20 0 EBADMM Cc1nc(-c2nn[n-]n2)n(CC(=O)N2CCC(C(N)=O)CC2)c1C ZINC001570930264 948811265 /nfs/dbraw/zinc/81/12/65/948811265.db2.gz DUTCYWWLBMXMJH-UHFFFAOYSA-N -1 1 332.368 -0.596 20 0 EBADMM CCN(CC)C(=O)CNC(=O)c1[nH]c(=O)c(-c2nn[n-]n2)cc1C ZINC001570931430 948843557 /nfs/dbraw/zinc/84/35/57/948843557.db2.gz XZAFEZRWUNSJSZ-UHFFFAOYSA-N -1 1 333.352 -0.126 20 0 EBADMM C[C@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)Cn1ccnc1 ZINC001574683361 948850679 /nfs/dbraw/zinc/85/06/79/948850679.db2.gz YIJANCLZSSTDBG-SNVBAGLBSA-N -1 1 342.367 -0.140 20 0 EBADMM C[C@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)Cn1ccnc1 ZINC001574683361 948850700 /nfs/dbraw/zinc/85/07/00/948850700.db2.gz YIJANCLZSSTDBG-SNVBAGLBSA-N -1 1 342.367 -0.140 20 0 EBADMM Cn1cnc(CCNS(=O)(=O)c2cccnc2-c2nn[n-]n2)c1 ZINC001570933495 948894345 /nfs/dbraw/zinc/89/43/45/948894345.db2.gz DAVNLDISBJYUBG-UHFFFAOYSA-N -1 1 334.365 -0.484 20 0 EBADMM O=C(c1ccsc1-c1nnn[n-]1)N1CC[C@H]2OCC(=O)N[C@@H]2C1 ZINC001570933484 948895783 /nfs/dbraw/zinc/89/57/83/948895783.db2.gz CPYURMRUJFVNDH-RKDXNWHRSA-N -1 1 334.361 -0.342 20 0 EBADMM O=C(c1ccsc1-c1nn[n-]n1)N1CC[C@H]2OCC(=O)N[C@@H]2C1 ZINC001570933484 948895803 /nfs/dbraw/zinc/89/58/03/948895803.db2.gz CPYURMRUJFVNDH-RKDXNWHRSA-N -1 1 334.361 -0.342 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)N1CCOC[C@H]1CCO ZINC001570933713 948905916 /nfs/dbraw/zinc/90/59/16/948905916.db2.gz FORXXDSQJIVBOV-SECBINFHSA-N -1 1 334.336 -0.501 20 0 EBADMM CC(F)(F)CC(=O)NC[C@H](CO)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575234819 948910484 /nfs/dbraw/zinc/91/04/84/948910484.db2.gz SDWLRAMCIJRJOX-SSDOTTSWSA-N -1 1 342.310 -0.987 20 0 EBADMM CC[C@@H](C)OCC(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570933911 948913847 /nfs/dbraw/zinc/91/38/47/948913847.db2.gz FEDSEIOHXFEXQT-MRVPVSSYSA-N -1 1 337.340 -0.940 20 0 EBADMM C[C@H]1C[C@@H](CO)CN(C(=O)NCCn2cnc(-c3nn[n-]n3)n2)C1 ZINC001570933896 948913976 /nfs/dbraw/zinc/91/39/76/948913976.db2.gz WKDOHHKVMYAQGW-VHSXEESVSA-N -1 1 335.372 -0.882 20 0 EBADMM CC(F)(F)CC(=O)NC[C@H](O)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001575235245 948914666 /nfs/dbraw/zinc/91/46/66/948914666.db2.gz UQNPGVKKZZZMPF-SSDOTTSWSA-N -1 1 342.310 -0.409 20 0 EBADMM CC(F)(F)CC(=O)NC[C@H](O)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001575235245 948914675 /nfs/dbraw/zinc/91/46/75/948914675.db2.gz UQNPGVKKZZZMPF-SSDOTTSWSA-N -1 1 342.310 -0.409 20 0 EBADMM CC(C)[C@]1(C)C[C@H]1NC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001574088480 948923763 /nfs/dbraw/zinc/92/37/63/948923763.db2.gz FODYHSZMVWCVSQ-OTYXRUKQSA-N -1 1 333.352 -0.320 20 0 EBADMM COC(=O)N1CC(C)(NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC001570934145 948926076 /nfs/dbraw/zinc/92/60/76/948926076.db2.gz YBGDNXQNQLMLED-UHFFFAOYSA-N -1 1 335.328 -0.438 20 0 EBADMM COC(=O)N1CC(C)(NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC001570934145 948926091 /nfs/dbraw/zinc/92/60/91/948926091.db2.gz YBGDNXQNQLMLED-UHFFFAOYSA-N -1 1 335.328 -0.438 20 0 EBADMM Cc1nnc([C@@H](C)Nc2[nH]c(=O)n(C)c(=O)c2-c2nn[n-]n2)s1 ZINC001570934214 948928901 /nfs/dbraw/zinc/92/89/01/948928901.db2.gz YWEYRCSDAFWGLZ-SCSAIBSYSA-N -1 1 335.353 -0.001 20 0 EBADMM C[C@@H](CNC(=O)CCn1ccnn1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574923106 948935831 /nfs/dbraw/zinc/93/58/31/948935831.db2.gz DPBRPXXGSLYCCO-VIFPVBQESA-N -1 1 343.355 -0.745 20 0 EBADMM C[C@@H](CNC(=O)CCn1ccnn1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574923106 948935842 /nfs/dbraw/zinc/93/58/42/948935842.db2.gz DPBRPXXGSLYCCO-VIFPVBQESA-N -1 1 343.355 -0.745 20 0 EBADMM CC(C)(CO)C(=O)Nc1[nH]nc(N2CCOCC2)c1-c1nnn[n-]1 ZINC001570934552 948940567 /nfs/dbraw/zinc/94/05/67/948940567.db2.gz LJRJOLWLUMDJPC-UHFFFAOYSA-N -1 1 336.356 -0.617 20 0 EBADMM CC(C)(CO)C(=O)Nc1[nH]nc(N2CCOCC2)c1-c1nn[n-]n1 ZINC001570934552 948940575 /nfs/dbraw/zinc/94/05/75/948940575.db2.gz LJRJOLWLUMDJPC-UHFFFAOYSA-N -1 1 336.356 -0.617 20 0 EBADMM C[C@H](CNC(=O)CCn1cccn1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574923250 948942424 /nfs/dbraw/zinc/94/24/24/948942424.db2.gz IGEAGSRSFVKQJL-SNVBAGLBSA-N -1 1 342.367 -0.140 20 0 EBADMM C[C@H](CNC(=O)CCn1cccn1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574923250 948942440 /nfs/dbraw/zinc/94/24/40/948942440.db2.gz IGEAGSRSFVKQJL-SNVBAGLBSA-N -1 1 342.367 -0.140 20 0 EBADMM C[C@@H](CNC(=O)CCn1cncn1)Nc1nccnc1-c1nnn[n-]1 ZINC001574923342 948945333 /nfs/dbraw/zinc/94/53/33/948945333.db2.gz KCOFPQPSXKKYRS-VIFPVBQESA-N -1 1 343.355 -0.745 20 0 EBADMM C[C@@H](CNC(=O)CCn1cncn1)Nc1nccnc1-c1nn[n-]n1 ZINC001574923342 948945349 /nfs/dbraw/zinc/94/53/49/948945349.db2.gz KCOFPQPSXKKYRS-VIFPVBQESA-N -1 1 343.355 -0.745 20 0 EBADMM C[C@@H](CNC(=O)CCn1cccn1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574923431 948950577 /nfs/dbraw/zinc/95/05/77/948950577.db2.gz MIOHNAHEAGZAJN-JTQLQIEISA-N -1 1 342.367 -0.140 20 0 EBADMM C[C@@H](CNC(=O)CCn1cccn1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574923431 948950590 /nfs/dbraw/zinc/95/05/90/948950590.db2.gz MIOHNAHEAGZAJN-JTQLQIEISA-N -1 1 342.367 -0.140 20 0 EBADMM CC(C)c1nnnn1CC(=O)NCc1nc(-c2nnn[n-]2)cs1 ZINC001574434027 948973019 /nfs/dbraw/zinc/97/30/19/948973019.db2.gz CZTDBYUMBONCMU-UHFFFAOYSA-N -1 1 334.369 -0.251 20 0 EBADMM CC(C)c1nnnn1CC(=O)NCc1nc(-c2nn[n-]n2)cs1 ZINC001574434027 948973029 /nfs/dbraw/zinc/97/30/29/948973029.db2.gz CZTDBYUMBONCMU-UHFFFAOYSA-N -1 1 334.369 -0.251 20 0 EBADMM O=C(c1ccon1)N1C[C@@H]2C[C@H]1CN2c1cncc(-c2nnn[n-]2)n1 ZINC001570935476 948982081 /nfs/dbraw/zinc/98/20/81/948982081.db2.gz OSILMPALKHUBKL-IUCAKERBSA-N -1 1 339.319 -0.252 20 0 EBADMM O=C(c1ccon1)N1C[C@@H]2C[C@H]1CN2c1cncc(-c2nn[n-]n2)n1 ZINC001570935476 948982093 /nfs/dbraw/zinc/98/20/93/948982093.db2.gz OSILMPALKHUBKL-IUCAKERBSA-N -1 1 339.319 -0.252 20 0 EBADMM Cn1cccc1C(=O)N1CC[C@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570935559 948986632 /nfs/dbraw/zinc/98/66/32/948986632.db2.gz DKNBSCDLEXLEAG-JTQLQIEISA-N -1 1 339.363 -0.256 20 0 EBADMM Cn1cccc1C(=O)N1CC[C@@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570935568 948987248 /nfs/dbraw/zinc/98/72/48/948987248.db2.gz DKNBSCDLEXLEAG-SNVBAGLBSA-N -1 1 339.363 -0.256 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)N(C)C[C@H]1COCCO1 ZINC001570935530 948987295 /nfs/dbraw/zinc/98/72/95/948987295.db2.gz TWCQCABMOIIEME-VIFPVBQESA-N -1 1 334.336 -0.237 20 0 EBADMM NS(=O)(=O)c1ccc(CNc2cncc(-c3nnn[n-]3)n2)s1 ZINC001570935906 949000525 /nfs/dbraw/zinc/00/05/25/949000525.db2.gz RDHQTDZWUGHVNU-UHFFFAOYSA-N -1 1 338.378 -0.022 20 0 EBADMM NS(=O)(=O)c1ccc(CNc2cncc(-c3nn[n-]n3)n2)s1 ZINC001570935906 949000537 /nfs/dbraw/zinc/00/05/37/949000537.db2.gz RDHQTDZWUGHVNU-UHFFFAOYSA-N -1 1 338.378 -0.022 20 0 EBADMM NC(=O)[C@H]1CCCN1S(=O)(=O)c1ccc(F)c(-c2nn[n-]n2)c1 ZINC001570937568 949077254 /nfs/dbraw/zinc/07/72/54/949077254.db2.gz GNICUKCBSAQFPW-SNVBAGLBSA-N -1 1 340.340 -0.356 20 0 EBADMM C[C@@H](CNC(=O)CO[C@H]1CCOC1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574929298 949085453 /nfs/dbraw/zinc/08/54/53/949085453.db2.gz CLZWCEVMGBSQTR-UWVGGRQHSA-N -1 1 348.367 -0.621 20 0 EBADMM C[C@@H](CNC(=O)CO[C@H]1CCOC1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574929298 949085472 /nfs/dbraw/zinc/08/54/72/949085472.db2.gz CLZWCEVMGBSQTR-UWVGGRQHSA-N -1 1 348.367 -0.621 20 0 EBADMM COC(=O)C1(O)CN(C(=O)c2[nH]c3ccccc3c2-c2nn[n-]n2)C1 ZINC001570938185 949097010 /nfs/dbraw/zinc/09/70/10/949097010.db2.gz BIOIQCHWEQXUSW-UHFFFAOYSA-N -1 1 342.315 -0.292 20 0 EBADMM Cn1nccc1C(=O)N1CCN(c2cncc(-c3nnn[n-]3)n2)CC1 ZINC001570938343 949100955 /nfs/dbraw/zinc/10/09/55/949100955.db2.gz QGLVWLYFRVJABB-UHFFFAOYSA-N -1 1 340.351 -0.647 20 0 EBADMM Cn1nccc1C(=O)N1CCN(c2cncc(-c3nn[n-]n3)n2)CC1 ZINC001570938343 949100966 /nfs/dbraw/zinc/10/09/66/949100966.db2.gz QGLVWLYFRVJABB-UHFFFAOYSA-N -1 1 340.351 -0.647 20 0 EBADMM O=C(Cn1cccn1)N[C@@H]1CCN(c2cnc(-c3nnn[n-]3)cn2)C1 ZINC001570938356 949102120 /nfs/dbraw/zinc/10/21/20/949102120.db2.gz RDRYQUCKLDSIKQ-SNVBAGLBSA-N -1 1 340.351 -0.752 20 0 EBADMM O=C(Cn1cccn1)N[C@@H]1CCN(c2cnc(-c3nn[n-]n3)cn2)C1 ZINC001570938356 949102129 /nfs/dbraw/zinc/10/21/29/949102129.db2.gz RDRYQUCKLDSIKQ-SNVBAGLBSA-N -1 1 340.351 -0.752 20 0 EBADMM O=C(c1cnon1)N1CCC(Nc2ccc(-c3nnn[n-]3)nn2)CC1 ZINC001570938516 949103690 /nfs/dbraw/zinc/10/36/90/949103690.db2.gz DWMFOCKVDNTEJY-UHFFFAOYSA-N -1 1 342.323 -0.244 20 0 EBADMM O=C(c1cnon1)N1CCC(Nc2ccc(-c3nn[n-]n3)nn2)CC1 ZINC001570938516 949103698 /nfs/dbraw/zinc/10/36/98/949103698.db2.gz DWMFOCKVDNTEJY-UHFFFAOYSA-N -1 1 342.323 -0.244 20 0 EBADMM O=C(c1cnon1)N1CCCN(c2cnc(-c3nnn[n-]3)cn2)CC1 ZINC001570939875 949156733 /nfs/dbraw/zinc/15/67/33/949156733.db2.gz RLNWPPOVWKALIC-UHFFFAOYSA-N -1 1 342.323 -0.608 20 0 EBADMM O=C(c1cnon1)N1CCCN(c2cnc(-c3nn[n-]n3)cn2)CC1 ZINC001570939875 949156749 /nfs/dbraw/zinc/15/67/49/949156749.db2.gz RLNWPPOVWKALIC-UHFFFAOYSA-N -1 1 342.323 -0.608 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)c2cccc(OCC(N)=O)c2)n1 ZINC001570939929 949162790 /nfs/dbraw/zinc/16/27/90/949162790.db2.gz RUQLLZGXUXAEIF-UHFFFAOYSA-N -1 1 342.319 -0.283 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)c2cccc(OCC(N)=O)c2)n1 ZINC001570939929 949162795 /nfs/dbraw/zinc/16/27/95/949162795.db2.gz RUQLLZGXUXAEIF-UHFFFAOYSA-N -1 1 342.319 -0.283 20 0 EBADMM C[C@H](C(=O)N[C@@H](C)c1nnc2n1CCC2)n1cnc(-c2nn[n-]n2)n1 ZINC001575252345 949164156 /nfs/dbraw/zinc/16/41/56/949164156.db2.gz QDQHMQVLIFKMGI-JGVFFNPUSA-N -1 1 343.355 -0.566 20 0 EBADMM O=C(Cc1cnn2c1CCCC2)NCCn1cnc(-c2nn[n-]n2)n1 ZINC001570940381 949178788 /nfs/dbraw/zinc/17/87/88/949178788.db2.gz MVDWHPAVALLMHY-UHFFFAOYSA-N -1 1 342.367 -0.650 20 0 EBADMM Cc1cnoc1C(=O)N[C@H]1C[C@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570941379 949219395 /nfs/dbraw/zinc/21/93/95/949219395.db2.gz UQFMSFZTNGUICR-KYZUINATSA-N -1 1 341.335 -0.252 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CCN(c2cnc(-c3nn[n-]n3)cn2)CC1 ZINC001570941602 949233301 /nfs/dbraw/zinc/23/33/01/949233301.db2.gz XZLCKFVMCYDCKE-UHFFFAOYSA-N -1 1 341.339 -0.954 20 0 EBADMM Cc1cnc(CNC(=O)NCCn2cnc(-c3nn[n-]n3)n2)c(C)c1 ZINC001570941636 949240022 /nfs/dbraw/zinc/24/00/22/949240022.db2.gz XLNVKTQKIVEKNY-UHFFFAOYSA-N -1 1 342.367 -0.031 20 0 EBADMM CN(C)c1cncc(NC(=O)NCCn2cnc(-c3nn[n-]n3)n2)c1 ZINC001570942308 949277279 /nfs/dbraw/zinc/27/72/79/949277279.db2.gz JVXLKGWGMXPDLI-UHFFFAOYSA-N -1 1 343.355 -0.259 20 0 EBADMM C[C@H](NC(=O)C1=COCCO1)[C@H](C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001575258076 949289639 /nfs/dbraw/zinc/28/96/39/949289639.db2.gz DPMCGZLARSZADH-IUCAKERBSA-N -1 1 346.351 -0.150 20 0 EBADMM C[C@H](NC(=O)C1=COCCO1)[C@H](C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575258076 949289660 /nfs/dbraw/zinc/28/96/60/949289660.db2.gz DPMCGZLARSZADH-IUCAKERBSA-N -1 1 346.351 -0.150 20 0 EBADMM C[C@H]1CCC[C@@H]1NC(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001576154238 949327194 /nfs/dbraw/zinc/32/71/94/949327194.db2.gz CTKHKDXUVXDXAD-WPRPVWTQSA-N -1 1 348.367 -0.383 20 0 EBADMM CC(C)(C)C(=O)N[C@H]1CCN(c2cnc(-c3nnn[n-]3)cn2)C[C@H]1O ZINC001573798403 949348521 /nfs/dbraw/zinc/34/85/21/949348521.db2.gz BCIOKOOSCHNKJX-GXSJLCMTSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)(C)C(=O)N[C@H]1CCN(c2cnc(-c3nn[n-]n3)cn2)C[C@H]1O ZINC001573798403 949348543 /nfs/dbraw/zinc/34/85/43/949348543.db2.gz BCIOKOOSCHNKJX-GXSJLCMTSA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@@H](CNC(=O)Cc1cc[nH]n1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001574942746 949366622 /nfs/dbraw/zinc/36/66/22/949366622.db2.gz SEAFBXIPUYOAIT-VIFPVBQESA-N -1 1 342.367 -0.437 20 0 EBADMM C[C@@H](CNC(=O)Cc1cc[nH]n1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001574942746 949366641 /nfs/dbraw/zinc/36/66/41/949366641.db2.gz SEAFBXIPUYOAIT-VIFPVBQESA-N -1 1 342.367 -0.437 20 0 EBADMM C[C@H]1CCC[C@@H]1CNC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001576155145 949383796 /nfs/dbraw/zinc/38/37/96/949383796.db2.gz GTBUVHQHZPJZAG-DTWKUNHWSA-N -1 1 333.352 -0.319 20 0 EBADMM C[C@H](CNC(=O)Cc1ncc[nH]1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001574944403 949390763 /nfs/dbraw/zinc/39/07/63/949390763.db2.gz CULFCAKQELHVTI-SECBINFHSA-N -1 1 342.367 -0.437 20 0 EBADMM C[C@H](CNC(=O)Cc1ncc[nH]1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001574944403 949390781 /nfs/dbraw/zinc/39/07/81/949390781.db2.gz CULFCAKQELHVTI-SECBINFHSA-N -1 1 342.367 -0.437 20 0 EBADMM CC(C)(C)C(=O)NC[C@]1(O)CCN(c2ccc(-c3nnn[n-]3)nn2)C1 ZINC001573801794 949402684 /nfs/dbraw/zinc/40/26/84/949402684.db2.gz CVQJQSRRQFSEQP-OAHLLOKOSA-N -1 1 346.395 -0.240 20 0 EBADMM CC(C)(C)C(=O)NC[C@]1(O)CCN(c2ccc(-c3nn[n-]n3)nn2)C1 ZINC001573801794 949402699 /nfs/dbraw/zinc/40/26/99/949402699.db2.gz CVQJQSRRQFSEQP-OAHLLOKOSA-N -1 1 346.395 -0.240 20 0 EBADMM CC(=O)N1CCC(NC(=O)Cn2ccc(-c3nn[n-]n3)cc2=O)CC1 ZINC001570944429 949422306 /nfs/dbraw/zinc/42/23/06/949422306.db2.gz QDQSJSXHGSLVMP-UHFFFAOYSA-N -1 1 345.363 -0.845 20 0 EBADMM C[C@@H](CN(C)C(=O)C1=COCCO1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574705750 949432963 /nfs/dbraw/zinc/43/29/63/949432963.db2.gz UTPABXPKOMZKSX-VIFPVBQESA-N -1 1 346.351 -0.196 20 0 EBADMM C[C@@H](CN(C)C(=O)C1=COCCO1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574705750 949432978 /nfs/dbraw/zinc/43/29/78/949432978.db2.gz UTPABXPKOMZKSX-VIFPVBQESA-N -1 1 346.351 -0.196 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1CCCOC1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574705932 949441842 /nfs/dbraw/zinc/44/18/42/949441842.db2.gz KYKSYNJTZADHNA-WDEREUQCSA-N -1 1 346.395 -0.236 20 0 EBADMM C[C@@H]1CO[C@@H](C(=O)N2CCN(c3ccnc(-c4nn[n-]n4)n3)CC2)C1 ZINC001570945506 949483630 /nfs/dbraw/zinc/48/36/30/949483630.db2.gz BNANPODIGZHOFT-WDEREUQCSA-N -1 1 344.379 -0.270 20 0 EBADMM CC(C)CC(=O)NC[C@@]1(O)CCN(c2cnc(-c3nnn[n-]3)cn2)C1 ZINC001574118309 949488714 /nfs/dbraw/zinc/48/87/14/949488714.db2.gz DTJHFSRVROJLMY-HNNXBMFYSA-N -1 1 346.395 -0.240 20 0 EBADMM CC(C)CC(=O)NC[C@@]1(O)CCN(c2cnc(-c3nn[n-]n3)cn2)C1 ZINC001574118309 949488723 /nfs/dbraw/zinc/48/87/23/949488723.db2.gz DTJHFSRVROJLMY-HNNXBMFYSA-N -1 1 346.395 -0.240 20 0 EBADMM C[C@H]1CCCC[C@]12CC(=O)NN2C(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC001576158533 949501251 /nfs/dbraw/zinc/50/12/51/949501251.db2.gz UAWQRXHYAROUOS-XPTSAGLGSA-N -1 1 345.367 -0.329 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1CCCCO1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574709243 949502820 /nfs/dbraw/zinc/50/28/20/949502820.db2.gz YTFQVYBHSDBYPH-WDEREUQCSA-N -1 1 346.395 -0.094 20 0 EBADMM C[C@H](CNC(=O)Cn1cccn1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574949664 949506908 /nfs/dbraw/zinc/50/69/08/949506908.db2.gz ZZEHKXZOZKGBIK-SECBINFHSA-N -1 1 328.340 -0.530 20 0 EBADMM C[C@H](CNC(=O)Cn1cccn1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574949664 949506918 /nfs/dbraw/zinc/50/69/18/949506918.db2.gz ZZEHKXZOZKGBIK-SECBINFHSA-N -1 1 328.340 -0.530 20 0 EBADMM O=C(N[C@@H]1CCN(c2cncc(-c3nnn[n-]3)n2)C1)C1CCOCC1 ZINC001570946145 949523996 /nfs/dbraw/zinc/52/39/96/949523996.db2.gz NTUMZZBCQNXYEX-LLVKDONJSA-N -1 1 344.379 -0.222 20 0 EBADMM O=C(N[C@@H]1CCN(c2cncc(-c3nn[n-]n3)n2)C1)C1CCOCC1 ZINC001570946145 949524012 /nfs/dbraw/zinc/52/40/12/949524012.db2.gz NTUMZZBCQNXYEX-LLVKDONJSA-N -1 1 344.379 -0.222 20 0 EBADMM CN(C(=O)[C@H]1CCOC1)[C@@H]1CCN(c2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570946203 949524949 /nfs/dbraw/zinc/52/49/49/949524949.db2.gz OEEFKJHAUXBXAQ-WDEREUQCSA-N -1 1 344.379 -0.270 20 0 EBADMM C[C@@H]1[C@H](NC(=O)CC(N)=O)CCCN1c1ccnc(-c2nn[n-]n2)n1 ZINC001575871981 949530296 /nfs/dbraw/zinc/53/02/96/949530296.db2.gz DKXRHGXVTWLSHP-RKDXNWHRSA-N -1 1 345.367 -0.994 20 0 EBADMM COCC(=O)N1CCC[C@H]2CN(c3cncc(-c4nnn[n-]4)n3)C[C@H]21 ZINC001570946476 949535297 /nfs/dbraw/zinc/53/52/97/949535297.db2.gz OYLVRMHWWDMQHS-CMPLNLGQSA-N -1 1 344.379 -0.270 20 0 EBADMM COCC(=O)N1CCC[C@H]2CN(c3cncc(-c4nn[n-]n4)n3)C[C@H]21 ZINC001570946476 949535306 /nfs/dbraw/zinc/53/53/06/949535306.db2.gz OYLVRMHWWDMQHS-CMPLNLGQSA-N -1 1 344.379 -0.270 20 0 EBADMM CC(C)(O)CC(=O)N1C[C@@H]2C[C@H]1CN2c1cncc(-c2nnn[n-]2)n1 ZINC001570946966 949558013 /nfs/dbraw/zinc/55/80/13/949558013.db2.gz URKFZSFTYQHWKW-UWVGGRQHSA-N -1 1 344.379 -0.393 20 0 EBADMM CC(C)(O)CC(=O)N1C[C@@H]2C[C@H]1CN2c1cncc(-c2nn[n-]n2)n1 ZINC001570946966 949558028 /nfs/dbraw/zinc/55/80/28/949558028.db2.gz URKFZSFTYQHWKW-UWVGGRQHSA-N -1 1 344.379 -0.393 20 0 EBADMM CC(C)CC(=O)N[C@@H]1CN(c2nccnc2-c2nnn[n-]2)C[C@@H]1O ZINC001574124082 949560249 /nfs/dbraw/zinc/56/02/49/949560249.db2.gz BDVUSFLHLUHOEG-ZJUUUORDSA-N -1 1 332.368 -0.632 20 0 EBADMM CC(C)CC(=O)N[C@@H]1CN(c2nccnc2-c2nn[n-]n2)C[C@@H]1O ZINC001574124082 949560256 /nfs/dbraw/zinc/56/02/56/949560256.db2.gz BDVUSFLHLUHOEG-ZJUUUORDSA-N -1 1 332.368 -0.632 20 0 EBADMM CCO[C@H]1C[C@H]1C(=O)N[C@H]1C[C@@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570947405 949572139 /nfs/dbraw/zinc/57/21/39/949572139.db2.gz YXQPASIQUMDJHN-YTWAJWBKSA-N -1 1 344.379 -0.437 20 0 EBADMM CNC(=O)NC1CCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)CC1 ZINC001570949927 949666532 /nfs/dbraw/zinc/66/65/32/949666532.db2.gz UKHPOKCIFBUFON-UHFFFAOYSA-N -1 1 348.371 -0.475 20 0 EBADMM CNC(=O)NC1CCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)CC1 ZINC001570949927 949666547 /nfs/dbraw/zinc/66/65/47/949666547.db2.gz UKHPOKCIFBUFON-UHFFFAOYSA-N -1 1 348.371 -0.475 20 0 EBADMM C[C@H]1COCC[C@H]1NC(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570950618 949694816 /nfs/dbraw/zinc/69/48/16/949694816.db2.gz OJQHVMBKZGQPCR-WCBMZHEXSA-N -1 1 349.351 -0.940 20 0 EBADMM C[C@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001574959188 949707539 /nfs/dbraw/zinc/70/75/39/949707539.db2.gz ZXKKNUSATXUYHN-QISWUMQESA-N -1 1 344.379 -0.287 20 0 EBADMM C[C@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001574959188 949707552 /nfs/dbraw/zinc/70/75/52/949707552.db2.gz ZXKKNUSATXUYHN-QISWUMQESA-N -1 1 344.379 -0.287 20 0 EBADMM CC(C)[C@@H](O)C(=O)NC[C@H](C)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001574963226 949745325 /nfs/dbraw/zinc/74/53/25/949745325.db2.gz HETVTIWRTHSNKL-BXKDBHETSA-N -1 1 334.384 -0.162 20 0 EBADMM CC(C)[C@@H](O)C(=O)NC[C@H](C)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001574963226 949745335 /nfs/dbraw/zinc/74/53/35/949745335.db2.gz HETVTIWRTHSNKL-BXKDBHETSA-N -1 1 334.384 -0.162 20 0 EBADMM C[C@@H](CN(C)C(=O)CCCC(N)=O)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574718066 949756313 /nfs/dbraw/zinc/75/63/13/949756313.db2.gz RWNMXLVDOYYOCL-VIFPVBQESA-N -1 1 347.383 -0.429 20 0 EBADMM C[C@@H](CN(C)C(=O)CCCC(N)=O)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574718066 949756331 /nfs/dbraw/zinc/75/63/31/949756331.db2.gz RWNMXLVDOYYOCL-VIFPVBQESA-N -1 1 347.383 -0.429 20 0 EBADMM C[C@@H](CN(C)C(=O)CCCC(N)=O)Nc1nccnc1-c1nnn[n-]1 ZINC001574718474 949780647 /nfs/dbraw/zinc/78/06/47/949780647.db2.gz XTLDCNSBULZOOW-VIFPVBQESA-N -1 1 347.383 -0.429 20 0 EBADMM C[C@@H](CN(C)C(=O)CCCC(N)=O)Nc1nccnc1-c1nn[n-]n1 ZINC001574718474 949780665 /nfs/dbraw/zinc/78/06/65/949780665.db2.gz XTLDCNSBULZOOW-VIFPVBQESA-N -1 1 347.383 -0.429 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CCCC(=O)N1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574964550 949783739 /nfs/dbraw/zinc/78/37/39/949783739.db2.gz XXUYGYYZEONAIR-WCBMZHEXSA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CCCC(=O)N1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574964550 949783754 /nfs/dbraw/zinc/78/37/54/949783754.db2.gz XXUYGYYZEONAIR-WCBMZHEXSA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@@]1(C(=O)N[C@H]2CCN(c3ccc(-c4nnn[n-]4)nn3)C2)CCOC1 ZINC001575569720 949928476 /nfs/dbraw/zinc/92/84/76/949928476.db2.gz NIPLKVDEUKOKEB-ZUZCIYMTSA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@@]1(C(=O)N[C@H]2CCN(c3ccc(-c4nn[n-]n4)nn3)C2)CCOC1 ZINC001575569720 949928484 /nfs/dbraw/zinc/92/84/84/949928484.db2.gz NIPLKVDEUKOKEB-ZUZCIYMTSA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@@H](CN(C)C(=O)Cc1cc[nH]n1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574728660 949998841 /nfs/dbraw/zinc/99/88/41/949998841.db2.gz GNXMZFMQCUGGLG-VIFPVBQESA-N -1 1 342.367 -0.697 20 0 EBADMM C[C@H](CN(C)C(=O)Cn1ccnc1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574730610 950031464 /nfs/dbraw/zinc/03/14/64/950031464.db2.gz AMUFBQXCZWNOKL-SNVBAGLBSA-N -1 1 342.367 -0.766 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1CCC(=O)N1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574732510 950072918 /nfs/dbraw/zinc/07/29/18/950072918.db2.gz JFZRFLVSLIIHEE-BDAKNGLRSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1CCC(=O)N1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574732510 950072937 /nfs/dbraw/zinc/07/29/37/950072937.db2.gz JFZRFLVSLIIHEE-BDAKNGLRSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@H](CN(C)C(=O)Cn1cncn1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574732826 950080503 /nfs/dbraw/zinc/08/05/03/950080503.db2.gz KZRQNQNFVNXTIG-VIFPVBQESA-N -1 1 343.355 -0.793 20 0 EBADMM C[C@@H](CN(C)C(=O)Cn1cncn1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574732826 950080526 /nfs/dbraw/zinc/08/05/26/950080526.db2.gz KZRQNQNFVNXTIG-VIFPVBQESA-N -1 1 343.355 -0.793 20 0 EBADMM C[C@@H](CN(C)C(=O)Cn1cncn1)Nc1nccnc1-c1nnn[n-]1 ZINC001574733059 950090466 /nfs/dbraw/zinc/09/04/66/950090466.db2.gz QXISGVKWMBAHRJ-VIFPVBQESA-N -1 1 343.355 -0.793 20 0 EBADMM C[C@@H](CN(C)C(=O)Cn1cncn1)Nc1nccnc1-c1nn[n-]n1 ZINC001574733059 950090488 /nfs/dbraw/zinc/09/04/88/950090488.db2.gz QXISGVKWMBAHRJ-VIFPVBQESA-N -1 1 343.355 -0.793 20 0 EBADMM C[C@H](CC(=O)NC[C@@H](CO)Nc1cncc(-c2nnn[n-]2)n1)C1CC1 ZINC001574492635 950098836 /nfs/dbraw/zinc/09/88/36/950098836.db2.gz CHAQTCXNVVAJNJ-KOLCDFICSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](CC(=O)NC[C@@H](CO)Nc1cncc(-c2nn[n-]n2)n1)C1CC1 ZINC001574492635 950098858 /nfs/dbraw/zinc/09/88/58/950098858.db2.gz CHAQTCXNVVAJNJ-KOLCDFICSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@]12C[C@H]1COC2)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574733639 950119936 /nfs/dbraw/zinc/11/99/36/950119936.db2.gz FARDOBJECCBFCF-YHAQOWFVSA-N -1 1 344.379 -0.048 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@]12C[C@H]1COC2)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574733639 950119948 /nfs/dbraw/zinc/11/99/48/950119948.db2.gz FARDOBJECCBFCF-YHAQOWFVSA-N -1 1 344.379 -0.048 20 0 EBADMM C[C@@H](CNC(=O)c1cnc[nH]c1=O)Nc1nccnc1-c1nnn[n-]1 ZINC001574983274 950208535 /nfs/dbraw/zinc/20/85/35/950208535.db2.gz LWVUHERFCIYTKQ-ZETCQYMHSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@@H](CNC(=O)c1cnc[nH]c1=O)Nc1nccnc1-c1nn[n-]n1 ZINC001574983274 950208551 /nfs/dbraw/zinc/20/85/51/950208551.db2.gz LWVUHERFCIYTKQ-ZETCQYMHSA-N -1 1 342.323 -0.617 20 0 EBADMM CCN(CCS(C)(=O)=O)C(=O)NC1CCN(CC(=O)[O-])CC1 ZINC001589735025 950529375 /nfs/dbraw/zinc/52/93/75/950529375.db2.gz SQKSSGJMUXZUDC-UHFFFAOYSA-N -1 1 335.426 -0.389 20 0 EBADMM CCN1CCN(CC(C)(C)NC(=O)[C@H]2CC(C(=O)[O-])=NO2)CC1 ZINC001589744091 950643794 /nfs/dbraw/zinc/64/37/94/950643794.db2.gz KIJMXIMFRYUMDK-GFCCVEGCSA-N -1 1 326.397 -0.252 20 0 EBADMM C[C@H](CN(C)C(=O)c1cn[nH]c1)Nc1nccnc1-c1nnn[n-]1 ZINC001574760632 950674005 /nfs/dbraw/zinc/67/40/05/950674005.db2.gz KIQBNKOWVAPJGA-MRVPVSSYSA-N -1 1 328.340 -0.048 20 0 EBADMM C[C@H](CN(C)C(=O)c1cn[nH]c1)Nc1nccnc1-c1nn[n-]n1 ZINC001574760632 950674013 /nfs/dbraw/zinc/67/40/13/950674013.db2.gz KIQBNKOWVAPJGA-MRVPVSSYSA-N -1 1 328.340 -0.048 20 0 EBADMM C[C@H](CN(C)C(=O)c1ccn(C)n1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574760782 950679584 /nfs/dbraw/zinc/67/95/84/950679584.db2.gz ZWRWILJOOYMABI-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CN(C)C(=O)c1ccn(C)n1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574760782 950679594 /nfs/dbraw/zinc/67/95/94/950679594.db2.gz ZWRWILJOOYMABI-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CNC(=O)c1cc[nH]c(=O)c1)Nc1nccnc1-c1nnn[n-]1 ZINC001575005667 950698097 /nfs/dbraw/zinc/69/80/97/950698097.db2.gz KGSNGVJYHAWBAN-QMMMGPOBSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@@H](CNC(=O)c1cc[nH]c(=O)c1)Nc1nccnc1-c1nn[n-]n1 ZINC001575005667 950698110 /nfs/dbraw/zinc/69/81/10/950698110.db2.gz KGSNGVJYHAWBAN-QMMMGPOBSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@@H](CNC(=O)c1ccn[nH]1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575006496 950719151 /nfs/dbraw/zinc/71/91/51/950719151.db2.gz UYGIUUJQPRMDSW-MRVPVSSYSA-N -1 1 328.340 -0.720 20 0 EBADMM C[C@H](CN(C)C(=O)c1cnn(C)c1)Nc1nccnc1-c1nnn[n-]1 ZINC001574763687 950726259 /nfs/dbraw/zinc/72/62/59/950726259.db2.gz IDGWALYVJFNBEU-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CN(C)C(=O)c1cnn(C)c1)Nc1nccnc1-c1nn[n-]n1 ZINC001574763687 950726272 /nfs/dbraw/zinc/72/62/72/950726272.db2.gz IDGWALYVJFNBEU-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CN(C)C(=O)c1cncn1C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574764515 950751105 /nfs/dbraw/zinc/75/11/05/950751105.db2.gz UUHKOUKQXVYYFI-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CN(C)C(=O)c1cncn1C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574764515 950751116 /nfs/dbraw/zinc/75/11/16/950751116.db2.gz UUHKOUKQXVYYFI-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CN(C)C(=O)c1cncn1C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574764688 950757846 /nfs/dbraw/zinc/75/78/46/950757846.db2.gz WLDMGNGWTXQTRU-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CN(C)C(=O)c1cncn1C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574764688 950757862 /nfs/dbraw/zinc/75/78/62/950757862.db2.gz WLDMGNGWTXQTRU-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM CC(C)CCC(=O)N[C@@H]1CN(c2ccc(-c3nnn[n-]3)nn2)C[C@H]1O ZINC001574184795 950785549 /nfs/dbraw/zinc/78/55/49/950785549.db2.gz NLRSFDKQAFJEKS-VXGBXAGGSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)CCC(=O)N[C@@H]1CN(c2ccc(-c3nn[n-]n3)nn2)C[C@H]1O ZINC001574184795 950785562 /nfs/dbraw/zinc/78/55/62/950785562.db2.gz NLRSFDKQAFJEKS-VXGBXAGGSA-N -1 1 346.395 -0.241 20 0 EBADMM CC1(C)CC(CNC(=O)Cn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)C1 ZINC001575638529 950840527 /nfs/dbraw/zinc/84/05/27/950840527.db2.gz GRLPBVJEFIFCKU-UHFFFAOYSA-N -1 1 333.352 -0.319 20 0 EBADMM C[C@H](CN(C)C(=O)c1cnon1)Nc1nccnc1-c1nnn[n-]1 ZINC001574767605 950861018 /nfs/dbraw/zinc/86/10/18/950861018.db2.gz SYAZTXYIURMHPU-SSDOTTSWSA-N -1 1 330.312 -0.388 20 0 EBADMM C[C@H](CN(C)C(=O)c1cnon1)Nc1nccnc1-c1nn[n-]n1 ZINC001574767605 950861033 /nfs/dbraw/zinc/86/10/33/950861033.db2.gz SYAZTXYIURMHPU-SSDOTTSWSA-N -1 1 330.312 -0.388 20 0 EBADMM C[C@H]1[C@H](Nc2nccnc2-c2nnn[n-]2)CCN1C(=O)c1cnon1 ZINC001575936685 950921514 /nfs/dbraw/zinc/92/15/14/950921514.db2.gz HPDSSRWFAPIZDH-JGVFFNPUSA-N -1 1 342.323 -0.245 20 0 EBADMM C[C@H]1[C@H](Nc2nccnc2-c2nn[n-]n2)CCN1C(=O)c1cnon1 ZINC001575936685 950921526 /nfs/dbraw/zinc/92/15/26/950921526.db2.gz HPDSSRWFAPIZDH-JGVFFNPUSA-N -1 1 342.323 -0.245 20 0 EBADMM C[C@H]1C[C@@H](C(=O)N(C)CCN(C)c2ccnc(-c3nn[n-]n3)n2)CO1 ZINC001575938392 950976409 /nfs/dbraw/zinc/97/64/09/950976409.db2.gz KPQAOFDMFUPIRK-WDEREUQCSA-N -1 1 346.395 -0.024 20 0 EBADMM C[C@@H](CNC(=O)c1cccn1C)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575032140 951216541 /nfs/dbraw/zinc/21/65/41/951216541.db2.gz RUQXPPBFCYGQJD-SNVBAGLBSA-N -1 1 341.379 -0.105 20 0 EBADMM C[C@@H](CNC(=O)c1ccncn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575033979 951235424 /nfs/dbraw/zinc/23/54/24/951235424.db2.gz FVQVVARYPGWNMV-QMMMGPOBSA-N -1 1 326.324 -0.901 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)c(=O)[nH]1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575042628 951365822 /nfs/dbraw/zinc/36/58/22/951365822.db2.gz LIXZMOIEHWGKPB-ZETCQYMHSA-N -1 1 344.339 -0.674 20 0 EBADMM C[C@H](CNC(=O)c1cncn1C)CNc1nccnc1-c1nnn[n-]1 ZINC001575045399 951427759 /nfs/dbraw/zinc/42/77/59/951427759.db2.gz BPQVVRVPJZAOIJ-VIFPVBQESA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@H](CNC(=O)c1cncn1C)CNc1nccnc1-c1nn[n-]n1 ZINC001575045399 951427769 /nfs/dbraw/zinc/42/77/69/951427769.db2.gz BPQVVRVPJZAOIJ-VIFPVBQESA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@@H](CNC(=O)c1cnccn1)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001575045577 951431247 /nfs/dbraw/zinc/43/12/47/951431247.db2.gz DREWFTFBTAZSBH-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1cnccn1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001575045577 951431259 /nfs/dbraw/zinc/43/12/59/951431259.db2.gz DREWFTFBTAZSBH-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1cncn1C)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575045766 951433177 /nfs/dbraw/zinc/43/31/77/951433177.db2.gz HHBVZHHKUKHISS-SECBINFHSA-N -1 1 342.367 -0.710 20 0 EBADMM C[C@@H](CNC(=O)c1cnon1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575053414 951477996 /nfs/dbraw/zinc/47/79/96/951477996.db2.gz CHJNHAJXCRUUPE-ZETCQYMHSA-N -1 1 330.312 -0.706 20 0 EBADMM C[C@@H](CNC(=O)c1cnon1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575053414 951478002 /nfs/dbraw/zinc/47/80/02/951478002.db2.gz CHJNHAJXCRUUPE-ZETCQYMHSA-N -1 1 330.312 -0.706 20 0 EBADMM C[C@@H](CNC(=O)c1cnon1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001575054268 951492306 /nfs/dbraw/zinc/49/23/06/951492306.db2.gz HIFOQECXMDQREF-ZETCQYMHSA-N -1 1 330.312 -0.706 20 0 EBADMM C[C@@H](CNC(=O)c1cnon1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575054268 951492311 /nfs/dbraw/zinc/49/23/11/951492311.db2.gz HIFOQECXMDQREF-ZETCQYMHSA-N -1 1 330.312 -0.706 20 0 EBADMM C[C@H](CNC(=O)c1cnon1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575055679 951512086 /nfs/dbraw/zinc/51/20/86/951512086.db2.gz ZUEUIAVXVGVPGO-ZETCQYMHSA-N -1 1 330.312 -0.482 20 0 EBADMM C[C@H](CNC(=O)c1cnon1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575055679 951512093 /nfs/dbraw/zinc/51/20/93/951512093.db2.gz ZUEUIAVXVGVPGO-ZETCQYMHSA-N -1 1 330.312 -0.482 20 0 EBADMM C[C@H](CNC(=O)c1csnn1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575060592 951543402 /nfs/dbraw/zinc/54/34/02/951543402.db2.gz ALQYBIYOVINLDW-SSDOTTSWSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@H](CNC(=O)c1csnn1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575060592 951543412 /nfs/dbraw/zinc/54/34/12/951543412.db2.gz ALQYBIYOVINLDW-SSDOTTSWSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@@H](CNC(=O)c1csnn1)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001575060736 951548047 /nfs/dbraw/zinc/54/80/47/951548047.db2.gz FEJUIGIPCRYZPD-SSDOTTSWSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@@H](CNC(=O)c1csnn1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001575060736 951548055 /nfs/dbraw/zinc/54/80/55/951548055.db2.gz FEJUIGIPCRYZPD-SSDOTTSWSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@H](CNC(=O)c1n[nH]cc1F)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575060725 951548354 /nfs/dbraw/zinc/54/83/54/951548354.db2.gz FALLQGOTPAWFKN-ZETCQYMHSA-N -1 1 346.330 -0.003 20 0 EBADMM C[C@H](CNC(=O)c1n[nH]cc1F)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575060725 951548361 /nfs/dbraw/zinc/54/83/61/951548361.db2.gz FALLQGOTPAWFKN-ZETCQYMHSA-N -1 1 346.330 -0.003 20 0 EBADMM C[C@H](CNC(=O)c1csnn1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001575060895 951551623 /nfs/dbraw/zinc/55/16/23/951551623.db2.gz JBRNLBHTUPQTEH-ZETCQYMHSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@H](CNC(=O)c1csnn1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575060895 951551633 /nfs/dbraw/zinc/55/16/33/951551633.db2.gz JBRNLBHTUPQTEH-ZETCQYMHSA-N -1 1 346.380 -0.014 20 0 EBADMM CN1CCC(O)(CNS(=O)(=O)N2CCC(C(=O)[O-])CC2)CC1 ZINC000397563288 951569623 /nfs/dbraw/zinc/56/96/23/951569623.db2.gz TZVABFFFMHFGHC-UHFFFAOYSA-N -1 1 335.426 -0.926 20 0 EBADMM C[C@@H](CNC(=O)c1nccs1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575067478 951614226 /nfs/dbraw/zinc/61/42/26/951614226.db2.gz MKGVEBWYPMGRQW-ZETCQYMHSA-N -1 1 331.365 -0.235 20 0 EBADMM O=C([O-])CN1CCCC[C@@H](N[C@@H]2C(=O)NCC23CCOCC3)C1=O ZINC001595095397 951809976 /nfs/dbraw/zinc/80/99/76/951809976.db2.gz DOOJNNPONUIAAD-DGCLKSJQSA-N -1 1 339.392 -0.663 20 0 EBADMM O=C([O-])CNCc1cn(CC(=O)N2CCO[C@H]3CCCC[C@@H]32)nn1 ZINC001595102830 951865870 /nfs/dbraw/zinc/86/58/70/951865870.db2.gz VKQKEEHGIHWZNW-STQMWFEESA-N -1 1 337.380 -0.378 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)c1cc(-c2nn[nH]n2)c[nH]1 ZINC001575119295 951987743 /nfs/dbraw/zinc/98/77/43/951987743.db2.gz WQGCDXZOVICREX-SSDOTTSWSA-N -1 1 346.355 -0.731 20 0 EBADMM O=C([O-])Cn1cc(C(=O)N2CCC(CN3CCOCC3)CC2)nn1 ZINC001595141691 952083933 /nfs/dbraw/zinc/08/39/33/952083933.db2.gz CTXRRCGRJHKCHS-UHFFFAOYSA-N -1 1 337.380 -0.453 20 0 EBADMM C[C@@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)[C@]12C[C@H]1COC2 ZINC001575136478 952126583 /nfs/dbraw/zinc/12/65/83/952126583.db2.gz VLTPBAJWAGTYDQ-YHAQOWFVSA-N -1 1 344.379 -0.048 20 0 EBADMM C[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)[C@]12C[C@H]1COC2 ZINC001575136478 952126587 /nfs/dbraw/zinc/12/65/87/952126587.db2.gz VLTPBAJWAGTYDQ-YHAQOWFVSA-N -1 1 344.379 -0.048 20 0 EBADMM O=C([O-])[C@@H]1CCCN1S(=O)(=O)N1CCC([N@H+]2CC[C@H](O)C2)CC1 ZINC001595168561 952215283 /nfs/dbraw/zinc/21/52/83/952215283.db2.gz YPUORXLKTOPQHS-STQMWFEESA-N -1 1 347.437 -0.689 20 0 EBADMM O=C([O-])[C@@H]1CCCN1S(=O)(=O)NC[C@H]1CCN1C1CCOCC1 ZINC001595169095 952217368 /nfs/dbraw/zinc/21/73/68/952217368.db2.gz IEWKTFBFFVJXAL-OLZOCXBDSA-N -1 1 347.437 -0.377 20 0 EBADMM O=C([O-])[C@@H]1CC[C@H]1C(=O)N1CCC(O)(CN2CCOCC2)CC1 ZINC001595177398 952239475 /nfs/dbraw/zinc/23/94/75/952239475.db2.gz GILYBBVYHPKIEF-CHWSQXEVSA-N -1 1 326.393 -0.217 20 0 EBADMM C[C@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)Cn1cccn1 ZINC001575157313 952263203 /nfs/dbraw/zinc/26/32/03/952263203.db2.gz LCPONFNSXUGBAY-SNVBAGLBSA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)Cn1cccn1 ZINC001575157313 952263208 /nfs/dbraw/zinc/26/32/08/952263208.db2.gz LCPONFNSXUGBAY-SNVBAGLBSA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)[C@H]1COC(=O)N1 ZINC001575174046 952363605 /nfs/dbraw/zinc/36/36/05/952363605.db2.gz FYXRUSKRGHMNNL-HTQZYQBOSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)[C@H]1COC(=O)N1 ZINC001575174046 952363612 /nfs/dbraw/zinc/36/36/12/952363612.db2.gz FYXRUSKRGHMNNL-HTQZYQBOSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)Cc1ncc[nH]1 ZINC001575177145 952399086 /nfs/dbraw/zinc/39/90/86/952399086.db2.gz GTEBRMFJGMVVAI-VIFPVBQESA-N -1 1 342.367 -0.119 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)Cc1ncc[nH]1 ZINC001575177145 952399093 /nfs/dbraw/zinc/39/90/93/952399093.db2.gz GTEBRMFJGMVVAI-VIFPVBQESA-N -1 1 342.367 -0.119 20 0 EBADMM CC(CO)(CO)CNS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC001575177905 952403982 /nfs/dbraw/zinc/40/39/82/952403982.db2.gz NRCZRERWGUQACQ-UHFFFAOYSA-N -1 1 345.356 -0.725 20 0 EBADMM CC(CO)(CO)CNS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC001575177905 952403985 /nfs/dbraw/zinc/40/39/85/952403985.db2.gz NRCZRERWGUQACQ-UHFFFAOYSA-N -1 1 345.356 -0.725 20 0 EBADMM C[C@](CO)(NC(=O)Cn1cnc(-c2nn[n-]n2)n1)c1ccc(O)cc1 ZINC001575178952 952410559 /nfs/dbraw/zinc/41/05/59/952410559.db2.gz RAIIRIAPACNUIC-CQSZACIVSA-N -1 1 344.335 -0.812 20 0 EBADMM C[C@H](COCC1CC1)NC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001575179638 952413313 /nfs/dbraw/zinc/41/33/13/952413313.db2.gz WQALRNPQRJFGLC-MRVPVSSYSA-N -1 1 349.351 -0.940 20 0 EBADMM C[C@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)c1ccn(C)n1 ZINC001575180145 952415932 /nfs/dbraw/zinc/41/59/32/952415932.db2.gz SCEZLDJXHJILJH-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)c1ccn(C)n1 ZINC001575180145 952415943 /nfs/dbraw/zinc/41/59/43/952415943.db2.gz SCEZLDJXHJILJH-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM CN(C)S(=O)(=O)c1cc(-c2nc([C@@H](N)CC(=O)[O-])no2)n(C)c1 ZINC001593708326 954160237 /nfs/dbraw/zinc/16/02/37/954160237.db2.gz SKOABDCROREZRW-QMMMGPOBSA-N -1 1 343.365 -0.200 20 0 EBADMM C[C@H]1CN(C(=O)NC2CCN(CC(=O)[O-])CC2)CCS(=O)(=O)C1 ZINC001589377965 954279332 /nfs/dbraw/zinc/27/93/32/954279332.db2.gz WYOWXCBOXMJSMD-NSHDSACASA-N -1 1 347.437 -0.389 20 0 EBADMM O=C([O-])[C@@H]1CC(=O)N(C2CCN(CCN3CCOC3=O)CC2)C1 ZINC001594898530 954592913 /nfs/dbraw/zinc/59/29/13/954592913.db2.gz MZUASDZWMPUDRG-LLVKDONJSA-N -1 1 325.365 -0.164 20 0 EBADMM CC(C)[C@@]1(C)NC(=O)N(CN2C[C@@H]3COC[C@]3(C(=O)[O-])C2)C1=O ZINC001602993211 972029189 /nfs/dbraw/zinc/02/91/89/972029189.db2.gz HJADVHVNPMYOSX-VCTAVGKDSA-N -1 1 325.365 -0.057 20 0 EBADMM CC(C)C[C@H](CNC(=O)C(=O)NC[C@H]1CN(C)CCN1C)C(=O)[O-] ZINC001603004732 972055386 /nfs/dbraw/zinc/05/53/86/972055386.db2.gz KMKIQDXRESOTQO-OLZOCXBDSA-N -1 1 342.440 -0.789 20 0 EBADMM C[C@@H](CNC(=O)N[C@H]1CCCN(CCN2CCOCC2)C1)C(=O)[O-] ZINC001589052125 955672969 /nfs/dbraw/zinc/67/29/69/955672969.db2.gz QGIZCJFAYKSYKR-KBPBESRZSA-N -1 1 342.440 -0.197 20 0 EBADMM C[C@@H](NC(=O)N1C[C@H]2COC[C@@]2(C(=O)[O-])C1)[C@@H]1CN(C)CCN1C ZINC001589103362 956022233 /nfs/dbraw/zinc/02/22/33/956022233.db2.gz NLTANUWXBSHZLA-DVZHBHJUSA-N -1 1 340.424 -0.637 20 0 EBADMM Cn1nccc1[C@H]1c2[nH]cnc2CCN1C(=O)[C@H]1CC(C(=O)[O-])=NO1 ZINC001594596317 956092551 /nfs/dbraw/zinc/09/25/51/956092551.db2.gz DLQKCNCDKVZDEY-YPMHNXCESA-N -1 1 344.331 -0.153 20 0 EBADMM COC[C@]1(C(=O)[O-])CCN(CN2CC3(CCOCC3)[C@@H](O)C2=O)C1 ZINC001593967734 956576132 /nfs/dbraw/zinc/57/61/32/956576132.db2.gz VJRFADFBVALMGN-LRDDRELGSA-N -1 1 342.392 -0.633 20 0 EBADMM COCCN1CCC[C@H]1CNC(=O)C(=O)N[C@H]1C[C@H](C(=O)[O-])C1 ZINC001594014666 957020580 /nfs/dbraw/zinc/02/05/80/957020580.db2.gz IMXNHUONQAWXJF-SRVKXCTJSA-N -1 1 327.381 -0.807 20 0 EBADMM COCCN1CCC[C@H]1CNC(=O)C(=O)N1CCC[C@H](C(=O)[O-])C1 ZINC001594014683 957021225 /nfs/dbraw/zinc/02/12/25/957021225.db2.gz JHDCITNDSFTBKN-STQMWFEESA-N -1 1 341.408 -0.463 20 0 EBADMM COCCN1CC[C@H](NC(=O)N2CC[C@@](COC)(C(=O)[O-])C2)C1 ZINC001594014774 957023361 /nfs/dbraw/zinc/02/33/61/957023361.db2.gz MIHKZTDNYRMXFZ-SWLSCSKDSA-N -1 1 329.397 -0.160 20 0 EBADMM O=C([O-])c1csc(S(=O)(=O)NCC(=O)N2CCNCC2)c1 ZINC000048839468 957465758 /nfs/dbraw/zinc/46/57/58/957465758.db2.gz HBHPJJDYKQPYJI-UHFFFAOYSA-N -1 1 333.391 -0.844 20 0 EBADMM COCc1nc(CNC(=O)C(=O)Nc2cccc(C(=O)[O-])c2)n[nH]1 ZINC001594032393 957771029 /nfs/dbraw/zinc/77/10/29/957771029.db2.gz BGKKCUWCLWLJRF-UHFFFAOYSA-N -1 1 333.304 -0.096 20 0 EBADMM COCc1nnc(CNC(=O)C(=O)Nc2cccc(C(=O)[O-])c2)[nH]1 ZINC001594032393 957771033 /nfs/dbraw/zinc/77/10/33/957771033.db2.gz BGKKCUWCLWLJRF-UHFFFAOYSA-N -1 1 333.304 -0.096 20 0 EBADMM Cc1nc([C@H]2CCCN(C(=O)C(=O)N3CC[C@@H](C(=O)[O-])C3)C2)n[nH]1 ZINC001594451416 959373839 /nfs/dbraw/zinc/37/38/39/959373839.db2.gz AMKXZNXQCADOSC-WDEREUQCSA-N -1 1 335.364 -0.248 20 0 EBADMM CS(=O)(=O)Cc1nc(CNC(=O)[C@@]2(C(=O)[O-])CC=CCC2)n[nH]1 ZINC001574243237 960474128 /nfs/dbraw/zinc/47/41/28/960474128.db2.gz TUNZWLUEJNNDNX-CYBMUJFWSA-N -1 1 342.377 -0.223 20 0 EBADMM CC(C)(CNC(=O)CNC1(C(=O)[O-])CCOCC1)N1CCOCC1 ZINC001588779095 961293232 /nfs/dbraw/zinc/29/32/32/961293232.db2.gz HILOIZXYGBGFAI-UHFFFAOYSA-N -1 1 343.424 -0.563 20 0 EBADMM Cc1nn2cccnc2c1S(=O)(=O)N(CCN(C)C)CC(=O)[O-] ZINC001574436534 961420999 /nfs/dbraw/zinc/42/09/99/961420999.db2.gz XRZDXRKSZPQRDO-UHFFFAOYSA-N -1 1 341.393 -0.325 20 0 EBADMM CN1C[C@@H]2CC[C@@H](NC(=O)C3(C(=O)[O-])CCS(=O)(=O)CC3)[C@H]2C1 ZINC001574021406 961963441 /nfs/dbraw/zinc/96/34/41/961963441.db2.gz BDDMDNGCBHUYQE-SDDRHHMPSA-N -1 1 344.433 -0.278 20 0 EBADMM CC(=O)N1CCC(NC(=O)CNC2(C(=O)[O-])CCOCC2)CC1 ZINC001571176083 962105329 /nfs/dbraw/zinc/10/53/29/962105329.db2.gz SCJQPAIYPUVMIS-UHFFFAOYSA-N -1 1 327.381 -0.663 20 0 EBADMM C[C@@H]1C[C@H](Nc2ccnc(C(=O)[O-])n2)CN1C(=O)Cc1ccn[nH]1 ZINC001571193677 962294620 /nfs/dbraw/zinc/29/46/20/962294620.db2.gz YICDUOZLBKDOHP-KOLCDFICSA-N -1 1 330.348 -0.036 20 0 EBADMM Cc1nn[nH]c1C(=O)N1C[C@@H](Nc2ccnc(C(=O)[O-])n2)C[C@@H]1C ZINC001571195745 962304726 /nfs/dbraw/zinc/30/47/26/962304726.db2.gz DZMZARUITKSBKY-CBAPKCEASA-N -1 1 331.336 -0.262 20 0 EBADMM Cc1nn[nH]c1C(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001571211213 962454928 /nfs/dbraw/zinc/45/49/28/962454928.db2.gz XEOGEAXZRUYZJB-YTWAJWBKSA-N -1 1 333.348 -0.351 20 0 EBADMM O=C([O-])[C@@H]1CS(=O)(=O)CCN1C(=O)C1CCN(C2CC2)CC1 ZINC001574669366 962542489 /nfs/dbraw/zinc/54/24/89/962542489.db2.gz RDVKUABCRMRIPK-LBPRGKRZSA-N -1 1 330.406 -0.429 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CCC(CNC(=O)[C@@H]2C[C@H]2C(=O)[O-])CC1 ZINC001571223915 962565423 /nfs/dbraw/zinc/56/54/23/962565423.db2.gz LPMJLBZPSNTNQW-GHMZBOCLSA-N -1 1 335.364 -0.198 20 0 EBADMM CN(C(=O)Cc1ncn[nH]1)C1CCN(C(=O)[C@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001571224483 962576715 /nfs/dbraw/zinc/57/67/15/962576715.db2.gz XJPODBPUJBSCTL-QWRGUYRKSA-N -1 1 335.364 -0.483 20 0 EBADMM CC(C)[C@@H](NCC(=O)NC1CCN(S(C)(=O)=O)CC1)C(=O)[O-] ZINC001571225067 962584073 /nfs/dbraw/zinc/58/40/73/962584073.db2.gz ICIXMBVJIDCUKG-GFCCVEGCSA-N -1 1 335.426 -0.775 20 0 EBADMM COC[C@@]1(C(=O)[O-])CN(S(=O)(=O)c2ccccc2O)CCO1 ZINC001574143277 962599569 /nfs/dbraw/zinc/59/95/69/962599569.db2.gz OZCNXLFWQMQKNV-CYBMUJFWSA-N -1 1 331.346 -0.117 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)C(=O)N2CC[C@@H](C(=O)[O-])C2)C1 ZINC001609805689 970538134 /nfs/dbraw/zinc/53/81/34/970538134.db2.gz MVUMGRNVEJFGNI-CHWSQXEVSA-N -1 1 341.408 -0.608 20 0 EBADMM CS(=O)(=O)N1CCC(C(=O)N[C@H](Cc2cnc[nH]2)C(=O)[O-])CC1 ZINC000320652394 970692045 /nfs/dbraw/zinc/69/20/45/970692045.db2.gz KGOBVUKJCTWDHY-LLVKDONJSA-N -1 1 344.393 -0.807 20 0 EBADMM CN(CC1CN(C)C1)C(=O)C(=O)Nc1ccn(C(C)(C)C(=O)[O-])n1 ZINC001604200850 972652333 /nfs/dbraw/zinc/65/23/33/972652333.db2.gz JPZFFEDPVKHREQ-UHFFFAOYSA-N -1 1 337.380 -0.339 20 0 EBADMM CN1CC[C@@H]1CNC(=O)N1CCC(N2C[C@@H](C(=O)[O-])CC2=O)CC1 ZINC001604211139 972692361 /nfs/dbraw/zinc/69/23/61/972692361.db2.gz YWYAZDUQMVXXHM-WCQYABFASA-N -1 1 338.408 -0.202 20 0 EBADMM C[C@]1(NC(=O)C(F)(F)F)CCN(C(=O)[C@@H]2CC(C(=O)[O-])=NO2)C1 ZINC001603346064 972746344 /nfs/dbraw/zinc/74/63/44/972746344.db2.gz DGXZTPBGMXYUGC-CPCISQLKSA-N -1 1 337.254 -0.115 20 0 EBADMM O=C([O-])[C@@H]1CC(=O)N(C2CCN(C(=O)CCc3nc[nH]n3)CC2)C1 ZINC001605974873 972997812 /nfs/dbraw/zinc/99/78/12/972997812.db2.gz ZZIRNRUOLWMKBO-SNVBAGLBSA-N -1 1 335.364 -0.339 20 0 EBADMM Cn1cc(CN2CCC[C@@H](NC(=O)C(F)(F)F)[C@@H]2C(=O)[O-])nn1 ZINC001605496375 973660967 /nfs/dbraw/zinc/66/09/67/973660967.db2.gz BGHVJGIGYSDBPJ-RKDXNWHRSA-N -1 1 335.286 -0.089 20 0 EBADMM O=C([O-])c1cc(S(=O)(=O)NCCN2C(=O)CCCC2=O)on1 ZINC000405471330 973887053 /nfs/dbraw/zinc/88/70/53/973887053.db2.gz FMZCHKGLSACCNP-UHFFFAOYSA-N -1 1 331.306 -0.810 20 0 EBADMM NC(=O)[C@@H]1CCCN1CCCNC(=O)C1(C(=O)[O-])CCOCC1 ZINC001605635355 973993302 /nfs/dbraw/zinc/99/33/02/973993302.db2.gz VBXFDCLUBCAMAS-NSHDSACASA-N -1 1 327.381 -0.676 20 0 EBADMM NS(=O)(=O)c1ccc(CN2C[C@@H]3COC[C@]3(C(=O)[O-])C2)s1 ZINC001605649949 974023963 /nfs/dbraw/zinc/02/39/63/974023963.db2.gz OENLCCAUOMHGOO-PRHODGIISA-N -1 1 332.403 -0.072 20 0 EBADMM CCCN(C(=O)C(=O)Nc1ccn(CC(=O)[O-])n1)[C@@H]1CCN(C)C1 ZINC001603654987 974026194 /nfs/dbraw/zinc/02/61/94/974026194.db2.gz NCEBIBAEMOCZDX-LLVKDONJSA-N -1 1 337.380 -0.151 20 0 EBADMM CCc1nc(CNC(=O)N[C@H]2CCCCN(CC(=O)[O-])C2=O)n[nH]1 ZINC001603984146 974488852 /nfs/dbraw/zinc/48/88/52/974488852.db2.gz ZQHSQRGLFWBYLR-VIFPVBQESA-N -1 1 338.368 -0.368 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)c1cccc(-n2cnnn2)c1 ZINC000585868720 974961324 /nfs/dbraw/zinc/96/13/24/974961324.db2.gz VMTTXBULGGDBNX-LBPRGKRZSA-N -1 1 327.304 -0.189 20 0 EBADMM CC(C)OCCN1CCN(CCNC(=O)[C@H]2C[C@H]2C(=O)[O-])CC1 ZINC001591725076 975676659 /nfs/dbraw/zinc/67/66/59/975676659.db2.gz TZBCEMIHTCJZTF-UONOGXRCSA-N -1 1 327.425 -0.134 20 0 EBADMM CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N1C[C@H](O)C[C@@H]1C(=O)[O-] ZINC001591858966 976152715 /nfs/dbraw/zinc/15/27/15/976152715.db2.gz LXQIEIHBMJMTFS-PRJMDXOYSA-N -1 1 326.271 -0.264 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)Cc2nnn(C(C)(C)C)n2)CC1 ZINC001592410346 978001106 /nfs/dbraw/zinc/00/11/06/978001106.db2.gz GSBSAHUBZFQEDD-LLVKDONJSA-N -1 1 338.412 -0.022 20 0 EBADMM C[C@H](CS(=O)(=O)N[C@H](CO)C(=O)OCc1ccccc1)C(=O)[O-] ZINC001592806006 979466394 /nfs/dbraw/zinc/46/63/94/979466394.db2.gz BEYRVIHOHLAIJA-ZYHUDNBSSA-N -1 1 345.373 -0.269 20 0 EBADMM CC[C@@H](CO)N1CCN(C(=O)Cn2cccc(C(=O)[O-])c2=O)CC1 ZINC001595174169 979754386 /nfs/dbraw/zinc/75/43/86/979754386.db2.gz LQHQHANEWLPOPO-LBPRGKRZSA-N -1 1 337.376 -0.538 20 0 EBADMM CC[C@H](C)[C@H](NC(=O)CN1CCN(C)C[C@H](C(=O)OC)C1)C(=O)[O-] ZINC001594894347 982319388 /nfs/dbraw/zinc/31/93/88/982319388.db2.gz QLSSCDYKXVOGAD-OBJOEFQTSA-N -1 1 343.424 -0.362 20 0 EBADMM CC[C@H](C)[C@H](NC(=O)CN1CCN(C)C[C@@H](C(=O)OC)C1)C(=O)[O-] ZINC001594894349 982319751 /nfs/dbraw/zinc/31/97/51/982319751.db2.gz QLSSCDYKXVOGAD-SCRDCRAPSA-N -1 1 343.424 -0.362 20 0 EBADMM CCN(CCNC(=O)CCc1nc[nH]n1)c1ccnc(C(=O)[O-])n1 ZINC001596334036 983775106 /nfs/dbraw/zinc/77/51/06/983775106.db2.gz YRYSCTPYNDQKTB-UHFFFAOYSA-N -1 1 333.352 -0.132 20 0 EBADMM CCOC(=O)C1CCN(C(=O)CN2CC[C@@](O)(C(=O)[O-])C2)CC1 ZINC001596575753 984479705 /nfs/dbraw/zinc/47/97/05/984479705.db2.gz AUHOJNLIHFNRRO-HNNXBMFYSA-N -1 1 328.365 -0.691 20 0 EBADMM C[C@H]1CN(C(=O)C(=O)NCc2ccc(Cl)c(C(=O)[O-])n2)CCN1 ZINC001599912181 984999329 /nfs/dbraw/zinc/99/93/29/984999329.db2.gz PHFCEEWSFNOJEY-QMMMGPOBSA-N -1 1 340.767 -0.130 20 0 EBADMM CC(=O)N1CCN(C(=O)[C@H](C)N[C@@H](C[C@H]2CCCO2)C(=O)[O-])CC1 ZINC001589080339 985244642 /nfs/dbraw/zinc/24/46/42/985244642.db2.gz WNSZQMGYAWZZOK-YUTCNCBUSA-N -1 1 341.408 -0.323 20 0 EBADMM CC(=O)N[C@@H]1CCCN(C(=O)CN[C@H](C[C@@H]2CCCO2)C(=O)[O-])C1 ZINC001589119887 985382674 /nfs/dbraw/zinc/38/26/74/985382674.db2.gz JVHVYGVLTPPEKU-HZSPNIEDSA-N -1 1 341.408 -0.275 20 0 EBADMM C[C@@H]1CN(C(=O)NC[C@H]2CCO[C@H]2C(=O)[O-])[C@@H](C)CN1CCO ZINC001594475739 985487497 /nfs/dbraw/zinc/48/74/97/985487497.db2.gz NJQJTKGACWDUFU-YVECIDJPSA-N -1 1 329.397 -0.427 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)CCN1C(=O)CCC1=O ZINC001594516060 985892961 /nfs/dbraw/zinc/89/29/61/985892961.db2.gz RYJBJPRUKZLFHH-NSHDSACASA-N -1 1 325.365 -0.467 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NC[C@H]1CCCNC1=O ZINC001594516304 985899458 /nfs/dbraw/zinc/89/94/58/985899458.db2.gz NTDIPLBTIAXEHT-NWDGAFQWSA-N -1 1 326.397 -0.297 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NC[C@@H]1C[C@@H]2COC[C@@H]2O1 ZINC001594516495 985905860 /nfs/dbraw/zinc/90/58/60/985905860.db2.gz OXNUVALWCRHHSB-MQYQWHSLSA-N -1 1 341.408 -0.019 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)CCCN1C(=O)CCC1=O ZINC001594516564 985909445 /nfs/dbraw/zinc/90/94/45/985909445.db2.gz YAMNYTRUTKHRDN-GFCCVEGCSA-N -1 1 339.392 -0.077 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NCC[C@]1(O)CCOC1 ZINC001594516672 985910167 /nfs/dbraw/zinc/91/01/67/985910167.db2.gz QHUADUGKPAURBM-WFASDCNBSA-N -1 1 329.397 -0.282 20 0 EBADMM C[C@H]1OCC[C@@H]1S(=O)(=O)N(CCN1CCN(C)CC1)CC(=O)[O-] ZINC001594649216 986862024 /nfs/dbraw/zinc/86/20/24/986862024.db2.gz TUSZXFFAQKCLMZ-OLZOCXBDSA-N -1 1 349.453 -0.872 20 0 EBADMM CSCc1n[nH]c(CNC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)[O-])n1 ZINC001599805785 988253666 /nfs/dbraw/zinc/25/36/66/988253666.db2.gz XNYFTRNEYPHJMM-BQBZGAKWSA-N -1 1 344.397 -0.555 20 0 EBADMM CSCc1nnc(CNC(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)[O-])[nH]1 ZINC001599805785 988253673 /nfs/dbraw/zinc/25/36/73/988253673.db2.gz XNYFTRNEYPHJMM-BQBZGAKWSA-N -1 1 344.397 -0.555 20 0 EBADMM C[C@@H]1C[C@H](C(=O)N2CCSC[C@H]2c2nn[nH]n2)O[C@H]1C(=O)[O-] ZINC001599873803 989391210 /nfs/dbraw/zinc/39/12/10/989391210.db2.gz INSXBLNVYBQDIQ-BZNPZCIMSA-N -1 1 327.366 -0.306 20 0 EBADMM COc1ccncc1NC(=O)C(=O)N1CCN(C)C[C@H](C(=O)[O-])C1 ZINC001599722145 991557687 /nfs/dbraw/zinc/55/76/87/991557687.db2.gz XRDGQXGTBFKTRG-JTQLQIEISA-N -1 1 336.348 -0.497 20 0 EBADMM CC(C)[C@H]1CNCCN1C(=O)C(=O)N1CCO[C@H](CC(=O)[O-])C1 ZINC001591096816 991694188 /nfs/dbraw/zinc/69/41/88/991694188.db2.gz UIQRPVKJFGOISB-VXGBXAGGSA-N -1 1 327.381 -0.855 20 0 EBADMM CC(C)C[C@H](NC(=O)CN1CCN(CCO)C(C)(C)C1)C(=O)[O-] ZINC001591167262 991932010 /nfs/dbraw/zinc/93/20/10/991932010.db2.gz BWMWXGBSKWVQAP-ZDUSSCGKSA-N -1 1 329.441 -0.010 20 0 EBADMM C[N@@H+](CCNC(=O)c1ccccc1[N-]S(C)(=O)=O)CC(=O)[O-] ZINC001598493267 992334226 /nfs/dbraw/zinc/33/42/26/992334226.db2.gz UVGWOZNJQOFWAH-UHFFFAOYSA-N -1 1 329.378 -0.196 20 0 EBADMM C[N@@H+](CCNS(=O)(=O)c1cn[n-]c1C(F)(F)F)CC(=O)[O-] ZINC001598494408 992343263 /nfs/dbraw/zinc/34/32/63/992343263.db2.gz VEPKDMWABKPUNP-UHFFFAOYSA-N -1 1 330.288 -0.277 20 0 EBADMM CC(C)[N@@H+](CCCNC(=O)[C@@H]1C[C@@H]1C(=O)[O-])Cc1n[nH]c(=O)[n-]1 ZINC001591590752 992802834 /nfs/dbraw/zinc/80/28/34/992802834.db2.gz GELSILPWJGNNQK-ZJUUUORDSA-N -1 1 325.369 -0.052 20 0 EBADMM CN1CC[C@](O)(CNS(=O)(=O)c2ccc(F)c(C(=O)[O-])c2)C1 ZINC001598585513 993376865 /nfs/dbraw/zinc/37/68/65/993376865.db2.gz HCHFVHAGSJWAJI-ZDUSSCGKSA-N -1 1 332.353 -0.131 20 0 EBADMM CN1CCCC[C@@H]1C(=O)N[C@@H]1CN(c2ncc(C(=O)[O-])cn2)C[C@H]1O ZINC001598598310 993538321 /nfs/dbraw/zinc/53/83/21/993538321.db2.gz GZTRPQCKJOACEU-JHJVBQTASA-N -1 1 349.391 -0.675 20 0 EBADMM CN1CCN(C(=O)C(=O)Nc2cnn(CC3CC3)c2)C[C@H](C(=O)[O-])C1 ZINC001598603222 993598106 /nfs/dbraw/zinc/59/81/06/993598106.db2.gz CWYJNUPTXWKSPR-GFCCVEGCSA-N -1 1 349.391 -0.294 20 0 EBADMM CN1CCN(C(=O)C(=O)Nc2cccc3c2OCO3)C[C@H](C(=O)[O-])C1 ZINC001598604269 993618681 /nfs/dbraw/zinc/61/86/81/993618681.db2.gz SEWHFTOXJYTCSY-SNVBAGLBSA-N -1 1 349.343 -0.171 20 0 EBADMM CN1CCN(C(=O)C(=O)Nc2cccc3c2OCO3)C[C@@H](C(=O)[O-])C1 ZINC001598604268 993619100 /nfs/dbraw/zinc/61/91/00/993619100.db2.gz SEWHFTOXJYTCSY-JTQLQIEISA-N -1 1 349.343 -0.171 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)Cc2cncs2)CC1 ZINC001598616306 993888102 /nfs/dbraw/zinc/88/81/02/993888102.db2.gz LBRFKZZPHCRBIG-UHFFFAOYSA-N -1 1 326.422 -0.154 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])S(=O)(=O)CC2(F)CC2)CC1 ZINC001598616902 993900773 /nfs/dbraw/zinc/90/07/73/993900773.db2.gz LIILYALKMJRRRH-UHFFFAOYSA-N -1 1 337.417 -0.548 20 0 EBADMM CN1CCN(CC2CN(S(=O)(=O)[C@H]3CC[C@@H](C(=O)[O-])C3)C2)CC1 ZINC001598617077 993906105 /nfs/dbraw/zinc/90/61/05/993906105.db2.gz ROFRWNZSFZZQLK-KGLIPLIRSA-N -1 1 345.465 -0.251 20 0 EBADMM CN1CCN(CC2CN(S(=O)(=O)c3occc3C(=O)[O-])C2)CC1 ZINC001598617162 993908625 /nfs/dbraw/zinc/90/86/25/993908625.db2.gz RYPSUYMVYGDMQK-UHFFFAOYSA-N -1 1 343.405 -0.154 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)[C@H]2CCc3nccn3C2)CC1 ZINC001598617968 993928333 /nfs/dbraw/zinc/92/83/33/993928333.db2.gz NIHJBZOOVPAFIO-AWEZNQCLSA-N -1 1 349.435 -0.394 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)[C@H]2CC23CCOCC3)CC1 ZINC001598618032 993930942 /nfs/dbraw/zinc/93/09/42/993930942.db2.gz QBAQBNGFIXRKSM-CQSZACIVSA-N -1 1 339.436 -0.036 20 0 EBADMM CN1CCN(Cc2ccc(C(=O)N[C@](C)(CO)C(=O)[O-])cc2)CC1 ZINC001598619687 993978512 /nfs/dbraw/zinc/97/85/12/993978512.db2.gz PQFCROYQGANJME-QGZVFWFLSA-N -1 1 335.404 -0.001 20 0 EBADMM CN1CCN(Cc2ccc(C(=O)N3CC(O)(C(=O)[O-])C3)cc2)CC1 ZINC001598619997 993987161 /nfs/dbraw/zinc/98/71/61/993987161.db2.gz WFRSUDSCJATQCJ-UHFFFAOYSA-N -1 1 333.388 -0.295 20 0 EBADMM CNC(=O)Cc1noc(CN2CCN(CCC(=O)[O-])C[C@H]2C)n1 ZINC001598648099 994401165 /nfs/dbraw/zinc/40/11/65/994401165.db2.gz ACDARIHKRJPLJS-SNVBAGLBSA-N -1 1 325.369 -0.661 20 0 EBADMM COC(=O)C1(NC(=O)C[C@H]2COCCN2)CCC(C(=O)[O-])CC1 ZINC001598722102 995492357 /nfs/dbraw/zinc/49/23/57/995492357.db2.gz KYWMEPSXTAADFN-VTWZXRTESA-N -1 1 328.365 -0.332 20 0 EBADMM COC(=O)C1CCN(C(=O)[C@H](C)N2CCC(O)(C(=O)[O-])CC2)CC1 ZINC001598791521 996077353 /nfs/dbraw/zinc/07/73/53/996077353.db2.gz YFYLCOOAKSBBNY-NSHDSACASA-N -1 1 342.392 -0.302 20 0 EBADMM COC(=O)[C@H]1CCN(CCN2CCCS2(=O)=O)[C@@H](C(=O)[O-])C1 ZINC001598801681 996143445 /nfs/dbraw/zinc/14/34/45/996143445.db2.gz SNVDOHUTQHBSAD-WDEREUQCSA-N -1 1 334.394 -0.640 20 0 EBADMM COc1cc(C(=O)[O-])ccc1OC[C@@H](O)CN(C)[C@@H]1COC[C@H]1O ZINC001599381414 996859098 /nfs/dbraw/zinc/85/90/98/996859098.db2.gz WEEBZKWUZLYEEP-YNEHKIRRSA-N -1 1 341.360 -0.175 20 0 EBADMM COC(=O)[C@@H]1C[C@@H]1CNC(=O)N1CCN(CCC(=O)[O-])C[C@H]1C ZINC001598900597 997164154 /nfs/dbraw/zinc/16/41/54/997164154.db2.gz ZMVRTAVEQGNJPL-IJLUTSLNSA-N -1 1 327.381 -0.014 20 0 EBADMM COC(=O)[C@H]1CC[C@@H](C(=O)N2CCN(CCC(=O)[O-])[C@H](C)C2)O1 ZINC001598905361 997254413 /nfs/dbraw/zinc/25/44/13/997254413.db2.gz JXQZIAAEDLCIJI-GRYCIOLGSA-N -1 1 328.365 -0.286 20 0 EBADMM COC[C@H]1CCN(C(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)C1 ZINC001599203954 997370125 /nfs/dbraw/zinc/37/01/25/997370125.db2.gz RBNLJUCOKGUKMS-LBPRGKRZSA-N -1 1 341.408 -0.511 20 0 EBADMM COC[C@@H]1CNCCN1C(=O)C(=O)N1C[C@@H]2CCC[C@]2(C(=O)[O-])C1 ZINC001599207367 997433088 /nfs/dbraw/zinc/43/30/88/997433088.db2.gz KTITXPIIKCUJCB-MKBNYLNASA-N -1 1 339.392 -0.854 20 0 EBADMM CO[C@@H]1CCC[C@H]([C@H](NCC(=O)N2CCNC(=O)C2)C(=O)[O-])C1 ZINC001599111568 997578500 /nfs/dbraw/zinc/57/85/00/997578500.db2.gz XGZXYYRBHXVKPH-WDMOLILDSA-N -1 1 327.381 -0.807 20 0 EBADMM C[C@]1(CNc2cc[nH+]c(C(=O)[O-])n2)CCN(C(=O)c2nnc[n-]2)C1 ZINC001594031791 997609995 /nfs/dbraw/zinc/60/99/95/997609995.db2.gz HFGIWULSNDNVMU-CQSZACIVSA-N -1 1 331.336 -0.321 20 0 EBADMM C[C@]1(NC(=O)Cc2nnc[nH]2)CCN(c2ncc(C(=O)[O-])cn2)C1 ZINC001594053238 997768160 /nfs/dbraw/zinc/76/81/60/997768160.db2.gz QNZIIIMCKCDLMO-AWEZNQCLSA-N -1 1 331.336 -0.379 20 0 EBADMM CC1(NC(=O)[C@H]2C[C@@H]2C(=O)[O-])CCN(C(=O)Cc2ncn[nH]2)CC1 ZINC001594053324 997770064 /nfs/dbraw/zinc/77/00/64/997770064.db2.gz SJYMXIDLDXNUIP-UWVGGRQHSA-N -1 1 335.364 -0.435 20 0 EBADMM COCCCS(=O)(=O)NCCN1CCSC[C@H](C(=O)[O-])C1 ZINC001599230526 997840893 /nfs/dbraw/zinc/84/08/93/997840893.db2.gz WEZZUBQEZULGME-LLVKDONJSA-N -1 1 340.467 -0.308 20 0 EBADMM COCCN(C)S(=O)(=O)[C@@H]1CCCN(C[C@](C)(O)C(=O)[O-])C1 ZINC001599231958 997876804 /nfs/dbraw/zinc/87/68/04/997876804.db2.gz IQVSAPOTHPMISJ-YPMHNXCESA-N -1 1 338.426 -0.806 20 0 EBADMM CO[C@H]1CCn2cc(C(=O)N3CCN(C)C[C@@H](C(=O)[O-])C3)nc2C1 ZINC001599128208 997890384 /nfs/dbraw/zinc/89/03/84/997890384.db2.gz SVFQBHCHTPMLNP-NEPJUHHUSA-N -1 1 336.392 -0.067 20 0 EBADMM COCCN1CC[C@@H](NC(=O)C(=O)NCC(C)(C)CC(=O)[O-])C1 ZINC001599240900 998038870 /nfs/dbraw/zinc/03/88/70/998038870.db2.gz UHLZFZNXSLWMOU-LLVKDONJSA-N -1 1 329.397 -0.560 20 0 EBADMM COCCNC(=O)C1CCN([C@@H]2CCN(CCC(=O)[O-])C2=O)CC1 ZINC001599242684 998074574 /nfs/dbraw/zinc/07/45/74/998074574.db2.gz SQLVXGJQYKZAHQ-CYBMUJFWSA-N -1 1 341.408 -0.463 20 0 EBADMM C[C@H]1CCCN1S(=O)(=O)N(CCN1CCN(C)CC1)CC(=O)[O-] ZINC001594387116 999464272 /nfs/dbraw/zinc/46/42/72/999464272.db2.gz FWBAURHXUPWHPA-ZDUSSCGKSA-N -1 1 348.469 -0.651 20 0 EBADMM C[C@@H]1CN(C(=O)C(=O)N2C[C@H](C(=O)[O-])Oc3ccccc32)CCN1 ZINC001594456362 999659819 /nfs/dbraw/zinc/65/98/19/999659819.db2.gz YTJXLJIJNJSFQJ-ZWNOBZJWSA-N -1 1 333.344 -0.315 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2CC(c3cnn(C)c3)=NO2)CCN1CCC(=O)[O-] ZINC001594463660 999678837 /nfs/dbraw/zinc/67/88/37/999678837.db2.gz XUDZTMCJIXFXFZ-BXUZGUMPSA-N -1 1 349.391 -0.080 20 0 EBADMM C[C@H]1CN(C(=O)Cc2ccn[nH]2)C[C@@H]1Nc1ccnc(C(=O)[O-])n1 ZINC001594471667 999705264 /nfs/dbraw/zinc/70/52/64/999705264.db2.gz ZJHOSASMEXKYBR-ONGXEEELSA-N -1 1 330.348 -0.179 20 0 EBADMM COC[C@@H]([N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F)C(N)=O ZINC001364826841 1132443422 /nfs/dbraw/zinc/44/34/22/1132443422.db2.gz DCHIJKGYCBYBSV-RXMQYKEDSA-N -1 1 330.288 -0.783 20 0 EBADMM COc1nn(C)cc1C[N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C ZINC001364996457 1132514935 /nfs/dbraw/zinc/51/49/35/1132514935.db2.gz HZTYDUBCNZDTTD-LJQANCHMSA-N -1 1 325.416 -0.663 20 0 EBADMM O=C(N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)c1cc2ncccn2n1 ZINC001670532821 1125807150 /nfs/dbraw/zinc/80/71/50/1125807150.db2.gz IQUKKNGEOQAUDT-LLVKDONJSA-N -1 1 342.363 -0.149 20 0 EBADMM CCN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)Cc1cc(C)on1 ZINC001691045188 1125814531 /nfs/dbraw/zinc/81/45/31/1125814531.db2.gz XGFSKMWSYQSVLA-UHFFFAOYSA-N -1 1 349.391 -0.139 20 0 EBADMM NC(=O)C(=O)NCC1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC001687317707 1125824932 /nfs/dbraw/zinc/82/49/32/1125824932.db2.gz AGQHMWGAAITBIP-UHFFFAOYSA-N -1 1 347.375 -0.487 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)CCOCC3CC3)C2)nc1=O ZINC001691140750 1125836900 /nfs/dbraw/zinc/83/69/00/1125836900.db2.gz XIVSHZIZVGTDJP-ZDUSSCGKSA-N -1 1 337.424 -0.137 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)CNC(=O)Nc1ccccc1 ZINC001691415624 1125858531 /nfs/dbraw/zinc/85/85/31/1125858531.db2.gz OEKUPMZKENCLGL-UHFFFAOYSA-N -1 1 347.379 -0.120 20 0 EBADMM CN(CCNC(=O)c1ccc2c(c1)CCO2)Cc1nc(=O)n(C)[n-]1 ZINC001480916530 1125864409 /nfs/dbraw/zinc/86/44/09/1125864409.db2.gz UQNQYGRKBSGBLG-UHFFFAOYSA-N -1 1 331.376 -0.095 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCC(C)(C)NS(C)(=O)=O ZINC001628373073 1125926424 /nfs/dbraw/zinc/92/64/24/1125926424.db2.gz RXGDCXHCMFCMSM-UHFFFAOYSA-N -1 1 334.423 -0.163 20 0 EBADMM Cn1[n-]c(CN(CCNC(=O)CCc2cnccn2)C2CC2)nc1=O ZINC001481193112 1125936447 /nfs/dbraw/zinc/93/64/47/1125936447.db2.gz JIWJFVOCVGDUPQ-UHFFFAOYSA-N -1 1 345.407 -0.388 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)CN1CCCCCCC1=O ZINC001481237790 1125949474 /nfs/dbraw/zinc/94/94/74/1125949474.db2.gz GJTBAPRZZJYFFT-UHFFFAOYSA-N -1 1 338.412 -0.149 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)Cc1ccc2c(c1)NC(=O)C2 ZINC001481241238 1125950575 /nfs/dbraw/zinc/95/05/75/1125950575.db2.gz PXASPAIGPRPCGH-UHFFFAOYSA-N -1 1 344.375 -0.204 20 0 EBADMM CC(C)C[C@]1(C(=O)N(C)CCNCc2n[nH]c(=O)[n-]2)CCNC1=O ZINC001481242891 1125950962 /nfs/dbraw/zinc/95/09/62/1125950962.db2.gz ZDLVIWYFMQHGNJ-OAHLLOKOSA-N -1 1 338.412 -0.389 20 0 EBADMM COc1nccc(C(=O)NCC[C@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001481439771 1125990091 /nfs/dbraw/zinc/99/00/91/1125990091.db2.gz LZSNESVGFMQGAO-JTQLQIEISA-N -1 1 347.379 -0.049 20 0 EBADMM Cn1cc(C(=O)NC2CN(CCC[N-]C(=O)C(F)(F)F)C2)cn1 ZINC001481541146 1126010603 /nfs/dbraw/zinc/01/06/03/1126010603.db2.gz SROHGHCIOUMVLP-UHFFFAOYSA-N -1 1 333.314 -0.097 20 0 EBADMM O=C(CN1CC(CNC(=O)c2ncccc2[O-])C1)NC[C@H]1CCCO1 ZINC001481621559 1126024393 /nfs/dbraw/zinc/02/43/93/1126024393.db2.gz PZIJCCOUHZBPHD-CYBMUJFWSA-N -1 1 348.403 -0.256 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)C3(F)CCCC3)C2)nc1=O ZINC001482193330 1126097442 /nfs/dbraw/zinc/09/74/42/1126097442.db2.gz UAOIAIGKZIJLHZ-NSHDSACASA-N -1 1 341.387 -0.292 20 0 EBADMM O=S(=O)(N=S1(=O)CCCC1)[N-]C[C@@H](CO)[C@@H]1CCOC1 ZINC001414253631 1126107143 /nfs/dbraw/zinc/10/71/43/1126107143.db2.gz FRMWWSIJVULFQC-MNOVXSKESA-N -1 1 326.440 -0.272 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)CNC(=O)c1ccccc1 ZINC001482355442 1126137256 /nfs/dbraw/zinc/13/72/56/1126137256.db2.gz GMJMZRONCMYMBL-NSHDSACASA-N -1 1 346.391 -0.123 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]CCS(=O)(=O)C2CC2)c1 ZINC001414292407 1126157896 /nfs/dbraw/zinc/15/78/96/1126157896.db2.gz HPAVFZBKOVZITR-UHFFFAOYSA-N -1 1 337.375 -0.078 20 0 EBADMM C[C@H](CCNCc1nncs1)NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001482891289 1126300852 /nfs/dbraw/zinc/30/08/52/1126300852.db2.gz PNKQDUADFRSGKO-SSDOTTSWSA-N -1 1 327.370 -0.775 20 0 EBADMM CCN(CCNCc1cccnc1OC)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001482936958 1126304247 /nfs/dbraw/zinc/30/42/47/1126304247.db2.gz UYZHKFOXXWNWHO-UHFFFAOYSA-N -1 1 348.363 -0.062 20 0 EBADMM CC[C@H](CNC(=O)[C@H](C)OC)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001409241408 1126309889 /nfs/dbraw/zinc/30/98/89/1126309889.db2.gz NLNGEMPFBOPARD-DTWKUNHWSA-N -1 1 336.352 -0.923 20 0 EBADMM CC(=O)NC1(C(=O)N[C@H](C)CNCc2n[nH]c(=O)[n-]2)CCCCC1 ZINC001483141664 1126345515 /nfs/dbraw/zinc/34/55/15/1126345515.db2.gz GSOGLRJOGVQYLI-SNVBAGLBSA-N -1 1 338.412 -0.056 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@]1(C)CCCS1(=O)=O ZINC001483175801 1126350383 /nfs/dbraw/zinc/35/03/83/1126350383.db2.gz YVPWHPRSAUEVSR-PELKAZGASA-N -1 1 331.398 -0.928 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CCC(=O)N1)N(C)Cc1cc(=O)n2[n-]ccc2n1 ZINC001409500794 1126371809 /nfs/dbraw/zinc/37/18/09/1126371809.db2.gz HHCHIVDOJOEPJJ-ZYHUDNBSSA-N -1 1 346.391 -0.762 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])CNC(=O)C1CS(=O)(=O)C1 ZINC001409661486 1126389525 /nfs/dbraw/zinc/38/95/25/1126389525.db2.gz AAUNUPIPVMISDT-VIFPVBQESA-N -1 1 341.389 -0.686 20 0 EBADMM Cc1nn(C)cc1CCC(=O)N[C@@H](C)CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001483390963 1126423062 /nfs/dbraw/zinc/42/30/62/1126423062.db2.gz RBIKQHJXVDQKNP-NSHDSACASA-N -1 1 349.439 -0.280 20 0 EBADMM Cn1c(=O)cccc1C(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001483586630 1126437871 /nfs/dbraw/zinc/43/78/71/1126437871.db2.gz WKTNVKQNWNQEFT-SNVBAGLBSA-N -1 1 332.364 -0.493 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)CCc2cccnc2)nc1=O ZINC001483667115 1126455428 /nfs/dbraw/zinc/45/54/28/1126455428.db2.gz XMWMENGPQMMJIG-CYBMUJFWSA-N -1 1 330.392 -0.173 20 0 EBADMM COCCN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)COC)CC1 ZINC001483818297 1126479019 /nfs/dbraw/zinc/47/90/19/1126479019.db2.gz IQRJHELIFOLPCJ-UHFFFAOYSA-N -1 1 327.385 -0.404 20 0 EBADMM Cn1[n-]c(CN2CC[C@](C)(NC(=O)CCn3cccn3)C2)nc1=O ZINC001484030032 1126499473 /nfs/dbraw/zinc/49/94/73/1126499473.db2.gz POWZMDIWQNNJSE-HNNXBMFYSA-N -1 1 333.396 -0.524 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CC[C@@H](CCNCc2ccon2)C1 ZINC001484529521 1126601802 /nfs/dbraw/zinc/60/18/02/1126601802.db2.gz OOOJZZZLIJMDEU-SECBINFHSA-N -1 1 334.336 -0.087 20 0 EBADMM O=C(CN1CCOC[C@H]1CNC(=O)c1ncccc1[O-])NCC1CC1 ZINC001484590583 1126606570 /nfs/dbraw/zinc/60/65/70/1126606570.db2.gz QBPKCSULECJVIO-CYBMUJFWSA-N -1 1 348.403 -0.256 20 0 EBADMM O=C(CCc1cnc[nH]1)NC[C@H]1COCCN1Cc1n[nH]c(=O)[n-]1 ZINC001484595892 1126609306 /nfs/dbraw/zinc/60/93/06/1126609306.db2.gz UYHUUJICNXXFJF-NSHDSACASA-N -1 1 335.368 -0.817 20 0 EBADMM C[C@H]1CN(C(=O)CCCn2cncn2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001484901847 1126650469 /nfs/dbraw/zinc/65/04/69/1126650469.db2.gz KWJCJTJKPUXXQQ-WDEREUQCSA-N -1 1 334.384 -0.481 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)CNC(=O)C(C)(C)C ZINC001485224062 1126683401 /nfs/dbraw/zinc/68/34/01/1126683401.db2.gz CSNSOIZHPIEYLQ-BDAKNGLRSA-N -1 1 326.401 -0.345 20 0 EBADMM C[C@H](CNC(=O)[C@H](C)Oc1ccccn1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001485378173 1126694649 /nfs/dbraw/zinc/69/46/49/1126694649.db2.gz SXODHPBZEPAOEI-NEPJUHHUSA-N -1 1 348.407 -0.093 20 0 EBADMM Cc1cncc(CC(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001485514577 1126733406 /nfs/dbraw/zinc/73/34/06/1126733406.db2.gz AJBLDVYAIXECGM-LBPRGKRZSA-N -1 1 344.419 -0.009 20 0 EBADMM CCNC(=O)NC(=O)CN1CC([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001485500755 1126733730 /nfs/dbraw/zinc/73/37/30/1126733730.db2.gz NDRBFGWTMBQYIT-SNVBAGLBSA-N -1 1 349.391 -0.317 20 0 EBADMM COC[C@H](C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC001485565957 1126757267 /nfs/dbraw/zinc/75/72/67/1126757267.db2.gz YJRVFYZHDDWXMV-WDEREUQCSA-N -1 1 325.413 -0.283 20 0 EBADMM COCC(C)(C)C(=O)N1CCO[C@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC001485641301 1126783807 /nfs/dbraw/zinc/78/38/07/1126783807.db2.gz REXATMWGJLLGCP-MNOVXSKESA-N -1 1 341.412 -0.112 20 0 EBADMM Cc1ncc(C(=O)NCCOCCN(C)Cc2nc(=O)n(C)[n-]2)[nH]1 ZINC001485770214 1126829191 /nfs/dbraw/zinc/82/91/91/1126829191.db2.gz LZLPMCRMIVFJEX-UHFFFAOYSA-N -1 1 337.384 -0.982 20 0 EBADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)CS(C)(=O)=O ZINC001687444473 1126840505 /nfs/dbraw/zinc/84/05/05/1126840505.db2.gz UJAVHSOQZJLHEN-UHFFFAOYSA-N -1 1 329.378 -0.590 20 0 EBADMM CCC[C@@H](OC)C(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001409936904 1126860601 /nfs/dbraw/zinc/86/06/01/1126860601.db2.gz YMSIKVNLYPMGOL-CMPLNLGQSA-N -1 1 325.413 -0.140 20 0 EBADMM CN(C(=O)Cn1ccccc1=O)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001485833500 1126888576 /nfs/dbraw/zinc/88/85/76/1126888576.db2.gz JGFZUITYOJUJHA-GFCCVEGCSA-N -1 1 346.391 -0.301 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1CCCc2nn[nH]c21)NCc1n[nH]c(=O)[n-]1 ZINC001485916185 1126915670 /nfs/dbraw/zinc/91/56/70/1126915670.db2.gz LPDSFVFOFOBEJT-IUCAKERBSA-N -1 1 334.384 -0.315 20 0 EBADMM O=C(NC[C@@H](CO)NCc1cc(=O)n2[n-]ccc2n1)[C@@H]1CC1(F)F ZINC001485993814 1126956379 /nfs/dbraw/zinc/95/63/79/1126956379.db2.gz OKUIXWACWKGPKU-UWVGGRQHSA-N -1 1 341.318 -0.756 20 0 EBADMM Cn1[n-]c(CN[C@@H](CO)CNC(=O)C2CCC(F)CC2)nc1=O ZINC001486033442 1127003340 /nfs/dbraw/zinc/00/33/40/1127003340.db2.gz YHDBTEFOJHOIPN-VQXHTEKXSA-N -1 1 329.376 -0.797 20 0 EBADMM CC1=CC[C@@](C)(C(=O)NC[C@@H](CO)NCc2nc(=O)n(C)[n-]2)CC1 ZINC001486064400 1127013924 /nfs/dbraw/zinc/01/39/24/1127013924.db2.gz ZXDQOSBGIQNNOC-BLLLJJGKSA-N -1 1 337.424 -0.188 20 0 EBADMM CCOCCOCC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC001486134432 1127044662 /nfs/dbraw/zinc/04/46/62/1127044662.db2.gz LDAWNVLOTLOJKL-QWRGUYRKSA-N -1 1 327.385 -0.358 20 0 EBADMM C[C@H](C(=O)N(C)C[C@H](O)CN(C)Cc1nc(=O)n(C)[n-]1)C1CCC1 ZINC001486340842 1127143352 /nfs/dbraw/zinc/14/33/52/1127143352.db2.gz LMNQELKDNFRCAR-WCQYABFASA-N -1 1 339.440 -0.204 20 0 EBADMM CN(CCOCCNCc1n[nH]c(=O)[n-]1)C(=O)c1cnn2cc[nH]c12 ZINC001486499411 1127192821 /nfs/dbraw/zinc/19/28/21/1127192821.db2.gz REYZLGNAAYVCCL-UHFFFAOYSA-N -1 1 348.367 -0.636 20 0 EBADMM CCOCC(=O)NC[C@@H](C)N(C)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001486795115 1127257262 /nfs/dbraw/zinc/25/72/62/1127257262.db2.gz YFKKLSNAAJESEF-MRVPVSSYSA-N -1 1 342.352 -0.490 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)CN1C(=O)CCC1=O ZINC001486849614 1127309386 /nfs/dbraw/zinc/30/93/86/1127309386.db2.gz YFEBUESXPIFTAY-SNVBAGLBSA-N -1 1 348.359 -0.487 20 0 EBADMM O=C(COC1CCCC1)NC[C@@H](O)CNC(=O)c1ncccc1[O-] ZINC001410100035 1127560774 /nfs/dbraw/zinc/56/07/74/1127560774.db2.gz TZBVKHAMBVKLMX-LLVKDONJSA-N -1 1 337.376 -0.047 20 0 EBADMM C[C@H](C(=O)NC[C@H](O)CNC(=O)c1ncccc1[O-])c1cnn(C)c1 ZINC001410101496 1127562303 /nfs/dbraw/zinc/56/23/03/1127562303.db2.gz KHWYJGPSZHNMTG-JQWIXIFHSA-N -1 1 347.375 -0.469 20 0 EBADMM O=C(C[C@H]1CCCCO1)NC[C@H](O)CNC(=O)c1ncccc1[O-] ZINC001410112271 1127576445 /nfs/dbraw/zinc/57/64/45/1127576445.db2.gz STHYSRQHRKGHGG-NWDGAFQWSA-N -1 1 337.376 -0.047 20 0 EBADMM CC(C)(C)OCC(=O)NC[C@H](O)CNC(=O)c1ncccc1[O-] ZINC001410116754 1127581030 /nfs/dbraw/zinc/58/10/30/1127581030.db2.gz LVRCOWGIHHOKNI-JTQLQIEISA-N -1 1 325.365 -0.191 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NC[C@@H](O)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001410132686 1127598952 /nfs/dbraw/zinc/59/89/52/1127598952.db2.gz NXJHPMUXAFNAQR-GDPRMGEGSA-N -1 1 334.376 -0.078 20 0 EBADMM CN(C(=O)c1ccn(C)c(=O)c1)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001410295992 1127673129 /nfs/dbraw/zinc/67/31/29/1127673129.db2.gz PRCKGIATFLEIMR-LBPRGKRZSA-N -1 1 346.391 -0.151 20 0 EBADMM CN(C)c1noc(CN[C@@H](CO)CNC(=O)c2ncccc2[O-])n1 ZINC001410585848 1127759647 /nfs/dbraw/zinc/75/96/47/1127759647.db2.gz CFIYFYMYHVAWMN-SECBINFHSA-N -1 1 336.352 -0.883 20 0 EBADMM COc1ccsc1C(=O)NC[C@@H](CO)NCc1nc(=O)n(C)[n-]1 ZINC001410801251 1127900828 /nfs/dbraw/zinc/90/08/28/1127900828.db2.gz QYFXUNVCVWZHQL-QMMMGPOBSA-N -1 1 341.393 -0.941 20 0 EBADMM Cn1[n-]c(CN[C@@H](CO)CNC(=O)c2cccc3c2CCC3)nc1=O ZINC001410809893 1127901653 /nfs/dbraw/zinc/90/16/53/1127901653.db2.gz MRIYQOKVWIYJQF-GFCCVEGCSA-N -1 1 345.403 -0.522 20 0 EBADMM CN(C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)C1(C(F)(F)F)CC1 ZINC001411090219 1128012248 /nfs/dbraw/zinc/01/22/48/1128012248.db2.gz CIWFVBDDXBUIPD-ZETCQYMHSA-N -1 1 337.302 -0.238 20 0 EBADMM CNC(=O)NCC(=O)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001411315294 1128141781 /nfs/dbraw/zinc/14/17/81/1128141781.db2.gz JMDWQHHAZPKDKU-UHFFFAOYSA-N -1 1 335.364 -0.659 20 0 EBADMM CC(=O)N1CC(C(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C)C1 ZINC001092568217 1128253921 /nfs/dbraw/zinc/25/39/21/1128253921.db2.gz OHXLWVFQSWEJGT-MWLCHTKSSA-N -1 1 336.396 -0.827 20 0 EBADMM O=C(N[C@H]1CC2(CN(CCO)C2)n2ccnc21)c1ncccc1[O-] ZINC001092367217 1128240825 /nfs/dbraw/zinc/24/08/25/1128240825.db2.gz LYZDXJKONDLMDQ-NSHDSACASA-N -1 1 329.360 -0.138 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)[C@@H]1CCC(=O)N1C ZINC001092892542 1128284526 /nfs/dbraw/zinc/28/45/26/1128284526.db2.gz FWTQIUXOWLQYES-MXWKQRLJSA-N -1 1 336.396 -0.685 20 0 EBADMM Cn1[n-]c(CNCc2cn(C3CN(C(=O)C4(C)CC4)C3)nn2)nc1=O ZINC001093322204 1128329116 /nfs/dbraw/zinc/32/91/16/1128329116.db2.gz JAIXRQHOMZKPQE-UHFFFAOYSA-N -1 1 346.395 -0.827 20 0 EBADMM NC(=O)C(=O)N1CCC([C@H]2N(Cc3n[nH]c(=O)[n-]3)CC23CCC3)CC1 ZINC001093378917 1128338889 /nfs/dbraw/zinc/33/88/89/1128338889.db2.gz VYBSLJRZENCLMA-GFCCVEGCSA-N -1 1 348.407 -0.411 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ccco1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001487695516 1128397641 /nfs/dbraw/zinc/39/76/41/1128397641.db2.gz QIRFEKJLBPWCRX-VIFPVBQESA-N -1 1 344.331 -0.099 20 0 EBADMM O=C(C[C@H]1CCCCO1)N[C@H](CO)CNC(=O)c1ncccc1[O-] ZINC001487975029 1128470829 /nfs/dbraw/zinc/47/08/29/1128470829.db2.gz BSFMSIQPQQIYOK-NWDGAFQWSA-N -1 1 337.376 -0.047 20 0 EBADMM CCOCC1(C(=O)N[C@H](CO)CNC(=O)c2ncccc2[O-])CC1 ZINC001487975270 1128471182 /nfs/dbraw/zinc/47/11/82/1128471182.db2.gz CNIHNRRGKUAJGZ-NSHDSACASA-N -1 1 337.376 -0.189 20 0 EBADMM O=C(N[C@@H](CO)CNC(=O)c1ncccc1[O-])c1ccncc1F ZINC001487977703 1128473616 /nfs/dbraw/zinc/47/36/16/1128473616.db2.gz NQIJMKUYOMNKGV-SECBINFHSA-N -1 1 334.307 -0.158 20 0 EBADMM CCc1cc(C(=O)NC[C@H](CO)NC(=O)c2ncccc2[O-])no1 ZINC001488056129 1128555665 /nfs/dbraw/zinc/55/56/65/1128555665.db2.gz LPRPSPXRUNOKOX-SECBINFHSA-N -1 1 334.332 -0.142 20 0 EBADMM CNC(=O)NCC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@@H]1C ZINC001488128572 1128629947 /nfs/dbraw/zinc/62/99/47/1128629947.db2.gz HQSKPUZNRZECOZ-NXEZZACHSA-N -1 1 335.364 -0.565 20 0 EBADMM CN(C[C@@H](O)CN(C)C(=O)c1ncccc1[O-])C(=O)Cc1cc[nH]n1 ZINC001488428234 1128767009 /nfs/dbraw/zinc/76/70/09/1128767009.db2.gz KJWGANYWZNSVRF-GFCCVEGCSA-N -1 1 347.375 -0.356 20 0 EBADMM CN(C[C@@H](O)CN(C)C(=O)c1ncccc1[O-])C(=O)Cc1ccn[nH]1 ZINC001488428234 1128767013 /nfs/dbraw/zinc/76/70/13/1128767013.db2.gz KJWGANYWZNSVRF-GFCCVEGCSA-N -1 1 347.375 -0.356 20 0 EBADMM CC(C)C(=O)NC1CC(N(C)C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001488585732 1128799614 /nfs/dbraw/zinc/79/96/14/1128799614.db2.gz XRTUURLVJAMMTN-UHFFFAOYSA-N -1 1 336.392 -0.312 20 0 EBADMM Cc1cnn(CCC(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)c1 ZINC001488696944 1128828145 /nfs/dbraw/zinc/82/81/45/1128828145.db2.gz CIKBBUYAIQYRCK-ZDUSSCGKSA-N -1 1 347.423 -0.264 20 0 EBADMM CCC(=O)NCC(=O)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001489226811 1128939704 /nfs/dbraw/zinc/93/97/04/1128939704.db2.gz AWMGJBLSHDCSJF-NSHDSACASA-N -1 1 338.412 -0.103 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)CNC(=O)NC)CCN1C(=O)c1ncccc1[O-] ZINC001490001018 1129102169 /nfs/dbraw/zinc/10/21/69/1129102169.db2.gz IRTIEZCPBZKCSC-WDEREUQCSA-N -1 1 349.391 -0.175 20 0 EBADMM CN1C[C@H](C(=O)NCCCN(Cc2n[nH]c(=O)[n-]2)C2CC2)NC1=O ZINC001490380888 1129169644 /nfs/dbraw/zinc/16/96/44/1129169644.db2.gz KQUJVJIVSYSFRQ-SNVBAGLBSA-N -1 1 337.384 -0.995 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H](C)NC(=O)c1ccc(=O)n(C)n1 ZINC001411399061 1129287328 /nfs/dbraw/zinc/28/73/28/1129287328.db2.gz VCGZJHZBMXJEIO-VHSXEESVSA-N -1 1 345.359 -0.182 20 0 EBADMM CN(C(=O)C1=NN(c2ccc(F)cc2)[C@@H](C(N)=O)C1)c1nn[n-]n1 ZINC001411481970 1129301307 /nfs/dbraw/zinc/30/13/07/1129301307.db2.gz UTJTXWOLLCNMBH-SNVBAGLBSA-N -1 1 332.299 -0.578 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)[C@@H]1CC(=O)N(C)C1 ZINC001411526729 1129310033 /nfs/dbraw/zinc/31/00/33/1129310033.db2.gz ACNOCXPGXLOUHP-GHMZBOCLSA-N -1 1 334.376 -0.158 20 0 EBADMM CC(=O)N1CC(C(=O)NC[C@H](C)N(C)C(=O)c2ncccc2[O-])C1 ZINC001411543063 1129314229 /nfs/dbraw/zinc/31/42/29/1129314229.db2.gz ZSBQAVAHEGYMBK-JTQLQIEISA-N -1 1 334.376 -0.158 20 0 EBADMM Cc1ccncc1C(=O)N1CC(CCO)(NC(=O)c2cnn[nH]2)C1 ZINC001411791871 1129386684 /nfs/dbraw/zinc/38/66/84/1129386684.db2.gz XHZMYLMWJLWSJB-UHFFFAOYSA-N -1 1 330.348 -0.485 20 0 EBADMM CSc1ncc(C(=O)N2CCO[C@@H](CNC(C)=O)C2)c(=O)[n-]1 ZINC001411811304 1129390609 /nfs/dbraw/zinc/39/06/09/1129390609.db2.gz KOPJNVSHNDSTOZ-VIFPVBQESA-N -1 1 326.378 -0.119 20 0 EBADMM O=C(c1cc2n(n1)CCC[C@@H]2O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001412056217 1129437155 /nfs/dbraw/zinc/43/71/55/1129437155.db2.gz JRNQTOULMPURIN-NSHDSACASA-N -1 1 333.352 -0.653 20 0 EBADMM O=C(c1coc2c1C(=O)NCCC2)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001412059432 1129437885 /nfs/dbraw/zinc/43/78/85/1129437885.db2.gz WHDBDSKNVKKBQL-UHFFFAOYSA-N -1 1 346.347 -0.408 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCS(=O)(=O)[C@@H]2CCC[C@H]21 ZINC001412139264 1129460006 /nfs/dbraw/zinc/46/00/06/1129460006.db2.gz NKECBMSHFKKWBA-HTQZYQBOSA-N -1 1 343.361 -0.312 20 0 EBADMM C[C@@H]1[C@@H](C)N(C(=O)CNC(=O)c2ncccc2[O-])[C@@H](C)C(=O)N1C ZINC001412296744 1129497897 /nfs/dbraw/zinc/49/78/97/1129497897.db2.gz LMWHQGSFVRQKNM-MXWKQRLJSA-N -1 1 334.376 -0.017 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCN(c3nc[nH]n3)CC2)co1 ZINC001412297865 1129498070 /nfs/dbraw/zinc/49/80/70/1129498070.db2.gz PLHJAWWKHXEUGT-UHFFFAOYSA-N -1 1 340.365 -0.732 20 0 EBADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CS(=O)(=O)N(C)C)n[n-]1 ZINC001412326708 1129502777 /nfs/dbraw/zinc/50/27/77/1129502777.db2.gz KRJFBVDYIPEIDY-SSDOTTSWSA-N -1 1 333.370 -0.950 20 0 EBADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CS(=O)(=O)N(C)C)[n-]1 ZINC001412326708 1129502781 /nfs/dbraw/zinc/50/27/81/1129502781.db2.gz KRJFBVDYIPEIDY-SSDOTTSWSA-N -1 1 333.370 -0.950 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CS(=O)(=O)N(C)C)n1 ZINC001412326708 1129502784 /nfs/dbraw/zinc/50/27/84/1129502784.db2.gz KRJFBVDYIPEIDY-SSDOTTSWSA-N -1 1 333.370 -0.950 20 0 EBADMM O=C(CS(=O)(=O)C1CCCC1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001412429414 1129526951 /nfs/dbraw/zinc/52/69/51/1129526951.db2.gz DJNGKZDYNVZVSJ-SNVBAGLBSA-N -1 1 329.382 -0.543 20 0 EBADMM O=C([C@@H]1CC(c2cccnc2)=NO1)N1CCOC[C@H]1c1nn[n-]n1 ZINC001412430993 1129527422 /nfs/dbraw/zinc/52/74/22/1129527422.db2.gz KKCIAVKHABGERS-RYUDHWBXSA-N -1 1 329.320 -0.312 20 0 EBADMM O=C([C@@H]1CC(c2cccnc2)=NO1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001412430923 1129527503 /nfs/dbraw/zinc/52/75/03/1129527503.db2.gz KKCIAVKHABGERS-NEPJUHHUSA-N -1 1 329.320 -0.312 20 0 EBADMM O=C([C@@H]1CC(=O)N(C2CCCC2)C1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001412432239 1129528003 /nfs/dbraw/zinc/52/80/03/1129528003.db2.gz MVMIWANHQFJTPT-ZYHUDNBSSA-N -1 1 334.380 -0.109 20 0 EBADMM NC(=O)c1ccc(CCC(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc1 ZINC001412434591 1129528356 /nfs/dbraw/zinc/52/83/56/1129528356.db2.gz WVMHTGNHTBGHGE-GFCCVEGCSA-N -1 1 330.348 -0.169 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)c1cn2c(n1)COCC2 ZINC001412482696 1129538578 /nfs/dbraw/zinc/53/85/78/1129538578.db2.gz DRAWTXRSPQNDEA-UHFFFAOYSA-N -1 1 331.332 -0.088 20 0 EBADMM NS(=O)(=O)Cc1cccc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)c1 ZINC001412493920 1129541557 /nfs/dbraw/zinc/54/15/57/1129541557.db2.gz WULNJMQHFWKFCL-NSHDSACASA-N -1 1 336.377 -0.382 20 0 EBADMM O=C(Cn1nc(C(F)(F)F)c2c1CCOC2)NCc1nn[n-]n1 ZINC001412495778 1129542615 /nfs/dbraw/zinc/54/26/15/1129542615.db2.gz ALUSMZKVCBYGEY-UHFFFAOYSA-N -1 1 331.258 -0.196 20 0 EBADMM O=C(C[C@H]1C=CS(=O)(=O)C1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001412614807 1129577484 /nfs/dbraw/zinc/57/74/84/1129577484.db2.gz TWBPOZHLWIPNOO-GHMZBOCLSA-N -1 1 325.394 -0.071 20 0 EBADMM COCCn1nc(C(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)ccc1=O ZINC001412617982 1129578012 /nfs/dbraw/zinc/57/80/12/1129578012.db2.gz WZOFOKCGNPNOQD-NSHDSACASA-N -1 1 347.379 -0.502 20 0 EBADMM C[C@H]1C(=O)CCCN1C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC001412733210 1129605000 /nfs/dbraw/zinc/60/50/00/1129605000.db2.gz YTADJQZWDTYXQF-QMMMGPOBSA-N -1 1 343.361 -0.763 20 0 EBADMM O=C(CC1CCOCC1)N[C@@H](CO)CNC(=O)c1ncccc1[O-] ZINC001412815022 1129666539 /nfs/dbraw/zinc/66/65/39/1129666539.db2.gz SBJNYLXEAQOUEI-GFCCVEGCSA-N -1 1 337.376 -0.189 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCS(=O)(=O)C[C@H](C)C1 ZINC001412833590 1129699796 /nfs/dbraw/zinc/69/97/96/1129699796.db2.gz XVDZICGYUNUFCF-SSDOTTSWSA-N -1 1 331.350 -0.597 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)c2ccc(=O)n(CCOC)c2)n[n-]1 ZINC001412835628 1129708879 /nfs/dbraw/zinc/70/88/79/1129708879.db2.gz FMYXCGFQYVSPSS-UHFFFAOYSA-N -1 1 349.347 -0.281 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(=O)n(CCOC)c2)n1 ZINC001412835628 1129708882 /nfs/dbraw/zinc/70/88/82/1129708882.db2.gz FMYXCGFQYVSPSS-UHFFFAOYSA-N -1 1 349.347 -0.281 20 0 EBADMM CC(C)N(CCCNC(=O)[C@H]1CCC(=O)N1C)Cc1n[nH]c(=O)[n-]1 ZINC001691743727 1129717400 /nfs/dbraw/zinc/71/74/00/1129717400.db2.gz BALDFDLMGMZSCX-LLVKDONJSA-N -1 1 338.412 -0.152 20 0 EBADMM O=C(NC[C@H](CO)NC(=O)c1ncccc1[O-])c1cc(Cl)no1 ZINC001412959941 1129816781 /nfs/dbraw/zinc/81/67/81/1129816781.db2.gz UHPHAVXZHWOIPH-SSDOTTSWSA-N -1 1 340.723 -0.051 20 0 EBADMM CC[C@](COC)(NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)C(=O)OC ZINC001413053448 1129898286 /nfs/dbraw/zinc/89/82/86/1129898286.db2.gz VGFNKHKSLYHERJ-CYBMUJFWSA-N -1 1 329.309 -0.406 20 0 EBADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)NC[C@@H]1CNC(=O)C1 ZINC001413114130 1129933711 /nfs/dbraw/zinc/93/37/11/1129933711.db2.gz JPOFJERGMNVPFV-NSHDSACASA-N -1 1 341.371 -0.065 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC(=O)NC1CCCC1 ZINC001413265544 1129973948 /nfs/dbraw/zinc/97/39/48/1129973948.db2.gz GIXDQEQIIHOLHT-UHFFFAOYSA-N -1 1 330.366 -0.467 20 0 EBADMM Cc1nnccc1C(=O)NC[C@@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001413254457 1129974619 /nfs/dbraw/zinc/97/46/19/1129974619.db2.gz VGPRGOKDFVVLNT-LLVKDONJSA-N -1 1 345.359 -0.251 20 0 EBADMM CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)c1cnc(SC)[n-]c1=O ZINC001413268217 1129980708 /nfs/dbraw/zinc/98/07/08/1129980708.db2.gz WTRXTXPEEYNGON-YUMQZZPRSA-N -1 1 333.391 -0.554 20 0 EBADMM CN(C[C@H](O)CNC(=O)c1ncccc1[O-])C(=O)CCc1ccon1 ZINC001413295292 1129995512 /nfs/dbraw/zinc/99/55/12/1129995512.db2.gz QMTFBJKBJWQQKS-GFCCVEGCSA-N -1 1 348.359 -0.043 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCn3ccnc3C2)[n-]n1 ZINC001413314721 1130008203 /nfs/dbraw/zinc/00/82/03/1130008203.db2.gz CCWWONGIEUXIEE-MRVPVSSYSA-N -1 1 325.350 -0.314 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCn3ccnc3C2)n[n-]1 ZINC001413314721 1130008230 /nfs/dbraw/zinc/00/82/30/1130008230.db2.gz CCWWONGIEUXIEE-MRVPVSSYSA-N -1 1 325.350 -0.314 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)N2CCSC[C@@H]2C(N)=O)c1 ZINC001413322170 1130014187 /nfs/dbraw/zinc/01/41/87/1130014187.db2.gz NOWKDJPKZJEQOV-SECBINFHSA-N -1 1 332.403 -0.008 20 0 EBADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)NC[C@@H](O)[C@H](C)O ZINC001413344726 1130032257 /nfs/dbraw/zinc/03/22/57/1130032257.db2.gz NWRFOHBZSLXKIX-GXFFZTMASA-N -1 1 332.360 -0.047 20 0 EBADMM COCC1(C[N-]S(=O)(=O)c2n[nH]cc2C(=O)OC)CCOCC1 ZINC001413358672 1130045144 /nfs/dbraw/zinc/04/51/44/1130045144.db2.gz MJNOQKWVQOYYMS-UHFFFAOYSA-N -1 1 347.393 -0.082 20 0 EBADMM CS(=O)(=O)c1ccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)o1 ZINC001413386525 1130065081 /nfs/dbraw/zinc/06/50/81/1130065081.db2.gz LKXPGIVCTCHMIM-ZETCQYMHSA-N -1 1 327.322 -0.590 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCN2C[C@H](C)OC[C@@H]2C)[n-]n1 ZINC001413407325 1130075676 /nfs/dbraw/zinc/07/56/76/1130075676.db2.gz VHSVDMHYUBVBJX-UWVGGRQHSA-N -1 1 346.409 -0.416 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCN2C[C@H](C)OC[C@@H]2C)n[n-]1 ZINC001413407325 1130075681 /nfs/dbraw/zinc/07/56/81/1130075681.db2.gz VHSVDMHYUBVBJX-UWVGGRQHSA-N -1 1 346.409 -0.416 20 0 EBADMM CC(C)(C)[C@@H](NS(C)(=O)=O)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001413424849 1130082232 /nfs/dbraw/zinc/08/22/32/1130082232.db2.gz GJPWWLLRMRZZPT-JTQLQIEISA-N -1 1 344.441 -0.130 20 0 EBADMM CNS(=O)(=O)c1ccc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)cc1 ZINC001413470685 1130099602 /nfs/dbraw/zinc/09/96/02/1130099602.db2.gz OYTPALUQQAXYGG-SNVBAGLBSA-N -1 1 336.377 -0.263 20 0 EBADMM COc1cnc([C@H]2CCCN2C(=O)CN2CCOCC2=O)[n-]c1=O ZINC001413762641 1130261137 /nfs/dbraw/zinc/26/11/37/1130261137.db2.gz GQULUXVAMZEZTM-SNVBAGLBSA-N -1 1 336.348 -0.287 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H]2CNC(=O)CC(C)(C)O)nc1=O ZINC001491206728 1130360771 /nfs/dbraw/zinc/36/07/71/1130360771.db2.gz QZEOQLHPIKCLQZ-LLVKDONJSA-N -1 1 325.413 -0.260 20 0 EBADMM C[C@H](O)CN1CCCC[C@H]1CNC(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC001491226750 1130365884 /nfs/dbraw/zinc/36/58/84/1130365884.db2.gz WRULCNTXASLXTR-RYUDHWBXSA-N -1 1 348.407 -0.577 20 0 EBADMM Cc1nonc1CC(=O)NC[C@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001491228496 1130367183 /nfs/dbraw/zinc/36/71/83/1130367183.db2.gz ALIFFXQNDDJXMP-LLVKDONJSA-N -1 1 349.395 -0.487 20 0 EBADMM C[C@H](CC(=O)NCCNC(=O)c1cc(=O)n2[n-]cnc2n1)C1CC1 ZINC001491369054 1130415005 /nfs/dbraw/zinc/41/50/05/1130415005.db2.gz KRAYFLWIMCTYHZ-SECBINFHSA-N -1 1 332.364 -0.300 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])NCc1nnc2n1CCOC2 ZINC001491436594 1130442313 /nfs/dbraw/zinc/44/23/13/1130442313.db2.gz BKBQOWGMDIHBLQ-SNVBAGLBSA-N -1 1 332.364 -0.183 20 0 EBADMM CCOCC(=O)N1CCC(NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001492060236 1130578491 /nfs/dbraw/zinc/57/84/91/1130578491.db2.gz CYYPWHLYHCSCHG-UHFFFAOYSA-N -1 1 348.363 -0.825 20 0 EBADMM COCC(=O)NCCCN(C)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001492253843 1130609173 /nfs/dbraw/zinc/60/91/73/1130609173.db2.gz TUIARQGZWNBAHX-UHFFFAOYSA-N -1 1 328.325 -0.879 20 0 EBADMM CC(C)Cn1ccc(C(=O)NCCN(C)Cc2nc(=O)n(C)[n-]2)n1 ZINC001492266597 1130612804 /nfs/dbraw/zinc/61/28/04/1130612804.db2.gz CNPGORPIHPBXRU-UHFFFAOYSA-N -1 1 335.412 -0.177 20 0 EBADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)[C@H]1CCC(=O)N1C ZINC001492331715 1130630599 /nfs/dbraw/zinc/63/05/99/1130630599.db2.gz NQLCBELEFPRNLH-LLVKDONJSA-N -1 1 334.376 -0.014 20 0 EBADMM C[C@@H](NC(=O)C(C)(C)C)C(=O)NCCN(C)Cc1nc(=O)n(C)[n-]1 ZINC001492365025 1130641677 /nfs/dbraw/zinc/64/16/77/1130641677.db2.gz QGIPQFFJQSPGKH-SNVBAGLBSA-N -1 1 340.428 -0.793 20 0 EBADMM C[C@@H](C(=O)NCCCN(C)C(=O)c1ncccc1[O-])S(C)(=O)=O ZINC001492423221 1130663777 /nfs/dbraw/zinc/66/37/77/1130663777.db2.gz RJBVKDAAEXJNRN-JTQLQIEISA-N -1 1 343.405 -0.201 20 0 EBADMM COCCNC(=O)C1([N-]S(=O)(=O)c2cc(C(=O)OC)co2)CC1 ZINC001475371414 1130714035 /nfs/dbraw/zinc/71/40/35/1130714035.db2.gz UATNATXYQPCHIN-UHFFFAOYSA-N -1 1 346.361 -0.360 20 0 EBADMM Cc1cc(CCC(=O)NC[C@@H](O)CNC(=O)c2ncccc2[O-])no1 ZINC001416756300 1130792656 /nfs/dbraw/zinc/79/26/56/1130792656.db2.gz YBHKUXGYCCDRBY-GFCCVEGCSA-N -1 1 348.359 -0.077 20 0 EBADMM C[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)C[C@H]1CCOC1 ZINC001416797976 1130815305 /nfs/dbraw/zinc/81/53/05/1130815305.db2.gz YZKQJMOMZJPMMB-NXEZZACHSA-N -1 1 348.363 -0.921 20 0 EBADMM CS(=O)(=O)CCC(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001416082609 1130848944 /nfs/dbraw/zinc/84/89/44/1130848944.db2.gz OQTSYEATXKTNHS-UHFFFAOYSA-N -1 1 341.389 -0.590 20 0 EBADMM CCC[C@@H](NC(N)=O)C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001416109313 1130860302 /nfs/dbraw/zinc/86/03/02/1130860302.db2.gz QKHZTCKSGTUUKQ-LLVKDONJSA-N -1 1 349.391 -0.188 20 0 EBADMM CN(C)C(=O)CC(=O)N1CC(NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001356762601 1130924635 /nfs/dbraw/zinc/92/46/35/1130924635.db2.gz YPCGFOQZESHGDG-UHFFFAOYSA-N -1 1 347.375 -0.522 20 0 EBADMM CC/C(C)=C/C(=O)NCC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001356809882 1130949542 /nfs/dbraw/zinc/94/95/42/1130949542.db2.gz OBTBKDZSDXHHSA-XYOKQWHBSA-N -1 1 348.403 -0.142 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CC(CNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001356823234 1130961527 /nfs/dbraw/zinc/96/15/27/1130961527.db2.gz UULVSRZFAPEMAE-JQWIXIFHSA-N -1 1 334.376 -0.843 20 0 EBADMM Cc1ncoc1C(=O)N[C@@H](C)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001358483662 1131187980 /nfs/dbraw/zinc/18/79/80/1131187980.db2.gz IPWFAAYVELXLDS-VIFPVBQESA-N -1 1 349.347 -0.842 20 0 EBADMM Cn1nnc(C(=O)NCC2(CCNC(=O)c3ncccc3[O-])CC2)n1 ZINC001413880368 1131219673 /nfs/dbraw/zinc/21/96/73/1131219673.db2.gz UIWYDHAZERGDET-UHFFFAOYSA-N -1 1 345.363 -0.359 20 0 EBADMM Cc1nc(N2CCOCC2)[n-]c(=O)c1CCC(=O)NC1(C(N)=O)CC1 ZINC001361547719 1131419998 /nfs/dbraw/zinc/41/99/98/1131419998.db2.gz OIDQKWFDEBJZNJ-UHFFFAOYSA-N -1 1 349.391 -0.606 20 0 EBADMM CO[C@@H]1CCn2cc(C(=O)N3CCO[C@H](c4nn[n-]n4)C3)nc2C1 ZINC001361782853 1131454946 /nfs/dbraw/zinc/45/49/46/1131454946.db2.gz IXTWLMCRPBZBNA-KOLCDFICSA-N -1 1 333.352 -0.429 20 0 EBADMM CCO[C@@H]1COCC[C@@H]1CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001361923244 1131480191 /nfs/dbraw/zinc/48/01/91/1131480191.db2.gz JKHLCAFFXGSGRL-IJLUTSLNSA-N -1 1 325.369 -0.069 20 0 EBADMM CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1cccc([O-])c1F ZINC001361923609 1131480207 /nfs/dbraw/zinc/48/02/07/1131480207.db2.gz RUPVPIPMXXQHTF-SECBINFHSA-N -1 1 344.364 -0.093 20 0 EBADMM COCCN1CC[C@@H](NC(=O)c2cccc(-n3[n-]nnc3=N)c2)C1 ZINC001361984848 1131492665 /nfs/dbraw/zinc/49/26/65/1131492665.db2.gz KAUHAQWVFWSMEC-GFCCVEGCSA-N -1 1 331.380 -0.475 20 0 EBADMM O=C(CCCc1nn[n-]n1)Nc1ccnn1[C@@H]1CCS(=O)(=O)C1 ZINC001362035034 1131501052 /nfs/dbraw/zinc/50/10/52/1131501052.db2.gz MEMINMCQCWDMEE-SECBINFHSA-N -1 1 339.381 -0.283 20 0 EBADMM CCN(C)C(=O)[C@@H]1CSCN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001362103479 1131515963 /nfs/dbraw/zinc/51/59/63/1131515963.db2.gz BTUKGWKWYSHLHV-ZETCQYMHSA-N -1 1 342.377 -0.110 20 0 EBADMM O=C(N[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc([O-])cn1 ZINC001362113603 1131518181 /nfs/dbraw/zinc/51/81/81/1131518181.db2.gz NPZSQRHKFHDWKQ-QWHCGFSZSA-N -1 1 341.389 -0.985 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCS(=O)(=O)[C@@H](C)[C@@H]1C ZINC001362117323 1131518757 /nfs/dbraw/zinc/51/87/57/1131518757.db2.gz GSLZBLVVQQCTRX-BQBZGAKWSA-N -1 1 331.350 -0.456 20 0 EBADMM O=C(Cc1ccccc1F)N1C[C@H](O)C[C@@H]1C(=O)NCc1nn[n-]n1 ZINC001362122081 1131519746 /nfs/dbraw/zinc/51/97/46/1131519746.db2.gz BUPLTPAWPSMTNC-ZYHUDNBSSA-N -1 1 348.338 -0.841 20 0 EBADMM CCNC(=O)N1CC[C@H](NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC001362220636 1131538798 /nfs/dbraw/zinc/53/87/98/1131538798.db2.gz YETFMWKIUFHILD-ZETCQYMHSA-N -1 1 325.325 -0.570 20 0 EBADMM COC(=O)[C@@H]1O[C@@H](C(=O)N2CCO[C@H](c3nn[n-]n3)C2)C[C@H]1C ZINC001362249264 1131544539 /nfs/dbraw/zinc/54/45/39/1131544539.db2.gz WRJAAIKKVFXTPF-DOLQZWNJSA-N -1 1 325.325 -0.934 20 0 EBADMM CO[C@]1(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)CCS(=O)(=O)C1 ZINC001362314922 1131557726 /nfs/dbraw/zinc/55/77/26/1131557726.db2.gz UPXFIPXRXVCYDO-JOYOIKCWSA-N -1 1 329.382 -0.891 20 0 EBADMM CO[C@@]1(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)CCS(=O)(=O)C1 ZINC001362314919 1131557832 /nfs/dbraw/zinc/55/78/32/1131557832.db2.gz UPXFIPXRXVCYDO-CABZTGNLSA-N -1 1 329.382 -0.891 20 0 EBADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N1C[C@H](O)C[C@H]1CO ZINC001362368023 1131569486 /nfs/dbraw/zinc/56/94/86/1131569486.db2.gz VUVCFQRQOOYFCH-QWHCGFSZSA-N -1 1 344.371 -0.363 20 0 EBADMM CN(CC(=O)N1CCC[C@H](c2nn[n-]n2)C1)[C@H]1CCS(=O)(=O)C1 ZINC001362407272 1131576864 /nfs/dbraw/zinc/57/68/64/1131576864.db2.gz PUVDHUHRDWDKHL-QWRGUYRKSA-N -1 1 342.425 -0.975 20 0 EBADMM C[C@@H](O)CN1CCN(C(=O)CCCn2c(=O)[n-][nH]c2=O)[C@H](C)C1 ZINC001362411517 1131578060 /nfs/dbraw/zinc/57/80/60/1131578060.db2.gz WRCXGTAQXVMJPN-GHMZBOCLSA-N -1 1 327.385 -0.617 20 0 EBADMM CC(=O)CN(C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)C(C)C ZINC001362493217 1131595219 /nfs/dbraw/zinc/59/52/19/1131595219.db2.gz MKEPYELULJHYPP-UHFFFAOYSA-N -1 1 345.377 -0.517 20 0 EBADMM CCOC(=O)[C@@H]1CSCN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001362494845 1131595269 /nfs/dbraw/zinc/59/52/69/1131595269.db2.gz SKNVVMACNIETBR-LURJTMIESA-N -1 1 329.334 -0.025 20 0 EBADMM CNS(=O)(=O)c1cc(NC(=O)CCc2nn[n-]n2)ccc1F ZINC001362538295 1131605535 /nfs/dbraw/zinc/60/55/35/1131605535.db2.gz IUUWBPLTBUJFOE-UHFFFAOYSA-N -1 1 328.329 -0.182 20 0 EBADMM CCc1noc(CCCC(=O)N2CCC(O)(c3nn[n-]n3)CC2)n1 ZINC001362564367 1131611830 /nfs/dbraw/zinc/61/18/30/1131611830.db2.gz WCDGIDHACSBNNP-UHFFFAOYSA-N -1 1 335.368 -0.022 20 0 EBADMM CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1ccc([O-])cn1 ZINC001362574569 1131615352 /nfs/dbraw/zinc/61/53/52/1131615352.db2.gz XYUOWEYLWYJAEW-GFCCVEGCSA-N -1 1 337.332 -0.693 20 0 EBADMM O=C(CCCc1nn[n-]n1)N1CCN(C(=O)c2cccnc2)CC1 ZINC001362667452 1131636568 /nfs/dbraw/zinc/63/65/68/1131636568.db2.gz VRUMHCMWUGQROQ-UHFFFAOYSA-N -1 1 329.364 -0.098 20 0 EBADMM O=C(Cn1nnc2c1CCCC2)N1CCSC[C@H]1c1nn[n-]n1 ZINC001362689756 1131642338 /nfs/dbraw/zinc/64/23/38/1131642338.db2.gz ZCSNZIKQLYKUJC-NSHDSACASA-N -1 1 334.409 -0.013 20 0 EBADMM O=C(NC[C@@]1(O)CCS(=O)(=O)C1)c1c[nH]c2ccccc2c1=O ZINC001362697201 1131644425 /nfs/dbraw/zinc/64/44/25/1131644425.db2.gz WCVASOOCHBRMHA-HNNXBMFYSA-N -1 1 336.369 -0.193 20 0 EBADMM CCOC(=O)[C@]1(COC)CCCN(C(=O)CCc2nn[n-]n2)C1 ZINC001362703773 1131646323 /nfs/dbraw/zinc/64/63/23/1131646323.db2.gz GLVWGTXJDNFVRW-CQSZACIVSA-N -1 1 325.369 -0.049 20 0 EBADMM COCCn1cc(C(=O)NCc2nc([O-])cc(=O)[nH]2)c(=O)cc1C ZINC001362717202 1131651447 /nfs/dbraw/zinc/65/14/47/1131651447.db2.gz GZHXASIZRCBYFP-UHFFFAOYSA-N -1 1 334.332 -0.066 20 0 EBADMM O=C(CSCC(=O)N1CCOCC1)NCc1nc([O-])cc(=O)[nH]1 ZINC001362722982 1131654246 /nfs/dbraw/zinc/65/42/46/1131654246.db2.gz XVDUOCACXMCHAX-UHFFFAOYSA-N -1 1 342.377 -0.904 20 0 EBADMM COc1ccccc1C(=O)NCC(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001362749177 1131662324 /nfs/dbraw/zinc/66/23/24/1131662324.db2.gz XDIJJTVXHOXTJI-LLVKDONJSA-N -1 1 346.347 -0.462 20 0 EBADMM O=C([C@H]1CC(=O)N(Cc2ccccn2)C1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001362824728 1131686702 /nfs/dbraw/zinc/68/67/02/1131686702.db2.gz YASFTMJEVYTTFG-NEPJUHHUSA-N -1 1 341.375 -0.041 20 0 EBADMM CNC(=O)[C@H](Cc1c[nH]cn1)NC(=O)c1cnc(SC)[n-]c1=O ZINC001362830838 1131688196 /nfs/dbraw/zinc/68/81/96/1131688196.db2.gz JAMLVCBTRHJTHT-VIFPVBQESA-N -1 1 336.377 -0.286 20 0 EBADMM CSc1ncc(C(=O)NCCN(C)CCS(C)(=O)=O)c(=O)[n-]1 ZINC001362857390 1131697202 /nfs/dbraw/zinc/69/72/02/1131697202.db2.gz JXUZXBNBCTULDN-UHFFFAOYSA-N -1 1 348.450 -0.390 20 0 EBADMM CN(C)S(=O)(=O)C[C@H](NC(=O)c1cnncc1[O-])C(F)(F)F ZINC001362889823 1131705602 /nfs/dbraw/zinc/70/56/02/1131705602.db2.gz BHPICRFNYAWBIS-QMMMGPOBSA-N -1 1 342.299 -0.266 20 0 EBADMM COC[C@@H](NC(=O)C[C@H]1Oc2ccccc2NC1=O)c1nn[n-]n1 ZINC001362904433 1131709187 /nfs/dbraw/zinc/70/91/87/1131709187.db2.gz CRNCKVPABCDSKC-MWLCHTKSSA-N -1 1 332.320 -0.207 20 0 EBADMM COC[C@@H](NC(=O)c1cnc2c(c1)NC(=O)CS2)c1nn[n-]n1 ZINC001362909703 1131710984 /nfs/dbraw/zinc/71/09/84/1131710984.db2.gz MTMXWNZOZJRHED-MRVPVSSYSA-N -1 1 335.349 -0.244 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CC(CN2CCOCC2)C1 ZINC001362930189 1131716168 /nfs/dbraw/zinc/71/61/68/1131716168.db2.gz XCZOZWMDEFTASJ-UHFFFAOYSA-N -1 1 334.376 -0.692 20 0 EBADMM CCOC(=O)[C@@H](F)C1CN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC001362934410 1131717142 /nfs/dbraw/zinc/71/71/42/1131717142.db2.gz HSCAXZVXZSCQRR-ZETCQYMHSA-N -1 1 329.284 -0.130 20 0 EBADMM CC[C@H](CN1CCOCC1)NC(=O)CNC(=O)c1ncccc1[O-] ZINC001362936014 1131717737 /nfs/dbraw/zinc/71/77/37/1131717737.db2.gz WVQDNKZBONXFOB-GFCCVEGCSA-N -1 1 336.392 -0.256 20 0 EBADMM COc1cnc([C@@H]2CCCN2C(=O)[C@@H]2CCS(=O)(=O)N2)[n-]c1=O ZINC001363039824 1131758002 /nfs/dbraw/zinc/75/80/02/1131758002.db2.gz LVERHMSJZFEVLG-IUCAKERBSA-N -1 1 342.377 -0.454 20 0 EBADMM COC(=O)[C@@](C)(CCF)NC(=O)CNC(=O)c1ncccc1[O-] ZINC001363070771 1131771642 /nfs/dbraw/zinc/77/16/42/1131771642.db2.gz GJKOAPXHSZEYGB-CQSZACIVSA-N -1 1 327.312 -0.076 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@]23CCOC3)o1 ZINC001363071110 1131771795 /nfs/dbraw/zinc/77/17/95/1131771795.db2.gz MTIIILXQAGSEOJ-CYBMUJFWSA-N -1 1 343.361 -0.952 20 0 EBADMM NC(=O)c1ccc(OCCC(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc1 ZINC001363117137 1131791149 /nfs/dbraw/zinc/79/11/49/1131791149.db2.gz QRONQOHLXXNPME-GFCCVEGCSA-N -1 1 346.347 -0.332 20 0 EBADMM NC(=O)c1ccc(OCCC(=O)N2CCOC[C@H]2c2nn[n-]n2)cc1 ZINC001363117138 1131791360 /nfs/dbraw/zinc/79/13/60/1131791360.db2.gz QRONQOHLXXNPME-LBPRGKRZSA-N -1 1 346.347 -0.332 20 0 EBADMM NS(=O)(=O)NC1CN(C(=O)c2ccc3ccc(O)cc3c2[O-])C1 ZINC001363183447 1131819568 /nfs/dbraw/zinc/81/95/68/1131819568.db2.gz KGMGZRMKRFMRRT-UHFFFAOYSA-N -1 1 337.357 -0.132 20 0 EBADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CCO[C@@H](CC(N)=O)C1 ZINC001363191796 1131824042 /nfs/dbraw/zinc/82/40/42/1131824042.db2.gz KUEMZQYMXGFALL-QMMMGPOBSA-N -1 1 326.378 -0.071 20 0 EBADMM COc1ccc(COCC(=O)N2CCOC[C@H]2c2nn[n-]n2)cn1 ZINC001363203014 1131829677 /nfs/dbraw/zinc/82/96/77/1131829677.db2.gz GLKPRPVUOJDVOW-NSHDSACASA-N -1 1 334.336 -0.280 20 0 EBADMM O=C(NCC1(O)CCS(=O)(=O)CC1)c1cnc(C2CC2)[n-]c1=O ZINC001363205141 1131831082 /nfs/dbraw/zinc/83/10/82/1131831082.db2.gz NKHYTYXMHDNHRR-UHFFFAOYSA-N -1 1 341.389 -0.271 20 0 EBADMM O=C([N-]CC1CN(C(=O)[C@@H]2CCC3N=NC(=O)N3C2)C1)C(F)(F)F ZINC001363211634 1131834042 /nfs/dbraw/zinc/83/40/42/1131834042.db2.gz SFPHQSUQYLTKQG-MRVPVSSYSA-N -1 1 347.297 -0.317 20 0 EBADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N1C[C@H](O)[C@@H](CO)C1 ZINC001363242991 1131846424 /nfs/dbraw/zinc/84/64/24/1131846424.db2.gz APVOWMJGFGMBSK-OCCSQVGLSA-N -1 1 344.371 -0.506 20 0 EBADMM CC(C)(C)OC(=O)NC[C@H](O)CC(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001363315117 1131893787 /nfs/dbraw/zinc/89/37/87/1131893787.db2.gz HMHFUCNAEVHIMM-VHSXEESVSA-N -1 1 340.384 -0.209 20 0 EBADMM COC(=O)[C@@H]1[C@H](CNC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1(F)F ZINC001363347822 1131909400 /nfs/dbraw/zinc/90/94/00/1131909400.db2.gz KGBBMXNJAPUZHQ-WHFBIAKZSA-N -1 1 333.247 -0.320 20 0 EBADMM COC(=O)CC[C@@H]1CC[C@H](C(=O)N2CCOC[C@H]2c2nn[n-]n2)O1 ZINC001363407588 1131937481 /nfs/dbraw/zinc/93/74/81/1131937481.db2.gz KKURHBCXVAEDLN-GARJFASQSA-N -1 1 339.352 -0.400 20 0 EBADMM O=C(C[C@H]1Oc2ccccc2NC1=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001363412788 1131939775 /nfs/dbraw/zinc/93/97/75/1131939775.db2.gz VZPAWFPRBBGPKL-ZYHUDNBSSA-N -1 1 344.331 -0.111 20 0 EBADMM COC[C@H](NC(=O)c1ccc2c(c1)N(C)C(=O)CO2)c1nn[n-]n1 ZINC001363431135 1131946361 /nfs/dbraw/zinc/94/63/61/1131946361.db2.gz GXJKLLQVBYKDRI-VIFPVBQESA-N -1 1 332.320 -0.328 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H](CO)C[C@H]2CCCO2)o1 ZINC001363435385 1131949395 /nfs/dbraw/zinc/94/93/95/1131949395.db2.gz DTIMGWZAWCNFIT-VHSXEESVSA-N -1 1 332.378 -0.153 20 0 EBADMM CCOC(=O)N1CCC[C@@H](C(=O)N[C@H](COC)c2nn[n-]n2)C1 ZINC001363437196 1131949543 /nfs/dbraw/zinc/94/95/43/1131949543.db2.gz PQHMAEIHWKCRSR-NXEZZACHSA-N -1 1 326.357 -0.128 20 0 EBADMM CCOC(=O)[C@H](COC)NC(=O)CNC(=O)c1ncccc1[O-] ZINC001363491389 1131971648 /nfs/dbraw/zinc/97/16/48/1131971648.db2.gz GQDBEWGBZAKSAL-VIFPVBQESA-N -1 1 325.321 -0.789 20 0 EBADMM NC(=O)N[C@H](CC(=O)N1CCOC[C@@H]1c1nn[n-]n1)c1ccccc1 ZINC001363512298 1131984812 /nfs/dbraw/zinc/98/48/12/1131984812.db2.gz LXAFGYQSCOMPCQ-VXGBXAGGSA-N -1 1 345.363 -0.101 20 0 EBADMM O=C(Cn1nc2n(c1=O)CCCCC2)N1CCC(c2nn[n-]n2)CC1 ZINC001363520319 1131988773 /nfs/dbraw/zinc/98/87/73/1131988773.db2.gz YWPKDEBCPHEAIU-UHFFFAOYSA-N -1 1 346.395 -0.310 20 0 EBADMM NS(=O)(=O)c1ccsc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001363519213 1131988916 /nfs/dbraw/zinc/98/89/16/1131988916.db2.gz NBSCYFLSLZBGQR-UHFFFAOYSA-N -1 1 342.406 -0.072 20 0 EBADMM O=C(CCc1nn[n-]n1)NCc1ccc(CN2CCNC2=O)cc1 ZINC001363632144 1132038684 /nfs/dbraw/zinc/03/86/84/1132038684.db2.gz UTRVJZIBPZIUPQ-UHFFFAOYSA-N -1 1 329.364 -0.026 20 0 EBADMM CS(=O)(=O)C[C@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)CCO1 ZINC001363658065 1132047222 /nfs/dbraw/zinc/04/72/22/1132047222.db2.gz XTBQKMIVWGTFQF-SNVBAGLBSA-N -1 1 341.389 -0.055 20 0 EBADMM O=C(COc1ccccc1)NCC(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001363719335 1132067592 /nfs/dbraw/zinc/06/75/92/1132067592.db2.gz IUESYWSRYVHCOV-LLVKDONJSA-N -1 1 330.348 -0.289 20 0 EBADMM CC1(C)CN(C(=O)CNC(=O)c2ncccc2[O-])[C@@H]2COC[C@H]2O1 ZINC001363722079 1132068634 /nfs/dbraw/zinc/06/86/34/1132068634.db2.gz XXYUXEKAWVSJFG-ZYHUDNBSSA-N -1 1 335.360 -0.078 20 0 EBADMM COC(=O)C[C@H](C)C(=O)Nc1n[n-]c(OCCO)c1C(=O)OC ZINC001363735191 1132072118 /nfs/dbraw/zinc/07/21/18/1132072118.db2.gz OKJWEAWEXYWQPX-ZETCQYMHSA-N -1 1 329.309 -0.295 20 0 EBADMM COC(=O)C[C@H](C)C(=O)Nc1[n-]nc(OCCO)c1C(=O)OC ZINC001363735191 1132072125 /nfs/dbraw/zinc/07/21/25/1132072125.db2.gz OKJWEAWEXYWQPX-ZETCQYMHSA-N -1 1 329.309 -0.295 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2c3ccccc3C[C@H]2O)c(=O)[nH]c1=O ZINC001363780509 1132085465 /nfs/dbraw/zinc/08/54/65/1132085465.db2.gz ALHCECQOQFUGCI-PWSUYJOCSA-N -1 1 337.357 -0.578 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@H](C)N2CCCCC2=O)[n-]n1 ZINC001363857550 1132112464 /nfs/dbraw/zinc/11/24/64/1132112464.db2.gz FWBIKEZPHZRZNZ-VIFPVBQESA-N -1 1 344.393 -0.124 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@H](C)N2CCCCC2=O)n[n-]1 ZINC001363857550 1132112472 /nfs/dbraw/zinc/11/24/72/1132112472.db2.gz FWBIKEZPHZRZNZ-VIFPVBQESA-N -1 1 344.393 -0.124 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CCC[C@@H]3OCC[C@H]32)c(=O)[nH]c1=O ZINC001363949452 1132139613 /nfs/dbraw/zinc/13/96/13/1132139613.db2.gz CNLABISOCXJADH-AEJSXWLSSA-N -1 1 329.378 -0.278 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@@H](C)CN2CCOCC2)[n-]n1 ZINC001363981781 1132153093 /nfs/dbraw/zinc/15/30/93/1132153093.db2.gz GNQGTHNOUGEFFO-JTQLQIEISA-N -1 1 346.409 -0.463 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@@H](C)CN2CCOCC2)n[n-]1 ZINC001363981781 1132153098 /nfs/dbraw/zinc/15/30/98/1132153098.db2.gz GNQGTHNOUGEFFO-JTQLQIEISA-N -1 1 346.409 -0.463 20 0 EBADMM Cc1nc(CS(=O)(=O)[N-]Cc2ncccc2S(C)(=O)=O)no1 ZINC001363986336 1132153979 /nfs/dbraw/zinc/15/39/79/1132153979.db2.gz TXYHZOFHGSZURT-UHFFFAOYSA-N -1 1 346.390 -0.204 20 0 EBADMM CCN(C)C(=O)[C@@H](C)[N-]S(=O)(=O)c1n[nH]c(C)c1C(=O)OC ZINC001363987431 1132155077 /nfs/dbraw/zinc/15/50/77/1132155077.db2.gz UCWCMDJXAXJSFF-MRVPVSSYSA-N -1 1 332.382 -0.350 20 0 EBADMM CO[C@@](C)(CO)C[N-]S(=O)(=O)c1nc[nH]c1Br ZINC001364003743 1132161602 /nfs/dbraw/zinc/16/16/02/1132161602.db2.gz QULDRXSGLMVAJN-MRVPVSSYSA-N -1 1 328.188 -0.152 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCN(C3CCC3)C2=O)[n-]n1 ZINC001364020267 1132168694 /nfs/dbraw/zinc/16/86/94/1132168694.db2.gz JBTOAHHWHRORST-VIFPVBQESA-N -1 1 342.377 -0.372 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCN(C3CCC3)C2=O)n[n-]1 ZINC001364020267 1132168702 /nfs/dbraw/zinc/16/87/02/1132168702.db2.gz JBTOAHHWHRORST-VIFPVBQESA-N -1 1 342.377 -0.372 20 0 EBADMM C[C@H]1C[C@H]([N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)c2ncnn21 ZINC001364036382 1132176726 /nfs/dbraw/zinc/17/67/26/1132176726.db2.gz ZTWQKOQVEYMIQV-BQBZGAKWSA-N -1 1 326.338 -0.938 20 0 EBADMM Cc1nc(CS(=O)(=O)[N-]CC2(S(=O)(=O)N(C)C)CC2)no1 ZINC001364081778 1132200449 /nfs/dbraw/zinc/20/04/49/1132200449.db2.gz TUQLJBUIRFKWGN-UHFFFAOYSA-N -1 1 338.411 -0.779 20 0 EBADMM CNC(=O)C1([N-]S(=O)(=O)c2ncn(C)c2Cl)CCOCC1 ZINC001364080300 1132200491 /nfs/dbraw/zinc/20/04/91/1132200491.db2.gz OYJPEFNMZFHDSG-UHFFFAOYSA-N -1 1 336.801 -0.353 20 0 EBADMM COCC(COC)S(=O)(=O)[N-][C@@H](C[C@H]1CCCO1)C(=O)OC ZINC001364081089 1132200541 /nfs/dbraw/zinc/20/05/41/1132200541.db2.gz FXKFJGZTOZNHGI-PWSUYJOCSA-N -1 1 339.410 -0.322 20 0 EBADMM CC(C)c1nc(C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)c[nH]1 ZINC001364116512 1132212148 /nfs/dbraw/zinc/21/21/48/1132212148.db2.gz PHPXVOQVMVNJFB-UHFFFAOYSA-N -1 1 327.366 -0.189 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)N[C@@H]3C(=O)NCC34CCOCC4)ccnc1-2 ZINC001364116806 1132212553 /nfs/dbraw/zinc/21/25/53/1132212553.db2.gz SCIWUVHWYHQKDD-GFCCVEGCSA-N -1 1 344.375 -0.242 20 0 EBADMM Cn1cc(CC2CN(C(=O)CNC(=O)c3ncccc3[O-])C2)cn1 ZINC001364249605 1132253004 /nfs/dbraw/zinc/25/30/04/1132253004.db2.gz UMNOILNTIJGRBG-UHFFFAOYSA-N -1 1 329.360 -0.048 20 0 EBADMM COc1cnc(C2(NC(=O)CCNC(=O)CO)CCCC2)[n-]c1=O ZINC001364320383 1132275535 /nfs/dbraw/zinc/27/55/35/1132275535.db2.gz OAQQNUBXXFVEGD-UHFFFAOYSA-N -1 1 338.364 -0.425 20 0 EBADMM CCN(C)C(=O)[C@H](C)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001364327233 1132277955 /nfs/dbraw/zinc/27/79/55/1132277955.db2.gz ZKIWKMRPFIIVKE-VIFPVBQESA-N -1 1 337.380 -0.079 20 0 EBADMM NC(=O)CC1CC(NC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)C1 ZINC001364332489 1132279680 /nfs/dbraw/zinc/27/96/80/1132279680.db2.gz CLHJTXUBLMDWRG-UHFFFAOYSA-N -1 1 336.314 -0.040 20 0 EBADMM COc1cnc([C@@H]2CCCN2C(=O)CCCS(N)(=O)=O)[n-]c1=O ZINC001364416323 1132309780 /nfs/dbraw/zinc/30/97/80/1132309780.db2.gz NTVWVIFMYNOBST-VIFPVBQESA-N -1 1 344.393 -0.077 20 0 EBADMM C[C@H](NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)c1ncn(C)n1 ZINC001364595525 1132370984 /nfs/dbraw/zinc/37/09/84/1132370984.db2.gz CVGQCMVNZDCELQ-QMMMGPOBSA-N -1 1 333.352 -0.056 20 0 EBADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-][C@H](C(N)=O)c1ccccc1 ZINC001364772399 1132421467 /nfs/dbraw/zinc/42/14/67/1132421467.db2.gz BRZQBGZIDDZFHZ-WVDJIFEKSA-N -1 1 334.423 -0.378 20 0 EBADMM CC(C)C[C@@H](CCO)C[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001364783216 1132425555 /nfs/dbraw/zinc/42/55/55/1132425555.db2.gz DPUDYWMMJYANLJ-SNVBAGLBSA-N -1 1 333.410 -0.191 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC(NC(C)=O)CC2)[n-]n1 ZINC001364794784 1132429161 /nfs/dbraw/zinc/42/91/61/1132429161.db2.gz CZCGDXUXGUNCLN-UHFFFAOYSA-N -1 1 330.366 -0.515 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC(NC(C)=O)CC2)n[n-]1 ZINC001364794784 1132429169 /nfs/dbraw/zinc/42/91/69/1132429169.db2.gz CZCGDXUXGUNCLN-UHFFFAOYSA-N -1 1 330.366 -0.515 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CC(=O)N(C2CC2)C1 ZINC001364798341 1132430132 /nfs/dbraw/zinc/43/01/32/1132430132.db2.gz PQOJFXKFJZRKDB-ZETCQYMHSA-N -1 1 328.350 -0.762 20 0 EBADMM O=S(=O)([N-]Cc1nnnn1C1CC1)c1nc[nH]c1Br ZINC001364810126 1132435029 /nfs/dbraw/zinc/43/50/29/1132435029.db2.gz DTYLEPOPQKYUQC-UHFFFAOYSA-N -1 1 348.186 -0.028 20 0 EBADMM COC[C@@H](NC(=O)[C@H]1CC12CCS(=O)(=O)CC2)c1nn[n-]n1 ZINC001364812631 1132435225 /nfs/dbraw/zinc/43/52/25/1132435225.db2.gz FBPYAMALWYCRSJ-RKDXNWHRSA-N -1 1 329.382 -0.782 20 0 EBADMM CCS(=O)(=O)N1CCC(C(=O)N[C@@H](COC)c2nn[n-]n2)CC1 ZINC001364813068 1132436450 /nfs/dbraw/zinc/43/64/50/1132436450.db2.gz GHIPUIBWTDOZQO-JTQLQIEISA-N -1 1 346.413 -0.935 20 0 EBADMM COC[C@@H](NC(=O)c1ccc(S(C)(=O)=O)cc1)c1nn[n-]n1 ZINC001364815887 1132437322 /nfs/dbraw/zinc/43/73/22/1132437322.db2.gz RKSLTRLEQDKGBB-SNVBAGLBSA-N -1 1 325.350 -0.279 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCn3nc(C)nc3C2)[n-]n1 ZINC001364815637 1132437348 /nfs/dbraw/zinc/43/73/48/1132437348.db2.gz PTTOEBQBRBXQRE-UHFFFAOYSA-N -1 1 326.338 -0.699 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCn3nc(C)nc3C2)n[n-]1 ZINC001364815637 1132437350 /nfs/dbraw/zinc/43/73/50/1132437350.db2.gz PTTOEBQBRBXQRE-UHFFFAOYSA-N -1 1 326.338 -0.699 20 0 EBADMM CCNC(=O)N1CC[C@@H](NS(=O)(=O)c2cc(C(=O)OC)n[n-]2)C1 ZINC001364821797 1132440622 /nfs/dbraw/zinc/44/06/22/1132440622.db2.gz LOOLASPPYLTAIT-MRVPVSSYSA-N -1 1 345.381 -0.722 20 0 EBADMM CCNC(=O)N1CC[C@@H](NS(=O)(=O)c2cc(C(=O)OC)[n-]n2)C1 ZINC001364821797 1132440624 /nfs/dbraw/zinc/44/06/24/1132440624.db2.gz LOOLASPPYLTAIT-MRVPVSSYSA-N -1 1 345.381 -0.722 20 0 EBADMM CCOC(=O)[C@@](C)(O)C[N-]S(=O)(=O)C1(C(=O)OCC)CCC1 ZINC001364835520 1132447942 /nfs/dbraw/zinc/44/79/42/1132447942.db2.gz JYIKZORLNKCMPR-LBPRGKRZSA-N -1 1 337.394 -0.294 20 0 EBADMM CC[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)[C@H](O)C(F)F ZINC001364851256 1132453708 /nfs/dbraw/zinc/45/37/08/1132453708.db2.gz KXERGHCOPDJYOL-FSPLSTOPSA-N -1 1 327.309 -0.831 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1C[C@@H]2CCCCN2C1=O ZINC001364857675 1132455583 /nfs/dbraw/zinc/45/55/83/1132455583.db2.gz GKDQQYGIVFOIQZ-WCBMZHEXSA-N -1 1 342.377 -0.372 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H](C)N(CCO)[C@@H](C)C2)[n-]n1 ZINC001364865791 1132459368 /nfs/dbraw/zinc/45/93/68/1132459368.db2.gz SUQNYJLVDVPQMS-AOOOYVTPSA-N -1 1 346.409 -0.728 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H](C)N(CCO)[C@@H](C)C2)n[n-]1 ZINC001364865791 1132459370 /nfs/dbraw/zinc/45/93/70/1132459370.db2.gz SUQNYJLVDVPQMS-AOOOYVTPSA-N -1 1 346.409 -0.728 20 0 EBADMM CO[C@](C)([C@@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)C1CC1 ZINC001364873388 1132463381 /nfs/dbraw/zinc/46/33/81/1132463381.db2.gz WYABVWXXUWQYJD-AMIZOPFISA-N -1 1 331.394 -0.032 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCCN(CCF)C1 ZINC001364903031 1132476968 /nfs/dbraw/zinc/47/69/68/1132476968.db2.gz PRPYSKLBLDFKMS-VIFPVBQESA-N -1 1 334.373 -0.092 20 0 EBADMM CCC(CC)(C[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)C(=O)OC ZINC001364917815 1132485447 /nfs/dbraw/zinc/48/54/47/1132485447.db2.gz NWLOXVXTPJAXMI-UHFFFAOYSA-N -1 1 347.393 -0.256 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2C[C@H]2C(F)(F)F)c(=O)[nH]c1=O ZINC001364921832 1132487451 /nfs/dbraw/zinc/48/74/51/1132487451.db2.gz SIGPYXZXCSHHKP-NTSWFWBYSA-N -1 1 327.284 -0.037 20 0 EBADMM CCOC(=O)[C@H](COC)[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC001364957953 1132501882 /nfs/dbraw/zinc/50/18/82/1132501882.db2.gz WBABDAJFDWFBQK-VIFPVBQESA-N -1 1 335.334 -0.077 20 0 EBADMM COC(=O)[C@@H](CC1OCCO1)[N-]S(=O)(=O)C[C@@H](OC)C1CC1 ZINC001364992842 1132513556 /nfs/dbraw/zinc/51/35/56/1132513556.db2.gz NVQXOFBSWQGZQF-GHMZBOCLSA-N -1 1 337.394 -0.365 20 0 EBADMM COC(=O)[C@@H](CC1OCCO1)[N-]S(=O)(=O)CC[C@@H]1CCCO1 ZINC001365008756 1132519567 /nfs/dbraw/zinc/51/95/67/1132519567.db2.gz RMESSBUKALLXIK-WDEREUQCSA-N -1 1 337.394 -0.221 20 0 EBADMM C[C@H](COCC(F)(F)F)[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001365033571 1132525028 /nfs/dbraw/zinc/52/50/28/1132525028.db2.gz RUYJGNMVQFVGLN-ZCFIWIBFSA-N -1 1 345.299 -0.268 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(CCO)Cc2cccnc2)[n-]n1 ZINC001365129738 1132553959 /nfs/dbraw/zinc/55/39/59/1132553959.db2.gz QQFBHLJKIUMNOK-UHFFFAOYSA-N -1 1 340.361 -0.226 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(CCO)Cc2cccnc2)n[n-]1 ZINC001365129738 1132553964 /nfs/dbraw/zinc/55/39/64/1132553964.db2.gz QQFBHLJKIUMNOK-UHFFFAOYSA-N -1 1 340.361 -0.226 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)CCNC(N)=O ZINC001414110082 1132569973 /nfs/dbraw/zinc/56/99/73/1132569973.db2.gz NWTWJOWYCOIQHM-SNVBAGLBSA-N -1 1 335.364 -0.482 20 0 EBADMM CC(C)NC(=O)[C@@H](C)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001365238091 1132588323 /nfs/dbraw/zinc/58/83/23/1132588323.db2.gz FNHYUCQPMGQECB-SECBINFHSA-N -1 1 337.380 -0.033 20 0 EBADMM CN(C)C1(CNS(=O)(=O)c2c[nH]c3nc(=O)[n-]c(=O)c-3c2)CC1 ZINC001365425072 1132665967 /nfs/dbraw/zinc/66/59/67/1132665967.db2.gz JNBQHKJCPBDODT-UHFFFAOYSA-N -1 1 339.377 -0.192 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCc2cncc(C)n2)[n-]n1 ZINC001365445078 1132680797 /nfs/dbraw/zinc/68/07/97/1132680797.db2.gz OFJMPMYGHAMXRO-UHFFFAOYSA-N -1 1 325.350 -0.184 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCc2cncc(C)n2)n[n-]1 ZINC001365445078 1132680803 /nfs/dbraw/zinc/68/08/03/1132680803.db2.gz OFJMPMYGHAMXRO-UHFFFAOYSA-N -1 1 325.350 -0.184 20 0 EBADMM CO[C@@H](CC(C)C)CS(=O)(=O)[N-][C@@H]1CS(=O)(=O)C[C@H]1O ZINC001365452373 1132684162 /nfs/dbraw/zinc/68/41/62/1132684162.db2.gz FOMYYGDPCKYLHL-HBNTYKKESA-N -1 1 329.440 -0.875 20 0 EBADMM O=S(=O)(C[C@@H]1CCCC1(F)F)[N-][C@@H]1CS(=O)(=O)C[C@H]1O ZINC001365453191 1132685536 /nfs/dbraw/zinc/68/55/36/1132685536.db2.gz YRPJYWACBBBPNG-DJLDLDEBSA-N -1 1 333.378 -0.501 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](CO)c2nncn2C)c1 ZINC001365457088 1132688476 /nfs/dbraw/zinc/68/84/76/1132688476.db2.gz DAJFWNGBUXJBBL-SECBINFHSA-N -1 1 328.350 -0.459 20 0 EBADMM CCNC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)CC1 ZINC001365632307 1132740540 /nfs/dbraw/zinc/74/05/40/1132740540.db2.gz UIRYRUIPBGOSCL-UHFFFAOYSA-N -1 1 345.381 -0.768 20 0 EBADMM CCNC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)CC1 ZINC001365632307 1132740543 /nfs/dbraw/zinc/74/05/43/1132740543.db2.gz UIRYRUIPBGOSCL-UHFFFAOYSA-N -1 1 345.381 -0.768 20 0 EBADMM Cc1ccc([C@H](O)C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)o1 ZINC001365660487 1132750255 /nfs/dbraw/zinc/75/02/55/1132750255.db2.gz PBLWZGJAXGHOAP-MRVPVSSYSA-N -1 1 329.334 -0.601 20 0 EBADMM COc1cc(C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)ccc1O ZINC001365665334 1132753372 /nfs/dbraw/zinc/75/33/72/1132753372.db2.gz COTDZNLLTTUAPX-UHFFFAOYSA-N -1 1 341.345 -0.321 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@@H]3C)C2)[n-]n1 ZINC001365671838 1132757459 /nfs/dbraw/zinc/75/74/59/1132757459.db2.gz DLSZFQDLCRJOEW-QXFUBDJGSA-N -1 1 342.377 -0.516 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@@H]3C)C2)n[n-]1 ZINC001365671838 1132757470 /nfs/dbraw/zinc/75/74/70/1132757470.db2.gz DLSZFQDLCRJOEW-QXFUBDJGSA-N -1 1 342.377 -0.516 20 0 EBADMM COC[C@](C)(CC(=O)OC)[N-]S(=O)(=O)N=[S@](C)(=O)N(C)C ZINC001365673057 1132758250 /nfs/dbraw/zinc/75/82/50/1132758250.db2.gz LQLNTJKPOCFHFH-FVINQWEUSA-N -1 1 345.443 -0.637 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2CC(=O)N(C(C)(C)C)C2)c(=O)[nH]c1=O ZINC001365680052 1132760741 /nfs/dbraw/zinc/76/07/41/1132760741.db2.gz WGLHVVJZEPACAP-QMMMGPOBSA-N -1 1 344.393 -0.836 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@H](CO)CC2CCCC2)c(=O)[nH]c1=O ZINC001365703756 1132768879 /nfs/dbraw/zinc/76/88/79/1132768879.db2.gz OADSNIUTTLBPSX-LLVKDONJSA-N -1 1 345.421 -0.047 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2CCN(CC(F)F)C2)c(=O)[nH]c1=O ZINC001365715468 1132773540 /nfs/dbraw/zinc/77/35/40/1132773540.db2.gz VXUONEBWICAIIN-ZETCQYMHSA-N -1 1 338.336 -0.896 20 0 EBADMM C[C@H](C(=O)N(C)C)S(=O)(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001365727979 1132779003 /nfs/dbraw/zinc/77/90/03/1132779003.db2.gz XCYAQCAMNFGUPR-MRVPVSSYSA-N -1 1 344.393 -0.849 20 0 EBADMM COC[C@H](CS(=O)(=O)[N-][C@H](C[C@H]1CCCO1)C(=O)OC)OC ZINC001365735278 1132783348 /nfs/dbraw/zinc/78/33/48/1132783348.db2.gz NZPVAAVCFZNSID-IJLUTSLNSA-N -1 1 339.410 -0.322 20 0 EBADMM CN(C)S(=O)(=O)CCS(=O)(=O)[N-]CC(=O)c1cncs1 ZINC001365743993 1132787818 /nfs/dbraw/zinc/78/78/18/1132787818.db2.gz HYQQPLHOJHLDLX-UHFFFAOYSA-N -1 1 341.436 -0.863 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCC2(n3cccn3)CC2)[n-]n1 ZINC001365742344 1132788056 /nfs/dbraw/zinc/78/80/56/1132788056.db2.gz RFVYYOJXLQVVAQ-UHFFFAOYSA-N -1 1 325.350 -0.140 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCC2(n3cccn3)CC2)n[n-]1 ZINC001365742344 1132788063 /nfs/dbraw/zinc/78/80/63/1132788063.db2.gz RFVYYOJXLQVVAQ-UHFFFAOYSA-N -1 1 325.350 -0.140 20 0 EBADMM CC(=O)NCC(=O)N1C[C@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1Cc1n[nH]c(=O)[n-]1 ZINC001365869069 1132845547 /nfs/dbraw/zinc/84/55/47/1132845547.db2.gz FQKLCAZFKMSGMF-LOWDOPEQSA-N -1 1 348.407 -0.685 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)CCc3cncnc3)C2)nc1=O ZINC001365932436 1132894222 /nfs/dbraw/zinc/89/42/22/1132894222.db2.gz KTBORIXSTLOTHT-CYBMUJFWSA-N -1 1 345.407 -0.388 20 0 EBADMM CCC(=O)N[C@H](C)C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001365936870 1132897293 /nfs/dbraw/zinc/89/72/93/1132897293.db2.gz NGODLVMHAYKWCX-GHMZBOCLSA-N -1 1 338.412 -0.896 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H]2CNC(=O)Cc2cc[nH]n2)nc1=O ZINC001366198615 1133052721 /nfs/dbraw/zinc/05/27/21/1133052721.db2.gz IHSQFMAHVJADAJ-LBPRGKRZSA-N -1 1 333.396 -0.455 20 0 EBADMM CO[C@@H]1CCC[C@H](C(=O)NCCN(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001366561932 1133188606 /nfs/dbraw/zinc/18/86/06/1133188606.db2.gz AAQOMOCZBNRGIB-NWDGAFQWSA-N -1 1 325.413 -0.138 20 0 EBADMM CCN(CCNC(=O)c1cnc2n1CCOC2)Cc1nc(=O)n(C)[n-]1 ZINC001366616834 1133213136 /nfs/dbraw/zinc/21/31/36/1133213136.db2.gz KSXGTNFDSRLGAM-UHFFFAOYSA-N -1 1 349.395 -0.913 20 0 EBADMM Cn1[n-]c(CN(CCNC(=O)[C@H]2C[C@]23CCOC3)C2CC2)nc1=O ZINC001366759654 1133286420 /nfs/dbraw/zinc/28/64/20/1133286420.db2.gz ILEDWULZNQPUKO-WBMJQRKESA-N -1 1 335.408 -0.384 20 0 EBADMM CO[C@@H](C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1)c1ccc(F)cc1 ZINC001366952265 1133382269 /nfs/dbraw/zinc/38/22/69/1133382269.db2.gz YTCWAVIUJYDLKS-CQSZACIVSA-N -1 1 349.366 -0.064 20 0 EBADMM CNC(=O)NC(=O)[C@@H](C)N1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1[O-] ZINC001366994886 1133409142 /nfs/dbraw/zinc/40/91/42/1133409142.db2.gz ODVVPOLHMCLXEU-VWYCJHECSA-N -1 1 347.375 -0.470 20 0 EBADMM CN(C(=O)CCc1ccnn1C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001367043584 1133449510 /nfs/dbraw/zinc/44/95/10/1133449510.db2.gz HOYPYDKHMHVNBS-CYBMUJFWSA-N -1 1 347.423 -0.493 20 0 EBADMM C[C@@H](C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1)n1cncn1 ZINC001367318749 1133549010 /nfs/dbraw/zinc/54/90/10/1133549010.db2.gz OZXKLWIQGROQHZ-JTQLQIEISA-N -1 1 334.384 -0.118 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)COCc1ccnn1C ZINC001367361990 1133562654 /nfs/dbraw/zinc/56/26/54/1133562654.db2.gz DCVUWHXKTXIKNC-JTQLQIEISA-N -1 1 337.384 -0.603 20 0 EBADMM CN(CCN(C)C(=O)CC[C@@H]1CCOC1)Cc1nc(=O)n(C)[n-]1 ZINC001367509290 1133608564 /nfs/dbraw/zinc/60/85/64/1133608564.db2.gz XUAQXGNVARUPAZ-GFCCVEGCSA-N -1 1 325.413 -0.185 20 0 EBADMM CO[C@H](CC(=O)NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(F)(F)F ZINC001367616137 1133697884 /nfs/dbraw/zinc/69/78/84/1133697884.db2.gz HYXUVUNSZHPZKO-NKWVEPMBSA-N -1 1 341.290 -0.956 20 0 EBADMM C[C@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)C[C@@H](C)n1cccn1 ZINC001367846940 1133811128 /nfs/dbraw/zinc/81/11/28/1133811128.db2.gz UBNJYBQDLRUTEY-VXGBXAGGSA-N -1 1 335.412 -0.107 20 0 EBADMM C[C@H](CS(C)(=O)=O)C(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001367921917 1133840944 /nfs/dbraw/zinc/84/09/44/1133840944.db2.gz RSVPNNWPELNYIB-PSASIEDQSA-N -1 1 345.425 -0.825 20 0 EBADMM Cc1ccn(C[C@@H](C)C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001367957127 1133862772 /nfs/dbraw/zinc/86/27/72/1133862772.db2.gz XQVGDRJRPJNBCP-DGCLKSJQSA-N -1 1 347.423 -0.360 20 0 EBADMM C[C@@H](C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)c1cccnc1 ZINC001367984333 1133879654 /nfs/dbraw/zinc/87/96/54/1133879654.db2.gz QPCHRZVBZUJVIL-YPMHNXCESA-N -1 1 330.392 -0.002 20 0 EBADMM CCC1(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)CCOCC1 ZINC001368090883 1133933075 /nfs/dbraw/zinc/93/30/75/1133933075.db2.gz YOLVPFNFMVUCRG-UHFFFAOYSA-N -1 1 337.424 -0.042 20 0 EBADMM CS(=O)(=O)CC(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CCCC1 ZINC001368136299 1133954134 /nfs/dbraw/zinc/95/41/34/1133954134.db2.gz NDJBLZWFILMXBY-SNVBAGLBSA-N -1 1 345.425 -0.681 20 0 EBADMM CS(=O)(=O)CC(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CCCC1 ZINC001368136297 1133954162 /nfs/dbraw/zinc/95/41/62/1133954162.db2.gz NDJBLZWFILMXBY-JTQLQIEISA-N -1 1 345.425 -0.681 20 0 EBADMM Cn1ncc(CNC2(CNC(=O)c3ncccc3[O-])CCOCC2)n1 ZINC001368224991 1133997684 /nfs/dbraw/zinc/99/76/84/1133997684.db2.gz YPUWCQXNHSMBRG-UHFFFAOYSA-N -1 1 346.391 -0.016 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@](C)(CNC(=O)Cc3ncc[nH]3)C2)nc1=O ZINC001368233234 1134000798 /nfs/dbraw/zinc/00/07/98/1134000798.db2.gz ZTDDLTMFNATRCG-INIZCTEOSA-N -1 1 347.423 -0.208 20 0 EBADMM O=C(NCC1(NCc2n[nH]c(=O)[n-]2)CCOCC1)c1ccncn1 ZINC001368235445 1134003175 /nfs/dbraw/zinc/00/31/75/1134003175.db2.gz FOACJLDYYVFULG-UHFFFAOYSA-N -1 1 333.352 -0.631 20 0 EBADMM CC(C)CCCC(=O)NC[C@@]1(O)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001368254436 1134037959 /nfs/dbraw/zinc/03/79/59/1134037959.db2.gz AEFIJXAFEYPZKX-INIZCTEOSA-N -1 1 339.440 -0.012 20 0 EBADMM C[C@@H](C(=O)NC[C@]1(O)CCN(Cc2nc(=O)n(C)[n-]2)C1)C(C)(C)C ZINC001368255023 1134038872 /nfs/dbraw/zinc/03/88/72/1134038872.db2.gz FTHDWWRQARMRNR-MEDUHNTESA-N -1 1 339.440 -0.156 20 0 EBADMM Cc1nnc(CN2CC[C@@](O)(CNC(=O)c3ncccc3[O-])C2)o1 ZINC001368269094 1134071435 /nfs/dbraw/zinc/07/14/35/1134071435.db2.gz WNYPGTWDKFQTNO-OAHLLOKOSA-N -1 1 333.348 -0.155 20 0 EBADMM Cn1[n-]c(CN2CC(O)(CNC(=O)C3(C(C)(F)F)CC3)C2)nc1=O ZINC001368310651 1134135075 /nfs/dbraw/zinc/13/50/75/1134135075.db2.gz UGJVYXVAGJFGGP-UHFFFAOYSA-N -1 1 345.350 -0.793 20 0 EBADMM O=C(NC1(CNCc2n[nH]c(=O)[n-]2)CCOCC1)c1ccncc1 ZINC001368616416 1134327341 /nfs/dbraw/zinc/32/73/41/1134327341.db2.gz AAKSUSYMZVQGPY-UHFFFAOYSA-N -1 1 332.364 -0.026 20 0 EBADMM CCn1cc(C(=O)NC2(CNCc3n[nH]c(=O)[n-]3)CCOCC2)cn1 ZINC001368628994 1134340974 /nfs/dbraw/zinc/34/09/74/1134340974.db2.gz SUYOWUVSKJRDTB-UHFFFAOYSA-N -1 1 349.395 -0.205 20 0 EBADMM CO[C@@H](C)CC(=O)N1CCC(O)(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001368679006 1134376125 /nfs/dbraw/zinc/37/61/25/1134376125.db2.gz NGLLBNDSEKMADJ-JTQLQIEISA-N -1 1 327.385 -0.622 20 0 EBADMM CC(C)C(=O)N1CCC[C@@H](N(CCO)Cc2nc(=O)n(C)[n-]2)C1 ZINC001368720226 1134406238 /nfs/dbraw/zinc/40/62/38/1134406238.db2.gz SNOWNCNQGRVQEU-GFCCVEGCSA-N -1 1 325.413 -0.450 20 0 EBADMM COC[C@H](OC)C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001368774964 1134434993 /nfs/dbraw/zinc/43/49/93/1134434993.db2.gz OYURMLNRQZEGIE-QWRGUYRKSA-N -1 1 327.385 -0.358 20 0 EBADMM Cc1nn(C)cc1CCC(=O)NC[C@H](C)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001368988787 1134510509 /nfs/dbraw/zinc/51/05/09/1134510509.db2.gz VWXBEFKIUFQOJC-NSHDSACASA-N -1 1 349.439 -0.280 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)NC1(CCO)CN(CCC2CC2)C1 ZINC001369032429 1134539393 /nfs/dbraw/zinc/53/93/93/1134539393.db2.gz PSQNBDHSDRNCIK-UHFFFAOYSA-N -1 1 325.369 -0.958 20 0 EBADMM CN(C(=O)CN1CCCC1=O)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001369199883 1134614469 /nfs/dbraw/zinc/61/44/69/1134614469.db2.gz CSMKORMGGOZMIK-NSHDSACASA-N -1 1 336.396 -0.541 20 0 EBADMM CC(C)c1cccc(C(=O)NC[C@@H](CO)NCc2nc(=O)n(C)[n-]2)n1 ZINC001369344864 1134692938 /nfs/dbraw/zinc/69/29/38/1134692938.db2.gz RBRHARMXWQHJLF-NSHDSACASA-N -1 1 348.407 -0.493 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)COCc1ccnn1C ZINC001369393090 1134753508 /nfs/dbraw/zinc/75/35/08/1134753508.db2.gz FBPIERRXQBQVMG-MNOVXSKESA-N -1 1 349.395 -0.460 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)COCc1ccnn1C ZINC001369393107 1134753742 /nfs/dbraw/zinc/75/37/42/1134753742.db2.gz FBPIERRXQBQVMG-QWRGUYRKSA-N -1 1 349.395 -0.460 20 0 EBADMM O=C(CCc1c[nH]nn1)N[C@]12CCC[C@@H]1N(Cc1n[nH]c(=O)[n-]1)CC2 ZINC001369412021 1134768559 /nfs/dbraw/zinc/76/85/59/1134768559.db2.gz LZMBNEHBEUDHQI-NHYWBVRUSA-N -1 1 346.395 -0.126 20 0 EBADMM CCc1cccnc1C(=O)N(C)C[C@@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001369470382 1134821675 /nfs/dbraw/zinc/82/16/75/1134821675.db2.gz FWENCSQDYODXGI-NSHDSACASA-N -1 1 334.380 -0.310 20 0 EBADMM C[C@H]1CO[C@H](C(=O)NC2(CCO)CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001369994803 1135152758 /nfs/dbraw/zinc/15/27/58/1135152758.db2.gz FWWOZDKEYFNCQS-YPMHNXCESA-N -1 1 349.387 -0.095 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)NC[C@H](NCc1nccs1)C1CC1 ZINC001370269113 1135276349 /nfs/dbraw/zinc/27/63/49/1135276349.db2.gz ZWTYDAUQOOVEBE-VIFPVBQESA-N -1 1 338.393 -0.170 20 0 EBADMM O=C(CNC(=O)C1CC1)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001370288116 1135284943 /nfs/dbraw/zinc/28/49/43/1135284943.db2.gz AXOIPEVIGNEFAH-UMSPYCQHSA-N -1 1 346.387 -0.062 20 0 EBADMM C[C@@H](CN(C)C(=O)C(F)F)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001370428758 1135347250 /nfs/dbraw/zinc/34/72/50/1135347250.db2.gz TWXSITVRQZSRFQ-LURJTMIESA-N -1 1 328.279 -0.741 20 0 EBADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)c1cc(=O)n(C)cn1 ZINC001370468787 1135364206 /nfs/dbraw/zinc/36/42/06/1135364206.db2.gz DQBFUSJALWILEK-SNVBAGLBSA-N -1 1 345.359 -0.229 20 0 EBADMM CCOCC(=O)N1CCC[C@@H]2C[N@H+](Cc3nc(=O)n(C)[nH]3)CC[C@H]21 ZINC001370600290 1135425782 /nfs/dbraw/zinc/42/57/82/1135425782.db2.gz XRYLHMKTLDHNLL-CHWSQXEVSA-N -1 1 337.424 -0.042 20 0 EBADMM O=C(NC[C@H](CO)NC(=O)[C@H]1CCCOCC1)c1ncccc1[O-] ZINC001370670835 1135476525 /nfs/dbraw/zinc/47/65/25/1135476525.db2.gz BCZCAXYTEVHAKX-NWDGAFQWSA-N -1 1 337.376 -0.189 20 0 EBADMM Cc1ccn(C)c1C(=O)N[C@H](CO)CNC(=O)c1ncccc1[O-] ZINC001370680272 1135488638 /nfs/dbraw/zinc/48/86/38/1135488638.db2.gz OOALAPFALWFBIS-NSHDSACASA-N -1 1 332.360 -0.045 20 0 EBADMM CS(=O)(=O)CCC(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001370935186 1135700387 /nfs/dbraw/zinc/70/03/87/1135700387.db2.gz VGSHWWXRAJCFJQ-SNVBAGLBSA-N -1 1 345.425 -0.584 20 0 EBADMM CCn1ncc(C(=O)NC[C@@H](O)CN(C)C(=O)c2ncccc2[O-])n1 ZINC001370988924 1135739875 /nfs/dbraw/zinc/73/98/75/1135739875.db2.gz KZERHBQBZVKMQH-SNVBAGLBSA-N -1 1 348.363 -0.739 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001371586423 1135976350 /nfs/dbraw/zinc/97/63/50/1135976350.db2.gz GZSPIGSWSDOKQA-CMPLNLGQSA-N -1 1 334.376 -0.015 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)Cc1nnc[nH]1 ZINC001371643618 1135990988 /nfs/dbraw/zinc/99/09/88/1135990988.db2.gz FLLGUQGZXAYEGI-SNVBAGLBSA-N -1 1 330.348 -0.179 20 0 EBADMM CN(CCNC(=O)CCC1CCOCC1)Cc1nc(=O)n(C)[n-]1 ZINC001372140282 1136120214 /nfs/dbraw/zinc/12/02/14/1136120214.db2.gz ZYCGNXLAWOPJIS-UHFFFAOYSA-N -1 1 325.413 -0.137 20 0 EBADMM O=C(NC[C@@H](NC(=O)c1c[nH]c(=O)cn1)C1CC1)c1ncccc1[O-] ZINC001372383960 1136186930 /nfs/dbraw/zinc/18/69/30/1136186930.db2.gz SRXNYPFTTBOVGY-SNVBAGLBSA-N -1 1 343.343 -0.191 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H]2CNC(=O)Cc2ncccc2F)nc1=O ZINC001372540578 1136226509 /nfs/dbraw/zinc/22/65/09/1136226509.db2.gz GUSYCKWMWNZHJY-LLVKDONJSA-N -1 1 348.382 -0.034 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001373151363 1136382839 /nfs/dbraw/zinc/38/28/39/1136382839.db2.gz SQSUEUHTPSDTCG-JQWIXIFHSA-N -1 1 334.376 -0.110 20 0 EBADMM O=C(CCCn1cncn1)NCC[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001373242802 1136406338 /nfs/dbraw/zinc/40/63/38/1136406338.db2.gz QDVJHYSFNQDMNN-GFCCVEGCSA-N -1 1 348.411 -0.090 20 0 EBADMM CCO[C@H](C)C(=O)N(CC)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001373699481 1136540381 /nfs/dbraw/zinc/54/03/81/1136540381.db2.gz MHTYGHOJJXBVFP-NEPJUHHUSA-N -1 1 325.413 -0.044 20 0 EBADMM CCCN(CCNC(=O)C1CC1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001374070194 1136617002 /nfs/dbraw/zinc/61/70/02/1136617002.db2.gz GFITZLXDQQJZCP-UHFFFAOYSA-N -1 1 332.364 -0.204 20 0 EBADMM CCCN(CCNC(=O)c1ncccc1[O-])C(=O)CCn1cnnn1 ZINC001374117316 1136625780 /nfs/dbraw/zinc/62/57/80/1136625780.db2.gz JCRYNTZGLMPYCT-UHFFFAOYSA-N -1 1 347.379 -0.168 20 0 EBADMM CS[C@@H](C)C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001374120876 1136627265 /nfs/dbraw/zinc/62/72/65/1136627265.db2.gz FOOBMTXVZOQDHG-UWVGGRQHSA-N -1 1 329.426 -0.823 20 0 EBADMM CCC[C@@H](C)C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001374127127 1136629814 /nfs/dbraw/zinc/62/98/14/1136629814.db2.gz YHNJHZPJTXSWOZ-NEPJUHHUSA-N -1 1 325.413 -0.138 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)Cc1ccc(C(N)=O)cc1 ZINC001374326767 1136674597 /nfs/dbraw/zinc/67/45/97/1136674597.db2.gz HHQDBOJVRUDUEP-JTQLQIEISA-N -1 1 346.391 -0.212 20 0 EBADMM COc1cccc(CNC[C@@H](O)CNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001374593409 1136734225 /nfs/dbraw/zinc/73/42/25/1136734225.db2.gz NFRXWUIQQQIBRQ-LLVKDONJSA-N -1 1 335.364 -0.292 20 0 EBADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C[C@H]1CCNC1=O ZINC001375062155 1136904704 /nfs/dbraw/zinc/90/47/04/1136904704.db2.gz XAJAZLHZFIKFCZ-GHMZBOCLSA-N -1 1 334.376 -0.062 20 0 EBADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C1CN(C(C)=O)C1 ZINC001375062148 1136904718 /nfs/dbraw/zinc/90/47/18/1136904718.db2.gz WZKACFOSUBGVSS-LBPRGKRZSA-N -1 1 334.376 -0.110 20 0 EBADMM CC[C@@H](CNC(=O)c1cn(C)c(=O)n1C)NC(=O)c1ncccc1[O-] ZINC001375119452 1136919127 /nfs/dbraw/zinc/91/91/27/1136919127.db2.gz JRPRLPUJTNFESJ-JTQLQIEISA-N -1 1 347.375 -0.237 20 0 EBADMM C[C@@H](CN(C)[C@@H]1CCCNC1=O)NC(=O)c1c[n-]n2c1nccc2=O ZINC001375123656 1136920825 /nfs/dbraw/zinc/92/08/25/1136920825.db2.gz XAQHUXATGWWFHS-CMPLNLGQSA-N -1 1 346.391 -0.649 20 0 EBADMM CCS(=O)(=O)NCCC[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000051162422 376151947 /nfs/dbraw/zinc/15/19/47/376151947.db2.gz JLLXWTUYFCAKDR-UHFFFAOYSA-N -1 1 344.846 -0.319 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCC2CC(F)(F)C2)c(=O)n(C)c1=O ZINC000564430384 376161387 /nfs/dbraw/zinc/16/13/87/376161387.db2.gz KRVFYEMVJHVRLO-UHFFFAOYSA-N -1 1 337.348 -0.202 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)Nc1nccn(C)c1=O ZINC000085737520 376403724 /nfs/dbraw/zinc/40/37/24/376403724.db2.gz PZNZPQOAPWDXRK-UHFFFAOYSA-N -1 1 328.332 -0.086 20 0 EBADMM COc1ccc(N2C[C@H](C(=O)NCc3nn[n-]n3)CC2=O)c(OC)c1 ZINC000600494412 376417793 /nfs/dbraw/zinc/41/77/93/376417793.db2.gz GRWNHXQPZMAKLD-SECBINFHSA-N -1 1 346.347 -0.114 20 0 EBADMM O=C([C@H]1CC(=O)N(Cc2ccco2)C1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370890643 376603682 /nfs/dbraw/zinc/60/36/82/376603682.db2.gz FRXUYEJBNUKJPS-CMPLNLGQSA-N -1 1 346.347 -0.259 20 0 EBADMM O=C([C@H]1Cc2ccccc2C(=O)O1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370861699 376592937 /nfs/dbraw/zinc/59/29/37/376592937.db2.gz OFOCIZJRGKQVOS-VXGBXAGGSA-N -1 1 329.316 -0.119 20 0 EBADMM CCOC(=O)N1CCC[C@H](C(=O)N2CCO[C@H](c3nn[n-]n3)C2)C1 ZINC000370859331 376593644 /nfs/dbraw/zinc/59/36/44/376593644.db2.gz GGKRUXRLDYXVKH-QWRGUYRKSA-N -1 1 338.368 -0.032 20 0 EBADMM CCOC(=O)c1c[n-]c(NC(=O)c2cn(CC(=O)N(C)C)nn2)n1 ZINC000339170235 376640736 /nfs/dbraw/zinc/64/07/36/376640736.db2.gz OLXDZMPKCNYSEV-UHFFFAOYSA-N -1 1 335.324 -0.482 20 0 EBADMM C[C@]1(C2CC2)NC(=O)N(CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)C1=O ZINC000560316624 376675514 /nfs/dbraw/zinc/67/55/14/376675514.db2.gz KSZOWRWEDQAJCL-BJOHPYRUSA-N -1 1 347.379 -0.374 20 0 EBADMM COCCN(C)S(=O)(=O)[N-]c1c(C(=O)OC)c[nH]c1C(=O)OC ZINC000593210001 377877450 /nfs/dbraw/zinc/87/74/50/377877450.db2.gz CETAISIDYSNWKY-UHFFFAOYSA-N -1 1 349.365 -0.177 20 0 EBADMM CC[C@](COC)([N-]S(=O)(=O)N1CCC(OC)CC1)C(=O)OC ZINC000594785612 377958700 /nfs/dbraw/zinc/95/87/00/377958700.db2.gz UACMSFYSLXUKKK-CYBMUJFWSA-N -1 1 338.426 -0.100 20 0 EBADMM CCSC1(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000595348523 378001510 /nfs/dbraw/zinc/00/15/10/378001510.db2.gz JOXGHFKAOXZSJE-UHFFFAOYSA-N -1 1 333.435 -0.352 20 0 EBADMM CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1cncc([O-])c1 ZINC000597627971 378119091 /nfs/dbraw/zinc/11/90/91/378119091.db2.gz QUVKTZQWEWJHPU-GFCCVEGCSA-N -1 1 337.332 -0.693 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CCO[C@@H]2C(=O)OC)co1 ZINC000598013331 378152277 /nfs/dbraw/zinc/15/22/77/378152277.db2.gz XQLZUFXVUCSZJF-SCZZXKLOSA-N -1 1 332.334 -0.752 20 0 EBADMM COC(=O)c1cc(F)cc(S(=O)(=O)[N-][C@H]2CNC(=O)C2)c1F ZINC000599278078 378218841 /nfs/dbraw/zinc/21/88/41/378218841.db2.gz WYTQILRLURPLOM-SSDOTTSWSA-N -1 1 334.300 -0.082 20 0 EBADMM CCOC(=O)c1c[n-]c(NC(=O)C2(n3cnnn3)CCOCC2)n1 ZINC000598729889 378182624 /nfs/dbraw/zinc/18/26/24/378182624.db2.gz VPBSBQYANPXCHU-UHFFFAOYSA-N -1 1 335.324 -0.283 20 0 EBADMM COCCCOc1ccccc1NC(=O)C(=O)NCc1nn[n-]n1 ZINC000606117594 378532612 /nfs/dbraw/zinc/53/26/12/378532612.db2.gz QJVDASZUOXZZSL-UHFFFAOYSA-N -1 1 334.336 -0.130 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)CCS(C)(=O)=O)c1ccccc1 ZINC000063143898 378631690 /nfs/dbraw/zinc/63/16/90/378631690.db2.gz HKQPUCHKWFAXOI-LLVKDONJSA-N -1 1 335.403 -0.135 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2n[nH]c(C)c2C(=O)NC)o1 ZINC000337137610 378617518 /nfs/dbraw/zinc/61/75/18/378617518.db2.gz FJZQJYLJKJKHMQ-UHFFFAOYSA-N -1 1 341.349 -0.169 20 0 EBADMM C[C@H](C(=O)N(C)C)S(=O)(=O)[N-][C@H]1CN(c2ccc(F)cc2)C1=O ZINC000365775215 378685224 /nfs/dbraw/zinc/68/52/24/378685224.db2.gz HXEOHXGQIGZAQV-SKDRFNHKSA-N -1 1 343.380 -0.063 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NCC(=O)NCC(F)(F)F ZINC000337941980 378715090 /nfs/dbraw/zinc/71/50/90/378715090.db2.gz IGGHIDCWQJPMRZ-UHFFFAOYSA-N -1 1 334.254 -0.688 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCC(=O)NC(N)=O)c(C(F)(F)F)n1 ZINC000338930927 378831184 /nfs/dbraw/zinc/83/11/84/378831184.db2.gz DIZAIJXGVBZALG-UHFFFAOYSA-N -1 1 343.287 -0.698 20 0 EBADMM O=C(CN1CCCS1(=O)=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000339198677 378861221 /nfs/dbraw/zinc/86/12/21/378861221.db2.gz MKNYKMQBEJQLRT-VIFPVBQESA-N -1 1 330.366 -0.483 20 0 EBADMM O=C(CN1CCCS1(=O)=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339195867 378862993 /nfs/dbraw/zinc/86/29/93/378862993.db2.gz OKXUYPJMPVCPDW-UHFFFAOYSA-N -1 1 330.366 -0.483 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NC2CCC(C(N)=O)CC2)co1 ZINC000339345467 378905399 /nfs/dbraw/zinc/90/53/99/378905399.db2.gz WRKGTANUDNQMOM-UHFFFAOYSA-N -1 1 329.378 -0.038 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)CC(=O)NCCCOC)co1 ZINC000339385494 378910213 /nfs/dbraw/zinc/91/02/13/378910213.db2.gz PNRAFZWQLCONTG-UHFFFAOYSA-N -1 1 347.393 -0.588 20 0 EBADMM Cc1cnc(C(=O)N2CCN(C(=O)Cn3cnnn3)CC2)c([O-])c1 ZINC000339432845 378917433 /nfs/dbraw/zinc/91/74/33/378917433.db2.gz KDNDEDLGOLGGSC-UHFFFAOYSA-N -1 1 331.336 -0.933 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H](O)COCC(C)C)co1 ZINC000339436858 378920227 /nfs/dbraw/zinc/92/02/27/378920227.db2.gz IYPDMTUQEJKPNW-LLVKDONJSA-N -1 1 334.394 -0.049 20 0 EBADMM Cc1cnc(C(=O)N2CCOC[C@@H]2CNS(C)(=O)=O)c([O-])c1 ZINC000629572466 379048197 /nfs/dbraw/zinc/04/81/97/379048197.db2.gz UHHDVKKFMPITEA-JTQLQIEISA-N -1 1 329.378 -0.514 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)Cn2nc3ccccn3c2=O)n[n-]1 ZINC000615919475 379048580 /nfs/dbraw/zinc/04/85/80/379048580.db2.gz IYUPGHBZSDAHDZ-UHFFFAOYSA-N -1 1 345.319 -0.893 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)Cn2nc3ccccn3c2=O)n1 ZINC000615919475 379048596 /nfs/dbraw/zinc/04/85/96/379048596.db2.gz IYUPGHBZSDAHDZ-UHFFFAOYSA-N -1 1 345.319 -0.893 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)CNC(=O)c2ccccn2)n[n-]1 ZINC000617840272 379101593 /nfs/dbraw/zinc/10/15/93/379101593.db2.gz JJMVGYMSGKMTIR-UHFFFAOYSA-N -1 1 332.320 -0.577 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)CNC(=O)c2ccccn2)n1 ZINC000617840272 379101596 /nfs/dbraw/zinc/10/15/96/379101596.db2.gz JJMVGYMSGKMTIR-UHFFFAOYSA-N -1 1 332.320 -0.577 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NC[C@@H]1CN(C2CC2)CCO1 ZINC000339982837 379120632 /nfs/dbraw/zinc/12/06/32/379120632.db2.gz BUWDSKUJPSLYHN-GFCCVEGCSA-N -1 1 334.376 -0.504 20 0 EBADMM O=C(CN1CC(=O)NC1=O)N[C@@H]1C[C@@H]([NH+]2CCOCC2)C12CCC2 ZINC000619970729 379244250 /nfs/dbraw/zinc/24/42/50/379244250.db2.gz UOGFUGNWBBRIEB-VXGBXAGGSA-N -1 1 336.392 -0.702 20 0 EBADMM Nc1c(=O)[nH]c(=O)[nH]c1C(=O)N[C@@H]1C[C@@H]([NH+]2CCOCC2)C12CCC2 ZINC000619971118 379244347 /nfs/dbraw/zinc/24/43/47/379244347.db2.gz IVOOCOHQQMPLQP-NXEZZACHSA-N -1 1 349.391 -0.157 20 0 EBADMM CN1C(=O)CN(CC(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)C1=O ZINC000629952075 379248483 /nfs/dbraw/zinc/24/84/83/379248483.db2.gz QHPQDNMZEOGNRF-GFCCVEGCSA-N -1 1 329.320 -0.701 20 0 EBADMM COC(=O)[C@]1([N-]S(=O)(=O)c2c(C)nn(C)c2Cl)CCOC1 ZINC000268049574 379273410 /nfs/dbraw/zinc/27/34/10/379273410.db2.gz KPMAXNOZQUWIMY-NSHDSACASA-N -1 1 337.785 -0.008 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CN3CCN2CC3)o1 ZINC000269473684 379375099 /nfs/dbraw/zinc/37/50/99/379375099.db2.gz JXHADUVLYSOYIZ-JTQLQIEISA-N -1 1 329.378 -0.656 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C(N)=O)C(C)(C)C ZINC000269654660 379390832 /nfs/dbraw/zinc/39/08/32/379390832.db2.gz JADBIIXIAKSYAP-QMMMGPOBSA-N -1 1 332.382 -0.235 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@]2(C)CCS(=O)(=O)C2)c1 ZINC000270054733 379431027 /nfs/dbraw/zinc/43/10/27/379431027.db2.gz VOCSZXKOWOVDIM-NSHDSACASA-N -1 1 337.375 -0.078 20 0 EBADMM CCC(=O)N1CC[C@H](NC(=O)c2coc(S(=O)(=O)[N-]C)c2)C1 ZINC000270216975 379438659 /nfs/dbraw/zinc/43/86/59/379438659.db2.gz MEFADCHVZOTFMA-JTQLQIEISA-N -1 1 329.378 -0.072 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C1CN(C(=O)OC)C1 ZINC000269734649 379400223 /nfs/dbraw/zinc/40/02/23/379400223.db2.gz ZWXSWRRYNPVBGS-UHFFFAOYSA-N -1 1 332.338 -0.685 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@]2(C(=O)OC)CCOC2)c1 ZINC000269985668 379424052 /nfs/dbraw/zinc/42/40/52/379424052.db2.gz DQUQHEBPGWIHMF-LBPRGKRZSA-N -1 1 333.318 -0.323 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]CCCS(C)(=O)=O)c1 ZINC000270048097 379428578 /nfs/dbraw/zinc/42/85/78/379428578.db2.gz UGCQNNXMAYRTOF-UHFFFAOYSA-N -1 1 325.364 -0.221 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H](CO)C(=O)OCC)o1 ZINC000351955976 379491347 /nfs/dbraw/zinc/49/13/47/379491347.db2.gz FZEORLMAEZSCRS-MRVPVSSYSA-N -1 1 335.334 -0.341 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H](CCO)c2ccco2)c(=O)n(C)c1=O ZINC000274346859 379686237 /nfs/dbraw/zinc/68/62/37/379686237.db2.gz CLRLMZKDLHIFOU-SECBINFHSA-N -1 1 343.361 -0.921 20 0 EBADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1c(C)nn(C)c1Cl)[C@H](C)O ZINC000274397036 379688072 /nfs/dbraw/zinc/68/80/72/379688072.db2.gz XAJNQJDUIUMCSJ-BQBZGAKWSA-N -1 1 325.774 -0.417 20 0 EBADMM O=C(NCCN1CCCOCC1)c1c[nH]c2nc(=O)[n-]c(=O)c-2c1 ZINC000275901761 379791973 /nfs/dbraw/zinc/79/19/73/379791973.db2.gz RXHOXARBWORNMM-UHFFFAOYSA-N -1 1 333.348 -0.112 20 0 EBADMM Cc1nc(NC(=O)C(=O)c2ccc([O-])cc2)c(C(=O)NCCO)n1C ZINC000613982662 379894834 /nfs/dbraw/zinc/89/48/34/379894834.db2.gz PKVBNNKPSQCXLA-UHFFFAOYSA-N -1 1 346.343 -0.022 20 0 EBADMM CN1CC2(C1)CCN(C(=O)c1cc(S(N)(=O)=O)ccc1[O-])C2 ZINC000614033158 379900520 /nfs/dbraw/zinc/90/05/20/379900520.db2.gz FYEFZOBTEPPKFT-UHFFFAOYSA-N -1 1 325.390 -0.183 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC(=O)N(C2CC2)C1 ZINC000279527070 379955239 /nfs/dbraw/zinc/95/52/39/379955239.db2.gz GSQAKKSKPLPNPF-UHFFFAOYSA-N -1 1 329.360 -0.027 20 0 EBADMM O=C(CCN1CCN(c2ccccn2)CC1)NCCc1nc(=O)o[n-]1 ZINC000279622344 379958985 /nfs/dbraw/zinc/95/89/85/379958985.db2.gz ABPOEZHZQCNSIM-UHFFFAOYSA-N -1 1 346.391 -0.371 20 0 EBADMM COC(=O)c1c[n-]c(S(=O)(=O)[C@H](C)C(=O)NC[C@H]2CCCO2)n1 ZINC000279700232 379960279 /nfs/dbraw/zinc/96/02/79/379960279.db2.gz VVRCEQWDDKVPFN-RKDXNWHRSA-N -1 1 345.377 -0.346 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@@](C)(C(=O)NC)C2)co1 ZINC000280860851 379996197 /nfs/dbraw/zinc/99/61/97/379996197.db2.gz XXKFZUUWVZYUBQ-CYBMUJFWSA-N -1 1 329.378 -0.214 20 0 EBADMM CC(C)[C@H](C(=O)N(C)C[C@H](C)c1nn[n-]n1)N1CCCS1(=O)=O ZINC000542772815 380052825 /nfs/dbraw/zinc/05/28/25/380052825.db2.gz GQTLBWZJHBZZKB-WDEREUQCSA-N -1 1 344.441 -0.178 20 0 EBADMM C[C@H](NC(=O)c1ccccc1)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000354694797 380083549 /nfs/dbraw/zinc/08/35/49/380083549.db2.gz ASKJFNWIOWBXTQ-QMMMGPOBSA-N -1 1 337.361 -0.035 20 0 EBADMM C[C@H](NC(=O)c1ccccc1)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000354694797 380083561 /nfs/dbraw/zinc/08/35/61/380083561.db2.gz ASKJFNWIOWBXTQ-QMMMGPOBSA-N -1 1 337.361 -0.035 20 0 EBADMM CCOC(=O)[C@@H](CCOC)NC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000281723207 380038472 /nfs/dbraw/zinc/03/84/72/380038472.db2.gz RLWZIHRHGKXBDJ-SECBINFHSA-N -1 1 348.377 -0.114 20 0 EBADMM O=C1CNC(=O)N1CC[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000354519179 380050332 /nfs/dbraw/zinc/05/03/32/380050332.db2.gz YURHXQKVWGEQOK-UHFFFAOYSA-N -1 1 337.279 -0.066 20 0 EBADMM CS(=O)(=O)N[C@H]1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000283018415 380097830 /nfs/dbraw/zinc/09/78/30/380097830.db2.gz JQCRJOUBHBSVLH-VIFPVBQESA-N -1 1 341.418 -0.218 20 0 EBADMM CC(C)[C@@H](C(=O)[O-])C(=O)N1CCN(C(=O)N2CCNCC2)CC1 ZINC000630575270 380104251 /nfs/dbraw/zinc/10/42/51/380104251.db2.gz OCUGIBKKHHIWRX-GFCCVEGCSA-N -1 1 326.397 -0.487 20 0 EBADMM COC(=O)c1ccccc1CS(=O)(=O)[N-][C@@H]1CC(=O)N(C)C1=O ZINC000283579895 380131725 /nfs/dbraw/zinc/13/17/25/380131725.db2.gz RASKDPGGUMEWRL-LLVKDONJSA-N -1 1 340.357 -0.350 20 0 EBADMM CC(C)N1C[C@@H](NC(=O)C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CC1=O ZINC000287828246 380377687 /nfs/dbraw/zinc/37/76/87/380377687.db2.gz BIVZSXKLOHNRNU-IRTGHPOMSA-N -1 1 344.375 -0.594 20 0 EBADMM CC[C@H]1C(=O)NCCN1C(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000288004495 380388008 /nfs/dbraw/zinc/38/80/08/380388008.db2.gz MUTUJPMJROMTCG-ORPVNXHJSA-N -1 1 330.348 -0.983 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCn2ncnn2)c(C(F)(F)F)n1 ZINC000555320833 380360009 /nfs/dbraw/zinc/36/00/09/380360009.db2.gz WWXCSENGLUHTFD-UHFFFAOYSA-N -1 1 325.276 -0.596 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCCc2nccs2)c(=O)n(C)c1=O ZINC000289447929 380438231 /nfs/dbraw/zinc/43/82/31/380438231.db2.gz AAEGWMKQMOAUFN-UHFFFAOYSA-N -1 1 344.418 -0.548 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C(=O)NC)C(C)C ZINC000362985790 380449395 /nfs/dbraw/zinc/44/93/95/380449395.db2.gz GKGXYSBWIFOVKK-VIFPVBQESA-N -1 1 332.382 -0.365 20 0 EBADMM Cc1cccc2c1ncn(CCC(=O)Nc1nn[nH]c1C(N)=O)c2=O ZINC000362422539 380402855 /nfs/dbraw/zinc/40/28/55/380402855.db2.gz YCMJLOFPPNOBMS-UHFFFAOYSA-N -1 1 341.331 -0.049 20 0 EBADMM Cc1ccn(C[C@](C)(O)C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c(=O)c1 ZINC000566771877 380490000 /nfs/dbraw/zinc/49/00/00/380490000.db2.gz IZRDGIKQRWLCOX-WBMJQRKESA-N -1 1 346.391 -0.173 20 0 EBADMM C[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1cccc(O)c1 ZINC000269800641 380525129 /nfs/dbraw/zinc/52/51/29/380525129.db2.gz OTGQFEXENGBCPT-SECBINFHSA-N -1 1 339.373 -0.171 20 0 EBADMM CNS(=O)(=O)c1cc(NC(=O)[C@@H]2CC[C@H](C(=O)[O-])O2)ccc1O ZINC000573249133 380665018 /nfs/dbraw/zinc/66/50/18/380665018.db2.gz WMKJUJHRLVBGEK-VHSXEESVSA-N -1 1 344.345 -0.129 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCC(=O)N(C)C1=O ZINC000336868446 380747007 /nfs/dbraw/zinc/74/70/07/380747007.db2.gz SOJFVOICDHCOQW-QMMMGPOBSA-N -1 1 344.349 -0.988 20 0 EBADMM CO[C@@H](CS(=O)(=O)[N-][C@@]1(C(N)=O)CCOC1)c1ccccc1 ZINC000577291988 380816609 /nfs/dbraw/zinc/81/66/09/380816609.db2.gz FRZKFIVMSKJOJO-JSGCOSHPSA-N -1 1 328.390 -0.062 20 0 EBADMM COC(=O)C[C@@H]([N-]S(=O)(=O)N1C[C@@H](C)O[C@@H](C)C1)C(=O)OC ZINC000330700865 380837551 /nfs/dbraw/zinc/83/75/51/380837551.db2.gz GQJKQXCULCOQAS-KXUCPTDWSA-N -1 1 338.382 -0.965 20 0 EBADMM COC1CN(S(=O)(=O)CCCS(=O)(=O)[N-]c2ccccn2)C1 ZINC000349512157 380883696 /nfs/dbraw/zinc/88/36/96/380883696.db2.gz UWOPAQJTQVRMOO-UHFFFAOYSA-N -1 1 349.434 -0.126 20 0 EBADMM CN(C)c1cc([N-]S(=O)(=O)CCCCS(N)(=O)=O)ncn1 ZINC000349602910 380893161 /nfs/dbraw/zinc/89/31/61/380893161.db2.gz GTZKGDZXENVHOH-UHFFFAOYSA-N -1 1 337.427 -0.647 20 0 EBADMM C[C@@H]1C[C@H](C)N(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C1 ZINC000346336248 381057651 /nfs/dbraw/zinc/05/76/51/381057651.db2.gz LKFWIFPMQROEOT-BDAKNGLRSA-N -1 1 329.378 -0.086 20 0 EBADMM Cn1ncc2c1[n-]cc(C(=O)N[C@@H]1COC[C@@H]1n1ccnn1)c2=O ZINC000346712464 381114452 /nfs/dbraw/zinc/11/44/52/381114452.db2.gz NJTMOBMPFQKOFO-MNOVXSKESA-N -1 1 329.320 -0.365 20 0 EBADMM COC(=O)CNC(=O)C[N-]S(=O)(=O)c1c(F)cc(C)cc1F ZINC000583808541 381144886 /nfs/dbraw/zinc/14/48/86/381144886.db2.gz DSSJDVBZJCABRX-UHFFFAOYSA-N -1 1 336.316 -0.159 20 0 EBADMM COc1ccc(C=CC(=O)N[C@@H](C)C(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000029020022 381168121 /nfs/dbraw/zinc/16/81/21/381168121.db2.gz WEFQOUAISFAJHH-YVFTVSHDSA-N -1 1 346.343 -0.204 20 0 EBADMM CCOC(=O)[C@H]1CCCN(C(=O)CN(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000347386012 381213197 /nfs/dbraw/zinc/21/31/97/381213197.db2.gz AIMLQOYPMDHANG-NSHDSACASA-N -1 1 339.396 -0.658 20 0 EBADMM CC(=O)NCCN(Cc1nc(=O)n(C)[n-]1)[C@H](C)C(=O)OC(C)(C)C ZINC000347621399 381238328 /nfs/dbraw/zinc/23/83/28/381238328.db2.gz ZQALBFMCICYFQZ-SNVBAGLBSA-N -1 1 341.412 -0.223 20 0 EBADMM CC(C)C[C@H](CO)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000348019595 381312534 /nfs/dbraw/zinc/31/25/34/381312534.db2.gz JCMDBMMBRJUMKJ-SECBINFHSA-N -1 1 347.393 -0.820 20 0 EBADMM COc1ccc(S(N)(=O)=O)cc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348273544 381352862 /nfs/dbraw/zinc/35/28/62/381352862.db2.gz GDWNUPBWKDNWTF-UHFFFAOYSA-N -1 1 338.349 -0.725 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@H](C)S(N)(=O)=O ZINC000350620499 381530518 /nfs/dbraw/zinc/53/05/18/381530518.db2.gz NWSCXRMUIRIUOM-ZETCQYMHSA-N -1 1 341.393 -0.613 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@H]2C(=O)N(C)C)co1 ZINC000332645649 381830809 /nfs/dbraw/zinc/83/08/09/381830809.db2.gz LFXHENHKFMZNFF-JTQLQIEISA-N -1 1 329.378 -0.120 20 0 EBADMM CC1(CCNC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)CC1 ZINC000352125667 381876693 /nfs/dbraw/zinc/87/66/93/381876693.db2.gz KHNSKIMITZIUPD-UHFFFAOYSA-N -1 1 329.378 -0.037 20 0 EBADMM C[C@@H]1CN(C(=O)c2c[n-]n3c2nccc3=O)CCN1CC(C)(C)O ZINC000332745325 381847306 /nfs/dbraw/zinc/84/73/06/381847306.db2.gz DEXFBVTUMXHESF-LLVKDONJSA-N -1 1 333.392 -0.060 20 0 EBADMM CS(=O)(=O)N1CC(CNC(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000426180656 381958292 /nfs/dbraw/zinc/95/82/92/381958292.db2.gz QFYGZMWXLAJZDE-UHFFFAOYSA-N -1 1 336.373 -0.456 20 0 EBADMM COC[C@@](C)(NC(=O)CNC(=O)c1ncccc1[O-])C(=O)OC ZINC000352602947 382008807 /nfs/dbraw/zinc/00/88/07/382008807.db2.gz FCHLCTLVFURHFZ-CQSZACIVSA-N -1 1 325.321 -0.789 20 0 EBADMM NC(=O)NC[C@H]1CCCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000352364240 381974129 /nfs/dbraw/zinc/97/41/29/381974129.db2.gz ZNCVHWHOTSGAOE-SNVBAGLBSA-N -1 1 335.364 -0.434 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)Cn2cnc3ccccc3c2=O)n1 ZINC000352750687 382031168 /nfs/dbraw/zinc/03/11/68/382031168.db2.gz YANQDBWUPJOTHM-UHFFFAOYSA-N -1 1 348.344 -0.443 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)Cn2cnc3ccccc3c2=O)[n-]1 ZINC000352750687 382031172 /nfs/dbraw/zinc/03/11/72/382031172.db2.gz YANQDBWUPJOTHM-UHFFFAOYSA-N -1 1 348.344 -0.443 20 0 EBADMM COC(=O)c1c[n-]c(S(=O)(=O)CCCS(=O)(=O)N(C)C)n1 ZINC000350219004 292030998 /nfs/dbraw/zinc/03/09/98/292030998.db2.gz KGVAVISIWGTZBG-UHFFFAOYSA-N -1 1 339.395 -0.749 20 0 EBADMM CC(=O)N1CCN(C(=O)CS(=O)(=O)c2n[n-]c(C3CC3)n2)CC1 ZINC000299249504 155150369 /nfs/dbraw/zinc/15/03/69/155150369.db2.gz OGZHDDONQHNNKA-UHFFFAOYSA-N -1 1 341.393 -0.854 20 0 EBADMM CC(C)NS(=O)(=O)CC[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000299426438 155155222 /nfs/dbraw/zinc/15/52/22/155155222.db2.gz ZYUNKZLRYDGZCC-UHFFFAOYSA-N -1 1 344.846 -0.320 20 0 EBADMM C[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)[C@@H]1CCCOC1 ZINC000329496381 155230123 /nfs/dbraw/zinc/23/01/23/155230123.db2.gz IZCKUSNBLQBWLA-VHSXEESVSA-N -1 1 331.394 -0.823 20 0 EBADMM COC(=O)[C@@H]1CCCC[C@H]1S(=O)(=O)[N-][C@H]1CC(=O)N(C)C1=O ZINC000330683105 155300797 /nfs/dbraw/zinc/30/07/97/155300797.db2.gz OOKMATULADHNID-KXUCPTDWSA-N -1 1 332.378 -0.605 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCN(CC(=O)OC)CC2)co1 ZINC000331040788 155333557 /nfs/dbraw/zinc/33/35/57/155333557.db2.gz DDMOBJDNHCVUNK-UHFFFAOYSA-N -1 1 345.377 -0.882 20 0 EBADMM CC[C@@H](C)[C@@](C)(O)C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000331270568 155354969 /nfs/dbraw/zinc/35/49/69/155354969.db2.gz AOYYQNDBJLJWHA-RNCFNFMXSA-N -1 1 333.410 -0.841 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2C[C@H]3CC[C@@H]2C3)c(=O)n(C)c1=O ZINC000171104822 154094811 /nfs/dbraw/zinc/09/48/11/154094811.db2.gz QBHUWRXGWVKANG-AXFHLTTASA-N -1 1 327.406 -0.202 20 0 EBADMM CN1C(=O)C[C@H](C(=O)NCCCc2nc(=O)[n-][nH]2)[C@@H]1c1cccnc1 ZINC000176269604 154111756 /nfs/dbraw/zinc/11/17/56/154111756.db2.gz WOJUSMYQIUTHOK-FZMZJTMJSA-N -1 1 344.375 -0.239 20 0 EBADMM C[C@@H](C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1nccs1 ZINC000186694503 154144263 /nfs/dbraw/zinc/14/42/63/154144263.db2.gz VKCQGJKTMUROTJ-QMMMGPOBSA-N -1 1 344.418 -0.378 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)CN2CCS(=O)(=O)CC2)cc1 ZINC000264126435 154273136 /nfs/dbraw/zinc/27/31/36/154273136.db2.gz XXGYPJYCIODSEO-UHFFFAOYSA-N -1 1 326.374 -0.318 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H](C)S(C)(=O)=O ZINC000265078478 154305965 /nfs/dbraw/zinc/30/59/65/154305965.db2.gz WKFFETQWQVZAAZ-SECBINFHSA-N -1 1 326.378 -0.311 20 0 EBADMM O=C(Cc1ccccc1)N1CCC[C@H]1C(=O)NN1CC(=O)[N-]C1=O ZINC000266652632 154350041 /nfs/dbraw/zinc/35/00/41/154350041.db2.gz YSBXPKJUVCPMHF-LBPRGKRZSA-N -1 1 330.344 -0.197 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@](F)(C(=O)OC)C2)o1 ZINC000267689358 154388072 /nfs/dbraw/zinc/38/80/72/154388072.db2.gz JTDWRRYMHANRHZ-GFCCVEGCSA-N -1 1 334.325 -0.085 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)CN2CCN3C(=O)NC[C@H]3C2)cc1 ZINC000267839347 154391953 /nfs/dbraw/zinc/39/19/53/154391953.db2.gz TXNXYOJNQBHNRC-LBPRGKRZSA-N -1 1 332.360 -0.339 20 0 EBADMM COCC[C@@H]1COCCN1C(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000291286911 155016959 /nfs/dbraw/zinc/01/69/59/155016959.db2.gz DSFHCKOYXOUJFH-ZNCULLJESA-N -1 1 347.375 -0.456 20 0 EBADMM C=COCCCNC(=C1C(=O)[N-]C(=S)NC1=O)[C@H](F)C(=O)OC ZINC000292631791 155034799 /nfs/dbraw/zinc/03/47/99/155034799.db2.gz YGLRXWKPPVNKRH-QMMMGPOBSA-N -1 1 345.352 -0.578 20 0 EBADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F)[C@@H](C)O ZINC000293148651 155042178 /nfs/dbraw/zinc/04/21/78/155042178.db2.gz QUNMRVCMOQXUTA-VDTYLAMSSA-N -1 1 345.299 -0.360 20 0 EBADMM COC(=O)[C@@H](F)C(NC[C@@H]1CCCO1)=C1C(=O)[N-]C(=S)NC1=O ZINC000293311414 155044812 /nfs/dbraw/zinc/04/48/12/155044812.db2.gz IYEWILSHFUJTAO-XPUUQOCRSA-N -1 1 345.352 -0.949 20 0 EBADMM C[C@H]1C[C@H](N2CCOCC2)CN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000342279468 156023828 /nfs/dbraw/zinc/02/38/28/156023828.db2.gz GEXSUKLQHLTTEK-STQMWFEESA-N -1 1 348.403 -0.161 20 0 EBADMM COc1ccc(C(=O)Nc2nnn[n-]2)cc1S(=O)(=O)N(C)C ZINC000342852752 156064367 /nfs/dbraw/zinc/06/43/67/156064367.db2.gz PUXQBJOSIMVWPM-UHFFFAOYSA-N -1 1 326.338 -0.289 20 0 EBADMM COc1ccc(C(=O)Nc2nn[n-]n2)cc1S(=O)(=O)N(C)C ZINC000342852752 156064371 /nfs/dbraw/zinc/06/43/71/156064371.db2.gz PUXQBJOSIMVWPM-UHFFFAOYSA-N -1 1 326.338 -0.289 20 0 EBADMM CN(CC(N)=O)S(=O)(=O)c1ccc([N-]S(C)(=O)=O)cc1F ZINC000342979708 156079559 /nfs/dbraw/zinc/07/95/59/156079559.db2.gz RBKYQYNZSABLLO-UHFFFAOYSA-N -1 1 339.370 -0.697 20 0 EBADMM C[C@@H](NS(=O)(=O)c1ccc([N-]S(C)(=O)=O)cc1F)C(N)=O ZINC000342976492 156079787 /nfs/dbraw/zinc/07/97/87/156079787.db2.gz JTQDIDPIESHQDF-ZCFIWIBFSA-N -1 1 339.370 -0.651 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(CCOC)CC(=O)OC)o1 ZINC000343030862 156087756 /nfs/dbraw/zinc/08/77/56/156087756.db2.gz JWDPWPNFFYPMKK-UHFFFAOYSA-N -1 1 334.350 -0.551 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1C[C@H]2CCS(=O)(=O)[C@H]2C1 ZINC000343129900 156101551 /nfs/dbraw/zinc/10/15/51/156101551.db2.gz MRZXYOYAGGWMKO-KOLCDFICSA-N -1 1 339.373 -0.838 20 0 EBADMM CN(C)S(=O)(=O)N1CCC[C@H](Nc2nc3[nH][n-]cc-3c(=O)n2)C1 ZINC000343806601 156171426 /nfs/dbraw/zinc/17/14/26/156171426.db2.gz MUKGTXMQTZUBEV-QMMMGPOBSA-N -1 1 341.397 -0.885 20 0 EBADMM C[C@@H](C(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc([O-])cc1 ZINC000343931127 156190109 /nfs/dbraw/zinc/19/01/09/156190109.db2.gz DRKXUYIFEXVMIC-UWVGGRQHSA-N -1 1 347.414 -0.142 20 0 EBADMM COC(=O)[C@H](O)CNC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000345281803 156319838 /nfs/dbraw/zinc/31/98/38/156319838.db2.gz HFCNJTIBKNNMMR-MRVPVSSYSA-N -1 1 348.377 -0.380 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCC[C@H]1C(=O)NC ZINC000345495389 156336020 /nfs/dbraw/zinc/33/60/20/156336020.db2.gz VKAZWRYPWLRHNP-PSASIEDQSA-N -1 1 344.393 -0.221 20 0 EBADMM CO[C@H]1C[C@H](c2nc[nH]n2)N(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000345513632 156339281 /nfs/dbraw/zinc/33/92/81/156339281.db2.gz QXEIDIJFGNJNNT-VHSXEESVSA-N -1 1 346.347 -0.376 20 0 EBADMM CO[C@H]1C[C@H](c2nnc[nH]2)N(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000345513632 156339288 /nfs/dbraw/zinc/33/92/88/156339288.db2.gz QXEIDIJFGNJNNT-VHSXEESVSA-N -1 1 346.347 -0.376 20 0 EBADMM O=C(CCN1CCCS1(=O)=O)NC1(c2nn[n-]n2)CCCC1 ZINC000345546647 156342693 /nfs/dbraw/zinc/34/26/93/156342693.db2.gz MCVMGQOWNQBGGY-UHFFFAOYSA-N -1 1 328.398 -0.489 20 0 EBADMM COC(=O)CC[C@@H]([N-]S(=O)(=O)Cc1cc(C)no1)C(=O)OC ZINC000345652086 156355036 /nfs/dbraw/zinc/35/50/36/156355036.db2.gz YLGRVJDDSHHCLC-SNVBAGLBSA-N -1 1 334.350 -0.103 20 0 EBADMM CN1C(=O)CO[C@H](C(=O)NC2(c3nn[n-]n3)CC2)[C@H]1c1ccccc1 ZINC000356056415 157041551 /nfs/dbraw/zinc/04/15/51/157041551.db2.gz QQVXKRHLUVIEJK-OLZOCXBDSA-N -1 1 342.359 -0.097 20 0 EBADMM C[C@@H](NC(=O)CS(=O)(=O)c1n[n-]c(C2CC2)n1)C(=O)N(C)C ZINC000356115648 157048268 /nfs/dbraw/zinc/04/82/68/157048268.db2.gz KSEGARGWBLSINP-SSDOTTSWSA-N -1 1 329.382 -0.951 20 0 EBADMM Cc1nc(S(=O)(=O)NCC(=O)N2CC[N@H+](C)[C@@H](C)[C@@H]2C)cn1C ZINC000356848798 157095696 /nfs/dbraw/zinc/09/56/96/157095696.db2.gz KRFKFZWNRICTLG-QWRGUYRKSA-N -1 1 343.453 -0.442 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H]1CCO[C@@]2(CCOC2)C1 ZINC000356892333 157097383 /nfs/dbraw/zinc/09/73/83/157097383.db2.gz JDDAOUKRKGRVJU-BZNIZROVSA-N -1 1 335.360 -0.029 20 0 EBADMM CN(C)S(=O)(=O)c1ccc(C(=O)NC2(c3nn[n-]n3)CC2)o1 ZINC000357061203 157116342 /nfs/dbraw/zinc/11/63/42/157116342.db2.gz WOOFVEDUJBVSBI-UHFFFAOYSA-N -1 1 326.338 -0.538 20 0 EBADMM CC(=O)N1CCC[C@@H]1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000357085112 157118912 /nfs/dbraw/zinc/11/89/12/157118912.db2.gz FAPUDHHYPHLHFR-LLVKDONJSA-N -1 1 327.362 -0.011 20 0 EBADMM CNS(=O)(=O)c1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cn1 ZINC000358388861 157240100 /nfs/dbraw/zinc/24/01/00/157240100.db2.gz NOXBJKDXEDVQSI-QMMMGPOBSA-N -1 1 339.381 -0.622 20 0 EBADMM CC[N@H+]1CCC[C@@H]1CN1C[C@H](C(=O)NCc2n[nH]c(=O)[n-]2)CC1=O ZINC000359270515 157308045 /nfs/dbraw/zinc/30/80/45/157308045.db2.gz KLJXXDZFAQNMHO-GHMZBOCLSA-N -1 1 336.396 -0.541 20 0 EBADMM Cc1o[n-]c(=N)c1S(=O)(=O)NCc1ncc2c(n1)CCOC2 ZINC000651803370 419221712 /nfs/dbraw/zinc/22/17/12/419221712.db2.gz QHGNPMQSHGOTLF-UHFFFAOYSA-N -1 1 325.350 -0.263 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1ncc2c(n1)CCOC2 ZINC000651803370 419221715 /nfs/dbraw/zinc/22/17/15/419221715.db2.gz QHGNPMQSHGOTLF-UHFFFAOYSA-N -1 1 325.350 -0.263 20 0 EBADMM CCCc1nc(=NC(=O)C(=O)N(CCOC)CCN(C)C)s[n-]1 ZINC000411227152 419293397 /nfs/dbraw/zinc/29/33/97/419293397.db2.gz MIAQJKOGRDWICV-UHFFFAOYSA-N -1 1 343.453 -0.112 20 0 EBADMM O=S(=O)([N-][C@@H]1CCS(=O)(=O)C1)c1c[nH]nc1C(F)(F)F ZINC000647894862 419317865 /nfs/dbraw/zinc/31/78/65/419317865.db2.gz YIXRQXVRVFDJGV-RXMQYKEDSA-N -1 1 333.313 -0.106 20 0 EBADMM CCN1CCN(CC(=O)Nc2cc(C(=O)OC)ccc2[O-])C(=O)C1=O ZINC000282880576 419330198 /nfs/dbraw/zinc/33/01/98/419330198.db2.gz VIHDMZVQIWSNHO-UHFFFAOYSA-N -1 1 349.343 -0.192 20 0 EBADMM CO[C@@H]1C[C@H](c2nnc[nH]2)N(S(=O)(=O)[N-]CC(F)(F)F)C1 ZINC000343164466 419409282 /nfs/dbraw/zinc/40/92/82/419409282.db2.gz KTJWWABGAIDICK-RNFRBKRXSA-N -1 1 329.304 -0.037 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]CC1(CS(C)(=O)=O)CCC1 ZINC000416632699 419432329 /nfs/dbraw/zinc/43/23/29/419432329.db2.gz NQVHMBKQZBNQCW-UHFFFAOYSA-N -1 1 337.423 0.058 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@@H](C(=O)NC)C2)o1 ZINC000330702701 419443087 /nfs/dbraw/zinc/44/30/87/419443087.db2.gz PGXUTZGGAGDJMW-SECBINFHSA-N -1 1 329.378 -0.214 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NCc2ccoc2)o1 ZINC000344099272 419475000 /nfs/dbraw/zinc/47/50/00/419475000.db2.gz FANPOTZMOPRIPV-UHFFFAOYSA-N -1 1 327.318 -0.434 20 0 EBADMM CC(C)[C@H](CNS(=O)(=O)c1ccn(C)n1)N1CCN(C)CC1 ZINC000519636452 419537505 /nfs/dbraw/zinc/53/75/05/419537505.db2.gz FUPDSVHZXZPVAF-ZDUSSCGKSA-N -1 1 329.470 -0.030 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC[C@]2(O)CCCOC2)o1 ZINC000652946289 419729914 /nfs/dbraw/zinc/72/99/14/419729914.db2.gz VTUVTRMYAFQCCR-CYBMUJFWSA-N -1 1 332.378 -0.151 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)c1ncn(C)n1 ZINC000392132949 419762658 /nfs/dbraw/zinc/76/26/58/419762658.db2.gz MWADQBYWONQXDO-ZETCQYMHSA-N -1 1 328.354 -0.246 20 0 EBADMM O=C([O-])[C@@H]1COCCN1C(=O)CCNC(=O)c1n[nH]c2ccccc21 ZINC000648451248 419787600 /nfs/dbraw/zinc/78/76/00/419787600.db2.gz LJMYTUREYRDEGA-LBPRGKRZSA-N -1 1 346.343 -0.005 20 0 EBADMM CCOC(=O)Cn1cnc([N-]C(=O)c2ccnc(NC)c2[N+](=O)[O-])n1 ZINC000568228351 420518033 /nfs/dbraw/zinc/51/80/33/420518033.db2.gz WYAHTOSCTLBUOL-UHFFFAOYSA-N -1 1 349.307 0.438 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCN3C(=O)OCC[C@@H]3C2)co1 ZINC000494317003 420588471 /nfs/dbraw/zinc/58/84/71/420588471.db2.gz OFKJSTPRHFOHKK-SNVBAGLBSA-N -1 1 343.361 -0.146 20 0 EBADMM COC(=O)CC[C@H](NC(=O)OC(C)(C)C)C(=O)Nc1nnn[n-]1 ZINC000495954908 420614514 /nfs/dbraw/zinc/61/45/14/420614514.db2.gz TWMFSCWSWLRJRV-ZETCQYMHSA-N -1 1 328.329 -0.015 20 0 EBADMM COC(=O)CC[C@H](NC(=O)OC(C)(C)C)C(=O)Nc1nn[n-]n1 ZINC000495954908 420614518 /nfs/dbraw/zinc/61/45/18/420614518.db2.gz TWMFSCWSWLRJRV-ZETCQYMHSA-N -1 1 328.329 -0.015 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H]2COC(=O)N[C@@H]2CCOC2)nc1=O ZINC000496783038 420646058 /nfs/dbraw/zinc/64/60/58/420646058.db2.gz CRIFROIOFOMFIH-NEPJUHHUSA-N -1 1 339.396 -0.022 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](C1CC1)[C@]1(CO)CCCO1 ZINC000339141091 420660369 /nfs/dbraw/zinc/66/03/69/420660369.db2.gz IBODGNOQJCOUTH-WCQYABFASA-N -1 1 331.394 0.164 20 0 EBADMM Nc1nc(-n2cccn2)cc(N2CC[C@H](n3cc(C(=O)[O-])nn3)C2)n1 ZINC000565299572 420667893 /nfs/dbraw/zinc/66/78/93/420667893.db2.gz JKWOZEQAJILSBC-VIFPVBQESA-N -1 1 341.335 -0.014 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC(C2CC2)C2CC2)c(=O)n(C)c1=O ZINC000525187837 420741281 /nfs/dbraw/zinc/74/12/81/420741281.db2.gz GBCAFVHONDPYEB-UHFFFAOYSA-N -1 1 327.406 -0.202 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NCC2CC=CC2)o1 ZINC000529712635 420768953 /nfs/dbraw/zinc/76/89/53/420768953.db2.gz LNMVGSCGLJFAHI-UHFFFAOYSA-N -1 1 327.362 -0.261 20 0 EBADMM Cn1ncc(Cl)c1S(=O)(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000227864168 420722221 /nfs/dbraw/zinc/72/22/21/420722221.db2.gz FEEMCISMPLZCDX-QMMMGPOBSA-N -1 1 333.757 -0.229 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)CCNS(C)(=O)=O ZINC000524398512 420732984 /nfs/dbraw/zinc/73/29/84/420732984.db2.gz UHLLOIFYDVXAOQ-UHFFFAOYSA-N -1 1 341.393 -0.393 20 0 EBADMM CCO[C@@]1(C)C[C@H]1NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000529853014 420776297 /nfs/dbraw/zinc/77/62/97/420776297.db2.gz GONYXEQPTOJEGR-RNCFNFMXSA-N -1 1 345.377 -0.660 20 0 EBADMM CN1CC[C@@H](C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)S1(=O)=O ZINC000649219571 420790556 /nfs/dbraw/zinc/79/05/56/420790556.db2.gz JGQKURIKTOENDH-VIFPVBQESA-N -1 1 330.366 -0.485 20 0 EBADMM O=C(N=c1[n-]nc2ccccn21)N1CCN(Cc2nnc[nH]2)CC1 ZINC000650029661 420820984 /nfs/dbraw/zinc/82/09/84/420820984.db2.gz NQLJBMPOKLTKKS-UHFFFAOYSA-N -1 1 327.352 -0.381 20 0 EBADMM CO[C@@H](CS(=O)(=O)[N-]c1ccc(C(N)=O)nc1)[C@@H]1CCOC1 ZINC000656626546 420899453 /nfs/dbraw/zinc/89/94/53/420899453.db2.gz LTUNZBJWTLWIDA-SKDRFNHKSA-N -1 1 329.378 -0.026 20 0 EBADMM CO[C@H](CS(=O)(=O)[N-][C@@H]1CC(=O)N(C(C)C)C1=O)[C@H]1CCOC1 ZINC000656926421 420903021 /nfs/dbraw/zinc/90/30/21/420903021.db2.gz DTOPOAGUGFQZLM-QJPTWQEYSA-N -1 1 348.421 -0.507 20 0 EBADMM O=S(=O)([N-]CCn1ncnn1)c1ncccc1Br ZINC000656934081 420904989 /nfs/dbraw/zinc/90/49/89/420904989.db2.gz GIVFRXMOIQUUNV-UHFFFAOYSA-N -1 1 333.171 -0.191 20 0 EBADMM CO[C@@H](CS(=O)(=O)[N-]Cc1nnn(C(C)(C)C)n1)[C@@H]1CCOC1 ZINC000657024039 420910580 /nfs/dbraw/zinc/91/05/80/420910580.db2.gz YEUHPOROOQTDSX-MNOVXSKESA-N -1 1 347.441 -0.101 20 0 EBADMM C[C@H](C(=O)N(C)C)S(=O)(=O)[N-][C@H]1Cc2ccccc2N(C)C1=O ZINC000657070120 420912036 /nfs/dbraw/zinc/91/20/36/420912036.db2.gz BPKJJXQIIROLOT-PWSUYJOCSA-N -1 1 339.417 -0.030 20 0 EBADMM CO[C@H](CC(C)C)CS(=O)(=O)[N-][C@H]1CS(=O)(=O)C[C@H]1OC ZINC000657098550 420915170 /nfs/dbraw/zinc/91/51/70/420915170.db2.gz ALUXROKPEYQTMZ-GRYCIOLGSA-N -1 1 343.467 -0.221 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C[C@@H](C)NC(N)=O)c1 ZINC000655091285 420852384 /nfs/dbraw/zinc/85/23/84/420852384.db2.gz FMFKYAKZKAEEBQ-SSDOTTSWSA-N -1 1 330.366 -0.314 20 0 EBADMM COCCN1CCC[C@H]1CNC(=O)C(=O)NC[C@@H](C(=O)[O-])C(C)C ZINC000655984101 420862306 /nfs/dbraw/zinc/86/23/06/420862306.db2.gz KHDAIVZXWIGNDA-QWHCGFSZSA-N -1 1 343.424 -0.314 20 0 EBADMM CCNc1nc(C(=O)[N-]c2nc(CS(C)(=O)=O)no2)cs1 ZINC000342467088 421291758 /nfs/dbraw/zinc/29/17/58/421291758.db2.gz AGRGLQUUOKKGFR-UHFFFAOYSA-N -1 1 331.379 0.177 20 0 EBADMM CC[C@](COC)([N-]S(=O)(=O)N1C[C@H](C)OC[C@H]1C)C(=O)OC ZINC000416518862 264801898 /nfs/dbraw/zinc/80/18/98/264801898.db2.gz URIDCZIEYZCVPC-NTZNESFSSA-N -1 1 338.426 -0.102 20 0 EBADMM CC(C)[C@@](C)(CO)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000427375527 265105410 /nfs/dbraw/zinc/10/54/10/265105410.db2.gz HUZFWHRTBFJWQL-CYBMUJFWSA-N -1 1 347.393 -0.820 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@@H](C)C[C@H]2C(N)=O)co1 ZINC000428132027 265117516 /nfs/dbraw/zinc/11/75/16/265117516.db2.gz QWYQJOYWXSYBEC-SCZZXKLOSA-N -1 1 329.378 -0.086 20 0 EBADMM CN(C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)[C@@H]1CC1(C)C ZINC000428098440 265117582 /nfs/dbraw/zinc/11/75/82/265117582.db2.gz VPCTYKILGBHVAF-SECBINFHSA-N -1 1 329.378 -0.086 20 0 EBADMM CN(CCCN1CCN(C)CC1)S(=O)(=O)[N-]CC(F)(F)F ZINC000428324402 265122630 /nfs/dbraw/zinc/12/26/30/265122630.db2.gz DGZXAVDFXRUBMB-UHFFFAOYSA-N -1 1 332.392 -0.048 20 0 EBADMM CC(C)CN1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)[C@H](C)C1=O ZINC000428572287 265126986 /nfs/dbraw/zinc/12/69/86/265126986.db2.gz JNJWVNYUPWNBBL-SNVBAGLBSA-N -1 1 332.364 -0.254 20 0 EBADMM O=C(CCn1[nH]c(=O)c2ccccc2c1=O)NC1(c2nn[n-]n2)CC1 ZINC000430317703 265148017 /nfs/dbraw/zinc/14/80/17/265148017.db2.gz IQKJUWQLWKZCEC-UHFFFAOYSA-N -1 1 341.331 -0.189 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H]1CCCC(=O)N1 ZINC000433442138 265189298 /nfs/dbraw/zinc/18/92/98/265189298.db2.gz YRLCZRNZKFUOCM-QMMMGPOBSA-N -1 1 330.366 -0.467 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H]1CCCC(=O)N1 ZINC000433442136 265189677 /nfs/dbraw/zinc/18/96/77/265189677.db2.gz YRLCZRNZKFUOCM-MRVPVSSYSA-N -1 1 330.366 -0.467 20 0 EBADMM CCC[C@@H](NC(=O)c1ccc(S(=O)(=O)NC)o1)c1nn[n-]n1 ZINC000434762877 265213669 /nfs/dbraw/zinc/21/36/69/265213669.db2.gz JEANZHXDYRUZGY-SSDOTTSWSA-N -1 1 328.354 -0.028 20 0 EBADMM CCC[C@@H](NC(=O)CSCC(=O)N1CCOCC1)c1nn[n-]n1 ZINC000434770559 265214725 /nfs/dbraw/zinc/21/47/25/265214725.db2.gz UPPLIKDWMUGMOH-SNVBAGLBSA-N -1 1 342.425 -0.251 20 0 EBADMM O=C(CCN1CCN(c2ccccn2)CC1)NCc1n[nH]c(=O)[n-]1 ZINC000443521488 265353939 /nfs/dbraw/zinc/35/39/39/265353939.db2.gz VMCIIESIAFLJHB-UHFFFAOYSA-N -1 1 331.380 -0.266 20 0 EBADMM COC(=O)[C@@H]1[C@@H](O)CCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000444429650 265363436 /nfs/dbraw/zinc/36/34/36/265363436.db2.gz CSHNKWNFWAGQGY-GWCFXTLKSA-N -1 1 337.332 -0.958 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@@H](O)[C@@H]2C(=O)OC)o1 ZINC000444442788 265363505 /nfs/dbraw/zinc/36/35/05/265363505.db2.gz WTIUFROQJIRFSM-LDYMZIIASA-N -1 1 346.361 -0.674 20 0 EBADMM COC(=O)[C@H]1[C@@H](O)CCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000444429651 265363527 /nfs/dbraw/zinc/36/35/27/265363527.db2.gz CSHNKWNFWAGQGY-GXFFZTMASA-N -1 1 337.332 -0.958 20 0 EBADMM CN1C[C@H](NC(=O)c2ccc(S(=O)(=O)[N-]C(C)(C)C)o2)C(=O)N1 ZINC000450609299 265397391 /nfs/dbraw/zinc/39/73/91/265397391.db2.gz DNELSPRWUHHEOS-QMMMGPOBSA-N -1 1 344.393 -0.569 20 0 EBADMM CC1=C(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)[C@H](C)n2ncnc2N1C ZINC000375996089 276174298 /nfs/dbraw/zinc/17/42/98/276174298.db2.gz XCEPWOPTKNQHPL-VHSXEESVSA-N -1 1 345.367 -0.324 20 0 EBADMM CC(C)(C)N1C[C@@H](C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)CC1=O ZINC000338106038 278349826 /nfs/dbraw/zinc/34/98/26/278349826.db2.gz JOVDEYXZGCGAQE-ZETCQYMHSA-N -1 1 329.382 -0.206 20 0 EBADMM CC(C)(C)N1C[C@@H](C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)CC1=O ZINC000338106038 278349827 /nfs/dbraw/zinc/34/98/27/278349827.db2.gz JOVDEYXZGCGAQE-ZETCQYMHSA-N -1 1 329.382 -0.206 20 0 EBADMM CN(C)CC(=O)N1CCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CC1 ZINC000358312385 289074760 /nfs/dbraw/zinc/07/47/60/289074760.db2.gz ANJWETUMLZQHJJ-UHFFFAOYSA-N -1 1 346.391 -0.480 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCCc2cc(=O)[nH][nH]2)co1 ZINC000673544165 546143359 /nfs/dbraw/zinc/14/33/59/546143359.db2.gz UFKMMARWXSVHNE-UHFFFAOYSA-N -1 1 328.350 -0.426 20 0 EBADMM c1ccc(CN2CCO[C@@H](c3nnn(Cc4nn[n-]n4)n3)C2)cc1 ZINC000674388701 546162762 /nfs/dbraw/zinc/16/27/62/546162762.db2.gz LNQZPMCMFXXTRL-GFCCVEGCSA-N -1 1 327.352 -0.192 20 0 EBADMM C[C@H](C(=O)NCc1nn[n-]n1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000674552560 546168616 /nfs/dbraw/zinc/16/86/16/546168616.db2.gz PIAZZFWNAIXINK-VIFPVBQESA-N -1 1 338.393 -0.130 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H](C)[C@@H]2CN(C)CCO2)co1 ZINC000677318432 546228842 /nfs/dbraw/zinc/22/88/42/546228842.db2.gz FPFUPPGLEKFIAP-KOLCDFICSA-N -1 1 331.394 -0.363 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCN(C3CC3)C(=O)C2)co1 ZINC000677311602 546229178 /nfs/dbraw/zinc/22/91/78/546229178.db2.gz PSUQQIPWTIAJDN-UHFFFAOYSA-N -1 1 327.362 -0.366 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1ccnn1Cc1ccccc1 ZINC000677406511 546230064 /nfs/dbraw/zinc/23/00/64/546230064.db2.gz YIVQGEAEUNRCHO-UHFFFAOYSA-N -1 1 326.320 -0.301 20 0 EBADMM Cn1cc(C[N-]S(=O)(=O)c2ccccc2S(C)(=O)=O)nn1 ZINC000679936742 546281596 /nfs/dbraw/zinc/28/15/96/546281596.db2.gz DEYXAMYCBLMNOF-UHFFFAOYSA-N -1 1 330.391 -0.303 20 0 EBADMM O=C(CCNC(=O)c1ccc([O-])c(F)c1)N[C@@H]1CCS(=O)(=O)C1 ZINC000681017651 546296912 /nfs/dbraw/zinc/29/69/12/546296912.db2.gz KMSUWDLHVNVSFG-SNVBAGLBSA-N -1 1 344.364 -0.046 20 0 EBADMM CS[C@H]1CC[C@H]([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)C1 ZINC000742328376 582534755 /nfs/dbraw/zinc/53/47/55/582534755.db2.gz KPWDVBTXUPAJJA-IUCAKERBSA-N -1 1 333.435 -0.354 20 0 EBADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@@H](C)N1CCN(C)CC1 ZINC000866857600 582566054 /nfs/dbraw/zinc/56/60/54/582566054.db2.gz LWOZEAKBQMXAOV-NSPYISDASA-N -1 1 326.488 -0.426 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC2(C(=O)NC)CCCC2)o1 ZINC000725752246 582573962 /nfs/dbraw/zinc/57/39/62/582573962.db2.gz SWZDKPVYHLIQLF-UHFFFAOYSA-N -1 1 329.378 -0.024 20 0 EBADMM CNC(=O)CNS(=O)(=O)c1ccc([N-]S(C)(=O)=O)cc1F ZINC000728291126 582672420 /nfs/dbraw/zinc/67/24/20/582672420.db2.gz HMLGVVQPMNIKKO-UHFFFAOYSA-N -1 1 339.370 -0.779 20 0 EBADMM CCCN(C)S(=O)(=O)[N-]c1ccn(CCN2CC[NH+]=C2[O-])n1 ZINC000901748458 582799004 /nfs/dbraw/zinc/79/90/04/582799004.db2.gz LWOQTYTYRULRJQ-UHFFFAOYSA-N -1 1 330.414 -0.093 20 0 EBADMM COC(=O)CCN(CC(=O)[O-])C(=O)C(C)(C)CN1CCOCC1 ZINC000902713438 582805428 /nfs/dbraw/zinc/80/54/28/582805428.db2.gz ZEWRWJBLAGPKGK-UHFFFAOYSA-N -1 1 330.381 -0.179 20 0 EBADMM CS(=O)(=O)CC1([N-]S(=O)(=O)CCc2ccccc2)COC1 ZINC000903176776 582808217 /nfs/dbraw/zinc/80/82/17/582808217.db2.gz UGOZXMUICPEOOV-UHFFFAOYSA-N -1 1 333.431 -0.038 20 0 EBADMM COCCOCCN1C(=O)C[C@@H](N2CCC[C@H](C(=O)[O-])C2)C1=O ZINC000737814327 582836700 /nfs/dbraw/zinc/83/67/00/582836700.db2.gz NEEFVVSAHGMFRC-NWDGAFQWSA-N -1 1 328.365 -0.427 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CCN(c2ccccc2)C1=O ZINC000731839746 582840935 /nfs/dbraw/zinc/84/09/35/582840935.db2.gz ZFHNPFQSNNESFM-ZDUSSCGKSA-N -1 1 342.355 -0.152 20 0 EBADMM O=C(C1CC1)N1CCC[C@@H](C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)C1 ZINC000731853606 582840968 /nfs/dbraw/zinc/84/09/68/582840968.db2.gz MKYCMUZAMANUTB-VXGBXAGGSA-N -1 1 334.380 -0.252 20 0 EBADMM CN(CC(=O)N1CCO[C@H](c2nn[n-]n2)C1)C(=O)c1cccs1 ZINC000731854844 582840979 /nfs/dbraw/zinc/84/09/79/582840979.db2.gz IGGORCXQJTUNPD-VIFPVBQESA-N -1 1 336.377 -0.067 20 0 EBADMM O=C(CCS(=O)(=O)C1CCCC1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000731855041 582841275 /nfs/dbraw/zinc/84/12/75/582841275.db2.gz BHCFGNFHKYAACC-LLVKDONJSA-N -1 1 343.409 -0.153 20 0 EBADMM O=C(Cc1ccc(-n2cnnn2)cc1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000731880172 582841911 /nfs/dbraw/zinc/84/19/11/582841911.db2.gz IDRJPBRJVMYLSH-LBPRGKRZSA-N -1 1 341.335 -0.682 20 0 EBADMM NC(=O)N[C@H](CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000732090574 582844210 /nfs/dbraw/zinc/84/42/10/582844210.db2.gz WXBWCIAQYSHBAF-VXGBXAGGSA-N -1 1 345.363 -0.101 20 0 EBADMM O=C(CNC(=O)OCC(F)(F)F)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000732089243 582844262 /nfs/dbraw/zinc/84/42/62/582844262.db2.gz MBZMCFYZGCBRPY-LURJTMIESA-N -1 1 338.246 -0.612 20 0 EBADMM NC(=O)N[C@H](CC(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000732090457 582844351 /nfs/dbraw/zinc/84/43/51/582844351.db2.gz WXBWCIAQYSHBAF-NEPJUHHUSA-N -1 1 345.363 -0.101 20 0 EBADMM O=C(NCc1nn[n-]n1)c1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC000732157630 582845420 /nfs/dbraw/zinc/84/54/20/582845420.db2.gz CAAVVMXTHFQIHO-UHFFFAOYSA-N -1 1 340.340 -0.291 20 0 EBADMM C[NH+](C)CCN(C[C@@H]1CCCO1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000733150232 582856467 /nfs/dbraw/zinc/85/64/67/582856467.db2.gz FMZZNNTUNVWXSC-ZDUSSCGKSA-N -1 1 338.408 -0.504 20 0 EBADMM CCS(=O)(=O)C[C@H](C)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000733185293 582858027 /nfs/dbraw/zinc/85/80/27/582858027.db2.gz XZWXVTHNIHDYNW-JTQLQIEISA-N -1 1 331.394 -0.792 20 0 EBADMM CC(C)NS(=O)(=O)CCNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735892963 582909712 /nfs/dbraw/zinc/90/97/12/582909712.db2.gz QUTNVINOMXZZLZ-UHFFFAOYSA-N -1 1 339.381 -0.681 20 0 EBADMM CC(C)NS(=O)(=O)CCNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735892963 582909714 /nfs/dbraw/zinc/90/97/14/582909714.db2.gz QUTNVINOMXZZLZ-UHFFFAOYSA-N -1 1 339.381 -0.681 20 0 EBADMM C[C@@H]1[C@H](C)N(C(=O)c2ccc(-c3nnn[n-]3)nc2)CCS1(=O)=O ZINC000736230533 582925723 /nfs/dbraw/zinc/92/57/23/582925723.db2.gz SRBVRBNBRMSTJF-DTWKUNHWSA-N -1 1 336.377 -0.091 20 0 EBADMM C[C@@H]1[C@H](C)N(C(=O)c2ccc(-c3nn[n-]n3)nc2)CCS1(=O)=O ZINC000736230533 582925726 /nfs/dbraw/zinc/92/57/26/582925726.db2.gz SRBVRBNBRMSTJF-DTWKUNHWSA-N -1 1 336.377 -0.091 20 0 EBADMM CC[C@H](C)[C@](C)(O)CNc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000736328829 582930719 /nfs/dbraw/zinc/93/07/19/582930719.db2.gz IFXMJYDRIACWKW-RMLUDKJBSA-N -1 1 337.384 -0.527 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)Cn2cnc(C(=O)[O-])n2)C1 ZINC000736443074 582934376 /nfs/dbraw/zinc/93/43/76/582934376.db2.gz NIDBORTTYBYIRM-LLVKDONJSA-N -1 1 325.369 -0.551 20 0 EBADMM CC(C)N(CCC(=O)[O-])CC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC000736465359 582934924 /nfs/dbraw/zinc/93/49/24/582934924.db2.gz AJSAVIHSULDZFK-UHFFFAOYSA-N -1 1 326.353 -0.966 20 0 EBADMM CCc1cc(CNc2c(-c3nnn[n-]3)c(=O)n(C)c(=O)n2C)n[nH]1 ZINC000736677412 582949992 /nfs/dbraw/zinc/94/99/92/582949992.db2.gz ZMZITQWENWWBLO-UHFFFAOYSA-N -1 1 331.340 -0.838 20 0 EBADMM CCc1cc(CNc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)n[nH]1 ZINC000736677412 582949993 /nfs/dbraw/zinc/94/99/93/582949993.db2.gz ZMZITQWENWWBLO-UHFFFAOYSA-N -1 1 331.340 -0.838 20 0 EBADMM C[C@H](O)CN1CCN(CC(=O)N2CCC(C(=O)[O-])CC2)C[C@H]1C ZINC000736675150 582950097 /nfs/dbraw/zinc/95/00/97/582950097.db2.gz BXJVTLSHVFBYEG-OLZOCXBDSA-N -1 1 327.425 -0.304 20 0 EBADMM CCc1nn(CC(=O)N2CCCC2=O)c(=O)c(-c2nn[n-]n2)c1CC ZINC000736705821 582950748 /nfs/dbraw/zinc/95/07/48/582950748.db2.gz PMMNIWRFUAICQW-UHFFFAOYSA-N -1 1 345.363 -0.303 20 0 EBADMM C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C[C@@](C)(O)C(=O)[O-])CC2)C1 ZINC000736750671 582953478 /nfs/dbraw/zinc/95/34/78/582953478.db2.gz QSHDEDISRBZQBQ-JKIFEVAISA-N -1 1 341.452 -0.056 20 0 EBADMM CN1C[C@@H](NS(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)CCC1=O ZINC000736845565 582961936 /nfs/dbraw/zinc/96/19/36/582961936.db2.gz MTEFDYHRGDQKBM-QMMMGPOBSA-N -1 1 337.365 -0.839 20 0 EBADMM CN1C[C@@H](NS(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)CCC1=O ZINC000736845565 582961939 /nfs/dbraw/zinc/96/19/39/582961939.db2.gz MTEFDYHRGDQKBM-QMMMGPOBSA-N -1 1 337.365 -0.839 20 0 EBADMM CNC(=O)NC(=O)Cn1cc(-c2nn[n-]n2)c(=O)c2ccccc21 ZINC000736879746 582969147 /nfs/dbraw/zinc/96/91/47/582969147.db2.gz ZOGIWLQZQGDYLE-UHFFFAOYSA-N -1 1 327.304 -0.363 20 0 EBADMM CC[C@@H](C)[C@@H](NC(=O)CN1CCN(CC(C)(C)O)CC1)C(=O)[O-] ZINC000736888643 582970140 /nfs/dbraw/zinc/97/01/40/582970140.db2.gz VLYYAQLIQYDPDA-TZMCWYRMSA-N -1 1 329.441 -0.010 20 0 EBADMM COC(=O)C[C@H](C)Sc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000736910588 582970664 /nfs/dbraw/zinc/97/06/64/582970664.db2.gz FYQBZYOXWZUVOD-LURJTMIESA-N -1 1 340.365 -0.692 20 0 EBADMM COC(=O)[C@@H]1CCCN1S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736909483 582970689 /nfs/dbraw/zinc/97/06/89/582970689.db2.gz IYDNNJRZPRKPEF-JTQLQIEISA-N -1 1 338.349 -0.412 20 0 EBADMM COC(=O)[C@@H]1CCCN1S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736909483 582970691 /nfs/dbraw/zinc/97/06/91/582970691.db2.gz IYDNNJRZPRKPEF-JTQLQIEISA-N -1 1 338.349 -0.412 20 0 EBADMM CC[C@@H](O)CN1CCN(CC(=O)N2CCC(C(=O)[O-])CC2)CC1 ZINC000736926206 582974661 /nfs/dbraw/zinc/97/46/61/582974661.db2.gz FYJXWXPPKFHVOG-CQSZACIVSA-N -1 1 327.425 -0.302 20 0 EBADMM CCN(CC)C(=O)CN1CCN(CCC(=O)NCC(=O)[O-])CC1 ZINC000737080238 582988794 /nfs/dbraw/zinc/98/87/94/582988794.db2.gz WVBLDYBKYAHNSF-UHFFFAOYSA-N -1 1 328.413 -0.937 20 0 EBADMM CS(=O)(=O)c1cccc(OCCn2cnc(-c3nn[n-]n3)n2)c1 ZINC000737172227 582995205 /nfs/dbraw/zinc/99/52/05/582995205.db2.gz IAYJFJHFCABAMZ-UHFFFAOYSA-N -1 1 335.349 -0.059 20 0 EBADMM CN1CCN(C[C@H]2CN(S(=O)(=O)CCCC(=O)[O-])CCO2)CC1 ZINC000737526029 583012186 /nfs/dbraw/zinc/01/21/86/583012186.db2.gz KXYXYFYPRQTBBG-ZDUSSCGKSA-N -1 1 349.453 -0.871 20 0 EBADMM CN1CCN(C[C@H]2CN(C(=O)CCSCC(=O)[O-])CCO2)CC1 ZINC000737525961 583012417 /nfs/dbraw/zinc/01/24/17/583012417.db2.gz IXVSVTAZCAPGHJ-ZDUSSCGKSA-N -1 1 345.465 -0.331 20 0 EBADMM O=C(CNC(=O)c1ccc(-c2nnn[n-]2)nc1)NCc1ccco1 ZINC000737892003 583032896 /nfs/dbraw/zinc/03/28/96/583032896.db2.gz QHMVUXZBBRGHEM-UHFFFAOYSA-N -1 1 327.304 -0.099 20 0 EBADMM O=C(CNC(=O)c1ccc(-c2nn[n-]n2)nc1)NCc1ccco1 ZINC000737892003 583032899 /nfs/dbraw/zinc/03/28/99/583032899.db2.gz QHMVUXZBBRGHEM-UHFFFAOYSA-N -1 1 327.304 -0.099 20 0 EBADMM O=C(c1ccc(-c2nn[nH]n2)cc1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000738262074 583046521 /nfs/dbraw/zinc/04/65/21/583046521.db2.gz ZPORPNMBMRBTRJ-SNVBAGLBSA-N -1 1 327.308 -0.407 20 0 EBADMM O=C1CN(c2ccc(Nc3nccnc3-c3nnn[n-]3)cn2)CCN1 ZINC000738306487 583049340 /nfs/dbraw/zinc/04/93/40/583049340.db2.gz JKMRJIWUZOMEFE-UHFFFAOYSA-N -1 1 338.335 -0.269 20 0 EBADMM O=C1CN(c2ccc(Nc3nccnc3-c3nn[n-]n3)cn2)CCN1 ZINC000738306487 583049341 /nfs/dbraw/zinc/04/93/41/583049341.db2.gz JKMRJIWUZOMEFE-UHFFFAOYSA-N -1 1 338.335 -0.269 20 0 EBADMM Cn1cc(N2CCC[C@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)cn1 ZINC000741723779 583074891 /nfs/dbraw/zinc/07/48/91/583074891.db2.gz OSKWIQBAFJEEQM-LBPRGKRZSA-N -1 1 346.391 -0.555 20 0 EBADMM C[C@@H](C(=O)NN1CC(=O)[N-]C1=O)S(=O)(=O)c1ccc(F)cc1 ZINC000743287858 583084783 /nfs/dbraw/zinc/08/47/83/583084783.db2.gz RIIWINBMHDYQJX-ZETCQYMHSA-N -1 1 329.309 -0.429 20 0 EBADMM O=C([C@H]1CCCN1c1ncccn1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000747386513 583112956 /nfs/dbraw/zinc/11/29/56/583112956.db2.gz PHROGNSUGLGNRU-GHMZBOCLSA-N -1 1 330.352 -0.441 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2cccc(F)n2)c(=O)n(C)c1=O ZINC000747911315 583116827 /nfs/dbraw/zinc/11/68/27/583116827.db2.gz OEQCHWDKXUHSOC-UHFFFAOYSA-N -1 1 328.325 -0.903 20 0 EBADMM COC[C@](C)(CC(=O)OC)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000749429465 583129122 /nfs/dbraw/zinc/12/91/22/583129122.db2.gz SJDOGCKYCNYCIM-AWEZNQCLSA-N -1 1 327.337 -0.989 20 0 EBADMM O=C(CNC(=O)c1cc(-c2ccccc2)on1)NN1CC(=O)[N-]C1=O ZINC000749718769 583130994 /nfs/dbraw/zinc/13/09/94/583130994.db2.gz JGBGLQKAIAWPCY-UHFFFAOYSA-N -1 1 343.299 -0.346 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCc1cn(-c2ccccc2)nn1 ZINC000755462635 583175429 /nfs/dbraw/zinc/17/54/29/583175429.db2.gz YARQXVTZUNUYJM-UHFFFAOYSA-N -1 1 340.343 -0.176 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NCCC2CCC2)o1 ZINC000755642952 583176354 /nfs/dbraw/zinc/17/63/54/583176354.db2.gz CLAWUUMXTZXTTM-UHFFFAOYSA-N -1 1 329.378 -0.037 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H](COC)Cn2cncn2)o1 ZINC000756617526 583185014 /nfs/dbraw/zinc/18/50/14/583185014.db2.gz IVQWKDXMAMOCJL-VIFPVBQESA-N -1 1 344.349 -0.349 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@H]1[C@H](O)C(F)(F)F ZINC000759650364 583215819 /nfs/dbraw/zinc/21/58/19/583215819.db2.gz KMIJGXRASBVUHI-WPRPVWTQSA-N -1 1 335.282 -0.159 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCOC[C@@H]1[C@H]1CCCCC1=O ZINC000759984539 583220108 /nfs/dbraw/zinc/22/01/08/583220108.db2.gz CVJWWSNIXMJVMA-CHWSQXEVSA-N -1 1 349.387 -0.087 20 0 EBADMM NS(=O)(=O)c1cc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)co1 ZINC000763977725 583266984 /nfs/dbraw/zinc/26/69/84/583266984.db2.gz POLPDEGNGTTWAB-NSHDSACASA-N -1 1 348.344 -0.041 20 0 EBADMM CS[C@@H]1CN(C(=O)Cn2[n-]cc3c(=O)ncnc2-3)C[C@H]1N(C)C ZINC000765257994 583280033 /nfs/dbraw/zinc/28/00/33/583280033.db2.gz CCTFZLRAAPIULA-GHMZBOCLSA-N -1 1 336.421 -0.425 20 0 EBADMM Cn1[n-]c(COC(=O)[C@@H]2CN(C(=O)OC(C)(C)C)CCO2)nc1=O ZINC000765496255 583283951 /nfs/dbraw/zinc/28/39/51/583283951.db2.gz LYGCPPOXZDDBQN-VIFPVBQESA-N -1 1 342.352 -0.213 20 0 EBADMM Cc1oc(C(=O)OCc2nc(=O)n(C)[n-]2)cc1S(=O)(=O)N(C)C ZINC000765497142 583284015 /nfs/dbraw/zinc/28/40/15/583284015.db2.gz RTWSLVXTEUMVLQ-UHFFFAOYSA-N -1 1 344.349 -0.383 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCN(C2CCCCC2)C1=O ZINC000766275353 583292896 /nfs/dbraw/zinc/29/28/96/583292896.db2.gz GWFWEIMRTKEKNA-CYBMUJFWSA-N -1 1 348.403 -0.024 20 0 EBADMM CC[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H](C)S1(=O)=O ZINC000805396091 583329613 /nfs/dbraw/zinc/32/96/13/583329613.db2.gz IIWNAGCEQWHYFM-MNOVXSKESA-N -1 1 343.405 -0.649 20 0 EBADMM CN(C)C(=O)COc1cccc(NC(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000770223782 583334123 /nfs/dbraw/zinc/33/41/23/583334123.db2.gz JDXILOSXZFINCC-UHFFFAOYSA-N -1 1 335.320 -0.258 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-]Cc2nnnn2CC2CC2)c1Cl ZINC000770273258 583334637 /nfs/dbraw/zinc/33/46/37/583334637.db2.gz BVGVQSLDTLNBRI-UHFFFAOYSA-N -1 1 331.789 -0.052 20 0 EBADMM Cc1ccc(NC(=O)NN2CC(=O)[N-]C2=O)cc1NS(C)(=O)=O ZINC000770444478 583336759 /nfs/dbraw/zinc/33/67/59/583336759.db2.gz DEOSETNVKGOPJY-UHFFFAOYSA-N -1 1 341.349 -0.045 20 0 EBADMM COC(=O)C[C@@]1(NC(=O)CCn2cc[n-]c(=O)c2=O)CCCOC1 ZINC000771656695 583349987 /nfs/dbraw/zinc/34/99/87/583349987.db2.gz UKQARUXHPAUTIC-HNNXBMFYSA-N -1 1 339.348 -0.845 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@H]2CCN(C)C2=O)cc1 ZINC000772515691 583360991 /nfs/dbraw/zinc/36/09/91/583360991.db2.gz WGSRPGYUJNTHQV-LBPRGKRZSA-N -1 1 334.328 -0.027 20 0 EBADMM NC(=O)COc1ccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)cc1 ZINC000773159362 583369882 /nfs/dbraw/zinc/36/98/82/583369882.db2.gz DWZKENCPGLUYFD-LLVKDONJSA-N -1 1 348.388 -0.006 20 0 EBADMM CNS(=O)(=O)NCC(=O)Nc1nc(Br)ccc1[O-] ZINC000774096084 583379720 /nfs/dbraw/zinc/37/97/20/583379720.db2.gz MMDNUZGDWHKLQW-UHFFFAOYSA-N -1 1 339.171 -0.458 20 0 EBADMM CCCN(C(=O)[C@H](C)OC(=O)c1cn[n-]n1)[C@@H]1CCS(=O)(=O)C1 ZINC000805603699 583418961 /nfs/dbraw/zinc/41/89/61/583418961.db2.gz SOHMWSKWEJYOQH-VHSXEESVSA-N -1 1 344.393 -0.224 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)CN2CCN3C(=O)NC(=O)[C@@H]3C2)cc1 ZINC000778954534 583429227 /nfs/dbraw/zinc/42/92/27/583429227.db2.gz YGVDFOJZCOLAJP-LBPRGKRZSA-N -1 1 346.343 -0.812 20 0 EBADMM CCO[C@H]1C[C@](CO)(NC(=O)CCn2cc[n-]c(=O)c2=O)C1(C)C ZINC000781875026 583462503 /nfs/dbraw/zinc/46/25/03/583462503.db2.gz QBTWXPFZGMOLSI-MEDUHNTESA-N -1 1 339.392 -0.391 20 0 EBADMM O=C([N-]CCOCCOC(=O)[C@H]1CCNC(=O)C1)C(F)(F)F ZINC000782649099 583472406 /nfs/dbraw/zinc/47/24/06/583472406.db2.gz JARCZDQNTFCHDS-QMMMGPOBSA-N -1 1 326.271 -0.249 20 0 EBADMM O=C(CSc1nnc2ccccn21)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000782670503 583472515 /nfs/dbraw/zinc/47/25/15/583472515.db2.gz WRQDBYMEGUGGRP-VIFPVBQESA-N -1 1 346.376 -0.065 20 0 EBADMM Cc1ccccc1C[C@H](CO)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000787346856 583518288 /nfs/dbraw/zinc/51/82/88/583518288.db2.gz JSQSQVZCSOKGKC-CQSZACIVSA-N -1 1 331.372 -0.045 20 0 EBADMM CS(=O)(=O)[N-]C(=O)CCNC(=O)c1c[nH]c2ccccc2c1=O ZINC000788178477 583526632 /nfs/dbraw/zinc/52/66/32/583526632.db2.gz XIOWYZLKSCVTIF-UHFFFAOYSA-N -1 1 337.357 -0.276 20 0 EBADMM O=C(Nc1ccccc1CN1CCNC1=O)NN1CC(=O)[N-]C1=O ZINC000789844473 583539369 /nfs/dbraw/zinc/53/93/69/583539369.db2.gz UISTZQPQUVJQSM-UHFFFAOYSA-N -1 1 332.320 -0.200 20 0 EBADMM O=C(Cn1c(=O)c2ccccc2[nH]c1=S)NCCc1nc(=O)o[n-]1 ZINC000790992680 583548677 /nfs/dbraw/zinc/54/86/77/583548677.db2.gz GDOSBAKOTLKVMD-UHFFFAOYSA-N -1 1 347.356 -0.280 20 0 EBADMM CC(C)(C)OC(=O)N1CCN(CCC(=O)NCc2nn[n-]n2)CC1 ZINC000792158233 583557473 /nfs/dbraw/zinc/55/74/73/583557473.db2.gz AHLGQVANWHLHBF-UHFFFAOYSA-N -1 1 339.400 -0.241 20 0 EBADMM O=C([N-]S(=O)(=O)N1CCOCC1)c1ccc(-c2nnc[nH]2)cc1 ZINC000793165023 583564653 /nfs/dbraw/zinc/56/46/53/583564653.db2.gz WLCOFUOIVNKTSE-UHFFFAOYSA-N -1 1 337.361 -0.222 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NOC/C=C/Cl)o1 ZINC000794306141 583579132 /nfs/dbraw/zinc/57/91/32/583579132.db2.gz QGLIJNKAIIFBAZ-DAFODLJHSA-N -1 1 337.741 -0.543 20 0 EBADMM C[C@@H](OC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)C(=O)C1CC1 ZINC000798660959 583607020 /nfs/dbraw/zinc/60/70/20/583607020.db2.gz PHSBGHHAIXTESP-SSDOTTSWSA-N -1 1 344.345 -0.432 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@H](CO)[C@@H](O)C1 ZINC000798999530 583609435 /nfs/dbraw/zinc/60/94/35/583609435.db2.gz NRVFJZRAMFISRB-YPMHNXCESA-N -1 1 334.376 -0.204 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)NCCOCCS(C)(=O)=O)ccnc1-2 ZINC000806483168 583650279 /nfs/dbraw/zinc/65/02/79/583650279.db2.gz XSHVSAVJLQVWNM-UHFFFAOYSA-N -1 1 341.393 -0.476 20 0 EBADMM CCNS(=O)(=O)CCNC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806491142 583650652 /nfs/dbraw/zinc/65/06/52/583650652.db2.gz VKFSUBAYRJIOPT-UHFFFAOYSA-N -1 1 326.382 -0.597 20 0 EBADMM CC[C@H](C)NC(=O)CCNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806856926 583654962 /nfs/dbraw/zinc/65/49/62/583654962.db2.gz MSLVVJNGPMEFQC-JTQLQIEISA-N -1 1 346.391 -0.299 20 0 EBADMM CCN1CCN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)C[C@H]1C ZINC000806949262 583656170 /nfs/dbraw/zinc/65/61/70/583656170.db2.gz DNQLPQGHHZRGOZ-LLVKDONJSA-N -1 1 330.392 -0.167 20 0 EBADMM C[C@H]1CC[C@H](C(N)=O)CN1C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806990089 583656407 /nfs/dbraw/zinc/65/64/07/583656407.db2.gz XECZKGSTXBJCQN-UWVGGRQHSA-N -1 1 344.375 -0.607 20 0 EBADMM COCCCOC1CN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000807019172 583656620 /nfs/dbraw/zinc/65/66/20/583656620.db2.gz YKOZZIVJPQLCFJ-UHFFFAOYSA-N -1 1 347.375 -0.456 20 0 EBADMM COCCOC1CN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000807018765 583656662 /nfs/dbraw/zinc/65/66/62/583656662.db2.gz PFBPFOIWYVLATB-UHFFFAOYSA-N -1 1 333.348 -0.846 20 0 EBADMM CC(=O)N1CCN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)[C@H](C)C1 ZINC000807015101 583656695 /nfs/dbraw/zinc/65/66/95/583656695.db2.gz VOUQSVSSGKJQAQ-SNVBAGLBSA-N -1 1 344.375 -0.641 20 0 EBADMM C[C@@H]1OCC[C@H]1N(CCO)C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000807071437 583657619 /nfs/dbraw/zinc/65/76/19/583657619.db2.gz JMSWRCMROKMXCB-GXFFZTMASA-N -1 1 347.375 -0.721 20 0 EBADMM COCCN(C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@H]1CCOC1 ZINC000807066271 583657682 /nfs/dbraw/zinc/65/76/82/583657682.db2.gz IKLRKIXJAZYHBB-NSHDSACASA-N -1 1 347.375 -0.456 20 0 EBADMM CC(C)C(=O)N[C@@H]1CCCN(C(=O)Cn2cnc(-c3nn[n-]n3)n2)C1 ZINC000820011368 583764809 /nfs/dbraw/zinc/76/48/09/583764809.db2.gz KHIDNVVISPKSRM-SNVBAGLBSA-N -1 1 347.383 -0.779 20 0 EBADMM CC(C)[C@H]1C(=O)NCCN1C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820037564 583765429 /nfs/dbraw/zinc/76/54/29/583765429.db2.gz DSZHLVGBLAFGCH-VIFPVBQESA-N -1 1 333.356 -0.412 20 0 EBADMM CC(C)[C@H]1C(=O)NCCN1C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820037564 583765431 /nfs/dbraw/zinc/76/54/31/583765431.db2.gz DSZHLVGBLAFGCH-VIFPVBQESA-N -1 1 333.356 -0.412 20 0 EBADMM CC(C)Cn1cc(Nc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)cn1 ZINC000820108341 583766500 /nfs/dbraw/zinc/76/65/00/583766500.db2.gz ISMNASDHVQEBKD-UHFFFAOYSA-N -1 1 345.367 -0.140 20 0 EBADMM C[C@@H](CCNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)[S@](C)=O ZINC000820242740 583768862 /nfs/dbraw/zinc/76/88/62/583768862.db2.gz QHTUSLNFCCBWSQ-PPRQPISWSA-N -1 1 344.422 -0.303 20 0 EBADMM C[C@@H](CCNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)[S@](C)=O ZINC000820242740 583768864 /nfs/dbraw/zinc/76/88/64/583768864.db2.gz QHTUSLNFCCBWSQ-PPRQPISWSA-N -1 1 344.422 -0.303 20 0 EBADMM C[C@@H](COCC(F)(F)F)NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000820286616 583770638 /nfs/dbraw/zinc/77/06/38/583770638.db2.gz CXIGYHOXCQAJLG-LURJTMIESA-N -1 1 334.262 -0.458 20 0 EBADMM C[C@@H](CS(C)(=O)=O)C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000820291997 583770976 /nfs/dbraw/zinc/77/09/76/583770976.db2.gz BXYYHKQRHFHLHU-QMMMGPOBSA-N -1 1 325.350 -0.014 20 0 EBADMM C[C@@H](CS(C)(=O)=O)C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000820291997 583770977 /nfs/dbraw/zinc/77/09/77/583770977.db2.gz BXYYHKQRHFHLHU-QMMMGPOBSA-N -1 1 325.350 -0.014 20 0 EBADMM C[C@]12CCC(=O)N1[C@@H](C(=O)Nc1n[nH]cc1-c1nnn[n-]1)CS2 ZINC000820634267 583776469 /nfs/dbraw/zinc/77/64/69/583776469.db2.gz GGBASENVNBUAHF-KRTXAFLBSA-N -1 1 334.365 -0.018 20 0 EBADMM C[C@]12CCC(=O)N1[C@@H](C(=O)Nc1n[nH]cc1-c1nn[n-]n1)CS2 ZINC000820634267 583776470 /nfs/dbraw/zinc/77/64/70/583776470.db2.gz GGBASENVNBUAHF-KRTXAFLBSA-N -1 1 334.365 -0.018 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nnn[n-]2)CCN1C(=O)[C@H]1CCCO1 ZINC000820778035 583779372 /nfs/dbraw/zinc/77/93/72/583779372.db2.gz USIVFFGTBUBZFN-GHMZBOCLSA-N -1 1 344.379 -0.127 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1C(=O)[C@H]1CCCO1 ZINC000820778035 583779374 /nfs/dbraw/zinc/77/93/74/583779374.db2.gz USIVFFGTBUBZFN-GHMZBOCLSA-N -1 1 344.379 -0.127 20 0 EBADMM CCNC(=O)CN(C)S(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000821284715 583788032 /nfs/dbraw/zinc/78/80/32/583788032.db2.gz HGYXPGDPVTWCIE-UHFFFAOYSA-N -1 1 342.356 -0.238 20 0 EBADMM CCOC(=O)CCNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821330354 583788920 /nfs/dbraw/zinc/78/89/20/583788920.db2.gz INMXUVLNGOOQQA-UHFFFAOYSA-N -1 1 326.338 -0.507 20 0 EBADMM CCOC(=O)CCNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821330354 583788921 /nfs/dbraw/zinc/78/89/21/583788921.db2.gz INMXUVLNGOOQQA-UHFFFAOYSA-N -1 1 326.338 -0.507 20 0 EBADMM CCn1nc(C)c(Nc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)c1C ZINC000821568535 583793428 /nfs/dbraw/zinc/79/34/28/583793428.db2.gz YFDBRACHYMVMPS-UHFFFAOYSA-N -1 1 345.367 -0.159 20 0 EBADMM CN(C)C(=O)CN1CCN(C(=O)c2ccc(-c3nnn[n-]3)s2)CC1 ZINC000821575873 583793783 /nfs/dbraw/zinc/79/37/83/583793783.db2.gz SNJQIXYZXRQPCL-UHFFFAOYSA-N -1 1 349.420 -0.226 20 0 EBADMM CN(C)C(=O)CN1CCN(C(=O)c2ccc(-c3nn[n-]n3)s2)CC1 ZINC000821575873 583793784 /nfs/dbraw/zinc/79/37/84/583793784.db2.gz SNJQIXYZXRQPCL-UHFFFAOYSA-N -1 1 349.420 -0.226 20 0 EBADMM COC(=O)[C@@H]1CN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C[C@@H]1C ZINC000821655774 583799299 /nfs/dbraw/zinc/79/92/99/583799299.db2.gz RKICSSSVDAFZKR-JGVFFNPUSA-N -1 1 334.340 -0.127 20 0 EBADMM COC(=O)[C@@H]1CN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C[C@@H]1C ZINC000821655774 583799302 /nfs/dbraw/zinc/79/93/02/583799302.db2.gz RKICSSSVDAFZKR-JGVFFNPUSA-N -1 1 334.340 -0.127 20 0 EBADMM COC(=O)CCNC(=O)COC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821661698 583799631 /nfs/dbraw/zinc/79/96/31/583799631.db2.gz VABILACOIBRTPP-UHFFFAOYSA-N -1 1 339.333 -0.236 20 0 EBADMM COC(=O)CCNC(=O)COC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821661698 583799633 /nfs/dbraw/zinc/79/96/33/583799633.db2.gz VABILACOIBRTPP-UHFFFAOYSA-N -1 1 339.333 -0.236 20 0 EBADMM COC(=O)c1cccc(CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)c1 ZINC000821670205 583800474 /nfs/dbraw/zinc/80/04/74/583800474.db2.gz ROICEWIPYPEZIP-UHFFFAOYSA-N -1 1 342.319 -0.439 20 0 EBADMM COCCOC[C@@H](C)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821691888 583802051 /nfs/dbraw/zinc/80/20/51/583802051.db2.gz IRPZNHWLLHTGNU-SECBINFHSA-N -1 1 342.381 -0.409 20 0 EBADMM COCCOC[C@@H](C)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821691888 583802052 /nfs/dbraw/zinc/80/20/52/583802052.db2.gz IRPZNHWLLHTGNU-SECBINFHSA-N -1 1 342.381 -0.409 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NC[C@@H]2CCC[C@H](O)C2)n(C)c1=O ZINC000822599995 583813276 /nfs/dbraw/zinc/81/32/76/583813276.db2.gz IHYMNXXUGSVDIG-BDAKNGLRSA-N -1 1 335.368 -0.773 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N[C@@H]2CCC[C@@H]3OCC[C@@H]32)n(C)c1=O ZINC000822600047 583813281 /nfs/dbraw/zinc/81/32/81/583813281.db2.gz JBAZESMHYDAGPS-BBBLOLIVSA-N -1 1 347.379 -0.366 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N2C[C@H]3CCCC[C@@H]3C2)n(C)c1=O ZINC000822599260 583813386 /nfs/dbraw/zinc/81/33/86/583813386.db2.gz CCFHPESXWPTBNO-NXEZZACHSA-N -1 1 331.380 -0.110 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NC[C@]2(C)CCCC[C@H]2O)n(C)c1=O ZINC000822601380 583813801 /nfs/dbraw/zinc/81/38/01/583813801.db2.gz YJSTZCWDQCLXIF-PSLIRLAXSA-N -1 1 349.395 -0.383 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N2CCO[C@@H]3CCCC[C@H]32)n(C)c1=O ZINC000822601416 583813939 /nfs/dbraw/zinc/81/39/39/583813939.db2.gz ZJGROLPXMVXJHF-NXEZZACHSA-N -1 1 347.379 -0.588 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N[C@H]2CCCC[C@H]2C(N)=O)n1 ZINC000822614021 583814103 /nfs/dbraw/zinc/81/41/03/583814103.db2.gz IHJYTSPXWMJAND-APPZFPTMSA-N -1 1 333.356 -0.234 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N[C@H]2CCCC[C@H]2C(N)=O)n1 ZINC000822614021 583814104 /nfs/dbraw/zinc/81/41/04/583814104.db2.gz IHJYTSPXWMJAND-APPZFPTMSA-N -1 1 333.356 -0.234 20 0 EBADMM Cn1cc(-c2nn[nH]n2)cc1C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000822631820 583815036 /nfs/dbraw/zinc/81/50/36/583815036.db2.gz JDRRHTMIVQLYGM-UHFFFAOYSA-N -1 1 328.340 -0.082 20 0 EBADMM NS(=O)(=O)[C@H]1CCCN(C(=O)c2ccc(-c3nnn[n-]3)s2)C1 ZINC000822890581 583820819 /nfs/dbraw/zinc/82/08/19/583820819.db2.gz XWJAASKDAKEKKE-ZETCQYMHSA-N -1 1 342.406 -0.179 20 0 EBADMM NS(=O)(=O)[C@H]1CCCN(C(=O)c2ccc(-c3nn[n-]n3)s2)C1 ZINC000822890581 583820820 /nfs/dbraw/zinc/82/08/20/583820820.db2.gz XWJAASKDAKEKKE-ZETCQYMHSA-N -1 1 342.406 -0.179 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCC[C@@H]1Cn1cccn1 ZINC000823122497 583824260 /nfs/dbraw/zinc/82/42/60/583824260.db2.gz CWOAOGOPQTXFDE-SNVBAGLBSA-N -1 1 328.340 -0.654 20 0 EBADMM O=C([O-])[C@@H](Cc1ccccc1)NC(=O)Cn1cnc(-c2nn[nH]n2)n1 ZINC000823131614 583825479 /nfs/dbraw/zinc/82/54/79/583825479.db2.gz KFEJTOZVOZJWBH-SNVBAGLBSA-N -1 1 342.319 -0.730 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCCC[C@H]1CCCC[C@H]1O ZINC000823131679 583825500 /nfs/dbraw/zinc/82/55/00/583825500.db2.gz LWAAZYIUVBGCRS-GHMZBOCLSA-N -1 1 334.384 -0.094 20 0 EBADMM CC(=O)N1CCN(C(=O)CN2CCC[C@H]2CN(C)C(=O)[O-])CC1 ZINC000823555213 583832754 /nfs/dbraw/zinc/83/27/54/583832754.db2.gz BEZWUVWIDOUHAT-ZDUSSCGKSA-N -1 1 326.397 -0.249 20 0 EBADMM c1cnnc(NC[C@@H]2CN(c3nccnc3-c3nnn[n-]3)CCO2)c1 ZINC000823815205 583837252 /nfs/dbraw/zinc/83/72/52/583837252.db2.gz BDWUJISEIFZGLW-SNVBAGLBSA-N -1 1 340.351 -0.236 20 0 EBADMM c1cnnc(NC[C@@H]2CN(c3nccnc3-c3nn[n-]n3)CCO2)c1 ZINC000823815205 583837253 /nfs/dbraw/zinc/83/72/53/583837253.db2.gz BDWUJISEIFZGLW-SNVBAGLBSA-N -1 1 340.351 -0.236 20 0 EBADMM CC(=O)NCCCNS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000823995065 583839771 /nfs/dbraw/zinc/83/97/71/583839771.db2.gz ZCOXJUKRUAKLEJ-UHFFFAOYSA-N -1 1 342.356 -0.190 20 0 EBADMM C[C@H](C(N)=O)N(C)S(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000824058895 583840885 /nfs/dbraw/zinc/84/08/85/583840885.db2.gz HRPKNELMSHSRPG-ZCFIWIBFSA-N -1 1 328.329 -0.500 20 0 EBADMM CC(C)(C)[C@H](O)CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000824072387 583841240 /nfs/dbraw/zinc/84/12/40/583841240.db2.gz VBAGEZXJRSJEKT-SNVBAGLBSA-N -1 1 326.382 -0.053 20 0 EBADMM CC(C)(C)[C@H](O)CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000824072387 583841242 /nfs/dbraw/zinc/84/12/42/583841242.db2.gz VBAGEZXJRSJEKT-SNVBAGLBSA-N -1 1 326.382 -0.053 20 0 EBADMM CC(C)[C@H](NS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1)C(N)=O ZINC000824200712 583842339 /nfs/dbraw/zinc/84/23/39/583842339.db2.gz SNRSYSKKKPBLOO-JTQLQIEISA-N -1 1 342.356 -0.206 20 0 EBADMM CC(C)Cn1ncnc1Cn1c(=O)c(-c2nn[n-]n2)cn(C)c1=O ZINC000824284656 583843376 /nfs/dbraw/zinc/84/33/76/583843376.db2.gz LIYKACMQCPVNJZ-UHFFFAOYSA-N -1 1 331.340 -0.977 20 0 EBADMM C[C@@H](C[S@](C)=O)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000824447694 583846225 /nfs/dbraw/zinc/84/62/25/583846225.db2.gz AWMVOCUSTRBRFA-JKNYTWMOSA-N -1 1 330.395 -0.693 20 0 EBADMM C[C@@H](C[S@](C)=O)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000824447694 583846226 /nfs/dbraw/zinc/84/62/26/583846226.db2.gz AWMVOCUSTRBRFA-JKNYTWMOSA-N -1 1 330.395 -0.693 20 0 EBADMM C[C@@H](O)c1cn(CC(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])nn1 ZINC000824707576 583849108 /nfs/dbraw/zinc/84/91/08/583849108.db2.gz SYAWNBZWTZCQNA-SSDOTTSWSA-N -1 1 330.308 -0.144 20 0 EBADMM C[C@@H](CN1CCN(C)CC1)NC(=O)C[C@@H]1CN(C(=O)[O-])CCO1 ZINC000824907854 583852086 /nfs/dbraw/zinc/85/20/86/583852086.db2.gz OHUPKZLMFGNYOG-QWHCGFSZSA-N -1 1 328.413 -0.493 20 0 EBADMM CCC(=O)N[C@@H](C)C(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000825034561 583854355 /nfs/dbraw/zinc/85/43/55/583854355.db2.gz LPHAWCMAHGPRDU-QMMMGPOBSA-N -1 1 349.399 -0.522 20 0 EBADMM CCC(=O)N[C@@H](C)C(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000825034561 583854357 /nfs/dbraw/zinc/85/43/57/583854357.db2.gz LPHAWCMAHGPRDU-QMMMGPOBSA-N -1 1 349.399 -0.522 20 0 EBADMM CCC1(C(=O)N(C)CCCc2[nH]nc(N)c2-c2nnn[n-]2)COC1 ZINC000825159554 583855686 /nfs/dbraw/zinc/85/56/86/583855686.db2.gz PXLVPWZMQOQWAE-UHFFFAOYSA-N -1 1 334.384 -0.010 20 0 EBADMM CCC1(C(=O)N(C)CCCc2[nH]nc(N)c2-c2nn[n-]n2)COC1 ZINC000825159554 583855688 /nfs/dbraw/zinc/85/56/88/583855688.db2.gz PXLVPWZMQOQWAE-UHFFFAOYSA-N -1 1 334.384 -0.010 20 0 EBADMM CCOC(=O)c1cnn(CCCN2C(=O)CNC2=O)c1-c1nn[n-]n1 ZINC000825570677 583863300 /nfs/dbraw/zinc/86/33/00/583863300.db2.gz MOAIJMBFSXGJSY-UHFFFAOYSA-N -1 1 348.323 -0.818 20 0 EBADMM CCOC(=O)c1cnn(CC(=O)NCC(F)(F)F)c1-c1nn[n-]n1 ZINC000825564922 583863304 /nfs/dbraw/zinc/86/33/04/583863304.db2.gz ISSONAXECHOEEB-UHFFFAOYSA-N -1 1 347.257 -0.082 20 0 EBADMM CCOC(=O)c1cnn(CCNS(=O)(=O)CC)c1-c1nn[n-]n1 ZINC000825571285 583863311 /nfs/dbraw/zinc/86/33/11/583863311.db2.gz XDOKPZVMYJZNIF-UHFFFAOYSA-N -1 1 343.369 -0.821 20 0 EBADMM CCn1ccnc(NCCCc2[nH]nc(N)c2-c2nn[n-]n2)c1=O ZINC000825804742 583867173 /nfs/dbraw/zinc/86/71/73/583867173.db2.gz FTHMLHQNSXRZEP-UHFFFAOYSA-N -1 1 330.356 -0.207 20 0 EBADMM CC(=O)N1CSC[C@@H]1C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000825847632 583868465 /nfs/dbraw/zinc/86/84/65/583868465.db2.gz VSGUFQHAHPGQBX-ZYHUDNBSSA-N -1 1 329.422 -0.085 20 0 EBADMM CNC(=O)[C@H](C)NS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000826051703 583875402 /nfs/dbraw/zinc/87/54/02/583875402.db2.gz MIFGTUINGVBGSC-LURJTMIESA-N -1 1 328.329 -0.581 20 0 EBADMM CNC(=O)[C@H](C)NS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000826051703 583875404 /nfs/dbraw/zinc/87/54/04/583875404.db2.gz MIFGTUINGVBGSC-LURJTMIESA-N -1 1 328.329 -0.581 20 0 EBADMM CNC(=O)[C@@H]1CCC[C@H]1NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000826050827 583875500 /nfs/dbraw/zinc/87/55/00/583875500.db2.gz FHESKJUEZLHSOM-RKDXNWHRSA-N -1 1 333.352 -0.263 20 0 EBADMM CNC(=O)[C@@H]1CCC[C@H]1NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000826050827 583875503 /nfs/dbraw/zinc/87/55/03/583875503.db2.gz FHESKJUEZLHSOM-RKDXNWHRSA-N -1 1 333.352 -0.263 20 0 EBADMM CC(C)(C)OC(=O)Cn1ccc(NC(=O)NN2CC(=O)[N-]C2=O)n1 ZINC000826089313 583877672 /nfs/dbraw/zinc/87/76/72/583877672.db2.gz DEXCZEVDDBHOQY-UHFFFAOYSA-N -1 1 338.324 -0.187 20 0 EBADMM COC(=O)[C@@H]1CN(C(=O)Cc2noc(C)c2-c2nnn[n-]2)CCO1 ZINC000826132803 583881801 /nfs/dbraw/zinc/88/18/01/583881801.db2.gz GHTODFPPHUNMKZ-VIFPVBQESA-N -1 1 336.308 -0.894 20 0 EBADMM COC(=O)[C@@H]1CN(C(=O)Cc2noc(C)c2-c2nn[n-]n2)CCO1 ZINC000826132803 583881802 /nfs/dbraw/zinc/88/18/02/583881802.db2.gz GHTODFPPHUNMKZ-VIFPVBQESA-N -1 1 336.308 -0.894 20 0 EBADMM COCCCOC1CN(C(=O)Cc2noc(C)c2-c2nnn[n-]2)C1 ZINC000826156769 583885424 /nfs/dbraw/zinc/88/54/24/583885424.db2.gz SNQXZWQZBKLUJM-UHFFFAOYSA-N -1 1 336.352 -0.030 20 0 EBADMM COCCCOC1CN(C(=O)Cc2noc(C)c2-c2nn[n-]n2)C1 ZINC000826156769 583885426 /nfs/dbraw/zinc/88/54/26/583885426.db2.gz SNQXZWQZBKLUJM-UHFFFAOYSA-N -1 1 336.352 -0.030 20 0 EBADMM COCCOCCNS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000826160865 583886470 /nfs/dbraw/zinc/88/64/70/583886470.db2.gz KDUJVCZXMXOWQK-UHFFFAOYSA-N -1 1 345.356 -0.053 20 0 EBADMM CS(=O)(=O)CCNS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000826222596 583888128 /nfs/dbraw/zinc/88/81/28/583888128.db2.gz DHIYRMXYQCGHQM-UHFFFAOYSA-N -1 1 349.369 -0.671 20 0 EBADMM Cc1[nH]c(=O)c(-c2nn[n-]n2)c(C)c1CCC(=O)N1CC(=O)N(C)C1 ZINC000826232676 583889051 /nfs/dbraw/zinc/88/90/51/583889051.db2.gz CREYONBVHGLCJZ-UHFFFAOYSA-N -1 1 345.363 -0.225 20 0 EBADMM CS[C@H](CO)[C@@H](C)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000826227297 583889182 /nfs/dbraw/zinc/88/91/82/583889182.db2.gz OXNHLSXNSNPYEL-GMSGAONNSA-N -1 1 344.422 -0.348 20 0 EBADMM CS[C@H](CO)[C@@H](C)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000826227297 583889184 /nfs/dbraw/zinc/88/91/84/583889184.db2.gz OXNHLSXNSNPYEL-GMSGAONNSA-N -1 1 344.422 -0.348 20 0 EBADMM Cc1cnn(CC(=O)N(C)CCCc2[nH]nc(N)c2-c2nnn[n-]2)c1 ZINC000826320302 583891317 /nfs/dbraw/zinc/89/13/17/583891317.db2.gz MEUSWQJAQDTHRB-UHFFFAOYSA-N -1 1 344.383 -0.232 20 0 EBADMM Cc1cnn(CC(=O)N(C)CCCc2[nH]nc(N)c2-c2nn[n-]n2)c1 ZINC000826320302 583891319 /nfs/dbraw/zinc/89/13/19/583891319.db2.gz MEUSWQJAQDTHRB-UHFFFAOYSA-N -1 1 344.383 -0.232 20 0 EBADMM Cc1nn(C)cc1C(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000826334552 583891558 /nfs/dbraw/zinc/89/15/58/583891558.db2.gz ZLFJTSOSJBTNNF-UHFFFAOYSA-N -1 1 344.383 -0.081 20 0 EBADMM Cc1nn(C)cc1C(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000826334552 583891561 /nfs/dbraw/zinc/89/15/61/583891561.db2.gz ZLFJTSOSJBTNNF-UHFFFAOYSA-N -1 1 344.383 -0.081 20 0 EBADMM Cc1nnc2n1C[C@@H](NC(=O)Nc1nn(C)cc1-c1nnn[n-]1)CC2 ZINC000826337743 583891625 /nfs/dbraw/zinc/89/16/25/583891625.db2.gz ZLNXAJLKSJLWTR-QMMMGPOBSA-N -1 1 343.355 -0.363 20 0 EBADMM Cc1nnc2n1C[C@@H](NC(=O)Nc1nn(C)cc1-c1nn[n-]n1)CC2 ZINC000826337743 583891628 /nfs/dbraw/zinc/89/16/28/583891628.db2.gz ZLNXAJLKSJLWTR-QMMMGPOBSA-N -1 1 343.355 -0.363 20 0 EBADMM Cc1onc(CC(=O)N2C[C@H]3OCCN(C)[C@H]3C2)c1-c1nn[n-]n1 ZINC000826344043 583892054 /nfs/dbraw/zinc/89/20/54/583892054.db2.gz VSGXPELCLNWZKQ-WDEREUQCSA-N -1 1 333.352 -0.753 20 0 EBADMM Cc1onc(CC(=O)N2C[C@H](C(N)=O)CC[C@@H]2C)c1-c1nnn[n-]1 ZINC000826343573 583892131 /nfs/dbraw/zinc/89/21/31/583892131.db2.gz GPBVWIJUICMPKS-IONNQARKSA-N -1 1 333.352 -0.182 20 0 EBADMM Cc1onc(CC(=O)N2C[C@H](C(N)=O)CC[C@@H]2C)c1-c1nn[n-]n1 ZINC000826343573 583892132 /nfs/dbraw/zinc/89/21/32/583892132.db2.gz GPBVWIJUICMPKS-IONNQARKSA-N -1 1 333.352 -0.182 20 0 EBADMM Cc1onc(CC(=O)N2CCC[C@@H]2Cn2cncn2)c1-c1nnn[n-]1 ZINC000826344911 583892542 /nfs/dbraw/zinc/89/25/42/583892542.db2.gz WLLNFDQPGMBERH-SNVBAGLBSA-N -1 1 343.351 -0.012 20 0 EBADMM Cc1onc(CC(=O)N2CCC[C@@H]2Cn2cncn2)c1-c1nn[n-]n1 ZINC000826344911 583892545 /nfs/dbraw/zinc/89/25/45/583892545.db2.gz WLLNFDQPGMBERH-SNVBAGLBSA-N -1 1 343.351 -0.012 20 0 EBADMM Cc1onc(CC(=O)NCCNC(=O)C(C)(C)C)c1-c1nnn[n-]1 ZINC000826347261 583892882 /nfs/dbraw/zinc/89/28/82/583892882.db2.gz KSCKYGTWGQMZJN-UHFFFAOYSA-N -1 1 335.368 -0.016 20 0 EBADMM Cc1onc(CC(=O)NCCNC(=O)C(C)(C)C)c1-c1nn[n-]n1 ZINC000826347261 583892883 /nfs/dbraw/zinc/89/28/83/583892883.db2.gz KSCKYGTWGQMZJN-UHFFFAOYSA-N -1 1 335.368 -0.016 20 0 EBADMM Cc1onc(CC(=O)NCCN2CCOC[C@H]2C)c1-c1nn[n-]n1 ZINC000826347446 583892953 /nfs/dbraw/zinc/89/29/53/583892953.db2.gz RJWSNPZTQLFZDU-SECBINFHSA-N -1 1 335.368 -0.457 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NCc2noc(C3CC3)n2)n(C)c1=O ZINC000826355709 583893455 /nfs/dbraw/zinc/89/34/55/583893455.db2.gz KEZMKZZIQBFKHX-UHFFFAOYSA-N -1 1 345.323 -0.863 20 0 EBADMM NC(=O)C1(NS(=O)(=O)c2cccc(Cl)c2-c2nnn[n-]2)CC1 ZINC000826389794 583896273 /nfs/dbraw/zinc/89/62/73/583896273.db2.gz IRGHFOKRNKETOK-UHFFFAOYSA-N -1 1 342.768 -0.184 20 0 EBADMM NC(=O)C1(NS(=O)(=O)c2cccc(Cl)c2-c2nn[n-]n2)CC1 ZINC000826389794 583896274 /nfs/dbraw/zinc/89/62/74/583896274.db2.gz IRGHFOKRNKETOK-UHFFFAOYSA-N -1 1 342.768 -0.184 20 0 EBADMM Nc1nsc(N2CCN(c3ccc(-c4nnn[n-]4)nn3)CC2)n1 ZINC000826396810 583898611 /nfs/dbraw/zinc/89/86/11/583898611.db2.gz LHTICOIPYZBUQS-UHFFFAOYSA-N -1 1 331.369 -0.583 20 0 EBADMM Nc1nsc(N2CCN(c3ccc(-c4nn[n-]n4)nn3)CC2)n1 ZINC000826396810 583898612 /nfs/dbraw/zinc/89/86/12/583898612.db2.gz LHTICOIPYZBUQS-UHFFFAOYSA-N -1 1 331.369 -0.583 20 0 EBADMM O=C(CCCN1C(=O)CNC1=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000826406442 583898916 /nfs/dbraw/zinc/89/89/16/583898916.db2.gz XNWJTTWXUFPVAT-UHFFFAOYSA-N -1 1 345.319 -0.157 20 0 EBADMM O=C(Cn1cc(Nc2ccc(-c3nnn[n-]3)nn2)cn1)NC1CC1 ZINC000826424306 583900877 /nfs/dbraw/zinc/90/08/77/583900877.db2.gz FWOSGDFICJYKLU-UHFFFAOYSA-N -1 1 326.324 -0.125 20 0 EBADMM O=C(Cn1cc(Nc2ccc(-c3nn[n-]n3)nn2)cn1)NC1CC1 ZINC000826424306 583900879 /nfs/dbraw/zinc/90/08/79/583900879.db2.gz FWOSGDFICJYKLU-UHFFFAOYSA-N -1 1 326.324 -0.125 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NC[C@]1(O)CCc2ccccc21 ZINC000826425746 583901132 /nfs/dbraw/zinc/90/11/32/583901132.db2.gz RDLGNWWGXMYXLA-OAHLLOKOSA-N -1 1 340.347 -0.592 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@@H]1[C@@H]2CCO[C@@H]2C12CCC2 ZINC000826425626 583901239 /nfs/dbraw/zinc/90/12/39/583901239.db2.gz LXAMMHGCZVGSPB-GDPRMGEGSA-N -1 1 330.352 -0.468 20 0 EBADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCN2C(=O)OCC[C@H]2C1 ZINC000826472731 583903591 /nfs/dbraw/zinc/90/35/91/583903591.db2.gz VKMIQROHPWPNKP-JTQLQIEISA-N -1 1 329.320 -0.072 20 0 EBADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCN2C(=O)OCC[C@H]2C1 ZINC000826472731 583903592 /nfs/dbraw/zinc/90/35/92/583903592.db2.gz VKMIQROHPWPNKP-JTQLQIEISA-N -1 1 329.320 -0.072 20 0 EBADMM O=C1NC(=O)N2CCN(c3snc(Cl)c3-c3nnn[n-]3)C[C@H]12 ZINC000826481773 583904353 /nfs/dbraw/zinc/90/43/53/583904353.db2.gz IOTKUQCILOAWFA-SCSAIBSYSA-N -1 1 340.756 -0.283 20 0 EBADMM O=C1NC(=O)N2CCN(c3snc(Cl)c3-c3nn[n-]n3)C[C@H]12 ZINC000826481773 583904355 /nfs/dbraw/zinc/90/43/55/583904355.db2.gz IOTKUQCILOAWFA-SCSAIBSYSA-N -1 1 340.756 -0.283 20 0 EBADMM O=S(=O)(NCC1(O)CCOCC1)c1ccc(-c2nnn[n-]2)nc1 ZINC000826490060 583905724 /nfs/dbraw/zinc/90/57/24/583905724.db2.gz IXFLUYXXBFGDGS-UHFFFAOYSA-N -1 1 340.365 -0.919 20 0 EBADMM O=S(=O)(NCC1(O)CCOCC1)c1ccc(-c2nn[n-]n2)nc1 ZINC000826490060 583905725 /nfs/dbraw/zinc/90/57/25/583905725.db2.gz IXFLUYXXBFGDGS-UHFFFAOYSA-N -1 1 340.365 -0.919 20 0 EBADMM c1ncn(C[C@H]2CN(Cc3ccnc(-c4nn[n-]n4)c3)CCO2)n1 ZINC000826516042 583906800 /nfs/dbraw/zinc/90/68/00/583906800.db2.gz VHSNUBBHBMNXFZ-GFCCVEGCSA-N -1 1 327.352 -0.246 20 0 EBADMM O=C(c1cc(Br)n[nH]1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000826833228 583911140 /nfs/dbraw/zinc/91/11/40/583911140.db2.gz ADTCYIBYBYQKCB-LURJTMIESA-N -1 1 328.130 -0.101 20 0 EBADMM CCN1CCN(CC(=O)N2CCO[C@H]([C@@H](C)NC(=O)[O-])C2)CC1 ZINC000827223229 583916944 /nfs/dbraw/zinc/91/69/44/583916944.db2.gz QNIMFBNERZXOFI-OLZOCXBDSA-N -1 1 328.413 -0.493 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)CCN2C(=O)CCC2=O)CC1 ZINC000827362354 583919823 /nfs/dbraw/zinc/91/98/23/583919823.db2.gz NJHTVQRJJHOYHT-LLVKDONJSA-N -1 1 325.365 -0.467 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)CCCN2C(=O)CCC2=O)CC1 ZINC000827362441 583919891 /nfs/dbraw/zinc/91/98/91/583919891.db2.gz OFGBLZYTKKPNOV-LBPRGKRZSA-N -1 1 339.392 -0.077 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@H]2CCCN(C(N)=O)C2)CC1 ZINC000827357354 583919911 /nfs/dbraw/zinc/91/99/11/583919911.db2.gz WYIPDBWDUFPOGB-RYUDHWBXSA-N -1 1 326.397 -0.216 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)CCC(=O)N2CCOCC2)CC1 ZINC000827363137 583919969 /nfs/dbraw/zinc/91/99/69/583919969.db2.gz ZNXVWZROGZUOHE-ZDUSSCGKSA-N -1 1 341.408 -0.367 20 0 EBADMM C[C@@H]1CN(CC(=O)Nc2ccc(S(N)(=O)=O)cc2)C[C@H]1C(=O)[O-] ZINC000828438884 583938895 /nfs/dbraw/zinc/93/88/95/583938895.db2.gz GRMITXGEEJRCSL-BXKDBHETSA-N -1 1 341.389 -0.075 20 0 EBADMM COC(=O)[C@@H]1CN(CN2CC3(CN(C(=O)[O-])C3)CC2=O)C[C@@H]1C ZINC000828638361 583943875 /nfs/dbraw/zinc/94/38/75/583943875.db2.gz ACRHQFWTDWKMCG-WDEREUQCSA-N -1 1 325.365 -0.103 20 0 EBADMM CC[C@@H](C)[C@H](NC(=O)CN1CCN(C(C)(C)CO)CC1)C(=O)[O-] ZINC000828716063 583946359 /nfs/dbraw/zinc/94/63/59/583946359.db2.gz FHLSTFONAPOZLQ-OCCSQVGLSA-N -1 1 329.441 -0.010 20 0 EBADMM COCCN1CCN(CN2CC3(CN(C(=O)[O-])C3)CC2=O)C[C@H]1C ZINC000828991602 583949688 /nfs/dbraw/zinc/94/96/88/583949688.db2.gz LXJGDQWUJORIEP-CYBMUJFWSA-N -1 1 340.424 -0.191 20 0 EBADMM CS(=O)(=O)N1CCC[C@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)C1 ZINC000830190141 583965389 /nfs/dbraw/zinc/96/53/89/583965389.db2.gz HWVNBDPENDRTFP-ZETCQYMHSA-N -1 1 342.830 -0.235 20 0 EBADMM Cn1cc(N2CC[C@H]([N-]S(=O)(=O)c3c[nH]nc3Cl)C2=O)cn1 ZINC000830552837 583967936 /nfs/dbraw/zinc/96/79/36/583967936.db2.gz IXAMJWJYEWDWQQ-QMMMGPOBSA-N -1 1 344.784 -0.120 20 0 EBADMM CN(C)[C@]1(CNS(=O)(=O)c2cnn(CC(=O)[O-])c2)CCSC1 ZINC000830592632 583968339 /nfs/dbraw/zinc/96/83/39/583968339.db2.gz XCOQTPOVKYUAJS-LBPRGKRZSA-N -1 1 348.450 -0.317 20 0 EBADMM Cc1nn(CC(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)c(=O)n1C ZINC000830790159 583970930 /nfs/dbraw/zinc/97/09/30/583970930.db2.gz OQXHTFHRSUQANK-LBPRGKRZSA-N -1 1 349.313 -0.440 20 0 EBADMM COC(=O)C[C@H]1CN(CCCN2CCO[C@H](CC(=O)[O-])C2)CCO1 ZINC000831148144 583977198 /nfs/dbraw/zinc/97/71/98/583977198.db2.gz PQMOQNAYGDUSNS-KGLIPLIRSA-N -1 1 344.408 -0.184 20 0 EBADMM COCCCN(C)CCNS(=O)(=O)N1CCC(C(=O)[O-])CC1 ZINC000831692486 583989779 /nfs/dbraw/zinc/98/97/79/583989779.db2.gz ZJKSGSCQCMLFSZ-UHFFFAOYSA-N -1 1 337.442 -0.414 20 0 EBADMM COc1cc(C(=O)[O-])ccc1OC[C@H](O)CN1C[C@@H](O)[C@@H](O)C1 ZINC000831917618 583993242 /nfs/dbraw/zinc/99/32/42/583993242.db2.gz IEVSZYDBUOWWSZ-UTUOFQBUSA-N -1 1 327.333 -0.830 20 0 EBADMM O=C([O-])N1CCO[C@@H](C(=O)N2CC[C@H](CN3CCOCC3)C2)C1 ZINC000832048949 583995090 /nfs/dbraw/zinc/99/50/90/583995090.db2.gz BHFDKDIBUFIOJE-CHWSQXEVSA-N -1 1 327.381 -0.454 20 0 EBADMM O=C1CN(CC[N-]S(=O)(=O)c2ccc(Cl)nc2F)CCN1 ZINC000867077293 583997445 /nfs/dbraw/zinc/99/74/45/583997445.db2.gz NQJKFEZIKZGXHX-UHFFFAOYSA-N -1 1 336.776 -0.416 20 0 EBADMM CC[C@@H](CNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)C(=O)OC ZINC000832808644 584002086 /nfs/dbraw/zinc/00/20/86/584002086.db2.gz CXQSZSFSPQJFSG-VIFPVBQESA-N -1 1 333.348 -0.404 20 0 EBADMM O=C([O-])[C@@]1(NC(=O)CCN2CCN(c3ccccn3)CC2)CCOC1 ZINC000833100100 584005715 /nfs/dbraw/zinc/00/57/15/584005715.db2.gz NUKHURFOPSGEQH-QGZVFWFLSA-N -1 1 348.403 -0.046 20 0 EBADMM CC(=O)N1CCN(C(=O)[C@@H](C)N2CCC([C@H](O)C(=O)[O-])CC2)CC1 ZINC000833394458 584009724 /nfs/dbraw/zinc/00/97/24/584009724.db2.gz ZPMMOZBPZHSQFE-RISCZKNCSA-N -1 1 341.408 -0.777 20 0 EBADMM CC(C)NC(=O)CN(C)CC(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C ZINC000833502086 584011296 /nfs/dbraw/zinc/01/12/96/584011296.db2.gz NIWONOGHBIFOQH-ZDUSSCGKSA-N -1 1 342.440 -0.550 20 0 EBADMM C[C@H](C(=O)N1CCN(CCC(=O)[O-])C[C@H]1C)N1C(=O)CCC1=O ZINC000833619988 584012341 /nfs/dbraw/zinc/01/23/41/584012341.db2.gz JRQPEDGELZHPBS-GHMZBOCLSA-N -1 1 325.365 -0.469 20 0 EBADMM C[C@H]1CN(CCC(=O)NCC(=O)[O-])CCN1c1nccn2cnnc12 ZINC000833624454 584012493 /nfs/dbraw/zinc/01/24/93/584012493.db2.gz UZCXILZBATYAOZ-NSHDSACASA-N -1 1 347.379 -0.774 20 0 EBADMM C[C@@H]1COCCN1CCNC(=O)N1CCN(CCC(=O)[O-])C[C@H]1C ZINC000833631936 584012845 /nfs/dbraw/zinc/01/28/45/584012845.db2.gz RGJXXYXTMPAUKP-ZIAGYGMSSA-N -1 1 342.440 -0.103 20 0 EBADMM CCn1c(N)c(C(=O)CN[C@@](C)(COC)CC(=O)[O-])c(=O)[nH]c1=O ZINC000833683635 584013585 /nfs/dbraw/zinc/01/35/85/584013585.db2.gz JWFUBZWOZKINFZ-CQSZACIVSA-N -1 1 342.352 -0.797 20 0 EBADMM CNC(=O)[C@@H](NC(=O)N1CCN(CCC(=O)[O-])C[C@H]1C)C(C)C ZINC000833698929 584014164 /nfs/dbraw/zinc/01/41/64/584014164.db2.gz APYNKVMDRPLCLD-YPMHNXCESA-N -1 1 328.413 -0.053 20 0 EBADMM NC(=O)C[C@H](NC(=O)[O-])C(=O)N1CCC(CN2CCOCC2)CC1 ZINC000833982032 584022305 /nfs/dbraw/zinc/02/23/05/584022305.db2.gz ZDVINNGFPSANQL-LBPRGKRZSA-N -1 1 342.396 -0.931 20 0 EBADMM O=C([O-])N1CC(CNC(=O)N2CCN(C[C@H]3CCOC3)CC2)C1 ZINC000834068612 584025599 /nfs/dbraw/zinc/02/55/99/584025599.db2.gz XEXVPEUKCVVPOL-GFCCVEGCSA-N -1 1 326.397 -0.040 20 0 EBADMM CS(=O)(=O)N1CC(C[N-]S(=O)(=O)c2ccc(F)nc2F)C1 ZINC000867132984 584041864 /nfs/dbraw/zinc/04/18/64/584041864.db2.gz RJIVGKUAJICCLX-UHFFFAOYSA-N -1 1 341.361 -0.471 20 0 EBADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@@H]2CC(=O)N(C3CC3)C2)CCC1 ZINC000843017460 584069761 /nfs/dbraw/zinc/06/97/61/584069761.db2.gz FTDCMGQEAFKARG-LLVKDONJSA-N -1 1 343.449 -0.072 20 0 EBADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@@H]2CCN(C)C(=O)C2)CCC1 ZINC000843017727 584070027 /nfs/dbraw/zinc/07/00/27/584070027.db2.gz ALDNNNBBTZMOEV-LLVKDONJSA-N -1 1 331.438 -0.215 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H](Nc2ncccn2)C1 ZINC000843942444 584084707 /nfs/dbraw/zinc/08/47/07/584084707.db2.gz SKPGTBNKTQIENM-NSHDSACASA-N -1 1 330.348 -0.570 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@H]2CN(C3CC3)C(=O)O2)co1 ZINC000848365756 584156324 /nfs/dbraw/zinc/15/63/24/584156324.db2.gz NNFITYRIIAMTCV-JTQLQIEISA-N -1 1 343.361 -0.099 20 0 EBADMM CON(C)S(=O)(=O)CCCS(=O)(=O)[N-]c1n[nH]c(C)c1C ZINC000848957777 584164828 /nfs/dbraw/zinc/16/48/28/584164828.db2.gz VSHIGOFXRHXIIU-UHFFFAOYSA-N -1 1 340.427 -0.019 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)C2=NNC(=O)CC2)cc1 ZINC000006641739 584165516 /nfs/dbraw/zinc/16/55/16/584165516.db2.gz LAPDYIYRWSWSAR-UHFFFAOYSA-N -1 1 333.300 -0.239 20 0 EBADMM CCS(=O)(=O)N1CCC[C@@H](NC(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC000849230324 584167115 /nfs/dbraw/zinc/16/71/15/584167115.db2.gz AYDPWHGVSONZMF-RQJHMYQMSA-N -1 1 332.300 -0.068 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2COC3(CCC3)C2)c(=O)n(C)c1=O ZINC000849449327 584171235 /nfs/dbraw/zinc/17/12/35/584171235.db2.gz CIMKCNVQLPWXPY-VIFPVBQESA-N -1 1 329.378 -0.926 20 0 EBADMM C[C@@H]1CN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)C[C@@]1(C)CO ZINC000850706378 584186853 /nfs/dbraw/zinc/18/68/53/584186853.db2.gz IQDNLFQLTDJOIT-HWPZZCPQSA-N -1 1 331.376 -0.243 20 0 EBADMM COCC(COC)S(=O)(=O)[N-]C(=O)CCCCc1cn[nH]n1 ZINC000851501618 584195077 /nfs/dbraw/zinc/19/50/77/584195077.db2.gz CCVIEEIURMBQIT-UHFFFAOYSA-N -1 1 334.398 -0.375 20 0 EBADMM Cn1ncc(CN2CCC[C@@H](NC(=O)C(F)(F)F)[C@@H]2C(=O)[O-])n1 ZINC000852736970 584215372 /nfs/dbraw/zinc/21/53/72/584215372.db2.gz PTNISHBOILSTHC-RKDXNWHRSA-N -1 1 335.286 -0.089 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@]12OCCC[C@H]1C2(F)F ZINC000855785674 584263080 /nfs/dbraw/zinc/26/30/80/584263080.db2.gz AWKLYGMYOWFWRZ-RNCFNFMXSA-N -1 1 329.303 -0.143 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)CCN(C)C(=O)OC)co1 ZINC000855887189 584263899 /nfs/dbraw/zinc/26/38/99/584263899.db2.gz QKQNXTJVIHMADW-UHFFFAOYSA-N -1 1 333.366 -0.042 20 0 EBADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]CCC(=O)N1CCN(C)CC1 ZINC000866525452 584401905 /nfs/dbraw/zinc/40/19/05/584401905.db2.gz ULRGMMUTRAQYJB-FQEVSTJZSA-N -1 1 340.471 -0.897 20 0 EBADMM Cc1cc(S(=O)(=O)[N-]C[C@]23COCCN2C(=O)COC3)sn1 ZINC000867789530 584417292 /nfs/dbraw/zinc/41/72/92/584417292.db2.gz JTPCVQKHFOSESB-GFCCVEGCSA-N -1 1 347.418 -0.642 20 0 EBADMM CCO[C@@H]1C[C@H]([N-]S(=O)(=O)N=[S@](C)(=O)N(C)C)[C@@H]1OC ZINC000882068401 584583003 /nfs/dbraw/zinc/58/30/03/584583003.db2.gz VYHLRZIHZQBGLK-KVGMRJQNSA-N -1 1 329.444 -0.412 20 0 EBADMM CCO[C@@H]1C[C@H]([N-]S(=O)(=O)N=[S@](C)(=O)N(C)C)[C@H]1OC ZINC000882068402 584583200 /nfs/dbraw/zinc/58/32/00/584583200.db2.gz VYHLRZIHZQBGLK-ORFOVLKQSA-N -1 1 329.444 -0.412 20 0 EBADMM COCC(COC)S(=O)(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000882506438 584591394 /nfs/dbraw/zinc/59/13/94/584591394.db2.gz WRXZJEBDHABKMF-UHFFFAOYSA-N -1 1 348.343 -0.412 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@H]2CC3(CCC3)CO2)c(=O)n(C)c1=O ZINC000885638690 584651111 /nfs/dbraw/zinc/65/11/11/584651111.db2.gz SHFBRDIWMRHAIS-SNVBAGLBSA-N -1 1 343.405 -0.679 20 0 EBADMM CC(=O)N1CCN(Cc2cn(CC[N-]C(=O)C(F)(F)F)nn2)CC1 ZINC000887413057 584678065 /nfs/dbraw/zinc/67/80/65/584678065.db2.gz CCMRPRMCCFSNHW-UHFFFAOYSA-N -1 1 348.329 -0.379 20 0 EBADMM CC(=O)N(C)C1CCN(C(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000337938809 584680711 /nfs/dbraw/zinc/68/07/11/584680711.db2.gz KOYCTMFWMSENAM-UHFFFAOYSA-N -1 1 334.376 -0.014 20 0 EBADMM CN(C(=O)[C@H]1CC(=O)N(c2ccc3c(c2)OCCO3)C1)c1nn[n-]n1 ZINC000827891530 584695815 /nfs/dbraw/zinc/69/58/15/584695815.db2.gz HUYLWTVPRHFGQE-VIFPVBQESA-N -1 1 344.331 -0.013 20 0 EBADMM O=C([O-])c1cccc(S(=O)(=O)NCC(=O)N2CCNCC2)c1 ZINC000314612744 599955451 /nfs/dbraw/zinc/95/54/51/599955451.db2.gz FUHZYGKLVMIVCV-UHFFFAOYSA-N -1 1 327.362 -0.905 20 0 EBADMM O=C([O-])CNC(=O)CCN1CCN(CC(=O)N2CCCCC2)CC1 ZINC000739806681 600159095 /nfs/dbraw/zinc/15/90/95/600159095.db2.gz MQMJUPJOQVKNFY-UHFFFAOYSA-N -1 1 340.424 -0.793 20 0 EBADMM O=C([O-])Cn1cc(S(=O)(=O)N2CC[C@@H](N3CCCC3)C2)cn1 ZINC000388190366 600735738 /nfs/dbraw/zinc/73/57/38/600735738.db2.gz NAEOEGHAOJOMCQ-LLVKDONJSA-N -1 1 328.394 -0.174 20 0 EBADMM O=C([O-])N[C@@H](Cc1ccccc1)C(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000740538791 602501257 /nfs/dbraw/zinc/50/12/57/602501257.db2.gz YXQUMEDVBCHLJM-RYUDHWBXSA-N -1 1 346.347 -0.022 20 0 EBADMM O=C([O-])N1CCOC[C@@H]1C(=O)N1CC[C@H](CN2CCOCC2)C1 ZINC000740344366 602971314 /nfs/dbraw/zinc/97/13/14/602971314.db2.gz GOYQPOKYYWHKMX-CHWSQXEVSA-N -1 1 327.381 -0.454 20 0 EBADMM CCOC(=O)N1CCN(C(=O)CN(C)CCCNC(=O)[O-])CC1 ZINC000739477294 603254027 /nfs/dbraw/zinc/25/40/27/603254027.db2.gz MSOFUKQHCXNNDO-UHFFFAOYSA-N -1 1 330.385 -0.123 20 0 EBADMM C[C@H](Cc1ccco1)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000026881835 649934704 /nfs/dbraw/zinc/93/47/04/649934704.db2.gz JHKIKUKEPBDGEX-SECBINFHSA-N -1 1 327.362 -0.414 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H](C)C(=O)NC(=O)NC)o1 ZINC000042264050 649941313 /nfs/dbraw/zinc/94/13/13/649941313.db2.gz MXZZHHJJSQZBRL-ZCFIWIBFSA-N -1 1 333.322 -0.811 20 0 EBADMM C[C@]12CCC(=O)N1[C@@H](C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-])CS2 ZINC000320696211 604896338 /nfs/dbraw/zinc/89/63/38/604896338.db2.gz RCKRHLRUDSIMSW-RBZYPMLTSA-N -1 1 338.389 -0.024 20 0 EBADMM CC(C)N1CCO[C@@H](CN2CCN(C(=O)[C@H](C)NC(=O)[O-])CC2)C1 ZINC000738855441 604948563 /nfs/dbraw/zinc/94/85/63/604948563.db2.gz VZOAHTKEFHCXEY-KBPBESRZSA-N -1 1 342.440 -0.104 20 0 EBADMM CCS(=O)(=O)CC(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000064080418 649963638 /nfs/dbraw/zinc/96/36/38/649963638.db2.gz MYKHYQPEACAOOV-UHFFFAOYSA-N -1 1 343.357 -0.071 20 0 EBADMM Cn1c(CNC(=O)[C@H]2CCCCN2S(C)(=O)=O)n[n-]c1=S ZINC000066625208 649965093 /nfs/dbraw/zinc/96/50/93/649965093.db2.gz WJMZSNJDNSREEN-MRVPVSSYSA-N -1 1 333.439 -0.092 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C2C[C@H]3CC[C@@H](C2)S3)c(=O)n(C)c1=O ZINC000907662880 650088430 /nfs/dbraw/zinc/08/84/30/650088430.db2.gz YQLXQKVQFHFDGY-PBINXNQUSA-N -1 1 345.446 -0.211 20 0 EBADMM COCC1(S(=O)(=O)[N-][C@@](C)(Cn2cccn2)C(=O)OC)CC1 ZINC000908395010 650100852 /nfs/dbraw/zinc/10/08/52/650100852.db2.gz DZZMEGVSJWCCED-LBPRGKRZSA-N -1 1 331.394 -0.087 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CC(=O)N(C(C)C)C1=O ZINC000912540714 650206963 /nfs/dbraw/zinc/20/69/63/650206963.db2.gz BTFBXQWCZGIDPT-QMMMGPOBSA-N -1 1 344.349 -0.990 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](CO)C[C@@H](O)C(C)(C)C ZINC000912548977 650207867 /nfs/dbraw/zinc/20/78/67/650207867.db2.gz BBVWHYDDQGHFHY-PSASIEDQSA-N -1 1 349.409 -0.367 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1ccnc(N(C)C)n1 ZINC000912548011 650207923 /nfs/dbraw/zinc/20/79/23/650207923.db2.gz RHUNFRVXSDYHIJ-UHFFFAOYSA-N -1 1 340.365 -0.469 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1nnc2n1CCCC2 ZINC000912551123 650207985 /nfs/dbraw/zinc/20/79/85/650207985.db2.gz WFAHBXXWSQWLCS-UHFFFAOYSA-N -1 1 340.365 -0.397 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)c1nccc(N)n1 ZINC000912554826 650208527 /nfs/dbraw/zinc/20/85/27/650208527.db2.gz YNHINDJAUCTLFS-ZETCQYMHSA-N -1 1 340.365 -0.002 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1ncnn1C(C)C ZINC000912552568 650208622 /nfs/dbraw/zinc/20/86/22/650208622.db2.gz HUMYBGLOSYWFJV-UHFFFAOYSA-N -1 1 328.354 -0.153 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)c1nccc(N)n1 ZINC000912554825 650208666 /nfs/dbraw/zinc/20/86/66/650208666.db2.gz YNHINDJAUCTLFS-SSDOTTSWSA-N -1 1 340.365 -0.002 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC[C@]1(O)CCCOC1 ZINC000912557175 650208980 /nfs/dbraw/zinc/20/89/80/650208980.db2.gz VBJFSSOKWNZYAN-GFCCVEGCSA-N -1 1 333.366 -0.594 20 0 EBADMM CN1CCO[C@H](C(=O)N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)C1=O ZINC000913780011 650246131 /nfs/dbraw/zinc/24/61/31/650246131.db2.gz OPVPGFSSIJTPOQ-UFBFGSQYSA-N -1 1 337.298 -0.487 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCO[C@H](C)C2)o1 ZINC000915240460 650266679 /nfs/dbraw/zinc/26/66/79/650266679.db2.gz SNMGOKSTFWBNFG-SECBINFHSA-N -1 1 346.361 -0.408 20 0 EBADMM O=S(=O)([N-]CC12COCCN1CCOC2)c1ccc(F)nc1F ZINC000918378155 650289037 /nfs/dbraw/zinc/28/90/37/650289037.db2.gz OICDWHZJJLNNBJ-UHFFFAOYSA-N -1 1 349.359 -0.261 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC[C@@H]2C[C@H]2C2CC2)c(=O)n(C)c1=O ZINC000920850391 650315188 /nfs/dbraw/zinc/31/51/88/650315188.db2.gz WXQYXYGPJMTCFB-MNOVXSKESA-N -1 1 327.406 -0.202 20 0 EBADMM CC(C)O[C@]1(C[N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C)CCOC1 ZINC000921460372 650321416 /nfs/dbraw/zinc/32/14/16/650321416.db2.gz RSCMRMPWPGXNAN-PRWKNARSSA-N -1 1 343.471 -0.021 20 0 EBADMM CN1C[C@@H](O)C[C@@H]1CNC(=O)c1cc(F)ccc1[N-]S(C)(=O)=O ZINC000924985425 650371232 /nfs/dbraw/zinc/37/12/32/650371232.db2.gz AIDWGXVMEIBALU-MNOVXSKESA-N -1 1 345.396 -0.008 20 0 EBADMM CN(C(=O)[C@H]1CCNC(=O)C1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937211843 651679582 /nfs/dbraw/zinc/67/95/82/651679582.db2.gz PQLRSCGJWXUBMF-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)Cc1nnc[nH]1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216800 651684490 /nfs/dbraw/zinc/68/44/90/651684490.db2.gz MMYPPKWEVAUYOK-SNVBAGLBSA-N -1 1 330.348 -0.179 20 0 EBADMM CN(C(=O)[C@H]1CCCNC1=O)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216497 651685241 /nfs/dbraw/zinc/68/52/41/651685241.db2.gz FKOFGLSPRKXYCH-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)[C@@]1(C)CNC(=O)C1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216559 651685299 /nfs/dbraw/zinc/68/52/99/651685299.db2.gz GUHQWRVLPOXJMM-APPDUMDISA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)[C@H]1CN(C)C(=O)N1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937217870 651685810 /nfs/dbraw/zinc/68/58/10/651685810.db2.gz YNWWVDNFJMBKHQ-WDEREUQCSA-N -1 1 347.375 -0.516 20 0 EBADMM CN(C(=O)Cn1nccn1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937220754 651687161 /nfs/dbraw/zinc/68/71/61/651687161.db2.gz UPFYJQRCDVVYPI-NSHDSACASA-N -1 1 330.348 -0.248 20 0 EBADMM C[C@H]1C(=O)NCCN1C(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000933105182 651714116 /nfs/dbraw/zinc/71/41/16/651714116.db2.gz CVHPAMSUPJGUMB-QMMMGPOBSA-N -1 1 341.314 -0.324 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)[C@@H]1CCN(C(=O)C(F)F)C1 ZINC000936318561 651764572 /nfs/dbraw/zinc/76/45/72/651764572.db2.gz WLAZKJWAYKJJPI-SSDOTTSWSA-N -1 1 340.290 -0.644 20 0 EBADMM O=C(CN1CCCNC1=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937861227 651958292 /nfs/dbraw/zinc/95/82/92/651958292.db2.gz QWWJKJSODDITRK-NSHDSACASA-N -1 1 347.375 -0.467 20 0 EBADMM C[C@@]1(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)CCC(=O)N1 ZINC000937863038 651959081 /nfs/dbraw/zinc/95/90/81/651959081.db2.gz BFPTVJKAKNRMRY-QFYYESIMSA-N -1 1 332.360 -0.213 20 0 EBADMM CCN1CCOC[C@H]1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937865788 651959835 /nfs/dbraw/zinc/95/98/35/651959835.db2.gz NLFYLNDMZWTCPH-STQMWFEESA-N -1 1 348.403 -0.161 20 0 EBADMM CC[C@H](OC)C(=O)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000938314698 652193053 /nfs/dbraw/zinc/19/30/53/652193053.db2.gz OJBIFSDPMXPORW-ONGXEEELSA-N -1 1 348.363 -0.827 20 0 EBADMM CN(C(=O)c1cnc(C2CC2)[n-]c1=O)[C@H]1CCN(C(=O)CC(N)=O)C1 ZINC000936965674 652325944 /nfs/dbraw/zinc/32/59/44/652325944.db2.gz JCPBUJTXFWNGJF-JTQLQIEISA-N -1 1 347.375 -0.392 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC000938663211 652657791 /nfs/dbraw/zinc/65/77/91/652657791.db2.gz MXPPYPSJOAXAQN-NWDGAFQWSA-N -1 1 346.387 -0.062 20 0 EBADMM CN1C[C@H](C(=O)N2CCC[C@@H]2CNC(=O)c2ncccc2[O-])CC1=O ZINC000944070586 652664067 /nfs/dbraw/zinc/66/40/67/652664067.db2.gz NWRDFZMTZZENSP-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1[n-]c(CN2CC(N3CCN(C(=O)/C=C\C4CC4)CC3)C2)nc1=O ZINC000941357442 652690829 /nfs/dbraw/zinc/69/08/29/652690829.db2.gz VEIWUUWFMDWVOY-PLNGDYQASA-N -1 1 346.435 -0.597 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N(C)[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000938901442 652765783 /nfs/dbraw/zinc/76/57/83/652765783.db2.gz JLLIWTBUMOAGPZ-GMTAPVOTSA-N -1 1 344.375 -0.254 20 0 EBADMM Cc1ncc(C(=O)N(C)[C@H]2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)[nH]1 ZINC000938984700 652791884 /nfs/dbraw/zinc/79/18/84/652791884.db2.gz XGVXYFVWLIXVTP-VIFPVBQESA-N -1 1 349.351 -0.906 20 0 EBADMM CN(C(=O)c1ccn[nH]1)[C@@H]1CCN(C(=O)c2cnc([O-])n(C)c2=O)C1 ZINC000938996541 652797828 /nfs/dbraw/zinc/79/78/28/652797828.db2.gz PQZYAWUANMPRPC-SECBINFHSA-N -1 1 346.347 -0.804 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@@H]1CCN(C)C1=O ZINC000939157597 652847555 /nfs/dbraw/zinc/84/75/55/652847555.db2.gz WJMKEASXDUUILI-GRYCIOLGSA-N -1 1 346.387 -0.158 20 0 EBADMM Cn1cnc(C(=O)N2CCO[C@H](CNC(=O)c3ncccc3[O-])C2)c1 ZINC000944559299 652858242 /nfs/dbraw/zinc/85/82/42/652858242.db2.gz BCMVKKUQPSTGML-LLVKDONJSA-N -1 1 345.359 -0.208 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)CCO1)c1ncccc1[O-] ZINC000944559865 652859164 /nfs/dbraw/zinc/85/91/64/652859164.db2.gz FYNOKGZVSGGVQN-NMKXLXIOSA-N -1 1 347.371 -0.363 20 0 EBADMM Cn1nccc1C(=O)N1CCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944561869 652861806 /nfs/dbraw/zinc/86/18/06/652861806.db2.gz VUEQDEUWTQNQNR-LLVKDONJSA-N -1 1 345.359 -0.208 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2ncccn2)CCO1)c1ncccc1[O-] ZINC000944563175 652863647 /nfs/dbraw/zinc/86/36/47/652863647.db2.gz FTMXMQDKJSYDRR-LLVKDONJSA-N -1 1 343.343 -0.152 20 0 EBADMM O=C(Cn1nccn1)N1CCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944565243 652864147 /nfs/dbraw/zinc/86/41/47/652864147.db2.gz QDHYGVUPTFBJPP-NSHDSACASA-N -1 1 346.347 -0.964 20 0 EBADMM CC1(C(=O)N2CC[C@@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)CC1 ZINC000941664747 652866746 /nfs/dbraw/zinc/86/67/46/652866746.db2.gz ZINWEDSLGFYNAU-SECBINFHSA-N -1 1 330.348 -0.452 20 0 EBADMM COc1cncc(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000939261519 652872213 /nfs/dbraw/zinc/87/22/13/652872213.db2.gz LYBYSTYMUAJVBZ-PSASIEDQSA-N -1 1 333.352 -0.441 20 0 EBADMM COCc1cc(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)no1 ZINC000939271852 652876142 /nfs/dbraw/zinc/87/61/42/652876142.db2.gz RPHAYIBEEIPLAW-LDYMZIIASA-N -1 1 336.352 -0.105 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1ccc(=O)[nH]c1 ZINC000944634677 652923283 /nfs/dbraw/zinc/92/32/83/652923283.db2.gz NWZSBXHVCZFWGI-JQWIXIFHSA-N -1 1 346.391 -0.151 20 0 EBADMM Cn1cncc1C(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC000944658147 652942024 /nfs/dbraw/zinc/94/20/24/652942024.db2.gz NFCCGPXYLWGAOP-NSHDSACASA-N -1 1 345.359 -0.208 20 0 EBADMM Cc1c(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)nnn1C ZINC000941914839 652950546 /nfs/dbraw/zinc/95/05/46/652950546.db2.gz ZPLACPKRBGZCKR-GXSJLCMTSA-N -1 1 348.411 -0.814 20 0 EBADMM CCn1cc(CC(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC000939508523 652950742 /nfs/dbraw/zinc/95/07/42/652950742.db2.gz WZNYGBJPSWMMBE-ZYHUDNBSSA-N -1 1 333.396 -0.094 20 0 EBADMM COc1cc[nH]c(=O)c1C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000939552662 653004919 /nfs/dbraw/zinc/00/49/19/653004919.db2.gz ZZIWUJRNBIQRJV-RKDXNWHRSA-N -1 1 348.363 -0.130 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)Cc1cncnc1 ZINC000942004773 653018143 /nfs/dbraw/zinc/01/81/43/653018143.db2.gz LBISMOYKBDEZCT-DGCLKSJQSA-N -1 1 345.407 -0.532 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)Cc1ccn(C)n1 ZINC000942039544 653025934 /nfs/dbraw/zinc/02/59/34/653025934.db2.gz CCXBIEUYJSBELM-WCQYABFASA-N -1 1 347.423 -0.589 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1C ZINC000942155676 653047018 /nfs/dbraw/zinc/04/70/18/653047018.db2.gz JURHCCPIWZZZRE-WCBMZHEXSA-N -1 1 349.395 -0.514 20 0 EBADMM C[C@@H]1CN(C(=O)c2cccnc2C(N)=O)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939791647 653047533 /nfs/dbraw/zinc/04/75/33/653047533.db2.gz GLOIQXRGAGVKSI-PSASIEDQSA-N -1 1 345.363 -0.746 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H](NC(=O)[C@H]2C[C@@H]2C)C1 ZINC000942177795 653052572 /nfs/dbraw/zinc/05/25/72/653052572.db2.gz ASZMECIURRHMIU-YIZRAAEISA-N -1 1 336.348 -0.117 20 0 EBADMM C[C@@H]1CN(C(=O)CCc2cn(C)nn2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939873819 653062229 /nfs/dbraw/zinc/06/22/29/653062229.db2.gz MAXVBXUVBGBAKG-MWLCHTKSSA-N -1 1 334.384 -0.792 20 0 EBADMM C[C@@H]1CN(Cc2ccnn2C)CC[C@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC000942301233 653077399 /nfs/dbraw/zinc/07/73/99/653077399.db2.gz CLWIPNWDBQRFCQ-MWLCHTKSSA-N -1 1 347.379 -0.343 20 0 EBADMM C[C@@H]1CN(C)C[C@H](C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)O1 ZINC000940130806 653084808 /nfs/dbraw/zinc/08/48/08/653084808.db2.gz XIQXJPXFLHKZFT-YRGRVCCFSA-N -1 1 348.403 -0.163 20 0 EBADMM CC(=O)N[C@H]1CCCC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000946344887 653103277 /nfs/dbraw/zinc/10/32/77/653103277.db2.gz PSAVVINOJDLZAF-QWRGUYRKSA-N -1 1 332.364 -0.158 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)Cn1ccnc1 ZINC000942548153 653138767 /nfs/dbraw/zinc/13/87/67/653138767.db2.gz QLOHSUGDEOMRMD-DGCLKSJQSA-N -1 1 345.359 -0.361 20 0 EBADMM O=C(NC1CCN(C(=O)c2ncccc2[O-])CC1)[C@@H]1COC(=O)N1 ZINC000946533050 653144296 /nfs/dbraw/zinc/14/42/96/653144296.db2.gz JXNOQQWIWDOGHP-JTQLQIEISA-N -1 1 334.332 -0.384 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1c[nH]c(=O)n1C ZINC000942559768 653145068 /nfs/dbraw/zinc/14/50/68/653145068.db2.gz GOWKVAAAYZKDDZ-NXEZZACHSA-N -1 1 349.395 -0.812 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC000942565824 653150335 /nfs/dbraw/zinc/15/03/35/653150335.db2.gz RORUOEIBISVGMQ-JLDUMIBSSA-N -1 1 335.408 -0.673 20 0 EBADMM Cn1cc(C(=O)N2CCC(NC(=O)c3ncccc3[O-])CC2)nn1 ZINC000946645728 653178485 /nfs/dbraw/zinc/17/84/85/653178485.db2.gz YHMUBFDXKGCKCB-UHFFFAOYSA-N -1 1 330.348 -0.050 20 0 EBADMM NC(=O)C(=O)NC1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000946783916 653218618 /nfs/dbraw/zinc/21/86/18/653218618.db2.gz SGNVLVDNEUCPQA-UHFFFAOYSA-N -1 1 333.348 -0.734 20 0 EBADMM Cn1cnc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)c1 ZINC000940674924 653259224 /nfs/dbraw/zinc/25/92/24/653259224.db2.gz AQITUVJGEXNTBC-GFCCVEGCSA-N -1 1 347.423 -0.128 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1ccnnc1 ZINC000946940223 653278460 /nfs/dbraw/zinc/27/84/60/653278460.db2.gz HFVLRKYIWYQDIP-DGCLKSJQSA-N -1 1 343.343 -0.153 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)Cn3nccn3)C(C)(C)C2)nc1=O ZINC000940776023 653279663 /nfs/dbraw/zinc/27/96/63/653279663.db2.gz FERBXSONDLYKJY-LLVKDONJSA-N -1 1 348.411 -0.883 20 0 EBADMM CN1C[C@@H](C(=O)N2CCCN(C(=O)c3ncccc3[O-])CC2)NC1=O ZINC000940831899 653297211 /nfs/dbraw/zinc/29/72/11/653297211.db2.gz OCYAJMCNGTXGDG-NSHDSACASA-N -1 1 347.375 -0.515 20 0 EBADMM NC(=O)C1(C(=O)N2CCCN(C(=O)c3ncccc3[O-])CC2)CC1 ZINC000940832312 653298666 /nfs/dbraw/zinc/29/86/66/653298666.db2.gz BVXVRRDFBANUFR-UHFFFAOYSA-N -1 1 332.360 -0.273 20 0 EBADMM CCC(=O)N1CC2(C1)CCN(C(=O)CCn1cc[n-]c(=O)c1=O)CC2 ZINC000944980538 653306907 /nfs/dbraw/zinc/30/69/07/653306907.db2.gz QOSDNGUBRQDMCC-UHFFFAOYSA-N -1 1 348.403 -0.212 20 0 EBADMM Cc1ncncc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H](C)C1 ZINC000947085677 653308534 /nfs/dbraw/zinc/30/85/34/653308534.db2.gz XJXFVJZRRNGPLF-CMPLNLGQSA-N -1 1 345.407 -0.010 20 0 EBADMM Cc1ccnn1CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC000943229842 653318909 /nfs/dbraw/zinc/31/89/09/653318909.db2.gz FDIBJUDCFNEUJY-YPMHNXCESA-N -1 1 347.423 -0.360 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)Cc2ccon2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947134104 653323671 /nfs/dbraw/zinc/32/36/71/653323671.db2.gz DWANSABZZKEVQZ-GHMZBOCLSA-N -1 1 334.380 -0.192 20 0 EBADMM CN1C[C@H](C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC000940939294 653336696 /nfs/dbraw/zinc/33/66/96/653336696.db2.gz CSDHGOUYFHZGFS-GHMZBOCLSA-N -1 1 332.360 -0.404 20 0 EBADMM O=C(N[C@@H]1CCCCN(C(=O)C2CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000943381253 653370487 /nfs/dbraw/zinc/37/04/87/653370487.db2.gz KPFZPBJHNJQNJJ-LLVKDONJSA-N -1 1 344.375 -0.062 20 0 EBADMM O=C(N[C@@H]1CCCCN(C(=O)c2ccn[nH]2)C1)c1n[nH]c(=O)[n-]c1=O ZINC000943394894 653372932 /nfs/dbraw/zinc/37/29/32/653372932.db2.gz FCMDANSAOGVBKD-MRVPVSSYSA-N -1 1 347.335 -0.569 20 0 EBADMM CNC(=O)CN1CC[C@@H](NC(=O)c2c[n-]n3c2nccc3=O)[C@H](C)C1 ZINC000943464203 653398484 /nfs/dbraw/zinc/39/84/84/653398484.db2.gz DGJLDIPNWPKQMW-ZYHUDNBSSA-N -1 1 346.391 -0.791 20 0 EBADMM O=C(CC1CC1)NC1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000947497943 653415466 /nfs/dbraw/zinc/41/54/66/653415466.db2.gz POTJMNMHRZTZIX-UHFFFAOYSA-N -1 1 344.375 -0.062 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2cncn2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947512170 653420562 /nfs/dbraw/zinc/42/05/62/653420562.db2.gz UMTZRXVXOOXAJP-GHMZBOCLSA-N -1 1 333.396 -0.375 20 0 EBADMM C[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC[C@@H]1NC(=O)C1CC1 ZINC000945415913 653444135 /nfs/dbraw/zinc/44/41/35/653444135.db2.gz WPZFLIQKHCYQHA-ONGXEEELSA-N -1 1 344.375 -0.206 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCC(NC(=O)C(N)=O)CC1 ZINC000947673917 653455657 /nfs/dbraw/zinc/45/56/57/653455657.db2.gz DZOWPRSKJQIVPB-UHFFFAOYSA-N -1 1 349.391 -0.180 20 0 EBADMM CC(=O)NCc1ccc(CNC(=O)c2cc(=O)n3[n-]cnc3n2)cc1 ZINC000943776987 653473841 /nfs/dbraw/zinc/47/38/41/653473841.db2.gz SDVBXPLFVVXIKK-UHFFFAOYSA-N -1 1 340.343 -0.016 20 0 EBADMM C[C@@H]1CN(C(=O)C(N)=O)CC[C@@H]1NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000945495080 653476214 /nfs/dbraw/zinc/47/62/14/653476214.db2.gz OPUBFRCPYJVSEK-KCJUWKMLSA-N -1 1 347.375 -0.488 20 0 EBADMM Cc1nonc1CC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC000943818632 653477755 /nfs/dbraw/zinc/47/77/55/653477755.db2.gz XREYOEMZSUYFMC-MWLCHTKSSA-N -1 1 349.395 -0.631 20 0 EBADMM CNC(=O)CN1CC[C@H](NC(=O)c2c[n-]n3c2nccc3=O)C[C@@H]1C ZINC000947789414 653483506 /nfs/dbraw/zinc/48/35/06/653483506.db2.gz UTFRDAXXZTYSLL-QWRGUYRKSA-N -1 1 346.391 -0.649 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2ncccn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947845407 653492109 /nfs/dbraw/zinc/49/21/09/653492109.db2.gz JZKRMBHMTOBIBB-QWRGUYRKSA-N -1 1 331.380 -0.319 20 0 EBADMM CC(=O)N1CCC[C@@H](C)[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000945694735 653499405 /nfs/dbraw/zinc/49/94/05/653499405.db2.gz JACVJNBYAGJXJK-BXKDBHETSA-N -1 1 332.364 -0.206 20 0 EBADMM O=C(NC[C@H]1CCCN1C(=O)c1ncccc1[O-])[C@H]1COC(=O)N1 ZINC000943976944 653500704 /nfs/dbraw/zinc/50/07/04/653500704.db2.gz PFQDYZSEQCEHJP-NXEZZACHSA-N -1 1 334.332 -0.384 20 0 EBADMM CCC(=O)N1CC[C@H]2[C@@H](CCN2C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000945813602 653509840 /nfs/dbraw/zinc/50/98/40/653509840.db2.gz IRGUQDUIELQWDI-STQMWFEESA-N -1 1 348.403 -0.214 20 0 EBADMM NC(=O)C1(C(=O)N2CCC(NC(=O)c3ncccc3[O-])CC2)CC1 ZINC000948077319 653519647 /nfs/dbraw/zinc/51/96/47/653519647.db2.gz OMSZNKZGDBVDSY-UHFFFAOYSA-N -1 1 332.360 -0.227 20 0 EBADMM O=C(Cn1cnnn1)N[C@@H]1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000946113768 653542443 /nfs/dbraw/zinc/54/24/43/653542443.db2.gz KDBISERVVDFWIA-GHMZBOCLSA-N -1 1 345.363 -0.511 20 0 EBADMM O=C(Cn1cncn1)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000948484954 653549258 /nfs/dbraw/zinc/54/92/58/653549258.db2.gz LOMJIWXMSNEUJE-UHFFFAOYSA-N -1 1 330.348 -0.200 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1cc(=O)n(C)o1 ZINC000948629829 653564284 /nfs/dbraw/zinc/56/42/84/653564284.db2.gz CTLMSUPTIIYMGP-NXEZZACHSA-N -1 1 346.343 -0.031 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1)c1ccon1 ZINC000948966507 653585379 /nfs/dbraw/zinc/58/53/79/653585379.db2.gz AHQSGNVGMAKRPL-MRVPVSSYSA-N -1 1 343.303 -0.950 20 0 EBADMM O=C(Cn1ncnn1)N1CCC(CNC(=O)c2ncccc2[O-])CC1 ZINC000948977223 653585988 /nfs/dbraw/zinc/58/59/88/653585988.db2.gz RMDNQAMZQNKNFM-UHFFFAOYSA-N -1 1 345.363 -0.558 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CCOC2(CCN(Cc3nc(=O)n(C)[n-]3)CC2)C1 ZINC000949015248 653588557 /nfs/dbraw/zinc/58/85/57/653588557.db2.gz MCQHCMLXVQBTBH-STQMWFEESA-N -1 1 349.435 -0.042 20 0 EBADMM CC(C)C(=O)N1CCOC2(CCN(Cc3nc(=O)n(C)[n-]3)CC2)C1 ZINC000949027310 653589165 /nfs/dbraw/zinc/58/91/65/653589165.db2.gz SJJHOJGBHBCUSD-UHFFFAOYSA-N -1 1 337.424 -0.042 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCN(CC[C@@H]2CCCCO2)CC1 ZINC000949111516 653595426 /nfs/dbraw/zinc/59/54/26/653595426.db2.gz ZIFAQXFFEFQWOH-NSHDSACASA-N -1 1 337.380 0.000 20 0 EBADMM Cc1nc([C@H](C)N2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)CC2)n[nH]1 ZINC000949111361 653595529 /nfs/dbraw/zinc/59/55/29/653595529.db2.gz QOYXAOVCSOWWKZ-ZETCQYMHSA-N -1 1 334.340 -0.772 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)CCc3cncnc3)CC2)nc1=O ZINC000949289706 653855293 /nfs/dbraw/zinc/85/52/93/653855293.db2.gz MORBLMWFHBKWFI-UHFFFAOYSA-N -1 1 331.380 -0.825 20 0 EBADMM CC1(C)C[C@@H]1C(=O)NC[C@H]1CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000949457322 653898773 /nfs/dbraw/zinc/89/87/73/653898773.db2.gz QNNIIIHPPVFJPC-VXGBXAGGSA-N -1 1 348.403 -0.310 20 0 EBADMM CCc1cc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c(C)nn1 ZINC000949475559 653901743 /nfs/dbraw/zinc/90/17/43/653901743.db2.gz ZOCIDKMAUYFGCB-UHFFFAOYSA-N -1 1 345.407 -0.273 20 0 EBADMM Nc1nccnc1C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949535457 653922280 /nfs/dbraw/zinc/92/22/80/653922280.db2.gz VGLASRTYKQMSTA-SECBINFHSA-N -1 1 328.332 -0.196 20 0 EBADMM Cn1oc(C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])cc1=O ZINC000949535251 653922347 /nfs/dbraw/zinc/92/23/47/653922347.db2.gz QOYKZVFRGMIGEX-SECBINFHSA-N -1 1 332.316 -0.277 20 0 EBADMM O=C(CN1C(=O)CCC1=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949538513 653922821 /nfs/dbraw/zinc/92/28/21/653922821.db2.gz KTJUUXBXNNFQMF-SNVBAGLBSA-N -1 1 346.343 -0.733 20 0 EBADMM O=C(CO[C@H]1CCOC1)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949538387 653923160 /nfs/dbraw/zinc/92/31/60/653923160.db2.gz JRWDEACSVLVEQG-NEPJUHHUSA-N -1 1 335.360 -0.077 20 0 EBADMM Cn1cc(OCC(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])cn1 ZINC000949538631 653923681 /nfs/dbraw/zinc/92/36/81/653923681.db2.gz NRQCNAAVICVPFH-NSHDSACASA-N -1 1 345.359 -0.070 20 0 EBADMM Cn1nc(C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])ccc1=O ZINC000949538570 653923710 /nfs/dbraw/zinc/92/37/10/653923710.db2.gz MIFHRGNAIWVQTR-JTQLQIEISA-N -1 1 343.343 -0.475 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)C1CS(=O)(=O)C1)c1ncccc1[O-] ZINC000949538817 653923766 /nfs/dbraw/zinc/92/37/66/653923766.db2.gz RKWPBVDTIUWUPG-SNVBAGLBSA-N -1 1 339.373 -0.838 20 0 EBADMM Cc1nc([C@@H](C)N2CCN(C(=O)c3cnc([O-])n(C)c3=O)CC2)n[nH]1 ZINC000949609912 653934238 /nfs/dbraw/zinc/93/42/38/653934238.db2.gz NXMRBJREJVZIHP-SECBINFHSA-N -1 1 347.379 -0.569 20 0 EBADMM Cn1oc(C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])cc1=O ZINC000949612318 653934773 /nfs/dbraw/zinc/93/47/73/653934773.db2.gz ISXYRGMDHFGQME-SECBINFHSA-N -1 1 332.316 -0.277 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@@H](NC(=O)C(F)F)C1 ZINC000951361829 654103344 /nfs/dbraw/zinc/10/33/44/654103344.db2.gz GXGBQGDHBSNHEF-SECBINFHSA-N -1 1 344.318 -0.701 20 0 EBADMM CC1(C(=O)N[C@H]2CCCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)CC1 ZINC000951362051 654103696 /nfs/dbraw/zinc/10/36/96/654103696.db2.gz IJCAGWDBJMPBDP-LBPRGKRZSA-N -1 1 348.403 -0.166 20 0 EBADMM CNC(=O)[C@H](C)N1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000951380846 654109050 /nfs/dbraw/zinc/10/90/50/654109050.db2.gz FRDLOFQZMWHOJU-JTQLQIEISA-N -1 1 333.392 -0.048 20 0 EBADMM Cc1cc(=O)[nH]cc1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000950430429 654130364 /nfs/dbraw/zinc/13/03/64/654130364.db2.gz XLOCAQBGNWFLER-UHFFFAOYSA-N -1 1 332.364 -0.525 20 0 EBADMM Cc1cc(C(=O)N2CC[C@H]2CNC(=O)c2cnc([O-])n(C)c2=O)n[nH]1 ZINC000951451365 654136094 /nfs/dbraw/zinc/13/60/94/654136094.db2.gz WBZXUIOZPZXSSU-VIFPVBQESA-N -1 1 346.347 -0.838 20 0 EBADMM O=C(CN1CN=NC1=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000950517434 654159237 /nfs/dbraw/zinc/15/92/37/654159237.db2.gz OBBGSPLSGGEKGY-JTQLQIEISA-N -1 1 346.347 -0.495 20 0 EBADMM Cn1cc(C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])ncc1=O ZINC000951487824 654160393 /nfs/dbraw/zinc/16/03/93/654160393.db2.gz OBUYLBPDRFLGKQ-SNVBAGLBSA-N -1 1 343.343 -0.475 20 0 EBADMM CC(=O)N1CC[C@@H](C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])C1 ZINC000951524547 654172041 /nfs/dbraw/zinc/17/20/41/654172041.db2.gz OVZBKVZUEGTWRX-OLZOCXBDSA-N -1 1 346.387 -0.014 20 0 EBADMM CN1C[C@H](C(=O)N[C@H]2CCCN(C(=O)c3ncccc3[O-])C2)NC1=O ZINC000950546133 654175112 /nfs/dbraw/zinc/17/51/12/654175112.db2.gz HJOGIMPMMWUZRO-WDEREUQCSA-N -1 1 347.375 -0.468 20 0 EBADMM CCc1nn(C)cc1C(=O)N(CC)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950573187 654182263 /nfs/dbraw/zinc/18/22/63/654182263.db2.gz IRBBBWHOAKZDQE-UHFFFAOYSA-N -1 1 347.423 -0.249 20 0 EBADMM CN1C[C@@H](C(=O)N2CCC[C@H](NC(=O)c3ncccc3[O-])C2)NC1=O ZINC000951539848 654183249 /nfs/dbraw/zinc/18/32/49/654183249.db2.gz GNOFBYYXMOQSOA-QWRGUYRKSA-N -1 1 347.375 -0.468 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cnc4cccnn43)CC2)nc1=O ZINC000951549905 654190038 /nfs/dbraw/zinc/19/00/38/654190038.db2.gz HPXUAVQTHKXHLK-UHFFFAOYSA-N -1 1 342.363 -0.891 20 0 EBADMM Cn1cc(C(=O)N2CCC[C@H](NC(=O)c3ncccc3[O-])C2)nn1 ZINC000950691143 654220220 /nfs/dbraw/zinc/22/02/20/654220220.db2.gz KVGGKLYQZFFXBC-JTQLQIEISA-N -1 1 330.348 -0.050 20 0 EBADMM CCN(C(=O)c1ccc(=O)n(C)c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950720927 654235949 /nfs/dbraw/zinc/23/59/49/654235949.db2.gz JLLLJPDXFHJFQK-UHFFFAOYSA-N -1 1 346.391 -0.846 20 0 EBADMM CN1CC[C@H](C(=O)N2CCC[C@@H](NC(=O)c3ncccc3[O-])C2)C1=O ZINC000951692297 654249758 /nfs/dbraw/zinc/24/97/58/654249758.db2.gz XRKHEAIVWOZITO-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM CCN(C(=O)c1n[nH]c(=O)[n-]c1=O)C1CN(CC[C@H]2CCOC2)C1 ZINC000950766406 654254397 /nfs/dbraw/zinc/25/43/97/654254397.db2.gz FDQKSPRTSPWUJT-JTQLQIEISA-N -1 1 337.380 -0.144 20 0 EBADMM CCN(C(=O)c1n[nH]c(=O)[n-]c1=O)C1CN(Cc2n[nH]c(C)n2)C1 ZINC000950766342 654254725 /nfs/dbraw/zinc/25/47/25/654254725.db2.gz AKZFFKDBUGYXST-UHFFFAOYSA-N -1 1 334.340 -0.944 20 0 EBADMM CCN(C(=O)c1cnc([O-])n(C)c1=O)C1CN(C[C@@H]2CCOC2)C1 ZINC000951121671 654392157 /nfs/dbraw/zinc/39/21/57/654392157.db2.gz FGXFQFFLUNXMFT-NSHDSACASA-N -1 1 336.392 -0.331 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCN1C(=O)c1cn[nH]c1 ZINC000951222247 654422905 /nfs/dbraw/zinc/42/29/05/654422905.db2.gz IKWNPPULTQIJDE-MRVPVSSYSA-N -1 1 348.319 -0.736 20 0 EBADMM CC(F)(F)C(=O)N1CC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951222241 654423172 /nfs/dbraw/zinc/42/31/72/654423172.db2.gz IBNVMLWUYPJJBB-SSDOTTSWSA-N -1 1 340.290 -0.597 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1ccco1)c1cc(=O)n2[n-]cnc2n1 ZINC000951222600 654423670 /nfs/dbraw/zinc/42/36/70/654423670.db2.gz OKLCFOKPASXUNA-VIFPVBQESA-N -1 1 342.315 -0.345 20 0 EBADMM CC(C)=CC(=O)N1CC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951222934 654424596 /nfs/dbraw/zinc/42/45/96/654424596.db2.gz VKFAOXDTXPPVOZ-SNVBAGLBSA-N -1 1 330.348 -0.286 20 0 EBADMM CC[C@H](OC)C(=O)N1CC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951222874 654424962 /nfs/dbraw/zinc/42/49/62/654424962.db2.gz UPPPDQUAAFRXMS-ONGXEEELSA-N -1 1 348.363 -0.827 20 0 EBADMM CC1(C)C[C@@H]1C(=O)N1CC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951224535 654425439 /nfs/dbraw/zinc/42/54/39/654425439.db2.gz HTDSCGDPZHXCTD-VHSXEESVSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1)[C@@H]1CC12CC2 ZINC000952244120 654429779 /nfs/dbraw/zinc/42/97/79/654429779.db2.gz DURFPYYQDMVBEW-ZJUUUORDSA-N -1 1 342.359 -0.452 20 0 EBADMM CC(=O)N1CCC[C@@]2(CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)C1 ZINC000952926338 654510922 /nfs/dbraw/zinc/51/09/22/654510922.db2.gz KFSPYFRBJFBBHV-MRXNPFEDSA-N -1 1 344.375 -0.108 20 0 EBADMM CC(=O)N1CCC[C@]2(CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)C1 ZINC000952926337 654510956 /nfs/dbraw/zinc/51/09/56/654510956.db2.gz KFSPYFRBJFBBHV-INIZCTEOSA-N -1 1 344.375 -0.108 20 0 EBADMM CC(=O)N1CC[C@@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@@H]21 ZINC000953377001 654568928 /nfs/dbraw/zinc/56/89/28/654568928.db2.gz MMQVHLNXDZYVFP-JQWIXIFHSA-N -1 1 330.348 -0.500 20 0 EBADMM NC(=O)CC(=O)N1Cc2ccc(CNC(=O)c3cnn[nH]3)cc2C1 ZINC000965870950 724533809 /nfs/dbraw/zinc/53/38/09/724533809.db2.gz WPVCCECFADYUPE-UHFFFAOYSA-N -1 1 328.332 -0.548 20 0 EBADMM C[C@H]1CCN(Cc2nnn(C)n2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000965942350 724543966 /nfs/dbraw/zinc/54/39/66/724543966.db2.gz YAQMDNNTMGXBMF-QWRGUYRKSA-N -1 1 331.380 -0.049 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CN(C(=O)C2CC2)C[C@H]1C ZINC000966019818 724559824 /nfs/dbraw/zinc/55/98/24/724559824.db2.gz FXKJNQONYLLOBY-APPZFPTMSA-N -1 1 336.348 -0.117 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)Cc1ccn(C)n1 ZINC000966077547 724565085 /nfs/dbraw/zinc/56/50/85/724565085.db2.gz PMPHCKPCCCFTQU-AAEUAGOBSA-N -1 1 347.423 -0.589 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@@H]1NC(=O)c1cnc([O-])n(C)c1=O ZINC000966179481 724583232 /nfs/dbraw/zinc/58/32/32/724583232.db2.gz MQLZWXFQWBLEQO-KCJUWKMLSA-N -1 1 346.347 -0.901 20 0 EBADMM C[C@H]1CN(C(=O)CS(C)(=O)=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966223072 724591989 /nfs/dbraw/zinc/59/19/89/724591989.db2.gz XRXHPGIUOGCJHF-VHSXEESVSA-N -1 1 341.389 -0.592 20 0 EBADMM CC(F)(F)C(=O)N[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000939089748 719535355 /nfs/dbraw/zinc/53/53/55/719535355.db2.gz UIFYSXCYOXZHFP-SECBINFHSA-N -1 1 344.318 -0.701 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CN(C)CCO2)C1 ZINC000954124361 719569562 /nfs/dbraw/zinc/56/95/62/719569562.db2.gz XBSVHJYVRUPGSS-CYBMUJFWSA-N -1 1 334.376 -0.599 20 0 EBADMM C[C@H]1C[C@H](C(=O)N2CC(N(C)C(=O)c3ncccc3[O-])C2)CC(=O)N1 ZINC000954127732 719570548 /nfs/dbraw/zinc/57/05/48/719570548.db2.gz DYSCVXMUUGJIPM-QWRGUYRKSA-N -1 1 346.387 -0.015 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)c1cnn(C)c1N ZINC000966341684 724618406 /nfs/dbraw/zinc/61/84/06/724618406.db2.gz BFMPUPWLDMQVHH-KOLCDFICSA-N -1 1 344.375 -0.007 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C)C1 ZINC000966343867 724618497 /nfs/dbraw/zinc/61/84/97/724618497.db2.gz DBNDETYWIDFWKY-MFKMUULPSA-N -1 1 346.387 -0.158 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)c1cn(C)nn1 ZINC000966346328 724619044 /nfs/dbraw/zinc/61/90/44/724619044.db2.gz HWIOHAHBFKWIKC-ZJUUUORDSA-N -1 1 330.348 -0.194 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)N(C)C2CN(C(=O)c3ncccc3[O-])C2)CC(=O)N1 ZINC000954690524 719842331 /nfs/dbraw/zinc/84/23/31/719842331.db2.gz JMUGPUCZWTVENJ-GHMZBOCLSA-N -1 1 346.387 -0.015 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C1CN(C(=O)c2cn[nH]c2)C1 ZINC000954828306 719924149 /nfs/dbraw/zinc/92/41/49/719924149.db2.gz MUXJDBVJSGRNHN-UHFFFAOYSA-N -1 1 348.319 -0.784 20 0 EBADMM CC[C@H](C)C(=O)N1CC(N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000954832754 719926913 /nfs/dbraw/zinc/92/69/13/719926913.db2.gz QRKFSSFLRDYLJN-VIFPVBQESA-N -1 1 332.364 -0.254 20 0 EBADMM CC(C)=CC(=O)N1CC(N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000954833548 719927386 /nfs/dbraw/zinc/92/73/86/719927386.db2.gz YEZYATKDINIGRD-UHFFFAOYSA-N -1 1 330.348 -0.333 20 0 EBADMM C[C@H]1CN(C(=O)c2ncn(C)n2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966404612 724637750 /nfs/dbraw/zinc/63/77/50/724637750.db2.gz KYQISOHEGMLLTA-VHSXEESVSA-N -1 1 330.348 -0.194 20 0 EBADMM CN(C(=O)[C@@H]1CC[C@@H]1C(N)=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954957404 719985142 /nfs/dbraw/zinc/98/51/42/719985142.db2.gz KJPAKYVPCDKRTB-WDEREUQCSA-N -1 1 332.360 -0.419 20 0 EBADMM CN(C(=O)[C@@H]1CN(C)C(=O)N1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954967111 719998451 /nfs/dbraw/zinc/99/84/51/719998451.db2.gz YIPMOFSPZLXLOX-JTQLQIEISA-N -1 1 333.348 -0.906 20 0 EBADMM COCC(=O)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1C ZINC000955482353 720180848 /nfs/dbraw/zinc/18/08/48/720180848.db2.gz GPOMHSIJXUSLPE-UWVGGRQHSA-N -1 1 348.363 -0.827 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2nnn(C)n2)CCN1C(=O)c1ncccc1[O-] ZINC000955641346 720207932 /nfs/dbraw/zinc/20/79/32/720207932.db2.gz GCWBIGBGLMZDOQ-VHSXEESVSA-N -1 1 345.363 -0.266 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)C(N)=O)CCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000955692372 720225892 /nfs/dbraw/zinc/22/58/92/720225892.db2.gz ZTEHDIFNMNRDDE-PSASIEDQSA-N -1 1 347.375 -0.346 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CCC[C@H]1CNC(=O)c1c(C)nc[nH]c1=O ZINC000956131422 720370959 /nfs/dbraw/zinc/37/09/59/720370959.db2.gz IJXDQMFIBMQDNT-JTQLQIEISA-N -1 1 345.363 -0.048 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CCC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000956135341 720374001 /nfs/dbraw/zinc/37/40/01/720374001.db2.gz NSGLOCSHLHLOAS-OUAUKWLOSA-N -1 1 344.375 -0.206 20 0 EBADMM CC1(NC(=O)c2ncccc2[O-])CCN(C(=O)Cn2cnnn2)CC1 ZINC000956343960 720414905 /nfs/dbraw/zinc/41/49/05/720414905.db2.gz SLUSZNMRTGQMDW-UHFFFAOYSA-N -1 1 345.363 -0.415 20 0 EBADMM CC(C)C(=O)N[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H]1C ZINC000966588994 724690911 /nfs/dbraw/zinc/69/09/11/724690911.db2.gz BZVFTLNOAZNPIJ-NWDGAFQWSA-N -1 1 336.392 -0.454 20 0 EBADMM Cc1ncn(C)c1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1C ZINC000966879939 724774477 /nfs/dbraw/zinc/77/44/77/724774477.db2.gz RPOCLQSJIFCHAG-PWSUYJOCSA-N -1 1 347.423 -0.209 20 0 EBADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC000956851161 722119793 /nfs/dbraw/zinc/11/97/93/722119793.db2.gz WUSRVFZXHOBUHX-LSYCYVAJSA-N -1 1 332.360 -0.371 20 0 EBADMM Cn1nnc(C(=O)N2CC[C@@](C)(NC(=O)c3ncccc3[O-])C2)n1 ZINC000956851353 722119904 /nfs/dbraw/zinc/11/99/04/722119904.db2.gz GPTHZXKROQCVGG-CQSZACIVSA-N -1 1 331.336 -0.655 20 0 EBADMM C[C@]1(NC(=O)[C@@H]2COC(=O)N2)CCN(C(=O)c2ncccc2[O-])C1 ZINC000956881605 722126310 /nfs/dbraw/zinc/12/63/10/722126310.db2.gz WNECLYNHQLAICY-VFZGTOFNSA-N -1 1 334.332 -0.384 20 0 EBADMM C[C@]1(NC(=O)[C@H]2COC(=O)N2)CCN(C(=O)c2ncccc2[O-])C1 ZINC000956881603 722126387 /nfs/dbraw/zinc/12/63/87/722126387.db2.gz WNECLYNHQLAICY-PSLIRLAXSA-N -1 1 334.332 -0.384 20 0 EBADMM CCN(C(=O)[C@@H]1CCO[C@H](C)C1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000957049333 722147496 /nfs/dbraw/zinc/14/74/96/722147496.db2.gz KURXHJPXFQTEOL-VXGBXAGGSA-N -1 1 337.424 -0.044 20 0 EBADMM CCCn1cc(C(=O)N(CC)C2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC000957051679 722147746 /nfs/dbraw/zinc/14/77/46/722147746.db2.gz TXIWNWZFXDJJCW-UHFFFAOYSA-N -1 1 348.411 -0.544 20 0 EBADMM CO[C@H](C)C(=O)N1CC[C@](C)(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000957219379 722176323 /nfs/dbraw/zinc/17/63/23/722176323.db2.gz CZAXCOCDFGWJFE-PSLIRLAXSA-N -1 1 348.363 -0.827 20 0 EBADMM C[C@]1(NC(=O)[C@@H]2CCNC2=O)CCN(C(=O)c2ncccc2[O-])C1 ZINC000957269600 722181998 /nfs/dbraw/zinc/18/19/98/722181998.db2.gz JKFRTFATZLKIOP-HWPZZCPQSA-N -1 1 332.360 -0.356 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(Cc2nnc(C)[nH]2)CC1 ZINC000957315073 722193544 /nfs/dbraw/zinc/19/35/44/722193544.db2.gz VWZDRBCLSWRQPI-UHFFFAOYSA-N -1 1 349.351 -0.719 20 0 EBADMM C[C@@]1(NC(=O)c2c[nH]c(=O)cn2)CCN(C(=O)c2ncccc2[O-])C1 ZINC000957447922 722211470 /nfs/dbraw/zinc/21/14/70/722211470.db2.gz UTLLYXBZQBSEBS-MRXNPFEDSA-N -1 1 343.343 -0.095 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cncnc3C3CC3)CC2)nc1=O ZINC000957507078 722218656 /nfs/dbraw/zinc/21/86/56/722218656.db2.gz YHSZKVBFDHBADM-UHFFFAOYSA-N -1 1 343.391 -0.266 20 0 EBADMM NC(=O)CC(=O)N1CCC2(CCN(Cc3n[nH]c(=O)[n-]3)CC2)CC1 ZINC000957522644 722219961 /nfs/dbraw/zinc/21/99/61/722219961.db2.gz QLZVDHCAGUOBSQ-UHFFFAOYSA-N -1 1 336.396 -0.410 20 0 EBADMM Cc1cc(=O)[nH]c(C)c1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000957734182 722237187 /nfs/dbraw/zinc/23/71/87/722237187.db2.gz VTBBIWKWENDELC-UHFFFAOYSA-N -1 1 346.391 -0.216 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](CNC(=O)c3ccccc3)[C@H](O)C2)nc1=O ZINC000957827477 722245902 /nfs/dbraw/zinc/24/59/02/722245902.db2.gz ZLNBMBGZIVPLQL-CHWSQXEVSA-N -1 1 331.376 -0.669 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@@H](CNC(=O)c3ccccc3)C2)nc1=O ZINC000957827479 722246075 /nfs/dbraw/zinc/24/60/75/722246075.db2.gz ZLNBMBGZIVPLQL-QWHCGFSZSA-N -1 1 331.376 -0.669 20 0 EBADMM Cc1cc(C)c(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)o1 ZINC000957946671 722274974 /nfs/dbraw/zinc/27/49/74/722274974.db2.gz AIOJIXUJDNIYTE-VXGBXAGGSA-N -1 1 349.391 -0.459 20 0 EBADMM CCc1nc(CN2C[C@@H](O)[C@@H](CNC(=O)c3ncccc3[O-])C2)no1 ZINC000957989389 722283347 /nfs/dbraw/zinc/28/33/47/722283347.db2.gz CLEQQOVXSZFFRV-CMPLNLGQSA-N -1 1 347.375 -0.045 20 0 EBADMM Cn1nncc1CN1C[C@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957990417 722283716 /nfs/dbraw/zinc/28/37/16/722283716.db2.gz MPPHMGBFRXRMSE-GWCFXTLKSA-N -1 1 332.364 -0.862 20 0 EBADMM Cn1nncc1CN1C[C@H](O)[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957990419 722283804 /nfs/dbraw/zinc/28/38/04/722283804.db2.gz MPPHMGBFRXRMSE-MFKMUULPSA-N -1 1 332.364 -0.862 20 0 EBADMM O=C(CN1C[C@H](O)[C@H](CNC(=O)c2ncccc2[O-])C1)N1CCC1 ZINC000957990484 722283826 /nfs/dbraw/zinc/28/38/26/722283826.db2.gz NHBXQGQPLAUTCQ-YPMHNXCESA-N -1 1 334.376 -0.958 20 0 EBADMM Cn1cc(CN2C[C@H](O)[C@@H](CNC(=O)c3ncccc3[O-])C2)nn1 ZINC000957994552 722284804 /nfs/dbraw/zinc/28/48/04/722284804.db2.gz DKOPXAWRSSHLCD-GWCFXTLKSA-N -1 1 332.364 -0.862 20 0 EBADMM Cn1cc(CN2C[C@H](O)[C@H](CNC(=O)c3ncccc3[O-])C2)nn1 ZINC000957994554 722284869 /nfs/dbraw/zinc/28/48/69/722284869.db2.gz DKOPXAWRSSHLCD-MFKMUULPSA-N -1 1 332.364 -0.862 20 0 EBADMM O=C(NC[C@H]1CN(Cc2cnns2)C[C@H]1O)c1ncccc1[O-] ZINC000957995066 722285082 /nfs/dbraw/zinc/28/50/82/722285082.db2.gz KQKDEEYXJGBOLP-JOYOIKCWSA-N -1 1 335.389 -0.139 20 0 EBADMM Cn1[n-]c(CN2C[C@H](O)[C@@H](CNC(=O)[C@H]3C[C@H]4C[C@H]4C3)C2)nc1=O ZINC000958340037 722348523 /nfs/dbraw/zinc/34/85/23/722348523.db2.gz PVNSDRRZVUWHAS-QWQWKMKNSA-N -1 1 335.408 -0.937 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)ccn1 ZINC000958507219 722382836 /nfs/dbraw/zinc/38/28/36/722382836.db2.gz MZWCMNAVYSEBOV-CHWSQXEVSA-N -1 1 346.391 -0.965 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C2CC(F)(F)C2)nc1=O ZINC000958511976 722383804 /nfs/dbraw/zinc/38/38/04/722383804.db2.gz ONRVGVRCWSLGAI-JZYVYDRUSA-N -1 1 327.335 -0.300 20 0 EBADMM Cc1[nH]nc(C(=O)N2C[C@H](O)[C@@H](N(C)C(=O)c3ccn[nH]3)C2)c1[O-] ZINC000958641551 722408443 /nfs/dbraw/zinc/40/84/43/722408443.db2.gz HYEDWDYLSQIAJA-UWVGGRQHSA-N -1 1 334.336 -0.896 20 0 EBADMM O=C(N[C@@H]1[C@H]2C[N@@H+](CCN3C(=O)CCC3=O)C[C@H]21)c1ncccc1O ZINC000958647176 722409497 /nfs/dbraw/zinc/40/94/97/722409497.db2.gz LZDIQLBGRZCPAX-HBYGRHMLSA-N -1 1 344.371 -0.404 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)ns1 ZINC000958687493 722417651 /nfs/dbraw/zinc/41/76/51/722417651.db2.gz YJRVSFBYOWOGKX-GDGBQDQQSA-N -1 1 334.405 -0.266 20 0 EBADMM CN(C(=O)C[C@H]1CCOC1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958767325 722430027 /nfs/dbraw/zinc/43/00/27/722430027.db2.gz NUHVLDKDPBMYNY-DYEKYZERSA-N -1 1 349.387 -0.143 20 0 EBADMM CO[C@H](C(=O)N1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2[O-])C1)C1CC1 ZINC000958830103 722603874 /nfs/dbraw/zinc/60/38/74/722603874.db2.gz DYWBRTMHYNISMT-WHOFXGATSA-N -1 1 349.387 -0.144 20 0 EBADMM CO[C@@H](C(=O)N1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2[O-])C1)C1CC1 ZINC000958830102 722603879 /nfs/dbraw/zinc/60/38/79/722603879.db2.gz DYWBRTMHYNISMT-CORIIIEPSA-N -1 1 349.387 -0.144 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)[C@H]2CCCCO2)C[C@@H]1O ZINC000958830192 722603907 /nfs/dbraw/zinc/60/39/07/722603907.db2.gz JNJRBTUTNZKJHV-FPMFFAJLSA-N -1 1 349.387 0.000 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)C2=CCOCC2)C[C@@H]1O ZINC000958830066 722603954 /nfs/dbraw/zinc/60/39/54/722603954.db2.gz CPVWFYWZTIWFJG-JSGCOSHPSA-N -1 1 347.371 -0.222 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)[C@@H]2CCCCO2)C[C@@H]1O ZINC000958830193 722603985 /nfs/dbraw/zinc/60/39/85/722603985.db2.gz JNJRBTUTNZKJHV-UBHSHLNASA-N -1 1 349.387 0.000 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)c2ccnn2C)C[C@@H]1O ZINC000958830214 722604262 /nfs/dbraw/zinc/60/42/62/722604262.db2.gz KQJDTIGYVKKCDY-AAEUAGOBSA-N -1 1 345.359 -0.522 20 0 EBADMM O=C([C@@H]1CCc2ncncc2C1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001018956946 728754515 /nfs/dbraw/zinc/75/45/15/728754515.db2.gz VGARFVHXNMDRNY-PWSUYJOCSA-N -1 1 343.391 -0.204 20 0 EBADMM COCC(=O)N1CC[C@H]([C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000959007711 722681964 /nfs/dbraw/zinc/68/19/64/722681964.db2.gz KNQWPENQDCFGNB-ZJUUUORDSA-N -1 1 348.363 -0.969 20 0 EBADMM C[C@H](NC(=O)c1nnn(C)n1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000959128912 722688792 /nfs/dbraw/zinc/68/87/92/722688792.db2.gz FSHQFUZPRKQGMG-VHSXEESVSA-N -1 1 345.363 -0.409 20 0 EBADMM C[C@@H]1CN(C(=O)Cn2cnnn2)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000959369767 722713678 /nfs/dbraw/zinc/71/36/78/722713678.db2.gz OLDPKDIJEFEVLY-GHMZBOCLSA-N -1 1 345.363 -0.702 20 0 EBADMM C[C@@H]1CN(C(=O)c2nnn(C)n2)C[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000959551332 722739968 /nfs/dbraw/zinc/73/99/68/722739968.db2.gz NHGSTOFVJTWTKJ-ZJUUUORDSA-N -1 1 345.363 -0.551 20 0 EBADMM O=C([C@H]1CCCC(=O)N1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000960038095 722785095 /nfs/dbraw/zinc/78/50/95/722785095.db2.gz PKBMUAGHYWGOSE-WDEREUQCSA-N -1 1 336.396 -0.350 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)Cc1cnn(C)c1 ZINC000960482850 722832928 /nfs/dbraw/zinc/83/29/28/722832928.db2.gz ZRMJZDMRCUURIO-ZDUSSCGKSA-N -1 1 347.423 -0.493 20 0 EBADMM CC[C@H](OC)C(=O)N1CCC[C@@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC000960483294 722833039 /nfs/dbraw/zinc/83/30/39/722833039.db2.gz NIOFDXAUBRCYRJ-NEPJUHHUSA-N -1 1 325.413 -0.044 20 0 EBADMM Cc1c(C(=O)N2CCC[C@H]2CN(C)Cc2nc(=O)n(C)[n-]2)nnn1C ZINC000960507670 722834808 /nfs/dbraw/zinc/83/48/08/722834808.db2.gz KNOPKKVLONAORS-NSHDSACASA-N -1 1 348.411 -0.718 20 0 EBADMM C[C@@H](C(=O)N1CCC[C@H]1CN(C)Cc1nc(=O)n(C)[n-]1)n1cncn1 ZINC000960584006 722841443 /nfs/dbraw/zinc/84/14/43/722841443.db2.gz QJAKVEIHNCWDFJ-RYUDHWBXSA-N -1 1 348.411 -0.616 20 0 EBADMM Cc1cc(C)nc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)c1 ZINC000960716204 722856008 /nfs/dbraw/zinc/85/60/08/722856008.db2.gz YFBQERRXBAYRET-JYAVWHMHSA-N -1 1 342.403 -0.020 20 0 EBADMM CCc1n[nH]cc1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000960726265 722857274 /nfs/dbraw/zinc/85/72/74/722857274.db2.gz AHBGSLPISVTFDR-IWIIMEHWSA-N -1 1 331.380 -0.746 20 0 EBADMM Cc1ncc(C(=O)N2CCC[C@@H]2CN(C)Cc2nc(=O)n(C)[n-]2)n1C ZINC000960821428 722868868 /nfs/dbraw/zinc/86/88/68/722868868.db2.gz INHDWWKDBGVNDX-GFCCVEGCSA-N -1 1 347.423 -0.113 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN1C(=O)CCc1c[nH]nn1 ZINC000960873663 722875457 /nfs/dbraw/zinc/87/54/57/722875457.db2.gz LKUSAAGGCONQEK-NSHDSACASA-N -1 1 334.384 -0.316 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@]23CCC[C@H]2OCC3)nc1=O ZINC000961032569 722889212 /nfs/dbraw/zinc/88/92/12/722889212.db2.gz FGCYLGNNCGSKAO-CJYCNLPLSA-N -1 1 347.419 -0.386 20 0 EBADMM CC(C)C(=O)N1C[C@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@H]2C1 ZINC000961039465 722889588 /nfs/dbraw/zinc/88/95/88/722889588.db2.gz XLISXHPTKVVNRW-BETUJISGSA-N -1 1 348.403 -0.501 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@H]2CN(C(=O)[C@H]3COC(=O)N3)C[C@H]2C1 ZINC000961421982 723016599 /nfs/dbraw/zinc/01/65/99/723016599.db2.gz OKZAMTTVRLSHKE-OUAUKWLOSA-N -1 1 346.343 -0.574 20 0 EBADMM O=C([C@@H]1CCc2nccn2C1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019061111 728787038 /nfs/dbraw/zinc/78/70/38/728787038.db2.gz RJNCPKVAHSXRMA-MNOVXSKESA-N -1 1 331.380 -0.340 20 0 EBADMM Cc1cnc(CNCC2CC(NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)nc1 ZINC000962040436 723156193 /nfs/dbraw/zinc/15/61/93/723156193.db2.gz RLZWODVVCWIOID-UHFFFAOYSA-N -1 1 345.363 -0.321 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000962059370 723162159 /nfs/dbraw/zinc/16/21/59/723162159.db2.gz NBAMSDLGPPCQBJ-IWIIMEHWSA-N -1 1 331.380 -0.691 20 0 EBADMM COc1ccc(CN[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)nn1 ZINC001019094687 728794684 /nfs/dbraw/zinc/79/46/84/728794684.db2.gz HUYHRWLAXNQRAT-VIFPVBQESA-N -1 1 347.335 -0.914 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ncoc2C2CC2)nc1=O ZINC000962205600 723194439 /nfs/dbraw/zinc/19/44/39/723194439.db2.gz LRQASSNOLPGCHO-IAZYJMLFSA-N -1 1 344.375 -0.166 20 0 EBADMM CCn1nccc1C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962434109 723251122 /nfs/dbraw/zinc/25/11/22/723251122.db2.gz DIXLUAYFAJLLMA-MFKMUULPSA-N -1 1 345.359 -0.381 20 0 EBADMM O=C(Cc1ncc[nH]1)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962433933 723251129 /nfs/dbraw/zinc/25/11/29/723251129.db2.gz ASJZQMKOYCGQGB-KOLCDFICSA-N -1 1 331.332 -0.946 20 0 EBADMM Cn1cccc1C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962435269 723251791 /nfs/dbraw/zinc/25/17/91/723251791.db2.gz NZZAGSQBQMMJND-MFKMUULPSA-N -1 1 330.344 -0.259 20 0 EBADMM Cn1cncc1CC(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962435710 723252248 /nfs/dbraw/zinc/25/22/48/723252248.db2.gz SBUJOACNJSOJHH-YPMHNXCESA-N -1 1 345.359 -0.935 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ccncn2)C[C@@H]1O)c1ncccc1[O-] ZINC000962435723 723252316 /nfs/dbraw/zinc/25/23/16/723252316.db2.gz SJIXFDYMZSBBGT-PWSUYJOCSA-N -1 1 329.316 -0.808 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2cscn2)C[C@@H]1O)c1ncccc1[O-] ZINC000962435355 723252505 /nfs/dbraw/zinc/25/25/05/723252505.db2.gz QLSMISDIIXWCMB-KCJUWKMLSA-N -1 1 334.357 -0.141 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)c(C)n1C ZINC000962497476 723268201 /nfs/dbraw/zinc/26/82/01/723268201.db2.gz SFNYLEBUKDCNJJ-NHAGDIPZSA-N -1 1 344.419 -0.076 20 0 EBADMM CCc1onc(C)c1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000962511266 723271644 /nfs/dbraw/zinc/27/16/44/723271644.db2.gz TZAWIQJEADZKLE-MSRIBSCDSA-N -1 1 346.391 -0.173 20 0 EBADMM COc1c[nH]c(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC001019148715 728808878 /nfs/dbraw/zinc/80/88/78/728808878.db2.gz COSZFNGNRKKOSU-QMMMGPOBSA-N -1 1 334.336 -0.789 20 0 EBADMM NC(=O)CC(=O)N1CC[C@@H]2[C@H]1CCCN2C(=O)c1ncccc1[O-] ZINC000962944678 723356071 /nfs/dbraw/zinc/35/60/71/723356071.db2.gz LCTWJZCAVDFVRK-GHMZBOCLSA-N -1 1 332.360 -0.132 20 0 EBADMM CCC(=O)N1CCC[C@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@H]21 ZINC000963597571 723455322 /nfs/dbraw/zinc/45/53/22/723455322.db2.gz DGVFLZPTFRSEIL-QWHCGFSZSA-N -1 1 348.403 -0.214 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC2(C1)CCN(C(=O)C1CC1)C2 ZINC001019193733 728824032 /nfs/dbraw/zinc/82/40/32/728824032.db2.gz JSWRBRUSORWTII-UHFFFAOYSA-N -1 1 346.387 -0.602 20 0 EBADMM Cc1cc(CN[C@H]2CCN(C(=O)c3cnc([O-])n(C)c3=O)C2)on1 ZINC001019226718 728830264 /nfs/dbraw/zinc/83/02/64/728830264.db2.gz VKAZKXLQKTYGIM-JTQLQIEISA-N -1 1 333.348 -0.213 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1ncccc1[O-])[C@H]1CCCO1 ZINC000964070159 723534848 /nfs/dbraw/zinc/53/48/48/723534848.db2.gz VLGZROSJXHUTOH-WCQYABFASA-N -1 1 335.360 -0.077 20 0 EBADMM CCn1ccc(CN[C@H]2CCN(C(=O)c3cnc([O-])n(C)c3=O)C2)n1 ZINC001019227785 728830889 /nfs/dbraw/zinc/83/08/89/728830889.db2.gz SZWKMURNWNOPHS-LBPRGKRZSA-N -1 1 346.391 -0.293 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1ncccc1[O-])c1ccn[nH]1 ZINC000964086998 723792599 /nfs/dbraw/zinc/79/25/99/723792599.db2.gz DEJXPKLYTVQQTI-SNVBAGLBSA-N -1 1 331.332 -0.219 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CCOC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000964153550 723839991 /nfs/dbraw/zinc/83/99/91/723839991.db2.gz IFBNQJXZULTOAD-SNVBAGLBSA-N -1 1 346.347 -0.515 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CCOC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000964153550 723839996 /nfs/dbraw/zinc/83/99/96/723839996.db2.gz IFBNQJXZULTOAD-SNVBAGLBSA-N -1 1 346.347 -0.515 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2COCCN2C(=O)c2ccn[nH]2)c1[O-] ZINC000964154838 723840729 /nfs/dbraw/zinc/84/07/29/723840729.db2.gz KPTFUKUKNGPNES-VIFPVBQESA-N -1 1 334.336 -0.582 20 0 EBADMM Cn1cc(C(=O)N2CCOC[C@H]2CNC(=O)c2ncccc2[O-])nn1 ZINC000964156110 723842319 /nfs/dbraw/zinc/84/23/19/723842319.db2.gz TYGQKSISASSOPM-SNVBAGLBSA-N -1 1 346.347 -0.813 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)C1=COCCO1)c1ncccc1[O-] ZINC000964158875 723844759 /nfs/dbraw/zinc/84/47/59/723844759.db2.gz PLZVNKKUWPOKMU-NSHDSACASA-N -1 1 349.343 -0.367 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1ncccc1[O-])c1ncc[nH]1 ZINC000964176047 723858320 /nfs/dbraw/zinc/85/83/20/723858320.db2.gz ALGJBNTZMQKDPR-SNVBAGLBSA-N -1 1 331.332 -0.219 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1ncccc1[O-])[C@]12C[C@H]1COC2 ZINC000964212157 723884108 /nfs/dbraw/zinc/88/41/08/723884108.db2.gz CILPXIPWHZQMQD-PRXAMGSTSA-N -1 1 347.371 -0.219 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1ncccc1[O-])c1csnn1 ZINC000964270285 723930889 /nfs/dbraw/zinc/93/08/89/723930889.db2.gz WYRIQPODEJVAIR-SECBINFHSA-N -1 1 349.372 -0.090 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cc[n+]([O-])cc1 ZINC000964867807 724068400 /nfs/dbraw/zinc/06/84/00/724068400.db2.gz BGYBBPBNJNFBKG-WCQYABFASA-N -1 1 346.391 -0.618 20 0 EBADMM COCC(=O)N1CCC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000965031500 724105387 /nfs/dbraw/zinc/10/53/87/724105387.db2.gz YLEVJHDRICTMRR-SNVBAGLBSA-N -1 1 348.363 -0.825 20 0 EBADMM CC(C)(C)n1cnc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001019380469 728875245 /nfs/dbraw/zinc/87/52/45/728875245.db2.gz AIZBZEOMDGNEME-VIFPVBQESA-N -1 1 334.384 -0.139 20 0 EBADMM Cc1cc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)ncc1C(N)=O ZINC001019411617 728880791 /nfs/dbraw/zinc/88/07/91/728880791.db2.gz VZJCXAXOTFCQTH-VIFPVBQESA-N -1 1 345.363 -0.683 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1ccnn1C ZINC000965525666 724284401 /nfs/dbraw/zinc/28/44/01/724284401.db2.gz LMSQXRXHJNREDY-QWRGUYRKSA-N -1 1 333.396 -0.518 20 0 EBADMM CCn1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)cn1 ZINC000965649941 724342279 /nfs/dbraw/zinc/34/22/79/724342279.db2.gz CVCOIVJGFKHLKK-WCQYABFASA-N -1 1 347.423 -0.035 20 0 EBADMM CO[C@H](C)C(=O)N1CC2(C1)C[C@H](NC(=O)c1ncccc1[O-])CO2 ZINC000965678555 724360997 /nfs/dbraw/zinc/36/09/97/724360997.db2.gz BFANJNQWLUYNCL-MNOVXSKESA-N -1 1 335.360 -0.078 20 0 EBADMM CC(C)[C@@H](O)C(=O)N1CC2(C1)C[C@@H](NC(=O)c1ncccc1[O-])CO2 ZINC000965679260 724361352 /nfs/dbraw/zinc/36/13/52/724361352.db2.gz CFMDOVSDSYMERM-BXUZGUMPSA-N -1 1 349.387 -0.096 20 0 EBADMM NC(=O)C(=O)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])CC[C@@H]2C1 ZINC000967341723 724865329 /nfs/dbraw/zinc/86/53/29/724865329.db2.gz CYMBTIUYMXSQBL-PHIMTYICSA-N -1 1 332.360 -0.417 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1csnn1 ZINC000967493981 724877107 /nfs/dbraw/zinc/87/71/07/724877107.db2.gz HITUXLGIJZOTRN-BDAKNGLRSA-N -1 1 337.409 -0.400 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1c[nH]c(=O)n1C ZINC000967719583 724898265 /nfs/dbraw/zinc/89/82/65/724898265.db2.gz IEHGDQUBMZWFMB-VHSXEESVSA-N -1 1 349.395 -0.812 20 0 EBADMM CCN(C(=O)c1cc(C)[nH]n1)C1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000967818183 724911322 /nfs/dbraw/zinc/91/13/22/724911322.db2.gz ZGKIFZDYASAYQK-UHFFFAOYSA-N -1 1 347.335 -0.699 20 0 EBADMM CCN(C(=O)c1cnc(C)[nH]1)C1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000967838184 724916076 /nfs/dbraw/zinc/91/60/76/724916076.db2.gz SMPSRLXMOVYTOI-UHFFFAOYSA-N -1 1 347.335 -0.699 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@]2(C)CNC(=O)C2)C1 ZINC000967902643 724927980 /nfs/dbraw/zinc/92/79/80/724927980.db2.gz AHDAEUQIGYQHAN-KRWDZBQOSA-N -1 1 346.387 -0.014 20 0 EBADMM CCN(C(=O)[C@H]1COC(=O)N1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000967928907 724933281 /nfs/dbraw/zinc/93/32/81/724933281.db2.gz IGCYIHNWYJBESZ-SNVBAGLBSA-N -1 1 334.332 -0.432 20 0 EBADMM CCN(C(=O)c1cnc(C2CC2)[n-]c1=O)C1CN(C(=O)C(N)=O)C1 ZINC000967977072 724942176 /nfs/dbraw/zinc/94/21/76/724942176.db2.gz XUESCIZIPCCECV-UHFFFAOYSA-N -1 1 333.348 -0.782 20 0 EBADMM CCN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)CC2CC2)C1 ZINC000968151952 724970523 /nfs/dbraw/zinc/97/05/23/724970523.db2.gz APCIJFLFHMTWLQ-UHFFFAOYSA-N -1 1 344.375 -0.109 20 0 EBADMM CCN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)[C@H](C)OC)C1 ZINC000968152952 724970525 /nfs/dbraw/zinc/97/05/25/724970525.db2.gz GLLOJQGNXIOEAK-VIFPVBQESA-N -1 1 348.363 -0.875 20 0 EBADMM CCN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)C2(C)CC2)C1 ZINC000968153607 724970531 /nfs/dbraw/zinc/97/05/31/724970531.db2.gz JDOOHRCINJWZCV-UHFFFAOYSA-N -1 1 344.375 -0.109 20 0 EBADMM CCN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)C2CC2)C1 ZINC000968154533 724970564 /nfs/dbraw/zinc/97/05/64/724970564.db2.gz RNQPCKGESKUYSE-UHFFFAOYSA-N -1 1 330.348 -0.500 20 0 EBADMM Cc1ccn(CC(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)n1 ZINC000968339810 725052546 /nfs/dbraw/zinc/05/25/46/725052546.db2.gz KCUYURVZRQNPPY-YPMHNXCESA-N -1 1 347.423 -0.360 20 0 EBADMM CCn1cnc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)c1 ZINC000968552003 725080222 /nfs/dbraw/zinc/08/02/22/725080222.db2.gz ARHFSKMSELQWBD-RYUDHWBXSA-N -1 1 347.423 -0.035 20 0 EBADMM Cc1cnc(C(=O)N2C[C@@H](NC(=O)c3cnn[nH]3)[C@@H](O)C2)cc1C ZINC000968707059 725106298 /nfs/dbraw/zinc/10/62/98/725106298.db2.gz AFGXWJILUHUWJT-OLZOCXBDSA-N -1 1 330.348 -0.568 20 0 EBADMM Cc1cncc(C)c1C(=O)N1C[C@@H](NC(=O)c2cnn[nH]2)[C@@H](O)C1 ZINC000968704808 725106399 /nfs/dbraw/zinc/10/63/99/725106399.db2.gz YIFOPIAJTLXSSI-NEPJUHHUSA-N -1 1 330.348 -0.568 20 0 EBADMM O=C(c1ncccc1[O-])N1[C@@H]2CC[C@H]1CN(C(=O)[C@H]1CNC(=O)N1)C2 ZINC000968886679 725125564 /nfs/dbraw/zinc/12/55/64/725125564.db2.gz VQWCUWRPTHFYCU-OUAUKWLOSA-N -1 1 345.359 -0.716 20 0 EBADMM O=C([C@H]1CCc2ncncc2C1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000968890005 725126209 /nfs/dbraw/zinc/12/62/09/725126209.db2.gz VGARFVHXNMDRNY-CMPLNLGQSA-N -1 1 343.391 -0.204 20 0 EBADMM Cc1nn[nH]c1C(=O)NC[C@@H]1CCCCCN1Cc1nc(=O)n(C)[n-]1 ZINC000968976975 725137172 /nfs/dbraw/zinc/13/71/72/725137172.db2.gz MSILLUIMDCBJRW-NSHDSACASA-N -1 1 348.411 -0.290 20 0 EBADMM Cc1nonc1C(=O)NC[C@H]1CCCCCN1Cc1nc(=O)n(C)[n-]1 ZINC000969163735 725158039 /nfs/dbraw/zinc/15/80/39/725158039.db2.gz ISVWWYHHFWMMCU-LLVKDONJSA-N -1 1 349.395 -0.026 20 0 EBADMM O=C(c1ccnc2c1CC(=O)N2)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969202508 725161509 /nfs/dbraw/zinc/16/15/09/725161509.db2.gz MBUSXNDDZMXMPR-MRVPVSSYSA-N -1 1 343.347 -0.596 20 0 EBADMM Cc1nnsc1C(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969282346 725168226 /nfs/dbraw/zinc/16/82/26/725168226.db2.gz WBNYQERNOYPOSY-ZETCQYMHSA-N -1 1 337.409 -0.481 20 0 EBADMM CC(C)C(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)CCn1cc[n-]c(=O)c1=O ZINC000969396200 725176129 /nfs/dbraw/zinc/17/61/29/725176129.db2.gz ZTLOOSOXAPDHLC-BETUJISGSA-N -1 1 348.403 -0.216 20 0 EBADMM CCC(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000969422286 725179120 /nfs/dbraw/zinc/17/91/20/725179120.db2.gz ACKMHDZOWWPGQC-AOOOYVTPSA-N -1 1 330.348 -0.357 20 0 EBADMM Cc1nc2n(n1)C[C@H](C(=O)N1CC[C@@H](NCc3n[nH]c(=O)[n-]3)C1)CC2 ZINC000969431517 725179719 /nfs/dbraw/zinc/17/97/19/725179719.db2.gz DQHNBBZRIOTRQV-GHMZBOCLSA-N -1 1 346.395 -0.637 20 0 EBADMM O=C([C@@H]1OC[C@@H]2COCC[C@H]12)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969431986 725179929 /nfs/dbraw/zinc/17/99/29/725179929.db2.gz RHIRHMCGNWZALL-SRRSOLGSSA-N -1 1 337.380 -0.748 20 0 EBADMM C[C@H](NC(=O)[C@H]1C[C@@H]1C(F)F)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969579352 725194477 /nfs/dbraw/zinc/19/44/77/725194477.db2.gz NUCDBUGDOGJMLR-HGNGGELXSA-N -1 1 329.351 -0.054 20 0 EBADMM Cn1ncc2c1C[C@H](C(=O)N1CC[C@@H](NCc3n[nH]c(=O)[n-]3)C1)CC2 ZINC000969719871 725206349 /nfs/dbraw/zinc/20/63/49/725206349.db2.gz CFAPZPZDEFRGDG-ZYHUDNBSSA-N -1 1 345.407 -0.261 20 0 EBADMM COc1cccnc1CC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969719888 725206384 /nfs/dbraw/zinc/20/63/84/725206384.db2.gz CQPQQPROCGUMHH-SNVBAGLBSA-N -1 1 332.364 -0.153 20 0 EBADMM C[C@@H](NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)C1CN(Cc2nc(=O)n(C)[nH]2)C1 ZINC000969885203 725225436 /nfs/dbraw/zinc/22/54/36/725225436.db2.gz WEAHIKHLCVBBLD-YUSALJHKSA-N -1 1 345.407 -0.428 20 0 EBADMM CCc1n[nH]cc1C(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970030535 725241142 /nfs/dbraw/zinc/24/11/42/725241142.db2.gz DHOWOSUSVBSKKJ-VIFPVBQESA-N -1 1 333.396 -0.356 20 0 EBADMM CCn1cc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)nn1 ZINC000970238276 725266064 /nfs/dbraw/zinc/26/60/64/725266064.db2.gz NOPQJEZAEXDRHN-SKDRFNHKSA-N -1 1 346.347 -0.986 20 0 EBADMM NC(=O)N1CCCC[C@@H]1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970450586 725292609 /nfs/dbraw/zinc/29/26/09/725292609.db2.gz GABVVMGFQHCAKN-NXEZZACHSA-N -1 1 337.384 -0.866 20 0 EBADMM O=C(c1cccn2c(=O)[nH]nc12)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970470541 725294210 /nfs/dbraw/zinc/29/42/10/725294210.db2.gz RYYOXAMWZLPOGY-MRVPVSSYSA-N -1 1 344.335 -0.737 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CC[C@@H](NCc2cscn2)C1 ZINC000970475650 725294921 /nfs/dbraw/zinc/29/49/21/725294921.db2.gz SRKVWHYMEWCMFV-SECBINFHSA-N -1 1 345.388 -0.122 20 0 EBADMM COc1cncc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000970536491 725298420 /nfs/dbraw/zinc/29/84/20/725298420.db2.gz RZXOUFKLSRVBTJ-SECBINFHSA-N -1 1 347.379 -0.843 20 0 EBADMM Cc1nc([C@@H](C)N[C@@H]2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)n[nH]1 ZINC000970582000 725302014 /nfs/dbraw/zinc/30/20/14/725302014.db2.gz REBOIQBGOYMJTK-VXNVDRBHSA-N -1 1 336.356 -0.932 20 0 EBADMM CC(C)n1cc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC000970657717 725312512 /nfs/dbraw/zinc/31/25/12/725312512.db2.gz OZOGJIKJSJXMNS-JTQLQIEISA-N -1 1 348.411 -0.469 20 0 EBADMM C[C@H](NC(=O)[C@H]1CCc2[nH]nnc2C1)C1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC000970735440 725321765 /nfs/dbraw/zinc/32/17/65/725321765.db2.gz PSAGFRQPHSNDDU-IUCAKERBSA-N -1 1 346.395 -0.630 20 0 EBADMM CCc1cc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)no1 ZINC000970756050 725324865 /nfs/dbraw/zinc/32/48/65/725324865.db2.gz ILVSDDCTJWMKIN-SECBINFHSA-N -1 1 334.380 -0.091 20 0 EBADMM C[C@@H](NC(=O)c1cnn2cc[nH]c12)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970790575 725328794 /nfs/dbraw/zinc/32/87/94/725328794.db2.gz CZMMIVMHGOPONW-SECBINFHSA-N -1 1 344.379 -0.666 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC000970859804 725335412 /nfs/dbraw/zinc/33/54/12/725335412.db2.gz SUICLVVHVPRDQL-SECBINFHSA-N -1 1 331.336 -0.992 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1ccnnc1 ZINC000970905699 725340490 /nfs/dbraw/zinc/34/04/90/725340490.db2.gz IRQZRIZSBQSGLC-PWSUYJOCSA-N -1 1 329.316 -0.808 20 0 EBADMM Cc1nnsc1C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000971058435 725349926 /nfs/dbraw/zinc/34/99/26/725349926.db2.gz HKIUZWYVMSWGLB-VIFPVBQESA-N -1 1 337.409 -0.385 20 0 EBADMM Cc1nn(C)cc1C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000971221610 725354785 /nfs/dbraw/zinc/35/47/85/725354785.db2.gz PINQWWMDUXWODQ-NSHDSACASA-N -1 1 333.396 -0.503 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC000971234799 725355336 /nfs/dbraw/zinc/35/53/36/725355336.db2.gz XRPYFMRPLNFWMZ-LLVKDONJSA-N -1 1 333.396 -0.205 20 0 EBADMM Cc1c(CC(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC000971656981 725428763 /nfs/dbraw/zinc/42/87/63/725428763.db2.gz YKTYVNGLDSSVAO-ZDUSSCGKSA-N -1 1 347.423 -0.574 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)c2ccn(C)c(=O)c2)C1 ZINC000971811999 725438943 /nfs/dbraw/zinc/43/89/43/725438943.db2.gz VXSMZSGHCOOCTQ-GFCCVEGCSA-N -1 1 346.391 -0.846 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@H]2CCN(C(=O)[C@H]3COCCO3)C[C@H]21 ZINC000971895621 725446548 /nfs/dbraw/zinc/44/65/48/725446548.db2.gz GMPZEJQARBOYTC-YRGRVCCFSA-N -1 1 347.371 -0.125 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@H]2CCN(C(=O)[C@@H]3COC(=O)N3)C[C@H]21 ZINC000971900408 725447028 /nfs/dbraw/zinc/44/70/28/725447028.db2.gz SEKJIACCVZYIHB-OUAUKWLOSA-N -1 1 346.343 -0.432 20 0 EBADMM CN(Cc1cnn(C)c1)[C@@H]1CCN(C(=O)c2cnc([O-])n(C)c2=O)C1 ZINC000972066856 725460823 /nfs/dbraw/zinc/46/08/23/725460823.db2.gz ICOBFNYLOODJPK-GFCCVEGCSA-N -1 1 346.391 -0.434 20 0 EBADMM Cc1nc[nH]c1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000991596287 725469657 /nfs/dbraw/zinc/46/96/57/725469657.db2.gz UFDFEQCZJSEVEY-JQWIXIFHSA-N -1 1 345.359 -0.176 20 0 EBADMM CO[C@@H](C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1)C(C)C ZINC000972240939 725482581 /nfs/dbraw/zinc/48/25/81/725482581.db2.gz HLWYMCOQECOKJB-DGCLKSJQSA-N -1 1 325.413 -0.188 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)c2cc(C(N)=O)co2)C1 ZINC000972299288 725493709 /nfs/dbraw/zinc/49/37/09/725493709.db2.gz DZBVVNAVOKLUAY-SNVBAGLBSA-N -1 1 348.363 -0.853 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)c2cccc(=O)n2C)C1 ZINC000972301775 725494710 /nfs/dbraw/zinc/49/47/10/725494710.db2.gz WFFRKDKQISDFGW-LLVKDONJSA-N -1 1 346.391 -0.846 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)C1 ZINC000972386137 725513699 /nfs/dbraw/zinc/51/36/99/725513699.db2.gz JXTARFGYCMEMDZ-LPWJVIDDSA-N -1 1 335.408 -0.291 20 0 EBADMM Cn1ncc(C(=O)N2CC(NC(=O)c3cnc(C4CC4)[n-]c3=O)C2)n1 ZINC000991654178 725516046 /nfs/dbraw/zinc/51/60/46/725516046.db2.gz PGRQUWVXEZRZGP-UHFFFAOYSA-N -1 1 343.347 -0.558 20 0 EBADMM CCN1CCO[C@@]2(CCN(C(=O)CCc3n[nH]c(=O)[n-]c3=O)C2)C1 ZINC000972447030 725525037 /nfs/dbraw/zinc/52/50/37/725525037.db2.gz PEUQKBWBILJTFE-HNNXBMFYSA-N -1 1 337.380 -0.461 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)COc2cccnc2)C1 ZINC000972557307 725549126 /nfs/dbraw/zinc/54/91/26/725549126.db2.gz DYIPVPKEEROOKG-LBPRGKRZSA-N -1 1 346.391 -0.385 20 0 EBADMM Cn1[n-]c(CN2CCO[C@]3(CCN(C(=O)C(C)(C)C)C3)C2)nc1=O ZINC000972651022 725570673 /nfs/dbraw/zinc/57/06/73/725570673.db2.gz SDXNJVJYVWNMRH-MRXNPFEDSA-N -1 1 337.424 -0.042 20 0 EBADMM C[C@@H](C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C)n1cccn1 ZINC000972827694 725595921 /nfs/dbraw/zinc/59/59/21/725595921.db2.gz SOKADDHVAAEEGP-RYUDHWBXSA-N -1 1 347.423 -0.107 20 0 EBADMM Cn1cc(CC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)cn1 ZINC000972843045 725597337 /nfs/dbraw/zinc/59/73/37/725597337.db2.gz AFTJLWRWBOTPEY-GFCCVEGCSA-N -1 1 347.423 -0.589 20 0 EBADMM Cn1nccc1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000972855136 725597862 /nfs/dbraw/zinc/59/78/62/725597862.db2.gz HCOGMSJGZTXZHI-NSHDSACASA-N -1 1 333.396 -0.518 20 0 EBADMM CC(C)(F)C(=O)N[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000972888243 725599900 /nfs/dbraw/zinc/59/99/00/725599900.db2.gz UMTNZGYAROOBON-ZKCHVHJHSA-N -1 1 336.327 -0.457 20 0 EBADMM O=C(N[C@H]1C[C@H](NC(=O)c2ccco2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000972902876 725601169 /nfs/dbraw/zinc/60/11/69/725601169.db2.gz IITKHVPOXIXKJQ-KYZUINATSA-N -1 1 342.315 -0.299 20 0 EBADMM Cc1nn(C)cc1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000972973600 725614223 /nfs/dbraw/zinc/61/42/23/725614223.db2.gz SJEITBMQRJBLSC-LBPRGKRZSA-N -1 1 347.423 -0.209 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)c3cc[nH]c(=O)c3)C(C)(C)C2)nc1=O ZINC000973024828 725619839 /nfs/dbraw/zinc/61/98/39/725619839.db2.gz MLQSXGYBADGBRW-NSHDSACASA-N -1 1 346.391 -0.151 20 0 EBADMM O=C(N[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1cocn1 ZINC000973096556 725636436 /nfs/dbraw/zinc/63/64/36/725636436.db2.gz WDELDIDRAQUHJL-ZKCHVHJHSA-N -1 1 343.303 -0.904 20 0 EBADMM O=C(CN1CN=NC1=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973191325 725664221 /nfs/dbraw/zinc/66/42/21/725664221.db2.gz UWJMOJOGHGAHKH-KYZUINATSA-N -1 1 332.320 -0.839 20 0 EBADMM CCN1CCOC[C@@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973196520 725666995 /nfs/dbraw/zinc/66/69/95/725666995.db2.gz DUZIVXVAAQNTLO-JHJVBQTASA-N -1 1 348.403 -0.115 20 0 EBADMM C[C@]1(C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)CNC(=O)C1 ZINC000973197949 725667585 /nfs/dbraw/zinc/66/75/85/725667585.db2.gz RTHKQTGORKBQHZ-XIZWVBILSA-N -1 1 332.360 -0.310 20 0 EBADMM C[C@@H]1OCCO[C@@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973199083 725667852 /nfs/dbraw/zinc/66/78/52/725667852.db2.gz NMPXFQZUPVDUEU-RMIALFOJSA-N -1 1 335.360 -0.032 20 0 EBADMM O=C(NCC1CC(NC(=O)[C@@H]2COCCO2)C1)c1ncccc1[O-] ZINC000992047603 725708658 /nfs/dbraw/zinc/70/86/58/725708658.db2.gz GGOUWAYEUQNKRJ-XIVSLSHWSA-N -1 1 335.360 -0.173 20 0 EBADMM O=C(NC1CC(CNC(=O)[C@H]2CCNC2=O)C1)c1ncccc1[O-] ZINC000992275800 725765021 /nfs/dbraw/zinc/76/50/21/725765021.db2.gz AGHUZJRKANXWKH-ILDUYXDCSA-N -1 1 332.360 -0.452 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)c4ccncn4)CCC[C@@H]23)nc1=O ZINC000992341856 725782920 /nfs/dbraw/zinc/78/29/20/725782920.db2.gz GFELXYFRRXJSRH-WBMJQRKESA-N -1 1 343.391 -0.175 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)c4ncccn4)CCC[C@H]23)nc1=O ZINC000992352981 725784724 /nfs/dbraw/zinc/78/47/24/725784724.db2.gz VAACUJRPNVQNBZ-MEDUHNTESA-N -1 1 343.391 -0.175 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@@H](NC(=O)[C@@H]2C[C@@H]2C)C1 ZINC000973826700 725805847 /nfs/dbraw/zinc/80/58/47/725805847.db2.gz LVELCVAWDCFPLS-RBXMUDONSA-N -1 1 336.348 -0.071 20 0 EBADMM O=C(N[C@H]1C[C@@H](NC(=O)c2ccc[nH]2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000973870860 725814469 /nfs/dbraw/zinc/81/44/69/725814469.db2.gz CRMJATPGRXKYKY-DTORHVGOSA-N -1 1 341.331 -0.564 20 0 EBADMM CC1(C)C[C@@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000974036687 725852139 /nfs/dbraw/zinc/85/21/39/725852139.db2.gz BKIVEXAPCNYAQS-KXUCPTDWSA-N -1 1 344.375 -0.159 20 0 EBADMM Cc1cnn(CC(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)c1 ZINC000993084269 725853821 /nfs/dbraw/zinc/85/38/21/725853821.db2.gz WCQFZCQXIFLZAZ-CHWSQXEVSA-N -1 1 347.423 -0.217 20 0 EBADMM O=C(N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)C1CC(=O)NC(=O)C1 ZINC000974056068 725858844 /nfs/dbraw/zinc/85/88/44/725858844.db2.gz DYXGLCJTKLTHQO-AOOOYVTPSA-N -1 1 346.343 -0.783 20 0 EBADMM C[C@@]1(C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)CNC(=O)C1 ZINC000974056505 725859069 /nfs/dbraw/zinc/85/90/69/725859069.db2.gz RTHKQTGORKBQHZ-IEVXNVSRSA-N -1 1 332.360 -0.310 20 0 EBADMM NC(=O)[C@@H]1CC[C@H](C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)O1 ZINC000974058719 725859882 /nfs/dbraw/zinc/85/98/82/725859882.db2.gz VZRQHNOGKXYRJZ-BSJXLVFVSA-N -1 1 348.359 -0.803 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2cn(C)cn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993193961 725860904 /nfs/dbraw/zinc/86/09/04/725860904.db2.gz CCWKLWQCJQBWGN-QWRGUYRKSA-N -1 1 333.396 -0.375 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2cncnc2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993264864 725867165 /nfs/dbraw/zinc/86/71/65/725867165.db2.gz OEOFDQUDJUHUHZ-JQWIXIFHSA-N -1 1 331.380 -0.319 20 0 EBADMM Cc1ccnc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)n1 ZINC000993286869 725869161 /nfs/dbraw/zinc/86/91/61/725869161.db2.gz ROXUNRFQIZESKK-NEPJUHHUSA-N -1 1 345.407 -0.010 20 0 EBADMM CCn1ncc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)n1 ZINC000993287331 725869176 /nfs/dbraw/zinc/86/91/76/725869176.db2.gz XKOWCMLJYOLFMH-MNOVXSKESA-N -1 1 348.411 -0.497 20 0 EBADMM CCn1nncc1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1C ZINC000993300673 725870373 /nfs/dbraw/zinc/87/03/73/725870373.db2.gz XOIJUTIHITYTEX-WDEREUQCSA-N -1 1 348.411 -0.497 20 0 EBADMM C[C@H]1[C@@H](NC(=O)Cc2ccn(C)n2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993308459 725871046 /nfs/dbraw/zinc/87/10/46/725871046.db2.gz CRNGPBXTVZTTHR-AAEUAGOBSA-N -1 1 347.423 -0.446 20 0 EBADMM Cc1nc(CC(=O)N[C@@H]2CCCN(Cc3n[nH]c(=O)[n-]3)[C@H]2C)n[nH]1 ZINC000993357960 725879693 /nfs/dbraw/zinc/87/96/93/725879693.db2.gz ORSWJJNMUMHAIP-WCBMZHEXSA-N -1 1 334.384 -0.351 20 0 EBADMM Cc1nc(CC(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[n-]3)[C@H]2C)n[nH]1 ZINC000993357968 725879992 /nfs/dbraw/zinc/87/99/92/725879992.db2.gz ORSWJJNMUMHAIP-WPRPVWTQSA-N -1 1 334.384 -0.351 20 0 EBADMM Cc1ncn(C)c1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1C ZINC000993458473 725890969 /nfs/dbraw/zinc/89/09/69/725890969.db2.gz SXWMXIPFKAXKDW-NWDGAFQWSA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2ccnnc2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993869945 725955952 /nfs/dbraw/zinc/95/59/52/725955952.db2.gz FGEQPLFJXKCOCJ-ZYHUDNBSSA-N -1 1 331.380 -0.319 20 0 EBADMM Cc1nccc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)n1 ZINC000994447581 726003216 /nfs/dbraw/zinc/00/32/16/726003216.db2.gz BZIWLKOTEMVSDP-JQWIXIFHSA-N -1 1 345.407 -0.010 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)c3cncnc3)C(C)(C)C2)nc1=O ZINC000974475713 726028851 /nfs/dbraw/zinc/02/88/51/726028851.db2.gz FHWJRFBHNCZXPN-NSHDSACASA-N -1 1 331.380 -0.461 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)[C@H]1CNC(=O)N1 ZINC000994522545 726029058 /nfs/dbraw/zinc/02/90/58/726029058.db2.gz KSIZLFYBCJZQGU-GMTAPVOTSA-N -1 1 347.375 -0.707 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C3=CCOCC3)C(C)(C)C2)nc1=O ZINC000974500240 726033551 /nfs/dbraw/zinc/03/35/51/726033551.db2.gz LEKILZLLNZEZTC-GFCCVEGCSA-N -1 1 335.408 -0.218 20 0 EBADMM CCn1nncc1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000974570695 726039770 /nfs/dbraw/zinc/03/97/70/726039770.db2.gz IPHPHFFXSLHPKB-NSHDSACASA-N -1 1 348.411 -0.640 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)c3c[nH]c(=O)n3C)C(C)(C)C2)nc1=O ZINC000974905285 726081803 /nfs/dbraw/zinc/08/18/03/726081803.db2.gz VDNQJKRYBZXXIV-SNVBAGLBSA-N -1 1 349.395 -0.812 20 0 EBADMM Cc1ncc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)n1C ZINC000974953095 726085402 /nfs/dbraw/zinc/08/54/02/726085402.db2.gz GFCKGGGWJDTZMM-GFCCVEGCSA-N -1 1 347.423 -0.209 20 0 EBADMM NC(=O)C1(C(=O)N2C[C@H]3CCN(C(=O)c4ncccc4[O-])C[C@H]32)CC1 ZINC000974952215 726085478 /nfs/dbraw/zinc/08/54/78/726085478.db2.gz GPVLDFOYRYHYAY-GHMZBOCLSA-N -1 1 344.371 -0.274 20 0 EBADMM Cn1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)[nH]c1=O ZINC000975012518 726094707 /nfs/dbraw/zinc/09/47/07/726094707.db2.gz NKTYKKNESAOAON-SNVBAGLBSA-N -1 1 349.395 -0.812 20 0 EBADMM CCn1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)n1 ZINC000975107574 726100462 /nfs/dbraw/zinc/10/04/62/726100462.db2.gz HFMPCMOLYCAQBW-GFCCVEGCSA-N -1 1 347.423 -0.035 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CC[C@@H](CNCc3nnnn3C)C2)c1[O-] ZINC000994880730 726108041 /nfs/dbraw/zinc/10/80/41/726108041.db2.gz LEXBPZZBLQRMPV-ZJUUUORDSA-N -1 1 334.384 -0.364 20 0 EBADMM NC(=O)c1ccc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)cn1 ZINC000994929290 726120199 /nfs/dbraw/zinc/12/01/99/726120199.db2.gz SXDBXRGTBNVGLD-UHFFFAOYSA-N -1 1 341.327 -0.465 20 0 EBADMM O=C(NC[C@H]1CCCN(C(=O)c2ncccc2[O-])C1)[C@@H]1COC(=O)N1 ZINC000975259256 726124694 /nfs/dbraw/zinc/12/46/94/726124694.db2.gz VNBHQUPCIZXTET-MNOVXSKESA-N -1 1 348.359 -0.136 20 0 EBADMM Cc1nnc(CNC[C@H]2CC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC000995007795 726142068 /nfs/dbraw/zinc/14/20/68/726142068.db2.gz QAXDXOCYKWRDNL-DTWKUNHWSA-N -1 1 348.367 -0.602 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1CC1 ZINC000976014343 726193654 /nfs/dbraw/zinc/19/36/54/726193654.db2.gz AWWNIKLBBMFQIS-BRPSZJMVSA-N -1 1 334.332 -0.507 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N[C@@H]1[C@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@H]21 ZINC000976096384 726200919 /nfs/dbraw/zinc/20/09/19/726200919.db2.gz JJXGPOWZZJFLQK-SSKLVLDBSA-N -1 1 346.387 -0.844 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)n1cncn1 ZINC000976378589 726282936 /nfs/dbraw/zinc/28/29/36/726282936.db2.gz KEKSVXVYRZVOHU-MEWQQHAOSA-N -1 1 342.359 -0.174 20 0 EBADMM O=C(Cc1nnc[nH]1)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976401208 726293414 /nfs/dbraw/zinc/29/34/14/726293414.db2.gz NMOXOZLZHYVESL-WOFXILAISA-N -1 1 328.332 -0.665 20 0 EBADMM O=C(CCn1cnnn1)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976405274 726294446 /nfs/dbraw/zinc/29/44/46/726294446.db2.gz UGJMHBXMSXFDNJ-IWIIMEHWSA-N -1 1 343.347 -0.949 20 0 EBADMM CC(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000995781287 726299169 /nfs/dbraw/zinc/29/91/69/726299169.db2.gz MXGNRJRAIBYQPL-SCVCMEIPSA-N -1 1 344.375 -0.065 20 0 EBADMM COc1cc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)ncn1 ZINC000995823218 726304185 /nfs/dbraw/zinc/30/41/85/726304185.db2.gz LHYWPNGFPRGSEV-SNVBAGLBSA-N -1 1 347.379 -0.051 20 0 EBADMM CN1C[C@@H](C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)CC1=O ZINC000995872701 726308646 /nfs/dbraw/zinc/30/86/46/726308646.db2.gz OXPCVOOQDFPVQT-VHSXEESVSA-N -1 1 336.396 -0.685 20 0 EBADMM CC1(C)CN(C(=O)[C@H]2CCC(=O)NC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995994109 726322056 /nfs/dbraw/zinc/32/20/56/726322056.db2.gz OSNAWGHVFUNAHW-VHSXEESVSA-N -1 1 336.396 -0.637 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)Cc2ccoc2)C1 ZINC000996396744 726385340 /nfs/dbraw/zinc/38/53/40/726385340.db2.gz KZBORCMECFHHBQ-UHFFFAOYSA-N -1 1 348.315 -0.327 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)N1CC(NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC000996397722 726386161 /nfs/dbraw/zinc/38/61/61/726386161.db2.gz QMOJOTLCJZTSCI-VXNVDRBHSA-N -1 1 336.348 -0.117 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)c2cnco2)C1 ZINC000996398968 726387407 /nfs/dbraw/zinc/38/74/07/726387407.db2.gz YRTVBWOMFGOFFV-UHFFFAOYSA-N -1 1 335.276 -0.861 20 0 EBADMM O=C(NC1CN(C(=O)[C@H]2CC[C@H](F)C2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996403848 726388057 /nfs/dbraw/zinc/38/80/57/726388057.db2.gz BNFBUTMKLJVUHT-IUCAKERBSA-N -1 1 348.338 -0.504 20 0 EBADMM O=C(NC1CN(C(=O)[C@H]2C[C@H]3C[C@H]3C2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996409007 726389273 /nfs/dbraw/zinc/38/92/73/726389273.db2.gz RQWPAQDMQVVWHX-MYJAWHEDSA-N -1 1 342.359 -0.596 20 0 EBADMM CC1(C(=O)NC2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)CCCC1 ZINC000996617409 726420229 /nfs/dbraw/zinc/42/02/29/726420229.db2.gz LBMTXIKUXIJNCG-UHFFFAOYSA-N -1 1 344.375 -0.062 20 0 EBADMM C[C@]1(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)CCC(=O)NC1 ZINC000998028514 726473459 /nfs/dbraw/zinc/47/34/59/726473459.db2.gz HDOOBJHMPQSCHR-INIZCTEOSA-N -1 1 332.360 -0.356 20 0 EBADMM CC(C)[C@H]1C[C@@H]1C(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000998817226 726499020 /nfs/dbraw/zinc/49/90/20/726499020.db2.gz LOPZLGMTIMMOPF-OLZOCXBDSA-N -1 1 348.403 -0.454 20 0 EBADMM O=C(c1cccn2nnnc12)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999248744 726512401 /nfs/dbraw/zinc/51/24/01/726512401.db2.gz DSYSXMFBZGMNMU-VIFPVBQESA-N -1 1 343.351 -0.658 20 0 EBADMM O=C(c1ccc2n[nH]nc2n1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999311604 726513721 /nfs/dbraw/zinc/51/37/21/726513721.db2.gz USKSLXDNAYDCFF-MRVPVSSYSA-N -1 1 343.351 -0.429 20 0 EBADMM COCc1nn(C)cc1C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999553571 726522665 /nfs/dbraw/zinc/52/26/65/726522665.db2.gz IBJWGPSKVQRMOY-JTQLQIEISA-N -1 1 349.395 -0.215 20 0 EBADMM O=C(CN1CCCCC1=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999591636 726523734 /nfs/dbraw/zinc/52/37/34/726523734.db2.gz NNWSKFLZTYCCGQ-LLVKDONJSA-N -1 1 336.396 -0.397 20 0 EBADMM Cc1cc(CN[C@H]2CCCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)ncn1 ZINC000999621778 726525135 /nfs/dbraw/zinc/52/51/35/726525135.db2.gz RLIDYNYZESAHKI-JTQLQIEISA-N -1 1 345.363 -0.224 20 0 EBADMM CCC(=O)N1CC[C@@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000999685516 726527701 /nfs/dbraw/zinc/52/77/01/726527701.db2.gz KSCHRAOASJZQTN-LLVKDONJSA-N -1 1 346.387 -0.014 20 0 EBADMM COc1c[nH]c(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC000999703286 726528766 /nfs/dbraw/zinc/52/87/66/726528766.db2.gz DECHMOMIRXSSIT-VIFPVBQESA-N -1 1 348.363 -0.398 20 0 EBADMM O=C(c1ccn2nnnc2c1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999873757 726537195 /nfs/dbraw/zinc/53/71/95/726537195.db2.gz XGMCFRKLPNYJEO-SNVBAGLBSA-N -1 1 343.351 -0.658 20 0 EBADMM CN1C(=O)CC[C@H]1CC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999887107 726538919 /nfs/dbraw/zinc/53/89/19/726538919.db2.gz WGTXGNHJRTZIIU-MNOVXSKESA-N -1 1 336.396 -0.398 20 0 EBADMM O=C([C@H]1CCCc2nn[nH]c21)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000024411 726551203 /nfs/dbraw/zinc/55/12/03/726551203.db2.gz CJWYPLUTFUEICH-UWVGGRQHSA-N -1 1 346.395 -0.171 20 0 EBADMM O=C(Cn1cncn1)NC1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001000125445 726555765 /nfs/dbraw/zinc/55/57/65/726555765.db2.gz YEUQGUIWQIMGTA-UHFFFAOYSA-N -1 1 343.347 -0.708 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)c3cnccn3)CC2)nc1=O ZINC001000394132 726566051 /nfs/dbraw/zinc/56/60/51/726566051.db2.gz IEZSIZNUWQXHQS-UHFFFAOYSA-N -1 1 329.364 -0.540 20 0 EBADMM CC(C)c1nnnn1CC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000411937 726566290 /nfs/dbraw/zinc/56/62/90/726566290.db2.gz PJOXTHLUTPAFIP-SNVBAGLBSA-N -1 1 349.399 -0.599 20 0 EBADMM CCn1cc(C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)cn1 ZINC001000531088 726569738 /nfs/dbraw/zinc/56/97/38/726569738.db2.gz XZKHCMDLAMYUIG-UHFFFAOYSA-N -1 1 345.407 -0.113 20 0 EBADMM O=C(CCn1cnccc1=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000571893 726571633 /nfs/dbraw/zinc/57/16/33/726571633.db2.gz LNPMJRFVJIHTOQ-LLVKDONJSA-N -1 1 347.379 -0.762 20 0 EBADMM CCn1ncc(C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC001000652530 726574182 /nfs/dbraw/zinc/57/41/82/726574182.db2.gz UDPJEXGIGLNWPC-UHFFFAOYSA-N -1 1 346.395 -0.718 20 0 EBADMM Cn1cccc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c1=O ZINC001000725986 726576026 /nfs/dbraw/zinc/57/60/26/726576026.db2.gz RWTFWEJDJZEXSB-JTQLQIEISA-N -1 1 332.364 -0.397 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)NCC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001000773358 726577351 /nfs/dbraw/zinc/57/73/51/726577351.db2.gz MZAFCOJPMVZZQA-SCZZXKLOSA-N -1 1 330.348 -0.738 20 0 EBADMM CN1C(=O)CCC[C@H]1C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000817371 726579361 /nfs/dbraw/zinc/57/93/61/726579361.db2.gz JJJYWNJTXFVFMF-MNOVXSKESA-N -1 1 336.396 -0.398 20 0 EBADMM Cn1cncc1CCC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000819363 726579442 /nfs/dbraw/zinc/57/94/42/726579442.db2.gz RMIQVTVCMOZKFT-NSHDSACASA-N -1 1 333.396 -0.043 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)CO1 ZINC001000863498 726581244 /nfs/dbraw/zinc/58/12/44/726581244.db2.gz SWJZTLKZSAKMMO-DGCLKSJQSA-N -1 1 335.408 -0.218 20 0 EBADMM CCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)CCn1cc[n-]c(=O)c1=O ZINC001000907540 726583450 /nfs/dbraw/zinc/58/34/50/726583450.db2.gz WAFPPCVFNNFALD-UTUOFQBUSA-N -1 1 334.376 -0.415 20 0 EBADMM C[C@@H]1CO[C@H](C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)C1 ZINC001000967246 726585495 /nfs/dbraw/zinc/58/54/95/726585495.db2.gz GKEJCVXJUQAMQO-AAEUAGOBSA-N -1 1 335.408 -0.218 20 0 EBADMM CN1C[C@@H](C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CCC1=O ZINC001001015154 726587649 /nfs/dbraw/zinc/58/76/49/726587649.db2.gz NUQIAGFANLGPSV-QWRGUYRKSA-N -1 1 336.396 -0.541 20 0 EBADMM NC(=O)c1cccc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001001025733 726588334 /nfs/dbraw/zinc/58/83/34/726588334.db2.gz MTYIWGGFQHXNQU-VIFPVBQESA-N -1 1 345.363 -0.601 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)c3ccc(=O)[nH]n3)CC2)nc1=O ZINC001001165602 726594910 /nfs/dbraw/zinc/59/49/10/726594910.db2.gz MWWXSXKFKUXPIS-UHFFFAOYSA-N -1 1 347.379 -0.754 20 0 EBADMM COC(=O)[C@H]1C[C@@H]1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001001168516 726595097 /nfs/dbraw/zinc/59/50/97/726595097.db2.gz KFWCFRCGDKZVBK-QWRGUYRKSA-N -1 1 333.344 -0.216 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(CNC(=O)c2cocn2)C1 ZINC001001189574 726595851 /nfs/dbraw/zinc/59/58/51/726595851.db2.gz ORBHKQJINACEPG-UHFFFAOYSA-N -1 1 349.303 -0.614 20 0 EBADMM Cn1ccc(C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC001001446271 726606605 /nfs/dbraw/zinc/60/66/05/726606605.db2.gz MGSYDZZBGFDYGI-UHFFFAOYSA-N -1 1 331.380 -0.596 20 0 EBADMM O=C(NCC1CN(C(=O)[C@@H]2CCNC(=O)C2)C1)c1ncccc1[O-] ZINC001001536740 726611076 /nfs/dbraw/zinc/61/10/76/726611076.db2.gz JNSCRHAXPGQOEY-LLVKDONJSA-N -1 1 332.360 -0.498 20 0 EBADMM O=C(CN1CCCC1=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001538323 726611176 /nfs/dbraw/zinc/61/11/76/726611176.db2.gz UJCVXYHCGDSXAI-UHFFFAOYSA-N -1 1 332.360 -0.402 20 0 EBADMM CN1C(=O)CCC[C@H]1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001541630 726611194 /nfs/dbraw/zinc/61/11/94/726611194.db2.gz MUEINEKVUSUPFR-LBPRGKRZSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1cccc(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)c1=O ZINC001001542165 726611210 /nfs/dbraw/zinc/61/12/10/726611210.db2.gz SPWRHKJPEZOJCX-UHFFFAOYSA-N -1 1 342.355 -0.012 20 0 EBADMM Cn1ncc(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)c1N ZINC001001540927 726611282 /nfs/dbraw/zinc/61/12/82/726611282.db2.gz GGSRIRYCFOHBGU-UHFFFAOYSA-N -1 1 330.348 -0.395 20 0 EBADMM C[C@@H](C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1)[C@@H]1CCC(=O)N1 ZINC001001546675 726611483 /nfs/dbraw/zinc/61/14/83/726611483.db2.gz UXRREWJPXCKYRJ-PWSUYJOCSA-N -1 1 346.387 -0.110 20 0 EBADMM CN1C(=O)CC[C@H]1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001551367 726611664 /nfs/dbraw/zinc/61/16/64/726611664.db2.gz HPQYHQODNOZHRG-NSHDSACASA-N -1 1 332.360 -0.404 20 0 EBADMM CN1CC[C@@H](C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)C1=O ZINC001001553616 726611675 /nfs/dbraw/zinc/61/16/75/726611675.db2.gz YPKNFEBUOFAMKV-LLVKDONJSA-N -1 1 332.360 -0.546 20 0 EBADMM Cn1cncc1CN1CCC(CNC(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001001588797 726612316 /nfs/dbraw/zinc/61/23/16/726612316.db2.gz KUPXHOOJFKXWKG-UHFFFAOYSA-N -1 1 347.379 -0.342 20 0 EBADMM O=C(c1ncccc1[O-])N1CCNC(=O)CCN(C(=O)C2CC2)CC1 ZINC001001708047 726617381 /nfs/dbraw/zinc/61/73/81/726617381.db2.gz IUIIAHORBMMHLP-UHFFFAOYSA-N -1 1 346.387 -0.012 20 0 EBADMM CCn1ncc(C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)n1 ZINC001001730648 726620057 /nfs/dbraw/zinc/62/00/57/726620057.db2.gz JZTRCTLORGYMPC-UHFFFAOYSA-N -1 1 330.348 -0.099 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)[C@H]3[C@@H]4COC[C@@H]43)CC2)nc1=O ZINC001001744896 726621389 /nfs/dbraw/zinc/62/13/89/726621389.db2.gz YOYBIKQVESBXJA-IMRBUKKESA-N -1 1 335.408 -0.671 20 0 EBADMM O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)[C@@H]1CNC(=O)N1)c1ncccc1[O-] ZINC001002197171 726635412 /nfs/dbraw/zinc/63/54/12/726635412.db2.gz YSCMIYYDTXLCAP-ZNSHCXBVSA-N -1 1 345.359 -0.670 20 0 EBADMM Cc1c(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cnn1C ZINC001002349746 726639253 /nfs/dbraw/zinc/63/92/53/726639253.db2.gz HZIRVAVRBRPAAT-UHFFFAOYSA-N -1 1 333.396 -0.455 20 0 EBADMM Cc1cc(CC(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)no1 ZINC001002489273 726641794 /nfs/dbraw/zinc/64/17/94/726641794.db2.gz KOGUBRVVBDWRIO-UHFFFAOYSA-N -1 1 334.380 -0.272 20 0 EBADMM CN1C[C@H](C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)CCC1=O ZINC001002490176 726641877 /nfs/dbraw/zinc/64/18/77/726641877.db2.gz ALZRWIZCCAUTLC-GFCCVEGCSA-N -1 1 346.387 -0.156 20 0 EBADMM CN(C[C@H]1CCCN1C(=O)[C@@H]1CCNC1=O)C(=O)c1ncccc1[O-] ZINC001002761481 726646569 /nfs/dbraw/zinc/64/65/69/726646569.db2.gz BNAZMVLHAQGHSK-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)Cc3ccc(=O)[nH]c3)CC2)nc1=O ZINC001003322621 726669775 /nfs/dbraw/zinc/66/97/75/726669775.db2.gz XMUACZGGMXFRLT-UHFFFAOYSA-N -1 1 346.391 -0.468 20 0 EBADMM CN1C(=O)CC[C@@H]1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001003771932 726689739 /nfs/dbraw/zinc/68/97/39/726689739.db2.gz WESKKXUXTPNVIF-LLVKDONJSA-N -1 1 332.360 -0.404 20 0 EBADMM Cn1cc(CN2CCC(NC(=O)Cn3c(=O)[n-][nH]c3=O)CC2)cn1 ZINC001004059146 726698350 /nfs/dbraw/zinc/69/83/50/726698350.db2.gz PZNFKMNPKGWQOR-UHFFFAOYSA-N -1 1 335.368 -0.796 20 0 EBADMM C[C@H](C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1)c1cnn(C)c1 ZINC001004302041 726704896 /nfs/dbraw/zinc/70/48/96/726704896.db2.gz MGTVUOSUJYLKGU-NSHDSACASA-N -1 1 347.423 -0.274 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)Cn1nccn1 ZINC001004874185 726718325 /nfs/dbraw/zinc/71/83/25/726718325.db2.gz QTTUILRAWHDCCO-MNOVXSKESA-N -1 1 334.384 -0.339 20 0 EBADMM O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-])[C@H]1CCNC1=O ZINC001004927654 726719948 /nfs/dbraw/zinc/71/99/48/726719948.db2.gz NOIICFFXVVVZCT-KXNHARMFSA-N -1 1 344.371 -0.215 20 0 EBADMM CN(C(=O)Cn1cccn1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005110098 726725049 /nfs/dbraw/zinc/72/50/49/726725049.db2.gz AUZGPRPIBDDXQH-UHFFFAOYSA-N -1 1 333.396 -0.572 20 0 EBADMM C[C@@H](C(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1)n1cccn1 ZINC001005132604 726725957 /nfs/dbraw/zinc/72/59/57/726725957.db2.gz BBADKUYHWRWXSV-LBPRGKRZSA-N -1 1 347.423 -0.011 20 0 EBADMM Cc1cc(C(=O)N(C)C2CCN(Cc3nc(=O)n(C)[n-]3)CC2)nn1C ZINC001005154354 726727470 /nfs/dbraw/zinc/72/74/70/726727470.db2.gz AEMXSWDMVRTCMU-UHFFFAOYSA-N -1 1 347.423 -0.113 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)N(C)C2CCN(Cc3nc(=O)n(C)[n-]3)CC2)CO1 ZINC001005614531 726736500 /nfs/dbraw/zinc/73/65/00/726736500.db2.gz XGIPILHKHSEBSS-VXGBXAGGSA-N -1 1 337.424 -0.044 20 0 EBADMM Cc1n[nH]cc1C(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005800893 726742573 /nfs/dbraw/zinc/74/25/73/726742573.db2.gz YBUMPJXKLGCVEW-UHFFFAOYSA-N -1 1 333.396 -0.123 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)c2c[nH]c(=O)cn2)C1 ZINC001005947636 726749408 /nfs/dbraw/zinc/74/94/08/726749408.db2.gz AQGXCAFKRAFZJF-SECBINFHSA-N -1 1 343.343 -0.239 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CCN(C)C2=O)C1 ZINC001005955064 726749965 /nfs/dbraw/zinc/74/99/65/726749965.db2.gz DYJASERETGNDIY-PWSUYJOCSA-N -1 1 346.387 -0.158 20 0 EBADMM CN(C(=O)[C@@]1(C)CCOC1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001006197545 726758350 /nfs/dbraw/zinc/75/83/50/726758350.db2.gz NLNKZKGETCRLLD-INIZCTEOSA-N -1 1 337.424 -0.042 20 0 EBADMM CN(C(=O)[C@H]1C[C@@]12CCOC2)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001006271909 726761102 /nfs/dbraw/zinc/76/11/02/726761102.db2.gz OTVBJWXLLBJHRY-CXAGYDPISA-N -1 1 349.435 -0.042 20 0 EBADMM C[C@H](NC(=O)[C@H]1CCC(=O)NC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006375133 726765236 /nfs/dbraw/zinc/76/52/36/726765236.db2.gz NMXVQZFQSDFGFJ-QWRGUYRKSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)C(F)F)C1 ZINC001006460836 726770137 /nfs/dbraw/zinc/77/01/37/726770137.db2.gz ANVBUUHSXHEIFR-LURJTMIESA-N -1 1 340.290 -0.741 20 0 EBADMM C[C@@H](NC(=O)[C@@H]1CCNC1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006563428 726774230 /nfs/dbraw/zinc/77/42/30/726774230.db2.gz MWFFBBVONLVOHD-MWLCHTKSSA-N -1 1 332.360 -0.500 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)c3cnc4n3CCC4)C2)nc1=O ZINC001007523348 726795885 /nfs/dbraw/zinc/79/58/85/726795885.db2.gz QVPBERJPTDGGOV-NSHDSACASA-N -1 1 345.407 -0.355 20 0 EBADMM COCC(=O)N1CC[C@@]2(C1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC001007568700 726797551 /nfs/dbraw/zinc/79/75/51/726797551.db2.gz MVBAEGSGRLAPFW-MRXNPFEDSA-N -1 1 335.360 -0.123 20 0 EBADMM Cc1ncc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)c(C)n1 ZINC001008906094 726827640 /nfs/dbraw/zinc/82/76/40/726827640.db2.gz RQUQXLAYBYHXAV-GFCCVEGCSA-N -1 1 345.407 -0.090 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@@H]1CN(C(=O)CC(N)=O)CCO1 ZINC001009667336 726955425 /nfs/dbraw/zinc/95/54/25/726955425.db2.gz SUYOHNKLUMWDAM-KOLCDFICSA-N -1 1 336.348 -0.992 20 0 EBADMM C[C@@H](NC(=O)c1cnon1)[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001009687330 726965391 /nfs/dbraw/zinc/96/53/91/726965391.db2.gz XMBKBQIRTGVVDT-SKDRFNHKSA-N -1 1 347.331 -0.170 20 0 EBADMM CC(=O)N[C@@H]1CC[C@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@@H]2C1 ZINC001009765138 726999723 /nfs/dbraw/zinc/99/97/23/726999723.db2.gz HGWUFIRKINYQIV-SDDRHHMPSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@H]1C[C@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)CN1C(=O)c1ccn[nH]1 ZINC001010022691 727019481 /nfs/dbraw/zinc/01/94/81/727019481.db2.gz LKGWSKGNHMOQQY-JGVFFNPUSA-N -1 1 347.335 -0.714 20 0 EBADMM CO[C@H](C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001051803470 735404615 /nfs/dbraw/zinc/40/46/15/735404615.db2.gz OOKGYNQYTZQCAR-PWSUYJOCSA-N -1 1 327.385 -0.502 20 0 EBADMM CCc1cc(C(=O)N2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)CC2)n[nH]1 ZINC001010408572 727085016 /nfs/dbraw/zinc/08/50/16/727085016.db2.gz IJZDGYLUAISHLU-UHFFFAOYSA-N -1 1 347.335 -0.834 20 0 EBADMM O=C(c1ccon1)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001010502477 727100348 /nfs/dbraw/zinc/10/03/48/727100348.db2.gz OKUXFVZLXVMKCW-UHFFFAOYSA-N -1 1 343.303 -0.996 20 0 EBADMM CS(=O)(=O)CC(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001010560644 727107354 /nfs/dbraw/zinc/10/73/54/727107354.db2.gz YFCQWBUKOBYVTD-UHFFFAOYSA-N -1 1 327.362 -0.884 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(C(=O)C2=CCCC2)CC1 ZINC001010989099 727172051 /nfs/dbraw/zinc/17/20/51/727172051.db2.gz SFXPCTBNSXMHDD-UHFFFAOYSA-N -1 1 346.387 -0.292 20 0 EBADMM O=C(CO[C@H]1CCOC1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011035263 727185810 /nfs/dbraw/zinc/18/58/10/727185810.db2.gz ALPBWQQZTZXLKV-LBPRGKRZSA-N -1 1 335.360 -0.123 20 0 EBADMM NC(=O)c1c[nH]c(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)c1 ZINC001011036089 727186251 /nfs/dbraw/zinc/18/62/51/727186251.db2.gz ZUUIRVIORATGQL-UHFFFAOYSA-N -1 1 343.343 -0.188 20 0 EBADMM CN1CC[C@H](C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)CC1=O ZINC001011036567 727186538 /nfs/dbraw/zinc/18/65/38/727186538.db2.gz PBRQJVTXBKPQFB-LBPRGKRZSA-N -1 1 346.387 -0.060 20 0 EBADMM C[C@@H]1CCO[C@@H]1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051831605 735420922 /nfs/dbraw/zinc/42/09/22/735420922.db2.gz IKZYOZPPHKHBRK-FOGDFJRCSA-N -1 1 325.369 -0.748 20 0 EBADMM CCn1ncc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)c1C ZINC001051881215 735442086 /nfs/dbraw/zinc/44/20/86/735442086.db2.gz CGAJPPPZXQLKKB-NSHDSACASA-N -1 1 349.395 -0.334 20 0 EBADMM CCn1ncc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)c1C ZINC001051881214 735442228 /nfs/dbraw/zinc/44/22/28/735442228.db2.gz CGAJPPPZXQLKKB-LLVKDONJSA-N -1 1 349.395 -0.334 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN1C(=O)C1CCC1 ZINC001011367211 727519448 /nfs/dbraw/zinc/51/94/48/727519448.db2.gz XFHVRWHNIFNUFC-GXSJLCMTSA-N -1 1 344.375 -0.063 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN1C(=O)CC1CC1 ZINC001011372109 727519818 /nfs/dbraw/zinc/51/98/18/727519818.db2.gz AMPFWBKOBLBKCZ-ONGXEEELSA-N -1 1 344.375 -0.063 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)Cn1cnnn1 ZINC001011466796 727529224 /nfs/dbraw/zinc/52/92/24/727529224.db2.gz BRTIYRRFPKDRSW-UWVGGRQHSA-N -1 1 331.336 -0.807 20 0 EBADMM Cc1cc(C(=O)N2CC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)[C@H]2C)n[nH]1 ZINC001011540432 727539237 /nfs/dbraw/zinc/53/92/37/727539237.db2.gz PAVKNKOZGSVTEQ-HTQZYQBOSA-N -1 1 347.335 -0.653 20 0 EBADMM Cc1ncc(C(=O)N2CC[C@@H](NC(=O)Cn3c(=O)[n-][nH]c3=O)[C@H]2C)[nH]1 ZINC001011567454 727542909 /nfs/dbraw/zinc/54/29/09/727542909.db2.gz NSVVHCXPVLMUNS-VXNVDRBHSA-N -1 1 349.351 -0.860 20 0 EBADMM C[C@H]1[C@@H](NC(=O)Cn2cnnn2)CCN1C(=O)c1ncccc1[O-] ZINC001011670997 727556456 /nfs/dbraw/zinc/55/64/56/727556456.db2.gz CXQPWBGWLBPEIV-UWVGGRQHSA-N -1 1 331.336 -0.807 20 0 EBADMM C[C@H]1[C@@H](NC(=O)CC2CC2)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001011900639 727600272 /nfs/dbraw/zinc/60/02/72/727600272.db2.gz YPLDXDMONOAFHY-AAEUAGOBSA-N -1 1 348.403 -0.168 20 0 EBADMM C[C@H]1[C@H](NC(=O)C2(C)CC2)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001011914612 727602843 /nfs/dbraw/zinc/60/28/43/727602843.db2.gz UBXJELLLJGLSQA-VHSXEESVSA-N -1 1 344.375 -0.063 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CCN(C(=O)CCc3c[nH]nn3)[C@@H]2C)c1[O-] ZINC001012081124 727632833 /nfs/dbraw/zinc/63/28/33/727632833.db2.gz ZCJJXZDCOQDCRT-MWLCHTKSSA-N -1 1 347.379 -0.106 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CN1C(=O)C(F)F ZINC001012122905 727639286 /nfs/dbraw/zinc/63/92/86/727639286.db2.gz VINCIDFYQKTYGI-NKWVEPMBSA-N -1 1 340.290 -0.598 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2cnc([O-])n(C)c2=O)CN1C(=O)c1ccn[nH]1 ZINC001012334110 727654930 /nfs/dbraw/zinc/65/49/30/727654930.db2.gz PHJCGZMZKOIHFA-IUCAKERBSA-N -1 1 346.347 -0.758 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)Cn2nccn2)CN1C(=O)c1ncccc1[O-] ZINC001012504572 727672805 /nfs/dbraw/zinc/67/28/05/727672805.db2.gz BVXALBKMBIMEKT-MNOVXSKESA-N -1 1 330.348 -0.202 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2c[nH]c(=O)cn2)CN1C(=O)c1ncccc1[O-] ZINC001012508906 727673475 /nfs/dbraw/zinc/67/34/75/727673475.db2.gz GEIDHLCYCQNTTK-NXEZZACHSA-N -1 1 343.343 -0.097 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1cnn(C)n1 ZINC001013226630 727784875 /nfs/dbraw/zinc/78/48/75/727784875.db2.gz ZQWBMPHTANVRMD-ZJUUUORDSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1cnn(C)n1 ZINC001013226625 727784901 /nfs/dbraw/zinc/78/49/01/727784901.db2.gz ZQWBMPHTANVRMD-UWVGGRQHSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@H]1C[C@H](NC(=O)C(F)F)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001013238571 727786403 /nfs/dbraw/zinc/78/64/03/727786403.db2.gz FABNKGFYPPNTAM-IUCAKERBSA-N -1 1 344.318 -0.703 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)C(F)F)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001013325152 727793877 /nfs/dbraw/zinc/79/38/77/727793877.db2.gz IWSJKVYZBMUVQK-RNFRBKRXSA-N -1 1 340.290 -0.598 20 0 EBADMM O=C(C[C@@H]1CCCOC1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051937710 735474955 /nfs/dbraw/zinc/47/49/55/735474955.db2.gz ZBDZUKZXIRKZDM-NWDGAFQWSA-N -1 1 339.396 -0.356 20 0 EBADMM CCn1cccc1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051931682 735469117 /nfs/dbraw/zinc/46/91/17/735469117.db2.gz BZDYCXBUFVJXBF-LLVKDONJSA-N -1 1 334.380 -0.038 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1C[C@H](C)N(C(=O)C(N)=O)C1 ZINC001013990277 727865221 /nfs/dbraw/zinc/86/52/21/727865221.db2.gz PBEJURXPYBHZMQ-WCBMZHEXSA-N -1 1 349.391 -0.181 20 0 EBADMM Cc1nccc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001051936671 735473901 /nfs/dbraw/zinc/47/39/01/735473901.db2.gz IALVPTSGLASMCT-SNVBAGLBSA-N -1 1 333.352 -0.760 20 0 EBADMM CC(=O)N[C@@]12CCC[C@H]1N(C(=O)c1cc(=O)n3[n-]cnc3n1)CC2 ZINC001014111931 727902040 /nfs/dbraw/zinc/90/20/40/727902040.db2.gz AKKYPDUHKYUPKB-IAQYHMDHSA-N -1 1 330.348 -0.309 20 0 EBADMM NC(=O)C(=O)N[C@@H]1CCC[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC001014574257 727972309 /nfs/dbraw/zinc/97/23/09/727972309.db2.gz SEGWJHWTWDPJNB-OUAUKWLOSA-N -1 1 332.360 -0.371 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ccn(C(F)F)n3)C2)nc1=O ZINC001014574782 727972420 /nfs/dbraw/zinc/97/24/20/727972420.db2.gz XTQIDFMKUBLLDW-MRVPVSSYSA-N -1 1 341.322 -0.296 20 0 EBADMM CC(=O)N[C@@H]1CCC[C@@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]21 ZINC001014598576 727975266 /nfs/dbraw/zinc/97/52/66/727975266.db2.gz LTJFIVSYQNTVGA-IJLUTSLNSA-N -1 1 344.375 -0.206 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@H]3CCCn4nccc43)C2)nc1=O ZINC001014987271 728050368 /nfs/dbraw/zinc/05/03/68/728050368.db2.gz KIHDLPOXJIZNAW-RYUDHWBXSA-N -1 1 345.407 -0.427 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cnn(CCF)c3)C2)nc1=O ZINC001015031119 728060309 /nfs/dbraw/zinc/06/03/09/728060309.db2.gz CIGILDALXLTIRG-LLVKDONJSA-N -1 1 337.359 -0.721 20 0 EBADMM CCOc1nc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)co1 ZINC001015104113 728079552 /nfs/dbraw/zinc/07/95/52/728079552.db2.gz QDBSWIVAPVOPMQ-SECBINFHSA-N -1 1 336.352 -0.501 20 0 EBADMM Cc1ccncc1CN1CC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001015109992 728080812 /nfs/dbraw/zinc/08/08/12/728080812.db2.gz OUDWPKGYHKEFKA-LLVKDONJSA-N -1 1 330.348 -0.009 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cnn4c3OCCC4)C2)nc1=O ZINC001015108980 728080863 /nfs/dbraw/zinc/08/08/63/728080863.db2.gz RDAZBHIBVWXRMX-SNVBAGLBSA-N -1 1 347.379 -0.908 20 0 EBADMM Cc1ncccc1CN1CC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001015109701 728080871 /nfs/dbraw/zinc/08/08/71/728080871.db2.gz LDDVNJQSOLRDEI-LLVKDONJSA-N -1 1 330.348 -0.009 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cn2c(=O)[n-][nH]c2=O)CCCN1C(=O)c1ccn[nH]1 ZINC001015112667 728082549 /nfs/dbraw/zinc/08/25/49/728082549.db2.gz KDJZDCYGRIGSQZ-BDAKNGLRSA-N -1 1 349.351 -0.778 20 0 EBADMM COCCN1CCN([C@H]2CCN(C(=O)c3n[nH]c(C)c3[O-])C2)CC1 ZINC001052015134 735503890 /nfs/dbraw/zinc/50/38/90/735503890.db2.gz NNIHYWHXLLCIMZ-ZDUSSCGKSA-N -1 1 337.424 -0.098 20 0 EBADMM C[C@@H](C(N)=O)N1CCN([C@@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001052017578 735505106 /nfs/dbraw/zinc/50/51/06/735505106.db2.gz OGUUSOFPNPYZRH-QWHCGFSZSA-N -1 1 347.419 -0.507 20 0 EBADMM CC(C)n1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001015915309 728438509 /nfs/dbraw/zinc/43/85/09/728438509.db2.gz NUHPXGLMNLEIDK-JTQLQIEISA-N -1 1 334.384 -0.715 20 0 EBADMM CCn1cc(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001015975943 728440102 /nfs/dbraw/zinc/44/01/02/728440102.db2.gz YCZXNJFHDAOCCM-LBPRGKRZSA-N -1 1 333.396 -0.742 20 0 EBADMM CN(C(=O)[C@@H]1COCCN1C)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016418313 728470221 /nfs/dbraw/zinc/47/02/21/728470221.db2.gz ZXWBYZFSEDUQFG-BPCQOVAHSA-N -1 1 348.403 -0.163 20 0 EBADMM CN(C(=O)CN1CN=NC1=O)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016422461 728471177 /nfs/dbraw/zinc/47/11/77/728471177.db2.gz QFQBYKQQAOQYCO-UHFFFAOYSA-N -1 1 346.347 -0.496 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)[C@H]2CCN(C)C2=O)C1 ZINC001016427341 728471589 /nfs/dbraw/zinc/47/15/89/728471589.db2.gz GRBVISCHXNNATJ-HTAVTVPLSA-N -1 1 346.387 -0.015 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)c2c[nH]c(=O)cn2)C1 ZINC001016428488 728471930 /nfs/dbraw/zinc/47/19/30/728471930.db2.gz BGVYDVUFPDEURY-UHFFFAOYSA-N -1 1 343.343 -0.097 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CC(NC(=O)CC2CC2)C1 ZINC001016765472 728503957 /nfs/dbraw/zinc/50/39/57/728503957.db2.gz IHGWJCCBUZRMLI-UHFFFAOYSA-N -1 1 344.375 -0.063 20 0 EBADMM CN(C(=O)[C@@H]1CN(C)C(=O)N1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016835612 728515830 /nfs/dbraw/zinc/51/58/30/728515830.db2.gz JFUYQGBKGJRYSD-ILDUYXDCSA-N -1 1 347.375 -0.470 20 0 EBADMM CN(C(=O)[C@@H]1CNC(=O)N1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016943127 728528915 /nfs/dbraw/zinc/52/89/15/728528915.db2.gz FQHKXHUOTMSWBG-RTBKNWGFSA-N -1 1 333.348 -0.812 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)c2cnc[nH]c2=O)C1 ZINC001017152972 728551205 /nfs/dbraw/zinc/55/12/05/728551205.db2.gz WMIISHAUOBIUCO-SNVBAGLBSA-N -1 1 347.379 -0.010 20 0 EBADMM Cn1cc(C(=O)N2CCC(C3(NC(=O)c4cnn[nH]4)CC3)CC2)nn1 ZINC001017175685 728551992 /nfs/dbraw/zinc/55/19/92/728551992.db2.gz HLCXNOHWNFJYFV-UHFFFAOYSA-N -1 1 344.379 -0.252 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)Cc2cc[nH]n2)nc1=O ZINC001017434757 728576533 /nfs/dbraw/zinc/57/65/33/728576533.db2.gz JAPDDIKAEGNLOF-TXEJJXNPSA-N -1 1 331.380 -0.751 20 0 EBADMM CCn1cc(CC(=O)N2C[C@@H](NC(=O)c3cnn[nH]3)CC[C@@H]2C)nn1 ZINC001018251229 728650008 /nfs/dbraw/zinc/65/00/08/728650008.db2.gz PYUHJNRCIPWECD-QWRGUYRKSA-N -1 1 346.395 -0.232 20 0 EBADMM CCn1cc(CC(=O)N2C[C@H](NC(=O)c3cnn[nH]3)CC[C@@H]2C)nn1 ZINC001018251230 728650068 /nfs/dbraw/zinc/65/00/68/728650068.db2.gz PYUHJNRCIPWECD-WDEREUQCSA-N -1 1 346.395 -0.232 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2cnn[nH]2)CN1C(=O)Cc1cnn(C)c1 ZINC001018251918 728650404 /nfs/dbraw/zinc/65/04/04/728650404.db2.gz SXIKKGNELAUGEY-PWSUYJOCSA-N -1 1 331.380 -0.110 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2nnn(C)n2)CN1C(=O)c1ncccc1[O-] ZINC001018329660 728658621 /nfs/dbraw/zinc/65/86/21/728658621.db2.gz KLVJZPFGYRZNIS-ZJUUUORDSA-N -1 1 345.363 -0.266 20 0 EBADMM CC(C)(C)n1nnc(CC(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001018903260 728701234 /nfs/dbraw/zinc/70/12/34/728701234.db2.gz ZGIGLWMQYOHWNN-VIFPVBQESA-N -1 1 349.399 -0.815 20 0 EBADMM COc1cc(CCC(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)on1 ZINC001018920217 728702550 /nfs/dbraw/zinc/70/25/50/728702550.db2.gz ZTOQWVVBQUNZLG-VIFPVBQESA-N -1 1 336.352 -0.170 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CC[C@H](NCc2nncs2)C1 ZINC001019650972 728962474 /nfs/dbraw/zinc/96/24/74/728962474.db2.gz WWLOXIXMLJPHGB-QMMMGPOBSA-N -1 1 346.376 -0.727 20 0 EBADMM O=C(CN1CCCCCC1=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019751488 728982994 /nfs/dbraw/zinc/98/29/94/728982994.db2.gz KQZGRHMOZNLISX-NSHDSACASA-N -1 1 336.396 -0.397 20 0 EBADMM COCC(=O)N1CC[C@H]2OCCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001019832843 729003924 /nfs/dbraw/zinc/00/39/24/729003924.db2.gz LPTPUWGHTJGNHG-DGCLKSJQSA-N -1 1 335.360 -0.125 20 0 EBADMM NC(=O)CC(=O)N1CCO[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001019874641 729021171 /nfs/dbraw/zinc/02/11/71/729021171.db2.gz KXGKPFUODGZCFF-ZYHUDNBSSA-N -1 1 348.359 -0.896 20 0 EBADMM COCC(=O)N1CCO[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC001019875398 729021719 /nfs/dbraw/zinc/02/17/19/729021719.db2.gz UWDHSEVNHXAOAC-AAEUAGOBSA-N -1 1 335.360 -0.125 20 0 EBADMM Cn1[n-]c(C[NH2+][C@H]2C[C@H](NC(=O)c3cc4cccn4cn3)C2)nc1=O ZINC001020282512 729123412 /nfs/dbraw/zinc/12/34/12/729123412.db2.gz QXEPUKZCLPTJLQ-XYPYZODXSA-N -1 1 341.375 -0.193 20 0 EBADMM C[C@H](N[C@H]1C[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1)c1nncn1C ZINC001020353118 729144757 /nfs/dbraw/zinc/14/47/57/729144757.db2.gz PQXGOJHZSCOXMP-FXQIFTODSA-N -1 1 334.340 -0.977 20 0 EBADMM Cc1nc(CN[C@H]2C[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)c(C)o1 ZINC001020353135 729144771 /nfs/dbraw/zinc/14/47/71/729144771.db2.gz QHWNOVDWANASLY-KYZUINATSA-N -1 1 334.336 -0.062 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@@H]1CN(C)C(=O)N1 ZINC001020454990 729173977 /nfs/dbraw/zinc/17/39/77/729173977.db2.gz UQRPMLQIUHBDGX-DCAQKATOSA-N -1 1 347.375 -0.470 20 0 EBADMM Cc1nc(CN[C@H]2C[C@H](NC(=O)Cn3c(=O)[n-][nH]c3=O)C2)c(C)o1 ZINC001020984949 729262371 /nfs/dbraw/zinc/26/23/71/729262371.db2.gz VWAQOHNLUAIHIH-MGCOHNPYSA-N -1 1 336.352 -0.269 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@@H]2CCN(C(=O)[C@@H]3COCCO3)[C@@H]2C1 ZINC001021130455 729285672 /nfs/dbraw/zinc/28/56/72/729285672.db2.gz NQKNXGAXLGXBMF-SCRDCRAPSA-N -1 1 347.371 -0.125 20 0 EBADMM O=C(NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1)[C@H]1COC(=O)N1 ZINC001021248402 729298392 /nfs/dbraw/zinc/29/83/92/729298392.db2.gz RMFJZFSEQYBAQT-FWKUWYBHSA-N -1 1 336.352 -0.770 20 0 EBADMM O=C(NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1)[C@H]1CCCC(=O)N1 ZINC001021289445 729303280 /nfs/dbraw/zinc/30/32/80/729303280.db2.gz FHINUMCDAYSQSW-FGNRJIRKSA-N -1 1 348.407 -0.209 20 0 EBADMM CC(C)C(=O)N1C[C@@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)[C@@H]2C1 ZINC001022164439 729462525 /nfs/dbraw/zinc/46/25/25/729462525.db2.gz WJKPRUSWBYDGCD-QWHCGFSZSA-N -1 1 348.403 -0.358 20 0 EBADMM Cc1ncc(CN[C@H]2C[C@@H](NC(=O)c3cnc([O-])n(C)c3=O)C2)o1 ZINC001022530476 729504234 /nfs/dbraw/zinc/50/42/34/729504234.db2.gz YKURXROBNAXYMY-AOOOYVTPSA-N -1 1 333.348 -0.167 20 0 EBADMM Cc1nnc([C@H](C)N[C@H]2C[C@@H](NC(=O)c3cnc([O-])n(C)c3=O)C2)[nH]1 ZINC001022530561 729504327 /nfs/dbraw/zinc/50/43/27/729504327.db2.gz ZNOKIMAFQNDLQC-UJNFCWOMSA-N -1 1 347.379 -0.476 20 0 EBADMM O=C(Cc1nnc[nH]1)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001022774078 729530913 /nfs/dbraw/zinc/53/09/13/729530913.db2.gz FIULGXNDVQFXNQ-WDEREUQCSA-N -1 1 342.359 -0.179 20 0 EBADMM Cc1nnc(CN[C@H]2C[C@@H](NC(=O)c3c[n-]n4c3nccc4=O)C2)[nH]1 ZINC001022821231 729535512 /nfs/dbraw/zinc/53/55/12/729535512.db2.gz MCRPIPGAIPWNKK-AOOOYVTPSA-N -1 1 342.363 -0.500 20 0 EBADMM C[C@@]1(C(=O)N[C@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CCCS1(=O)=O ZINC001022840689 729537598 /nfs/dbraw/zinc/53/75/98/729537598.db2.gz HNJJUTQFGNREFG-RWEMILLDSA-N -1 1 343.409 -0.786 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)C1CCOCC1 ZINC001022883076 729547052 /nfs/dbraw/zinc/54/70/52/729547052.db2.gz QKYXCJQMBGTBSD-DGCLKSJQSA-N -1 1 335.360 -0.485 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2CCC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)c1[O-] ZINC001023315384 729662607 /nfs/dbraw/zinc/66/26/07/729662607.db2.gz XODGCZSHHINQCX-SECBINFHSA-N -1 1 335.368 -0.111 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2CCC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)c1[O-] ZINC001023315384 729662608 /nfs/dbraw/zinc/66/26/08/729662608.db2.gz XODGCZSHHINQCX-SECBINFHSA-N -1 1 335.368 -0.111 20 0 EBADMM Cn1ccc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)c1 ZINC001023391566 729676940 /nfs/dbraw/zinc/67/69/40/729676940.db2.gz IHAXQYLZLZTUPT-DGCLKSJQSA-N -1 1 330.344 -0.259 20 0 EBADMM O=C(C[C@H]1COC(=O)C1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001023405706 729680623 /nfs/dbraw/zinc/68/06/23/729680623.db2.gz RTDOAGHNQSGNAX-CKYFFXLPSA-N -1 1 349.343 -0.958 20 0 EBADMM Cc1cc(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)no1 ZINC001023486709 729701763 /nfs/dbraw/zinc/70/17/63/729701763.db2.gz OMSHNIKYAUIEFD-ZYHUDNBSSA-N -1 1 332.316 -0.301 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ccc(F)nc2)C[C@H]1O)c1ncccc1[O-] ZINC001023488530 729702528 /nfs/dbraw/zinc/70/25/28/729702528.db2.gz MGTWARSVDZUVTN-ZYHUDNBSSA-N -1 1 346.318 -0.063 20 0 EBADMM Cc1nnccc1C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023488807 729702801 /nfs/dbraw/zinc/70/28/01/729702801.db2.gz YBVMWXBCHFKRKI-DGCLKSJQSA-N -1 1 343.343 -0.499 20 0 EBADMM O=C(Cc1cnc[nH]1)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023493453 729704346 /nfs/dbraw/zinc/70/43/46/729704346.db2.gz DWVBPMGXZJCUGN-ZYHUDNBSSA-N -1 1 331.332 -0.946 20 0 EBADMM O=C(Cc1cncnc1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001023538649 729716832 /nfs/dbraw/zinc/71/68/32/729716832.db2.gz NPBPVDRBARLAHY-DGCLKSJQSA-N -1 1 343.343 -0.879 20 0 EBADMM NC(=O)CN1CCC[C@H](CNC(=O)c2ccc3oc(=O)nc-3[n-]2)C1 ZINC001023700336 729755226 /nfs/dbraw/zinc/75/52/26/729755226.db2.gz MOMXGTXQSNHPBR-SECBINFHSA-N -1 1 333.348 -0.145 20 0 EBADMM Cn1ccc(C(=O)NC[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001023748681 729792409 /nfs/dbraw/zinc/79/24/09/729792409.db2.gz ZFSRKGAXJZTOFX-NSHDSACASA-N -1 1 333.396 -0.516 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCC[C@@H](CNCc2ccon2)C1 ZINC001024051917 729835003 /nfs/dbraw/zinc/83/50/03/729835003.db2.gz KGFZMCOPZDGXKL-VIFPVBQESA-N -1 1 334.336 -0.087 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H]2CNC(=O)c2ccc(=O)[nH]n2)nc1=O ZINC001024311071 729872495 /nfs/dbraw/zinc/87/24/95/729872495.db2.gz UWOJJPTTYXZDDC-SNVBAGLBSA-N -1 1 347.379 -0.612 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H]2CNC(=O)c2cnns2)nc1=O ZINC001024348624 729875632 /nfs/dbraw/zinc/87/56/32/729875632.db2.gz PTADNCSHDNEBNF-VIFPVBQESA-N -1 1 337.409 -0.256 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H]2CNC(=O)c2cnns2)nc1=O ZINC001024348623 729875839 /nfs/dbraw/zinc/87/58/39/729875839.db2.gz PTADNCSHDNEBNF-SECBINFHSA-N -1 1 337.409 -0.256 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)nn1C ZINC001024352139 729876137 /nfs/dbraw/zinc/87/61/37/729876137.db2.gz KREONFGYZSPRRN-LBPRGKRZSA-N -1 1 347.423 -0.065 20 0 EBADMM Cc1nnccc1C(=O)NC[C@@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001024532374 729899127 /nfs/dbraw/zinc/89/91/27/729899127.db2.gz FRLABVGISJKGPF-LBPRGKRZSA-N -1 1 345.407 -0.009 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H]2CNC(=O)c2ccncn2)nc1=O ZINC001024717636 729921369 /nfs/dbraw/zinc/92/13/69/729921369.db2.gz GEEUIRHXAXGZGT-LLVKDONJSA-N -1 1 331.380 -0.317 20 0 EBADMM CN1CC[C@H](C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)C1=O ZINC001025050202 729990389 /nfs/dbraw/zinc/99/03/89/729990389.db2.gz LWQVCLVUOPOZQV-MNOVXSKESA-N -1 1 336.396 -0.541 20 0 EBADMM Cc1nonc1CC(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001025131912 730003458 /nfs/dbraw/zinc/00/34/58/730003458.db2.gz RXHLOLXDFBYFNQ-SNVBAGLBSA-N -1 1 335.368 -0.085 20 0 EBADMM CC[C@@H]1CC[C@@H](C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)O1 ZINC001025807029 730093154 /nfs/dbraw/zinc/09/31/54/730093154.db2.gz BCBYSKHAMXJEJH-JLDUMIBSSA-N -1 1 335.408 -0.388 20 0 EBADMM C[C@H]1CC[C@@H](CC(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)O1 ZINC001026003938 730115791 /nfs/dbraw/zinc/11/57/91/730115791.db2.gz HXFDPAOBMQYAPZ-QHUBEEEXSA-N -1 1 335.408 -0.388 20 0 EBADMM Cc1[nH]nc(C(=O)N2CCC[C@H](N(C)[C@H]3CCC(=O)NC3=O)C2)c1[O-] ZINC001027370200 730209816 /nfs/dbraw/zinc/20/98/16/730209816.db2.gz ITPLDZCNGWDCGB-QWRGUYRKSA-N -1 1 349.391 -0.235 20 0 EBADMM CN(Cc1cnn(C)c1)[C@@H]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001027479384 730218443 /nfs/dbraw/zinc/21/84/43/730218443.db2.gz FCUIWJZLYJINSY-LLVKDONJSA-N -1 1 347.379 -0.247 20 0 EBADMM O=C(NC[C@@H]1CCCN1[C@H]1CCC(=O)NC1=O)c1ncccc1[O-] ZINC001027843619 730242268 /nfs/dbraw/zinc/24/22/68/730242268.db2.gz CQGPVDHTJBXJBC-QWRGUYRKSA-N -1 1 332.360 -0.213 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H]2CNC(=O)c2cc3n(n2)CCC3)nc1=O ZINC001027925447 730248486 /nfs/dbraw/zinc/24/84/86/730248486.db2.gz YGCMFFMBKIZURT-GFCCVEGCSA-N -1 1 345.407 -0.355 20 0 EBADMM O=C(C[N@H+]1CCC[C@H]1CNC(=O)c1cnc[nH]c1=O)N1CCOCC1 ZINC001027958075 730251745 /nfs/dbraw/zinc/25/17/45/730251745.db2.gz YZVRGXWEUGGQGO-LBPRGKRZSA-N -1 1 349.391 -0.765 20 0 EBADMM Cc1nc(CN2CCC[C@H]2CNC(=O)c2cnc([O-])n(C)c2=O)n[nH]1 ZINC001028069254 730261987 /nfs/dbraw/zinc/26/19/87/730261987.db2.gz DVDSIMXBSULKLD-JTQLQIEISA-N -1 1 347.379 -0.693 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)NC[C@@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001028090849 730265867 /nfs/dbraw/zinc/26/58/67/730265867.db2.gz GYWLJUNZAYKSPA-JTQLQIEISA-N -1 1 347.379 -0.693 20 0 EBADMM CNC(=O)[C@@H](C)N1CCC[C@@H]1CNC(=O)c1c[n-]n2c1nccc2=O ZINC001028186464 730272748 /nfs/dbraw/zinc/27/27/48/730272748.db2.gz LQSQGWJHCPFMMR-GHMZBOCLSA-N -1 1 346.391 -0.649 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)c3ccc(F)nc3)C2)nc1=O ZINC001028449911 730294381 /nfs/dbraw/zinc/29/43/81/730294381.db2.gz PKEUTJNMZBFUDU-JTQLQIEISA-N -1 1 334.355 -0.106 20 0 EBADMM CCc1ocnc1C(=O)NC[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001028455889 730295010 /nfs/dbraw/zinc/29/50/10/730295010.db2.gz FFIVYNWZHALOHU-JTQLQIEISA-N -1 1 334.380 -0.089 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)c3cc[nH]c(=O)c3)C2)nc1=O ZINC001028481571 730298737 /nfs/dbraw/zinc/29/87/37/730298737.db2.gz BMJOLHNGYYGWIL-SNVBAGLBSA-N -1 1 332.364 -0.539 20 0 EBADMM Cc1noc(C(=O)NC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC001028617748 730324371 /nfs/dbraw/zinc/32/43/71/730324371.db2.gz JUTNPGZKPJWBQT-LLVKDONJSA-N -1 1 334.380 -0.035 20 0 EBADMM Cn1nccc1CN1CC[C@H](CNC(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001028732699 730346104 /nfs/dbraw/zinc/34/61/04/730346104.db2.gz MPDOAHKKENRULK-LLVKDONJSA-N -1 1 346.391 -0.529 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)c3cccc(=O)n3C)C2)nc1=O ZINC001028795007 730357045 /nfs/dbraw/zinc/35/70/45/730357045.db2.gz QJWZTNWKDHZUKM-NSHDSACASA-N -1 1 346.391 -0.941 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)c3cccc(=O)n3C)C2)nc1=O ZINC001028795006 730357186 /nfs/dbraw/zinc/35/71/86/730357186.db2.gz QJWZTNWKDHZUKM-LLVKDONJSA-N -1 1 346.391 -0.941 20 0 EBADMM Cc1nn(C)cc1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029243622 730394671 /nfs/dbraw/zinc/39/46/71/730394671.db2.gz IWEWGNBJUBTEFZ-TXEJJXNPSA-N -1 1 345.407 -0.361 20 0 EBADMM Cc1cc(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)cnn1 ZINC001029286170 730396838 /nfs/dbraw/zinc/39/68/38/730396838.db2.gz ZKTJVNYTEVEYBQ-BETUJISGSA-N -1 1 343.391 -0.304 20 0 EBADMM Cn1cncc1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029381326 730402356 /nfs/dbraw/zinc/40/23/56/730402356.db2.gz LHZGXKDFNYGPSP-PHIMTYICSA-N -1 1 331.380 -0.669 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)[C@H]2CN(C)CCO2)C1 ZINC001029687133 730483900 /nfs/dbraw/zinc/48/39/00/730483900.db2.gz BBWIRFPTYIXIFY-NWDGAFQWSA-N -1 1 338.412 -0.879 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)CCn2ccnn2)C1 ZINC001029719866 730488024 /nfs/dbraw/zinc/48/80/24/730488024.db2.gz PJGFMWSOBAOYJF-NSHDSACASA-N -1 1 334.384 -0.528 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)CO[C@H]2CCOC2)C1 ZINC001029739516 730492709 /nfs/dbraw/zinc/49/27/09/730492709.db2.gz JQOSOSZNMSTLSM-RYUDHWBXSA-N -1 1 339.396 -0.404 20 0 EBADMM Cc1ccnn1CC(=O)N1CC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001029855340 730513400 /nfs/dbraw/zinc/51/34/00/730513400.db2.gz IGTVUDRPRGGSOD-LBPRGKRZSA-N -1 1 333.396 -0.004 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)C[C@@H]2CCC(=O)N2)C1 ZINC001029867349 730515165 /nfs/dbraw/zinc/51/51/65/730515165.db2.gz VSYJFLGDLQCJEI-QWRGUYRKSA-N -1 1 336.396 -0.541 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)c2ncn(C)n2)CC1 ZINC001029962863 730529121 /nfs/dbraw/zinc/52/91/21/730529121.db2.gz CJLDYVKMXBCVNE-UHFFFAOYSA-N -1 1 334.384 -0.377 20 0 EBADMM C[C@@H]1CN(C(=O)CN2CC(NC(=O)c3ncccc3[O-])C2)CCO1 ZINC001030242931 730558217 /nfs/dbraw/zinc/55/82/17/730558217.db2.gz ZJPURYGRVMGSPG-LLVKDONJSA-N -1 1 334.376 -0.552 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ccnn3C3CCC3)C2)nc1=O ZINC001030327518 730568839 /nfs/dbraw/zinc/56/88/39/730568839.db2.gz WRWYXVLLQJJHAN-UHFFFAOYSA-N -1 1 331.380 -0.356 20 0 EBADMM Cc1[nH]nc(Cl)c1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030414335 730582549 /nfs/dbraw/zinc/58/25/49/730582549.db2.gz CMYWRMRNHYHLTQ-UHFFFAOYSA-N -1 1 325.760 -0.592 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)Cn3ccc(C(F)F)n3)C2)nc1=O ZINC001030419986 730582756 /nfs/dbraw/zinc/58/27/56/730582756.db2.gz FGHGQOIGAXBCLU-UHFFFAOYSA-N -1 1 341.322 -0.757 20 0 EBADMM Cn1cc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c(C(F)F)n1 ZINC001030453161 730588622 /nfs/dbraw/zinc/58/86/22/730588622.db2.gz XYOINUQAEPEGQO-UHFFFAOYSA-N -1 1 341.322 -0.606 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)Cc3cnn4c3CCCC4)C2)nc1=O ZINC001030472050 730592112 /nfs/dbraw/zinc/59/21/12/730592112.db2.gz HXKCLXZEHQVJFE-UHFFFAOYSA-N -1 1 345.407 -0.816 20 0 EBADMM Cn1nnc2cc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)ccc21 ZINC001030529035 730600090 /nfs/dbraw/zinc/60/00/90/730600090.db2.gz QSSJDJTUOWXKMO-UHFFFAOYSA-N -1 1 342.363 -0.996 20 0 EBADMM O=C(Cn1cccn1)NC[C@@H]1COCCN1C(=O)c1ncccc1[O-] ZINC001061284675 738479590 /nfs/dbraw/zinc/47/95/90/738479590.db2.gz TWNLMACXMLIWIK-GFCCVEGCSA-N -1 1 345.359 -0.359 20 0 EBADMM Cn1nc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)cc1C(F)F ZINC001030681217 730622912 /nfs/dbraw/zinc/62/29/12/730622912.db2.gz YJOAFSGSAXXNBI-UHFFFAOYSA-N -1 1 341.322 -0.606 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cc4ncccc4o3)C2)nc1=O ZINC001030692012 730624671 /nfs/dbraw/zinc/62/46/71/730624671.db2.gz DQRNPBSAZIESKJ-UHFFFAOYSA-N -1 1 328.332 -0.136 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@@H]3OCCc4ccccc43)C2)nc1=O ZINC001030774292 730636149 /nfs/dbraw/zinc/63/61/49/730636149.db2.gz GYKISGJHWSOLPW-OAHLLOKOSA-N -1 1 343.387 -0.277 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC1CN([C@H]2CCNC2=O)C1 ZINC001030775980 730636408 /nfs/dbraw/zinc/63/64/08/730636408.db2.gz KBRRAWUYEZZKSZ-NSHDSACASA-N -1 1 333.392 -0.083 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cc(C(F)F)n[nH]3)C2)nc1=O ZINC001030934150 730654878 /nfs/dbraw/zinc/65/48/78/730654878.db2.gz MBFYXBQJIWGWDO-UHFFFAOYSA-N -1 1 327.295 -0.617 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3noc4c3CCCC4)C2)nc1=O ZINC001031002425 730664126 /nfs/dbraw/zinc/66/41/26/730664126.db2.gz GPIHTTFPXRJUIO-UHFFFAOYSA-N -1 1 332.364 -0.411 20 0 EBADMM O=C(NC1CN([C@H]2CCCNC2=O)C1)c1cnc(C2CC2)[n-]c1=O ZINC001031061255 730669918 /nfs/dbraw/zinc/66/99/18/730669918.db2.gz UHYZMEALRRHGQY-LBPRGKRZSA-N -1 1 331.376 -0.248 20 0 EBADMM Cc1noc(C)c1[C@H](C)C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031101553 730676324 /nfs/dbraw/zinc/67/63/24/730676324.db2.gz JUZBDJPBYFVFMB-QMMMGPOBSA-N -1 1 334.380 -0.183 20 0 EBADMM Cc1c(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C(C)C ZINC001031233130 730690249 /nfs/dbraw/zinc/69/02/49/730690249.db2.gz WTRYEXOBFJXWJR-UHFFFAOYSA-N -1 1 333.396 -0.192 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(Cc2csnn2)C1 ZINC001031249779 730693438 /nfs/dbraw/zinc/69/34/38/730693438.db2.gz HOGMBKUZTIXKCI-UHFFFAOYSA-N -1 1 338.349 -0.638 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN([C@H](C)c2nncn2C)C1 ZINC001031250021 730693784 /nfs/dbraw/zinc/69/37/84/730693784.db2.gz PVZYZOIKLGYUPN-SSDOTTSWSA-N -1 1 349.351 -0.800 20 0 EBADMM COc1cc(CN2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)on1 ZINC001031251550 730695042 /nfs/dbraw/zinc/69/50/42/730695042.db2.gz OXNYVUANHMRRIA-UHFFFAOYSA-N -1 1 345.319 -0.972 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cncnc3C3CC3)C2)nc1=O ZINC001031330181 730703840 /nfs/dbraw/zinc/70/38/40/730703840.db2.gz WCZMMWYZMORXMP-UHFFFAOYSA-N -1 1 329.364 -0.610 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ccc4nccnc4c3)C2)nc1=O ZINC001031362921 730708657 /nfs/dbraw/zinc/70/86/57/730708657.db2.gz WNFLTKCLIYPQJZ-UHFFFAOYSA-N -1 1 339.359 -0.334 20 0 EBADMM COCc1ccc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)cc1 ZINC001031371547 730710657 /nfs/dbraw/zinc/71/06/57/730710657.db2.gz XOUOVPROGBFUNQ-UHFFFAOYSA-N -1 1 331.376 -0.131 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ncc4n3CCCC4)C2)nc1=O ZINC001031409234 730717554 /nfs/dbraw/zinc/71/75/54/730717554.db2.gz MZOPXGROEIVEJN-UHFFFAOYSA-N -1 1 331.380 -0.745 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)Cc3n[nH]c4ccccc34)C2)nc1=O ZINC001031432817 730722641 /nfs/dbraw/zinc/72/26/41/730722641.db2.gz ZJUXRKOQWGDJEM-UHFFFAOYSA-N -1 1 341.375 -0.472 20 0 EBADMM Cc1nn(C)c(C)c1[C@H](C)C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031486966 730728886 /nfs/dbraw/zinc/72/88/86/730728886.db2.gz YGSRSZQVAOKOCR-VIFPVBQESA-N -1 1 347.423 -0.437 20 0 EBADMM Cc1n[nH]c(C(=O)NCC2CN(Cc3ccn(C)c(=O)c3)C2)c1[O-] ZINC001031617258 730741224 /nfs/dbraw/zinc/74/12/24/730741224.db2.gz BEPWFWCKXNGGOB-UHFFFAOYSA-N -1 1 331.376 -0.016 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@@H]3CCCc4c[nH]nc43)C2)nc1=O ZINC001031673132 730749148 /nfs/dbraw/zinc/74/91/48/730749148.db2.gz XWXYOHSRTRDSEP-GFCCVEGCSA-N -1 1 345.407 -0.500 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3ccnn3C3CCC3)C2)nc1=O ZINC001031676139 730749840 /nfs/dbraw/zinc/74/98/40/730749840.db2.gz HIXHUAVJFSYEFE-UHFFFAOYSA-N -1 1 345.407 -0.108 20 0 EBADMM CC(C)c1nc(CN2CC(CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001031817611 730774915 /nfs/dbraw/zinc/77/49/15/730774915.db2.gz LWIKFSKSWSENJH-UHFFFAOYSA-N -1 1 348.367 -0.614 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cnc4nccn4c3)C2)nc1=O ZINC001031847783 730779557 /nfs/dbraw/zinc/77/95/57/730779557.db2.gz DJBXUMIJZASYBQ-UHFFFAOYSA-N -1 1 342.363 -0.987 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cnn(C(F)F)c3)C2)nc1=O ZINC001032071468 730810747 /nfs/dbraw/zinc/81/07/47/730810747.db2.gz DQNXFQWLUVVBFC-UHFFFAOYSA-N -1 1 341.322 -0.438 20 0 EBADMM CCCc1nc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)co1 ZINC001032126991 730815792 /nfs/dbraw/zinc/81/57/92/730815792.db2.gz SXMHKOPTEWFRJE-UHFFFAOYSA-N -1 1 334.380 -0.089 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CN(Cc2nccn2C)C1 ZINC001032127215 730816118 /nfs/dbraw/zinc/81/61/18/730816118.db2.gz MNYOYDKZAQTRQR-UHFFFAOYSA-N -1 1 348.363 -0.508 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CN(Cc2ccnn2C)C1 ZINC001032127324 730816426 /nfs/dbraw/zinc/81/64/26/730816426.db2.gz PHFRMOSCVXBJOJ-UHFFFAOYSA-N -1 1 348.363 -0.508 20 0 EBADMM Cc1cc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)n(C)n1 ZINC001032303666 730838569 /nfs/dbraw/zinc/83/85/69/730838569.db2.gz FHFNOBMGJGORSB-QWRGUYRKSA-N -1 1 331.380 -0.751 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)c2ccnc(F)c2)nc1=O ZINC001032309353 730839145 /nfs/dbraw/zinc/83/91/45/730839145.db2.gz FEFHUCZWFBVDNX-QWRGUYRKSA-N -1 1 332.339 -0.259 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)C2(C(F)F)CC2)nc1=O ZINC001032401813 730853103 /nfs/dbraw/zinc/85/31/03/730853103.db2.gz AGIGEZCKKPYOLN-IUCAKERBSA-N -1 1 327.335 -0.061 20 0 EBADMM O=C(c1c[nH]cc2ncnc1-2)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[n-]1 ZINC001032417170 730855505 /nfs/dbraw/zinc/85/55/05/730855505.db2.gz TXEDGBORROZLHG-IUCAKERBSA-N -1 1 340.347 -0.120 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)c2csc(=O)[nH]2)nc1=O ZINC001032576010 730892856 /nfs/dbraw/zinc/89/28/56/730892856.db2.gz GNAKOOVYPSAUOT-YUMQZZPRSA-N -1 1 336.377 -0.631 20 0 EBADMM CC(C)n1ccc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)n1 ZINC001032658623 730905911 /nfs/dbraw/zinc/90/59/11/730905911.db2.gz MDBORKHIIBIKTQ-RYUDHWBXSA-N -1 1 345.407 -0.015 20 0 EBADMM Cc1cc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)ccn1 ZINC001032692631 730912458 /nfs/dbraw/zinc/91/24/58/730912458.db2.gz NXAWCAFMRMKMDT-STQMWFEESA-N -1 1 328.376 -0.089 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)CCc2nccs2)nc1=O ZINC001032789817 730932400 /nfs/dbraw/zinc/93/24/00/730932400.db2.gz JGSSEMLDDKSNLP-QWRGUYRKSA-N -1 1 348.432 -0.017 20 0 EBADMM CN(C(=O)Cc1cnn(C)c1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032945266 730951222 /nfs/dbraw/zinc/95/12/22/730951222.db2.gz DHEJKYFNGOYXPQ-GFCCVEGCSA-N -1 1 333.396 -0.883 20 0 EBADMM Cc1[nH]nc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC001032955217 730951857 /nfs/dbraw/zinc/95/18/57/730951857.db2.gz VDNVMCFHOYYMJE-NSHDSACASA-N -1 1 333.396 -0.205 20 0 EBADMM Cc1nn(C)cc1C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032962125 730952275 /nfs/dbraw/zinc/95/22/75/730952275.db2.gz KRVYYTHLFXLRBA-NSHDSACASA-N -1 1 333.396 -0.503 20 0 EBADMM Cc1cc(CC(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)no1 ZINC001032968539 730953197 /nfs/dbraw/zinc/95/31/97/730953197.db2.gz RFOJHYRECKSHIU-GFCCVEGCSA-N -1 1 334.380 -0.320 20 0 EBADMM CN(C(=O)C[C@H]1COC(=O)C1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033012950 730956964 /nfs/dbraw/zinc/95/69/64/730956964.db2.gz ZUKJLQUJNBZXRI-GHMZBOCLSA-N -1 1 337.380 -0.906 20 0 EBADMM CN(C(=O)C1CCC(O)CC1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033036828 730960161 /nfs/dbraw/zinc/96/01/61/730960161.db2.gz AKXDKPOSLDMHBX-OTTFEQOBSA-N -1 1 337.424 -0.308 20 0 EBADMM CN(C(=O)Cc1ccnn1C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033046600 730962542 /nfs/dbraw/zinc/96/25/42/730962542.db2.gz KLFWAOJNICOFIJ-GFCCVEGCSA-N -1 1 333.396 -0.883 20 0 EBADMM Cc1nnc(CC(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)o1 ZINC001033056936 730963917 /nfs/dbraw/zinc/96/39/17/730963917.db2.gz HHJSALBMVUMBBG-JTQLQIEISA-N -1 1 335.368 -0.925 20 0 EBADMM C[C@H](C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)c1ccnn1C ZINC001033092100 730969397 /nfs/dbraw/zinc/96/93/97/730969397.db2.gz OTDWEMDYGGQGEX-NWDGAFQWSA-N -1 1 347.423 -0.322 20 0 EBADMM CN(C(=O)c1cc2n(n1)CCO2)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033145069 730976980 /nfs/dbraw/zinc/97/69/80/730976980.db2.gz JECMXAAOVALHFW-JTQLQIEISA-N -1 1 347.379 -0.956 20 0 EBADMM Cc1nn(C)cc1CN1CC[C@@H](N(C)C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001033230726 730992165 /nfs/dbraw/zinc/99/21/65/730992165.db2.gz UAOZEQDYJSUTDJ-LLVKDONJSA-N -1 1 347.379 -0.329 20 0 EBADMM Cc1cc(CC(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001033265547 730997705 /nfs/dbraw/zinc/99/77/05/730997705.db2.gz BGUANQPQNNTUNS-GFCCVEGCSA-N -1 1 347.423 -0.574 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)[C@H]1CCN(Cc2ccon2)C1 ZINC001033582085 731043750 /nfs/dbraw/zinc/04/37/50/731043750.db2.gz KYBMQTMHLUUDOY-NSHDSACASA-N -1 1 343.347 -0.248 20 0 EBADMM CCc1nc[nH]c1C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033621315 731048723 /nfs/dbraw/zinc/04/87/23/731048723.db2.gz LGRZAVMAOJWWAS-JTQLQIEISA-N -1 1 333.396 -0.260 20 0 EBADMM CCN(C(=O)c1cc(C)ncn1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033795619 731074690 /nfs/dbraw/zinc/07/46/90/731074690.db2.gz PPKWKJNDCLHJDG-LBPRGKRZSA-N -1 1 345.407 -0.057 20 0 EBADMM CCN(C(=O)c1ccn(C)n1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034046162 731100500 /nfs/dbraw/zinc/10/05/00/731100500.db2.gz VCCQZHFOKWWMOS-NSHDSACASA-N -1 1 333.396 -0.422 20 0 EBADMM Cn1nccc1C(=O)N[C@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034126772 731104584 /nfs/dbraw/zinc/10/45/84/731104584.db2.gz CMDVRLCVEBLFKL-NSHDSACASA-N -1 1 333.396 -0.374 20 0 EBADMM Cn1nccc1C(=O)N[C@@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034126771 731104590 /nfs/dbraw/zinc/10/45/90/731104590.db2.gz CMDVRLCVEBLFKL-LLVKDONJSA-N -1 1 333.396 -0.374 20 0 EBADMM C[C@@H](C(=O)N[C@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1)n1cncn1 ZINC001034171499 731107006 /nfs/dbraw/zinc/10/70/06/731107006.db2.gz RTHBSWXWIIUMJH-RYUDHWBXSA-N -1 1 348.411 -0.568 20 0 EBADMM CC[C@@H](C(N)=O)N1CCCC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001034292961 731118446 /nfs/dbraw/zinc/11/84/46/731118446.db2.gz URMSBVPPMBJABG-IUCAKERBSA-N -1 1 338.368 -0.869 20 0 EBADMM CN(C)c1cnc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)cn1 ZINC001034937545 731165506 /nfs/dbraw/zinc/16/55/06/731165506.db2.gz WETXDBZGPUIPNN-SNVBAGLBSA-N -1 1 346.395 -0.239 20 0 EBADMM CN1CC[C@H](CC(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)C1=O ZINC001034965142 731169808 /nfs/dbraw/zinc/16/98/08/731169808.db2.gz JWOMEKKVWANEAI-GHMZBOCLSA-N -1 1 336.396 -0.541 20 0 EBADMM O=C([C@@H]1CCS(=O)(=O)C1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034987210 731173457 /nfs/dbraw/zinc/17/34/57/731173457.db2.gz JTRJNRQWIWBKAE-NXEZZACHSA-N -1 1 343.409 -0.974 20 0 EBADMM O=C(c1cnc2nccn2c1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034992890 731174467 /nfs/dbraw/zinc/17/44/67/731174467.db2.gz PRYZCDVCVNBPJQ-LLVKDONJSA-N -1 1 342.363 -0.053 20 0 EBADMM O=C(Cc1ccc(=O)[nH]c1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034995594 731174715 /nfs/dbraw/zinc/17/47/15/731174715.db2.gz WWWFJJSWLVBIFE-LLVKDONJSA-N -1 1 332.364 -0.066 20 0 EBADMM CN1C[C@H](C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CCC1=O ZINC001035135313 731191346 /nfs/dbraw/zinc/19/13/46/731191346.db2.gz ILCARMVVHOILPX-GHMZBOCLSA-N -1 1 336.396 -0.541 20 0 EBADMM O=C([C@@H]1CCNC1=O)N1CCC2(CCN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035211781 731197586 /nfs/dbraw/zinc/19/75/86/731197586.db2.gz MQUIDNILVIFWAV-LLVKDONJSA-N -1 1 348.407 -0.539 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)c3cncs3)C2)nc1=O ZINC001035302251 731208621 /nfs/dbraw/zinc/20/86/21/731208621.db2.gz ZJHHDZLFDOKVJI-SECBINFHSA-N -1 1 338.393 -0.804 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)C3CC(F)(F)C3)C2)nc1=O ZINC001035329874 731217420 /nfs/dbraw/zinc/21/74/20/731217420.db2.gz ADCMTCDTKOMBQH-JTQLQIEISA-N -1 1 345.350 -0.529 20 0 EBADMM Cn1ncc(CN2CCO[C@@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC001035343657 731222090 /nfs/dbraw/zinc/22/20/90/731222090.db2.gz KEXYCXGJYZYWJQ-LBPRGKRZSA-N -1 1 332.364 -0.454 20 0 EBADMM O=C(NC[C@@H]1CCCN1c1ncccn1)c1cc(=O)n2[n-]cnc2n1 ZINC001061378370 738515522 /nfs/dbraw/zinc/51/55/22/738515522.db2.gz MGTGMJDKFYPUGZ-JTQLQIEISA-N -1 1 340.347 -0.394 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)c3ccns3)C2)nc1=O ZINC001035383666 731235712 /nfs/dbraw/zinc/23/57/12/731235712.db2.gz DGFDEHKVCLJNNU-VIFPVBQESA-N -1 1 338.393 -0.804 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cccc3n[nH]nc32)nc1=O ZINC001038292146 731303004 /nfs/dbraw/zinc/30/30/04/731303004.db2.gz GCGWLPXNJIQCMV-SECBINFHSA-N -1 1 342.363 -0.616 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cc3n(n2)CCC3)nc1=O ZINC001038321452 731307074 /nfs/dbraw/zinc/30/70/74/731307074.db2.gz GPNFGKHBTDEGEQ-LLVKDONJSA-N -1 1 331.380 -0.745 20 0 EBADMM CCn1nnc(C)c1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038323424 731307559 /nfs/dbraw/zinc/30/75/59/731307559.db2.gz GZAZOTKFTYQPQQ-JTQLQIEISA-N -1 1 334.384 -0.967 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cnc3n[nH]cc3c2)nc1=O ZINC001038396008 731318343 /nfs/dbraw/zinc/31/83/43/731318343.db2.gz WUQJOIVASQDIBT-LLVKDONJSA-N -1 1 342.363 -0.616 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cccc(C(N)=O)c2)nc1=O ZINC001038416406 731320900 /nfs/dbraw/zinc/32/09/00/731320900.db2.gz CRIAEKKIZVNFQB-GFCCVEGCSA-N -1 1 344.375 -0.788 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cnc3ccccn32)nc1=O ZINC001038438769 731325748 /nfs/dbraw/zinc/32/57/48/731325748.db2.gz KEMSDVQXPKWDNJ-LLVKDONJSA-N -1 1 341.375 -0.240 20 0 EBADMM Cn1nccc1CCN1CC[C@@H]1CNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001038460385 731329307 /nfs/dbraw/zinc/32/93/07/731329307.db2.gz VLBNCFZUSIXOIC-SNVBAGLBSA-N -1 1 333.352 -0.937 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@H]2Cc3ccccc3O2)nc1=O ZINC001038474153 731332161 /nfs/dbraw/zinc/33/21/61/731332161.db2.gz DGEORBHFKGXUMM-TZMCWYRMSA-N -1 1 343.387 -0.197 20 0 EBADMM COc1ccc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001038476689 731332629 /nfs/dbraw/zinc/33/26/29/731332629.db2.gz HCEHCSQVGFCOGR-NSHDSACASA-N -1 1 332.364 -0.484 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@@H]2CCO[C@H]2C2CC2)nc1=O ZINC001038537518 731340984 /nfs/dbraw/zinc/34/09/84/731340984.db2.gz PEDWKFWUAJHWSI-BZPMIXESSA-N -1 1 335.408 -0.386 20 0 EBADMM Cc1cc(C)c(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)c(=O)[nH]1 ZINC001038565087 731344632 /nfs/dbraw/zinc/34/46/32/731344632.db2.gz VDJRJUVXANAGQR-NSHDSACASA-N -1 1 346.391 -0.170 20 0 EBADMM CCCc1cc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)[nH]n1 ZINC001038572364 731345262 /nfs/dbraw/zinc/34/52/62/731345262.db2.gz NYRYXCPUNJQIPV-NSHDSACASA-N -1 1 333.396 -0.212 20 0 EBADMM COc1cc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)cc(C)n1 ZINC001038635835 731357719 /nfs/dbraw/zinc/35/77/19/731357719.db2.gz ZHZSTGHREFXDRX-LBPRGKRZSA-N -1 1 346.391 -0.175 20 0 EBADMM COc1cc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)cc(C)n1 ZINC001038635832 731357815 /nfs/dbraw/zinc/35/78/15/731357815.db2.gz ZHZSTGHREFXDRX-GFCCVEGCSA-N -1 1 346.391 -0.175 20 0 EBADMM O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[n-]1)[C@@H]1CCCc2n[nH]nc21 ZINC001038648095 731360053 /nfs/dbraw/zinc/36/00/53/731360053.db2.gz VEDCGTKDOGMTQY-DTWKUNHWSA-N -1 1 332.368 -0.561 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2ncn(C(C)(C)C)n2)nc1=O ZINC001038706516 731367508 /nfs/dbraw/zinc/36/75/08/731367508.db2.gz SAYYWSRRPTUFHJ-SNVBAGLBSA-N -1 1 348.411 -0.541 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cnc(C3CC3)nc2)nc1=O ZINC001038773135 731374723 /nfs/dbraw/zinc/37/47/23/731374723.db2.gz HQJUVKIHUDCIRC-GFCCVEGCSA-N -1 1 343.391 -0.220 20 0 EBADMM CCn1ncc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)c1C ZINC001038836513 731384034 /nfs/dbraw/zinc/38/40/34/731384034.db2.gz OHEXMXWBUBQIDJ-LLVKDONJSA-N -1 1 333.396 -0.362 20 0 EBADMM CCc1cc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)n(C)n1 ZINC001038844393 731384345 /nfs/dbraw/zinc/38/43/45/731384345.db2.gz DMBXADRHRUSSDC-LLVKDONJSA-N -1 1 333.396 -0.591 20 0 EBADMM Cn1cncc1CN1CC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001038919485 731392828 /nfs/dbraw/zinc/39/28/28/731392828.db2.gz CXYKNIXPUHSQCG-JTQLQIEISA-N -1 1 342.363 -0.845 20 0 EBADMM O=C(NC[C@@H]1CCN1Cc1ccccn1)c1cc(=O)n2[n-]cnc2n1 ZINC001038922787 731393676 /nfs/dbraw/zinc/39/36/76/731393676.db2.gz QCDSLADIJWNBQO-LBPRGKRZSA-N -1 1 339.359 -0.183 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@@H]2COc3ccccc32)nc1=O ZINC001039085065 731416789 /nfs/dbraw/zinc/41/67/89/731416789.db2.gz NSOQQAFYMGLXOC-DGCLKSJQSA-N -1 1 343.387 -0.025 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CC[C@@H](C2)N3C(=O)CC(C)(C)O)nc1=O ZINC001039366903 731429564 /nfs/dbraw/zinc/42/95/64/731429564.db2.gz GATZTULZAOHNKZ-NEPJUHHUSA-N -1 1 337.424 -0.165 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CC[C@@H](C2)N3C(=O)c2csnn2)nc1=O ZINC001039411695 731432880 /nfs/dbraw/zinc/43/28/80/731432880.db2.gz GBPWXDAGEBZZQQ-ZJUUUORDSA-N -1 1 349.420 -0.161 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CC[C@@H](C2)N3C(=O)c2cnon2)nc1=O ZINC001039454778 731436013 /nfs/dbraw/zinc/43/60/13/731436013.db2.gz RDRPVJVXETYWQV-ZJUUUORDSA-N -1 1 333.352 -0.630 20 0 EBADMM O=C(Cn1ccnc1)NC[C@@]1(O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001040214452 731648863 /nfs/dbraw/zinc/64/88/63/731648863.db2.gz NHUQREZECXKDSQ-INIZCTEOSA-N -1 1 345.359 -0.623 20 0 EBADMM O=C(NC[C@@]1(O)CCN(C(=O)c2ncccc2[O-])C1)c1csnn1 ZINC001040375882 731720248 /nfs/dbraw/zinc/72/02/48/731720248.db2.gz YTUMJZNEUOJKBE-AWEZNQCLSA-N -1 1 349.372 -0.354 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(CCN(C(=O)[C@H]4CCCO4)C3)C2)nc1=O ZINC001041045914 731973456 /nfs/dbraw/zinc/97/34/56/731973456.db2.gz ULYOEPRVJRPKCW-WBMJQRKESA-N -1 1 335.408 -0.288 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(CCN(C(=O)c4cn[nH]c4)C3)C2)nc1=O ZINC001041105523 731991597 /nfs/dbraw/zinc/99/15/97/731991597.db2.gz SPKKFMDUFKVXAS-HNNXBMFYSA-N -1 1 331.380 -0.430 20 0 EBADMM O=C(CCc1c[nH]nn1)N1CC[C@@]2(CCN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001041696322 732199502 /nfs/dbraw/zinc/19/95/02/732199502.db2.gz NXIGTOKBGZXKLX-OAHLLOKOSA-N -1 1 346.395 -0.314 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)Cn4cccn4)C[C@@H]32)nc1=O ZINC001041941377 732259628 /nfs/dbraw/zinc/25/96/28/732259628.db2.gz IKBPNJZYNLGMKG-STQMWFEESA-N -1 1 345.407 -0.572 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)c4cnns4)C[C@@H]32)nc1=O ZINC001041959418 732263779 /nfs/dbraw/zinc/26/37/79/732263779.db2.gz ZBFLZHUBUDXYPL-ZJUUUORDSA-N -1 1 349.420 -0.304 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)CC4(O)CCC4)C[C@@H]32)nc1=O ZINC001042050023 732287615 /nfs/dbraw/zinc/28/76/15/732287615.db2.gz FHNVHHFQECCFBB-OLZOCXBDSA-N -1 1 349.435 -0.164 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)c1ccc(F)nc1 ZINC001042128035 732327477 /nfs/dbraw/zinc/32/74/77/732327477.db2.gz XHFDIMLXNSRNJB-UHFFFAOYSA-N -1 1 346.318 -0.062 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)Cc4cnoc4)C[C@@H]32)nc1=O ZINC001042197425 732353033 /nfs/dbraw/zinc/35/30/33/732353033.db2.gz BLUDLZSTUKVXNV-STQMWFEESA-N -1 1 346.391 -0.238 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)c1ccncc1F ZINC001042273066 732385591 /nfs/dbraw/zinc/38/55/91/732385591.db2.gz LIDMWPKYBHEMNG-UHFFFAOYSA-N -1 1 346.318 -0.062 20 0 EBADMM CC[C@@H](C)OCC(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001042613524 732498499 /nfs/dbraw/zinc/49/84/99/732498499.db2.gz UDQFZTHEHMZEKV-LLVKDONJSA-N -1 1 337.376 -0.095 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C[C@@H](O)Cn2cccn2)C1 ZINC001042646097 732512902 /nfs/dbraw/zinc/51/29/02/732512902.db2.gz JMPDFBSUUUOCTE-CYBMUJFWSA-N -1 1 331.376 -0.199 20 0 EBADMM CCO[C@@H](CC)C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042713110 732546869 /nfs/dbraw/zinc/54/68/69/732546869.db2.gz ONOPPFCFQXBCLP-LBPRGKRZSA-N -1 1 337.376 -0.095 20 0 EBADMM C[C@H]1OCC[C@@]1(C)C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042719566 732550162 /nfs/dbraw/zinc/55/01/62/732550162.db2.gz GGRRVWCZACVQSZ-BDJLRTHQSA-N -1 1 349.387 -0.095 20 0 EBADMM CCc1oncc1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042719735 732550749 /nfs/dbraw/zinc/55/07/49/732550749.db2.gz ITIUQKWFSLSLAK-UHFFFAOYSA-N -1 1 346.343 -0.046 20 0 EBADMM CN(C(=O)[C@H]1CCCc2cn[nH]c21)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042724153 732553047 /nfs/dbraw/zinc/55/30/47/732553047.db2.gz SSKCRZUCGFNCQQ-LBPRGKRZSA-N -1 1 345.407 -0.406 20 0 EBADMM CC(C)Cn1ccc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001042815618 732601785 /nfs/dbraw/zinc/60/17/85/732601785.db2.gz PJPCXCAMOIAJTN-UHFFFAOYSA-N -1 1 347.423 -0.083 20 0 EBADMM O=C(CCc1ncc[nH]1)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001042823452 732608233 /nfs/dbraw/zinc/60/82/33/732608233.db2.gz CRTXHOKHXZMCET-UHFFFAOYSA-N -1 1 345.359 -0.554 20 0 EBADMM Cc1c(CC(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001042878943 732639411 /nfs/dbraw/zinc/63/94/11/732639411.db2.gz OPDCDDXLNUTMGG-UHFFFAOYSA-N -1 1 333.396 -0.964 20 0 EBADMM CC[C@H]1OCCC[C@@H]1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042884452 732642564 /nfs/dbraw/zinc/64/25/64/732642564.db2.gz KSRFPFPWJGNDGG-QWHCGFSZSA-N -1 1 337.424 -0.044 20 0 EBADMM O=C(Cc1cscn1)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001043093095 732751652 /nfs/dbraw/zinc/75/16/52/732751652.db2.gz UKMMVTQDZCYRAJ-UHFFFAOYSA-N -1 1 348.384 -0.211 20 0 EBADMM CCO[C@H](C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC001043173456 732806869 /nfs/dbraw/zinc/80/68/69/732806869.db2.gz XFVPTMPJXGUCEL-AWEZNQCLSA-N -1 1 349.387 -0.095 20 0 EBADMM CN(C(=O)c1cccn2nccc12)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043221619 732829479 /nfs/dbraw/zinc/82/94/79/732829479.db2.gz OURAPCCOJXAYSX-UHFFFAOYSA-N -1 1 341.375 -0.288 20 0 EBADMM CN(C(=O)c1n[nH]c(=O)[n-]c1=O)C1CN(C[C@@H]2C[C@]23CCOC3)C1 ZINC001043230853 732836954 /nfs/dbraw/zinc/83/69/54/732836954.db2.gz GFYGRROCMTYEQG-VFZGTOFNSA-N -1 1 335.364 -0.534 20 0 EBADMM Cc1nc(C)c(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)nc1C ZINC001043283144 732853842 /nfs/dbraw/zinc/85/38/42/732853842.db2.gz GQFOIHRWCOZLRD-UHFFFAOYSA-N -1 1 345.407 -0.220 20 0 EBADMM CN(C(=O)c1cccc2cn[nH]c21)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043504397 732976353 /nfs/dbraw/zinc/97/63/53/732976353.db2.gz YYQLJPJAYZZFNM-UHFFFAOYSA-N -1 1 341.375 -0.059 20 0 EBADMM Cc1nc([C@@H](C)N2CC(N(C)C(=O)c3cnc([O-])n(C)c3=O)C2)n[nH]1 ZINC001043511069 732978319 /nfs/dbraw/zinc/97/83/19/732978319.db2.gz RTFPXYDBTCYFAI-MRVPVSSYSA-N -1 1 347.379 -0.570 20 0 EBADMM COC1CCC(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001043695071 733063524 /nfs/dbraw/zinc/06/35/24/733063524.db2.gz LHMNBZNMIDJXFK-UHFFFAOYSA-N -1 1 337.424 -0.044 20 0 EBADMM Cc1nonc1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001043718309 733078002 /nfs/dbraw/zinc/07/80/02/733078002.db2.gz ZANFWFCOYRAYOD-UHFFFAOYSA-N -1 1 333.304 -0.904 20 0 EBADMM CCc1n[nH]cc1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001043800381 733121977 /nfs/dbraw/zinc/12/19/77/733121977.db2.gz NGACUGYJZBLZBI-UHFFFAOYSA-N -1 1 345.359 -0.310 20 0 EBADMM Cc1cncn1CC(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001043909352 733192543 /nfs/dbraw/zinc/19/25/43/733192543.db2.gz HSCSLQONKJBRLF-UHFFFAOYSA-N -1 1 345.359 -0.705 20 0 EBADMM CN(C(=O)c1cccc2c1OCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043957422 733219488 /nfs/dbraw/zinc/21/94/88/733219488.db2.gz PLVLLHMRRMGKGV-UHFFFAOYSA-N -1 1 343.387 0.000 20 0 EBADMM CN(C(=O)c1c[n-]n2c1nccc2=O)C1CN(C[C@@H]2CCOC2)C1 ZINC001044019635 733248965 /nfs/dbraw/zinc/24/89/65/733248965.db2.gz BODJAFHEQCBTTB-NSHDSACASA-N -1 1 331.376 -0.185 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C1CN(C[C@H](C)OC)C1 ZINC001044179837 733319261 /nfs/dbraw/zinc/31/92/61/733319261.db2.gz AYCLSVBRUWIXLF-QMMMGPOBSA-N -1 1 326.353 -0.313 20 0 EBADMM CN(C(=O)Cn1c(=O)[n-][nH]c1=O)C1CN(CC[C@H]2CCCCO2)C1 ZINC001044237063 733334055 /nfs/dbraw/zinc/33/40/55/733334055.db2.gz VFXCBNJNMGNFJG-GFCCVEGCSA-N -1 1 339.396 -0.209 20 0 EBADMM CN(C(=O)c1c[nH]c2ncccc12)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044308944 733372328 /nfs/dbraw/zinc/37/23/28/733372328.db2.gz RRZSGAIJCKPSTE-UHFFFAOYSA-N -1 1 341.375 -0.059 20 0 EBADMM CN(C)c1ccnc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001044360982 733398112 /nfs/dbraw/zinc/39/81/12/733398112.db2.gz XPBSWKWWFCAYJY-UHFFFAOYSA-N -1 1 345.407 -0.474 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C1CS(=O)(=O)C1 ZINC001044609588 733463533 /nfs/dbraw/zinc/46/35/33/733463533.db2.gz HRTULRSDJKFXTF-SCZZXKLOSA-N -1 1 343.409 -0.976 20 0 EBADMM O=C(c1ccc2n[nH]nc2n1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045061034 733569467 /nfs/dbraw/zinc/56/94/67/733569467.db2.gz RKESCBNHDYLBOJ-QMMMGPOBSA-N -1 1 343.351 -0.429 20 0 EBADMM O=C(CN1CCCCC1=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045105194 733583129 /nfs/dbraw/zinc/58/31/29/733583129.db2.gz OUCJZOVUNVSZQP-NSHDSACASA-N -1 1 336.396 -0.397 20 0 EBADMM COc1c(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)c(C)nn1C ZINC001045225009 733628106 /nfs/dbraw/zinc/62/81/06/733628106.db2.gz VCSVSOPVDUHKGQ-JTQLQIEISA-N -1 1 349.395 -0.045 20 0 EBADMM CO[C@H](C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1)C(C)C ZINC001045736039 733764447 /nfs/dbraw/zinc/76/44/47/733764447.db2.gz UYAGTFPPBCMBEP-ZDUSSCGKSA-N -1 1 337.376 -0.239 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)n1 ZINC001045771070 733771570 /nfs/dbraw/zinc/77/15/70/733771570.db2.gz LOSFIABUHQEKHT-MNOVXSKESA-N -1 1 346.395 -0.884 20 0 EBADMM CCCC(=O)N1CCN([C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001045995689 733789805 /nfs/dbraw/zinc/78/98/05/733789805.db2.gz POZUASNBLKRFES-ZDUSSCGKSA-N -1 1 336.440 -0.373 20 0 EBADMM C/C=C(/C)C(=O)N1CCN([C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001046021063 733807580 /nfs/dbraw/zinc/80/75/80/733807580.db2.gz ZQRYVMPFSOAYSS-HNFXJGKRSA-N -1 1 348.451 -0.207 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](C)(NC(=O)c3ccc(=O)n(C)c3)C2)nc1=O ZINC001046440239 733935684 /nfs/dbraw/zinc/93/56/84/733935684.db2.gz MFJVNMSMELJFJM-MRXNPFEDSA-N -1 1 346.391 -0.799 20 0 EBADMM Cn1[n-]c(CN2CC[C@](C)(NC(=O)c3ccc(C(N)=O)o3)C2)nc1=O ZINC001046469517 733942316 /nfs/dbraw/zinc/94/23/16/733942316.db2.gz WUEDEUDLOWWTTF-HNNXBMFYSA-N -1 1 348.363 -0.805 20 0 EBADMM Cc1ncc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)c(C)n1 ZINC001046709686 734011743 /nfs/dbraw/zinc/01/17/43/734011743.db2.gz KZASTOVXMXPPGU-INIZCTEOSA-N -1 1 345.407 -0.090 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](C)(NC(=O)c3cccn(C)c3=O)C2)nc1=O ZINC001046782253 734027040 /nfs/dbraw/zinc/02/70/40/734027040.db2.gz WRTOLLMSKIJKRD-MRXNPFEDSA-N -1 1 346.391 -0.799 20 0 EBADMM Cn1c(C(=O)N[C@H]2C[C@H]3C[C@@H](C2)N(Cc2n[nH]c(=O)[n-]2)C3)c[nH]c1=O ZINC001047125939 734096822 /nfs/dbraw/zinc/09/68/22/734096822.db2.gz QOJNWUYLLDNAFB-GUBZILKMSA-N -1 1 347.379 -0.268 20 0 EBADMM O=C(N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2)c1c[nH]c(=O)cn1 ZINC001047132627 734099183 /nfs/dbraw/zinc/09/91/83/734099183.db2.gz BHJCWQMYAWOPNO-GUBZILKMSA-N -1 1 345.363 -0.624 20 0 EBADMM Cc1nonc1CC(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047174732 734106516 /nfs/dbraw/zinc/10/65/16/734106516.db2.gz OJFCRPSUNJXUJW-AXFHLTTASA-N -1 1 347.379 -0.086 20 0 EBADMM Cc1nonc1C(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047211273 734111083 /nfs/dbraw/zinc/11/10/83/734111083.db2.gz FNOYXDJDBVUVNM-GUBZILKMSA-N -1 1 333.352 -0.015 20 0 EBADMM NC(=O)C(=O)N1CCC[C@@H](C2CCN(Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001047252464 734112374 /nfs/dbraw/zinc/11/23/74/734112374.db2.gz CQNHSVPFOXZFPZ-LLVKDONJSA-N -1 1 336.396 -0.554 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(Cc2ncnn2C)C[C@@H]1O ZINC001047358950 734152176 /nfs/dbraw/zinc/15/21/76/734152176.db2.gz NUMWTZHCGPQJBG-JQWIXIFHSA-N -1 1 332.364 -0.767 20 0 EBADMM CC(=O)N[C@@H]1CC[C@@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@@H]12 ZINC000979866615 805591503 /nfs/dbraw/zinc/59/15/03/805591503.db2.gz IKWCAXDBKSQLCO-GMTAPVOTSA-N -1 1 330.348 -0.596 20 0 EBADMM Cc1c(C(=O)N(C)[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)ccn1C ZINC001047519955 734235999 /nfs/dbraw/zinc/23/59/99/734235999.db2.gz ZKSGCQXNYJHMAX-STQMWFEESA-N -1 1 348.407 -0.927 20 0 EBADMM Cc1nnc(CN[C@@H](C)[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC001047894548 734319090 /nfs/dbraw/zinc/31/90/90/734319090.db2.gz KPJOAWWHFZXZIU-CBAPKCEASA-N -1 1 349.351 -0.385 20 0 EBADMM C[C@@H](NCc1cnon1)[C@H]1CCN(C(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001047928736 734322484 /nfs/dbraw/zinc/32/24/84/734322484.db2.gz NVLNFJZWGCSUBN-ZJUUUORDSA-N -1 1 348.363 -0.491 20 0 EBADMM C[C@@H](NCc1cnon1)[C@@H]1CCN(C(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001047928730 734322606 /nfs/dbraw/zinc/32/26/06/734322606.db2.gz NVLNFJZWGCSUBN-NXEZZACHSA-N -1 1 348.363 -0.491 20 0 EBADMM C[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1CNCc1ccon1 ZINC001048306635 734387037 /nfs/dbraw/zinc/38/70/37/734387037.db2.gz ZMLSJGOPVWMWQE-DTWKUNHWSA-N -1 1 334.336 -0.231 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4ccncc4F)C[C@@H]3C2)nc1=O ZINC001048738926 734490810 /nfs/dbraw/zinc/49/08/10/734490810.db2.gz PKIJCIJTHQLGEE-PHIMTYICSA-N -1 1 346.366 -0.154 20 0 EBADMM Cc1[nH]nc(C(=O)N2C[C@H]3CN(CC(=O)NC4CC4)C[C@H]3C2)c1[O-] ZINC001048771308 734501579 /nfs/dbraw/zinc/50/15/79/734501579.db2.gz UZTDGYVGCUQCGU-PHIMTYICSA-N -1 1 333.392 -0.294 20 0 EBADMM Cn1cc(C(=O)N2C[C@H]3CN(Cc4n[nH]c(=O)[n-]4)C[C@H]3C2)[nH]c1=O ZINC001049029294 734620293 /nfs/dbraw/zinc/62/02/93/734620293.db2.gz YLGQDXKMVBVXKG-DTORHVGOSA-N -1 1 333.352 -0.847 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4ncccn4)C[C@@H]3C2)nc1=O ZINC001049126397 734655843 /nfs/dbraw/zinc/65/58/43/734655843.db2.gz VTTYRTUOLGLREJ-PHIMTYICSA-N -1 1 329.364 -0.898 20 0 EBADMM C[C@@H]1CCN(C(=O)C(=O)N2C[C@H]3CN(Cc4n[nH]c(=O)[n-]4)C[C@H]3C2)C1 ZINC001049161522 734670081 /nfs/dbraw/zinc/67/00/81/734670081.db2.gz XBQVGDPWZRJTNE-UTUOFQBUSA-N -1 1 348.407 -0.731 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@H]2CCCN3C(=O)c2ccon2)nc1=O ZINC001049360992 734714842 /nfs/dbraw/zinc/71/48/42/734714842.db2.gz QPRVKUCDPXZOHY-VXGBXAGGSA-N -1 1 332.364 -0.025 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@@H]2CCCN3C(=O)[C@H]2[C@@H]3COC[C@@H]32)nc1=O ZINC001049654043 734773525 /nfs/dbraw/zinc/77/35/25/734773525.db2.gz FURLTZVPABEYAF-WHRXGGIHSA-N -1 1 347.419 -0.434 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@H]2CCCN3C(=O)[C@@H]2CCOC2)nc1=O ZINC001049826824 734793543 /nfs/dbraw/zinc/79/35/43/734793543.db2.gz JEQUIOCXDMGMKN-UPJWGTAASA-N -1 1 335.408 -0.290 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@@H]([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001049839465 734796276 /nfs/dbraw/zinc/79/62/76/734796276.db2.gz QNWMKSYCOTVUDS-CMPLNLGQSA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@H](NC(=O)Cn1c(=O)[n-][nH]c1=O)[C@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001050103463 734842392 /nfs/dbraw/zinc/84/23/92/734842392.db2.gz LHZVDWIUYDLJBU-IUCAKERBSA-N -1 1 349.351 -0.921 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)CCNC(N)=O)C1 ZINC001050706359 734948739 /nfs/dbraw/zinc/94/87/39/734948739.db2.gz YHUWIYOJYJPLDT-GHMZBOCLSA-N -1 1 349.391 -0.188 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)c2ccsc2)nc1=O ZINC001050800040 734961613 /nfs/dbraw/zinc/96/16/13/734961613.db2.gz AJGRNJHRUVGFOL-LLVKDONJSA-N -1 1 337.405 -0.199 20 0 EBADMM Cc1[nH]nc(C(=O)NC[C@H]2COCCN2Cc2nc(=O)n(C)[n-]2)c1C ZINC001050853876 734979002 /nfs/dbraw/zinc/97/90/02/734979002.db2.gz MWURMILCOQZCDA-NSHDSACASA-N -1 1 349.395 -0.921 20 0 EBADMM O=C(NC[C@@H]1COCCN1CCN1CCCC1=O)c1ncccc1[O-] ZINC001050887088 734989093 /nfs/dbraw/zinc/98/90/93/734989093.db2.gz BORKBCDCVIIESW-CYBMUJFWSA-N -1 1 348.403 -0.160 20 0 EBADMM CNC(=O)NCC(=O)N1CC[C@H]([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001050933782 735003738 /nfs/dbraw/zinc/00/37/38/735003738.db2.gz JFRUWJIPGHABKV-MNOVXSKESA-N -1 1 349.391 -0.317 20 0 EBADMM O=C(NC[C@H]1COCCN1CCCO)c1cnc(C2CC2)[n-]c1=O ZINC001051152553 735078681 /nfs/dbraw/zinc/07/86/81/735078681.db2.gz JBBSORAGFOJZFC-LBPRGKRZSA-N -1 1 336.392 -0.127 20 0 EBADMM C[C@@H](O)CN1CCOC[C@@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001051153240 735078743 /nfs/dbraw/zinc/07/87/43/735078743.db2.gz OILMNGRLAXYQDY-PWSUYJOCSA-N -1 1 336.392 -0.129 20 0 EBADMM Cc1conc1C(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001051198605 735096968 /nfs/dbraw/zinc/09/69/68/735096968.db2.gz VETQHOLNXWDEFP-JTQLQIEISA-N -1 1 336.352 -0.964 20 0 EBADMM CCCN1CCOC[C@H]1CNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001051206528 735098775 /nfs/dbraw/zinc/09/87/75/735098775.db2.gz LDXAIJKEHPSNAG-SECBINFHSA-N -1 1 326.353 -0.263 20 0 EBADMM O=C(c1cncc(F)c1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051539318 735224510 /nfs/dbraw/zinc/22/45/10/735224510.db2.gz HGPJDUXYDFMZHM-LLVKDONJSA-N -1 1 336.327 -0.325 20 0 EBADMM C[C@@](O)(CC(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001051542550 735225537 /nfs/dbraw/zinc/22/55/37/735225537.db2.gz OFKFFPJEAFCUQO-XHDPSFHLSA-N -1 1 339.396 -0.622 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)CNC(N)=O ZINC001052420278 735611173 /nfs/dbraw/zinc/61/11/73/735611173.db2.gz CUSQQJKOTRTGLM-VHSXEESVSA-N -1 1 335.364 -0.720 20 0 EBADMM C[C@@H](C(N)=O)N1CCC[C@@H](NC(=O)c2c[n-]n3c2nccc3=O)CC1 ZINC001052774862 735687606 /nfs/dbraw/zinc/68/76/06/735687606.db2.gz LUGJJKJPYSMGSZ-WDEREUQCSA-N -1 1 346.391 -0.519 20 0 EBADMM NC(=O)CN1CCC[C@H](NC(=O)c2ccc3oc(=O)nc-3[n-]2)CC1 ZINC001052866415 735706990 /nfs/dbraw/zinc/70/69/90/735706990.db2.gz XQZZSEYLUJIISS-VIFPVBQESA-N -1 1 333.348 -0.002 20 0 EBADMM CCC(=O)NC[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1C ZINC001053026791 735739460 /nfs/dbraw/zinc/73/94/60/735739460.db2.gz YGCDHFDLTLPOEN-VHSXEESVSA-N -1 1 332.364 -0.348 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)/C=C/C2CC2)CCO3)nc1=O ZINC001053199962 735776538 /nfs/dbraw/zinc/77/65/38/735776538.db2.gz ZNSLDKYSRFJMHA-SNAWJCMRSA-N -1 1 333.392 -0.512 20 0 EBADMM Cn1nnc(CN2CC3(C2)CN(C(=O)c2ncccc2[O-])CCO3)n1 ZINC001053232270 735786280 /nfs/dbraw/zinc/78/62/80/735786280.db2.gz KFIFAEPKMUEAFZ-UHFFFAOYSA-N -1 1 345.363 -0.962 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)C2(C4CC4)CC2)CCO3)nc1=O ZINC001053298569 735822026 /nfs/dbraw/zinc/82/20/26/735822026.db2.gz ZDGCQOBOCWBRGF-UHFFFAOYSA-N -1 1 347.419 -0.288 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)CC2(C)CC2)CCO3)nc1=O ZINC001053344297 735842582 /nfs/dbraw/zinc/84/25/82/735842582.db2.gz FHXLQSUEVNXETJ-UHFFFAOYSA-N -1 1 335.408 -0.288 20 0 EBADMM CC[C@H](F)C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053375189 735853741 /nfs/dbraw/zinc/85/37/41/735853741.db2.gz AOJYPWPMRSQMHZ-JTQLQIEISA-N -1 1 327.360 -0.730 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)[C@H]2C[C@H]4C[C@H]4C2)CCO3)nc1=O ZINC001053387621 735856879 /nfs/dbraw/zinc/85/68/79/735856879.db2.gz JWBOMVVMLRBNNV-ITGUQSILSA-N -1 1 347.419 -0.432 20 0 EBADMM CNC(=O)[C@H](C)N1CC2(C1)CC[C@H](CNC(=O)c1ncccc1[O-])O2 ZINC001053594102 735936502 /nfs/dbraw/zinc/93/65/02/735936502.db2.gz DMSYHQAEYFJTDJ-NWDGAFQWSA-N -1 1 348.403 -0.115 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CC[C@@H](CNC(=O)c2cc[nH]c2)O3)nc1=O ZINC001053601836 735940980 /nfs/dbraw/zinc/94/09/80/735940980.db2.gz SQIYXKOVGHNXEZ-LBPRGKRZSA-N -1 1 346.391 -0.400 20 0 EBADMM C[C@H](C(=O)N[C@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1)C1CC1 ZINC001053767189 736004736 /nfs/dbraw/zinc/00/47/36/736004736.db2.gz DEQYDYVKXCRGBX-CMPLNLGQSA-N -1 1 335.408 -0.386 20 0 EBADMM CCCC(=O)NCC1(NC(=O)CCn2cc[n-]c(=O)c2=O)CCC1 ZINC001062403470 738912204 /nfs/dbraw/zinc/91/22/04/738912204.db2.gz IABBTDUOOHFGCW-UHFFFAOYSA-N -1 1 336.392 -0.118 20 0 EBADMM CC[C@H](C(N)=O)N1CC2(C1)C[C@@H](NC(=O)c1ncccc1[O-])CO2 ZINC001053784739 736014656 /nfs/dbraw/zinc/01/46/56/736014656.db2.gz VRPGXIKDMGUEMN-GHMZBOCLSA-N -1 1 334.376 -0.376 20 0 EBADMM O=C(N[C@@H]1COC2(CN(CC3CCC3)C2)C1)c1n[nH]c(=O)[n-]c1=O ZINC001053858625 736061456 /nfs/dbraw/zinc/06/14/56/736061456.db2.gz MSANOJYQVXUWRR-JTQLQIEISA-N -1 1 335.364 -0.344 20 0 EBADMM NC(=O)CN1CC2(C1)C[C@@H](NC(=O)c1cnc(C3CC3)[n-]c1=O)CO2 ZINC001053950229 736110460 /nfs/dbraw/zinc/11/04/60/736110460.db2.gz XPQRPZAVVNRJEY-SNVBAGLBSA-N -1 1 347.375 -0.882 20 0 EBADMM Cc1cccn(CC(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)c1=O ZINC001054645976 736223017 /nfs/dbraw/zinc/22/30/17/736223017.db2.gz AGEHAMWPUQHKDT-VXGBXAGGSA-N -1 1 346.391 -0.383 20 0 EBADMM C[C@H]1CN(C(=O)c2cn3c(n2)CCC3)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054658152 736227331 /nfs/dbraw/zinc/22/73/31/736227331.db2.gz TTYCKVNRHQFRPF-UWVGGRQHSA-N -1 1 331.380 -0.097 20 0 EBADMM C[C@H]1CN(C(=O)CCc2ccn(C)n2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054712824 736234130 /nfs/dbraw/zinc/23/41/30/736234130.db2.gz MOCKJTBSKZRIIN-CMPLNLGQSA-N -1 1 333.396 -0.187 20 0 EBADMM C[C@H]1CN(C(=O)c2cnn3ccncc23)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054740647 736237785 /nfs/dbraw/zinc/23/77/85/736237785.db2.gz XKVCONROYCLASP-ONGXEEELSA-N -1 1 342.363 -0.197 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccn(C)c(=O)c2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054811273 736248142 /nfs/dbraw/zinc/24/81/42/736248142.db2.gz AAAXVILPSMVZHQ-MWLCHTKSSA-N -1 1 332.364 -0.541 20 0 EBADMM C[C@@H]1CN(C(=O)c2n[nH]c3c2CCC3)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054847349 736254178 /nfs/dbraw/zinc/25/41/78/736254178.db2.gz GJWXOIYMXDPCJU-LDYMZIIASA-N -1 1 331.380 -0.028 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccc(=O)n(C)c2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054848628 736254713 /nfs/dbraw/zinc/25/47/13/736254713.db2.gz ZCBYUXSNLMSDMD-MWLCHTKSSA-N -1 1 332.364 -0.541 20 0 EBADMM COc1coc(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC001054907379 736266606 /nfs/dbraw/zinc/26/66/06/736266606.db2.gz VWZQIBOONPCEHP-RKDXNWHRSA-N -1 1 349.347 -0.278 20 0 EBADMM C[C@@H]1CN(C(=O)c2coc(C(N)=O)c2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054930502 736270773 /nfs/dbraw/zinc/27/07/73/736270773.db2.gz OLOCMQPPZKSZNI-VXNVDRBHSA-N -1 1 334.336 -0.548 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnn3cccnc23)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054961763 736273382 /nfs/dbraw/zinc/27/33/82/736273382.db2.gz KFBYPRVCLGTJBP-KOLCDFICSA-N -1 1 342.363 -0.197 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnc([O-])n(C)c2=O)C[C@H]1NCc1ccn(C)n1 ZINC001054967714 736274116 /nfs/dbraw/zinc/27/41/16/736274116.db2.gz XXBACDPHRZBGSH-ZWNOBZJWSA-N -1 1 346.391 -0.530 20 0 EBADMM C[C@H]1CN(C(=O)COc2cnn(C)c2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001055012267 736281493 /nfs/dbraw/zinc/28/14/93/736281493.db2.gz MBGXLMZTNZXDJB-ONGXEEELSA-N -1 1 335.368 -0.741 20 0 EBADMM CO[C@@H](C)C(=O)N[C@]1(CO)CCCN(C(=O)c2ncccc2[O-])C1 ZINC001055806234 736490567 /nfs/dbraw/zinc/49/05/67/736490567.db2.gz MONLUJWCTLJKTH-MEDUHNTESA-N -1 1 337.376 -0.095 20 0 EBADMM Cc1nsc(N2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC2)n1 ZINC001055856884 736524180 /nfs/dbraw/zinc/52/41/80/736524180.db2.gz KXHCVDYDMSYKAF-UHFFFAOYSA-N -1 1 346.376 -0.460 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@]2(CO)CCCN(C(=O)c3ccn[nH]3)C2)c1[O-] ZINC001055887134 736533852 /nfs/dbraw/zinc/53/38/52/736533852.db2.gz HRIUONLDRXYOMS-HNNXBMFYSA-N -1 1 348.363 -0.456 20 0 EBADMM COCCC(=O)N1CCC[C@@](CO)(NC(=O)c2ncccc2[O-])C1 ZINC001055900196 736541536 /nfs/dbraw/zinc/54/15/36/736541536.db2.gz HAFDYHLVNYZFDV-MRXNPFEDSA-N -1 1 337.376 -0.093 20 0 EBADMM O=C(N[C@@]1(CO)CCCN(C(=O)c2ncccc2[O-])C1)c1cn[nH]n1 ZINC001056004351 736592569 /nfs/dbraw/zinc/59/25/69/736592569.db2.gz AQNYCBJKNYXYPS-HNNXBMFYSA-N -1 1 346.347 -0.698 20 0 EBADMM CC(C)OCC(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057136215 737017457 /nfs/dbraw/zinc/01/74/57/737017457.db2.gz BRVMRLPAQRXLDA-UHFFFAOYSA-N -1 1 325.365 -0.237 20 0 EBADMM Cc1cc(CC(=O)N(CCO)CCNC(=O)c2ncccc2[O-])no1 ZINC001057230607 737099099 /nfs/dbraw/zinc/09/90/99/737099099.db2.gz OFBLRUZJTBVYEL-UHFFFAOYSA-N -1 1 348.359 -0.123 20 0 EBADMM Cc1[nH]nc(C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])c1C ZINC001057238334 737104652 /nfs/dbraw/zinc/10/46/52/737104652.db2.gz HRKBMFLSYFQJFU-UHFFFAOYSA-N -1 1 347.375 -0.008 20 0 EBADMM Cc1cnc(C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])cn1 ZINC001057407678 737226672 /nfs/dbraw/zinc/22/66/72/737226672.db2.gz CNDQRMPGHJQUDX-UHFFFAOYSA-N -1 1 345.359 -0.250 20 0 EBADMM Cc1conc1C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410155 737230183 /nfs/dbraw/zinc/23/01/83/737230183.db2.gz FNSYFNXLUDNEBO-UHFFFAOYSA-N -1 1 334.332 -0.052 20 0 EBADMM C[C@@H]1OCC[C@@H]1C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410073 737230220 /nfs/dbraw/zinc/23/02/20/737230220.db2.gz BPMRWGCNUHEHSB-RYUDHWBXSA-N -1 1 337.376 -0.237 20 0 EBADMM Cc1ncncc1C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410565 737230435 /nfs/dbraw/zinc/23/04/35/737230435.db2.gz RSZOCZQOVSVYEV-UHFFFAOYSA-N -1 1 345.359 -0.250 20 0 EBADMM C[C@@]1(C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])CCOC1 ZINC001057410806 737231185 /nfs/dbraw/zinc/23/11/85/737231185.db2.gz XFQYQGYXZFQIKE-MRXNPFEDSA-N -1 1 337.376 -0.236 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057412919 737233457 /nfs/dbraw/zinc/23/34/57/737233457.db2.gz AESYNNFGSSJUJU-UHFFFAOYSA-N -1 1 349.347 -0.611 20 0 EBADMM CCn1ccnc1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057456657 737265110 /nfs/dbraw/zinc/26/51/10/737265110.db2.gz CVZNXLRKOQMCQH-UHFFFAOYSA-N -1 1 347.375 -0.132 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCC[C@@H](Nc2ncccn2)C1 ZINC001057668003 737401965 /nfs/dbraw/zinc/40/19/65/737401965.db2.gz MSRJWCRCWDXOPG-SNVBAGLBSA-N -1 1 340.347 -0.076 20 0 EBADMM O=C(NCC[C@H]1CCN(C(=O)c2ccn[nH]2)C1)c1n[nH]c(=O)[n-]c1=O ZINC001059209293 738130332 /nfs/dbraw/zinc/13/03/32/738130332.db2.gz JVJICWPOYACBHZ-QMMMGPOBSA-N -1 1 347.335 -0.712 20 0 EBADMM Cc1nccc(N[C@H]2C[C@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)n1 ZINC001059265205 738141229 /nfs/dbraw/zinc/14/12/29/738141229.db2.gz OYJXFPVIIJDPED-MGCOHNPYSA-N -1 1 340.347 -0.111 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)NCC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059516126 738187810 /nfs/dbraw/zinc/18/78/10/738187810.db2.gz GBBBXOQPODOOGT-TUAOUCFPSA-N -1 1 346.387 -0.123 20 0 EBADMM O=C(NCC[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1CCNC1=O ZINC001059519096 738187900 /nfs/dbraw/zinc/18/79/00/738187900.db2.gz ZOFODGQZTKSTLA-NWDGAFQWSA-N -1 1 346.387 -0.108 20 0 EBADMM O=C(N[C@H]1C[C@@H](Nc2cnc(F)cn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001059680818 738226500 /nfs/dbraw/zinc/22/65/00/738226500.db2.gz LOZXDEJMHRXBLZ-OCAPTIKFSA-N -1 1 344.310 -0.280 20 0 EBADMM Cn1cnc(C(=O)NC2(CNC(=O)c3ncccc3[O-])CCC2)n1 ZINC001062839000 739002447 /nfs/dbraw/zinc/00/24/47/739002447.db2.gz CYFHJXXZSKWSGU-UHFFFAOYSA-N -1 1 330.348 -0.002 20 0 EBADMM O=C(NCC1(NC(=O)C2CC2)CCC1)c1cc(=O)n2[n-]cnc2n1 ZINC001063715075 739185634 /nfs/dbraw/zinc/18/56/34/739185634.db2.gz KIMHZGDILCBSLC-UHFFFAOYSA-N -1 1 330.348 -0.404 20 0 EBADMM O=C(Cn1cccn1)NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001065072343 739509841 /nfs/dbraw/zinc/50/98/41/739509841.db2.gz AJIKVWHYZGOCSY-LBPRGKRZSA-N -1 1 345.359 -0.359 20 0 EBADMM CC(C)(O)CC(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001065073607 739510383 /nfs/dbraw/zinc/51/03/83/739510383.db2.gz NAECOINYLFHGRX-LLVKDONJSA-N -1 1 337.376 -0.095 20 0 EBADMM O=C(Cc1cc[nH]n1)NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001065074332 739511032 /nfs/dbraw/zinc/51/10/32/739511032.db2.gz VGYPDAMODVAELP-GFCCVEGCSA-N -1 1 345.359 -0.290 20 0 EBADMM NC(=O)NCCC(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])CC1 ZINC001066078832 739908386 /nfs/dbraw/zinc/90/83/86/739908386.db2.gz NZLLZICBOFJRCT-LLVKDONJSA-N -1 1 349.391 -0.044 20 0 EBADMM CNC(=O)NCC(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001066238748 739931899 /nfs/dbraw/zinc/93/18/99/739931899.db2.gz CZHVDBKRKKYYQI-LLVKDONJSA-N -1 1 349.391 -0.173 20 0 EBADMM CN(CCCN(C)C(=O)c1n[nH]c(=O)[n-]c1=O)C(=O)Cc1ccn[nH]1 ZINC001067061838 740091126 /nfs/dbraw/zinc/09/11/26/740091126.db2.gz UOGLIQZWABPTGL-UHFFFAOYSA-N -1 1 349.351 -0.831 20 0 EBADMM CN(CCCN(C)C(=O)c1ncccc1[O-])C(=O)CN1CN=NC1=O ZINC001067243209 740112010 /nfs/dbraw/zinc/11/20/10/740112010.db2.gz CFBVOSZFOHCBMJ-UHFFFAOYSA-N -1 1 348.363 -0.295 20 0 EBADMM COCC(=O)NC[C@H]1CCC2(CN(C(=O)c3ncccc3[O-])C2)O1 ZINC001068491559 740343019 /nfs/dbraw/zinc/34/30/19/740343019.db2.gz OEOHQVQXDOFLAI-LLVKDONJSA-N -1 1 335.360 -0.077 20 0 EBADMM CC(C)[C@@H](O)C(=O)N[C@H]1COC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001068844238 740465135 /nfs/dbraw/zinc/46/51/35/740465135.db2.gz INENFXQGSNPDPD-BXUZGUMPSA-N -1 1 349.387 -0.096 20 0 EBADMM C[C@H]1C[C@@H](Nc2ncccn2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001069119137 740537021 /nfs/dbraw/zinc/53/70/21/740537021.db2.gz OIIBQKNMDNWQMM-NWDGAFQWSA-N -1 1 344.375 -0.182 20 0 EBADMM CO[C@H](C)CN1C[C@@H](NC(=O)c2[nH]nc(C)c2[O-])[C@@H](n2ccnn2)C1 ZINC001069909920 740614134 /nfs/dbraw/zinc/61/41/34/740614134.db2.gz WPCWBMFYEGJEBS-JLLWLGSASA-N -1 1 349.395 -0.295 20 0 EBADMM CC(C)C(=O)N1CCC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001070436476 740825045 /nfs/dbraw/zinc/82/50/45/740825045.db2.gz RCAGRLLDNGRZMH-GFCCVEGCSA-N -1 1 336.392 -0.310 20 0 EBADMM CO[C@@H](C)C(=O)N1C[C@@H](c2cncn2C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001070627373 740899203 /nfs/dbraw/zinc/89/92/03/740899203.db2.gz LRLIHYPHWQBZAW-HBNTYKKESA-N -1 1 349.395 -0.637 20 0 EBADMM C[C@H](NC(N)=O)C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001070657000 740911366 /nfs/dbraw/zinc/91/13/66/740911366.db2.gz IHFCDXXWSHHXOD-VHSXEESVSA-N -1 1 335.364 -0.435 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@]2(CCN(CC(N)=O)C2)O1 ZINC001071162617 741073038 /nfs/dbraw/zinc/07/30/38/741073038.db2.gz WFIDUMDYKGIUKN-ZBEGNZNMSA-N -1 1 334.376 -0.422 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2cnns2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071373595 741128911 /nfs/dbraw/zinc/12/89/11/741128911.db2.gz LUOIFYRGACKTRZ-IUCAKERBSA-N -1 1 337.409 -0.257 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)Cc2cnn(C)c2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071384084 741130704 /nfs/dbraw/zinc/13/07/04/741130704.db2.gz RQOBNPZGWHBPOB-AAEUAGOBSA-N -1 1 347.423 -0.446 20 0 EBADMM CCn1nncc1C(=O)N[C@@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071484723 741144613 /nfs/dbraw/zinc/14/46/13/741144613.db2.gz DQNMGNFVEWRQSM-GHMZBOCLSA-N -1 1 348.411 -0.497 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071748460 741211337 /nfs/dbraw/zinc/21/13/37/741211337.db2.gz OJWNTTVBAUVLRA-SSKLVLDBSA-N -1 1 335.408 -0.530 20 0 EBADMM Cc1ncc(C(=O)N[C@@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)n1C ZINC001071769374 741214093 /nfs/dbraw/zinc/21/40/93/741214093.db2.gz PURIFWLQIQAGPF-ZYHUDNBSSA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2n[nH]cc2F)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071800231 741218562 /nfs/dbraw/zinc/21/85/62/741218562.db2.gz SXKMHAMKJJZVDX-BDAKNGLRSA-N -1 1 337.359 -0.247 20 0 EBADMM C/C=C(/C)C(=O)N1C[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)[C@@H](C)C1 ZINC001071885954 741238901 /nfs/dbraw/zinc/23/89/01/741238901.db2.gz WFIHXQGZNBRPCD-WIGWWYOCSA-N -1 1 348.403 -0.144 20 0 EBADMM CNC(=O)NCC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001071952487 741263178 /nfs/dbraw/zinc/26/31/78/741263178.db2.gz MUBJUDKTMRNVJN-NXEZZACHSA-N -1 1 335.364 -0.707 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C1(NC(N)=O)CC1 ZINC001071952705 741263764 /nfs/dbraw/zinc/26/37/64/741263764.db2.gz PZHSWZBNVZCECS-VHSXEESVSA-N -1 1 347.375 -0.435 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001071952673 741263893 /nfs/dbraw/zinc/26/38/93/741263893.db2.gz PNXZUIBHHINIIV-PWSUYJOCSA-N -1 1 334.376 -0.158 20 0 EBADMM Cc1ncc(CN2CCC3(CN(C(=O)c4n[nH]c(=O)[n-]c4=O)C3)C2)o1 ZINC001072898274 741515492 /nfs/dbraw/zinc/51/54/92/741515492.db2.gz QNDQYCRGULROEH-UHFFFAOYSA-N -1 1 346.347 -0.073 20 0 EBADMM C[C@H](C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2)[C@@H]1CCCO1 ZINC001072961531 741527979 /nfs/dbraw/zinc/52/79/79/741527979.db2.gz PZQBKRQRWVEOKQ-STQMWFEESA-N -1 1 349.435 -0.042 20 0 EBADMM C[C@@H](C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2)[C@H]1CCCO1 ZINC001072961528 741528073 /nfs/dbraw/zinc/52/80/73/741528073.db2.gz PZQBKRQRWVEOKQ-CHWSQXEVSA-N -1 1 349.435 -0.042 20 0 EBADMM Cc1ccn(CC(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)n1 ZINC001072993670 741537904 /nfs/dbraw/zinc/53/79/04/741537904.db2.gz LVLHDVKXAQRDPG-UHFFFAOYSA-N -1 1 345.407 -0.652 20 0 EBADMM Cc1nonc1CC(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001073095888 741559153 /nfs/dbraw/zinc/55/91/53/741559153.db2.gz JBHJSRAPGGASMK-UHFFFAOYSA-N -1 1 347.379 -0.923 20 0 EBADMM Cn1nncc1CN1CCCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001073584012 741668814 /nfs/dbraw/zinc/66/88/14/741668814.db2.gz KRWXVSMTDAAXIG-ZDUSSCGKSA-N -1 1 346.391 -0.063 20 0 EBADMM Cn1nnc(CN2CCCO[C@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC001073584463 741669353 /nfs/dbraw/zinc/66/93/53/741669353.db2.gz UMJAXPCLDNDDIJ-LLVKDONJSA-N -1 1 347.379 -0.668 20 0 EBADMM O=C(NC[C@@H]1CN([C@@H]2CCCNC2=O)CCCO1)c1ncccc1[O-] ZINC001073584111 741669496 /nfs/dbraw/zinc/66/94/96/741669496.db2.gz NYFGMVSIZFJYFQ-CHWSQXEVSA-N -1 1 348.403 -0.114 20 0 EBADMM Cc1n[nH]cc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001073719617 741720752 /nfs/dbraw/zinc/72/07/52/741720752.db2.gz LLKLHERDJLFECE-NSHDSACASA-N -1 1 349.395 -0.839 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@@H](CNC(=O)[C@@H]3CC34CC4)C2)nc1=O ZINC001073788703 741743307 /nfs/dbraw/zinc/74/33/07/741743307.db2.gz OXQOACMWKFQHDE-RYUDHWBXSA-N -1 1 335.408 -0.384 20 0 EBADMM CCC(=O)N1CC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)[C@@H](C)C1 ZINC001074064465 741825422 /nfs/dbraw/zinc/82/54/22/741825422.db2.gz YYAMFBPTBUUIQL-RYUDHWBXSA-N -1 1 336.392 -0.310 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H]3CCN(C(=O)c4ccon4)C[C@@H]32)nc1=O ZINC001074184099 741853755 /nfs/dbraw/zinc/85/37/55/741853755.db2.gz GJZXYFYECDKFGA-RYUDHWBXSA-N -1 1 348.363 -0.788 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H]3CCN(C(=O)/C=C/C4CC4)C[C@H]32)nc1=O ZINC001074202350 741861008 /nfs/dbraw/zinc/86/10/08/741861008.db2.gz XFCAWOYZXAGIJV-KOABKASLSA-N -1 1 347.419 -0.124 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H]3CCN(C(=O)c4cc[nH]c4)C[C@H]32)nc1=O ZINC001074248403 741872254 /nfs/dbraw/zinc/87/22/54/741872254.db2.gz FMZHVZYUDQJJPS-CHWSQXEVSA-N -1 1 346.391 -0.448 20 0 EBADMM CCN1CCO[C@H]2CCN(C(=O)c3[nH]c(=O)[n-]c(=O)c3OC)C[C@H]21 ZINC001074382514 741921360 /nfs/dbraw/zinc/92/13/60/741921360.db2.gz MKHIDGHPIOGBCL-ZJUUUORDSA-N -1 1 338.364 -0.168 20 0 EBADMM C[C@@H](CCNC(=O)C(F)F)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001075251063 742203707 /nfs/dbraw/zinc/20/37/07/742203707.db2.gz ZHTHZFZUFYQJJN-QMMMGPOBSA-N -1 1 332.307 -0.797 20 0 EBADMM C[C@@H](CCNC(=O)C(C)(C)F)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001075329698 742213390 /nfs/dbraw/zinc/21/33/90/742213390.db2.gz GYFGLPWHKVYVAC-JTQLQIEISA-N -1 1 342.371 -0.314 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)c4cnccn4)[C@@H]3C2)nc1=O ZINC001075503710 742235083 /nfs/dbraw/zinc/23/50/83/742235083.db2.gz BAAAWULXYVWIFJ-CMPLNLGQSA-N -1 1 329.364 -0.755 20 0 EBADMM C[C@H](C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)n1cccn1 ZINC001075571793 742256285 /nfs/dbraw/zinc/25/62/85/742256285.db2.gz KQOPXHYNIBZFLC-FRRDWIJNSA-N -1 1 345.407 -0.401 20 0 EBADMM C[C@@H](CCNC(=O)c1ccc[nH]1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001075591942 742258526 /nfs/dbraw/zinc/25/85/26/742258526.db2.gz ZUPQRBMRQMZWPB-NSHDSACASA-N -1 1 347.375 -0.420 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)c4cscn4)[C@@H]3C2)nc1=O ZINC001075602713 742259800 /nfs/dbraw/zinc/25/98/00/742259800.db2.gz KPGUQEDLMUTSDV-GXSJLCMTSA-N -1 1 334.405 -0.089 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)C(=O)C(C)(C)C)[C@@H]3C2)nc1=O ZINC001075625560 742262374 /nfs/dbraw/zinc/26/23/74/742262374.db2.gz KHUOQCAIZNZMMB-WDEREUQCSA-N -1 1 335.408 -0.244 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)C[C@@H]4CCOC4)[C@@H]3C2)nc1=O ZINC001075635766 742264631 /nfs/dbraw/zinc/26/46/31/742264631.db2.gz KGHLPMTUODZTKR-RWMBFGLXSA-N -1 1 335.408 -0.432 20 0 EBADMM C[C@@H](CCNC(=O)CNC(=O)C1CC1)NC(=O)c1ncccc1[O-] ZINC001075741527 742285962 /nfs/dbraw/zinc/28/59/62/742285962.db2.gz HTYCCLLNUZHSQO-JTQLQIEISA-N -1 1 334.376 -0.062 20 0 EBADMM CCn1ncc(C(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)n1 ZINC001075762928 742293246 /nfs/dbraw/zinc/29/32/46/742293246.db2.gz AXLOHNSRDBVEHF-CMPLNLGQSA-N -1 1 346.395 -0.934 20 0 EBADMM CO[C@H](C)C(=O)NCC[C@H](C)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001075794687 742299794 /nfs/dbraw/zinc/29/97/94/742299794.db2.gz PKWQKIAGLSLWCC-DTWKUNHWSA-N -1 1 336.352 -0.923 20 0 EBADMM CO[C@@H](C)C(=O)NCC[C@H](C)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001075794688 742299975 /nfs/dbraw/zinc/29/99/75/742299975.db2.gz PKWQKIAGLSLWCC-IUCAKERBSA-N -1 1 336.352 -0.923 20 0 EBADMM Cc1cnn(C)c1C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001076147338 742388197 /nfs/dbraw/zinc/38/81/97/742388197.db2.gz CREMQIUFCFVCMH-NWDGAFQWSA-N -1 1 345.407 -0.503 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1COCCN1C ZINC001076245281 742419172 /nfs/dbraw/zinc/41/91/72/742419172.db2.gz VUTQZFFZACEYFL-RYUDHWBXSA-N -1 1 336.392 -0.258 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)CCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001076564536 742497628 /nfs/dbraw/zinc/49/76/28/742497628.db2.gz UVKIHSXWJQDLOK-VIFPVBQESA-N -1 1 349.391 -0.180 20 0 EBADMM C[C@@H](CCNC(=O)C1(C)CC1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001076863206 742605758 /nfs/dbraw/zinc/60/57/58/742605758.db2.gz XSTUQQBZPOXFSV-VIFPVBQESA-N -1 1 332.364 -0.158 20 0 EBADMM C[C@@H](CCNC(=O)[C@H]1CC(=O)N(C)C1)NC(=O)c1ncccc1[O-] ZINC001076891290 742622782 /nfs/dbraw/zinc/62/27/82/742622782.db2.gz VXRXDZRQKFYVFS-QWRGUYRKSA-N -1 1 334.376 -0.110 20 0 EBADMM CCc1nc([C@@H](C)N2C[C@@H](O)[C@H](NC(=O)c3[nH]nc(C)c3[O-])C2)n[nH]1 ZINC001076892932 742624814 /nfs/dbraw/zinc/62/48/14/742624814.db2.gz WTLSLRXFBPHJED-OPRDCNLKSA-N -1 1 349.395 -0.360 20 0 EBADMM Cc1c(F)cccc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001077034634 742706228 /nfs/dbraw/zinc/70/62/28/742706228.db2.gz IPCXEHAMDBLYGT-CHWSQXEVSA-N -1 1 349.366 -0.469 20 0 EBADMM C[C@@H](CCNC(=O)c1cn(C)c(=O)n1C)NC(=O)c1ncccc1[O-] ZINC001077039563 742707207 /nfs/dbraw/zinc/70/72/07/742707207.db2.gz DIKLAVPWMYHLHE-JTQLQIEISA-N -1 1 347.375 -0.237 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)C[C@H]3C=CCCC3)C2)nc1=O ZINC001077215461 742792778 /nfs/dbraw/zinc/79/27/78/742792778.db2.gz YVKLGQXVRUHGTR-YNEHKIRRSA-N -1 1 335.408 -0.484 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)[C@@H]3CCC=CCCC3)C2)nc1=O ZINC001077216327 742793767 /nfs/dbraw/zinc/79/37/67/742793767.db2.gz AKXHSCCGKLGGDQ-MGPQQGTHSA-N -1 1 349.435 -0.094 20 0 EBADMM COCCN1C[C@@H](O)[C@H](NC(=O)Cc2cc(=O)n(CC(C)C)[n-]2)C1 ZINC001077320749 742887861 /nfs/dbraw/zinc/88/78/61/742887861.db2.gz UEJHIHIXADGIPE-ZIAGYGMSSA-N -1 1 340.424 -0.817 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)[C@@H]3C[C@H]3C(C)(C)C)C2)nc1=O ZINC001077370274 742928733 /nfs/dbraw/zinc/92/87/33/742928733.db2.gz IYXSMXKSWMMBDT-DDHJBXDOSA-N -1 1 337.424 -0.548 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)[C@]3(C)C[C@H]4C[C@H]4C3)C2)nc1=O ZINC001077438964 742976096 /nfs/dbraw/zinc/97/60/96/742976096.db2.gz WOYPJLORADSARH-DNTKXJSJSA-N -1 1 335.408 -0.794 20 0 EBADMM C[C@@H](CCNC(=O)c1ccc2oc(=O)nc-2[n-]1)NC(=O)c1ncn[nH]1 ZINC001077487024 743011316 /nfs/dbraw/zinc/01/13/16/743011316.db2.gz BKQYTUGXENKAMC-ZETCQYMHSA-N -1 1 345.319 -0.015 20 0 EBADMM C[C@@H](CCNC(=O)c1ccc2oc(=O)nc-2[n-]1)NC(=O)c1nc[nH]n1 ZINC001077487024 743011324 /nfs/dbraw/zinc/01/13/24/743011324.db2.gz BKQYTUGXENKAMC-ZETCQYMHSA-N -1 1 345.319 -0.015 20 0 EBADMM C[C@@H](CCNC(=O)c1cnco1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001077562700 743077938 /nfs/dbraw/zinc/07/79/38/743077938.db2.gz RNHGIZUMWAMDPY-QMMMGPOBSA-N -1 1 345.319 -0.656 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c(F)c1 ZINC001077729673 743209070 /nfs/dbraw/zinc/20/90/70/743209070.db2.gz DSNGIHPRRNBCKB-CHWSQXEVSA-N -1 1 349.366 -0.469 20 0 EBADMM C[C@@H](CCNC(=O)[C@@H]1CN(C)C(=O)N1)NC(=O)c1ncccc1[O-] ZINC001077734617 743214508 /nfs/dbraw/zinc/21/45/08/743214508.db2.gz CYVVAVINYDSXTA-UWVGGRQHSA-N -1 1 335.364 -0.565 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)[C@@H]3C[C@H]3C3CCC3)C2)nc1=O ZINC001077816252 743268330 /nfs/dbraw/zinc/26/83/30/743268330.db2.gz XSDNKSPJNMRXMI-UMSGYPCISA-N -1 1 335.408 -0.794 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)[C@H]3CC34CCCC4)C2)nc1=O ZINC001077943358 743363830 /nfs/dbraw/zinc/36/38/30/743363830.db2.gz BJRUFSSFGQEBHG-IJLUTSLNSA-N -1 1 335.408 -0.650 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)C3(F)CCCCC3)C2)nc1=O ZINC001077945395 743365555 /nfs/dbraw/zinc/36/55/55/743365555.db2.gz AEZMKNCJSASTIA-GHMZBOCLSA-N -1 1 341.387 -0.558 20 0 EBADMM Cc1n[nH]c(C(C)C)c1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1O ZINC001077968623 743380382 /nfs/dbraw/zinc/38/03/82/743380382.db2.gz SQWOXMRFOFCSHY-NXEZZACHSA-N -1 1 349.395 -0.360 20 0 EBADMM O=C(N[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1O)c1n[nH]c2ccccc21 ZINC001078114696 743480510 /nfs/dbraw/zinc/48/05/10/743480510.db2.gz VABSLTFKPKMUJV-GHMZBOCLSA-N -1 1 343.347 -0.638 20 0 EBADMM O=C(c1cccnc1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078316057 743583593 /nfs/dbraw/zinc/58/35/93/743583593.db2.gz XRDQHHZJLOKCSF-GFCCVEGCSA-N -1 1 332.364 -0.074 20 0 EBADMM O=C(c1ccncc1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078319337 743583883 /nfs/dbraw/zinc/58/38/83/743583883.db2.gz ZHMZKGRVFXMJNH-LBPRGKRZSA-N -1 1 332.364 -0.074 20 0 EBADMM O=C(C1=COCCC1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078352489 743600289 /nfs/dbraw/zinc/60/02/89/743600289.db2.gz HIUCVKWUASQAKS-GFCCVEGCSA-N -1 1 337.380 -0.088 20 0 EBADMM CCn1cc(C(=O)N2CCCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001078361615 743607889 /nfs/dbraw/zinc/60/78/89/743607889.db2.gz JNNZQBBIWXSCQH-LBPRGKRZSA-N -1 1 349.395 -0.252 20 0 EBADMM CO[C@H](C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001078390794 743624207 /nfs/dbraw/zinc/62/42/07/743624207.db2.gz GAEZGHMZDGQUKT-YPMHNXCESA-N -1 1 339.396 -0.358 20 0 EBADMM O=C(CCc1ncc[nH]1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078390662 743624308 /nfs/dbraw/zinc/62/43/08/743624308.db2.gz CEUMRFWHJOSIIV-NSHDSACASA-N -1 1 349.395 -0.427 20 0 EBADMM O=C(CC1(O)CCC1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078397948 743630356 /nfs/dbraw/zinc/63/03/56/743630356.db2.gz IJGMVYZSJUZYCE-LLVKDONJSA-N -1 1 339.396 -0.478 20 0 EBADMM O=C([C@H]1[C@@H]2COC[C@@H]21)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078449845 743652508 /nfs/dbraw/zinc/65/25/08/743652508.db2.gz LGYGNHDYYVQBCN-MEWQQHAOSA-N -1 1 337.380 -0.890 20 0 EBADMM C[C@@H]1CCO[C@@H]1C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078471901 743664686 /nfs/dbraw/zinc/66/46/86/743664686.db2.gz IJISLKIDGJSPBX-WZRBSPASSA-N -1 1 339.396 -0.358 20 0 EBADMM O=C(N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2)C1=COCCO1 ZINC001078544742 743690738 /nfs/dbraw/zinc/69/07/38/743690738.db2.gz AALFJHCXDOYGBJ-WDEREUQCSA-N -1 1 335.364 -0.085 20 0 EBADMM Cc1c(C(=O)N[C@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)nnn1C ZINC001078585135 743700073 /nfs/dbraw/zinc/70/00/73/743700073.db2.gz BFUCRSOCCBYREX-UWVGGRQHSA-N -1 1 346.395 -0.222 20 0 EBADMM Cn1nncc1C(=O)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078617472 743708709 /nfs/dbraw/zinc/70/87/09/743708709.db2.gz NCCPYOPKXNQZSA-NXEZZACHSA-N -1 1 332.368 -0.530 20 0 EBADMM Cn1cc(C(=O)N[C@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)nn1 ZINC001078617309 743708780 /nfs/dbraw/zinc/70/87/80/743708780.db2.gz KMRZKKFJCDOIRN-UWVGGRQHSA-N -1 1 332.368 -0.530 20 0 EBADMM O=C(N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2)c1cn[nH]c(=O)c1 ZINC001078653146 743716158 /nfs/dbraw/zinc/71/61/58/743716158.db2.gz ZROUBAJXVMCHDV-VHSXEESVSA-N -1 1 345.363 -0.163 20 0 EBADMM Cn1nnc(C(=O)NC[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC000980202159 805794343 /nfs/dbraw/zinc/79/43/43/805794343.db2.gz XJVLFBYMDMYMNT-KYZUINATSA-N -1 1 331.336 -0.751 20 0 EBADMM C[C@@H]1C[C@H](CNCc2cnon2)CN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000980640888 805971856 /nfs/dbraw/zinc/97/18/56/805971856.db2.gz AKNHCCXZHJSSSI-HTQZYQBOSA-N -1 1 335.324 -0.694 20 0 EBADMM Cc1nonc1CNC[C@@H]1C[C@@H](C)N(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000980641426 805972113 /nfs/dbraw/zinc/97/21/13/805972113.db2.gz FDDMUMCRYFAHHK-APPZFPTMSA-N -1 1 349.351 -0.385 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)CC3OCCCO3)CC2)nc1=O ZINC000981031937 806063821 /nfs/dbraw/zinc/06/38/21/806063821.db2.gz VGSKLKJDPZACNV-UHFFFAOYSA-N -1 1 339.396 -0.704 20 0 EBADMM O=C(N[C@H]1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C1CS(=O)(=O)C1 ZINC000981110963 806087373 /nfs/dbraw/zinc/08/73/73/806087373.db2.gz SRAIBAGXFKWZKB-UWVGGRQHSA-N -1 1 343.409 -0.928 20 0 EBADMM O=C(N[C@@H]1CCC[C@H](NCc2cnon2)C1)c1n[nH]c(=O)[n-]c1=O ZINC000981201833 806108429 /nfs/dbraw/zinc/10/84/29/806108429.db2.gz PWTXZKOUWWXWTR-JGVFFNPUSA-N -1 1 335.324 -0.503 20 0 EBADMM Cn1nccc1CCC(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000981263173 806123109 /nfs/dbraw/zinc/12/31/09/806123109.db2.gz NPVJIAAFOZTCIC-UHFFFAOYSA-N -1 1 347.423 -0.491 20 0 EBADMM CCc1nn(C)cc1C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000981402062 806164524 /nfs/dbraw/zinc/16/45/24/806164524.db2.gz ASAMIKHFDPMGSA-UHFFFAOYSA-N -1 1 347.423 -0.248 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)[C@@H]4CCCOC4)C3)C2)nc1=O ZINC000981658504 806226753 /nfs/dbraw/zinc/22/67/53/806226753.db2.gz LJGPGGXXYLYUQR-CYBMUJFWSA-N -1 1 349.435 -0.041 20 0 EBADMM CCn1ccc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC000982084380 806395316 /nfs/dbraw/zinc/39/53/16/806395316.db2.gz PSAGXLUQWROFDG-UHFFFAOYSA-N -1 1 333.396 -0.327 20 0 EBADMM COC[C@@H](C)N1CCCN(C(=O)Cn2[n-]cc3c(=O)ncnc2-3)CC1 ZINC000982251581 806435244 /nfs/dbraw/zinc/43/52/44/806435244.db2.gz PSRHGZHXMLTTGC-GFCCVEGCSA-N -1 1 348.407 -0.360 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)C2CCC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000982329939 806461302 /nfs/dbraw/zinc/46/13/02/806461302.db2.gz XVORCLXZFWEIKN-SNVBAGLBSA-N -1 1 344.375 -0.204 20 0 EBADMM CS(=O)(=O)CC(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982519652 806539507 /nfs/dbraw/zinc/53/95/07/806539507.db2.gz QGIMDHSUJHEAFQ-SNVBAGLBSA-N -1 1 341.389 -0.590 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)[C@@H]2CCNC(=O)C2)C1)c1ncccc1[O-] ZINC000982633619 806597429 /nfs/dbraw/zinc/59/74/29/806597429.db2.gz OBCFBFUXGISZEE-NWDGAFQWSA-N -1 1 346.387 -0.108 20 0 EBADMM Cn1ncc(C(=O)N2CC[C@H](CNC(=O)c3ncccc3[O-])C2)c1N ZINC000982664226 806620573 /nfs/dbraw/zinc/62/05/73/806620573.db2.gz RAUIKULMFOCOCG-SNVBAGLBSA-N -1 1 344.375 -0.005 20 0 EBADMM Cn1oc(C(=O)N2CC[C@H](CNC(=O)c3ncccc3[O-])C2)cc1=O ZINC000982689933 806639901 /nfs/dbraw/zinc/63/99/01/806639901.db2.gz BCXYTABUQQENLA-SNVBAGLBSA-N -1 1 346.343 -0.029 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H](CNC(=O)C2CC2)C1 ZINC000982996983 806828804 /nfs/dbraw/zinc/82/88/04/806828804.db2.gz SOEMXJPNTOAXJG-NSHDSACASA-N -1 1 334.376 -0.699 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)[C@@H]2CCNC2=O)C1)c1ncccc1[O-] ZINC000983075893 806865603 /nfs/dbraw/zinc/86/56/03/806865603.db2.gz DCUMRGIJLINNRR-GHMZBOCLSA-N -1 1 332.360 -0.498 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CC[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000983121925 806893024 /nfs/dbraw/zinc/89/30/24/806893024.db2.gz VTJDAACAQUZSPI-DCAQKATOSA-N -1 1 344.375 -0.348 20 0 EBADMM COC(=O)[C@@H]([N-]S(=O)(=O)CS(C)(=O)=O)c1ccc(F)cc1 ZINC001260594416 812840867 /nfs/dbraw/zinc/84/08/67/812840867.db2.gz QYVWPDQDLVECHS-JTQLQIEISA-N -1 1 339.366 -0.039 20 0 EBADMM CON(C)S(=O)(=O)c1cccc(C(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000029019097 803742270 /nfs/dbraw/zinc/74/22/70/803742270.db2.gz LPMOFJDFKPDOHK-UHFFFAOYSA-N -1 1 342.333 -0.935 20 0 EBADMM CCCC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000976861865 803912938 /nfs/dbraw/zinc/91/29/38/803912938.db2.gz MQLZKBPYVXFIIX-MBTKJCJQSA-N -1 1 336.348 -0.117 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1[C@H]2CN(C(=O)C3CCC3)C[C@H]21 ZINC000976864812 803917621 /nfs/dbraw/zinc/91/76/21/803917621.db2.gz XYRQOMDTBBETSR-MYJAWHEDSA-N -1 1 348.359 -0.117 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H]1CN(C)C(=O)C1CC1 ZINC000977247717 804253612 /nfs/dbraw/zinc/25/36/12/804253612.db2.gz QMZVVPJYARIWNG-VIFPVBQESA-N -1 1 336.348 -0.021 20 0 EBADMM C[C@@H](O)CN1C[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C(C)(C)C1 ZINC000977364940 804297389 /nfs/dbraw/zinc/29/73/89/804297389.db2.gz QZSLUHGXLVFPFL-PWSUYJOCSA-N -1 1 348.407 -0.721 20 0 EBADMM CCn1cnc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)c1 ZINC000977482315 804348639 /nfs/dbraw/zinc/34/86/39/804348639.db2.gz FFWXTBNHKQRTQD-GFCCVEGCSA-N -1 1 347.423 -0.035 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)Cn1cncn1)C(=O)c1ncccc1[O-] ZINC000977596066 804404558 /nfs/dbraw/zinc/40/45/58/804404558.db2.gz AOIOCXDKZHRRGT-NSHDSACASA-N -1 1 330.348 -0.248 20 0 EBADMM CN(C[C@H]1CCN1C(=O)[C@H]1COCCO1)C(=O)c1ncccc1[O-] ZINC000977600074 804407992 /nfs/dbraw/zinc/40/79/92/804407992.db2.gz AMEUKMMNOGSEMY-DGCLKSJQSA-N -1 1 335.360 -0.125 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)[C@H]1CCC(=O)NC1)C(=O)c1ncccc1[O-] ZINC000977623765 804420309 /nfs/dbraw/zinc/42/03/09/804420309.db2.gz ZQMOWALHFDAPMT-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1CCNC1=O ZINC000978132784 804678399 /nfs/dbraw/zinc/67/83/99/804678399.db2.gz BOAJMVFCRLVKRW-MNOVXSKESA-N -1 1 332.360 -0.404 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N(C)C[C@@H]1CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000978188994 804712358 /nfs/dbraw/zinc/71/23/58/804712358.db2.gz YYGLXEPLBMJWMN-AVGNSLFASA-N -1 1 348.403 -0.358 20 0 EBADMM Cc1ncoc1CN1C[C@@H]2[C@@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)[C@@H]2C1 ZINC000978411418 804826665 /nfs/dbraw/zinc/82/66/65/804826665.db2.gz KPGJDRPTSZYXDZ-OWUUHHOZSA-N -1 1 346.347 -0.313 20 0 EBADMM CN1CCOC[C@@H]1C(=O)NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000978730288 804946542 /nfs/dbraw/zinc/94/65/42/804946542.db2.gz BSIHRGSLVMLBHO-FRRDWIJNSA-N -1 1 348.403 -0.258 20 0 EBADMM O=C(Cn1cnnn1)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000978745078 804955927 /nfs/dbraw/zinc/95/59/27/804955927.db2.gz UDZGILLMQSFMKE-AOOOYVTPSA-N -1 1 331.336 -0.902 20 0 EBADMM CN1C[C@H](C(=O)N[C@H]2C[C@@H](CNC(=O)c3ncccc3[O-])C2)CC1=O ZINC000978752593 804959406 /nfs/dbraw/zinc/95/94/06/804959406.db2.gz JTMQZPNWQOHACW-UTUOFQBUSA-N -1 1 346.387 -0.110 20 0 EBADMM CN1C[C@H](C(=O)NC[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000978908063 805033792 /nfs/dbraw/zinc/03/37/92/805033792.db2.gz JXSFOGJSJNTSSA-UTUOFQBUSA-N -1 1 346.387 -0.110 20 0 EBADMM O=C(N[C@H]1C[C@@H](CNC(=O)[C@@H]2CCCNC2=O)C1)c1ncccc1[O-] ZINC000978920400 805042702 /nfs/dbraw/zinc/04/27/02/805042702.db2.gz PZTFKXUEDWXERI-GRYCIOLGSA-N -1 1 346.387 -0.062 20 0 EBADMM O=C(N[C@H]1C[C@@H](CNC(=O)[C@H]2CCNC2=O)C1)c1ncccc1[O-] ZINC000979099815 805136469 /nfs/dbraw/zinc/13/64/69/805136469.db2.gz AGHUZJRKANXWKH-AXFHLTTASA-N -1 1 332.360 -0.452 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)C2CCOCC2)CCO1 ZINC000979175952 805189999 /nfs/dbraw/zinc/18/99/99/805189999.db2.gz KSDBRTVHIDVIJS-ZYHUDNBSSA-N -1 1 339.396 -0.358 20 0 EBADMM Cc1c(C(=O)N2CCO[C@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)cnn1C ZINC000979195475 805202213 /nfs/dbraw/zinc/20/22/13/805202213.db2.gz PEMUYFZELCAPPF-CABZTGNLSA-N -1 1 349.395 -0.428 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)no1 ZINC000979201582 805206571 /nfs/dbraw/zinc/20/65/71/805206571.db2.gz OJJGFBGVGWWOEF-ONGXEEELSA-N -1 1 336.352 -0.174 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)C2=COCCC2)CCO1 ZINC000979211597 805211139 /nfs/dbraw/zinc/21/11/39/805211139.db2.gz FJFLZLJUYUPGAH-ZYHUDNBSSA-N -1 1 337.380 -0.090 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC000979210442 805211881 /nfs/dbraw/zinc/21/18/81/805211881.db2.gz DBGRFPFYWUXANF-GXSJLCMTSA-N -1 1 335.368 -0.439 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)Cc2ccon2)CCO1 ZINC000979232089 805224102 /nfs/dbraw/zinc/22/41/02/805224102.db2.gz SOFKISBYKYYAIQ-GXSJLCMTSA-N -1 1 336.352 -0.554 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)Cc2cc[nH]n2)CCO1 ZINC000979237068 805228812 /nfs/dbraw/zinc/22/88/12/805228812.db2.gz MVFAJTGOUSLODE-MWLCHTKSSA-N -1 1 335.368 -0.818 20 0 EBADMM Cc1nn(C)cc1C(=O)N1CCO[C@@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979240592 805231211 /nfs/dbraw/zinc/23/12/11/805231211.db2.gz LBJNRAGXIHJWTO-ZYHUDNBSSA-N -1 1 349.395 -0.428 20 0 EBADMM CCn1cc(C(=O)N2CCO[C@@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC000979241671 805233210 /nfs/dbraw/zinc/23/32/10/805233210.db2.gz MBJPWIZNKQYMJF-ZYHUDNBSSA-N -1 1 349.395 -0.254 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2cnsn2)CCO1 ZINC000979259123 805244768 /nfs/dbraw/zinc/24/47/68/805244768.db2.gz RXAWWRHBQNJLEI-VXNVDRBHSA-N -1 1 339.381 -0.619 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2cnsn2)CCO1 ZINC000979259113 805244969 /nfs/dbraw/zinc/24/49/69/805244969.db2.gz RXAWWRHBQNJLEI-IONNQARKSA-N -1 1 339.381 -0.619 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)CC2(O)CCC2)CCO1 ZINC000979338101 805305555 /nfs/dbraw/zinc/30/55/55/805305555.db2.gz TYBGAMVHYBEBEG-MNOVXSKESA-N -1 1 339.396 -0.479 20 0 EBADMM Cc1nocc1C(=O)N1CCO[C@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979354962 805318508 /nfs/dbraw/zinc/31/85/08/805318508.db2.gz FEYFHNQEZWIREZ-KOLCDFICSA-N -1 1 336.352 -0.174 20 0 EBADMM Cc1n[nH]cc1C(=O)N1CCO[C@@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979362120 805325682 /nfs/dbraw/zinc/32/56/82/805325682.db2.gz NBGFGCWMRXHSOE-GXSJLCMTSA-N -1 1 335.368 -0.439 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)Cn2ccnc2)CCO1 ZINC000979439245 805380726 /nfs/dbraw/zinc/38/07/26/805380726.db2.gz BYNYIRLYSRFZIY-GHMZBOCLSA-N -1 1 335.368 -0.888 20 0 EBADMM Cc1nc[nH]c1CC(=O)N1CCO[C@@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979448550 805385220 /nfs/dbraw/zinc/38/52/20/805385220.db2.gz QMHDNDQXMPIIIL-ZYHUDNBSSA-N -1 1 349.395 -0.510 20 0 EBADMM CN1C[C@@H](C(=O)N[C@H]2C[C@H](CNC(=O)c3ncccc3[O-])C2)CC1=O ZINC000979672391 805481204 /nfs/dbraw/zinc/48/12/04/805481204.db2.gz JTMQZPNWQOHACW-SRVKXCTJSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@]1(C(=O)N[C@H]2C[C@H](CNC(=O)c3ncccc3[O-])C2)CNC(=O)C1 ZINC000979676340 805483282 /nfs/dbraw/zinc/48/32/82/805483282.db2.gz WYCMKVXBPGBRDA-CZIZLABSSA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C(=O)[C@@H]1CNC(=O)N1)[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984113595 807167350 /nfs/dbraw/zinc/16/73/50/807167350.db2.gz LDFOMEWEJGHLCU-MNOVXSKESA-N -1 1 347.375 -0.468 20 0 EBADMM CN(C(=O)C(N)=O)[C@@H]1CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000984204079 807215395 /nfs/dbraw/zinc/21/53/95/807215395.db2.gz GFMDQOTYWJNBSS-SNVBAGLBSA-N -1 1 347.375 -0.392 20 0 EBADMM Cn1ccc(=O)c(C(=O)N[C@H]2C[C@H](CNCc3n[nH]c(=O)[n-]3)C2)c1 ZINC000984296134 807249541 /nfs/dbraw/zinc/24/95/41/807249541.db2.gz ICOAEKDOVNWTPD-MGCOHNPYSA-N -1 1 332.364 -0.493 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N[C@H]1C[C@H](CNCc2ncccn2)C1 ZINC000985077437 807436701 /nfs/dbraw/zinc/43/67/01/807436701.db2.gz YPPJGFXYNQPUBN-MGCOHNPYSA-N -1 1 333.352 -0.836 20 0 EBADMM COC(=O)[C@@H]1C[C@H]1C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC000985327988 807486217 /nfs/dbraw/zinc/48/62/17/807486217.db2.gz PIVKSLUCHBGTGY-GHMZBOCLSA-N -1 1 337.380 -0.258 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)[C@@H]2COCCN2C)CC1 ZINC000985392228 807503525 /nfs/dbraw/zinc/50/35/25/807503525.db2.gz RWZGFLBKSSUUPO-LBPRGKRZSA-N -1 1 338.412 -0.736 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)[C@]2(C)CCNC2=O)CC1 ZINC000985645951 807555946 /nfs/dbraw/zinc/55/59/46/807555946.db2.gz MQHAYLZABNALEO-OAHLLOKOSA-N -1 1 336.396 -0.541 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnc(N(C)C)cn1 ZINC000986270475 807661634 /nfs/dbraw/zinc/66/16/34/807661634.db2.gz LOQKSVBTGLSWBR-ZJUUUORDSA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnn2ncccc12 ZINC000986367478 807687307 /nfs/dbraw/zinc/68/73/07/807687307.db2.gz YTZXHFQFAHDHBK-KOLCDFICSA-N -1 1 342.363 -0.054 20 0 EBADMM Cc1cc(OCC(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)no1 ZINC000986389543 807693695 /nfs/dbraw/zinc/69/36/95/807693695.db2.gz VRLYXQRLLBBRNN-ZJUUUORDSA-N -1 1 336.352 -0.035 20 0 EBADMM Cc1nc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)c(=O)[nH]c1C ZINC000986415910 807698311 /nfs/dbraw/zinc/69/83/11/807698311.db2.gz ZVMSEGKKUXPKGN-ZJUUUORDSA-N -1 1 347.379 -0.397 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CN1CCCNC1=O ZINC000986482917 807715336 /nfs/dbraw/zinc/71/53/36/807715336.db2.gz PBBIMAGZUGEVFK-UWVGGRQHSA-N -1 1 337.384 -0.995 20 0 EBADMM Cc1nocc1CN[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)[C@H]1C ZINC000986487869 807717269 /nfs/dbraw/zinc/71/72/69/807717269.db2.gz MCSPZIDIIHGASM-WPRPVWTQSA-N -1 1 334.336 -0.028 20 0 EBADMM Cc1cnc(CN[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)[C@@H]2C)cn1 ZINC000986490808 807719626 /nfs/dbraw/zinc/71/96/26/807719626.db2.gz YCMODTWRBVMPSJ-MWLCHTKSSA-N -1 1 345.363 -0.226 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cc2n(n1)CCCO2 ZINC000986551258 807732457 /nfs/dbraw/zinc/73/24/57/807732457.db2.gz VPVKEOXAJGMHBZ-NXEZZACHSA-N -1 1 347.379 -0.118 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnc2nccn2c1 ZINC000986556340 807735206 /nfs/dbraw/zinc/73/52/06/807735206.db2.gz VLMHQYVICYHHHA-MWLCHTKSSA-N -1 1 342.363 -0.054 20 0 EBADMM COc1c[nH]c(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)cc1=O ZINC000986559999 807736060 /nfs/dbraw/zinc/73/60/60/807736060.db2.gz JFIZLUSVZDCPLS-BDAKNGLRSA-N -1 1 348.363 -0.400 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)Cc1ccc(=O)[nH]c1 ZINC000986580144 807740466 /nfs/dbraw/zinc/74/04/66/807740466.db2.gz IJMJUPZWQLKSOW-MWLCHTKSSA-N -1 1 332.364 -0.067 20 0 EBADMM COc1nn(C)cc1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000986662113 807758868 /nfs/dbraw/zinc/75/88/68/807758868.db2.gz HIPZHFIROJXWLD-PSASIEDQSA-N -1 1 335.368 -0.355 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccn2nnnc2c1 ZINC000986663949 807759932 /nfs/dbraw/zinc/75/99/32/807759932.db2.gz NGXJUUPGAHJYCI-PSASIEDQSA-N -1 1 343.351 -0.659 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cc2ncccn2n1 ZINC000987218192 807871795 /nfs/dbraw/zinc/87/17/95/807871795.db2.gz PKZSSZYQACDZHL-VHSXEESVSA-N -1 1 342.363 -0.054 20 0 EBADMM CC(C)C(=O)N[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)[C@@H]1C ZINC000987362732 807899463 /nfs/dbraw/zinc/89/94/63/807899463.db2.gz BBVZYAMOWBINSN-NEPJUHHUSA-N -1 1 336.392 -0.312 20 0 EBADMM COc1c(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)cnn1C ZINC000987420759 807913806 /nfs/dbraw/zinc/91/38/06/807913806.db2.gz JECMYOLBXNCNLV-SCZZXKLOSA-N -1 1 335.368 -0.355 20 0 EBADMM C[C@H]1[C@H](NCc2nccs2)CCN1C(=O)Cn1c(=O)[n-][nH]c1=O ZINC000987423851 807915860 /nfs/dbraw/zinc/91/58/60/807915860.db2.gz XZLGPYHMOANHHD-DTWKUNHWSA-N -1 1 338.393 -0.075 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCc1cncn1C ZINC000987433698 807918641 /nfs/dbraw/zinc/91/86/41/807918641.db2.gz NOUNOSAYWDOYOS-PWSUYJOCSA-N -1 1 333.396 -0.044 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1noc2c1COCC2 ZINC000987549676 807954605 /nfs/dbraw/zinc/95/46/05/807954605.db2.gz FDUYWHYXHTZTBY-WCBMZHEXSA-N -1 1 348.363 -0.036 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc(C(N)=O)cn1 ZINC000987606577 807970442 /nfs/dbraw/zinc/97/04/42/807970442.db2.gz VOQKEUXSOWTXBL-SCZZXKLOSA-N -1 1 345.363 -0.603 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCc1cn(C)nn1 ZINC000987610660 807971622 /nfs/dbraw/zinc/97/16/22/807971622.db2.gz AIFDFLIOESBAAA-MWLCHTKSSA-N -1 1 334.384 -0.649 20 0 EBADMM CCn1nc(C)c(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)n1 ZINC000987612816 807972127 /nfs/dbraw/zinc/97/21/27/807972127.db2.gz ITXRLAGNHGFKLV-ZJUUUORDSA-N -1 1 334.384 -0.177 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)[C@@H]2COC(=O)N2)C1)C(=O)c1ncccc1[O-] ZINC000987959581 808069591 /nfs/dbraw/zinc/06/95/91/808069591.db2.gz HPYNSPRXONRSFS-QWRGUYRKSA-N -1 1 348.359 -0.184 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cn2ncnn2)CCN1C(=O)c1ncccc1[O-] ZINC000988176306 808137296 /nfs/dbraw/zinc/13/72/96/808137296.db2.gz ZHHGLGHLYCHOEW-ZJUUUORDSA-N -1 1 331.336 -0.807 20 0 EBADMM CC(=O)N1CCC(CN(C)C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000988399977 808214233 /nfs/dbraw/zinc/21/42/33/808214233.db2.gz JGMXXDUNUJKVKJ-UHFFFAOYSA-N -1 1 332.364 -0.252 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CC1OCCCO1 ZINC000988710020 808269854 /nfs/dbraw/zinc/26/98/54/808269854.db2.gz ZBCVAGBJZQNZFD-UWVGGRQHSA-N -1 1 325.369 -0.258 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnn2ccncc12 ZINC000988957291 808335766 /nfs/dbraw/zinc/33/57/66/808335766.db2.gz XUUABIHDPKGONW-VHSXEESVSA-N -1 1 342.363 -0.054 20 0 EBADMM Cc1nn(C)cc1CC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC000988975414 808340517 /nfs/dbraw/zinc/34/05/17/808340517.db2.gz VGZOWVIDZCRQLP-SKDRFNHKSA-N -1 1 333.396 -0.126 20 0 EBADMM Cc1nc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)c(=O)[nH]c1C ZINC000989031784 808352235 /nfs/dbraw/zinc/35/22/35/808352235.db2.gz AUWRODZIWNKDJL-GMSGAONNSA-N -1 1 347.379 -0.397 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN1C(=O)C(F)F ZINC000989041181 808354773 /nfs/dbraw/zinc/35/47/73/808354773.db2.gz VBLMZMDRJJAJDU-RQJHMYQMSA-N -1 1 340.290 -0.598 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CC1CS(=O)(=O)C1 ZINC000989058336 808361040 /nfs/dbraw/zinc/36/10/40/808361040.db2.gz UQEDCLFFSOGNHF-WPRPVWTQSA-N -1 1 343.409 -0.976 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc(=O)n(C)c1 ZINC000989100486 808374700 /nfs/dbraw/zinc/37/47/00/808374700.db2.gz RBQDPCUBHGNAJY-GXSJLCMTSA-N -1 1 332.364 -0.398 20 0 EBADMM Cc1nocc1CN[C@@H]1C[C@H](C)N(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000989107851 808376357 /nfs/dbraw/zinc/37/63/57/808376357.db2.gz BECGVSBMPQHRIH-OIBJUYFYSA-N -1 1 334.336 -0.028 20 0 EBADMM Cc1oncc1CN[C@@H]1C[C@H](C)N(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000989117462 808380911 /nfs/dbraw/zinc/38/09/11/808380911.db2.gz CDNPNXUMNKOTEO-OIBJUYFYSA-N -1 1 334.336 -0.028 20 0 EBADMM Cc1cc(CN[C@H]2C[C@@H](C)N(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)nn1C ZINC000989119762 808381768 /nfs/dbraw/zinc/38/17/68/808381768.db2.gz MDSGXTVEMMARCE-KOLCDFICSA-N -1 1 347.379 -0.282 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc(C(N)=O)o1 ZINC000989156325 808388379 /nfs/dbraw/zinc/38/83/79/808388379.db2.gz SLUUSTYDUGDYGI-HTQZYQBOSA-N -1 1 334.336 -0.405 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cc2n(n1)CCCO2 ZINC000989160504 808390653 /nfs/dbraw/zinc/39/06/53/808390653.db2.gz PMPNHFYSASZUEV-VHSXEESVSA-N -1 1 347.379 -0.118 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnc2nccn2c1 ZINC000989180098 808395048 /nfs/dbraw/zinc/39/50/48/808395048.db2.gz MXOFYUGLPQSBEW-ONGXEEELSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)[C@@H]2CCN(C)C2=O)CCN1C(=O)c1ncccc1[O-] ZINC000989344399 808450642 /nfs/dbraw/zinc/45/06/42/808450642.db2.gz MXKJMDOFGJEFMF-WOPDTQHZSA-N -1 1 346.387 -0.015 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1nc2ncccn2n1 ZINC000989580221 808520549 /nfs/dbraw/zinc/52/05/49/808520549.db2.gz LJVAPWDAXWDETL-BDAKNGLRSA-N -1 1 343.351 -0.659 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cc(C(N)=O)c[nH]1 ZINC000989614307 808532568 /nfs/dbraw/zinc/53/25/68/808532568.db2.gz GAVVKGINQMBDSU-CBAPKCEASA-N -1 1 333.352 -0.670 20 0 EBADMM CCn1ccc(CN[C@H]2C[C@H](C)N(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)n1 ZINC000989723146 808568753 /nfs/dbraw/zinc/56/87/53/808568753.db2.gz NVGXLRTXCXZFQQ-JQWIXIFHSA-N -1 1 349.395 -0.315 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc(C(N)=O)nc1 ZINC000989857910 808613033 /nfs/dbraw/zinc/61/30/33/808613033.db2.gz USTKGCGRVDQBOC-PSASIEDQSA-N -1 1 345.363 -0.603 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)c2ccc[nH]2)C1 ZINC000990225044 808705851 /nfs/dbraw/zinc/70/58/51/808705851.db2.gz BBOJDLDBIZPCJP-UHFFFAOYSA-N -1 1 333.304 -0.521 20 0 EBADMM Cn1cnc(C(=O)N[C@@]23CCC[C@@H]2N(Cc2nc(=O)n(C)[n-]2)CC3)n1 ZINC000990543841 808834030 /nfs/dbraw/zinc/83/40/30/808834030.db2.gz LQTUZQITLFBPSQ-ZUZCIYMTSA-N -1 1 346.395 -0.836 20 0 EBADMM COCC(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](n2ccnn2)C1 ZINC000990856775 808953168 /nfs/dbraw/zinc/95/31/68/808953168.db2.gz OHWGSUZUVCAGJN-MNOVXSKESA-N -1 1 346.347 -0.793 20 0 EBADMM CC(C)(C(=O)N1CC(NC(=O)c2ncccc2[O-])C1)S(C)(=O)=O ZINC000990972484 809019242 /nfs/dbraw/zinc/01/92/42/809019242.db2.gz PVLJAZKUBNQOFR-UHFFFAOYSA-N -1 1 341.389 -0.449 20 0 EBADMM Cn1nc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)ccc1=O ZINC000990972490 809019779 /nfs/dbraw/zinc/01/97/79/809019779.db2.gz QFQVSRMVLAVOBW-UHFFFAOYSA-N -1 1 329.316 -0.865 20 0 EBADMM Cc1cccn(CC(=O)N2CC(NC(=O)c3ncccc3[O-])C2)c1=O ZINC000990972907 809020395 /nfs/dbraw/zinc/02/03/95/809020395.db2.gz VNZDKNLVMONQKY-UHFFFAOYSA-N -1 1 342.355 -0.102 20 0 EBADMM COc1cncc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)n1 ZINC000990977638 809024840 /nfs/dbraw/zinc/02/48/40/809024840.db2.gz JGHZRBZOLMUKDE-UHFFFAOYSA-N -1 1 329.316 -0.160 20 0 EBADMM CCN1C[C@H](C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000990977647 809025067 /nfs/dbraw/zinc/02/50/67/809025067.db2.gz JPDZXUCDLYZFCJ-SNVBAGLBSA-N -1 1 332.360 -0.404 20 0 EBADMM CN(C)C(=O)[C@@H]1C[C@@H]1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990978409 809025824 /nfs/dbraw/zinc/02/58/24/809025824.db2.gz OJXQEZAYWFKIHD-MNOVXSKESA-N -1 1 332.360 -0.548 20 0 EBADMM NC(=O)c1cc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)co1 ZINC000990979973 809027549 /nfs/dbraw/zinc/02/75/49/809027549.db2.gz XCLOSIGKQAJNET-UHFFFAOYSA-N -1 1 330.300 -0.267 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)c2coc(C)n2)C1 ZINC000990990970 809033335 /nfs/dbraw/zinc/03/33/35/809033335.db2.gz UZTOHZOVTSYQAU-UHFFFAOYSA-N -1 1 349.303 -0.553 20 0 EBADMM Cn1nccc1C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC000991333990 809314433 /nfs/dbraw/zinc/31/44/33/809314433.db2.gz OOWULQBORIHZOB-ZWNOBZJWSA-N -1 1 345.359 -0.474 20 0 EBADMM Cn1nccc1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000991333984 809314831 /nfs/dbraw/zinc/31/48/31/809314831.db2.gz OOWULQBORIHZOB-GWCFXTLKSA-N -1 1 345.359 -0.474 20 0 EBADMM O=C(Cc1ccon1)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000991343966 809332116 /nfs/dbraw/zinc/33/21/16/809332116.db2.gz PHMNHUWTFKIWNN-YPMHNXCESA-N -1 1 346.343 -0.291 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CCN(C(=O)c3ccn[nH]3)C[C@H]2O)c1[O-] ZINC000991391652 809399382 /nfs/dbraw/zinc/39/93/82/809399382.db2.gz QABOZTNRPVUPTI-PSASIEDQSA-N -1 1 334.336 -0.848 20 0 EBADMM Cn1cncc1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991406172 809423453 /nfs/dbraw/zinc/42/34/53/809423453.db2.gz BWOAJKIPHZFVPC-MFKMUULPSA-N -1 1 345.359 -0.474 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ccncn2)C[C@@H]1O)c1ncccc1[O-] ZINC000991406669 809424153 /nfs/dbraw/zinc/42/41/53/809424153.db2.gz CXWQLYJGQJHVKZ-GWCFXTLKSA-N -1 1 343.343 -0.417 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)C2CCOCC2)C[C@@H]1O)c1ncccc1[O-] ZINC000991406685 809424318 /nfs/dbraw/zinc/42/43/18/809424318.db2.gz DANYJXGHMODJRY-OCCSQVGLSA-N -1 1 349.387 -0.095 20 0 EBADMM O=C(Cc1cnoc1)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991428254 809456249 /nfs/dbraw/zinc/45/62/49/809456249.db2.gz PZCMRZXDOQHBGX-DGCLKSJQSA-N -1 1 346.343 -0.291 20 0 EBADMM O=C(Cc1cc[nH]n1)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991430653 809460328 /nfs/dbraw/zinc/46/03/28/809460328.db2.gz TVFDTRGMGWFEHA-DGCLKSJQSA-N -1 1 345.359 -0.555 20 0 EBADMM O=C(Cc1ccn[nH]1)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991430653 809460339 /nfs/dbraw/zinc/46/03/39/809460339.db2.gz TVFDTRGMGWFEHA-DGCLKSJQSA-N -1 1 345.359 -0.555 20 0 EBADMM CN1CCO[C@H](C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001001295070 809676975 /nfs/dbraw/zinc/67/69/75/809676975.db2.gz DYJJMMFSGONDSX-ZDUSSCGKSA-N -1 1 334.376 -0.694 20 0 EBADMM CN(C[C@H]1CCCN1C(=O)c1ncccc1[O-])C(=O)c1nnn(C)n1 ZINC001002410282 809708253 /nfs/dbraw/zinc/70/82/53/809708253.db2.gz FWYKZLHMCQGKBK-SNVBAGLBSA-N -1 1 345.363 -0.313 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)Cc1nnc[nH]1 ZINC001004977774 809835026 /nfs/dbraw/zinc/83/50/26/809835026.db2.gz RCATZHDUBWBLGH-NXEZZACHSA-N -1 1 334.384 -0.270 20 0 EBADMM CCC(=O)N1CC[C@@H](C)[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001005059128 809837431 /nfs/dbraw/zinc/83/74/31/809837431.db2.gz XDIBVEVIGFBKRO-KOLCDFICSA-N -1 1 332.364 -0.206 20 0 EBADMM C[C@@H]1OCC[C@@H]1C(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001006209008 809885381 /nfs/dbraw/zinc/88/53/81/809885381.db2.gz YTCJURRVSTWQQZ-AAEUAGOBSA-N -1 1 337.424 -0.044 20 0 EBADMM COc1cc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)ccn1 ZINC001007742265 810011595 /nfs/dbraw/zinc/01/15/95/810011595.db2.gz DLXDMZPHHFPSLM-GFCCVEGCSA-N -1 1 346.391 -0.094 20 0 EBADMM CCc1c(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001007764806 810015845 /nfs/dbraw/zinc/01/58/45/810015845.db2.gz CQGQSZNRDIBYHD-LLVKDONJSA-N -1 1 347.423 -0.201 20 0 EBADMM CC1(C)CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H]1NC(=O)C1CC1 ZINC001007769402 810017030 /nfs/dbraw/zinc/01/70/30/810017030.db2.gz HRHTYZFIHQWAQY-LBPRGKRZSA-N -1 1 348.403 -0.310 20 0 EBADMM COc1ccc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001007846356 810028641 /nfs/dbraw/zinc/02/86/41/810028641.db2.gz RALYHTPHTGLUPB-LBPRGKRZSA-N -1 1 346.391 -0.094 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)c3cn(C)ccc3=O)C2)nc1=O ZINC001007893094 810039254 /nfs/dbraw/zinc/03/92/54/810039254.db2.gz ZXAUIGZVKJGXBE-NSHDSACASA-N -1 1 346.391 -0.799 20 0 EBADMM CC1(C)CN(C(=O)[C@@H]2COC(=O)N2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001008086708 810074610 /nfs/dbraw/zinc/07/46/10/810074610.db2.gz YBBHJVKGHLXXGC-GXSJLCMTSA-N -1 1 348.359 -0.138 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N1C[C@@H]2[C@@H](CNC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001008993009 810227001 /nfs/dbraw/zinc/22/70/01/810227001.db2.gz PYPCVOVSPAASRX-ROHXPCBUSA-N -1 1 344.371 -0.657 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CN1CN=NC1=O ZINC001011668931 810343743 /nfs/dbraw/zinc/34/37/43/810343743.db2.gz KQYFTYNUMPNULX-UWVGGRQHSA-N -1 1 346.347 -0.496 20 0 EBADMM C[C@@H]1CN(C(=O)CNC(=O)c2ccco2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001216671905 810379851 /nfs/dbraw/zinc/37/98/51/810379851.db2.gz ZPJSKCVGWVLXTP-NXEZZACHSA-N -1 1 348.363 -0.530 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cnc(C4CC4)o3)C2)nc1=O ZINC001014760867 810447190 /nfs/dbraw/zinc/44/71/90/810447190.db2.gz NYLCRLWQMAQVQQ-SNVBAGLBSA-N -1 1 332.364 -0.022 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cnc4cc[nH]c4c3)C2)nc1=O ZINC001014880039 810452059 /nfs/dbraw/zinc/45/20/59/810452059.db2.gz YOZKCYNXELGQCE-LLVKDONJSA-N -1 1 341.375 -0.011 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@@H]3CCn4cncc4C3)C2)nc1=O ZINC001014985125 810459046 /nfs/dbraw/zinc/45/90/46/810459046.db2.gz WFZTYQGRDYFENT-NEPJUHHUSA-N -1 1 345.407 -0.742 20 0 EBADMM CCc1nnc(C)cc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001014991850 810461377 /nfs/dbraw/zinc/46/13/77/810461377.db2.gz ROVWOTXQKVFMKE-LLVKDONJSA-N -1 1 345.407 -0.226 20 0 EBADMM O=C(N[C@H]1CC[C@@H](CNC(=O)C2CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001015495585 810497832 /nfs/dbraw/zinc/49/78/32/810497832.db2.gz DTQYISBLZZXUJL-KOLCDFICSA-N -1 1 344.375 -0.158 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cc(C4CC4)no3)C2)nc1=O ZINC001015774806 810578077 /nfs/dbraw/zinc/57/80/77/810578077.db2.gz DBVMVPWTTSVPAK-JTQLQIEISA-N -1 1 332.364 -0.022 20 0 EBADMM COCc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)no1 ZINC001015804163 810586127 /nfs/dbraw/zinc/58/61/27/810586127.db2.gz SGKSBXVGJWKWHT-SECBINFHSA-N -1 1 336.352 -0.753 20 0 EBADMM Cc1nc(CN2CC[C@H](NC(=O)c3c[n-]n4c3nccc4=O)C2)n[nH]1 ZINC001015808452 810588969 /nfs/dbraw/zinc/58/89/69/810588969.db2.gz XOCPANMAQHBCDF-JTQLQIEISA-N -1 1 342.363 -0.547 20 0 EBADMM CO[C@@H](C)CN1CC[C@@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001015865337 810605778 /nfs/dbraw/zinc/60/57/78/810605778.db2.gz WMMNLVNUQGMPAF-WDEREUQCSA-N -1 1 334.380 -0.703 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCN(Cc2ccon2)C1 ZINC001015986181 810640247 /nfs/dbraw/zinc/64/02/47/810640247.db2.gz BSIDPSBHNMYYOS-MRVPVSSYSA-N -1 1 335.320 -0.111 20 0 EBADMM O=C(N[C@@H]1CCN(Cc2nccs2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001015992032 810646204 /nfs/dbraw/zinc/64/62/04/810646204.db2.gz KGGOHKRJCSPQDL-SECBINFHSA-N -1 1 345.388 -0.122 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)c1cccnc1 ZINC001016015787 810654365 /nfs/dbraw/zinc/65/43/65/810654365.db2.gz HBTQRAURKCUKQG-WCQYABFASA-N -1 1 330.392 -0.002 20 0 EBADMM COCCOCCN1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001219497135 810721376 /nfs/dbraw/zinc/72/13/76/810721376.db2.gz DNAIADOGKCJZJH-YPMHNXCESA-N -1 1 325.365 -0.775 20 0 EBADMM C[C@@H](C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)n1cccn1 ZINC001032933512 810775791 /nfs/dbraw/zinc/77/57/91/810775791.db2.gz XOPUYVFPWPKUPG-RYUDHWBXSA-N -1 1 333.396 -0.401 20 0 EBADMM O=C(NC[C@]1(O)CC[NH2+]C[C@@H]1F)c1cc(S(=O)(=O)[O-])ccc1[O-] ZINC001219625808 810778044 /nfs/dbraw/zinc/77/80/44/810778044.db2.gz BUIWMSXMTQCCDL-WCQYABFASA-N -1 1 348.352 -0.569 20 0 EBADMM CC[C@@H](C)CN1CCO[C@@H](CNC(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001035468646 810825386 /nfs/dbraw/zinc/82/53/86/810825386.db2.gz YUZHTPNWOMOVFB-NEPJUHHUSA-N -1 1 338.408 -0.037 20 0 EBADMM CCC[C@@H]1C[C@H]1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035497299 810855426 /nfs/dbraw/zinc/85/54/26/810855426.db2.gz UKZXDUURZJNJCC-FRRDWIJNSA-N -1 1 337.424 -0.138 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)[C@H]3CC34CCC4)C2)nc1=O ZINC001035524508 810875110 /nfs/dbraw/zinc/87/51/10/810875110.db2.gz GCVMJDSRDJUEDR-VXGBXAGGSA-N -1 1 335.408 -0.384 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)C2CCOCC2)CC3)nc1=O ZINC001035634108 810978216 /nfs/dbraw/zinc/97/82/16/810978216.db2.gz NOKYBJSQPZBKOB-UHFFFAOYSA-N -1 1 349.435 -0.041 20 0 EBADMM COC1CC(C(=O)N2CCC3(CN(Cc4nc(=O)n(C)[n-]4)C3)CC2)C1 ZINC001035727631 811005227 /nfs/dbraw/zinc/00/52/27/811005227.db2.gz CXUDDGNWYLYJPK-UHFFFAOYSA-N -1 1 349.435 -0.042 20 0 EBADMM C[C@H]1CO[C@@H](C(=O)N2CCC3(CN(Cc4nc(=O)n(C)[n-]4)C3)CC2)C1 ZINC001035757097 811012122 /nfs/dbraw/zinc/01/21/22/811012122.db2.gz GAQNWSKSOZXLMX-CHWSQXEVSA-N -1 1 349.435 -0.042 20 0 EBADMM CCCC(CCC)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001220232523 811029773 /nfs/dbraw/zinc/02/97/73/811029773.db2.gz ZXFHGZPUHSOKHQ-OLZOCXBDSA-N -1 1 339.440 -0.014 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnc([O-])n(C)c2=O)CC[C@@H]1NCc1cnon1 ZINC001036141138 811106057 /nfs/dbraw/zinc/10/60/57/811106057.db2.gz NQSZHWAXUNPTTA-SKDRFNHKSA-N -1 1 348.363 -0.491 20 0 EBADMM C[C@H](Cc1ccco1)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001220532242 811143316 /nfs/dbraw/zinc/14/33/16/811143316.db2.gz LFWOIVFRJRDING-RTXFEEFZSA-N -1 1 349.391 -0.759 20 0 EBADMM C[C@@H]1CCCN(C(=O)c2c[nH]c(=O)cn2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036572161 811179162 /nfs/dbraw/zinc/17/91/62/811179162.db2.gz DINNLTZPCMKSHA-MWLCHTKSSA-N -1 1 347.379 -0.376 20 0 EBADMM O=C(c1ccc(=O)[nH]n1)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036634975 811188405 /nfs/dbraw/zinc/18/84/05/811188405.db2.gz BRDZLUGYOCKGHL-GXSJLCMTSA-N -1 1 345.363 -0.258 20 0 EBADMM Nc1nccnc1C(=O)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036670410 811202254 /nfs/dbraw/zinc/20/22/54/811202254.db2.gz SZPJNKSIABZUSX-ZJUUUORDSA-N -1 1 344.379 -0.381 20 0 EBADMM O=C(Cc1cncnc1)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036703169 811214961 /nfs/dbraw/zinc/21/49/61/811214961.db2.gz XWSDMKBMZIDOAX-QWHCGFSZSA-N -1 1 343.391 -0.034 20 0 EBADMM Cc1nnc(CC(=O)N2CC[C@@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)o1 ZINC001036706935 811219360 /nfs/dbraw/zinc/21/93/60/811219360.db2.gz GNCVZCBMNDVLQH-GHMZBOCLSA-N -1 1 347.379 -0.133 20 0 EBADMM CCn1nncc1C(=O)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036710256 811223129 /nfs/dbraw/zinc/22/31/29/811223129.db2.gz INMGYAZEDZEFSG-MNOVXSKESA-N -1 1 346.395 -0.142 20 0 EBADMM NC(=O)CN1CC[C@@H]2CN(C(=O)c3ccc4oc(=O)nc-4[n-]3)CC[C@@H]21 ZINC001036870656 811297944 /nfs/dbraw/zinc/29/79/44/811297944.db2.gz YVLYGMLUSFAEQY-KOLCDFICSA-N -1 1 345.359 -0.050 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CCC[C@@H]2C[NH2+]Cc2nc(=O)n(C)[n-]2)c1[O-] ZINC001036985823 811323495 /nfs/dbraw/zinc/32/34/95/811323495.db2.gz MVAYXNOMELNOEY-NXEZZACHSA-N -1 1 349.395 -0.466 20 0 EBADMM Cc1nnc(CNC[C@H]2CCC[C@@H]2NC(=O)c2n[nH]c(=O)[n-]c2=O)o1 ZINC001037038275 811347345 /nfs/dbraw/zinc/34/73/45/811347345.db2.gz KTWJFZOEERCOLI-BDAKNGLRSA-N -1 1 349.351 -0.337 20 0 EBADMM Cn1ncnc1CNC[C@@H]1CCC[C@@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001037038325 811348116 /nfs/dbraw/zinc/34/81/16/811348116.db2.gz LIGKAIVJBZVOJX-IUCAKERBSA-N -1 1 348.367 -0.900 20 0 EBADMM O=C(N[C@@H]1CCCC[C@@H]1CNCc1cnon1)c1n[nH]c(=O)[n-]c1=O ZINC001037197602 811384821 /nfs/dbraw/zinc/38/48/21/811384821.db2.gz FPMPGEZNQQRYHO-PSASIEDQSA-N -1 1 349.351 -0.256 20 0 EBADMM CCN(C(=O)CC1CC1)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001079193342 811443916 /nfs/dbraw/zinc/44/39/16/811443916.db2.gz YFNSWLKVBRNFQN-UHFFFAOYSA-N -1 1 348.403 -0.214 20 0 EBADMM CCN(C(=O)CNC(=O)OC)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079392211 811520237 /nfs/dbraw/zinc/52/02/37/811520237.db2.gz NIWVFVDOOVEABQ-UHFFFAOYSA-N -1 1 336.348 -0.184 20 0 EBADMM CCc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)[nH]n1 ZINC001079442059 811542399 /nfs/dbraw/zinc/54/23/99/811542399.db2.gz WWGHWVYUJHQMTJ-BXKDBHETSA-N -1 1 333.396 -0.356 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)C2(C(=O)NC)CC2)C1 ZINC001079652959 811638729 /nfs/dbraw/zinc/63/87/29/811638729.db2.gz OJEAFOLYUCBOII-UHFFFAOYSA-N -1 1 346.387 -0.014 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H](C)NC(N)=O)C1 ZINC001079652996 811638848 /nfs/dbraw/zinc/63/88/48/811638848.db2.gz PICYATWAJHHCKB-VIFPVBQESA-N -1 1 335.364 -0.483 20 0 EBADMM Cc1cn(C)nc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001079681581 811658923 /nfs/dbraw/zinc/65/89/23/811658923.db2.gz RRWJOQSTAKAEQW-MWLCHTKSSA-N -1 1 333.396 -0.599 20 0 EBADMM O=C(CCn1cncn1)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001224835615 811778215 /nfs/dbraw/zinc/77/82/15/811778215.db2.gz ZGKQFCRBXLBVOH-GHMZBOCLSA-N -1 1 334.384 -0.291 20 0 EBADMM O=[N+]([O-])O[C@H]1CO[C@@H]2[C@H](OCCCC[P@@](=O)([O-])O)CO[C@@H]21 ZINC001225018842 811787908 /nfs/dbraw/zinc/78/79/08/811787908.db2.gz IZVGPWUMBXHLTD-UTINFBMNSA-N -1 1 327.226 -0.296 20 0 EBADMM CCC(=O)N[C@H](C)C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001226410373 811864785 /nfs/dbraw/zinc/86/47/85/811864785.db2.gz GNJAUVKAENZKMU-GMTAPVOTSA-N -1 1 338.412 -0.058 20 0 EBADMM C[C@H](Cn1cnc2c1c(=O)n(C)c(=O)n2C)Oc1cnc[n-]c1=O ZINC001227048659 811900677 /nfs/dbraw/zinc/90/06/77/811900677.db2.gz IKTMAKACHNWENS-MRVPVSSYSA-N -1 1 332.320 -0.603 20 0 EBADMM COC[C@@H](OC)C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001228485404 811983598 /nfs/dbraw/zinc/98/35/98/811983598.db2.gz DMNZOSJHAKODNQ-GMTAPVOTSA-N -1 1 327.385 -0.311 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cc(C(N)=O)co1 ZINC001080424227 812022674 /nfs/dbraw/zinc/02/26/74/812022674.db2.gz HCZWBHTXMFVZFV-PSASIEDQSA-N -1 1 348.363 -0.949 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)COc3cccnc3)CC2)nc1=O ZINC001229616100 812043594 /nfs/dbraw/zinc/04/35/94/812043594.db2.gz DZAGQURLNNABKX-UHFFFAOYSA-N -1 1 346.391 -0.337 20 0 EBADMM COCC[C@@H](C)C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001230307744 812102831 /nfs/dbraw/zinc/10/28/31/812102831.db2.gz YUBVDWHKCPUWCY-LLVKDONJSA-N -1 1 325.413 -0.138 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001080740637 812182343 /nfs/dbraw/zinc/18/23/43/812182343.db2.gz SKCNQDCIGURLLZ-GIPNMCIBSA-N -1 1 345.407 -0.025 20 0 EBADMM O=C(NC1(CO)CCN(C(=O)c2ncccc2[O-])CC1)[C@@H]1CCOC1 ZINC001080761091 812205009 /nfs/dbraw/zinc/20/50/09/812205009.db2.gz XYGWQDSCNDNQNQ-GFCCVEGCSA-N -1 1 349.387 -0.093 20 0 EBADMM Cc1n[nH]c(C(=O)NC2(CO)CCN(C(=O)c3ccn[nH]3)CC2)c1[O-] ZINC001080816811 812252983 /nfs/dbraw/zinc/25/29/83/812252983.db2.gz AYTPXHXNLPDKTB-UHFFFAOYSA-N -1 1 348.363 -0.456 20 0 EBADMM O=C(NC1(CO)CCN(C(=O)c2cn[nH]c2)CC1)c1ncccc1[O-] ZINC001080826560 812261677 /nfs/dbraw/zinc/26/16/77/812261677.db2.gz PYDIXEAORZFVGI-UHFFFAOYSA-N -1 1 345.359 -0.093 20 0 EBADMM COCCCCC(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001231139718 812276300 /nfs/dbraw/zinc/27/63/00/812276300.db2.gz QZKLLOKKWFBHAJ-GFCCVEGCSA-N -1 1 325.413 -0.042 20 0 EBADMM CNC(=O)NC(=O)[C@@H](C)N1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001233836166 812352349 /nfs/dbraw/zinc/35/23/49/812352349.db2.gz AJWIDIKNCFJPEU-GHMZBOCLSA-N -1 1 349.391 -0.222 20 0 EBADMM COCC1(CC(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)CC1 ZINC001234236527 812400191 /nfs/dbraw/zinc/40/01/91/812400191.db2.gz XELNMSGCNJQSEX-GFCCVEGCSA-N -1 1 337.424 -0.042 20 0 EBADMM CCOC(=O)C[C@@H](Oc1c(C(N)=O)nc[n-]c1=O)C(=O)OCC ZINC001234467834 812429610 /nfs/dbraw/zinc/42/96/10/812429610.db2.gz OKXHAQBRELKUKQ-SSDOTTSWSA-N -1 1 327.293 -0.455 20 0 EBADMM CCOC(=O)CN1CCC(N[C@@H]2C(=O)N(S(=O)(=O)[O-])[C@H]2C)CC1 ZINC001254392564 812703516 /nfs/dbraw/zinc/70/35/16/812703516.db2.gz CMBQGDKAKMCRFT-CABZTGNLSA-N -1 1 349.409 -0.994 20 0 EBADMM CSc1nnc(CNC(=O)CCn2cc[n-]c(=O)c2=O)s1 ZINC001255482567 812715540 /nfs/dbraw/zinc/71/55/40/812715540.db2.gz PGHMWILENNERRP-UHFFFAOYSA-N -1 1 327.391 -0.184 20 0 EBADMM CSCC[C@H](NC(=O)c1ccco1)C(=O)NN1CC(=O)[N-]C1=O ZINC001255933237 812724131 /nfs/dbraw/zinc/72/41/31/812724131.db2.gz HWAKHUYZYXTTHC-QMMMGPOBSA-N -1 1 340.361 -0.286 20 0 EBADMM CCCOCC(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001081326427 812738333 /nfs/dbraw/zinc/73/83/33/812738333.db2.gz CIGJJERSTORKKD-WCQYABFASA-N -1 1 337.376 -0.237 20 0 EBADMM NC(=O)C([N-]S(=O)(=O)c1cc(Cl)c(Cl)s1)C(N)=O ZINC001257508285 812765570 /nfs/dbraw/zinc/76/55/70/812765570.db2.gz KUQYDWXIHFOCLO-UHFFFAOYSA-N -1 1 332.190 -0.328 20 0 EBADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@@]1(C)CCC(=O)NC1=O ZINC001258465972 812794856 /nfs/dbraw/zinc/79/48/56/812794856.db2.gz RZJINFAKANKGQV-NSHDSACASA-N -1 1 334.785 -0.144 20 0 EBADMM COC(=O)[C@@H](CC(N)=O)[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC001259043802 812806121 /nfs/dbraw/zinc/80/61/21/812806121.db2.gz CLFRTRFKHOCCHL-MRVPVSSYSA-N -1 1 340.279 -0.201 20 0 EBADMM COC(=O)[C@@H](Cc1cccnc1)[N-]S(=O)(=O)CS(C)(=O)=O ZINC001260598687 812843010 /nfs/dbraw/zinc/84/30/10/812843010.db2.gz FKUCOVDBKGYMEW-SNVBAGLBSA-N -1 1 336.391 -0.913 20 0 EBADMM COC(=O)c1nsc([N-]C(=O)c2cn(CC(=O)N(C)C)nn2)n1 ZINC001261216132 812882398 /nfs/dbraw/zinc/88/23/98/812882398.db2.gz FZQNZTNCYZMCDC-UHFFFAOYSA-N -1 1 339.337 -0.743 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2CC[C@H](Cc3nccs3)C2)CNCCO1 ZINC001263247892 813110479 /nfs/dbraw/zinc/11/04/79/813110479.db2.gz MIGPLGVCFVXRCD-YGRLFVJLSA-N -1 1 325.390 -0.023 20 0 EBADMM O=C(Cn1ccnc1)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001081581117 813234530 /nfs/dbraw/zinc/23/45/30/813234530.db2.gz XMKPIHIAYROOGW-AAEUAGOBSA-N -1 1 345.359 -0.767 20 0 EBADMM CCN(CCCNC(=O)[C@H]1CN(C)CCO1)Cc1n[nH]c(=O)[n-]1 ZINC001265106803 813240643 /nfs/dbraw/zinc/24/06/43/813240643.db2.gz SBSGXYHQGDHGPU-LLVKDONJSA-N -1 1 326.401 -0.831 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1[nH]ccc1C ZINC001081610893 813293461 /nfs/dbraw/zinc/29/34/61/813293461.db2.gz KAFPDKCANRAEJE-GHMZBOCLSA-N -1 1 334.380 -0.626 20 0 EBADMM O=C(C[C@@H]1CCC(=O)N1)NCCCN(Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001266164044 813470362 /nfs/dbraw/zinc/47/03/62/813470362.db2.gz HNOLIYRNJYQXQC-JTQLQIEISA-N -1 1 336.396 -0.350 20 0 EBADMM O=C(NC[C@H](NCc1n[nH]c(=O)[n-]1)C1CC1)c1cnn2cccnc12 ZINC001266425017 813566412 /nfs/dbraw/zinc/56/64/12/813566412.db2.gz ZTVBQYDTQANMIF-NSHDSACASA-N -1 1 342.363 -0.149 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@@H]1CCCCN1C(=O)C1CC1 ZINC001081819434 813625290 /nfs/dbraw/zinc/62/52/90/813625290.db2.gz SDBFMDBCLJWQAB-ZDUSSCGKSA-N -1 1 348.403 -0.166 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C1(C2CC2)CC1 ZINC001081827969 813630608 /nfs/dbraw/zinc/63/06/08/813630608.db2.gz CGVHTDHNBYQPMF-VXGBXAGGSA-N -1 1 335.408 -0.386 20 0 EBADMM CC(=O)NC1(C(=O)N(C)CCNCc2n[nH]c(=O)[n-]2)CCCCC1 ZINC001266745689 813659453 /nfs/dbraw/zinc/65/94/53/813659453.db2.gz GMWJFFSWRAPCQY-UHFFFAOYSA-N -1 1 338.412 -0.103 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1ccccc1-n1ccnn1 ZINC001267150111 813771287 /nfs/dbraw/zinc/77/12/87/813771287.db2.gz MGVOFNUBCNNOGW-UHFFFAOYSA-N -1 1 342.363 -0.047 20 0 EBADMM COC[C@@H](C)N1C[C@@H](NC(=O)c2c[n-]n3c2nccc3=O)[C@H](OC)C1 ZINC001082211747 813825009 /nfs/dbraw/zinc/82/50/09/813825009.db2.gz GBNWIZYELLBJRA-RAIGVLPGSA-N -1 1 349.391 -0.514 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2C[C@H]2c2ccnn2C)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082657803 813986821 /nfs/dbraw/zinc/98/68/21/813986821.db2.gz UZJJCIOHXZXOKO-DDHJBXDOSA-N -1 1 345.407 -0.016 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)C4=CCCC4)[C@H]3C2)nc1=O ZINC001083049040 814088698 /nfs/dbraw/zinc/08/86/98/814088698.db2.gz XSLUHENFMSBOJB-QWHCGFSZSA-N -1 1 333.392 -0.370 20 0 EBADMM O=C(CN1C[C@H]2OCCN(C(=O)c3ncccc3[O-])[C@H]2C1)NC1CC1 ZINC001083059537 814097610 /nfs/dbraw/zinc/09/76/10/814097610.db2.gz JQDGICRFSLVBJF-GXTWGEPZSA-N -1 1 346.387 -0.409 20 0 EBADMM Cn1ncnc1CN1C[C@H]2OCCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC001083059413 814098468 /nfs/dbraw/zinc/09/84/68/814098468.db2.gz CXKVUJIMKVGMIZ-WCQYABFASA-N -1 1 344.375 -0.359 20 0 EBADMM O=C(CN1C[C@H]2OCCN(C(=O)c3ncccc3[O-])[C@H]2C1)N1CCC1 ZINC001083059506 814098793 /nfs/dbraw/zinc/09/87/93/814098793.db2.gz HMKXRRRCHMNXHR-GXTWGEPZSA-N -1 1 346.387 -0.455 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)[C@@H]4C[C@H]4C4CC4)[C@H]3C2)nc1=O ZINC001083219642 814209502 /nfs/dbraw/zinc/20/95/02/814209502.db2.gz HBSNJLPOZCKWJF-RFQIPJPRSA-N -1 1 347.419 -0.434 20 0 EBADMM CC(C)=CCN1C[C@H]2OCCN(C(=O)Cn3c(=O)[n-][nH]c3=O)[C@H]2C1 ZINC001083258527 814241529 /nfs/dbraw/zinc/24/15/29/814241529.db2.gz JBMJIKKKCKIDKO-NWDGAFQWSA-N -1 1 337.380 -0.433 20 0 EBADMM O=C([N-][C@@H]1CN(Cc2nnnn2CC(F)(F)F)C[C@@H]1O)C(F)F ZINC001083299109 814269192 /nfs/dbraw/zinc/26/91/92/814269192.db2.gz IUUCOWXSUZQJBP-RITPCOANSA-N -1 1 344.244 -0.838 20 0 EBADMM CN(C)C(=O)CNCC=CCNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001268613599 814317988 /nfs/dbraw/zinc/31/79/88/814317988.db2.gz OLBVDYJTFNIPGU-ONEGZZNKSA-N -1 1 333.348 -0.108 20 0 EBADMM C[C@@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)c1cnn2cccnc12 ZINC001268739503 814371409 /nfs/dbraw/zinc/37/14/09/814371409.db2.gz AVQSWHYGWINNKP-JTQLQIEISA-N -1 1 344.379 -0.599 20 0 EBADMM C[C@H](c1nncn1C)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001083423117 814403503 /nfs/dbraw/zinc/40/35/03/814403503.db2.gz FYKOZGGGSRCWEM-FOGDFJRCSA-N -1 1 332.364 -0.548 20 0 EBADMM Cn1nnnc1NC(=O)[C@@H]1CC12CN(C(=O)c1ncccc1[O-])C2 ZINC001269345545 814649978 /nfs/dbraw/zinc/64/99/78/814649978.db2.gz AYJCSCRURUAJOA-QMMMGPOBSA-N -1 1 329.320 -0.589 20 0 EBADMM CN(C)C(=O)CNC(=O)C12CC(NC(=O)c3ncccc3[O-])(C1)C2 ZINC001269346544 814651566 /nfs/dbraw/zinc/65/15/66/814651566.db2.gz SEXDMDFCUXDQQG-UHFFFAOYSA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(c1ccc([O-])cn1)N1CC[C@@]2(C1)CN(C1COC1)C(=O)CO2 ZINC001269355116 814659900 /nfs/dbraw/zinc/65/99/00/814659900.db2.gz WEBNUPHZYNKWNB-MRXNPFEDSA-N -1 1 333.344 -0.371 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C(C)(C)C3CCC3)[C@@H](O)C2)nc1=O ZINC001083676249 814683256 /nfs/dbraw/zinc/68/32/56/814683256.db2.gz QXIKGEGNICLHPN-NEPJUHHUSA-N -1 1 337.424 -0.404 20 0 EBADMM CCCCN1C(=O)C[C@@]2(CCCN(C(=O)Cc3nn[n-]n3)C2)C1=O ZINC001269575979 814732706 /nfs/dbraw/zinc/73/27/06/814732706.db2.gz DGARPGWRLTXVQB-OAHLLOKOSA-N -1 1 334.380 -0.090 20 0 EBADMM CC(=O)[C@@H](C)N1C[C@]2(CC1=O)CCCCN2C(=O)Cc1nn[n-]n1 ZINC001269576758 814733639 /nfs/dbraw/zinc/73/36/39/814733639.db2.gz VOYIQCJDMWMXQJ-MEBBXXQBSA-N -1 1 334.380 -0.297 20 0 EBADMM CC[C@H](C)N1C[C@@]2(F)CN(C(=O)Cc3nn[n-]n3)C[C@@]2(F)C1=O ZINC001269576332 814734833 /nfs/dbraw/zinc/73/48/33/814734833.db2.gz IWCZHCSAAZWRPF-AQUOVQTQSA-N -1 1 328.323 -0.358 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC[C@@]2(CCN(C3COC3)C2=O)CC1 ZINC001269578216 814735690 /nfs/dbraw/zinc/73/56/90/814735690.db2.gz WSDCMSKJAYFIRB-HNNXBMFYSA-N -1 1 334.380 -0.628 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCCC[C@@]12CC(=O)N(Cc1cnoc1)C2 ZINC001269577879 814735809 /nfs/dbraw/zinc/73/58/09/814735809.db2.gz PJZVWDYEHCPEKK-HNNXBMFYSA-N -1 1 345.363 -0.086 20 0 EBADMM Cn1ncnc1C(=O)N1CC2(C1)CN(C(=O)c1ccc([O-])cn1)C2 ZINC001269891205 815629429 /nfs/dbraw/zinc/62/94/29/815629429.db2.gz DYOUSFFNGCGZAR-UHFFFAOYSA-N -1 1 328.332 -0.486 20 0 EBADMM CN(C)S(=O)(=O)N1CC[C@H]2[C@@H]1CCN2C(=O)c1ccc([O-])cn1 ZINC001269896088 815631033 /nfs/dbraw/zinc/63/10/33/815631033.db2.gz YPHPOLFMGCLTDW-STQMWFEESA-N -1 1 340.405 -0.118 20 0 EBADMM O=C(NCCn1ccnn1)[C@@H]1CC12CN(C(=O)c1ccc([O-])cn1)C2 ZINC001270139265 815697679 /nfs/dbraw/zinc/69/76/79/815697679.db2.gz TZWFCWBSTXAFLU-LBPRGKRZSA-N -1 1 342.359 -0.343 20 0 EBADMM O=C(NCCn1ccnn1)[C@]12C[C@H]1CCN2C(=O)c1ccc([O-])cn1 ZINC001270138678 815697809 /nfs/dbraw/zinc/69/78/09/815697809.db2.gz IDOTXTJGQGMEQE-BZNIZROVSA-N -1 1 342.359 -0.200 20 0 EBADMM O=C(NCC1COC1)[C@H]1CCC2(CN(C(=O)c3ccc([O-])cn3)C2)O1 ZINC001270138501 815697908 /nfs/dbraw/zinc/69/79/08/815697908.db2.gz FIMJBLKOQBTGJN-CQSZACIVSA-N -1 1 347.371 -0.077 20 0 EBADMM O=C(NCC(F)F)C1=NO[C@@H]2CN(C(=O)c3ccc([O-])cn3)C[C@H]12 ZINC001270138631 815698012 /nfs/dbraw/zinc/69/80/12/815698012.db2.gz GRTYIAFEQYCRON-WCBMZHEXSA-N -1 1 340.286 -0.005 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC[C@]2(CN(Cc3cn[nH]c3)CCO2)C1 ZINC001270182639 815712470 /nfs/dbraw/zinc/71/24/70/815712470.db2.gz JBJMFOQQWPLAST-HNNXBMFYSA-N -1 1 346.395 -0.641 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC2(CCN2C(=O)Nc2cccnc2)C1 ZINC001270186504 815715759 /nfs/dbraw/zinc/71/57/59/815715759.db2.gz XWJIQVGXJRSLAC-UHFFFAOYSA-N -1 1 328.336 -0.344 20 0 EBADMM COc1ccccc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001083978159 815753883 /nfs/dbraw/zinc/75/38/83/815753883.db2.gz DDOZHHYUXVGMHD-NEPJUHHUSA-N -1 1 347.375 -0.908 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)CC4CCOCC4)[C@@H]3C2)nc1=O ZINC001084242198 815871917 /nfs/dbraw/zinc/87/19/17/815871917.db2.gz PHUXMYZNRPRWEN-ZIAGYGMSSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)CC[C@@H]4CCOC4)[C@@H]3C2)nc1=O ZINC001084267908 815878607 /nfs/dbraw/zinc/87/86/07/815878607.db2.gz AOYGCSRFGZGJFX-MGPQQGTHSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1nnnc1CN1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001084299064 815885626 /nfs/dbraw/zinc/88/56/26/815885626.db2.gz BAJLVYXWSQLPIA-GHMZBOCLSA-N -1 1 329.364 -0.343 20 0 EBADMM CC(C)(C)NC(=O)[C@@H]1CCN(C(=O)Cc2nn[n-]n2)Cc2ccnn21 ZINC001270784015 815887295 /nfs/dbraw/zinc/88/72/95/815887295.db2.gz CHPQSFGVPAQIJC-NSHDSACASA-N -1 1 346.395 -0.173 20 0 EBADMM Cn1ccnc1NC(=O)[C@@H]1CC12CCN(C(=O)Cc1nn[n-]n1)CC2 ZINC001270784410 815887807 /nfs/dbraw/zinc/88/78/07/815887807.db2.gz ILGHEOMHMSULTQ-JTQLQIEISA-N -1 1 344.379 -0.257 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCc2onc(C(=O)Nc3cn[nH]c3)c2C1 ZINC001270784214 815888197 /nfs/dbraw/zinc/88/81/97/815888197.db2.gz FKUJRMJRVJCPOP-UHFFFAOYSA-N -1 1 343.307 -0.710 20 0 EBADMM Cc1noc(NC(=O)[C@@H]2CC23CN(C(=O)Cc2nn[n-]n2)C3)c1C ZINC001270785228 815888615 /nfs/dbraw/zinc/88/86/15/815888615.db2.gz RULGISSWUMMWEI-VIFPVBQESA-N -1 1 331.336 -0.166 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@]2(CCC[C@@H]2C(=O)Nc2nnco2)C1 ZINC001270785485 815889200 /nfs/dbraw/zinc/88/92/00/815889200.db2.gz UPNUCFKXYCQFQR-YMTOWFKASA-N -1 1 346.351 -0.217 20 0 EBADMM CCN(C(=O)[C@H]1CCC2(CN(C(=O)Cc3nn[n-]n3)C2)O1)C(C)C ZINC001270787916 815891128 /nfs/dbraw/zinc/89/11/28/815891128.db2.gz BDICXJHABAHUFA-LLVKDONJSA-N -1 1 336.396 -0.241 20 0 EBADMM CN(C(=O)[C@H]1CC12CN(C(=O)Cc1nn[n-]n1)C2)C1CC(F)(F)C1 ZINC001270788994 815891625 /nfs/dbraw/zinc/89/16/25/815891625.db2.gz LGIALZYOVOBVRD-SECBINFHSA-N -1 1 340.334 -0.153 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@@]2(CCC[C@@H]2C(=O)NCC2COC2)C1 ZINC001270788980 815892638 /nfs/dbraw/zinc/89/26/38/815892638.db2.gz KOVZDSIIZXTEQG-WBMJQRKESA-N -1 1 348.407 -0.476 20 0 EBADMM O=C(Cc1nn[n-]n1)N1C[C@@H]2CC[C@H](C1)[C@H]2C(=O)N1CCCCO1 ZINC001270789955 815893510 /nfs/dbraw/zinc/89/35/10/815893510.db2.gz YFNMZEJCIZXUQJ-GNXNZQSNSA-N -1 1 334.380 -0.219 20 0 EBADMM Cc1nnccc1C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001084488841 815926585 /nfs/dbraw/zinc/92/65/85/815926585.db2.gz OMWFFALHEBAHNZ-DGCLKSJQSA-N -1 1 343.391 -0.447 20 0 EBADMM C[C@@H]1CCO[C@@H]1C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001084670135 815959836 /nfs/dbraw/zinc/95/98/36/815959836.db2.gz BPRFYNMSJKINOA-BYNQJWBRSA-N -1 1 335.408 -0.434 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)C[C@H]4CCCOC4)[C@@H]3C2)nc1=O ZINC001084836433 815991609 /nfs/dbraw/zinc/99/16/09/815991609.db2.gz AGVYBCYCWHQPSF-MGPQQGTHSA-N -1 1 349.435 -0.042 20 0 EBADMM CCn1ncc(C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)n1 ZINC001085191848 816028501 /nfs/dbraw/zinc/02/85/01/816028501.db2.gz GYITXNFSCGYTPW-NXEZZACHSA-N -1 1 334.384 -0.048 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1ccncc1F ZINC001085526921 816063955 /nfs/dbraw/zinc/06/39/55/816063955.db2.gz NOJDPCZZCNFEKZ-SNVBAGLBSA-N -1 1 334.355 -0.011 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1cc[nH]c(=O)c1 ZINC001085542773 816066724 /nfs/dbraw/zinc/06/67/24/816066724.db2.gz GDNZCDSGVTZGOS-NSHDSACASA-N -1 1 332.364 -0.445 20 0 EBADMM CC[C@H](C(N)=O)N1CC2(CN(C(=O)c3cncc([O-])c3)C2)OCC1=O ZINC001271386705 816094433 /nfs/dbraw/zinc/09/44/33/816094433.db2.gz ORRFAERIBUHVPM-GFCCVEGCSA-N -1 1 348.359 -0.896 20 0 EBADMM CCOCCN1C(=O)COCC12CN(C(=O)c1cncc([O-])c1)C2 ZINC001271385216 816094451 /nfs/dbraw/zinc/09/44/51/816094451.db2.gz FIJKNHUPRVQEAC-UHFFFAOYSA-N -1 1 335.360 -0.123 20 0 EBADMM O=C(C[N-]C(=O)C(F)(F)F)N1CC2(CN(CCn3ccnc3)C2)C1 ZINC001271473943 816116934 /nfs/dbraw/zinc/11/69/34/816116934.db2.gz XUEXFQRLVXQOOS-UHFFFAOYSA-N -1 1 345.325 -0.294 20 0 EBADMM CN(C[C@H]1CCN1CCn1cccn1)C(=O)c1cnc([O-])n(C)c1=O ZINC001085759562 816133525 /nfs/dbraw/zinc/13/35/25/816133525.db2.gz KGNRQOBGNRSJLM-GFCCVEGCSA-N -1 1 346.391 -0.471 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1ncccc1F ZINC001085893917 816173645 /nfs/dbraw/zinc/17/36/45/816173645.db2.gz NFRYNRAAERAFFR-SNVBAGLBSA-N -1 1 334.355 -0.011 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1cccn(C)c1=O ZINC001085931231 816182654 /nfs/dbraw/zinc/18/26/54/816182654.db2.gz SVBQPOLNQFFQSY-NSHDSACASA-N -1 1 346.391 -0.846 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2C[C@@H](N[C@H](C)c3nnnn3C)C2)c1[O-] ZINC001086061541 816217757 /nfs/dbraw/zinc/21/77/57/816217757.db2.gz UOPLBLQPJUNBDR-BBBLOLIVSA-N -1 1 334.384 -0.194 20 0 EBADMM Cc1ncoc1CN[C@H]1C[C@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001086419064 816327468 /nfs/dbraw/zinc/32/74/68/816327468.db2.gz ZPWINMZKFHMAFU-KYZUINATSA-N -1 1 334.336 -0.123 20 0 EBADMM COc1coc(C(=O)NC[C@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC001086428919 816332965 /nfs/dbraw/zinc/33/29/65/816332965.db2.gz PVQVUSUKOGUIFN-KYZUINATSA-N -1 1 349.347 -0.230 20 0 EBADMM CC(C)C(=O)N1C[C@@H](c2cnn(C)c2)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001086967379 816424682 /nfs/dbraw/zinc/42/46/82/816424682.db2.gz ABNZASMVKSVNTQ-NWDGAFQWSA-N -1 1 333.396 -0.016 20 0 EBADMM CC[C@@H](NC(C)=O)C(=O)N1CC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001272573379 816482850 /nfs/dbraw/zinc/48/28/50/816482850.db2.gz FDFMNHYBWCIFFV-NWDGAFQWSA-N -1 1 338.412 -0.247 20 0 EBADMM COc1ccc(C(=O)N2CC[C@H](CCNCc3n[nH]c(=O)[n-]3)C2)nn1 ZINC001272608968 816492356 /nfs/dbraw/zinc/49/23/56/816492356.db2.gz UAFNVNPLSLGHSC-JTQLQIEISA-N -1 1 347.379 -0.049 20 0 EBADMM CN(C)S(=O)(=O)N1CCC12CN(C(=O)c1cncc([O-])c1)C2 ZINC001272672636 816504461 /nfs/dbraw/zinc/50/44/61/816504461.db2.gz MOWSFLMONBOSJS-UHFFFAOYSA-N -1 1 326.378 -0.506 20 0 EBADMM CN(C)S(=O)(=O)N1C[C@H]2C[C@@H](C1)N(C(=O)c1cncc([O-])c1)C2 ZINC001272673299 816504488 /nfs/dbraw/zinc/50/44/88/816504488.db2.gz WORBJPMZJVQBOV-PWSUYJOCSA-N -1 1 340.405 -0.260 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2cc(C)n[nH]2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087512703 816526266 /nfs/dbraw/zinc/52/62/66/816526266.db2.gz GOUQIOVRCLQDSS-CMPLNLGQSA-N -1 1 333.396 -0.077 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2cnns2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087511966 816526319 /nfs/dbraw/zinc/52/63/19/816526319.db2.gz RLMVTUSXUAOIOI-DTWKUNHWSA-N -1 1 337.409 -0.257 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2cccc(=O)[nH]2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087531263 816528816 /nfs/dbraw/zinc/52/88/16/816528816.db2.gz LKEHGCQMBSUXGA-CMPLNLGQSA-N -1 1 346.391 -0.008 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2cn[nH]c(=O)c2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087613829 816540048 /nfs/dbraw/zinc/54/00/48/816540048.db2.gz LWVNPTZHCRPCJO-WDEREUQCSA-N -1 1 347.379 -0.613 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2c(C)ncn2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087612090 816540164 /nfs/dbraw/zinc/54/01/64/816540164.db2.gz VWRNACHIOZFZQQ-NWDGAFQWSA-N -1 1 347.423 -0.067 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)Cc2cnoc2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087697409 816549594 /nfs/dbraw/zinc/54/95/94/816549594.db2.gz XKHPMZOHTNSURM-NWDGAFQWSA-N -1 1 334.380 -0.192 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2nc(C)c[nH]2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087755996 816557225 /nfs/dbraw/zinc/55/72/25/816557225.db2.gz RYGYMKIHJYNWGN-WDEREUQCSA-N -1 1 333.396 -0.077 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4cn[nH]c4)C[C@@H]3C2)nc1=O ZINC001087896068 816574434 /nfs/dbraw/zinc/57/44/34/816574434.db2.gz MBHCUEDEOVVFMF-PWSUYJOCSA-N -1 1 331.380 -0.574 20 0 EBADMM Cn1cnnc1CN1C(=O)COCC12CN(Cc1ccncc1[O-])C2 ZINC001273078731 816582960 /nfs/dbraw/zinc/58/29/60/816582960.db2.gz ALCVKLIFTFCKBL-UHFFFAOYSA-N -1 1 344.375 -0.471 20 0 EBADMM CCNC(=O)CN1CC2(CN(Cc3ccncc3[O-])C2)OCC1=O ZINC001273078688 816583230 /nfs/dbraw/zinc/58/32/30/816583230.db2.gz AEKDOQVMOYNNSS-UHFFFAOYSA-N -1 1 334.376 -0.664 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)N1C[C@H]2CCN(CCF)C[C@H]2C1 ZINC001088143426 816634049 /nfs/dbraw/zinc/63/40/49/816634049.db2.gz YIDWFWFUUADKFW-NEPJUHHUSA-N -1 1 348.382 -0.179 20 0 EBADMM COc1nn(C)cc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001088791150 816740116 /nfs/dbraw/zinc/74/01/16/816740116.db2.gz QTSKZKQKZOBKDI-KOLCDFICSA-N -1 1 349.395 -0.757 20 0 EBADMM Cc1nc(C)c(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)[nH]1 ZINC001088865999 816758049 /nfs/dbraw/zinc/75/80/49/816758049.db2.gz UPZKXISACZVNKL-KOLCDFICSA-N -1 1 333.396 -0.159 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN1Cc1cnon1 ZINC001088979010 816774910 /nfs/dbraw/zinc/77/49/10/816774910.db2.gz PNLJURGTSVVZJL-SCZZXKLOSA-N -1 1 344.335 -0.807 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cnn3cc[nH]c23)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001089004708 816776555 /nfs/dbraw/zinc/77/65/55/816776555.db2.gz XWLFZRHGBLJALM-KOLCDFICSA-N -1 1 344.379 -0.523 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCCN1C(=O)c1cn(C)cn1 ZINC001089157691 816809963 /nfs/dbraw/zinc/80/99/63/816809963.db2.gz JYWAALVRTNEDPD-LBPRGKRZSA-N -1 1 347.423 -0.031 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCCN1C(=O)c1nc[nH]n1 ZINC001089242844 816822022 /nfs/dbraw/zinc/82/20/22/816822022.db2.gz XMTFJRURVKZRIF-JTQLQIEISA-N -1 1 334.384 -0.647 20 0 EBADMM CC1(C)C[C@H](NC(=O)Cn2ncnn2)CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001089387205 816837047 /nfs/dbraw/zinc/83/70/47/816837047.db2.gz XJSIOGWSTGAOPN-SNVBAGLBSA-N -1 1 349.399 -0.696 20 0 EBADMM CCNCc1cn([C@H]2CCN(C(=O)c3cnc([O-])n(C)c3=O)C2)nn1 ZINC001089587752 816883337 /nfs/dbraw/zinc/88/33/37/816883337.db2.gz VBFWIQLCIATMDJ-NSHDSACASA-N -1 1 347.379 -0.726 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cccc(F)c3)[C@H](O)C2)nc1=O ZINC001090040230 816980822 /nfs/dbraw/zinc/98/08/22/816980822.db2.gz AWPWEAAOLDKQDH-QWHCGFSZSA-N -1 1 349.366 -0.387 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ccsc3)[C@@H](O)C2)nc1=O ZINC001090047034 816983831 /nfs/dbraw/zinc/98/38/31/816983831.db2.gz QVDHXGGPVCQYPL-QWRGUYRKSA-N -1 1 337.405 -0.465 20 0 EBADMM O=C(C=Cc1ccc[nH]1)N1CCC(O)(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001274516688 816989263 /nfs/dbraw/zinc/98/92/63/816989263.db2.gz VZPHCPBHWQSZCC-ARJAWSKDSA-N -1 1 346.391 -0.005 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)cn1 ZINC001090075923 817008530 /nfs/dbraw/zinc/00/85/30/817008530.db2.gz LGYKKRRKCYYDOH-CHWSQXEVSA-N -1 1 346.391 -0.823 20 0 EBADMM Cc1cc(C)c(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)o1 ZINC001090163734 817083522 /nfs/dbraw/zinc/08/35/22/817083522.db2.gz QJLMPWANUHMSMY-NEPJUHHUSA-N -1 1 349.391 -0.317 20 0 EBADMM CCn1cc(CN2CC[C@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)nn1 ZINC001090212782 817129109 /nfs/dbraw/zinc/12/91/09/817129109.db2.gz FTFRUOCYDKHWSY-JSGCOSHPSA-N -1 1 346.391 -0.236 20 0 EBADMM O=C(CN1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1)N1CCCC1 ZINC001090213281 817130936 /nfs/dbraw/zinc/13/09/36/817130936.db2.gz IMJFFVPIEHEKBS-OCCSQVGLSA-N -1 1 348.403 -0.425 20 0 EBADMM Cc1noc(CN2CC[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1 ZINC001090215209 817132308 /nfs/dbraw/zinc/13/23/08/817132308.db2.gz CZFUMQIDRNFSLS-PWSUYJOCSA-N -1 1 333.348 -0.156 20 0 EBADMM Cc1nc2ccnn2cc1C(=O)N[C@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001274967315 817133662 /nfs/dbraw/zinc/13/36/62/817133662.db2.gz BQXDWGHXSKRXDA-MRVPVSSYSA-N -1 1 330.352 -0.230 20 0 EBADMM Cc1ccc([O-])c(C(=O)N2CC[C@@H]3C[C@@]32C(=O)NCC(=O)N(C)C)n1 ZINC001274974480 817135317 /nfs/dbraw/zinc/13/53/17/817135317.db2.gz MCKQZOLDSBRRHL-DIFFPNOSSA-N -1 1 346.387 -0.095 20 0 EBADMM Cc1ccn2ncc(C(=O)N[C@H](C)CNCc3n[nH]c(=O)[n-]3)c2n1 ZINC001274991389 817137585 /nfs/dbraw/zinc/13/75/85/817137585.db2.gz IBDRWGVOBOQFDU-SECBINFHSA-N -1 1 330.352 -0.230 20 0 EBADMM CCc1[nH]ccc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001090245502 817176741 /nfs/dbraw/zinc/17/67/41/817176741.db2.gz DNXDDRPFJVVZHD-STQMWFEESA-N -1 1 348.407 -0.636 20 0 EBADMM O=C(NCCn1ccnn1)[C@@]12C[C@@H]1CN(C(=O)c1cncc([O-])c1)C2 ZINC001275624464 817263641 /nfs/dbraw/zinc/26/36/41/817263641.db2.gz ZTZNWPXUFRVVLT-MLGOLLRUSA-N -1 1 342.359 -0.343 20 0 EBADMM CCCC[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CCN(C)C1=O ZINC001276262039 817378073 /nfs/dbraw/zinc/37/80/73/817378073.db2.gz SNAZRDBCQMLYRF-WDEREUQCSA-N -1 1 338.412 -0.247 20 0 EBADMM Cc1c(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)ccn1C ZINC001090553397 817534904 /nfs/dbraw/zinc/53/49/04/817534904.db2.gz ALRWPFUYLPHTLX-STQMWFEESA-N -1 1 348.407 -0.879 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C3CC4(CC4)C3)[C@@H](O)C2)nc1=O ZINC001090561018 817541496 /nfs/dbraw/zinc/54/14/96/817541496.db2.gz YATYILFYHRKFRW-RYUDHWBXSA-N -1 1 335.408 -0.650 20 0 EBADMM Cn1nnnc1NC(=O)[C@@H]1CCC2(CN(Cc3ncccc3[O-])C2)O1 ZINC001277314978 817550547 /nfs/dbraw/zinc/55/05/47/817550547.db2.gz DTRXBJJSNAWCTE-LBPRGKRZSA-N -1 1 345.363 -0.317 20 0 EBADMM Cc1cc(CN2CCc3cc(C(=O)N=c4nn[n-]n4C)[nH]c3C2)n[nH]1 ZINC001277349620 817558150 /nfs/dbraw/zinc/55/81/50/817558150.db2.gz SJIRHQLTEHMEEM-UHFFFAOYSA-N -1 1 341.379 -0.198 20 0 EBADMM Cc1ccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)cc1 ZINC001090754211 817720190 /nfs/dbraw/zinc/72/01/90/817720190.db2.gz JHLRQRNBPYMPAT-KBPBESRZSA-N -1 1 345.403 -0.218 20 0 EBADMM C[C@H]1Cc2nnc(C(=O)N=c3nn[n-]n3C)n2CCN1CCC1CC1 ZINC001278196989 817724345 /nfs/dbraw/zinc/72/43/45/817724345.db2.gz OXWACFCFMXYFME-JTQLQIEISA-N -1 1 345.411 -0.478 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)Cc3cccnc3)CC2)nc1=O ZINC001279536222 817949317 /nfs/dbraw/zinc/94/93/17/817949317.db2.gz KTUGSIKVMZZWDU-UHFFFAOYSA-N -1 1 342.403 -0.006 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)C[C@@H]4CCOC4)C[C@]3(C)C2)nc1=O ZINC001091558465 818009478 /nfs/dbraw/zinc/00/94/78/818009478.db2.gz BCQPORKSSYFPJH-AHIWAGSCSA-N -1 1 349.435 -0.185 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)CC4(O)CCC4)C[C@]3(C)C2)nc1=O ZINC001091659982 818027157 /nfs/dbraw/zinc/02/71/57/818027157.db2.gz XXTRMUBCQFHSBP-WBMJQRKESA-N -1 1 349.435 -0.306 20 0 EBADMM CC(C)=CC(=O)N(C)C1CC(NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001281336948 818149018 /nfs/dbraw/zinc/14/90/18/818149018.db2.gz AXEXNNNDBWIIKJ-UHFFFAOYSA-N -1 1 348.403 -0.002 20 0 EBADMM O=C(NCCNc1ccc2nnnn2n1)c1cnc(C2CC2)[n-]c1=O ZINC001094157528 818163913 /nfs/dbraw/zinc/16/39/13/818163913.db2.gz RZTPXKBZNBDHOY-UHFFFAOYSA-N -1 1 341.335 -0.266 20 0 EBADMM COCCN1CC(n2cc(CNC(=O)c3ncccc3[O-])nn2)C1 ZINC001094286920 818207806 /nfs/dbraw/zinc/20/78/06/818207806.db2.gz ZNWVCAFKNJHFJK-UHFFFAOYSA-N -1 1 332.364 -0.188 20 0 EBADMM Cc1nsc(NCCCNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001094499427 818277291 /nfs/dbraw/zinc/27/72/91/818277291.db2.gz ZUUMULGXYHDAQK-UHFFFAOYSA-N -1 1 338.393 -0.295 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(CNC(=O)c4cnon4)CCC[C@@H]23)nc1=O ZINC001094540430 818284505 /nfs/dbraw/zinc/28/45/05/818284505.db2.gz FCNSVPDRAXFLSN-IAQYHMDHSA-N -1 1 347.379 -0.334 20 0 EBADMM C[C@@H](CNC(=O)c1cccc2ncnn21)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001282423624 818423914 /nfs/dbraw/zinc/42/39/14/818423914.db2.gz JWZMDRFOCLHKAW-JTQLQIEISA-N -1 1 344.379 -0.599 20 0 EBADMM Cc1cccc(C(=O)NCCNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001282916373 818528050 /nfs/dbraw/zinc/52/80/50/818528050.db2.gz WJQPGRHVDKSIMD-UHFFFAOYSA-N -1 1 345.359 -0.824 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCNC(=O)[C@H]1CCC(=O)N1 ZINC001282945017 818542668 /nfs/dbraw/zinc/54/26/68/818542668.db2.gz NVPWUMGAKKWWPZ-SNVBAGLBSA-N -1 1 349.391 -0.261 20 0 EBADMM Cn1nccc1COCC(=O)NCCCNC(=O)c1ncccc1[O-] ZINC001283233040 818710861 /nfs/dbraw/zinc/71/08/61/818710861.db2.gz NDTSYVNCZGIBBC-UHFFFAOYSA-N -1 1 347.375 -0.026 20 0 EBADMM Cc1conc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001096292799 818787909 /nfs/dbraw/zinc/78/79/09/818787909.db2.gz MWODAZGZKBBWJV-VWYCJHECSA-N -1 1 332.364 -0.060 20 0 EBADMM CN(CCCNC(=O)C(F)F)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001283476289 818823393 /nfs/dbraw/zinc/82/33/93/818823393.db2.gz YFCUWWVWAJNVLD-UHFFFAOYSA-N -1 1 328.279 -0.739 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)Cn2cccn2)C3)nc1=O ZINC001096807444 818890866 /nfs/dbraw/zinc/89/08/66/818890866.db2.gz UJTITHVAZIQAJB-UTUOFQBUSA-N -1 1 331.380 -0.773 20 0 EBADMM Cc1cc(CC(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)no1 ZINC001096892387 818900878 /nfs/dbraw/zinc/90/08/78/818900878.db2.gz FHVBRBBFADZAMM-UPJWGTAASA-N -1 1 346.391 -0.131 20 0 EBADMM CN(CCCNC(=O)[C@@H]1CC12CC2)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001283670048 818903079 /nfs/dbraw/zinc/90/30/79/818903079.db2.gz GPAHPNBCWTVCNW-LBPRGKRZSA-N -1 1 348.403 -0.309 20 0 EBADMM Cc1nnc(CC(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)o1 ZINC001097035233 818930640 /nfs/dbraw/zinc/93/06/40/818930640.db2.gz MTCXLOHNEZNFJS-MXWKQRLJSA-N -1 1 347.379 -0.736 20 0 EBADMM Cc1c[nH]nc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001097047594 818933260 /nfs/dbraw/zinc/93/32/60/818933260.db2.gz ULGFZPFTCWOIKX-MXWKQRLJSA-N -1 1 331.380 -0.325 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@]2(F)CCOC2)C3)nc1=O ZINC001097712941 819021218 /nfs/dbraw/zinc/02/12/18/819021218.db2.gz PLBOZXZFKDVZIS-OZWUEAAUSA-N -1 1 339.371 -0.542 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@@H]2C[C@H]4CC[C@@H]2O4)C3)nc1=O ZINC001097888500 819051217 /nfs/dbraw/zinc/05/12/17/819051217.db2.gz SJQQLXDFKNJBJP-KJKVDNPUSA-N -1 1 347.419 -0.103 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)Nc1cc(F)ncn1 ZINC001097940217 819058969 /nfs/dbraw/zinc/05/89/69/819058969.db2.gz VMRDYBOUMXOXTH-VIFPVBQESA-N -1 1 336.327 -0.527 20 0 EBADMM COc1ccnc(N[C@@H](C)CNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001097954070 819064248 /nfs/dbraw/zinc/06/42/48/819064248.db2.gz PUHLTBNRRKJWNH-QMMMGPOBSA-N -1 1 344.335 -0.553 20 0 EBADMM CCn1ccc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)n1 ZINC001097972891 819066556 /nfs/dbraw/zinc/06/65/56/819066556.db2.gz IIADDXXVJIFLEN-RTXFEEFZSA-N -1 1 345.407 -0.140 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)c1cc[nH]c1 ZINC001284282269 819165600 /nfs/dbraw/zinc/16/56/00/819165600.db2.gz LVFPQAQYYOUWFI-JTQLQIEISA-N -1 1 333.348 -0.811 20 0 EBADMM C[C@@H](CNC(=O)c1nccs1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001284316612 819182634 /nfs/dbraw/zinc/18/26/34/819182634.db2.gz MXDQMJRMFHPVOC-ZETCQYMHSA-N -1 1 347.360 -0.578 20 0 EBADMM Cc1c[nH]c(C(=O)N2CCC(N(CCO)Cc3n[nH]c(=O)[n-]3)CC2)n1 ZINC001098495316 819216542 /nfs/dbraw/zinc/21/65/42/819216542.db2.gz NZSJCSSOHQDSRW-UHFFFAOYSA-N -1 1 349.395 -0.359 20 0 EBADMM O=C(c1cccnn1)N1CCC(N(CCO)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001098507382 819224415 /nfs/dbraw/zinc/22/44/15/819224415.db2.gz BMIMSTWXTJRDRV-UHFFFAOYSA-N -1 1 347.379 -0.601 20 0 EBADMM CN(CCNC(=O)c1ccccn1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001284492313 819253971 /nfs/dbraw/zinc/25/39/71/819253971.db2.gz ZUVXZZZOIDUPFV-UHFFFAOYSA-N -1 1 345.359 -0.790 20 0 EBADMM CN(CCNC(=O)C=C1CCC1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001284512328 819264747 /nfs/dbraw/zinc/26/47/47/819264747.db2.gz UHGRGTVOFNQZEF-UHFFFAOYSA-N -1 1 330.348 -0.284 20 0 EBADMM CCC(C)(C)C(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001284938054 819419411 /nfs/dbraw/zinc/41/94/11/819419411.db2.gz FXCACPOPVXAULW-UHFFFAOYSA-N -1 1 336.392 -0.310 20 0 EBADMM O=C(CC1CC1)NCC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001284948964 819424138 /nfs/dbraw/zinc/42/41/38/819424138.db2.gz FAVWVJLJEQLXEN-UHFFFAOYSA-N -1 1 334.376 -0.699 20 0 EBADMM CC[C@@H](CNC(=O)[C@H]1CCCNC1=O)NC(=O)c1ncccc1[O-] ZINC001285067832 819470315 /nfs/dbraw/zinc/47/03/15/819470315.db2.gz VGQSJIRVCIWUIK-QWRGUYRKSA-N -1 1 334.376 -0.062 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)/C=C/c3ccco3)[C@H](O)C2)nc1=O ZINC001099641524 819532064 /nfs/dbraw/zinc/53/20/64/819532064.db2.gz CXGXWDOMZIYUKX-QITAHTHBSA-N -1 1 347.375 -0.534 20 0 EBADMM CN(CCN(C)C(=O)CCn1cc[n-]c(=O)c1=O)C(=O)C=C1CCC1 ZINC001285363562 819555788 /nfs/dbraw/zinc/55/57/88/819555788.db2.gz IZRUKZOJPKYPOR-UHFFFAOYSA-N -1 1 348.403 -0.046 20 0 EBADMM CCCSCC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001099702539 819593552 /nfs/dbraw/zinc/59/35/52/819593552.db2.gz WBEONVAASNPBKB-WDEREUQCSA-N -1 1 343.453 -0.697 20 0 EBADMM CCSCCC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001099706905 819602767 /nfs/dbraw/zinc/60/27/67/819602767.db2.gz JTLQGORJIMBQAF-GHMZBOCLSA-N -1 1 343.453 -0.697 20 0 EBADMM C[C@@H](CNC(=O)c1ccoc1)N(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001285588694 819682749 /nfs/dbraw/zinc/68/27/49/819682749.db2.gz IONSIMMIZGEAGP-VIFPVBQESA-N -1 1 344.331 -0.099 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)c1ccccn1 ZINC001285616848 819696195 /nfs/dbraw/zinc/69/61/95/819696195.db2.gz FKLZUMLYKWKXCL-LLVKDONJSA-N -1 1 345.359 -0.744 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CC1(F)F)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285671021 819717756 /nfs/dbraw/zinc/71/77/56/819717756.db2.gz YRMFEJTZQAWQPP-RKDXNWHRSA-N -1 1 344.318 -0.797 20 0 EBADMM C[C@@H](CNC(=O)CC1(C)CC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285756849 819759392 /nfs/dbraw/zinc/75/93/92/819759392.db2.gz VLSDQGOKPKDNTB-NSHDSACASA-N -1 1 336.392 -0.262 20 0 EBADMM C[C@@H](C(=O)N1CC[C@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O)C1CC1 ZINC001285874084 819800374 /nfs/dbraw/zinc/80/03/74/819800374.db2.gz KAUOONICPUFINC-YPMHNXCESA-N -1 1 348.403 -0.310 20 0 EBADMM CCOCCC(=O)N1CC(CCO)(NC(=O)c2ncccc2[O-])C1 ZINC001285928008 819827641 /nfs/dbraw/zinc/82/76/41/819827641.db2.gz UIYICWVYSOTGMZ-UHFFFAOYSA-N -1 1 337.376 -0.093 20 0 EBADMM CC(C)C1(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)CC1 ZINC001099996370 820003284 /nfs/dbraw/zinc/00/32/84/820003284.db2.gz LJLPFZXKZYPHTB-RYUDHWBXSA-N -1 1 337.424 -0.404 20 0 EBADMM CCCC1(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)CC1 ZINC001100003546 820012276 /nfs/dbraw/zinc/01/22/76/820012276.db2.gz IMWZTKYFSSVPBQ-RYUDHWBXSA-N -1 1 337.424 -0.260 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C3(CF)CCC3)[C@H](O)C2)nc1=O ZINC001100012869 820039114 /nfs/dbraw/zinc/03/91/14/820039114.db2.gz FGCLPOLSGFTVLR-GHMZBOCLSA-N -1 1 341.387 -0.700 20 0 EBADMM CCC(=O)NCCOCCCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001287464797 820056274 /nfs/dbraw/zinc/05/62/74/820056274.db2.gz HETZEZJTHXIGSG-UHFFFAOYSA-N -1 1 336.352 -0.920 20 0 EBADMM CCN(CCNC(=O)c1cc(=O)n2[n-]cnc2n1)c1ncc(F)cn1 ZINC001100567192 820476142 /nfs/dbraw/zinc/47/61/42/820476142.db2.gz AHKNETREJOQEAF-UHFFFAOYSA-N -1 1 346.326 -0.397 20 0 EBADMM C[C@@]1(C(=O)NCCNC(=O)c2ncccc2[O-])CCCS1(=O)=O ZINC001292665826 820581367 /nfs/dbraw/zinc/58/13/67/820581367.db2.gz BNIFNQQTPBIRQA-AWEZNQCLSA-N -1 1 341.389 -0.400 20 0 EBADMM O=C(/C=C\C1CC1)N[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001293591433 820793142 /nfs/dbraw/zinc/79/31/42/820793142.db2.gz DMDGUYIFZPQQRL-DHCBQETCSA-N -1 1 346.387 -0.390 20 0 EBADMM C[C@H]1C[C@H]1C(=O)N(C)CCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001294190502 820894944 /nfs/dbraw/zinc/89/49/44/820894944.db2.gz JRHXUXJXQYPCFO-NWDGAFQWSA-N -1 1 336.392 -0.453 20 0 EBADMM CC1(C)C[C@H]1C(=O)N1CC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001294772771 820995635 /nfs/dbraw/zinc/99/56/35/820995635.db2.gz GMATWSTZLDFAMA-RYUDHWBXSA-N -1 1 348.403 -0.310 20 0 EBADMM O=C(NC[C@H](O)CN1CCCC1=O)c1ccc2n[n-]c(=S)n2c1 ZINC001294895483 821016294 /nfs/dbraw/zinc/01/62/94/821016294.db2.gz VBYVKZSJELVPFP-JTQLQIEISA-N -1 1 335.389 -0.269 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC[C@@H](S(N)(=O)=O)C1 ZINC001295134719 821055750 /nfs/dbraw/zinc/05/57/50/821055750.db2.gz WNLWLVCPMQWJFA-SSDOTTSWSA-N -1 1 332.407 -0.328 20 0 EBADMM CC/C=C(/C)C(=O)NC[C@@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001295433726 821093788 /nfs/dbraw/zinc/09/37/88/821093788.db2.gz LJXNNSXNHDMUFG-DKRCXCIFSA-N -1 1 336.392 -0.096 20 0 EBADMM C[C@@H](CNC(=O)CCC(F)F)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001295566221 821125491 /nfs/dbraw/zinc/12/54/91/821125491.db2.gz FJAPTSUINYMZNB-VIFPVBQESA-N -1 1 346.334 -0.407 20 0 EBADMM CC1(C)C[C@@H]1C(=O)N1CC(NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001297096182 821320678 /nfs/dbraw/zinc/32/06/78/821320678.db2.gz BPFYTVDRPODXFZ-LLVKDONJSA-N -1 1 334.376 -0.700 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)[C@@H]1CCC(=O)N1 ZINC001103657836 821337928 /nfs/dbraw/zinc/33/79/28/821337928.db2.gz FBXKTUUIADZFGZ-MXWKQRLJSA-N -1 1 336.396 -0.637 20 0 EBADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)NCc1nnn(C)n1 ZINC001297320938 821358905 /nfs/dbraw/zinc/35/89/05/821358905.db2.gz ARGDXKJYBGZJDA-UHFFFAOYSA-N -1 1 340.347 -0.065 20 0 EBADMM CCC(=O)NCC(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001104001077 821385146 /nfs/dbraw/zinc/38/51/46/821385146.db2.gz BFLLUHGZJRSFNJ-GHMZBOCLSA-N -1 1 338.412 -0.389 20 0 EBADMM C[C@@H](CNC(=O)c1n[nH]c(=O)[n-]c1=O)CNc1ncnc2[nH]cnc21 ZINC001104273578 821436049 /nfs/dbraw/zinc/43/60/49/821436049.db2.gz VAAOAYNEOMPJNE-ZCFIWIBFSA-N -1 1 345.323 -0.621 20 0 EBADMM Cc1cc(NC[C@H](C)N(C)C(=O)CCn2cc[n-]c(=O)c2=O)ncn1 ZINC001104619147 821523736 /nfs/dbraw/zinc/52/37/36/821523736.db2.gz RRTAPMJRMCKZRW-LBPRGKRZSA-N -1 1 346.391 -0.016 20 0 EBADMM CC1(C(=O)NC/C=C/CNC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001298436138 821539267 /nfs/dbraw/zinc/53/92/67/821539267.db2.gz RUGDMXYOHPMNBE-NSCUHMNNSA-N -1 1 330.348 -0.380 20 0 EBADMM CC1(C(=O)NC/C=C\CNC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001298436137 821539497 /nfs/dbraw/zinc/53/94/97/821539497.db2.gz RUGDMXYOHPMNBE-IHWYPQMZSA-N -1 1 330.348 -0.380 20 0 EBADMM CC[C@H](C)CC(=O)N[C@@H](C)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001298763908 821594306 /nfs/dbraw/zinc/59/43/06/821594306.db2.gz XVBHYAKIWMVUNH-RYUDHWBXSA-N -1 1 338.408 -0.016 20 0 EBADMM C[C@@]1(C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])CCNC1=O ZINC001299104134 821647519 /nfs/dbraw/zinc/64/75/19/821647519.db2.gz IISLFVZYRVLSMK-MGPLVRAMSA-N -1 1 332.360 -0.356 20 0 EBADMM CN(CCN(C)c1nccn2nnnc12)C(=O)c1ncccc1[O-] ZINC001105376138 821731158 /nfs/dbraw/zinc/73/11/58/821731158.db2.gz GIDCLGQFBMMHRR-UHFFFAOYSA-N -1 1 328.336 -0.172 20 0 EBADMM CN(Cc1nc(=O)o[n-]1)C(=O)c1n[nH]cc1I ZINC001299928736 821740032 /nfs/dbraw/zinc/74/00/32/821740032.db2.gz IDXBKQSZTUXDGE-UHFFFAOYSA-N -1 1 349.088 -0.037 20 0 EBADMM C[C@@H](C(=O)N1CC[C@@H](c2nn[n-]n2)C1)N1C(=O)NC2(CCCC2)C1=O ZINC001302935499 821753203 /nfs/dbraw/zinc/75/32/03/821753203.db2.gz ABNUJDDBNRKHMS-VHSXEESVSA-N -1 1 347.379 -0.231 20 0 EBADMM COC[C@@H](NC(=O)c1ccc(=O)n(-c2ccccc2)n1)c1nn[n-]n1 ZINC001303578612 821757951 /nfs/dbraw/zinc/75/79/51/821757951.db2.gz QAYZXKPQGMUWSM-GFCCVEGCSA-N -1 1 341.331 -0.137 20 0 EBADMM C[C@@H]1CN(C(=O)C(=O)c2ccc([O-])cc2)C[C@H]1CS(N)(=O)=O ZINC001304202544 821763621 /nfs/dbraw/zinc/76/36/21/821763621.db2.gz GGKONFSZSXWCPH-KOLCDFICSA-N -1 1 326.374 -0.042 20 0 EBADMM CNC(=O)[C@@H](C)N(C)CCOCCN(C)C(=O)c1n[nH]c(C)c1[O-] ZINC001316760722 821848338 /nfs/dbraw/zinc/84/83/38/821848338.db2.gz IMIJVHGCEDFPAX-LLVKDONJSA-N -1 1 341.412 -0.421 20 0 EBADMM COCc1cc(NC[C@H](O)CNC(=O)c2ncccc2[O-])ncn1 ZINC001105801702 821873637 /nfs/dbraw/zinc/87/36/37/821873637.db2.gz CAXJVSJPEYUVCQ-NSHDSACASA-N -1 1 333.348 -0.074 20 0 EBADMM COC(=O)c1cnc(NC[C@@H](O)CNC(=O)c2ncccc2[O-])nc1 ZINC001105803221 821875202 /nfs/dbraw/zinc/87/52/02/821875202.db2.gz XJKISHROUQQMGG-JTQLQIEISA-N -1 1 347.331 -0.433 20 0 EBADMM O=C(NCCCN(Cc1n[nH]c(=O)[n-]1)C1CC1)c1cnc[nH]c1=O ZINC001316918040 821917343 /nfs/dbraw/zinc/91/73/43/821917343.db2.gz NVENRQLJXYZANN-UHFFFAOYSA-N -1 1 333.352 -0.210 20 0 EBADMM CCOCCOCC(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001316953701 821932667 /nfs/dbraw/zinc/93/26/67/821932667.db2.gz NHYYUCNNNDNUHY-GFCCVEGCSA-N -1 1 341.412 -0.758 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H]2CNC(=O)CCCCC(N)=O)nc1=O ZINC001317510551 822139269 /nfs/dbraw/zinc/13/92/69/822139269.db2.gz XAMCCJPUMRUBKT-NSHDSACASA-N -1 1 338.412 -0.765 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1ccc2nnn(C)c2c1 ZINC001317654734 822193002 /nfs/dbraw/zinc/19/30/02/822193002.db2.gz PZBAUMUCJCXXOW-UHFFFAOYSA-N -1 1 330.352 -0.346 20 0 EBADMM CC(C)N(CCCNC(=O)[C@H]1CCCNC1=O)Cc1n[nH]c(=O)[n-]1 ZINC001317688707 822202816 /nfs/dbraw/zinc/20/28/16/822202816.db2.gz IJWVMZFLBWYHOB-NSHDSACASA-N -1 1 338.412 -0.247 20 0 EBADMM C[C@@]1(C(=O)NCC[C@@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)CCNC1=O ZINC001317799673 822215930 /nfs/dbraw/zinc/21/59/30/822215930.db2.gz BLRVIUGIWCBTOA-BMIGLBTASA-N -1 1 336.396 -0.635 20 0 EBADMM CC(C)=CC(=O)NCc1cnn2c1CN(Cc1nc(=O)n(C)[n-]1)CC2 ZINC001128178235 828424299 /nfs/dbraw/zinc/42/42/99/828424299.db2.gz CGHXNZQYQWRXKW-UHFFFAOYSA-N -1 1 345.407 -0.097 20 0 EBADMM Cc1nsc(NC/C=C\CNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001107262963 823831863 /nfs/dbraw/zinc/83/18/63/823831863.db2.gz MSVKYDRXACAPMX-IHWYPQMZSA-N -1 1 346.376 -0.024 20 0 EBADMM O=C(Cn1ncnn1)NC[C@]12CCC[C@H]1N(Cc1n[nH]c(=O)[n-]1)CC2 ZINC001107411976 823856177 /nfs/dbraw/zinc/85/61/77/823856177.db2.gz JULWHOCPQQHWOU-QMTHXVAHSA-N -1 1 347.383 -0.942 20 0 EBADMM CN1CCC[C@H]1C(=O)NC[C@@]1(C)CN(Cc2n[nH]c(=O)[n-]2)CCO1 ZINC001107816643 823978258 /nfs/dbraw/zinc/97/82/58/823978258.db2.gz GSZZMLSGWFCQSO-NHYWBVRUSA-N -1 1 338.412 -0.688 20 0 EBADMM COc1ccnc(NC[C@@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001107836957 823993877 /nfs/dbraw/zinc/99/38/77/823993877.db2.gz DVLIQRFNIZFDFN-SNVBAGLBSA-N -1 1 348.363 -0.658 20 0 EBADMM CCNC(=O)CN1CCO[C@@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001107890448 824020852 /nfs/dbraw/zinc/02/08/52/824020852.db2.gz ODXAMFNYJGGMPO-INIZCTEOSA-N -1 1 336.392 -0.256 20 0 EBADMM CC(C)CN1CCO[C@@](C)(CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001108052149 824107125 /nfs/dbraw/zinc/10/71/25/824107125.db2.gz ZGBBSUKZNFAQCX-AWEZNQCLSA-N -1 1 325.369 -0.241 20 0 EBADMM C[C@H](CNc1ncnc2[nH]cnc21)NC(=O)c1cnc([O-])n(C)c1=O ZINC001108053234 824107831 /nfs/dbraw/zinc/10/78/31/824107831.db2.gz YXDYRNDNOXYHKE-SSDOTTSWSA-N -1 1 344.335 -0.666 20 0 EBADMM Cc1cc(=O)n2[n-]c(NC(=O)CN3CCCCC(=O)C3=O)nc2n1 ZINC001129409535 828614937 /nfs/dbraw/zinc/61/49/37/828614937.db2.gz VJRGLZZMIMGWKO-UHFFFAOYSA-N -1 1 332.320 -0.754 20 0 EBADMM Cn1[n-]c(CN2CCO[C@](C)(CNC(=O)C(C)(C)C)C2)nc1=O ZINC001108358947 824236839 /nfs/dbraw/zinc/23/68/39/824236839.db2.gz VYIIDEVDUOBPFO-OAHLLOKOSA-N -1 1 325.413 -0.138 20 0 EBADMM CCCCC(=O)N1C[C@@H](NCc2nc(=O)n(C)[n-]2)[C@@H](n2ccnn2)C1 ZINC001129598758 828643984 /nfs/dbraw/zinc/64/39/84/828643984.db2.gz UMFFORSAQCMAOW-NEPJUHHUSA-N -1 1 348.411 -0.568 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)C(=O)C(C)(C)C)C3)nc1=O ZINC001109094678 824483817 /nfs/dbraw/zinc/48/38/17/824483817.db2.gz GFMKEJFMLUTAQT-VWYCJHECSA-N -1 1 335.408 -0.055 20 0 EBADMM Cc1nn(CC(F)F)cc1C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001130009955 828714368 /nfs/dbraw/zinc/71/43/68/828714368.db2.gz NNUGUFDHXFALFI-UHFFFAOYSA-N -1 1 329.311 -0.200 20 0 EBADMM COCCOC[C@H](O)CN1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001112782364 825637204 /nfs/dbraw/zinc/63/72/04/825637204.db2.gz PJQUWMZEXAMCCM-CYBMUJFWSA-N -1 1 339.392 -0.431 20 0 EBADMM CO[C@H](CC(C)C)C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001113318587 825822541 /nfs/dbraw/zinc/82/25/41/825822541.db2.gz ZLESLKVWYFGEMD-GFCCVEGCSA-N -1 1 325.413 -0.186 20 0 EBADMM COCCC(C)(C)C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001113481568 825888427 /nfs/dbraw/zinc/88/84/27/825888427.db2.gz DKFFDGKODFANLO-UHFFFAOYSA-N -1 1 325.413 -0.185 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)COCc3ccccc3)CC2)nc1=O ZINC001113623550 825950745 /nfs/dbraw/zinc/95/07/45/825950745.db2.gz ODEJNTYYJCIWTG-UHFFFAOYSA-N -1 1 345.403 -0.031 20 0 EBADMM C[C@@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)N(C)c1nccnc1F ZINC001113657000 825967189 /nfs/dbraw/zinc/96/71/89/825967189.db2.gz CJPVAHUXRQSKHA-QMMMGPOBSA-N -1 1 346.326 -0.399 20 0 EBADMM Cc1ccnc(N(C)[C@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001113656126 825967446 /nfs/dbraw/zinc/96/74/46/825967446.db2.gz YDOUQBZBQCBXIL-GFCCVEGCSA-N -1 1 346.391 -0.334 20 0 EBADMM CCOCCO[C@H](C)C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001113676038 825975686 /nfs/dbraw/zinc/97/56/86/825975686.db2.gz CUIAOCFGUQXBFE-GFCCVEGCSA-N -1 1 341.412 -0.806 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CCOCC2CC2)nc1=O ZINC001114275952 826190937 /nfs/dbraw/zinc/19/09/37/826190937.db2.gz QCXBJUNSTJIUMQ-JYAVWHMHSA-N -1 1 335.408 -0.529 20 0 EBADMM COCC1(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)CCC1 ZINC001114584487 826292706 /nfs/dbraw/zinc/29/27/06/826292706.db2.gz JUOWRKUNCRROAJ-PJXYFTJBSA-N -1 1 335.408 -0.529 20 0 EBADMM CO[C@@H](C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)C1CCC1 ZINC001114639591 826306185 /nfs/dbraw/zinc/30/61/85/826306185.db2.gz ZBQRFAHRTLBGPA-UVLXDEKHSA-N -1 1 335.408 -0.530 20 0 EBADMM O=C(CCCC(=O)N1CC(=O)Nc2ccccc21)NCc1nn[n-]n1 ZINC001180101412 833042896 /nfs/dbraw/zinc/04/28/96/833042896.db2.gz DWUROEVPHYWJEU-UHFFFAOYSA-N -1 1 343.347 -0.029 20 0 EBADMM C[C@H](CN(C)C(=O)Cn1c(=O)[n-][nH]c1=O)Nc1ncnc2[nH]cnc21 ZINC001115802407 826587670 /nfs/dbraw/zinc/58/76/70/826587670.db2.gz ZRLLVANXEZQMLR-SSDOTTSWSA-N -1 1 347.339 -0.685 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1ccccc1O[C@H]1CCOC1 ZINC001116267395 826609132 /nfs/dbraw/zinc/60/91/32/826609132.db2.gz TWFRDIMGFAHBJS-VIFPVBQESA-N -1 1 332.320 -0.378 20 0 EBADMM O=C(CCNC(=O)CCn1cc[n-]c(=O)c1=O)NCc1ccccn1 ZINC001116645506 826630779 /nfs/dbraw/zinc/63/07/79/826630779.db2.gz ISTPMZARTZCVLT-UHFFFAOYSA-N -1 1 345.359 -0.856 20 0 EBADMM O=C(Nc1ccc2c(c1)C(=O)CCC2)C(=O)NN1CC(=O)[N-]C1=O ZINC001117396222 826739674 /nfs/dbraw/zinc/73/96/74/826739674.db2.gz KCTRLAGDPVGJOR-UHFFFAOYSA-N -1 1 330.300 -0.273 20 0 EBADMM CN(C)C(=O)Cn1cnc([N-]C(=O)c2cc(Cn3cccn3)on2)n1 ZINC001117870915 826814013 /nfs/dbraw/zinc/81/40/13/826814013.db2.gz OPDFNFVLWHLSLU-UHFFFAOYSA-N -1 1 344.335 -0.149 20 0 EBADMM CC(C)n1cnc([N-]C(=O)c2nc(N)nc(N3CCOCC3)n2)n1 ZINC001118334297 826910796 /nfs/dbraw/zinc/91/07/96/826910796.db2.gz QMHJTZNSXOKVRP-UHFFFAOYSA-N -1 1 333.356 -0.285 20 0 EBADMM C[C@@H]1CC(=O)N(C)c2ccc(NC(=O)C(=O)NCc3nn[n-]n3)cc21 ZINC001118926041 827026754 /nfs/dbraw/zinc/02/67/54/827026754.db2.gz YYESPLDSIMUOCT-MRVPVSSYSA-N -1 1 343.347 -0.075 20 0 EBADMM COCCN(CCC(=O)[O-])C(=O)C(=O)N[C@@H]1CCN(C2CC2)C1 ZINC001120428174 827218006 /nfs/dbraw/zinc/21/80/06/827218006.db2.gz QTMJJAFLFKYWDU-LLVKDONJSA-N -1 1 327.381 -0.711 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)[C@H]1C[C@@]1(F)Cl ZINC001181669088 833173030 /nfs/dbraw/zinc/17/30/30/833173030.db2.gz CMENKLABDVTFAO-ZDMBXUJBSA-N -1 1 346.746 -0.048 20 0 EBADMM O=C(NC[C@H](CO)Nc1cccc(F)n1)c1cc(=O)n2[n-]cnc2n1 ZINC001121793360 827451468 /nfs/dbraw/zinc/45/14/68/827451468.db2.gz VTVLXBHCJCFXTH-MRVPVSSYSA-N -1 1 347.310 -0.846 20 0 EBADMM O=C([O-])[C@@]1(C(=O)NCCCN2CCc3ccccc32)CNCCO1 ZINC001121983640 827494820 /nfs/dbraw/zinc/49/48/20/827494820.db2.gz OYSBENNJDXMLKP-KRWDZBQOSA-N -1 1 333.388 -0.001 20 0 EBADMM CN1c2ccccc2CN(C(=O)[C@@]2(C(=O)[O-])CNCCO2)CC1=O ZINC001122089217 827520222 /nfs/dbraw/zinc/52/02/22/827520222.db2.gz RVCUIRQNIWHAMF-MRXNPFEDSA-N -1 1 333.344 -0.565 20 0 EBADMM CC(C)(C)OC(=O)NC[C@H](O)C(=O)NCCCC[P@](=O)([O-])O ZINC001182634106 833249838 /nfs/dbraw/zinc/24/98/38/833249838.db2.gz RFXAANXYENEXTB-VIFPVBQESA-N -1 1 340.313 -0.054 20 0 EBADMM Cc1cc(NC[C@H](O)CN(C)C(=O)c2ncccc2[O-])nc(CO)n1 ZINC001124329942 827817180 /nfs/dbraw/zinc/81/71/80/827817180.db2.gz WVLROVIQWIKPOE-NSHDSACASA-N -1 1 347.375 -0.077 20 0 EBADMM Cc1cc(NC[C@@H](O)CN(C)C(=O)c2ncccc2[O-])nc(CO)n1 ZINC001124329941 827817500 /nfs/dbraw/zinc/81/75/00/827817500.db2.gz WVLROVIQWIKPOE-LLVKDONJSA-N -1 1 347.375 -0.077 20 0 EBADMM COC(=O)[C@](C)(NC(=O)Cn1cc(F)c(=O)[n-]c1=O)C(F)(F)F ZINC001183664202 833299361 /nfs/dbraw/zinc/29/93/61/833299361.db2.gz KNGHPMPYVALTRX-JTQLQIEISA-N -1 1 341.217 -0.302 20 0 EBADMM Cn1nc(CC(=O)NCCNCc2n[nH]c(=O)[n-]2)c2ccccc21 ZINC001127442392 828291971 /nfs/dbraw/zinc/29/19/71/828291971.db2.gz CURNIBWBMAFOMH-UHFFFAOYSA-N -1 1 329.364 -0.155 20 0 EBADMM Cc1cnc(COCC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)o1 ZINC001184154952 833322112 /nfs/dbraw/zinc/32/21/12/833322112.db2.gz JYTSANWPGVZILT-SNVBAGLBSA-N -1 1 336.352 -0.286 20 0 EBADMM CCOCC(=O)N1C[C@@H](c2cn(C)cn2)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001130485387 828863027 /nfs/dbraw/zinc/86/30/27/828863027.db2.gz AHYMRPQNRJREHD-CMPLNLGQSA-N -1 1 349.395 -0.636 20 0 EBADMM CC(C)CC(=O)C(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001184333079 833327518 /nfs/dbraw/zinc/32/75/18/833327518.db2.gz DBYDARQIOMPCRW-NSHDSACASA-N -1 1 338.364 -0.357 20 0 EBADMM CN1C(=O)CC[C@H]1C(=O)NCC[N-]C(=O)C(F)(F)Br ZINC001184338524 833327553 /nfs/dbraw/zinc/32/75/53/833327553.db2.gz WDFKUVPPWCKDQU-LURJTMIESA-N -1 1 342.140 -0.173 20 0 EBADMM Cn1cc(CNCCNC(=O)c2n[nH]c(=O)[n-]c2=O)c(C(F)F)n1 ZINC001130863758 828980379 /nfs/dbraw/zinc/98/03/79/828980379.db2.gz UHCPTYJXNSDTHN-UHFFFAOYSA-N -1 1 343.294 -0.527 20 0 EBADMM C[C@H](NCCNC(=O)c1n[nH]c(=O)[n-]c1=O)C(=O)Nc1ccccc1 ZINC001130865117 828982230 /nfs/dbraw/zinc/98/22/30/828982230.db2.gz QBDKLQHVGIIKNU-VIFPVBQESA-N -1 1 346.347 -0.371 20 0 EBADMM Cc1nn(CC(=O)NCCNCc2n[nH]c(=O)[n-]2)c2ncccc12 ZINC001131607570 829162664 /nfs/dbraw/zinc/16/26/64/829162664.db2.gz LMIHPBYJVDLXIK-UHFFFAOYSA-N -1 1 330.352 -0.531 20 0 EBADMM CCOC(=O)N[C@@H](CC(N)=O)C(=O)Nc1cc(=O)[n-]c(SC)n1 ZINC001185863504 833372707 /nfs/dbraw/zinc/37/27/07/833372707.db2.gz VXRCRBOFDPQYGR-LURJTMIESA-N -1 1 343.365 -0.167 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)[C@H]1COCCN1CC1CCC1 ZINC001131825826 829232999 /nfs/dbraw/zinc/23/29/99/829232999.db2.gz USNXPLDCNQRYLD-GFCCVEGCSA-N -1 1 338.412 -0.783 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001131830547 829236024 /nfs/dbraw/zinc/23/60/24/829236024.db2.gz SEKNPEMRLBFSMY-PWSUYJOCSA-N -1 1 338.412 -0.944 20 0 EBADMM COCCOCC(=O)N[C@@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001131909468 829272538 /nfs/dbraw/zinc/27/25/38/829272538.db2.gz XYFBQVSPHAGTKU-NWDGAFQWSA-N -1 1 341.412 -0.760 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)CC(C)(C)O)CN1Cc1nc(=O)n(C)[n-]1 ZINC001132008976 829300375 /nfs/dbraw/zinc/30/03/75/829300375.db2.gz DSCQBDSOKMDNBQ-GHMZBOCLSA-N -1 1 325.413 -0.262 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)C(C)(C)C(N)=O)CN1Cc1nc(=O)n(C)[n-]1 ZINC001132120976 829342637 /nfs/dbraw/zinc/34/26/37/829342637.db2.gz YDUVVMXTWSHIDS-UWVGGRQHSA-N -1 1 338.412 -0.911 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)CCn2ccnc2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001132221383 829384778 /nfs/dbraw/zinc/38/47/78/829384778.db2.gz GSADZQNLZQFJLS-QWHCGFSZSA-N -1 1 347.423 -0.136 20 0 EBADMM CCc1nc(C)c(CNCCNC(=O)c2cnc([O-])n(C)c2=O)o1 ZINC001132242629 829393354 /nfs/dbraw/zinc/39/33/54/829393354.db2.gz WGBXUQGXTGGDOL-UHFFFAOYSA-N -1 1 335.364 -0.136 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)C1(n2cnnn2)CCCCC1 ZINC001132286680 829407347 /nfs/dbraw/zinc/40/73/47/829407347.db2.gz MEEZJNRJXRFGKC-UHFFFAOYSA-N -1 1 335.372 -0.938 20 0 EBADMM NC(=O)Nc1cccc(F)c1C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001134468464 829774910 /nfs/dbraw/zinc/77/49/10/829774910.db2.gz MLUZCHCTBJSJEO-UHFFFAOYSA-N -1 1 337.315 -0.340 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CCCn1cccn1 ZINC001211121721 837392796 /nfs/dbraw/zinc/39/27/96/837392796.db2.gz CLABAKQLDLQBGK-CHWSQXEVSA-N -1 1 347.423 -0.278 20 0 EBADMM CCCS(=O)(=O)CC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001211132823 837397139 /nfs/dbraw/zinc/39/71/39/837397139.db2.gz JYTWYRLMNMLZEG-JTQLQIEISA-N -1 1 345.425 -0.584 20 0 EBADMM C[C@H](C[C@@H](C)NC(=O)c1n[nH]c(=O)[n-]c1=O)NCc1nncs1 ZINC001134868609 829861783 /nfs/dbraw/zinc/86/17/83/829861783.db2.gz STAGNTGMYNNDNK-RNFRBKRXSA-N -1 1 339.381 -0.179 20 0 EBADMM Cc1nnc(CN[C@@H](C)C[C@H](C)NC(=O)c2n[nH]c(=O)[n-]c2=O)[nH]1 ZINC001134869446 829862231 /nfs/dbraw/zinc/86/22/31/829862231.db2.gz XBHQVYLJCBFOSN-BQBZGAKWSA-N -1 1 336.356 -0.604 20 0 EBADMM COc1nccc(CNCCNC(=O)c2c[n-]n3c2nccc3=O)n1 ZINC001134939409 829874558 /nfs/dbraw/zinc/87/45/58/829874558.db2.gz VSJMRSNIPQFQFC-UHFFFAOYSA-N -1 1 343.347 -0.659 20 0 EBADMM C[C@H](C[C@H](C)NCc1ncccn1)NC(=O)c1cnc([O-])n(C)c1=O ZINC001135175009 829914596 /nfs/dbraw/zinc/91/45/96/829914596.db2.gz GFDKJNXXMSMRSP-WDEREUQCSA-N -1 1 346.391 -0.037 20 0 EBADMM CCS(=O)(=O)CC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001211256357 837417159 /nfs/dbraw/zinc/41/71/59/837417159.db2.gz DLLXSWNFHBMQPO-VIFPVBQESA-N -1 1 331.398 -0.974 20 0 EBADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCCCNS(C)(=O)=O ZINC001136164324 830084993 /nfs/dbraw/zinc/08/49/93/830084993.db2.gz PSMQBYURFIZGKR-UHFFFAOYSA-N -1 1 334.423 -0.118 20 0 EBADMM O=C(CCCN1C(=O)CCC1=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC001138437786 830100042 /nfs/dbraw/zinc/10/00/42/830100042.db2.gz LRCMGODBFBDABF-SECBINFHSA-N -1 1 338.393 -0.255 20 0 EBADMM CCOCCOCC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001211293803 837423438 /nfs/dbraw/zinc/42/34/38/837423438.db2.gz QGFMVLJDSODIDO-LLVKDONJSA-N -1 1 327.385 -0.356 20 0 EBADMM CSCCC(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001146959658 830251598 /nfs/dbraw/zinc/25/15/98/830251598.db2.gz UBADGGCIBWUILJ-UHFFFAOYSA-N -1 1 325.438 -0.104 20 0 EBADMM Cc1c(C(=O)NCCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])cnn1C ZINC001147477141 830351287 /nfs/dbraw/zinc/35/12/87/830351287.db2.gz IPNFMCKSRBBQDG-LBPRGKRZSA-N -1 1 348.363 -0.616 20 0 EBADMM COCCC1(C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)CC1 ZINC001147690731 830393130 /nfs/dbraw/zinc/39/31/30/830393130.db2.gz XMZYOSHOAZIMPP-UHFFFAOYSA-N -1 1 349.435 -0.041 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCN[C@@H](C)c1csnn1 ZINC001147698106 830394841 /nfs/dbraw/zinc/39/48/41/830394841.db2.gz FBLYUXJYVHDLAR-LURJTMIESA-N -1 1 340.365 -0.172 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCN[C@H](C)c1ncccn1 ZINC001147699812 830395823 /nfs/dbraw/zinc/39/58/23/830395823.db2.gz RITTXTGBHRJTSQ-MRVPVSSYSA-N -1 1 334.336 -0.233 20 0 EBADMM Cc1cnc([C@@H](C)NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)o1 ZINC001147712714 830400033 /nfs/dbraw/zinc/40/00/33/830400033.db2.gz WMVSNPMJMZMRJS-SECBINFHSA-N -1 1 331.336 -0.205 20 0 EBADMM Cc1nc(CNCCNC(=O)c2cc(=O)n3[n-]cnc3n2)cs1 ZINC001147725176 830402478 /nfs/dbraw/zinc/40/24/78/830402478.db2.gz ALXLMDPIUBTUCM-UHFFFAOYSA-N -1 1 333.377 -0.298 20 0 EBADMM COCC(C)(C)C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001147939167 830432157 /nfs/dbraw/zinc/43/21/57/830432157.db2.gz PFJCLOZIMOUIKK-UHFFFAOYSA-N -1 1 337.424 -0.185 20 0 EBADMM Cc1ncc(C[NH3+])c(=N)n1C(=O)c1cc(S(=O)(=O)[O-])ccc1[O-] ZINC001148927314 830556371 /nfs/dbraw/zinc/55/63/71/830556371.db2.gz MHDRVDAJEXQHBW-UHFFFAOYSA-N -1 1 338.345 -0.230 20 0 EBADMM CC(C)CC(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149106044 830590568 /nfs/dbraw/zinc/59/05/68/830590568.db2.gz XPGUUIICNDNEHX-GFCCVEGCSA-N -1 1 325.413 -0.138 20 0 EBADMM COCCCC(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149256193 830626706 /nfs/dbraw/zinc/62/67/06/830626706.db2.gz NJTZGILEESVROV-GFCCVEGCSA-N -1 1 341.412 -0.758 20 0 EBADMM CC(C)(C)OC(=O)N1CCn2nnc(C(=O)NCc3nn[n-]n3)c2C1 ZINC001150028171 830799122 /nfs/dbraw/zinc/79/91/22/830799122.db2.gz NDIYVCTULWZYHO-UHFFFAOYSA-N -1 1 349.355 -0.528 20 0 EBADMM Cc1ccc2ccc(C(=O)N3CN[C@H](N)[C@@H]4NCN[C@@H]43)c([O-])c2n1 ZINC001155661345 831420448 /nfs/dbraw/zinc/42/04/48/831420448.db2.gz RIARPJRQGMLMTO-AEGPPILISA-N -1 1 328.376 -0.618 20 0 EBADMM CC[C@@H](C)OCC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1OC ZINC001212131531 837550592 /nfs/dbraw/zinc/55/05/92/837550592.db2.gz PANVENHBIVKUNK-IJLUTSLNSA-N -1 1 341.412 -0.761 20 0 EBADMM Cn1nncc1C(=O)NCCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001159996772 831803765 /nfs/dbraw/zinc/80/37/65/831803765.db2.gz QIPDIFVKYJLAKL-UHFFFAOYSA-N -1 1 346.395 -0.811 20 0 EBADMM COCCOC(=O)c1ccnc(Nc2c(O)[nH]c(=O)[n-]c2=S)c1 ZINC001160858285 831897985 /nfs/dbraw/zinc/89/79/85/831897985.db2.gz OYWPCQHUBSDQOM-SECBINFHSA-N -1 1 338.345 -0.168 20 0 EBADMM Cc1cnc(CNCCCNC(=O)CCc2n[nH]c(=O)[n-]c2=O)nc1 ZINC001161715145 831985833 /nfs/dbraw/zinc/98/58/33/831985833.db2.gz FCLQADQOCHHQDY-UHFFFAOYSA-N -1 1 347.379 -0.390 20 0 EBADMM Cc1cnc(CNCCCNC(=O)CCc2n[nH]c(=O)[n-]c2=O)o1 ZINC001161715546 831985963 /nfs/dbraw/zinc/98/59/63/831985963.db2.gz OPXSHBAHEOWHMD-UHFFFAOYSA-N -1 1 336.352 -0.192 20 0 EBADMM CC(C)n1cc(CNCCCNC(=O)c2n[nH]c(=O)[n-]c2=O)nn1 ZINC001161862850 831997226 /nfs/dbraw/zinc/99/72/26/831997226.db2.gz ABZOXVOWPLOJRP-UHFFFAOYSA-N -1 1 336.356 -0.635 20 0 EBADMM O=C(NCCCNCc1cn(CC2CC2)nn1)c1n[nH]c(=O)[n-]c1=O ZINC001161863281 831997352 /nfs/dbraw/zinc/99/73/52/831997352.db2.gz HMQZFQLMWUAQCJ-UHFFFAOYSA-N -1 1 348.367 -0.806 20 0 EBADMM Cn1nnc2cc(S(=O)(=O)[N-]C(=O)CCc3nc[nH]n3)ccc21 ZINC001350320421 832153489 /nfs/dbraw/zinc/15/34/89/832153489.db2.gz COZBJAYHBIJMOR-UHFFFAOYSA-N -1 1 335.349 -0.476 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCN(C(=O)c2ccco2)C1 ZINC001350438713 832167124 /nfs/dbraw/zinc/16/71/24/832167124.db2.gz SOVMDUATDOWACK-LLVKDONJSA-N -1 1 346.343 -0.449 20 0 EBADMM COCCCC(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001350451351 832167800 /nfs/dbraw/zinc/16/78/00/832167800.db2.gz CDTLXEIREOAOJY-SNVBAGLBSA-N -1 1 348.363 -0.825 20 0 EBADMM CCC(=O)N[C@@H](C)C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001164640989 832202169 /nfs/dbraw/zinc/20/21/69/832202169.db2.gz NSTZTLITYQDJFK-JTQLQIEISA-N -1 1 338.412 -0.199 20 0 EBADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)c1ccc(=O)n(C)n1 ZINC001351408038 832235270 /nfs/dbraw/zinc/23/52/70/832235270.db2.gz ZWNLOFMSOOBNMK-UHFFFAOYSA-N -1 1 345.359 -0.227 20 0 EBADMM NC(=O)c1ccc(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)o1 ZINC001166095150 832248333 /nfs/dbraw/zinc/24/83/33/832248333.db2.gz RMWNYGBDHWLBBH-UHFFFAOYSA-N -1 1 348.363 -0.108 20 0 EBADMM CN(CCCNC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)c1ccco1 ZINC001351714704 832273838 /nfs/dbraw/zinc/27/38/38/832273838.db2.gz YJYSJZPGMNNDTD-UHFFFAOYSA-N -1 1 348.359 -0.202 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)NCCCN(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001351804909 832281699 /nfs/dbraw/zinc/28/16/99/832281699.db2.gz QNXVTPRQOXCPIG-VXGBXAGGSA-N -1 1 336.392 -0.453 20 0 EBADMM CN1CC[C@@H](C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)C1=O ZINC001166717011 832283367 /nfs/dbraw/zinc/28/33/67/832283367.db2.gz XKOKJRRHOOFPNZ-JTQLQIEISA-N -1 1 336.396 -0.635 20 0 EBADMM Cn1c(=O)cccc1C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167174008 832315619 /nfs/dbraw/zinc/31/56/19/832315619.db2.gz MMRLXHPBSATYBI-UHFFFAOYSA-N -1 1 346.391 -0.101 20 0 EBADMM COCCO[C@H](C)C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167370272 832332764 /nfs/dbraw/zinc/33/27/64/832332764.db2.gz IOQSBVPJKQMZRH-LLVKDONJSA-N -1 1 341.412 -0.062 20 0 EBADMM O=C(CCCn1cncn1)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167652087 832361568 /nfs/dbraw/zinc/36/15/68/832361568.db2.gz MKPXCHOOSFACRW-UHFFFAOYSA-N -1 1 348.411 -0.042 20 0 EBADMM CN(C)C(=O)CC(=O)N[C@@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001353095931 832401396 /nfs/dbraw/zinc/40/13/96/832401396.db2.gz ZGWZLDLYFPNSDV-NSHDSACASA-N -1 1 334.376 -0.110 20 0 EBADMM CCS(=O)(=O)CC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001168307160 832411712 /nfs/dbraw/zinc/41/17/12/832411712.db2.gz DOLHUJIFVULHKN-UHFFFAOYSA-N -1 1 345.425 -0.679 20 0 EBADMM CN(C)C(=O)CC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC001354077899 832461861 /nfs/dbraw/zinc/46/18/61/832461861.db2.gz YLHZYPVCXATKBD-MSRIBSCDSA-N -1 1 332.360 -0.548 20 0 EBADMM CCNC(=O)CC(=O)NC[C@@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001354346831 832480079 /nfs/dbraw/zinc/48/00/79/832480079.db2.gz VZTXGBNJGWMILX-SECBINFHSA-N -1 1 349.391 -0.180 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@]2(C(=O)[O-])CNCCO2)CC[C@@H](C(F)(F)F)O1 ZINC001354580543 832504017 /nfs/dbraw/zinc/50/40/17/832504017.db2.gz JHGLMDWDERVWTP-VDDIYKPWSA-N -1 1 340.298 -0.002 20 0 EBADMM O=C(NCCCNCc1cnsn1)c1cc(=O)n2[n-]cnc2n1 ZINC001171079087 832541641 /nfs/dbraw/zinc/54/16/41/832541641.db2.gz CQRYKMMEZPZHIP-UHFFFAOYSA-N -1 1 334.365 -0.821 20 0 EBADMM Cn1cnnc1CNCCCNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001171079842 832543264 /nfs/dbraw/zinc/54/32/64/832543264.db2.gz LZQPXRRHPANZCV-UHFFFAOYSA-N -1 1 331.336 -0.033 20 0 EBADMM CN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)C1(C)CC=CC1 ZINC001355751353 832581168 /nfs/dbraw/zinc/58/11/68/832581168.db2.gz KYLFIDZJNQXTBP-UHFFFAOYSA-N -1 1 348.403 -0.142 20 0 EBADMM Cc1[n-][nH]c2ncnc(=NC(=O)[C@H]3CCc4[nH]c(=O)[nH]c(=O)c4C3)c1-2 ZINC001176619294 832682533 /nfs/dbraw/zinc/68/25/33/832682533.db2.gz HCZYVWGWXLBRJR-ZETCQYMHSA-N -1 1 341.331 -0.020 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCc2nnc(Cn3cccc3)n2CC1 ZINC001176840949 832694466 /nfs/dbraw/zinc/69/44/66/832694466.db2.gz ZASWFHMCFIDDCC-UHFFFAOYSA-N -1 1 327.352 -0.732 20 0 EBADMM COc1ccc(C(=O)N2CCN(C(=O)Cc3nn[n-]n3)CC2)cc1 ZINC001176842984 832694540 /nfs/dbraw/zinc/69/45/40/832694540.db2.gz XWGPLBGKRKMIPN-UHFFFAOYSA-N -1 1 330.348 -0.265 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)Cc1cncnc1 ZINC001177224773 832770249 /nfs/dbraw/zinc/77/02/49/832770249.db2.gz JEPMESMMERGXRO-LBPRGKRZSA-N -1 1 346.347 -0.939 20 0 EBADMM CN1CCC[C@H](NC(=O)c2cc3n(n2)CCCN(CC(=O)[O-])C3=O)C1 ZINC001178371459 832856755 /nfs/dbraw/zinc/85/67/55/832856755.db2.gz CSWUIFHXTORWEU-NSHDSACASA-N -1 1 349.391 -0.362 20 0 EBADMM CCOCCCC(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001187009363 833449152 /nfs/dbraw/zinc/44/91/52/833449152.db2.gz DVHBDFGYSHKLSI-QWHCGFSZSA-N -1 1 337.424 -0.042 20 0 EBADMM Cc1nccc(C(=O)NCCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])n1 ZINC001188183193 834020967 /nfs/dbraw/zinc/02/09/67/834020967.db2.gz LADBLKAXPZCRSE-LBPRGKRZSA-N -1 1 346.347 -0.560 20 0 EBADMM COC(=O)CCS(=O)(=O)[N-][C@@H](Cc1ccc(O)cc1)C(=O)OC ZINC001188399950 834043840 /nfs/dbraw/zinc/04/38/40/834043840.db2.gz FDEMEQJBSYPXAW-LBPRGKRZSA-N -1 1 345.373 -0.041 20 0 EBADMM CNC(=O)CCCC(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001189786427 834192016 /nfs/dbraw/zinc/19/20/16/834192016.db2.gz GOLVOLKQKKYGNX-NSHDSACASA-N -1 1 338.412 -0.943 20 0 EBADMM O=C(N[C@@H]1C[C@H](CO)[C@@H](O)[C@H]1O)c1cnc(-c2ccccc2)[n-]c1=O ZINC001190267694 834251096 /nfs/dbraw/zinc/25/10/96/834251096.db2.gz NCIFTFKXCRTVLD-ZRJCITRHSA-N -1 1 345.355 -0.318 20 0 EBADMM O=C(NC[C@H]1CNC(=O)CO1)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190629197 834304886 /nfs/dbraw/zinc/30/48/86/834304886.db2.gz NGGPWTOGZPKPMN-SECBINFHSA-N -1 1 329.316 -0.511 20 0 EBADMM CO[C@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1OC)C(C)C ZINC001213379681 837761212 /nfs/dbraw/zinc/76/12/12/837761212.db2.gz BNWCSXKAFKWWCV-WZRBSPASSA-N -1 1 341.412 -0.905 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@H](C)C(C)C ZINC001213511900 837788888 /nfs/dbraw/zinc/78/88/88/837788888.db2.gz XPFIIQAAKVFNGI-IJLUTSLNSA-N -1 1 325.413 -0.284 20 0 EBADMM COC(=O)[C@H](Cc1cncn1C)[N-]S(=O)(=O)C[C@@H]1CCCO1 ZINC001193299410 834829019 /nfs/dbraw/zinc/82/90/19/834829019.db2.gz QHYHWLVKDCEPLE-RYUDHWBXSA-N -1 1 331.394 -0.397 20 0 EBADMM CN1CCN(c2cncc(C(=O)NCC(=O)CCC(=O)[O-])c2)CC1 ZINC001193951490 834986100 /nfs/dbraw/zinc/98/61/00/834986100.db2.gz KVWJMOGQJXSIAB-UHFFFAOYSA-N -1 1 334.376 -0.003 20 0 EBADMM CCOCCOCC(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001194315950 835079757 /nfs/dbraw/zinc/07/97/57/835079757.db2.gz RISMWVVXVFLTQV-LBPRGKRZSA-N -1 1 341.412 -0.806 20 0 EBADMM CCC(=O)N[C@@H](C)C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001195925959 835310611 /nfs/dbraw/zinc/31/06/11/835310611.db2.gz JVLDDGYTEPPQQG-NSHDSACASA-N -1 1 338.412 -0.943 20 0 EBADMM Nc1ccc(S(N)(=O)=O)cc1NC(=O)c1c[nH]c(=S)[n-]c1=O ZINC001196022154 835327765 /nfs/dbraw/zinc/32/77/65/835327765.db2.gz XNKJWARPJWWODF-UHFFFAOYSA-N -1 1 341.374 -0.047 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O)C(C)(F)F ZINC001196260691 835381655 /nfs/dbraw/zinc/38/16/55/835381655.db2.gz WMSIHXVBWDPOCZ-DJLDLDEBSA-N -1 1 333.339 -0.939 20 0 EBADMM C[C@H](CC(C)(C)C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001196717408 835451921 /nfs/dbraw/zinc/45/19/21/835451921.db2.gz QMCQOBAUGONTJT-IJLUTSLNSA-N -1 1 339.440 -0.158 20 0 EBADMM CCOCCN1C[C@@H](O)[C@H](NC(=O)c2ccc3oc(=O)nc-3[n-]2)C1 ZINC001197758780 835605287 /nfs/dbraw/zinc/60/52/87/835605287.db2.gz PWBJDZIWAPUKJB-GHMZBOCLSA-N -1 1 336.348 -0.260 20 0 EBADMM CC[C@H](CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O)C(C)C ZINC001197936026 835623246 /nfs/dbraw/zinc/62/32/46/835623246.db2.gz ZGHJRCPCRODUJO-JHJVBQTASA-N -1 1 339.440 -0.158 20 0 EBADMM COCCn1c(=O)cccc1C(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001198700987 835711057 /nfs/dbraw/zinc/71/10/57/835711057.db2.gz TWZAEOOOZXWKPS-UHFFFAOYSA-N -1 1 346.303 -0.224 20 0 EBADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1cncc(N2CCOCC2)c1 ZINC001199269905 835785683 /nfs/dbraw/zinc/78/56/83/835785683.db2.gz COUULQNKCKAUCY-UHFFFAOYSA-N -1 1 332.320 -0.046 20 0 EBADMM COC[C@H](C)C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001200122495 835913024 /nfs/dbraw/zinc/91/30/24/835913024.db2.gz FFGVLSZURDEVQD-WDEREUQCSA-N -1 1 327.385 -0.500 20 0 EBADMM O=C(CNC(=O)C1CC1)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202541830 836312572 /nfs/dbraw/zinc/31/25/72/836312572.db2.gz FEWDINDXNBUKMY-MNOVXSKESA-N -1 1 348.407 -0.447 20 0 EBADMM COC(=O)NCC(=O)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202552570 836317205 /nfs/dbraw/zinc/31/72/05/836317205.db2.gz LMGJQBFJUPHCDH-BDAKNGLRSA-N -1 1 338.368 -0.617 20 0 EBADMM CN(C)C(=O)CC(=O)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001203475417 836435591 /nfs/dbraw/zinc/43/55/91/836435591.db2.gz RSGVAEKOCNYENE-VHSXEESVSA-N -1 1 336.396 -0.494 20 0 EBADMM CC(C)S(=O)(=O)CC(=O)N1CCC(NCc2n[nH]c(=O)[n-]2)CC1 ZINC001203749093 836474189 /nfs/dbraw/zinc/47/41/89/836474189.db2.gz UHOJOZLUEYNMNR-UHFFFAOYSA-N -1 1 345.425 -0.586 20 0 EBADMM CCO[C@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C)C1CC1 ZINC001206983127 836742349 /nfs/dbraw/zinc/74/23/49/836742349.db2.gz FGBQMUCVBJHOCU-QKCSRTOESA-N -1 1 337.424 -0.140 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CCc1ccnn1C ZINC001207248717 836767218 /nfs/dbraw/zinc/76/72/18/836767218.db2.gz IVKYZXAZPYPBET-DGCLKSJQSA-N -1 1 347.423 -0.589 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CCCCc1cn[nH]n1 ZINC001208113882 836945767 /nfs/dbraw/zinc/94/57/67/836945767.db2.gz JTDGFUDRHPUPDZ-ZYHUDNBSSA-N -1 1 348.411 -0.022 20 0 EBADMM COC[C@]1(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CCOC1 ZINC001208795406 837110132 /nfs/dbraw/zinc/11/01/32/837110132.db2.gz GTHYNLBUUOYKFG-XHDPSFHLSA-N -1 1 339.396 -0.356 20 0 EBADMM O=C(CCCn1cncn1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210522623 837294392 /nfs/dbraw/zinc/29/43/92/837294392.db2.gz NXBAYPJSPSKEDN-LLVKDONJSA-N -1 1 334.384 -0.337 20 0 EBADMM CO[C@@H]1CN(CCO)C[C@H]1NC(=O)C(C)(C)[N-]C(=O)C(F)(F)F ZINC001213908104 837898524 /nfs/dbraw/zinc/89/85/24/837898524.db2.gz DCZQGQLYSXNEST-RKDXNWHRSA-N -1 1 341.330 -0.749 20 0 EBADMM O=C(Cn1cc(C(=O)NN2CC(=O)[N-]C2=O)cn1)NC1CCCC1 ZINC001319917233 838192698 /nfs/dbraw/zinc/19/26/98/838192698.db2.gz SRPVZOMZWASOFB-UHFFFAOYSA-N -1 1 334.336 -0.862 20 0 EBADMM O=C(Nc1ccnn1Cc1ccccc1)C(=O)NN1CC(=O)[N-]C1=O ZINC001320978378 838874103 /nfs/dbraw/zinc/87/41/03/838874103.db2.gz JIERQAAQEGICLT-UHFFFAOYSA-N -1 1 342.315 -0.547 20 0 EBADMM Cc1n[nH]c(C(=O)NCC=CCNCC(=O)N2CCOCC2)c1[O-] ZINC001321031930 838887024 /nfs/dbraw/zinc/88/70/24/838887024.db2.gz PNUNURBHBADNNA-IHWYPQMZSA-N -1 1 337.380 -0.842 20 0 EBADMM COC(=O)c1ccc(C)c(NC(=O)C(=O)NN2CC(=O)[N-]C2=O)c1 ZINC001322981122 839313396 /nfs/dbraw/zinc/31/33/96/839313396.db2.gz KNVGSWKAMCLVIG-UHFFFAOYSA-N -1 1 334.288 -0.697 20 0 EBADMM CCO[C@@H]1COCC[C@@H]1NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001323004689 839318363 /nfs/dbraw/zinc/31/83/63/839318363.db2.gz JZLVGBSDSZKGDF-QWHCGFSZSA-N -1 1 347.375 -0.410 20 0 EBADMM CCc1ccc(NC(=O)C(=O)NN2CC(=O)[N-]C2=O)c(C(=O)OC)c1 ZINC001323042226 839331944 /nfs/dbraw/zinc/33/19/44/839331944.db2.gz NCOJHXUGYAZVQG-UHFFFAOYSA-N -1 1 348.315 -0.443 20 0 EBADMM COC(=O)c1csc(NC(=O)C(=O)NN2CC(=O)[N-]C2=O)c1C ZINC001323042598 839332685 /nfs/dbraw/zinc/33/26/85/839332685.db2.gz RQABUQMVBVXMSK-UHFFFAOYSA-N -1 1 340.317 -0.635 20 0 EBADMM COC(=O)c1ccc(NC(=O)C(=O)NN2CC(=O)[N-]C2=O)cc1C ZINC001323711043 839506193 /nfs/dbraw/zinc/50/61/93/839506193.db2.gz LAJRWOXOCMYUMD-UHFFFAOYSA-N -1 1 334.288 -0.697 20 0 EBADMM Cn1ncc2c1ncnc2N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001325039894 839758086 /nfs/dbraw/zinc/75/80/86/839758086.db2.gz UUHSTYUQKVSFPI-UHFFFAOYSA-N -1 1 329.368 -0.893 20 0 EBADMM Cc1cc(NC(=O)C(=O)NCc2nn[n-]n2)ccc1N1CCOCC1 ZINC001325186875 839813266 /nfs/dbraw/zinc/81/32/66/839813266.db2.gz NHNRGPYIBJJKNC-UHFFFAOYSA-N -1 1 345.363 -0.400 20 0 EBADMM O=C([C@H]1CCNC(=O)C1)N1CC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001326237045 840068255 /nfs/dbraw/zinc/06/82/55/840068255.db2.gz DDRVYXICBJCSIM-MNOVXSKESA-N -1 1 336.396 -0.635 20 0 EBADMM N[C@@H](Cc1cn(Cc2ccccc2)cn1)C(=O)NCc1n[nH]c(=O)[n-]1 ZINC001326674205 840172765 /nfs/dbraw/zinc/17/27/65/840172765.db2.gz HDPMLVINKSYVMV-ZDUSSCGKSA-N -1 1 341.375 -0.059 20 0 EBADMM Cn1cc(N2CC[C@@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)cn1 ZINC001328653820 840724797 /nfs/dbraw/zinc/72/47/97/840724797.db2.gz PLPFLPNDJMUFHE-LLVKDONJSA-N -1 1 332.364 -0.945 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NC[C@H]3CCC[C@H](O)C3)ccnc1-2 ZINC001329063528 840832265 /nfs/dbraw/zinc/83/22/65/840832265.db2.gz QRDVLALUWITXHY-QWRGUYRKSA-N -1 1 331.376 -0.052 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1ccc(O[C@H]2CCOC2)cc1 ZINC001329143010 840854586 /nfs/dbraw/zinc/85/45/86/840854586.db2.gz WHDFNAUOMKHKDC-NSHDSACASA-N -1 1 332.320 -0.378 20 0 EBADMM C[C@@H](CNC(=O)c1ccnc2[nH]cnc21)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001329451944 840924930 /nfs/dbraw/zinc/92/49/30/840924930.db2.gz XHJXGCGNAILLRH-VIFPVBQESA-N -1 1 344.379 -0.370 20 0 EBADMM C[C@@H](CNC(=O)c1ccc2nc[nH]c2n1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001329471260 840932928 /nfs/dbraw/zinc/93/29/28/840932928.db2.gz LLRNZDVOVDFYJC-VIFPVBQESA-N -1 1 344.379 -0.370 20 0 EBADMM Cn1[n-]c(CN2CC(CCO)(NC(=O)C[C@@H]3C=CCC3)C2)nc1=O ZINC001329636940 840971671 /nfs/dbraw/zinc/97/16/71/840971671.db2.gz CHSYBAICKDCNNA-GFCCVEGCSA-N -1 1 335.408 -0.482 20 0 EBADMM COCCOCCC(=O)N1CCN([C@]2(C(=O)[O-])CCOC2)CC1 ZINC001330255062 841152174 /nfs/dbraw/zinc/15/21/74/841152174.db2.gz SAYFFLGTTZSGDN-OAHLLOKOSA-N -1 1 330.381 -0.573 20 0 EBADMM Cc1[n-][nH]c(=O)c1NC(=O)CCn1cnc2c1c(=O)n(C)c(=O)n2C ZINC001331202316 841369737 /nfs/dbraw/zinc/36/97/37/841369737.db2.gz WHAWGNVJGZTZAU-UHFFFAOYSA-N -1 1 347.335 -0.800 20 0 EBADMM C[C@@H](CN(C)C(=O)c1nc2ncccn2n1)NCc1n[nH]c(=O)[n-]1 ZINC001331592826 841434491 /nfs/dbraw/zinc/43/44/91/841434491.db2.gz FFBLWUNNSXDPAU-QMMMGPOBSA-N -1 1 331.340 -0.802 20 0 EBADMM Cn1[n-]c(CN[C@@H](CO)CNC(=O)[C@@H]2CCC=CCCC2)nc1=O ZINC001331738360 841477052 /nfs/dbraw/zinc/47/70/52/841477052.db2.gz GYPFNYMGMKGSEY-CHWSQXEVSA-N -1 1 337.424 -0.188 20 0 EBADMM CC(C)[C@@H](C(=O)[O-])C(=O)N[C@H]1CC[N@@H+](CCN2CCOCC2)C1 ZINC001332113913 841576241 /nfs/dbraw/zinc/57/62/41/841576241.db2.gz NWCFMMYLEIPOOC-UONOGXRCSA-N -1 1 327.425 -0.134 20 0 EBADMM CC(C)[C@@H](C(=O)[O-])C(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC001332113913 841576247 /nfs/dbraw/zinc/57/62/47/841576247.db2.gz NWCFMMYLEIPOOC-UONOGXRCSA-N -1 1 327.425 -0.134 20 0 EBADMM O=C(c1c[n-]n2c1nccc2=O)N1CCN(CCCCCO)CC1 ZINC001332275378 841603945 /nfs/dbraw/zinc/60/39/45/841603945.db2.gz ZGBWHLWEPHLRQE-UHFFFAOYSA-N -1 1 333.392 -0.057 20 0 EBADMM CC(C)(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C(=O)NCC1CC1 ZINC001332880701 841757094 /nfs/dbraw/zinc/75/70/94/841757094.db2.gz ABYCWPBFNOWAMD-SNVBAGLBSA-N -1 1 338.412 -0.343 20 0 EBADMM O=C(NCCc1c(F)cc([O-])cc1F)C(=O)N1CCNC(=O)CC1 ZINC001333369782 841859791 /nfs/dbraw/zinc/85/97/91/841859791.db2.gz IABVMFMVOFEYRQ-UHFFFAOYSA-N -1 1 341.314 -0.322 20 0 EBADMM CC(C)C(=O)N[C@@H](C)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001334938891 842151573 /nfs/dbraw/zinc/15/15/73/842151573.db2.gz BJRDWPHPCIKPAP-NSHDSACASA-N -1 1 336.392 -0.454 20 0 EBADMM CCN1CCCN(C(=O)C(=O)N2CC[C@@](COC)(C(=O)[O-])C2)CC1 ZINC001335689519 842335173 /nfs/dbraw/zinc/33/51/73/842335173.db2.gz FLMFGJRAZOAIRL-MRXNPFEDSA-N -1 1 341.408 -0.510 20 0 EBADMM CCN1CC[C@H](NC(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1=O ZINC001335851551 842365168 /nfs/dbraw/zinc/36/51/68/842365168.db2.gz IBQIOMZSFWDYNQ-NSHDSACASA-N -1 1 330.348 -0.983 20 0 EBADMM COc1ccc(NC(=O)C(=O)N[C@@H](C)CO)cc1[N-]S(C)(=O)=O ZINC001337193718 842585143 /nfs/dbraw/zinc/58/51/43/842585143.db2.gz SWCVENAXAIWJSM-QMMMGPOBSA-N -1 1 345.377 -0.498 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1cccnc1N1CCCCC1 ZINC001337483411 842619572 /nfs/dbraw/zinc/61/95/72/842619572.db2.gz RQGMZRWTOCUWDL-UHFFFAOYSA-N -1 1 330.352 -0.160 20 0 EBADMM CC(C)(C)c1cc2n(n1)CCN(C(=O)[C@@]1(C(=O)[O-])CNCCO1)C2 ZINC001341087698 843055365 /nfs/dbraw/zinc/05/53/65/843055365.db2.gz KWLIZVOJPIMRSI-MRXNPFEDSA-N -1 1 336.392 -0.034 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2CCC[C@H](OCC(F)(F)F)C2)CNCCO1 ZINC001341465266 843092056 /nfs/dbraw/zinc/09/20/56/843092056.db2.gz NYNFKEYUUUBAMO-JOYOIKCWSA-N -1 1 340.298 -0.001 20 0 EBADMM CCc1c(NC(=O)C(=O)NN2CC(=O)[N-]C2=O)cnn1CC(C)C ZINC001342445377 843162670 /nfs/dbraw/zinc/16/26/70/843162670.db2.gz FIYYQLQVDOHNRZ-UHFFFAOYSA-N -1 1 336.352 -0.377 20 0 EBADMM CN(C)c1nccc2c1CN(C(=O)[C@]1(C(=O)[O-])CNCCO1)CC2 ZINC001343487107 843242187 /nfs/dbraw/zinc/24/21/87/843242187.db2.gz CGBUKPQKYHXTGG-INIZCTEOSA-N -1 1 334.376 -0.525 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCCCn3ccnc3)ccnc1-2 ZINC001346454635 843519738 /nfs/dbraw/zinc/51/97/38/843519738.db2.gz GDLUMNPSTMNRHZ-UHFFFAOYSA-N -1 1 327.348 -0.317 20 0 EBADMM Nc1ncnc2cc(C(=O)N3CCO[C@@H](c4nn[n-]n4)C3)ccc12 ZINC001347418833 843616195 /nfs/dbraw/zinc/61/61/95/843616195.db2.gz AWTBYRDNMOCNJF-LLVKDONJSA-N -1 1 326.320 -0.061 20 0 EBADMM COc1ncnc(OC)c1C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001348838478 843755704 /nfs/dbraw/zinc/75/57/04/843755704.db2.gz GEZXQJNLRUDOIW-UHFFFAOYSA-N -1 1 347.331 -0.246 20 0 EBADMM CCN1C[C@@H](CNC(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1=O ZINC001349573504 843918914 /nfs/dbraw/zinc/91/89/14/843918914.db2.gz OYJWUOXYAQPOAH-SNVBAGLBSA-N -1 1 344.375 -0.735 20 0 EBADMM CN1CCCC[C@@H]1CN1CCN(CCS(=O)(=O)CC(=O)[O-])CC1 ZINC001610950915 970804360 /nfs/dbraw/zinc/80/43/60/970804360.db2.gz ZVPTXEJLVHOQPM-CQSZACIVSA-N -1 1 347.481 -0.412 20 0 EBADMM COCCN1CCC[C@H]1CNC(=O)C(=O)N1CC[C@H](C(=O)[O-])C1 ZINC001611012169 970847860 /nfs/dbraw/zinc/84/78/60/970847860.db2.gz TXHNMAAWURESBO-RYUDHWBXSA-N -1 1 327.381 -0.854 20 0 EBADMM O=C([O-])[C@@H](Cc1cnc[nH]1)NC(=O)[C@@H]1CCCN1c1ncccn1 ZINC000323938706 971063606 /nfs/dbraw/zinc/06/36/06/971063606.db2.gz IYOSZZHZTOYJTF-NEPJUHHUSA-N -1 1 330.348 -0.019 20 0 EBADMM CC(=O)N1CCN([C@@H]2CCN(c3ccc(-c4nn[n-]n4)nn3)C2)CC1 ZINC001573451369 945836840 /nfs/dbraw/zinc/83/68/40/945836840.db2.gz UQLINLZQMJAKNI-GFCCVEGCSA-N -1 1 343.395 -0.601 20 0 EBADMM CC(=O)NC(C)(C)C(=O)NC[C@@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001573463368 945954816 /nfs/dbraw/zinc/95/48/16/945954816.db2.gz VUYYARDHDSLPQS-MRVPVSSYSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NC(C)(C)C(=O)NC[C@@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001573463368 945954822 /nfs/dbraw/zinc/95/48/22/945954822.db2.gz VUYYARDHDSLPQS-MRVPVSSYSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N1CC[C@@H](Nc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001573472624 946067123 /nfs/dbraw/zinc/06/71/23/946067123.db2.gz WOANKWSWKSHOJZ-WCBMZHEXSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N1CC[C@@H](Nc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001573472624 946067137 /nfs/dbraw/zinc/06/71/37/946067137.db2.gz WOANKWSWKSHOJZ-WCBMZHEXSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@H](C)CCNc1cnc(-c2nnn[n-]2)cn1 ZINC001573475436 946100352 /nfs/dbraw/zinc/10/03/52/946100352.db2.gz UDMBCILZZOWQIL-BDAKNGLRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@H](C)CCNc1cnc(-c2nn[n-]n2)cn1 ZINC001573475436 946100359 /nfs/dbraw/zinc/10/03/59/946100359.db2.gz UDMBCILZZOWQIL-BDAKNGLRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@@H](C)CN(C)c1cncc(-c2nnn[n-]2)n1 ZINC001573477059 946128485 /nfs/dbraw/zinc/12/84/85/946128485.db2.gz LUVMZWWOZKNJMP-IUCAKERBSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@@H](C)CN(C)c1cncc(-c2nn[n-]n2)n1 ZINC001573477059 946128489 /nfs/dbraw/zinc/12/84/89/946128489.db2.gz LUVMZWWOZKNJMP-IUCAKERBSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@H](C)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001573477892 946144112 /nfs/dbraw/zinc/14/41/12/946144112.db2.gz VADSJPSAMRHPRZ-IUCAKERBSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@H](C)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001573477892 946144117 /nfs/dbraw/zinc/14/41/17/946144117.db2.gz VADSJPSAMRHPRZ-IUCAKERBSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NCC[C@H](C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001573479979 946171295 /nfs/dbraw/zinc/17/12/95/946171295.db2.gz IUVIMRSYUIKOFK-DTWKUNHWSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NCC[C@H](C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001573479979 946171298 /nfs/dbraw/zinc/17/12/98/946171298.db2.gz IUVIMRSYUIKOFK-DTWKUNHWSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NCC[C@@H](C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001573482024 946188899 /nfs/dbraw/zinc/18/88/99/946188899.db2.gz SYNUFKDCWGNZMG-RKDXNWHRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NCC[C@@H](C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001573482024 946188911 /nfs/dbraw/zinc/18/89/11/946188911.db2.gz SYNUFKDCWGNZMG-RKDXNWHRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NCC1(Nc2cnc(-c3nnn[n-]3)cn2)CC1 ZINC001573482608 946201542 /nfs/dbraw/zinc/20/15/42/946201542.db2.gz YPGBGGBDGXIUIL-MRVPVSSYSA-N -1 1 345.367 -0.758 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NCC1(Nc2cnc(-c3nn[n-]n3)cn2)CC1 ZINC001573482608 946201555 /nfs/dbraw/zinc/20/15/55/946201555.db2.gz YPGBGGBDGXIUIL-MRVPVSSYSA-N -1 1 345.367 -0.758 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@@H](C)CNc1nccnc1-c1nnn[n-]1 ZINC001573485486 946226585 /nfs/dbraw/zinc/22/65/85/946226585.db2.gz UCOVVWAZBQGYCQ-YUMQZZPRSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@@H](C)CNc1nccnc1-c1nn[n-]n1 ZINC001573485486 946226597 /nfs/dbraw/zinc/22/65/97/946226597.db2.gz UCOVVWAZBQGYCQ-YUMQZZPRSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)NCC(=O)N(C)[C@@H](C)CNc1nccnc1-c1nnn[n-]1 ZINC001573496714 946327473 /nfs/dbraw/zinc/32/74/73/946327473.db2.gz AUQMNWSWCFXTAC-QMMMGPOBSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)NCC(=O)N(C)[C@@H](C)CNc1nccnc1-c1nn[n-]n1 ZINC001573496714 946327484 /nfs/dbraw/zinc/32/74/84/946327484.db2.gz AUQMNWSWCFXTAC-QMMMGPOBSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)NCC(=O)N(C)[C@@H](C)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001573497552 946352012 /nfs/dbraw/zinc/35/20/12/946352012.db2.gz SDILNMPQZBACMC-QMMMGPOBSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)NCC(=O)N(C)[C@@H](C)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001573497552 946352017 /nfs/dbraw/zinc/35/20/17/946352017.db2.gz SDILNMPQZBACMC-QMMMGPOBSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)NCC(=O)N[C@H](CNc1cnc(-c2nnn[n-]2)cn1)C1CC1 ZINC001573510723 946479289 /nfs/dbraw/zinc/47/92/89/946479289.db2.gz KCPDHROSZFEMIM-SNVBAGLBSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)N[C@H](CNc1cnc(-c2nn[n-]n2)cn1)C1CC1 ZINC001573510723 946479297 /nfs/dbraw/zinc/47/92/97/946479297.db2.gz KCPDHROSZFEMIM-SNVBAGLBSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)[C@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001573511127 946483842 /nfs/dbraw/zinc/48/38/42/946483842.db2.gz NCIDNJHKOMQAKI-JGVFFNPUSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)[C@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001573511127 946483848 /nfs/dbraw/zinc/48/38/48/946483848.db2.gz NCIDNJHKOMQAKI-JGVFFNPUSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)NCC(=O)NCC[C@H](C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001573521561 946608478 /nfs/dbraw/zinc/60/84/78/946608478.db2.gz ZDIBFWCJDZKWTP-QMMMGPOBSA-N -1 1 333.356 -0.901 20 0 EBADMM CC(=O)NCC(=O)NCC[C@H](C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001573521561 946608486 /nfs/dbraw/zinc/60/84/86/946608486.db2.gz ZDIBFWCJDZKWTP-QMMMGPOBSA-N -1 1 333.356 -0.901 20 0 EBADMM CC(=O)NC[C@@H]1CN(C(=O)c2csc(-c3nn[n-]n3)c2)CCO1 ZINC001573529168 946671728 /nfs/dbraw/zinc/67/17/28/946671728.db2.gz LUCPDXAFAKRJAR-SNVBAGLBSA-N -1 1 336.377 -0.095 20 0 EBADMM CC(=O)NC[C@H]1CCCN(C(=O)[C@H](C)n2cnc(-c3nn[n-]n3)n2)C1 ZINC001573530696 946700712 /nfs/dbraw/zinc/70/07/12/946700712.db2.gz XLKUEZIMPYYBKR-GXSJLCMTSA-N -1 1 347.383 -0.606 20 0 EBADMM CC(=O)Nc1cccnc1C(=O)NCCn1cnc(-c2nn[n-]n2)n1 ZINC001573548148 946848185 /nfs/dbraw/zinc/84/81/85/946848185.db2.gz DOXYMPHZJAAROG-UHFFFAOYSA-N -1 1 342.323 -0.758 20 0 EBADMM C[C@H](C(=O)N(C)C)S(=O)(=O)NCc1ccc(-c2nn[n-]n2)s1 ZINC001573593856 947380215 /nfs/dbraw/zinc/38/02/15/947380215.db2.gz LVPAFYSHXQQPIP-SSDOTTSWSA-N -1 1 344.422 -0.176 20 0 EBADMM C[C@H](C(=O)N(C)C[C@@H](O)CNc1cncc(-c2nnn[n-]2)n1)C1CC1 ZINC001573595656 947425246 /nfs/dbraw/zinc/42/52/46/947425246.db2.gz HEZBGUJBEWAAIF-ONGXEEELSA-N -1 1 346.395 -0.066 20 0 EBADMM C[C@H](C(=O)N(C)C[C@@H](O)CNc1cncc(-c2nn[n-]n2)n1)C1CC1 ZINC001573595656 947425253 /nfs/dbraw/zinc/42/52/53/947425253.db2.gz HEZBGUJBEWAAIF-ONGXEEELSA-N -1 1 346.395 -0.066 20 0 EBADMM C[C@@H](C(=O)N(C)C[C@H](O)CNc1cnc(-c2nnn[n-]2)cn1)C1CC1 ZINC001573596537 947432551 /nfs/dbraw/zinc/43/25/51/947432551.db2.gz KZCANUCFOVUHQW-MWLCHTKSSA-N -1 1 346.395 -0.066 20 0 EBADMM C[C@@H](C(=O)N(C)C[C@H](O)CNc1cnc(-c2nn[n-]n2)cn1)C1CC1 ZINC001573596537 947432557 /nfs/dbraw/zinc/43/25/57/947432557.db2.gz KZCANUCFOVUHQW-MWLCHTKSSA-N -1 1 346.395 -0.066 20 0 EBADMM C[C@H](C(=O)N(C)CCNc1ccnc(-c2nn[n-]n2)n1)[C@H]1CCCO1 ZINC001573604550 947513068 /nfs/dbraw/zinc/51/30/68/947513068.db2.gz OSDKLRFHCBTLRE-WDEREUQCSA-N -1 1 346.395 -0.236 20 0 EBADMM C[C@H](C(=O)N1CC(=O)NC[C@@H]1C(C)(C)C)n1cnc(-c2nn[n-]n2)n1 ZINC001573606944 947537248 /nfs/dbraw/zinc/53/72/48/947537248.db2.gz AZUKNRKHEMCNPK-RKDXNWHRSA-N -1 1 347.383 -0.608 20 0 EBADMM C[C@H](C(=O)N1CCCCCNC(=O)CC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573628707 947760861 /nfs/dbraw/zinc/76/08/61/947760861.db2.gz NOGNIOFXSJXTMZ-SNVBAGLBSA-N -1 1 347.383 -0.462 20 0 EBADMM C[C@@H](C(=O)N1CCC[C@@H](c2ncon2)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573628824 947765717 /nfs/dbraw/zinc/76/57/17/947765717.db2.gz OHTQLBJBWTUJNJ-DTWKUNHWSA-N -1 1 344.339 -0.192 20 0 EBADMM C[C@H](C(=O)N1CCN2C[C@@H](F)C[C@H]2C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573640657 947853025 /nfs/dbraw/zinc/85/30/25/947853025.db2.gz IZKYLTGATJXEJZ-UTLUCORTSA-N -1 1 335.347 -0.726 20 0 EBADMM CC(=O)CCCC(=O)NC[C@H](CO)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001573381922 947878306 /nfs/dbraw/zinc/87/83/06/947878306.db2.gz ZQRQPAFUCAUZHA-SNVBAGLBSA-N -1 1 348.367 -0.695 20 0 EBADMM CC(=O)CCCC(=O)NC[C@H](CO)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001573381922 947878311 /nfs/dbraw/zinc/87/83/11/947878311.db2.gz ZQRQPAFUCAUZHA-SNVBAGLBSA-N -1 1 348.367 -0.695 20 0 EBADMM CC(=O)CCCC(=O)N[C@H]1C[C@@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001573384406 947901616 /nfs/dbraw/zinc/90/16/16/947901616.db2.gz MJROKJYNGFEFGT-PHIMTYICSA-N -1 1 344.379 -0.103 20 0 EBADMM CC(=O)N(C)CC(=O)NCC[C@@H](C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001573405733 948046951 /nfs/dbraw/zinc/04/69/51/948046951.db2.gz VJDAQNKVEWDKQL-SECBINFHSA-N -1 1 347.383 -0.558 20 0 EBADMM CC(=O)N(C)CC(=O)NCC[C@@H](C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001573405733 948046966 /nfs/dbraw/zinc/04/69/66/948046966.db2.gz VJDAQNKVEWDKQL-SECBINFHSA-N -1 1 347.383 -0.558 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001573406383 948050355 /nfs/dbraw/zinc/05/03/55/948050355.db2.gz CUJWTOCGDVPPAI-QMMMGPOBSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001573406383 948050360 /nfs/dbraw/zinc/05/03/60/948050360.db2.gz CUJWTOCGDVPPAI-QMMMGPOBSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001573406947 948059431 /nfs/dbraw/zinc/05/94/31/948059431.db2.gz XEJAKMRCFWXWIS-QMMMGPOBSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001573406947 948059436 /nfs/dbraw/zinc/05/94/36/948059436.db2.gz XEJAKMRCFWXWIS-QMMMGPOBSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N1CC(C(=O)N(C)CCNc2cncc(-c3nnn[n-]3)n2)C1 ZINC001573415445 948109454 /nfs/dbraw/zinc/10/94/54/948109454.db2.gz SLUDRYAFMAVTFU-UHFFFAOYSA-N -1 1 345.367 -0.995 20 0 EBADMM CC(=O)N1CC(C(=O)N(C)CCNc2cncc(-c3nn[n-]n3)n2)C1 ZINC001573415445 948109461 /nfs/dbraw/zinc/10/94/61/948109461.db2.gz SLUDRYAFMAVTFU-UHFFFAOYSA-N -1 1 345.367 -0.995 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CCOC12CCOCC2)n1cnc(-c2nn[n-]n2)n1 ZINC001573672818 948111246 /nfs/dbraw/zinc/11/12/46/948111246.db2.gz JLNWLHOESLQWSL-VHSXEESVSA-N -1 1 348.367 -0.527 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@H](C)CNc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001573426905 948160112 /nfs/dbraw/zinc/16/01/12/948160112.db2.gz QTCIZDDYHCKOAH-MRVPVSSYSA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@H](C)CNc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001573426905 948160114 /nfs/dbraw/zinc/16/01/14/948160114.db2.gz QTCIZDDYHCKOAH-MRVPVSSYSA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)N1CCC(N(CCO)c2ccc(-c3nnn[n-]3)nn2)CC1 ZINC001573434205 948211407 /nfs/dbraw/zinc/21/14/07/948211407.db2.gz PSZRQYNXOPTOQP-UHFFFAOYSA-N -1 1 332.368 -0.534 20 0 EBADMM CC(=O)N1CCC(N(CCO)c2ccc(-c3nn[n-]n3)nn2)CC1 ZINC001573434205 948211412 /nfs/dbraw/zinc/21/14/12/948211412.db2.gz PSZRQYNXOPTOQP-UHFFFAOYSA-N -1 1 332.368 -0.534 20 0 EBADMM C[C@H](C(=O)NCC(=O)c1cncs1)n1cnc(-c2nn[n-]n2)n1 ZINC001573681809 948214124 /nfs/dbraw/zinc/21/41/24/948214124.db2.gz ZFPCRXDRCZLGMY-ZCFIWIBFSA-N -1 1 333.337 -0.525 20 0 EBADMM C[C@H](C(=O)NC[C@@H](CO)Nc1cncc(-c2nnn[n-]2)n1)C1CC1 ZINC001573681873 948216094 /nfs/dbraw/zinc/21/60/94/948216094.db2.gz YGQFRBMKBMLNPB-WPRPVWTQSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@H](C(=O)NC[C@@H](CO)Nc1cncc(-c2nn[n-]n2)n1)C1CC1 ZINC001573681873 948216100 /nfs/dbraw/zinc/21/61/00/948216100.db2.gz YGQFRBMKBMLNPB-WPRPVWTQSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@H](C(=O)NC[C@@H](O)CNc1nccnc1-c1nnn[n-]1)C1CC1 ZINC001573696033 948316767 /nfs/dbraw/zinc/31/67/67/948316767.db2.gz ZMXOJASDDHYWGX-WPRPVWTQSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@H](C(=O)NC[C@@H](O)CNc1nccnc1-c1nn[n-]n1)C1CC1 ZINC001573696033 948316774 /nfs/dbraw/zinc/31/67/74/948316774.db2.gz ZMXOJASDDHYWGX-WPRPVWTQSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@H](C(=O)NCC[C@@H]1CC(=O)N(C)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573705096 948391083 /nfs/dbraw/zinc/39/10/83/948391083.db2.gz CQWBOBLBSPBUMP-RKDXNWHRSA-N -1 1 333.356 -0.996 20 0 EBADMM C[C@H](C(=O)NCCN(C)c1cnc(-c2nnn[n-]2)cn1)n1cccn1 ZINC001573719237 948522692 /nfs/dbraw/zinc/52/26/92/948522692.db2.gz LTMMMABVWIURGH-SNVBAGLBSA-N -1 1 342.367 -0.333 20 0 EBADMM C[C@H](C(=O)NCCN(C)c1cnc(-c2nn[n-]n2)cn1)n1cccn1 ZINC001573719237 948522698 /nfs/dbraw/zinc/52/26/98/948522698.db2.gz LTMMMABVWIURGH-SNVBAGLBSA-N -1 1 342.367 -0.333 20 0 EBADMM C[C@@H](CNc1ccc(-c2nnn[n-]2)nn1)NC(=O)[C@H](C)n1cncn1 ZINC001573732396 948601300 /nfs/dbraw/zinc/60/13/00/948601300.db2.gz OHHXEXBWJPDQND-IUCAKERBSA-N -1 1 343.355 -0.574 20 0 EBADMM C[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)NC(=O)[C@H](C)n1cncn1 ZINC001573732396 948601306 /nfs/dbraw/zinc/60/13/06/948601306.db2.gz OHHXEXBWJPDQND-IUCAKERBSA-N -1 1 343.355 -0.574 20 0 EBADMM C[C@H](CNc1nccnc1-c1nnn[n-]1)NC(=O)[C@@H](C)n1cncn1 ZINC001573741559 948656088 /nfs/dbraw/zinc/65/60/88/948656088.db2.gz CQVKWQCYRSMVID-RKDXNWHRSA-N -1 1 343.355 -0.574 20 0 EBADMM C[C@H](CNc1nccnc1-c1nn[n-]n1)NC(=O)[C@@H](C)n1cncn1 ZINC001573741559 948656093 /nfs/dbraw/zinc/65/60/93/948656093.db2.gz CQVKWQCYRSMVID-RKDXNWHRSA-N -1 1 343.355 -0.574 20 0 EBADMM C[C@H](CNc1ccc(-c2nnn[n-]2)nn1)NC(=O)[C@H](C)n1cncn1 ZINC001573742636 948662307 /nfs/dbraw/zinc/66/23/07/948662307.db2.gz OHHXEXBWJPDQND-BDAKNGLRSA-N -1 1 343.355 -0.574 20 0 EBADMM C[C@H](CNc1ccc(-c2nn[n-]n2)nn1)NC(=O)[C@H](C)n1cncn1 ZINC001573742636 948662311 /nfs/dbraw/zinc/66/23/11/948662311.db2.gz OHHXEXBWJPDQND-BDAKNGLRSA-N -1 1 343.355 -0.574 20 0 EBADMM Nc1nccc2c1CN(C(=O)Cn1cnc(-c3nn[n-]n3)n1)CC2 ZINC001570925291 948665641 /nfs/dbraw/zinc/66/56/41/948665641.db2.gz FLOZTQXBAVVAOF-UHFFFAOYSA-N -1 1 326.324 -0.980 20 0 EBADMM O=C(N[C@H]1CCN(c2cnc(-c3nn[n-]n3)cn2)C1)c1ccn[nH]1 ZINC001570925696 948673557 /nfs/dbraw/zinc/67/35/57/948673557.db2.gz NIEHEOJAVOAWBX-QMMMGPOBSA-N -1 1 326.324 -0.612 20 0 EBADMM O=c1cc([C@H]2CCN(c3ccc(-c4nnn[n-]4)nn3)C2)[nH]c(=O)[nH]1 ZINC001570926632 948694160 /nfs/dbraw/zinc/69/41/60/948694160.db2.gz CMLMODLRDZJEMT-ZETCQYMHSA-N -1 1 327.308 -0.148 20 0 EBADMM O=c1cc([C@H]2CCN(c3ccc(-c4nn[n-]n4)nn3)C2)[nH]c(=O)[nH]1 ZINC001570926632 948694164 /nfs/dbraw/zinc/69/41/64/948694164.db2.gz CMLMODLRDZJEMT-ZETCQYMHSA-N -1 1 327.308 -0.148 20 0 EBADMM Cc1ccnc([C@@H](C)NC(=O)[C@H](C)n2cnc(-c3nn[n-]n3)n2)n1 ZINC001570926989 948702723 /nfs/dbraw/zinc/70/27/23/948702723.db2.gz MENZOPLSLRXUNE-BDAKNGLRSA-N -1 1 328.340 -0.005 20 0 EBADMM Cn1c(=O)[nH]c(NCCc2ccc(=O)[nH]c2)c(-c2nn[n-]n2)c1=O ZINC001570927168 948705345 /nfs/dbraw/zinc/70/53/45/948705345.db2.gz BJKZOSYZTBQEGS-UHFFFAOYSA-N -1 1 330.308 -0.579 20 0 EBADMM Cn1c(=O)[nH]c(Sc2ccc(CO)cc2)c(-c2nn[n-]n2)c1=O ZINC001570928529 948735938 /nfs/dbraw/zinc/73/59/38/948735938.db2.gz GSAMMIXKQQRSJW-UHFFFAOYSA-N -1 1 332.345 -0.103 20 0 EBADMM O=C([C@H]1CCOC1)N1CC[C@@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570928994 948750966 /nfs/dbraw/zinc/75/09/66/948750966.db2.gz YHUOXSOEZRUEDD-VHSXEESVSA-N -1 1 330.352 -0.872 20 0 EBADMM C[C@H](CCNc1cnc(-c2nn[n-]n2)cn1)NC(=O)Cc1ncc[nH]1 ZINC001574678964 948763723 /nfs/dbraw/zinc/76/37/23/948763723.db2.gz LEHSDMBFSWPDTK-SECBINFHSA-N -1 1 342.367 -0.071 20 0 EBADMM CO[C@@H](C)C(=O)N1CC[C@@H](N(C)c2cncc(-c3nnn[n-]3)n2)C1 ZINC001570930677 948821953 /nfs/dbraw/zinc/82/19/53/948821953.db2.gz OJOFICOEMDTUFJ-VHSXEESVSA-N -1 1 332.368 -0.271 20 0 EBADMM CO[C@@H](C)C(=O)N1CC[C@@H](N(C)c2cncc(-c3nn[n-]n3)n2)C1 ZINC001570930677 948821970 /nfs/dbraw/zinc/82/19/70/948821970.db2.gz OJOFICOEMDTUFJ-VHSXEESVSA-N -1 1 332.368 -0.271 20 0 EBADMM COCC(=O)N1CCC[C@H](N(C)c2nccnc2-c2nnn[n-]2)C1 ZINC001570930778 948824953 /nfs/dbraw/zinc/82/49/53/948824953.db2.gz PELNNDOAZJSVFI-JTQLQIEISA-N -1 1 332.368 -0.270 20 0 EBADMM COCC(=O)N1CCC[C@H](N(C)c2nccnc2-c2nn[n-]n2)C1 ZINC001570930778 948824967 /nfs/dbraw/zinc/82/49/67/948824967.db2.gz PELNNDOAZJSVFI-JTQLQIEISA-N -1 1 332.368 -0.270 20 0 EBADMM CCC(=O)N1CCO[C@@H]([C@@H](C)Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570931425 948846726 /nfs/dbraw/zinc/84/67/26/948846726.db2.gz XVRBJHAVKHHNJC-NXEZZACHSA-N -1 1 332.368 -0.484 20 0 EBADMM CC(F)(F)CC(=O)NC[C@@H](CO)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575233109 948883105 /nfs/dbraw/zinc/88/31/05/948883105.db2.gz GWSMHYODEASWSV-ZETCQYMHSA-N -1 1 342.310 -0.409 20 0 EBADMM CC(F)(F)CC(=O)NC[C@@H](CO)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575233109 948883123 /nfs/dbraw/zinc/88/31/23/948883123.db2.gz GWSMHYODEASWSV-ZETCQYMHSA-N -1 1 342.310 -0.409 20 0 EBADMM C[C@H](C(=O)NCc1nn(C)cc1Cl)n1cnc(-c2nn[n-]n2)n1 ZINC001570933582 948897156 /nfs/dbraw/zinc/89/71/56/948897156.db2.gz AVGWXDPHTWEBGT-ZCFIWIBFSA-N -1 1 336.747 -0.278 20 0 EBADMM CC(C)[C@@]1(C)C[C@@H]1C(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001574087733 948900575 /nfs/dbraw/zinc/90/05/75/948900575.db2.gz AERVUMOEARNYLQ-MEBBXXQBSA-N -1 1 347.379 -0.073 20 0 EBADMM CC(C)c1nc(CNc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)c[nH]1 ZINC001574427404 948902991 /nfs/dbraw/zinc/90/29/91/948902991.db2.gz FGFYAAXLMHVLFR-UHFFFAOYSA-N -1 1 345.367 -0.277 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)N1CCOC[C@@H]1CCO ZINC001570933715 948905705 /nfs/dbraw/zinc/90/57/05/948905705.db2.gz FORXXDSQJIVBOV-VIFPVBQESA-N -1 1 334.336 -0.501 20 0 EBADMM CC[C@@H](O)[C@@H](C)C(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC001570933723 948906771 /nfs/dbraw/zinc/90/67/71/948906771.db2.gz DDHCWZOIDCDKAT-PSASIEDQSA-N -1 1 336.400 -0.030 20 0 EBADMM CC[C@@H](O)[C@@H](C)C(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC001570933723 948906784 /nfs/dbraw/zinc/90/67/84/948906784.db2.gz DDHCWZOIDCDKAT-PSASIEDQSA-N -1 1 336.400 -0.030 20 0 EBADMM CC(C)(C(=O)NC[C@@H](O)CNc1cnc(-c2nnn[n-]2)cn1)C1CC1 ZINC001573773765 948992759 /nfs/dbraw/zinc/99/27/59/948992759.db2.gz HCEGMZZZAGJNKQ-JTQLQIEISA-N -1 1 346.395 -0.018 20 0 EBADMM CC(C)(C(=O)NC[C@@H](O)CNc1cnc(-c2nn[n-]n2)cn1)C1CC1 ZINC001573773765 948992764 /nfs/dbraw/zinc/99/27/64/948992764.db2.gz HCEGMZZZAGJNKQ-JTQLQIEISA-N -1 1 346.395 -0.018 20 0 EBADMM CCS(=O)(=O)N(C)CC(=O)N(C)c1ccc(-c2nnn[n-]2)nc1 ZINC001570935881 949000675 /nfs/dbraw/zinc/00/06/75/949000675.db2.gz HDGJODUEEMQLTF-UHFFFAOYSA-N -1 1 339.381 -0.494 20 0 EBADMM CCS(=O)(=O)N(C)CC(=O)N(C)c1ccc(-c2nn[n-]n2)nc1 ZINC001570935881 949000692 /nfs/dbraw/zinc/00/06/92/949000692.db2.gz HDGJODUEEMQLTF-UHFFFAOYSA-N -1 1 339.381 -0.494 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)[C@@H]1COCCO1 ZINC001574691932 949032350 /nfs/dbraw/zinc/03/23/50/949032350.db2.gz ZJDGVFMVIFAAAI-UWVGGRQHSA-N -1 1 348.367 -0.621 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)[C@@H]1COCCO1 ZINC001574691932 949032367 /nfs/dbraw/zinc/03/23/67/949032367.db2.gz ZJDGVFMVIFAAAI-UWVGGRQHSA-N -1 1 348.367 -0.621 20 0 EBADMM O=C(N[C@@H]1CCN(c2ccnc(-c3nn[n-]n3)n2)C1)c1ccncn1 ZINC001570936615 949040846 /nfs/dbraw/zinc/04/08/46/949040846.db2.gz ZSGNKVHHSVQGPW-SECBINFHSA-N -1 1 338.335 -0.545 20 0 EBADMM O=C(c1cn[nH]c1)N1CC[C@H](CNc2nccnc2-c2nnn[n-]2)C1 ZINC001570937559 949079268 /nfs/dbraw/zinc/07/92/68/949079268.db2.gz GJFIYGBPERVCTK-SECBINFHSA-N -1 1 340.351 -0.046 20 0 EBADMM O=C(c1cn[nH]c1)N1CC[C@H](CNc2nccnc2-c2nn[n-]n2)C1 ZINC001570937559 949079288 /nfs/dbraw/zinc/07/92/88/949079288.db2.gz GJFIYGBPERVCTK-SECBINFHSA-N -1 1 340.351 -0.046 20 0 EBADMM C[C@@H]1CN(C(=O)c2cn[nH]c2)C[C@H]1Nc1nccnc1-c1nnn[n-]1 ZINC001570938078 949090132 /nfs/dbraw/zinc/09/01/32/949090132.db2.gz NROAQFASQGRENQ-PSASIEDQSA-N -1 1 340.351 -0.048 20 0 EBADMM C[C@@H]1CN(C(=O)c2cn[nH]c2)C[C@H]1Nc1nccnc1-c1nn[n-]n1 ZINC001570938078 949090150 /nfs/dbraw/zinc/09/01/50/949090150.db2.gz NROAQFASQGRENQ-PSASIEDQSA-N -1 1 340.351 -0.048 20 0 EBADMM O=C(Cc1ncc[nH]1)N1CCN(c2nccnc2-c2nnn[n-]2)CC1 ZINC001570938104 949091592 /nfs/dbraw/zinc/09/15/92/949091592.db2.gz OPAQTXRDEIEFDO-UHFFFAOYSA-N -1 1 340.351 -0.729 20 0 EBADMM O=C(Cc1ncc[nH]1)N1CCN(c2nccnc2-c2nn[n-]n2)CC1 ZINC001570938104 949091608 /nfs/dbraw/zinc/09/16/08/949091608.db2.gz OPAQTXRDEIEFDO-UHFFFAOYSA-N -1 1 340.351 -0.729 20 0 EBADMM O=C(Cc1ccco1)N1CC[C@@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570938100 949092351 /nfs/dbraw/zinc/09/23/51/949092351.db2.gz ONSMMMUNIGMXMQ-SNVBAGLBSA-N -1 1 340.347 -0.073 20 0 EBADMM O=C(NC1CC(CNc2cncc(-c3nnn[n-]3)n2)C1)c1cnon1 ZINC001570938310 949102025 /nfs/dbraw/zinc/10/20/25/949102025.db2.gz DTCYDUVTWOZDIV-UHFFFAOYSA-N -1 1 342.323 -0.340 20 0 EBADMM O=C(NC1CC(CNc2cncc(-c3nn[n-]n3)n2)C1)c1cnon1 ZINC001570938310 949102035 /nfs/dbraw/zinc/10/20/35/949102035.db2.gz DTCYDUVTWOZDIV-UHFFFAOYSA-N -1 1 342.323 -0.340 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)Cc1ncc[nH]1 ZINC001574696024 949122077 /nfs/dbraw/zinc/12/20/77/949122077.db2.gz LZKJQZVIJWHIJV-SECBINFHSA-N -1 1 342.367 -0.071 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)Cc1ncc[nH]1 ZINC001574696024 949122090 /nfs/dbraw/zinc/12/20/90/949122090.db2.gz LZKJQZVIJWHIJV-SECBINFHSA-N -1 1 342.367 -0.071 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)[C@H]1C[C@H]1C(N)=O ZINC001574696243 949123842 /nfs/dbraw/zinc/12/38/42/949123842.db2.gz OIHBVENTFOYHRO-YIZRAAEISA-N -1 1 345.367 -0.915 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)[C@H]1C[C@H]1C(N)=O ZINC001574696243 949123854 /nfs/dbraw/zinc/12/38/54/949123854.db2.gz OIHBVENTFOYHRO-YIZRAAEISA-N -1 1 345.367 -0.915 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)[C@@H]1CCC(=O)N1 ZINC001574696420 949128098 /nfs/dbraw/zinc/12/80/98/949128098.db2.gz QBUXCXFQOLQXKK-BDAKNGLRSA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)[C@@H]1CCC(=O)N1 ZINC001574696420 949128113 /nfs/dbraw/zinc/12/81/13/949128113.db2.gz QBUXCXFQOLQXKK-BDAKNGLRSA-N -1 1 345.367 -0.758 20 0 EBADMM Cc1ncccc1CNC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570939580 949148553 /nfs/dbraw/zinc/14/85/53/949148553.db2.gz OWXFGTNUTORFOY-UHFFFAOYSA-N -1 1 342.319 -0.851 20 0 EBADMM Cn1ncc(C(=O)N2CC[C@H](Nc3nccnc3-c3nnn[n-]3)C2)n1 ZINC001570939866 949157467 /nfs/dbraw/zinc/15/74/67/949157467.db2.gz HUVIRHMJPVFOCA-QMMMGPOBSA-N -1 1 341.339 -0.888 20 0 EBADMM Cn1ncc(C(=O)N2CC[C@H](Nc3nccnc3-c3nn[n-]n3)C2)n1 ZINC001570939866 949157479 /nfs/dbraw/zinc/15/74/79/949157479.db2.gz HUVIRHMJPVFOCA-QMMMGPOBSA-N -1 1 341.339 -0.888 20 0 EBADMM C[C@H]1[C@H](Nc2ccnc(-c3nn[n-]n3)n2)CCN1C(=O)c1cnon1 ZINC001570939977 949160367 /nfs/dbraw/zinc/16/03/67/949160367.db2.gz SHTCBNZAAMMXDD-JGVFFNPUSA-N -1 1 342.323 -0.823 20 0 EBADMM O=C(c1cnon1)N1CCC[C@@H](Nc2cncc(-c3nnn[n-]3)n2)C1 ZINC001570940597 949189086 /nfs/dbraw/zinc/18/90/86/949189086.db2.gz YHASFQDDVGPDOY-MRVPVSSYSA-N -1 1 342.323 -0.244 20 0 EBADMM O=C(c1cnon1)N1CCC[C@@H](Nc2cncc(-c3nn[n-]n3)n2)C1 ZINC001570940597 949189094 /nfs/dbraw/zinc/18/90/94/949189094.db2.gz YHASFQDDVGPDOY-MRVPVSSYSA-N -1 1 342.323 -0.244 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H](C)CC(N)=O)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574698419 949190366 /nfs/dbraw/zinc/19/03/66/949190366.db2.gz KLWNYJWHLHONHI-IUCAKERBSA-N -1 1 347.383 -0.573 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H](C)CC(N)=O)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574698419 949190386 /nfs/dbraw/zinc/19/03/86/949190386.db2.gz KLWNYJWHLHONHI-IUCAKERBSA-N -1 1 347.383 -0.573 20 0 EBADMM O=C(N1CCN(c2ccc(-c3nnn[n-]3)nn2)CC1)[C@]12C[C@H]1COC2 ZINC001570940794 949194415 /nfs/dbraw/zinc/19/44/15/949194415.db2.gz PWVYFJLGISGMOO-BONVTDFDSA-N -1 1 342.363 -0.658 20 0 EBADMM O=C(N1CCN(c2ccc(-c3nn[n-]n3)nn2)CC1)[C@]12C[C@H]1COC2 ZINC001570940794 949194429 /nfs/dbraw/zinc/19/44/29/949194429.db2.gz PWVYFJLGISGMOO-BONVTDFDSA-N -1 1 342.363 -0.658 20 0 EBADMM C[C@H](CNC(=O)Cc1cc[nH]n1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574941248 949302824 /nfs/dbraw/zinc/30/28/24/949302824.db2.gz CDWSRBUGARQELA-MRVPVSSYSA-N -1 1 328.340 -0.461 20 0 EBADMM C[C@H](CNC(=O)Cc1cc[nH]n1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574941248 949302842 /nfs/dbraw/zinc/30/28/42/949302842.db2.gz CDWSRBUGARQELA-MRVPVSSYSA-N -1 1 328.340 -0.461 20 0 EBADMM CN([C@]1(CO)CCOC1)S(=O)(=O)c1cccnc1-c1nn[n-]n1 ZINC001570942710 949303074 /nfs/dbraw/zinc/30/30/74/949303074.db2.gz UNRFKUTYORXIOF-LBPRGKRZSA-N -1 1 340.365 -0.966 20 0 EBADMM Cn1c(=O)[nH]c(N2CCC[C@H](c3cc[nH]n3)C2)c(-c2nn[n-]n2)c1=O ZINC001570942801 949307444 /nfs/dbraw/zinc/30/74/44/949307444.db2.gz RMXBKKATGKGNCV-QMMMGPOBSA-N -1 1 343.351 -0.639 20 0 EBADMM C[C@@H](CNC(=O)Cc1ccco1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574941523 949313972 /nfs/dbraw/zinc/31/39/72/949313972.db2.gz RKVBNVIBTGGPGQ-VIFPVBQESA-N -1 1 328.336 -0.169 20 0 EBADMM C[C@H](CNC(=O)Cc1ccn(C)n1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574941770 949314668 /nfs/dbraw/zinc/31/46/68/949314668.db2.gz HHYLSYUGWBAUBM-SECBINFHSA-N -1 1 342.367 -0.451 20 0 EBADMM C[C@H](CNC(=O)Cc1ccn(C)n1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574941770 949314690 /nfs/dbraw/zinc/31/46/90/949314690.db2.gz HHYLSYUGWBAUBM-SECBINFHSA-N -1 1 342.367 -0.451 20 0 EBADMM C[C@@H]1C(=O)CCCN1C(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001575863798 949355194 /nfs/dbraw/zinc/35/51/94/949355194.db2.gz FOTDOPGEGNESJZ-MRVPVSSYSA-N -1 1 347.335 -0.901 20 0 EBADMM C[C@H](CNC(=O)Cc1ccon1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001574942489 949359087 /nfs/dbraw/zinc/35/90/87/949359087.db2.gz POZKIFGYJOTKBO-SECBINFHSA-N -1 1 343.351 -0.172 20 0 EBADMM C[C@H](CNC(=O)Cc1ccon1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001574942489 949359108 /nfs/dbraw/zinc/35/91/08/949359108.db2.gz POZKIFGYJOTKBO-SECBINFHSA-N -1 1 343.351 -0.172 20 0 EBADMM C[C@H](CNC(=O)Cc1ccn(C)n1)Nc1nccnc1-c1nnn[n-]1 ZINC001574942769 949365140 /nfs/dbraw/zinc/36/51/40/949365140.db2.gz SNTFWMRNWWCMMC-SECBINFHSA-N -1 1 342.367 -0.451 20 0 EBADMM C[C@H](CNC(=O)Cc1ccn(C)n1)Nc1nccnc1-c1nn[n-]n1 ZINC001574942769 949365165 /nfs/dbraw/zinc/36/51/65/949365165.db2.gz SNTFWMRNWWCMMC-SECBINFHSA-N -1 1 342.367 -0.451 20 0 EBADMM CC(C)(C)C(=O)N[C@@H]1CCN(c2cncc(-c3nnn[n-]3)n2)C[C@@H]1O ZINC001573800008 949386424 /nfs/dbraw/zinc/38/64/24/949386424.db2.gz UAMYILITBOFJNI-KOLCDFICSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)(C)C(=O)N[C@@H]1CCN(c2cncc(-c3nn[n-]n3)n2)C[C@@H]1O ZINC001573800008 949386445 /nfs/dbraw/zinc/38/64/45/949386445.db2.gz UAMYILITBOFJNI-KOLCDFICSA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@H](CN(C)C(=O)C1=COCCO1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574704725 949402616 /nfs/dbraw/zinc/40/26/16/949402616.db2.gz PXMGTYPJCCHEKB-SECBINFHSA-N -1 1 346.351 -0.774 20 0 EBADMM C[C@H](Cc1ccco1)NC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570944325 949416069 /nfs/dbraw/zinc/41/60/69/949416069.db2.gz NCNLUVMPMVAWEV-MRVPVSSYSA-N -1 1 345.319 -0.531 20 0 EBADMM C[C@H](CNC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)CC(F)F ZINC001574947146 949445353 /nfs/dbraw/zinc/44/53/53/949445353.db2.gz ZVPKWCUPINWMJW-LURJTMIESA-N -1 1 343.294 -0.464 20 0 EBADMM C[C@H](CNC(=O)Cn1ccnc1)CNc1nccnc1-c1nnn[n-]1 ZINC001574948472 949462987 /nfs/dbraw/zinc/46/29/87/949462987.db2.gz JDUBKPKAYYALDZ-SNVBAGLBSA-N -1 1 342.367 -0.282 20 0 EBADMM C[C@H](CNC(=O)Cn1ccnc1)CNc1nccnc1-c1nn[n-]n1 ZINC001574948472 949463007 /nfs/dbraw/zinc/46/30/07/949463007.db2.gz JDUBKPKAYYALDZ-SNVBAGLBSA-N -1 1 342.367 -0.282 20 0 EBADMM CC(C)(C)C(=O)NC[C@@]1(O)CCN(c2cncc(-c3nnn[n-]3)n2)C1 ZINC001573804351 949466821 /nfs/dbraw/zinc/46/68/21/949466821.db2.gz VBTDOLGEFJJHPZ-HNNXBMFYSA-N -1 1 346.395 -0.240 20 0 EBADMM CC(C)(C)C(=O)NC[C@@]1(O)CCN(c2cncc(-c3nn[n-]n3)n2)C1 ZINC001573804351 949466835 /nfs/dbraw/zinc/46/68/35/949466835.db2.gz VBTDOLGEFJJHPZ-HNNXBMFYSA-N -1 1 346.395 -0.240 20 0 EBADMM C[C@H](CNC(=O)Cn1cncn1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001574948881 949475835 /nfs/dbraw/zinc/47/58/35/949475835.db2.gz NZKNBHBCFFKBBB-VIFPVBQESA-N -1 1 343.355 -0.887 20 0 EBADMM C[C@H](CNC(=O)Cn1cncn1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001574948881 949475847 /nfs/dbraw/zinc/47/58/47/949475847.db2.gz NZKNBHBCFFKBBB-VIFPVBQESA-N -1 1 343.355 -0.887 20 0 EBADMM C[C@@H](O)c1cn(CC(=O)Nc2n[nH]c(C3CC3)c2-c2nn[n-]n2)nn1 ZINC001570945601 949489891 /nfs/dbraw/zinc/48/98/91/949489891.db2.gz FQRMDOQMTUENER-ZCFIWIBFSA-N -1 1 344.339 -0.249 20 0 EBADMM O=C(N[C@@H]1CCN(c2cnc(-c3nnn[n-]3)cn2)C1)c1cnsn1 ZINC001570945677 949492797 /nfs/dbraw/zinc/49/27/97/949492797.db2.gz HHMHSVPDNSMGKW-SSDOTTSWSA-N -1 1 344.364 -0.483 20 0 EBADMM O=C(N[C@@H]1CCN(c2cnc(-c3nn[n-]n3)cn2)C1)c1cnsn1 ZINC001570945677 949492816 /nfs/dbraw/zinc/49/28/16/949492816.db2.gz HHMHSVPDNSMGKW-SSDOTTSWSA-N -1 1 344.364 -0.483 20 0 EBADMM O=C(N[C@H]1CCN(c2cnc(-c3nnn[n-]3)cn2)C1)c1cnsn1 ZINC001570945678 949493088 /nfs/dbraw/zinc/49/30/88/949493088.db2.gz HHMHSVPDNSMGKW-ZETCQYMHSA-N -1 1 344.364 -0.483 20 0 EBADMM O=C(N[C@H]1CCN(c2cnc(-c3nn[n-]n3)cn2)C1)c1cnsn1 ZINC001570945678 949493101 /nfs/dbraw/zinc/49/31/01/949493101.db2.gz HHMHSVPDNSMGKW-ZETCQYMHSA-N -1 1 344.364 -0.483 20 0 EBADMM C[C@@H]1CCC[C@@H]1NC(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001576158460 949496008 /nfs/dbraw/zinc/49/60/08/949496008.db2.gz SUKHVYYOKKWOPZ-SCZZXKLOSA-N -1 1 333.352 -0.176 20 0 EBADMM CO[C@H](C)C(=O)N1CC[C@@H](CN(C)c2nccnc2-c2nnn[n-]2)C1 ZINC001570945741 949499788 /nfs/dbraw/zinc/49/97/88/949499788.db2.gz BVMCJTCBUFUWMJ-MNOVXSKESA-N -1 1 346.395 -0.024 20 0 EBADMM CO[C@H](C)C(=O)N1CC[C@@H](CN(C)c2nccnc2-c2nn[n-]n2)C1 ZINC001570945741 949499789 /nfs/dbraw/zinc/49/97/89/949499789.db2.gz BVMCJTCBUFUWMJ-MNOVXSKESA-N -1 1 346.395 -0.024 20 0 EBADMM C[C@@H]1CCCC[C@@]12CC(=O)NN2C(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC001576158535 949500712 /nfs/dbraw/zinc/50/07/12/949500712.db2.gz UAWQRXHYAROUOS-YMTOWFKASA-N -1 1 345.367 -0.329 20 0 EBADMM C[C@H]1CO[C@H](C(=O)N2CCN(c3cnc(-c4nnn[n-]4)cn3)CC2)C1 ZINC001570945926 949509406 /nfs/dbraw/zinc/50/94/06/949509406.db2.gz LFPNVRGNVPTAKH-PWSUYJOCSA-N -1 1 344.379 -0.270 20 0 EBADMM C[C@H]1CO[C@H](C(=O)N2CCN(c3cnc(-c4nn[n-]n4)cn3)CC2)C1 ZINC001570945926 949509424 /nfs/dbraw/zinc/50/94/24/949509424.db2.gz LFPNVRGNVPTAKH-PWSUYJOCSA-N -1 1 344.379 -0.270 20 0 EBADMM CC(=O)N1CCC(NC(=O)COc2cnc(-c3nn[n-]n3)nc2)CC1 ZINC001570945995 949513156 /nfs/dbraw/zinc/51/31/56/949513156.db2.gz HISAOSBBIWOSSR-UHFFFAOYSA-N -1 1 346.351 -0.837 20 0 EBADMM C[C@H](CNC(=O)Nc1ccnc(-c2nnn[n-]2)c1)S(C)(=O)=O ZINC001574949988 949523014 /nfs/dbraw/zinc/52/30/14/949523014.db2.gz IZJFRAIRWAGSIL-SSDOTTSWSA-N -1 1 325.354 -0.184 20 0 EBADMM C[C@H](CNC(=O)Nc1ccnc(-c2nn[n-]n2)c1)S(C)(=O)=O ZINC001574949988 949523030 /nfs/dbraw/zinc/52/30/30/949523030.db2.gz IZJFRAIRWAGSIL-SSDOTTSWSA-N -1 1 325.354 -0.184 20 0 EBADMM CC(C)n1nccc1C(=O)NCCNc1ccnc(-c2nn[n-]n2)n1 ZINC001574471028 949524440 /nfs/dbraw/zinc/52/44/40/949524440.db2.gz YHASTPHQGJJMAX-UHFFFAOYSA-N -1 1 342.367 -0.302 20 0 EBADMM COC(=O)[C@@H]1CN(C(=O)Cn2cnc(-c3nn[n-]n3)n2)C[C@H]1C1CC1 ZINC001570946637 949541423 /nfs/dbraw/zinc/54/14/23/949541423.db2.gz OBRZSTVLXFVFQJ-VHSXEESVSA-N -1 1 346.351 -0.884 20 0 EBADMM O=C([C@H]1CCCO1)N1CCCN(c2ccc(-c3nnn[n-]3)nn2)CC1 ZINC001570946928 949554208 /nfs/dbraw/zinc/55/42/08/949554208.db2.gz TYNKRMLBUWSJHS-GFCCVEGCSA-N -1 1 344.379 -0.126 20 0 EBADMM O=C([C@H]1CCCO1)N1CCCN(c2ccc(-c3nn[n-]n3)nn2)CC1 ZINC001570946928 949554235 /nfs/dbraw/zinc/55/42/35/949554235.db2.gz TYNKRMLBUWSJHS-GFCCVEGCSA-N -1 1 344.379 -0.126 20 0 EBADMM C[C@H](CNC(=O)[C@@H]1CCC(=O)N1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001574951349 949556038 /nfs/dbraw/zinc/55/60/38/949556038.db2.gz ZKBCZYXQBVZILC-WPRPVWTQSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@H](CNC(=O)[C@@H]1CCC(=O)N1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001574951349 949556050 /nfs/dbraw/zinc/55/60/50/949556050.db2.gz ZKBCZYXQBVZILC-WPRPVWTQSA-N -1 1 345.367 -0.901 20 0 EBADMM O=C(N[C@H]1C[C@@H](Nc2ccnc(-c3nn[n-]n3)n2)C1)[C@@H]1CCCOC1 ZINC001570947191 949563660 /nfs/dbraw/zinc/56/36/60/949563660.db2.gz VKBAVVBWIOMUBP-MXWKQRLJSA-N -1 1 344.379 -0.436 20 0 EBADMM C[C@@H](Nc1ccnc(-c2nn[n-]n2)n1)[C@H](C)NC(=O)CC1(O)CCC1 ZINC001575269768 949563855 /nfs/dbraw/zinc/56/38/55/949563855.db2.gz WTBRPKUIAVYDGB-ZJUUUORDSA-N -1 1 346.395 -0.311 20 0 EBADMM Cn1c(=O)[nH]c(N2CC[C@H](O)[C@@H]3CCCC[C@@H]32)c(-c2nn[n-]n2)c1=O ZINC001570947409 949569997 /nfs/dbraw/zinc/56/99/97/949569997.db2.gz AOUBUEHWYUZHCS-UTLUCORTSA-N -1 1 347.379 -0.617 20 0 EBADMM CC(C)CC(=O)N[C@@H]1CN(c2cncc(-c3nnn[n-]3)n2)C[C@H]1O ZINC001574124664 949576424 /nfs/dbraw/zinc/57/64/24/949576424.db2.gz IVRNJVYOEOEAJA-GHMZBOCLSA-N -1 1 332.368 -0.632 20 0 EBADMM CC(C)CC(=O)N[C@@H]1CN(c2cncc(-c3nn[n-]n3)n2)C[C@H]1O ZINC001574124664 949576435 /nfs/dbraw/zinc/57/64/35/949576435.db2.gz IVRNJVYOEOEAJA-GHMZBOCLSA-N -1 1 332.368 -0.632 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1COCCO1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574713885 949614911 /nfs/dbraw/zinc/61/49/11/949614911.db2.gz YUPAPRFUAHJZBL-GXSJLCMTSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1COCCO1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574713885 949614926 /nfs/dbraw/zinc/61/49/26/949614926.db2.gz YUPAPRFUAHJZBL-GXSJLCMTSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)N(C)c1nccnc1-c1nnn[n-]1 ZINC001574957524 949618998 /nfs/dbraw/zinc/61/89/98/949618998.db2.gz ACOFHTBHSAWITM-ZNSHCXBVSA-N -1 1 344.379 -0.510 20 0 EBADMM C[C@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)N(C)c1nccnc1-c1nn[n-]n1 ZINC001574957524 949619013 /nfs/dbraw/zinc/61/90/13/949619013.db2.gz ACOFHTBHSAWITM-ZNSHCXBVSA-N -1 1 344.379 -0.510 20 0 EBADMM C[C@]1(C(=O)N2CCN(c3ccc(-c4nnn[n-]4)nn3)CC2)CCOC1 ZINC001575547576 949632020 /nfs/dbraw/zinc/63/20/20/949632020.db2.gz ASWIZJSVNBECIS-HNNXBMFYSA-N -1 1 344.379 -0.268 20 0 EBADMM C[C@]1(C(=O)N2CCN(c3ccc(-c4nn[n-]n4)nn3)CC2)CCOC1 ZINC001575547576 949632035 /nfs/dbraw/zinc/63/20/35/949632035.db2.gz ASWIZJSVNBECIS-HNNXBMFYSA-N -1 1 344.379 -0.268 20 0 EBADMM C[C@@H](CNC(=O)[C@]12C[C@H]1COC2)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001574957969 949641000 /nfs/dbraw/zinc/64/10/00/949641000.db2.gz KFGLSRIJDZLMGN-FTGAXOIBSA-N -1 1 344.379 -0.143 20 0 EBADMM C[C@@H](CNC(=O)[C@]12C[C@H]1COC2)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001574957969 949641010 /nfs/dbraw/zinc/64/10/10/949641010.db2.gz KFGLSRIJDZLMGN-FTGAXOIBSA-N -1 1 344.379 -0.143 20 0 EBADMM O=C(CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)NC[C@@H]1CCCOC1 ZINC001570949912 949661209 /nfs/dbraw/zinc/66/12/09/949661209.db2.gz BOCCSQDQSQFNTA-VIFPVBQESA-N -1 1 349.351 -0.938 20 0 EBADMM C[C@]1(C(=O)N2CCN(c3cncc(-c4nnn[n-]4)n3)CC2)CCOC1 ZINC001575548247 949664086 /nfs/dbraw/zinc/66/40/86/949664086.db2.gz VJQBZEKYFUDICW-HNNXBMFYSA-N -1 1 344.379 -0.268 20 0 EBADMM C[C@]1(C(=O)N2CCN(c3cncc(-c4nn[n-]n4)n3)CC2)CCOC1 ZINC001575548247 949664103 /nfs/dbraw/zinc/66/41/03/949664103.db2.gz VJQBZEKYFUDICW-HNNXBMFYSA-N -1 1 344.379 -0.268 20 0 EBADMM CCO[C@H]1C[C@H](NC(=O)CCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)C1 ZINC001570950279 949680754 /nfs/dbraw/zinc/68/07/54/949680754.db2.gz GKKKFHOESLERSW-KYZUINATSA-N -1 1 349.351 -0.797 20 0 EBADMM C[C@@H](CN(C)C(=O)C[C@H]1CCCO1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574716133 949682248 /nfs/dbraw/zinc/68/22/48/949682248.db2.gz MYNFFVKWPLKPQU-WDEREUQCSA-N -1 1 346.395 -0.094 20 0 EBADMM CO[C@@H]1CCC[C@H]1NC(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570950469 949683877 /nfs/dbraw/zinc/68/38/77/949683877.db2.gz IIVBGXOFURGABO-NXEZZACHSA-N -1 1 349.351 -0.797 20 0 EBADMM CC[C@@H](NC(C)=O)C(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC001570950603 949693326 /nfs/dbraw/zinc/69/33/26/949693326.db2.gz NTBNWQDEDHVECZ-SECBINFHSA-N -1 1 349.399 -0.522 20 0 EBADMM CC[C@@H](NC(C)=O)C(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC001570950603 949693337 /nfs/dbraw/zinc/69/33/37/949693337.db2.gz NTBNWQDEDHVECZ-SECBINFHSA-N -1 1 349.399 -0.522 20 0 EBADMM C[C@@H]1C[C@H](C(=O)NCCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)[C@@H](C)O1 ZINC001570950664 949694741 /nfs/dbraw/zinc/69/47/41/949694741.db2.gz PYHBRGSLUQEUSQ-HLTSFMKQSA-N -1 1 349.351 -0.941 20 0 EBADMM C[C@@]12CSC[C@@H]1CN(C(=O)NCCn1cnc(-c3nn[n-]n3)n1)C2 ZINC001570950971 949713518 /nfs/dbraw/zinc/71/35/18/949713518.db2.gz BDNQWSIZXYBNOO-TVQRCGJNSA-N -1 1 349.424 -0.147 20 0 EBADMM CC(C)(C)[C@@H](CNc1cnc(-c2nnn[n-]2)cn1)NC(=O)C(N)=O ZINC001573818305 949733411 /nfs/dbraw/zinc/73/34/11/949733411.db2.gz KFPWFDJPAYQBIV-MRVPVSSYSA-N -1 1 333.356 -0.915 20 0 EBADMM CC(C)(C)[C@@H](CNc1cnc(-c2nn[n-]n2)cn1)NC(=O)C(N)=O ZINC001573818305 949733427 /nfs/dbraw/zinc/73/34/27/949733427.db2.gz KFPWFDJPAYQBIV-MRVPVSSYSA-N -1 1 333.356 -0.915 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CCCC(=O)N1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574964189 949768705 /nfs/dbraw/zinc/76/87/05/949768705.db2.gz RPTRRQDWJDBYKL-DTWKUNHWSA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CCCC(=O)N1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574964189 949768713 /nfs/dbraw/zinc/76/87/13/949768713.db2.gz RPTRRQDWJDBYKL-DTWKUNHWSA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@@H](NC(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)C(F)(F)F ZINC001575279209 949772577 /nfs/dbraw/zinc/77/25/77/949772577.db2.gz XCMDKKOLPGVZOF-RXMQYKEDSA-N -1 1 347.257 -0.414 20 0 EBADMM C[C@@H](CNC(=O)[C@]1(C)CCC(=O)N1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574965929 949829214 /nfs/dbraw/zinc/82/92/14/949829214.db2.gz OWNPKMSIQKDOIZ-RTHLEPHNSA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@@H](CNC(=O)[C@]1(C)CCC(=O)N1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574965929 949829229 /nfs/dbraw/zinc/82/92/29/949829229.db2.gz OWNPKMSIQKDOIZ-RTHLEPHNSA-N -1 1 345.367 -0.758 20 0 EBADMM CC1(C(=O)N[C@@H]2CCN(c3cncc(-c4nnn[n-]4)n3)C[C@H]2O)CC1 ZINC001575569709 949929321 /nfs/dbraw/zinc/92/93/21/949929321.db2.gz NIONIUWBZFUVHF-MWLCHTKSSA-N -1 1 344.379 -0.487 20 0 EBADMM CC1(C(=O)N[C@@H]2CCN(c3cncc(-c4nn[n-]n4)n3)C[C@H]2O)CC1 ZINC001575569709 949929339 /nfs/dbraw/zinc/92/93/39/949929339.db2.gz NIONIUWBZFUVHF-MWLCHTKSSA-N -1 1 344.379 -0.487 20 0 EBADMM CC1(C(=O)NC[C@H](O)CNc2ccc(-c3nnn[n-]3)nn2)CCC1 ZINC001575576177 950064183 /nfs/dbraw/zinc/06/41/83/950064183.db2.gz OVKUBKTUANBBBJ-SECBINFHSA-N -1 1 332.368 -0.264 20 0 EBADMM CC1(C(=O)NC[C@H](O)CNc2ccc(-c3nn[n-]n3)nn2)CCC1 ZINC001575576177 950064211 /nfs/dbraw/zinc/06/42/11/950064211.db2.gz OVKUBKTUANBBBJ-SECBINFHSA-N -1 1 332.368 -0.264 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574734465 950137685 /nfs/dbraw/zinc/13/76/85/950137685.db2.gz NMMWYKHAVKOAJZ-DNJQJEMRSA-N -1 1 344.379 -0.192 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574734465 950137698 /nfs/dbraw/zinc/13/76/98/950137698.db2.gz NMMWYKHAVKOAJZ-DNJQJEMRSA-N -1 1 344.379 -0.192 20 0 EBADMM CC1(C(=O)NC[C@@]2(O)CCN(c3ccc(-c4nnn[n-]4)nn3)C2)CC1 ZINC001575584220 950167364 /nfs/dbraw/zinc/16/73/64/950167364.db2.gz WWPJHLDRTZZMOA-HNNXBMFYSA-N -1 1 344.379 -0.486 20 0 EBADMM CC1(C(=O)NC[C@@]2(O)CCN(c3ccc(-c4nn[n-]n4)nn3)C2)CC1 ZINC001575584220 950167381 /nfs/dbraw/zinc/16/73/81/950167381.db2.gz WWPJHLDRTZZMOA-HNNXBMFYSA-N -1 1 344.379 -0.486 20 0 EBADMM CCN(C(=O)NC1CCN(CC(=O)[O-])CC1)[C@H]1CCS(=O)(=O)C1 ZINC001589703376 950248643 /nfs/dbraw/zinc/24/86/43/950248643.db2.gz FUHDSKYFWSQZOA-LBPRGKRZSA-N -1 1 347.437 -0.246 20 0 EBADMM C[C@H](CC(=O)NC[C@@H](O)CNc1nccnc1-c1nnn[n-]1)C1CC1 ZINC001574499427 950300527 /nfs/dbraw/zinc/30/05/27/950300527.db2.gz VBTOTIKBEWOGMP-MWLCHTKSSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](CC(=O)NC[C@@H](O)CNc1nccnc1-c1nn[n-]n1)C1CC1 ZINC001574499427 950300549 /nfs/dbraw/zinc/30/05/49/950300549.db2.gz VBTOTIKBEWOGMP-MWLCHTKSSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](CC(=O)NC[C@H](O)CNc1ccc(-c2nnn[n-]2)nn1)C1CC1 ZINC001574499469 950301488 /nfs/dbraw/zinc/30/14/88/950301488.db2.gz WEPRYDFRXTXOKM-GXSJLCMTSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](CC(=O)NC[C@H](O)CNc1ccc(-c2nn[n-]n2)nn1)C1CC1 ZINC001574499469 950301510 /nfs/dbraw/zinc/30/15/10/950301510.db2.gz WEPRYDFRXTXOKM-GXSJLCMTSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@]1(C(=O)NCCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)CCCCO1 ZINC001575594523 950337882 /nfs/dbraw/zinc/33/78/82/950337882.db2.gz USOZKPIXYLMWCJ-AWEZNQCLSA-N -1 1 349.351 -0.796 20 0 EBADMM C[C@@]1(C(=O)N[C@H]2C[C@@H](Nc3ccnc(-c4nn[n-]n4)n3)C2)CCOC1 ZINC001575607095 950504923 /nfs/dbraw/zinc/50/49/23/950504923.db2.gz HFTZABKTWQARIA-HFBDOXOYSA-N -1 1 344.379 -0.436 20 0 EBADMM C[C@H](CC(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)C1CC1 ZINC001574509780 950531538 /nfs/dbraw/zinc/53/15/38/950531538.db2.gz XGGUINSRBVTDAX-MRVPVSSYSA-N -1 1 333.352 -0.319 20 0 EBADMM C[C@H](CN(C)C(=O)c1ccc[nH]1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574754156 950565526 /nfs/dbraw/zinc/56/55/26/950565526.db2.gz YSSAVDMUQFYTBN-SECBINFHSA-N -1 1 327.352 -0.021 20 0 EBADMM C[C@H]1[C@H](Nc2ccnc(-c3nn[n-]n3)n2)CCN1C(=O)c1cocn1 ZINC001575920127 950629003 /nfs/dbraw/zinc/62/90/03/950629003.db2.gz LDCWXYNSRWSCPH-DTWKUNHWSA-N -1 1 341.335 -0.218 20 0 EBADMM C[C@H]1[C@@H](Nc2ccnc(-c3nn[n-]n3)n2)CCN1C(=O)c1ccon1 ZINC001575920557 950630578 /nfs/dbraw/zinc/63/05/78/950630578.db2.gz MLCJVPXLFWCVLS-IUCAKERBSA-N -1 1 341.335 -0.218 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ccn(C)n1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574760143 950655217 /nfs/dbraw/zinc/65/52/17/950655217.db2.gz UBMNAQLRWFFZCW-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ccn(C)n1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574760143 950655236 /nfs/dbraw/zinc/65/52/36/950655236.db2.gz UBMNAQLRWFFZCW-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H]1CCOCCN1C(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001576214122 950659252 /nfs/dbraw/zinc/65/92/52/950659252.db2.gz NJRHOSBQKJCVKU-SECBINFHSA-N -1 1 349.351 -0.844 20 0 EBADMM C[C@H](CNC(=O)c1cc(Cl)no1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575002799 950668418 /nfs/dbraw/zinc/66/84/18/950668418.db2.gz XCAOHAZIWNHXLW-ZCFIWIBFSA-N -1 1 349.742 -0.050 20 0 EBADMM C[C@H](CNC(=O)c1ccn[nH]1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575005379 950682288 /nfs/dbraw/zinc/68/22/88/950682288.db2.gz CMSGPTHOVCPBNT-QMMMGPOBSA-N -1 1 328.340 -0.142 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ccnn1C)Nc1nccnc1-c1nnn[n-]1 ZINC001574762232 950704061 /nfs/dbraw/zinc/70/40/61/950704061.db2.gz XRMNBHYBSQRHEH-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ccnn1C)Nc1nccnc1-c1nn[n-]n1 ZINC001574762232 950704077 /nfs/dbraw/zinc/70/40/77/950704077.db2.gz XRMNBHYBSQRHEH-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cnnn1C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574763400 950714040 /nfs/dbraw/zinc/71/40/40/950714040.db2.gz AHUBJBNDBRHLHI-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cnnn1C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574763400 950714057 /nfs/dbraw/zinc/71/40/57/950714057.db2.gz AHUBJBNDBRHLHI-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM CC1(C)CC(C(=O)NC[C@H](CO)Nc2cncc(-c3nnn[n-]3)n2)C1 ZINC001575628776 950726576 /nfs/dbraw/zinc/72/65/76/950726576.db2.gz GYEGHGHYIJYGID-SNVBAGLBSA-N -1 1 346.395 -0.018 20 0 EBADMM CC1(C)CC(C(=O)NC[C@H](CO)Nc2cncc(-c3nn[n-]n3)n2)C1 ZINC001575628776 950726594 /nfs/dbraw/zinc/72/65/94/950726594.db2.gz GYEGHGHYIJYGID-SNVBAGLBSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cnn(C)n1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574763748 950731829 /nfs/dbraw/zinc/73/18/29/950731829.db2.gz JODLRNBNQSRGKR-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cnn(C)n1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574763748 950731843 /nfs/dbraw/zinc/73/18/43/950731843.db2.gz JODLRNBNQSRGKR-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CN(C)C(=O)c1nc[nH]n1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574765042 950772210 /nfs/dbraw/zinc/77/22/10/950772210.db2.gz CIQUDTIBOVAFEM-SSDOTTSWSA-N -1 1 329.328 -0.653 20 0 EBADMM C[C@@H](C(=O)N1C[C@H](C)Cn2ncnc21)n1cnc(-c2nn[n-]n2)n1 ZINC001576218196 950781131 /nfs/dbraw/zinc/78/11/31/950781131.db2.gz LHKLCRNVTOWCFS-YUMQZZPRSA-N -1 1 329.328 -0.706 20 0 EBADMM C[C@H](CN(C)C(=O)c1ncn(C)n1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574766653 950827072 /nfs/dbraw/zinc/82/70/72/950827072.db2.gz KDXDNEHBMDZLLR-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CN(C)C(=O)c1ncn(C)n1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574766653 950827093 /nfs/dbraw/zinc/82/70/93/950827093.db2.gz KDXDNEHBMDZLLR-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM CCOC(=O)C1=C(CN[C@@]2(C(=O)[O-])CCOC2)NC(=O)N[C@@H]1C ZINC001590179832 950871915 /nfs/dbraw/zinc/87/19/15/950871915.db2.gz SVSYOORIOSJRSN-CLAHSXSESA-N -1 1 327.337 -0.662 20 0 EBADMM O=C([O-])CC1(C(=O)N2CCO[C@@H](c3nn[nH]n3)C2)CCOCC1 ZINC001594973365 950888868 /nfs/dbraw/zinc/88/88/68/950888868.db2.gz ZZVGWALGNWICFI-SECBINFHSA-N -1 1 325.325 -0.629 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cnsn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574768551 950890318 /nfs/dbraw/zinc/89/03/18/950890318.db2.gz ZALUTBDHSKRFPL-ZETCQYMHSA-N -1 1 346.380 -0.497 20 0 EBADMM C[C@H](CNC(=O)c1ccnn1C)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575034044 951239016 /nfs/dbraw/zinc/23/90/16/951239016.db2.gz HWPCJWICYGYNLD-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1ccnn1C)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575034044 951239025 /nfs/dbraw/zinc/23/90/25/951239025.db2.gz HWPCJWICYGYNLD-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1ccn(C)n1)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001575034343 951243846 /nfs/dbraw/zinc/24/38/46/951243846.db2.gz ATBHLHAEZVOMDF-VIFPVBQESA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@H](CNC(=O)c1ccn(C)n1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001575034343 951243855 /nfs/dbraw/zinc/24/38/55/951243855.db2.gz ATBHLHAEZVOMDF-VIFPVBQESA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)cn1)CNc1nccnc1-c1nnn[n-]1 ZINC001575041760 951348899 /nfs/dbraw/zinc/34/88/99/951348899.db2.gz BMUZHRUPFPEHSN-SECBINFHSA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)cn1)CNc1nccnc1-c1nn[n-]n1 ZINC001575041760 951348907 /nfs/dbraw/zinc/34/89/07/951348907.db2.gz BMUZHRUPFPEHSN-SECBINFHSA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@H](CNC(=O)c1cn[nH]c(=O)c1)Nc1nccnc1-c1nnn[n-]1 ZINC001575042900 951372467 /nfs/dbraw/zinc/37/24/67/951372467.db2.gz DBGWTMYQGFYDSJ-SSDOTTSWSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@H](CNC(=O)c1cn[nH]c(=O)c1)Nc1nccnc1-c1nn[n-]n1 ZINC001575042900 951372479 /nfs/dbraw/zinc/37/24/79/951372479.db2.gz DBGWTMYQGFYDSJ-SSDOTTSWSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@@H](CNC(=O)c1cn[nH]c1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575043397 951390080 /nfs/dbraw/zinc/39/00/80/951390080.db2.gz IIPFHRQRDKLHKV-QMMMGPOBSA-N -1 1 328.340 -0.366 20 0 EBADMM C[C@@H](CNC(=O)c1cn[nH]c1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575043397 951390091 /nfs/dbraw/zinc/39/00/91/951390091.db2.gz IIPFHRQRDKLHKV-QMMMGPOBSA-N -1 1 328.340 -0.366 20 0 EBADMM C[C@@H](CNC(=O)c1cn[nH]c1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575044033 951407740 /nfs/dbraw/zinc/40/77/40/951407740.db2.gz OAOHXNXEYADADN-MRVPVSSYSA-N -1 1 328.340 -0.720 20 0 EBADMM C[C@H](CNC(=O)c1cn[nH]c(=O)c1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575044666 951417377 /nfs/dbraw/zinc/41/73/77/951417377.db2.gz UTYZDCXEDNUGEZ-SSDOTTSWSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@H](CNC(=O)c1cn[nH]c(=O)c1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575044666 951417385 /nfs/dbraw/zinc/41/73/85/951417385.db2.gz UTYZDCXEDNUGEZ-SSDOTTSWSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@@H](CNC(=O)c1cncc(F)c1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575045576 951429750 /nfs/dbraw/zinc/42/97/50/951429750.db2.gz DOTOUQCCGAOTJS-QMMMGPOBSA-N -1 1 343.326 -0.157 20 0 EBADMM C[C@@H](CNC(=O)c1cnccn1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575046977 951450070 /nfs/dbraw/zinc/45/00/70/951450070.db2.gz WLEFVARLFIHTPA-SECBINFHSA-N -1 1 340.351 -0.653 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)n1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575051885 951454974 /nfs/dbraw/zinc/45/49/74/951454974.db2.gz AHRTXSADKDXJSD-ZETCQYMHSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)n1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575051885 951454979 /nfs/dbraw/zinc/45/49/79/951454979.db2.gz AHRTXSADKDXJSD-ZETCQYMHSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)c1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575052143 951459628 /nfs/dbraw/zinc/45/96/28/951459628.db2.gz DTPZLQYUOJHNIE-SECBINFHSA-N -1 1 342.367 -0.710 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)c1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575052524 951465992 /nfs/dbraw/zinc/46/59/92/951465992.db2.gz KZEHAWYUJWMQGI-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)c1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575052524 951465998 /nfs/dbraw/zinc/46/59/98/951465998.db2.gz KZEHAWYUJWMQGI-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1cnnn1C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575053720 951484415 /nfs/dbraw/zinc/48/44/15/951484415.db2.gz DEHJMWVZOPTOOB-SSDOTTSWSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@H](CNC(=O)c1cnnn1C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575053720 951484422 /nfs/dbraw/zinc/48/44/22/951484422.db2.gz DEHJMWVZOPTOOB-SSDOTTSWSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@H](CNC(=O)c1cnsn1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575055103 951499556 /nfs/dbraw/zinc/49/95/56/951499556.db2.gz NVFPXMCKNZTTKO-ZCFIWIBFSA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@H](CNC(=O)c1cnsn1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575055103 951499560 /nfs/dbraw/zinc/49/95/60/951499560.db2.gz NVFPXMCKNZTTKO-ZCFIWIBFSA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@H](CNC(=O)c1cnns1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575055210 951499779 /nfs/dbraw/zinc/49/97/79/951499779.db2.gz ODDJALCHJPKUQV-ZCFIWIBFSA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@H](CNC(=O)c1cnns1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575055210 951499784 /nfs/dbraw/zinc/49/97/84/951499784.db2.gz ODDJALCHJPKUQV-ZCFIWIBFSA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@H](CNC(=O)c1cnnn1C)Nc1nccnc1-c1nnn[n-]1 ZINC001575055550 951508227 /nfs/dbraw/zinc/50/82/27/951508227.db2.gz XBVCHLMHIRRSEX-SSDOTTSWSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@H](CNC(=O)c1cnnn1C)Nc1nccnc1-c1nn[n-]n1 ZINC001575055550 951508233 /nfs/dbraw/zinc/50/82/33/951508233.db2.gz XBVCHLMHIRRSEX-SSDOTTSWSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@H](CNC(=O)c1cocn1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575057398 951526468 /nfs/dbraw/zinc/52/64/68/951526468.db2.gz OYHAKYWUSRXNSB-QMMMGPOBSA-N -1 1 329.324 -0.455 20 0 EBADMM C[C@@H](CNC(=O)c1n[nH]cc1F)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575061242 951554979 /nfs/dbraw/zinc/55/49/79/951554979.db2.gz LYQKRFUMCSKCBG-ZETCQYMHSA-N -1 1 346.330 -0.226 20 0 EBADMM C[C@@H](CNC(=O)c1n[nH]cc1F)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575061242 951554997 /nfs/dbraw/zinc/55/49/97/951554997.db2.gz LYQKRFUMCSKCBG-ZETCQYMHSA-N -1 1 346.330 -0.226 20 0 EBADMM C[C@@H](CNC(=O)c1csnn1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575062854 951574595 /nfs/dbraw/zinc/57/45/95/951574595.db2.gz ZQYKITOVVVIHNT-SSDOTTSWSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@@H](CNC(=O)c1csnn1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575062854 951574599 /nfs/dbraw/zinc/57/45/99/951574599.db2.gz ZQYKITOVVVIHNT-SSDOTTSWSA-N -1 1 346.380 -0.014 20 0 EBADMM O=C([O-])CNC(=O)CN1CC[C@@H]2CN(C(=O)C(F)(F)F)C[C@@H]2C1 ZINC001595098263 951835596 /nfs/dbraw/zinc/83/55/96/951835596.db2.gz ACPHTWPTMBKVIJ-BDAKNGLRSA-N -1 1 337.298 -0.470 20 0 EBADMM O=C([O-])CNCc1cn(CC(=O)N2CCO[C@@H]3CCCC[C@H]32)nn1 ZINC001595102827 951866151 /nfs/dbraw/zinc/86/61/51/951866151.db2.gz VKQKEEHGIHWZNW-CHWSQXEVSA-N -1 1 337.380 -0.378 20 0 EBADMM O=C([O-])CS(=O)(=O)CCNCCn1c(=O)[nH]c2ccccc21 ZINC001595125523 952013936 /nfs/dbraw/zinc/01/39/36/952013936.db2.gz YYSQEGVHDLHLJS-UHFFFAOYSA-N -1 1 327.362 -0.169 20 0 EBADMM C[C@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)[C@H]1COCCO1 ZINC001575132238 952093925 /nfs/dbraw/zinc/09/39/25/952093925.db2.gz DVZWJZLAFIXKJT-MWLCHTKSSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)[C@H]1COCCO1 ZINC001575132238 952093933 /nfs/dbraw/zinc/09/39/33/952093933.db2.gz DVZWJZLAFIXKJT-MWLCHTKSSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)c1nc[nH]n1 ZINC001575132763 952097818 /nfs/dbraw/zinc/09/78/18/952097818.db2.gz ASOLNSVPTMQMJA-ZETCQYMHSA-N -1 1 329.328 -0.653 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1cncnc1 ZINC001575143823 952157327 /nfs/dbraw/zinc/15/73/27/952157327.db2.gz CVYIJIXPLUHWAU-SECBINFHSA-N -1 1 340.351 -0.559 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1cncnc1 ZINC001575143826 952157352 /nfs/dbraw/zinc/15/73/52/952157352.db2.gz CVYIJIXPLUHWAU-VIFPVBQESA-N -1 1 340.351 -0.559 20 0 EBADMM O=C([O-])Cn1cccc(C(=O)NC[C@H]2CN3CCN2CCC3)c1=O ZINC001595155488 952163042 /nfs/dbraw/zinc/16/30/42/952163042.db2.gz QZKFRQDIQBUZNW-LBPRGKRZSA-N -1 1 334.376 -0.947 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)[C@@H]1CCOC1 ZINC001575144743 952170313 /nfs/dbraw/zinc/17/03/13/952170313.db2.gz XUCYURDZYFLWRF-NXEZZACHSA-N -1 1 332.368 -0.626 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1ccncn1 ZINC001575145003 952175653 /nfs/dbraw/zinc/17/56/53/952175653.db2.gz OBDJMYYIOPCFRG-SECBINFHSA-N -1 1 340.351 -0.559 20 0 EBADMM C[C@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)Cc1nnc[nH]1 ZINC001575157375 952264003 /nfs/dbraw/zinc/26/40/03/952264003.db2.gz LZXHLRVLQXZXGL-MRVPVSSYSA-N -1 1 343.355 -0.724 20 0 EBADMM C[C@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)c1ncn(C)n1 ZINC001575157630 952266774 /nfs/dbraw/zinc/26/67/74/952266774.db2.gz NVRIKGZSQJVEQB-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)c1ncn(C)n1 ZINC001575157630 952266778 /nfs/dbraw/zinc/26/67/78/952266778.db2.gz NVRIKGZSQJVEQB-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)[C@H]1C[C@H]1C(N)=O ZINC001575158449 952271093 /nfs/dbraw/zinc/27/10/93/952271093.db2.gz VOAWZBWPZNMOHK-YIZRAAEISA-N -1 1 345.367 -0.963 20 0 EBADMM C[C@@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)[C@H]1C[C@H]1C(N)=O ZINC001575158449 952271100 /nfs/dbraw/zinc/27/11/00/952271100.db2.gz VOAWZBWPZNMOHK-YIZRAAEISA-N -1 1 345.367 -0.963 20 0 EBADMM C[C@@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)c1ccn(C)n1 ZINC001575158564 952273691 /nfs/dbraw/zinc/27/36/91/952273691.db2.gz WKEHKOMWKASDJE-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)c1ccn(C)n1 ZINC001575158564 952273695 /nfs/dbraw/zinc/27/36/95/952273695.db2.gz WKEHKOMWKASDJE-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)Cc1nnc[nH]1 ZINC001575177121 952397215 /nfs/dbraw/zinc/39/72/15/952397215.db2.gz GSKVNNKHLPOGFS-QMMMGPOBSA-N -1 1 343.355 -0.724 20 0 EBADMM C[C@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)c1ncn(C)n1 ZINC001575180977 952420869 /nfs/dbraw/zinc/42/08/69/952420869.db2.gz ZBHSRZDQAAFARI-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)c1ncn(C)n1 ZINC001575180977 952420878 /nfs/dbraw/zinc/42/08/78/952420878.db2.gz ZBHSRZDQAAFARI-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](Cn1cncn1)NC(=O)NCc1nc(-c2nnn[n-]2)cs1 ZINC001575207830 952534779 /nfs/dbraw/zinc/53/47/79/952534779.db2.gz SWVMTNNTENHRKS-SSDOTTSWSA-N -1 1 334.369 -0.197 20 0 EBADMM C[C@H](Cn1cncn1)NC(=O)NCc1nc(-c2nn[n-]n2)cs1 ZINC001575207830 952534787 /nfs/dbraw/zinc/53/47/87/952534787.db2.gz SWVMTNNTENHRKS-SSDOTTSWSA-N -1 1 334.369 -0.197 20 0 EBADMM CC[C@H](C)[C@H](NCC(=O)NC1CCN(S(C)(=O)=O)CC1)C(=O)[O-] ZINC001593613901 953479788 /nfs/dbraw/zinc/47/97/88/953479788.db2.gz JTXHJMWEKMGJRF-GWCFXTLKSA-N -1 1 349.453 -0.385 20 0 EBADMM CN(C)CCN(CC(=O)[O-])S(=O)(=O)CCOC1CCOCC1 ZINC001593699149 954092775 /nfs/dbraw/zinc/09/27/75/954092775.db2.gz PJVSPAZECUZVLU-UHFFFAOYSA-N -1 1 338.426 -0.540 20 0 EBADMM O=C([O-])C1(C(=O)N2CCc3nc[nH]c3C2)CCS(=O)(=O)CC1 ZINC001594850366 954180066 /nfs/dbraw/zinc/18/00/66/954180066.db2.gz MVEUDCVUHVPJTE-UHFFFAOYSA-N -1 1 327.362 -0.426 20 0 EBADMM CN(CCCC(=O)[O-])C(=O)NCc1nc(CS(C)(=O)=O)n[nH]1 ZINC001593744597 954375478 /nfs/dbraw/zinc/37/54/78/954375478.db2.gz HUSKEZKJMNWLEU-UHFFFAOYSA-N -1 1 333.370 -0.645 20 0 EBADMM O=C([O-])[C@H]1[C@H]([N-]C(=O)C(F)(F)F)CCC[N@@H+]1CCOCCO ZINC001594896844 954573799 /nfs/dbraw/zinc/57/37/99/954573799.db2.gz SXIWKJVBULNSHW-RKDXNWHRSA-N -1 1 328.287 -0.409 20 0 EBADMM CN1CCC[C@@H]2[C@H]1CCN2C(=O)C(=O)Nc1ccn(CC(=O)[O-])n1 ZINC001593780519 954651056 /nfs/dbraw/zinc/65/10/56/954651056.db2.gz GIUPJEHEXMISNN-GHMZBOCLSA-N -1 1 335.364 -0.399 20 0 EBADMM O=C([O-])C1CCN(S(=O)(=O)NCc2n[nH]c(C3CC3)n2)CC1 ZINC001594939741 954872594 /nfs/dbraw/zinc/87/25/94/954872594.db2.gz WASMVXIXVZVIBJ-UHFFFAOYSA-N -1 1 329.382 -0.187 20 0 EBADMM CSCC[C@H](NC(N)=O)C(=O)N[C@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000386578900 972065898 /nfs/dbraw/zinc/06/58/98/972065898.db2.gz RXUAWEWUOQVXBI-DTWKUNHWSA-N -1 1 329.382 -0.688 20 0 EBADMM COC(=O)[C@H]1CN(C)CCN(C(=O)NCC2(C(=O)[O-])CCC2)C1 ZINC001593828757 955127829 /nfs/dbraw/zinc/12/78/29/955127829.db2.gz SMONNQNAJAJQOL-NSHDSACASA-N -1 1 327.381 -0.013 20 0 EBADMM COC(=O)CN(CCN1CCN(C)CC1)C(=O)[C@@H]1CC[C@H]1C(=O)[O-] ZINC001593850630 955451884 /nfs/dbraw/zinc/45/18/84/955451884.db2.gz LXOHMRRJVYGLJI-CHWSQXEVSA-N -1 1 341.408 -0.654 20 0 EBADMM Cn1cc([C@H]2C[C@H](C(=O)[O-])CN(CC(=O)N3CCNC3=O)C2)cn1 ZINC001594540795 955642466 /nfs/dbraw/zinc/64/24/66/955642466.db2.gz ULNJFOWHNQGBSE-QWRGUYRKSA-N -1 1 335.364 -0.538 20 0 EBADMM C[C@H](CNC(=O)N1C[C@H]2COC[C@@]2(C(=O)[O-])C1)N1CCN(C)CC1 ZINC001589051045 955664131 /nfs/dbraw/zinc/66/41/31/955664131.db2.gz YEIQMFOQRSXDNI-WWGRRREGSA-N -1 1 340.424 -0.635 20 0 EBADMM COC[C@]1(C(=O)[O-])CN(C(=O)NCCCc2nc[nH]n2)CCO1 ZINC001593970566 956608901 /nfs/dbraw/zinc/60/89/01/956608901.db2.gz KVNOIJVSVXAQMY-ZDUSSCGKSA-N -1 1 327.341 -0.751 20 0 EBADMM COC[C@]1(C(=O)[O-])CN(C(=O)CCCCc2cn[nH]n2)CCO1 ZINC001593973194 956639996 /nfs/dbraw/zinc/63/99/96/956639996.db2.gz MSVAFCWSSDNIGB-AWEZNQCLSA-N -1 1 326.353 -0.154 20 0 EBADMM C[C@H](CN(C)C(=O)C(=O)N1CC[C@@H]2[C@@H]1CCCN2CCO)C(=O)[O-] ZINC001603144610 972239981 /nfs/dbraw/zinc/23/99/81/972239981.db2.gz CIIRRYVWINCLRM-UPJWGTAASA-N -1 1 341.408 -0.777 20 0 EBADMM CS(=O)(=O)N1CCCC[C@H]1C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000390270520 972273063 /nfs/dbraw/zinc/27/30/63/972273063.db2.gz KCVKWXNONDKXPY-QWRGUYRKSA-N -1 1 344.393 -0.664 20 0 EBADMM C[C@@H](NC(=O)N1C[C@@H]2COC[C@]2(C(=O)[O-])C1)[C@H]1CN(C)CCN1C ZINC001603227390 972346055 /nfs/dbraw/zinc/34/60/55/972346055.db2.gz NLTANUWXBSHZLA-BRXULGCHSA-N -1 1 340.424 -0.637 20 0 EBADMM C[C@H](NC(=O)NCCOCCOCC(=O)[O-])[C@@H]1CN(C)CCN1C ZINC001603232795 972358469 /nfs/dbraw/zinc/35/84/69/972358469.db2.gz XVWDAFXPMBIOFG-STQMWFEESA-N -1 1 346.428 -0.962 20 0 EBADMM CS(=O)(=O)[C@H]1CCN(C(=O)NC2CCN(CC(=O)[O-])CC2)C1 ZINC001594163305 958919953 /nfs/dbraw/zinc/91/99/53/958919953.db2.gz CGGBTJNLDLLKBA-NSHDSACASA-N -1 1 333.410 -0.636 20 0 EBADMM CS(=O)(=O)N1CCC(Cc2nc([C@@H](N)CC(=O)[O-])no2)CC1 ZINC001594169649 958977961 /nfs/dbraw/zinc/97/79/61/958977961.db2.gz BVLDNZDLCVTQJR-VIFPVBQESA-N -1 1 332.382 -0.242 20 0 EBADMM C[C@@](O)(CNC(=O)C(=O)Nc1ccn(-c2ccncc2)n1)C(=O)[O-] ZINC001603256000 972433453 /nfs/dbraw/zinc/43/34/53/972433453.db2.gz BBXYWQDVNPIRFD-CQSZACIVSA-N -1 1 333.304 -0.842 20 0 EBADMM C[C@H](O)CN1CCN(C(=O)NCCOCCOCC(=O)[O-])[C@H](C)C1 ZINC001603268624 972468126 /nfs/dbraw/zinc/46/81/26/972468126.db2.gz LFPICQQYJQGWLC-OLZOCXBDSA-N -1 1 347.412 -0.799 20 0 EBADMM O=C([O-])C1CC2(C1)CC[C@@H](CNC(=O)NCCN1CC[C@@H](O)C1)O2 ZINC001605780540 972492018 /nfs/dbraw/zinc/49/20/18/972492018.db2.gz MKBHMUQLLVYJSK-WULFKBJJSA-N -1 1 341.408 -0.235 20 0 EBADMM Cn1cc(-c2[nH]ncc2C(=O)NCCOCCOCC(=O)[O-])cn1 ZINC001574448568 961458441 /nfs/dbraw/zinc/45/84/41/961458441.db2.gz BKESNTQOLRWHBI-UHFFFAOYSA-N -1 1 337.336 -0.342 20 0 EBADMM CN1CC[C@@H](N2CCC(NC(=O)[C@@H]3CC(C(=O)[O-])=NO3)CC2)C1=O ZINC001588870481 962059709 /nfs/dbraw/zinc/05/97/09/962059709.db2.gz LTCOTHZYCVXILD-NEPJUHHUSA-N -1 1 338.364 -0.973 20 0 EBADMM O=C([O-])C1CCN(S(=O)(=O)NC[C@@H]2CCCCN2CCO)CC1 ZINC001574656709 962467485 /nfs/dbraw/zinc/46/74/85/962467485.db2.gz JGLZUSPWTVMTGJ-ZDUSSCGKSA-N -1 1 349.453 -0.536 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CCC(CNC(=O)[C@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001571223917 962565675 /nfs/dbraw/zinc/56/56/75/962565675.db2.gz LPMJLBZPSNTNQW-QWRGUYRKSA-N -1 1 335.364 -0.198 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2CCN([C@@H]3CCNC(=O)CC3)CC2)CCCO1 ZINC001606560306 970350749 /nfs/dbraw/zinc/35/07/49/970350749.db2.gz SGRQWNKCHGZKHB-BLLLJJGKSA-N -1 1 339.392 -0.567 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CSc1nnnn1C1CC1 ZINC000320744878 970709188 /nfs/dbraw/zinc/70/91/88/970709188.db2.gz ZHZRIKZHARGMAN-VIFPVBQESA-N -1 1 337.365 -0.365 20 0 EBADMM O=C([O-])C(=O)N1CCN(C(=O)c2cccc3[nH]c(CO)nc32)CC1 ZINC001605886023 972679042 /nfs/dbraw/zinc/67/90/42/972679042.db2.gz XYLLRMZHBIHNKB-UHFFFAOYSA-N -1 1 332.316 -0.576 20 0 EBADMM CN1CCN(C)[C@H](CNC(=O)C(=O)NC2CCC(C(=O)[O-])CC2)C1 ZINC001604220632 972704582 /nfs/dbraw/zinc/70/45/82/972704582.db2.gz FWRPCYYYNPNKHB-WXRRBKDZSA-N -1 1 340.424 -0.892 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)C2(C(=O)[O-])CCS(=O)(=O)CC2)CCN1C ZINC001603375450 972920852 /nfs/dbraw/zinc/92/08/52/972920852.db2.gz PSPUEOLIZZXZMJ-QWRGUYRKSA-N -1 1 332.422 -0.135 20 0 EBADMM CO[C@](C)(C(=O)[O-])C(=O)N1CCN(C(=O)c2cc(C)n[nH]2)C[C@H]1C ZINC001604451136 973280358 /nfs/dbraw/zinc/28/03/58/973280358.db2.gz QKFUMVDBODWHCG-BMIGLBTASA-N -1 1 338.364 -0.119 20 0 EBADMM CO[C@](C)(C(=O)[O-])C(=O)N[C@H]1CCCN(CCN2CCOCC2)C1 ZINC001604454722 973287562 /nfs/dbraw/zinc/28/75/62/973287562.db2.gz BNXXKEWCEUQPGI-BBRMVZONSA-N -1 1 343.424 -0.611 20 0 EBADMM C[C@@H]1CN(S(=O)(=O)CCCN2CCN(C)CC2)CC[C@H]1C(=O)[O-] ZINC001603463876 973324645 /nfs/dbraw/zinc/32/46/45/973324645.db2.gz MHLDYXNNWMIQMD-ZIAGYGMSSA-N -1 1 347.481 -0.004 20 0 EBADMM COCCN(CCC(=O)[O-])[C@@H](C)C(=O)N1CCN(C(C)=O)CC1 ZINC001604604718 973713331 /nfs/dbraw/zinc/71/33/31/973713331.db2.gz JRCAQQKRDMCXDD-LBPRGKRZSA-N -1 1 329.397 -0.511 20 0 EBADMM COCCN1CC[C@H](NC(=O)N2C[C@@H]3COC[C@]3(C(=O)[O-])C2)C1 ZINC001604612607 973732152 /nfs/dbraw/zinc/73/21/52/973732152.db2.gz NZOYANHIXVHADE-TYNCELHUSA-N -1 1 327.381 -0.550 20 0 EBADMM O=C([O-])Cn1ccc(NC(=O)C(=O)NCc2ccc3cncn3c2)n1 ZINC001606340999 973777439 /nfs/dbraw/zinc/77/74/39/973777439.db2.gz LIWIHYMWHDNPMC-UHFFFAOYSA-N -1 1 342.315 -0.130 20 0 EBADMM Cn1nccc1[C@H](NS(=O)(=O)C[C@@]12CC[N@@H+](C1)CCC2)C(=O)[O-] ZINC001605570126 973828915 /nfs/dbraw/zinc/82/89/15/973828915.db2.gz PNICIMITMXKHMS-JSGCOSHPSA-N -1 1 342.421 -0.049 20 0 EBADMM Nc1cn[nH]c1[C@H]1CCN(C(=O)c2cccn(CC(=O)[O-])c2=O)C1 ZINC001605655440 974045358 /nfs/dbraw/zinc/04/53/58/974045358.db2.gz MOFVMIQOALWSSP-VIFPVBQESA-N -1 1 331.332 -0.132 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@H]2CCc3nnnn3CC2)CC1 ZINC001592410732 978005632 /nfs/dbraw/zinc/00/56/32/978005632.db2.gz OHGHPKJHMIBZSB-NEPJUHHUSA-N -1 1 336.396 -0.367 20 0 EBADMM CC[C@H](O)Cn1cc(C(=O)N2CCN(C)C[C@H](C(=O)[O-])C2)nn1 ZINC001595332168 980578762 /nfs/dbraw/zinc/57/87/62/980578762.db2.gz SGYVDNMJGUCUNN-QWRGUYRKSA-N -1 1 325.369 -0.863 20 0 EBADMM CCCCCC[C@@](O)(CN1CCN2[C@@H](CNS2(=O)=O)C1)C(=O)[O-] ZINC001595696007 982221331 /nfs/dbraw/zinc/22/13/31/982221331.db2.gz AXSYTEZPMHQNGE-GXTWGEPZSA-N -1 1 349.453 -0.393 20 0 EBADMM CC[C@H](C)[C@@H](NC(=O)CN1C[C@@H]2C(=O)N(C)C(=O)[C@@H]2C1)C(=O)[O-] ZINC001594894791 982327120 /nfs/dbraw/zinc/32/71/20/982327120.db2.gz ZJVWZNXPOJZEQN-UXCLJVHYSA-N -1 1 325.365 -0.852 20 0 EBADMM CCCNC(=O)NC(=O)CN1CCC2(CC(C(=O)[O-])=NO2)CC1 ZINC001595926187 983050221 /nfs/dbraw/zinc/05/02/21/983050221.db2.gz XNGAUHFAMBQQGO-UHFFFAOYSA-N -1 1 326.353 -0.082 20 0 EBADMM CCCn1cc(NC(=O)C(=O)N2CCN(C)C[C@@H](C(=O)[O-])C2)cn1 ZINC001596116768 983449138 /nfs/dbraw/zinc/44/91/38/983449138.db2.gz RYMUPAMZKBASBK-LLVKDONJSA-N -1 1 337.380 -0.294 20 0 EBADMM C[C@H]1CN(C(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)CCO1 ZINC001599909918 984971370 /nfs/dbraw/zinc/97/13/70/984971370.db2.gz CKFWPFXXGBKBNQ-NSHDSACASA-N -1 1 327.381 -0.759 20 0 EBADMM C[C@H]1CN(C(=O)C(=O)N[C@H]2CCOC3(CC(C(=O)[O-])C3)C2)CCN1 ZINC001599910924 984984182 /nfs/dbraw/zinc/98/41/82/984984182.db2.gz LNTMPBSOTCOONX-IRDPIATMSA-N -1 1 339.392 -0.665 20 0 EBADMM C[C@H]1CN([C@H]2CCN(CCC(=O)[O-])C2=O)CCN1CC(C)(C)O ZINC001594508409 985749186 /nfs/dbraw/zinc/74/91/86/985749186.db2.gz REAMBNLHIPAMEC-STQMWFEESA-N -1 1 327.425 -0.161 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)CN1C(=O)NC(=O)C1(C)C ZINC001594515877 985885169 /nfs/dbraw/zinc/88/51/69/985885169.db2.gz RTMBEKRGFDHJLE-SNVBAGLBSA-N -1 1 340.380 -0.676 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)CN1CCCCS1(=O)=O ZINC001594516407 985901957 /nfs/dbraw/zinc/90/19/57/985901957.db2.gz WOKXFKYWJKHRND-LBPRGKRZSA-N -1 1 347.437 -0.581 20 0 EBADMM C[C@@H]1CN(CCCNC(=O)N2C[C@H](O)C[C@H](C(=O)[O-])C2)C[C@@H](C)O1 ZINC001594529012 986107303 /nfs/dbraw/zinc/10/73/03/986107303.db2.gz SSXITRUWVZNRHH-YIYPIFLZSA-N -1 1 343.424 -0.037 20 0 EBADMM C[C@H]1CNCCN1C(=O)C(=O)N[C@H]1CCOC2(CC(C(=O)[O-])C2)C1 ZINC001594585369 986477800 /nfs/dbraw/zinc/47/78/00/986477800.db2.gz YVIIYMIRXKATCI-IRDPIATMSA-N -1 1 339.392 -0.665 20 0 EBADMM C[C@@H]1SC[C@@H](C(=O)NCCC(=O)[O-])N1C(=O)C1=NC(=O)N(C)C1 ZINC001594660891 986939135 /nfs/dbraw/zinc/93/91/35/986939135.db2.gz VRDQOEVNKQXNJO-CBAPKCEASA-N -1 1 342.377 -0.380 20 0 EBADMM CCS(=O)(=O)N[C@@H](C)C(=O)N1CCN(CCC(=O)[O-])[C@H](C)C1 ZINC001597224415 987165340 /nfs/dbraw/zinc/16/53/40/987165340.db2.gz WECPGZFOTYLQKD-MNOVXSKESA-N -1 1 335.426 -0.678 20 0 EBADMM CCc1cc(NC(=O)C(=O)N2CCN(C)C[C@@H](C(=O)[O-])C2)nn1C ZINC001597477681 987952348 /nfs/dbraw/zinc/95/23/48/987952348.db2.gz KBKCCKKHOVLCAL-SNVBAGLBSA-N -1 1 337.380 -0.604 20 0 EBADMM C[C@@H]1CN(C(=O)NCCCN2CCC[C@H]2C(N)=O)C[C@H]1C(=O)[O-] ZINC001599858609 989129023 /nfs/dbraw/zinc/12/90/23/989129023.db2.gz MMTWYPRDSQMFGM-UTUOFQBUSA-N -1 1 326.397 -0.312 20 0 EBADMM CC(C)(C)[C@H](NCC(=O)NC1CCN(S(C)(=O)=O)CC1)C(=O)[O-] ZINC001590444501 990004452 /nfs/dbraw/zinc/00/44/52/990004452.db2.gz MOBYRAOUNUQQPZ-GFCCVEGCSA-N -1 1 349.453 -0.385 20 0 EBADMM CC(C)(NC(=O)C(F)(F)F)C(=O)NCCC(=O)NCCC(=O)[O-] ZINC001590839627 990699436 /nfs/dbraw/zinc/69/94/36/990699436.db2.gz BPDFJZLAVRJREL-UHFFFAOYSA-N -1 1 341.286 -0.459 20 0 EBADMM CC(C)C[C@H](NC(=O)CN1CCN(C[C@H](C)O)C[C@@H]1C)C(=O)[O-] ZINC001591168086 991944394 /nfs/dbraw/zinc/94/43/94/991944394.db2.gz SVGPWMDLCIWSMA-IHRRRGAJSA-N -1 1 329.441 -0.011 20 0 EBADMM CS(=O)(=O)[C@H]1C[C@]1(CNC(=O)[C@@H]1CCCc2[nH]ncc21)C(=O)[O-] ZINC001599763011 992148048 /nfs/dbraw/zinc/14/80/48/992148048.db2.gz RQWBBCJTFWKYHR-FDLBOYPASA-N -1 1 341.389 -0.166 20 0 EBADMM CN(CCNC(=O)Cc1ccccc1S(C)(=O)=O)CC(=O)[O-] ZINC001598490969 992290823 /nfs/dbraw/zinc/29/08/23/992290823.db2.gz REAVCXAIVKCBNJ-UHFFFAOYSA-N -1 1 328.390 -0.235 20 0 EBADMM CC(C)CN1C[C@H]2CN(CCC(=O)NCC(=O)[O-])CCN2C1=O ZINC001591352168 992342257 /nfs/dbraw/zinc/34/22/57/992342257.db2.gz LRZMIRPDLWSSQT-GFCCVEGCSA-N -1 1 326.397 -0.345 20 0 EBADMM CC(C)N1C(=O)C[C@@H](NS(=O)(=O)c2cc(C(=O)[O-])no2)C1=O ZINC001591605427 992848512 /nfs/dbraw/zinc/84/85/12/992848512.db2.gz MZXQKWDRAOPVNJ-ZCFIWIBFSA-N -1 1 331.306 -0.813 20 0 EBADMM CC(C)N1C(=O)C[C@H](NS(=O)(=O)c2cc(C(=O)[O-])no2)C1=O ZINC001591605426 992849148 /nfs/dbraw/zinc/84/91/48/992849148.db2.gz MZXQKWDRAOPVNJ-LURJTMIESA-N -1 1 331.306 -0.813 20 0 EBADMM CN(c1ccnc(C(=O)[O-])n1)[C@@H]1CCN(C(=O)Cc2ncn[nH]2)C1 ZINC001598546239 992947873 /nfs/dbraw/zinc/94/78/73/992947873.db2.gz DOUFEQVFACMCAM-SECBINFHSA-N -1 1 331.336 -0.427 20 0 EBADMM CN1C[C@H](C(=O)[O-])N(C(=O)CSc2n[nH]c(=S)s2)CC1=O ZINC001598565033 993132809 /nfs/dbraw/zinc/13/28/09/993132809.db2.gz PCYMQUAERXOOKV-RXMQYKEDSA-N -1 1 348.431 -0.327 20 0 EBADMM Cn1cc(NC(=O)C(=O)N2CCN(C)C[C@H](C(=O)[O-])C2)c(C2CC2)n1 ZINC001598604871 993630206 /nfs/dbraw/zinc/63/02/06/993630206.db2.gz XXVMSDWZBMDLJN-NSHDSACASA-N -1 1 349.391 -0.289 20 0 EBADMM CN1CCN(C)[C@@H](CNC(=O)N2C[C@H]3COCC[C@@]3(C(=O)[O-])C2)C1 ZINC001598612257 993800872 /nfs/dbraw/zinc/80/08/72/993800872.db2.gz QNJNXYMPWAWYML-HEHGZKQESA-N -1 1 340.424 -0.635 20 0 EBADMM CN1CCN(C)[C@H](CNC(=O)N2C[C@H]3COCC[C@@]3(C(=O)[O-])C2)C1 ZINC001598612258 993801251 /nfs/dbraw/zinc/80/12/51/993801251.db2.gz QNJNXYMPWAWYML-WOSRLPQWSA-N -1 1 340.424 -0.635 20 0 EBADMM CN1CCN(C(=O)c2cn(CC3(C)COC3)nn2)C[C@@H](C(=O)[O-])C1 ZINC001598613466 993829677 /nfs/dbraw/zinc/82/96/77/993829677.db2.gz VGYPLNUJPQTOJM-NSHDSACASA-N -1 1 337.380 -0.597 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])S(=O)(=O)CC(C)(C)C)CC1 ZINC001598616535 993893243 /nfs/dbraw/zinc/89/32/43/993893243.db2.gz HKQBWUZEDGLZEV-UHFFFAOYSA-N -1 1 335.470 -0.004 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])CN2CC(C)(C)CC2=O)CC1 ZINC001598617259 993909800 /nfs/dbraw/zinc/90/98/00/993909800.db2.gz QAPNSICKSYSOPG-UHFFFAOYSA-N -1 1 326.441 -0.164 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)c2cnc3n[nH]cc3c2)CC1 ZINC001598617266 993910130 /nfs/dbraw/zinc/91/01/30/993910130.db2.gz QIEJLZWIJQIEJV-UHFFFAOYSA-N -1 1 346.391 -0.268 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)[C@@H]2CCc3nccn3C2)CC1 ZINC001598617969 993928908 /nfs/dbraw/zinc/92/89/08/993928908.db2.gz NIHJBZOOVPAFIO-CQSZACIVSA-N -1 1 349.435 -0.394 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)[C@@H]2CCCC(=O)N2C)CC1 ZINC001598618311 993937008 /nfs/dbraw/zinc/93/70/08/993937008.db2.gz ZMEBRUYCINGFQR-ZDUSSCGKSA-N -1 1 340.424 -0.842 20 0 EBADMM Cn1cc(C(=O)[O-])cc1S(=O)(=O)NCCN1CCN(C)CC1 ZINC001598618718 993951325 /nfs/dbraw/zinc/95/13/25/993951325.db2.gz RUVGISDJLBCCOE-UHFFFAOYSA-N -1 1 330.410 -0.751 20 0 EBADMM C[C@@H](NS(=O)(=O)c1cc(C(=O)[O-])no1)C(=O)NCC(F)(F)F ZINC001593330654 994897684 /nfs/dbraw/zinc/89/76/84/994897684.db2.gz NJVASUOGUSCRRW-SCSAIBSYSA-N -1 1 345.255 -0.282 20 0 EBADMM COC(=O)[C@@H]1C[C@H](C(=O)[O-])CCN1C(=O)C1CN([C@H]2CCOC2)C1 ZINC001598752167 995668789 /nfs/dbraw/zinc/66/87/89/995668789.db2.gz WQDLBXBXAPMBBR-WXHSDQCUSA-N -1 1 340.376 -0.428 20 0 EBADMM COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CCN1C(=O)C1CN([C@@H]2CCOC2)C1 ZINC001598752165 995668826 /nfs/dbraw/zinc/66/88/26/995668826.db2.gz WQDLBXBXAPMBBR-UHTWSYAYSA-N -1 1 340.376 -0.428 20 0 EBADMM COC(=O)[C@H]1CC[C@@H](C(=O)[O-])N(C(=O)CN(C)[C@@H]2CCC[C@H]2O)C1 ZINC001598780055 995973752 /nfs/dbraw/zinc/97/37/52/995973752.db2.gz YIBSPZUGVAMQIV-QNWHQSFQSA-N -1 1 342.392 -0.304 20 0 EBADMM COC(=O)[C@@H]1CN(C(=O)NCc2n[nH]c(C3CC3)n2)C[C@@H]1C(=O)[O-] ZINC001598818289 996295981 /nfs/dbraw/zinc/29/59/81/996295981.db2.gz XOTJSIKJFIGPAU-DTWKUNHWSA-N -1 1 337.336 -0.303 20 0 EBADMM COC(=O)[C@H]1CN(CCn2cnc(Br)n2)C[C@@H]1C(=O)[O-] ZINC001598820987 996326770 /nfs/dbraw/zinc/32/67/70/996326770.db2.gz IZRPVDFVWORNNJ-YUMQZZPRSA-N -1 1 347.169 -0.154 20 0 EBADMM COC(=O)CC[C@@H](C(=O)[O-])N1CCC[C@@H](S(=O)(=O)N(C)C)C1 ZINC001598847415 996577401 /nfs/dbraw/zinc/57/74/01/996577401.db2.gz LHKHENKLBMGBNS-MNOVXSKESA-N -1 1 336.410 -0.251 20 0 EBADMM COC(=O)NCCCC(=O)N(CCN1CCN(C)CC1)CC(=O)[O-] ZINC001598883513 996851001 /nfs/dbraw/zinc/85/10/01/996851001.db2.gz NNIDXLAKPHHRQN-UHFFFAOYSA-N -1 1 344.412 -0.717 20 0 EBADMM COC(=O)[C@@H]1O[C@@H](C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)C[C@H]1C ZINC001598901472 997178627 /nfs/dbraw/zinc/17/86/27/997178627.db2.gz JTBCPNMUZQIQSH-HKUMRIAESA-N -1 1 342.392 -0.040 20 0 EBADMM COC(=O)[C@H]1CC[C@@H](C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)O1 ZINC001598906290 997270579 /nfs/dbraw/zinc/27/05/79/997270579.db2.gz PPSMAYYDSZJRIY-GRYCIOLGSA-N -1 1 328.365 -0.286 20 0 EBADMM COC[C@H]1CNCCN1C(=O)C(=O)NC[C@H](CC(=O)[O-])C1CC1 ZINC001599208096 997447350 /nfs/dbraw/zinc/44/73/50/997447350.db2.gz PFAJBCVCEHRCHW-NWDGAFQWSA-N -1 1 327.381 -0.950 20 0 EBADMM COc1cc(NC(=O)C(=O)NCCc2nc[nH]n2)ccc1C(=O)[O-] ZINC001599428754 997721571 /nfs/dbraw/zinc/72/15/71/997721571.db2.gz BKDHZHXXTOWXNZ-UHFFFAOYSA-N -1 1 333.304 -0.191 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)N2CC[C@@H](C(=O)[O-])[C@@H]2C)C1 ZINC001599240917 998038838 /nfs/dbraw/zinc/03/88/38/998038838.db2.gz UQIYPAHTQPDDEA-SDDRHHMPSA-N -1 1 327.381 -0.855 20 0 EBADMM COCC(=O)N[C@H](C(=O)N1CCN(CCC(=O)[O-])[C@H](C)C1)C(C)C ZINC001599142513 998156617 /nfs/dbraw/zinc/15/66/17/998156617.db2.gz DKFYQJDXUCHGGT-DOMZBBRYSA-N -1 1 343.424 -0.219 20 0 EBADMM C[C@@H]1[C@@H](Nc2ncc(C(=O)[O-])cn2)CCN1C(=O)Cc1ncn[nH]1 ZINC001594192300 998752436 /nfs/dbraw/zinc/75/24/36/998752436.db2.gz JJMOAKUZNSCELS-SCZZXKLOSA-N -1 1 331.336 -0.063 20 0 EBADMM COC(=O)c1cnc(N2CCN([C@]3(C(=O)[O-])CCOC3)CC2)cn1 ZINC001599010382 998892930 /nfs/dbraw/zinc/89/29/30/998892930.db2.gz OTSZAKIYXKVEFI-OAHLLOKOSA-N -1 1 336.348 -0.371 20 0 EBADMM C[C@@H]1CN(C(=O)C(=O)N2CCOc3cc(C(=O)[O-])ccc3C2)CCN1 ZINC001594455227 999657899 /nfs/dbraw/zinc/65/78/99/999657899.db2.gz RVLBOSDQEIXSCD-LLVKDONJSA-N -1 1 347.371 -0.074 20 0 EBADMM C[C@@H]1CN(C(=O)C(=O)Nc2cnn(C(C)(C)C(=O)[O-])c2)[C@H](C)CN1 ZINC001594458449 999665126 /nfs/dbraw/zinc/66/51/26/999665126.db2.gz HDBRDXBWLQMKOW-NXEZZACHSA-N -1 1 337.380 -0.150 20 0 EBADMM C[C@@H](C(=O)N1CCN(CCC(=O)[O-])[C@H](C)C1)N1C(=O)CCC1=O ZINC001594463590 999678739 /nfs/dbraw/zinc/67/87/39/999678739.db2.gz XCVOLGDYSPRRGY-MNOVXSKESA-N -1 1 325.365 -0.469 20 0 EBADMM CN(CCNC(=O)[C@H]1CC12CCC2)C(=O)c1cc(=O)n2nc[n-]c2n1 ZINC001408571670 1125868616 /nfs/dbraw/zinc/86/86/16/1125868616.db2.gz VZRATGKGDPZCKG-SNVBAGLBSA-N -1 1 344.375 -0.204 20 0 EBADMM CN(CCNC(=O)[C@H]1CCc2nncn2C1)C(=O)c1ncccc1[O-] ZINC001408593860 1125877966 /nfs/dbraw/zinc/87/79/66/1125877966.db2.gz VHAGVOFAUDJKNR-NSHDSACASA-N -1 1 344.375 -0.171 20 0 EBADMM CN(CCNC(=O)[C@H]1CC12CC2)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001408703838 1125931564 /nfs/dbraw/zinc/93/15/64/1125931564.db2.gz DUNSVSZJOBRVFV-SECBINFHSA-N -1 1 330.348 -0.594 20 0 EBADMM Cn1[n-]c(CN(CCNC(=O)c2coc(C(N)=O)c2)C2CC2)nc1=O ZINC001481178862 1125934454 /nfs/dbraw/zinc/93/44/54/1125934454.db2.gz IJKTXDGIWFLRRT-UHFFFAOYSA-N -1 1 348.363 -0.805 20 0 EBADMM CCC(=O)N1CCCC[C@H]1C(=O)N(C)CCNCc1n[nH]c(=O)[n-]1 ZINC001481233957 1125948942 /nfs/dbraw/zinc/94/89/42/1125948942.db2.gz LJHNJYNZMLNBQU-NSHDSACASA-N -1 1 338.412 -0.151 20 0 EBADMM C[C@@H](CC(=O)NC[C@@H]1CCCN1C(=O)c1ncccc1[O-])NC(N)=O ZINC001408824983 1125956772 /nfs/dbraw/zinc/95/67/72/1125956772.db2.gz ORVVSAOPHOSXFW-QWRGUYRKSA-N -1 1 349.391 -0.045 20 0 EBADMM COC(=O)NCC(=O)N1CCC(CN(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001481510748 1126006160 /nfs/dbraw/zinc/00/61/60/1126006160.db2.gz DNQOAINMWXAFQM-UHFFFAOYSA-N -1 1 340.384 -0.463 20 0 EBADMM C[C@@](CNCc1n[nH]c(=O)[n-]1)(NC(=O)[C@H]1CCC(=O)NC1)C1CC1 ZINC001481933453 1126067504 /nfs/dbraw/zinc/06/75/04/1126067504.db2.gz JRYIWIZDGKZJGY-VFZGTOFNSA-N -1 1 336.396 -0.589 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)C3(F)CCCC3)C2)nc1=O ZINC001482193328 1126097276 /nfs/dbraw/zinc/09/72/76/1126097276.db2.gz UAOIAIGKZIJLHZ-LLVKDONJSA-N -1 1 341.387 -0.292 20 0 EBADMM Cc1ccoc1CC(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001482198364 1126099749 /nfs/dbraw/zinc/09/97/49/1126099749.db2.gz CAHDTOGXQNLGDW-LBPRGKRZSA-N -1 1 349.391 -0.430 20 0 EBADMM O=C(NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1)C1CS(=O)(=O)C1 ZINC001482219830 1126107664 /nfs/dbraw/zinc/10/76/64/1126107664.db2.gz OOKKZKLMKRSRIV-UHFFFAOYSA-N -1 1 343.409 -0.927 20 0 EBADMM COC(=O)[C@H]1C[C@@H]1C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001482214698 1126106772 /nfs/dbraw/zinc/10/67/72/1126106772.db2.gz TZWQZYDERKCFDZ-UWVGGRQHSA-N -1 1 337.380 -0.162 20 0 EBADMM CS(=O)(=O)N=S1(=O)CCN(C(=O)c2ccc([O-])cn2)CC1 ZINC001363340985 1126116634 /nfs/dbraw/zinc/11/66/34/1126116634.db2.gz PQQATGIXNUZKRO-UHFFFAOYSA-N -1 1 333.391 -0.329 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@@]1(C)CCCS1(=O)=O ZINC001482341888 1126134481 /nfs/dbraw/zinc/13/44/81/1126134481.db2.gz DACAWRLOGFLYBJ-NOZJJQNGSA-N -1 1 345.425 -0.586 20 0 EBADMM CO[C@](C)(CO)C[N-]S(=O)(=O)c1nc[nH]c1Br ZINC001364003754 1126133861 /nfs/dbraw/zinc/13/38/61/1126133861.db2.gz QULDRXSGLMVAJN-QMMMGPOBSA-N -1 1 328.188 -0.152 20 0 EBADMM CCCS(=O)(=O)CC(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC001409054961 1126167490 /nfs/dbraw/zinc/16/74/90/1126167490.db2.gz LPGBNMMBMFBEOG-UHFFFAOYSA-N -1 1 341.389 -0.447 20 0 EBADMM COCCN(CCC(=O)OC)S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001414218869 1126167767 /nfs/dbraw/zinc/16/77/67/1126167767.db2.gz YGZDRNFNOOQATK-UHFFFAOYSA-N -1 1 349.365 -0.604 20 0 EBADMM COCCN(CCC(=O)OC)S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001414218869 1126167768 /nfs/dbraw/zinc/16/77/68/1126167768.db2.gz YGZDRNFNOOQATK-UHFFFAOYSA-N -1 1 349.365 -0.604 20 0 EBADMM CCS(=O)(=O)CC(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC001409062892 1126171351 /nfs/dbraw/zinc/17/13/51/1126171351.db2.gz HSSQKQDFOQOVAI-UHFFFAOYSA-N -1 1 327.362 -0.838 20 0 EBADMM COCC(COC)S(=O)(=O)[N-][C@H]1CCN(c2cnn(C)c2)C1=O ZINC001414220095 1126173102 /nfs/dbraw/zinc/17/31/02/1126173102.db2.gz YBOKGTGBPYCPPM-LBPRGKRZSA-N -1 1 346.409 -0.894 20 0 EBADMM CCc1cc(C(=O)N(C)CCN(C)Cc2nc(=O)n(C)[n-]2)cc(=O)[nH]1 ZINC001482613479 1126237721 /nfs/dbraw/zinc/23/77/21/1126237721.db2.gz KJJMTGBRXXZQLG-UHFFFAOYSA-N -1 1 348.407 -0.025 20 0 EBADMM C[C@@H]1Cc2cccc(C(=O)NC[C@@H](O)CNCc3n[nH]c(=O)[n-]3)c2O1 ZINC001482750831 1126270864 /nfs/dbraw/zinc/27/08/64/1126270864.db2.gz AAOLJPDQMDVVKI-KOLCDFICSA-N -1 1 347.375 -0.286 20 0 EBADMM C[C@@H](CCNCc1nncs1)NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001482891293 1126300903 /nfs/dbraw/zinc/30/09/03/1126300903.db2.gz PNKQDUADFRSGKO-ZETCQYMHSA-N -1 1 327.370 -0.775 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CCc2nncn2C1 ZINC001482970481 1126307897 /nfs/dbraw/zinc/30/78/97/1126307897.db2.gz VCARZEILRWZIGK-JTQLQIEISA-N -1 1 334.384 -0.698 20 0 EBADMM CC[C@H](CNC(=O)[C@@H](C)OC)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001409241410 1126309838 /nfs/dbraw/zinc/30/98/38/1126309838.db2.gz NLNGEMPFBOPARD-RKDXNWHRSA-N -1 1 336.352 -0.923 20 0 EBADMM O=C(CC[N-]S(=O)(=O)N=S1(=O)CCCC1)N1CCOCC1 ZINC001414234690 1126317012 /nfs/dbraw/zinc/31/70/12/1126317012.db2.gz NAHXMKKFMSQLQT-UHFFFAOYSA-N -1 1 339.439 -0.669 20 0 EBADMM CC[C@@H](CNC(=O)CCS(C)(=O)=O)NC(=O)c1ncccc1[O-] ZINC001409315754 1126341930 /nfs/dbraw/zinc/34/19/30/1126341930.db2.gz MTIYXFHRXVNUIG-JTQLQIEISA-N -1 1 343.405 -0.154 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H](C)NC(=O)C(C)(C)N1CCOCC1 ZINC001409379647 1126360942 /nfs/dbraw/zinc/36/09/42/1126360942.db2.gz PCROHUYWXMNGTE-GHMZBOCLSA-N -1 1 340.428 -0.396 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001409607040 1126382442 /nfs/dbraw/zinc/38/24/42/1126382442.db2.gz KEFQWNKGGYOLJP-GFCCVEGCSA-N -1 1 334.376 -0.014 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1Cc2cccnc2C1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001409656978 1126389187 /nfs/dbraw/zinc/38/91/87/1126389187.db2.gz QJGSLYADTACRDX-AAEUAGOBSA-N -1 1 344.419 -0.145 20 0 EBADMM CC(=O)N[C@@H](C(=O)N[C@H](C)CN(C)Cc1nc(=O)n(C)[n-]1)C(C)C ZINC001483409529 1126424730 /nfs/dbraw/zinc/42/47/30/1126424730.db2.gz RHCUXBRVQQQOIC-ZWNOBZJWSA-N -1 1 340.428 -0.794 20 0 EBADMM O=C(N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)c1noc2c1COCC2 ZINC001483606854 1126439664 /nfs/dbraw/zinc/43/96/64/1126439664.db2.gz JLJAMIYREXLBNK-SNVBAGLBSA-N -1 1 348.363 -0.131 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)COc2ccsc2)nc1=O ZINC001483693170 1126459885 /nfs/dbraw/zinc/45/98/85/1126459885.db2.gz BTGLOUYLWNVIAZ-JTQLQIEISA-N -1 1 337.405 -0.061 20 0 EBADMM C[C@@H](CN1CCOCC1)C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[n-]1 ZINC001483703096 1126461315 /nfs/dbraw/zinc/46/13/15/1126461315.db2.gz TZKLECXMPIYVCZ-RYUDHWBXSA-N -1 1 338.412 -0.831 20 0 EBADMM Cc1nnc(CC(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)o1 ZINC001484046318 1126500601 /nfs/dbraw/zinc/50/06/01/1126500601.db2.gz PYWZEFPTHJHFFM-CQSZACIVSA-N -1 1 335.368 -0.877 20 0 EBADMM C[C@]1(CNC(=O)C(N)=O)CCC[N@@H+](Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC001484167547 1126510970 /nfs/dbraw/zinc/51/09/70/1126510970.db2.gz SBZCRALJSVVSQH-MRXNPFEDSA-N -1 1 346.391 -0.774 20 0 EBADMM Cn1[n-]c(CN2CCC(F)(F)[C@H](CNC(=O)C(F)F)C2)nc1=O ZINC001484192494 1126514917 /nfs/dbraw/zinc/51/49/17/1126514917.db2.gz NDOQXVKGCBEYEJ-SSDOTTSWSA-N -1 1 339.293 -0.053 20 0 EBADMM CS[C@H](C)CC(=O)NC[C@@]1(O)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001484215970 1126523671 /nfs/dbraw/zinc/52/36/71/1126523671.db2.gz SKXZCPQHCHVPRA-YGRLFVJLSA-N -1 1 343.453 -0.697 20 0 EBADMM CC[C@H](F)C(=O)NC[C@H]1CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001358628918 1131236837 /nfs/dbraw/zinc/23/68/37/1131236837.db2.gz MKZJNGRHWDSTJF-MNOVXSKESA-N -1 1 340.355 -0.608 20 0 EBADMM C[C@@]1(C(=O)N(CCO)CCNCc2n[nH]c(=O)[n-]2)CCCOC1 ZINC001484497702 1126598089 /nfs/dbraw/zinc/59/80/89/1126598089.db2.gz SHLBQAHQGBICCN-CQSZACIVSA-N -1 1 327.385 -0.763 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)c1cc(CN(C)C)on1 ZINC001485169462 1126677908 /nfs/dbraw/zinc/67/79/08/1126677908.db2.gz OGWWOKAGVBZLIJ-BDAKNGLRSA-N -1 1 337.384 -0.144 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CC12CCOCC2)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001485392584 1126696132 /nfs/dbraw/zinc/69/61/32/1126696132.db2.gz FXXZKIHGMVMMDC-VXGBXAGGSA-N -1 1 337.424 -0.138 20 0 EBADMM CCC[C@@H](C)CC(=O)NC1(CCO)CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001485399767 1126700533 /nfs/dbraw/zinc/70/05/33/1126700533.db2.gz SPCHDYRQVUQUFP-GFCCVEGCSA-N -1 1 339.440 -0.012 20 0 EBADMM CN(CCOCCNC(=O)C1C=CC=CC=C1)Cc1nc(=O)n(C)[n-]1 ZINC001485771682 1126831237 /nfs/dbraw/zinc/83/12/37/1126831237.db2.gz BAYMBJRIKFVNJX-UHFFFAOYSA-N -1 1 347.419 -0.029 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cc4cccn4cn3)CC2)nc1=O ZINC001687481969 1126876999 /nfs/dbraw/zinc/87/69/99/1126876999.db2.gz VFKIXIJCKVQWFS-UHFFFAOYSA-N -1 1 341.375 -0.286 20 0 EBADMM CN(CCCNC(=O)c1cnc(C2CC2)[n-]c1=O)C(=O)CC(N)=O ZINC001687478511 1126877472 /nfs/dbraw/zinc/87/74/72/1126877472.db2.gz WRSLUFYLEMAVKH-UHFFFAOYSA-N -1 1 335.364 -0.487 20 0 EBADMM CCOCCOCC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001486134430 1127045086 /nfs/dbraw/zinc/04/50/86/1127045086.db2.gz LDAWNVLOTLOJKL-GHMZBOCLSA-N -1 1 327.385 -0.358 20 0 EBADMM CN(C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1Cc2cccnc2C1 ZINC001486314425 1127116900 /nfs/dbraw/zinc/11/69/00/1127116900.db2.gz WKMLUBDMTYGBOC-VXGBXAGGSA-N -1 1 346.391 -0.771 20 0 EBADMM Cc1cccnc1C(=O)N(C)C[C@@H](O)CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001486332967 1127134999 /nfs/dbraw/zinc/13/49/99/1127134999.db2.gz XVISGLMPQJTRFK-LBPRGKRZSA-N -1 1 348.407 -0.623 20 0 EBADMM CC(C)(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CN1CCOCC1 ZINC001486391731 1127167362 /nfs/dbraw/zinc/16/73/62/1127167362.db2.gz CRIYJJKWZHMNKT-LLVKDONJSA-N -1 1 340.428 -0.537 20 0 EBADMM Cn1ccnc1CNC[C@H](NC(=O)c1n[nH]c(=O)[n-]c1=O)C(C)(C)C ZINC001486402092 1127168788 /nfs/dbraw/zinc/16/87/88/1127168788.db2.gz FLFALSHOOGBAQY-VIFPVBQESA-N -1 1 349.395 -0.049 20 0 EBADMM Cc1nn(C)c(C)c1OCC(=O)N[C@H](C)[C@H](C)NC(=O)c1cnn[nH]1 ZINC001486704147 1127239450 /nfs/dbraw/zinc/23/94/50/1127239450.db2.gz IYVGGLBBMZZMFA-BDAKNGLRSA-N -1 1 349.395 -0.143 20 0 EBADMM O=C(Cc1cnoc1)NC1(CCO)CN(C(=O)c2ncccc2[O-])C1 ZINC001487047527 1127390992 /nfs/dbraw/zinc/39/09/92/1127390992.db2.gz OKPNVXRJUGPFLQ-UHFFFAOYSA-N -1 1 346.343 -0.289 20 0 EBADMM C[C@@H](NC(=O)C(F)F)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001487139262 1127410410 /nfs/dbraw/zinc/41/04/10/1127410410.db2.gz WDEUOFZMTDTGMC-ZCFIWIBFSA-N -1 1 340.290 -0.741 20 0 EBADMM O=C(C[C@@H]1CCCCO1)NC[C@@H](O)CNC(=O)c1ncccc1[O-] ZINC001410112268 1127576459 /nfs/dbraw/zinc/57/64/59/1127576459.db2.gz STHYSRQHRKGHGG-NEPJUHHUSA-N -1 1 337.376 -0.047 20 0 EBADMM COc1ccnc(C(=O)NC[C@H](O)CNC(=O)c2ncccc2[O-])c1 ZINC001410113893 1127576967 /nfs/dbraw/zinc/57/69/67/1127576967.db2.gz YSXLQFXSCZCSBB-JTQLQIEISA-N -1 1 346.343 -0.289 20 0 EBADMM C[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)[C@H]1CCCCO1 ZINC001410174235 1127630049 /nfs/dbraw/zinc/63/00/49/1127630049.db2.gz AQBKCVAWOHPBMW-MWLCHTKSSA-N -1 1 348.363 -0.779 20 0 EBADMM Cc1nnc(CC(=O)N(C)[C@@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)o1 ZINC001410312484 1127675680 /nfs/dbraw/zinc/67/56/80/1127675680.db2.gz RUJGOXBOWLSGEV-JTQLQIEISA-N -1 1 335.368 -0.229 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)CNC(=O)N1CCCC1 ZINC001410371978 1127691911 /nfs/dbraw/zinc/69/19/11/1127691911.db2.gz MGGPNEBENNJJHN-NSHDSACASA-N -1 1 349.391 -0.173 20 0 EBADMM COC[C@H](C)CS(=O)(=O)[N-][C@H](CC1OCCO1)C(=O)OC ZINC001434674367 1127768400 /nfs/dbraw/zinc/76/84/00/1127768400.db2.gz NQGOBOBMJDRAAV-VHSXEESVSA-N -1 1 325.383 -0.507 20 0 EBADMM CN1CCO[C@@H](C(=O)NCC2(NC(=O)c3ncccc3[O-])CC2)C1 ZINC001410683869 1127810380 /nfs/dbraw/zinc/81/03/80/1127810380.db2.gz FVWCNQKPKBGLCG-GFCCVEGCSA-N -1 1 334.376 -0.504 20 0 EBADMM O=C(NCC1(NC(=O)C2CS(=O)(=O)C2)CC1)c1ncccc1[O-] ZINC001410696139 1127824141 /nfs/dbraw/zinc/82/41/41/1127824141.db2.gz SOTNIPUMQDGROE-UHFFFAOYSA-N -1 1 339.373 -0.790 20 0 EBADMM O=C(NC[C@H](CO)NCc1ncccn1)c1cnc(C2CC2)[n-]c1=O ZINC001410719498 1127838126 /nfs/dbraw/zinc/83/81/26/1127838126.db2.gz CNTPLEZCMGLUMY-LLVKDONJSA-N -1 1 344.375 -0.270 20 0 EBADMM Cc1ccc([C@H](C)C(=O)NC[C@H](CO)NCc2nc(=O)n(C)[n-]2)o1 ZINC001410729990 1127848005 /nfs/dbraw/zinc/84/80/05/1127848005.db2.gz OCVGBWLOFYWJIX-WDEREUQCSA-N -1 1 337.380 -0.620 20 0 EBADMM Cc1cccc(OCC(=O)N(C)C[C@@H](O)CNCc2n[nH]c(=O)[n-]2)c1 ZINC001411145376 1128039239 /nfs/dbraw/zinc/03/92/39/1128039239.db2.gz RKRQCYJKTOIYJF-LBPRGKRZSA-N -1 1 349.391 -0.193 20 0 EBADMM O=C(NC[C@]1(O)CCN(C(=O)[C@H]2CCCOC2)C1)c1ncccc1[O-] ZINC001411160845 1128052469 /nfs/dbraw/zinc/05/24/69/1128052469.db2.gz PMPVAVHACLRNTA-YVEFUNNKSA-N -1 1 349.387 -0.093 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H](O)CN(C)C(=O)c1ccns1 ZINC001411166599 1128069975 /nfs/dbraw/zinc/06/99/75/1128069975.db2.gz GQGRKTXNKJWJHJ-VIFPVBQESA-N -1 1 340.409 -0.870 20 0 EBADMM Cc1n[nH]nc1C(=O)N(CCN(C)Cc1nc(=O)n(C)[n-]1)C(C)C ZINC001411199739 1128090946 /nfs/dbraw/zinc/09/09/46/1128090946.db2.gz PSZAVVAHEXVRQS-UHFFFAOYSA-N -1 1 336.400 -0.482 20 0 EBADMM COCCN(CCNC(=O)c1nccc(C)n1)Cc1nc(=O)n(C)[n-]1 ZINC001411224492 1128096713 /nfs/dbraw/zinc/09/67/13/1128096713.db2.gz DFBHIXFQKCCASG-UHFFFAOYSA-N -1 1 349.395 -0.915 20 0 EBADMM C[C@@]12CN(CCF)C[C@@H]1CN(C(=O)CCc1n[nH]c(=O)[n-]c1=O)C2 ZINC001091813886 1128156780 /nfs/dbraw/zinc/15/67/80/1128156780.db2.gz OPRZXVPIXAAJAE-BMIGLBTASA-N -1 1 339.371 -0.035 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)[C@@H]1CCCNC1=O ZINC001092699237 1128261812 /nfs/dbraw/zinc/26/18/12/1128261812.db2.gz FIMZTQOXAOQAIK-GMTAPVOTSA-N -1 1 336.396 -0.779 20 0 EBADMM CCNCc1cn(C2CN(C(=O)c3c[n-]n4c3nccc4=O)C2)nn1 ZINC001093337894 1128332881 /nfs/dbraw/zinc/33/28/81/1128332881.db2.gz HKRIJVPFWULIIO-UHFFFAOYSA-N -1 1 342.363 -0.579 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)[C@H]1CN(C)CCO1 ZINC001487756008 1128418280 /nfs/dbraw/zinc/41/82/80/1128418280.db2.gz OYWAMRPBDCZFAX-WCQYABFASA-N -1 1 336.392 -0.306 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)[C@@]1(C)CCNC1=O ZINC001487756598 1128418569 /nfs/dbraw/zinc/41/85/69/1128418569.db2.gz WGMDPJMIINQXQI-QFYYESIMSA-N -1 1 334.376 -0.110 20 0 EBADMM O=C(N[C@@H](CO)CNC(=O)c1ncccc1[O-])c1cc2n(n1)CCC2 ZINC001487978024 1128474195 /nfs/dbraw/zinc/47/41/95/1128474195.db2.gz OUGUGKRATZIQRC-SNVBAGLBSA-N -1 1 345.359 -0.549 20 0 EBADMM Cc1cn(C)nc1C(=O)NC[C@@H](CO)NC(=O)c1ncccc1[O-] ZINC001487990842 1128526614 /nfs/dbraw/zinc/52/66/14/1128526614.db2.gz IDXMGKCHOXGXMF-JTQLQIEISA-N -1 1 333.348 -0.650 20 0 EBADMM C[C@H]1[C@@H](NC(=O)CCn2cnnn2)CCN1C(=O)c1ncccc1[O-] ZINC001488130793 1128630457 /nfs/dbraw/zinc/63/04/57/1128630457.db2.gz WSSAPFMLXZJYRL-QWRGUYRKSA-N -1 1 345.363 -0.417 20 0 EBADMM NC(=O)CC(=O)N[C@@]12CCC[C@H]1N(C(=O)c1ncccc1[O-])CC2 ZINC001488228429 1128658741 /nfs/dbraw/zinc/65/87/41/1128658741.db2.gz SESRNSQGYOXHKZ-BDJLRTHQSA-N -1 1 332.360 -0.084 20 0 EBADMM CN(C[C@@H](O)CN(C)C(=O)[C@@H]1CCOC1)C(=O)c1ncccc1[O-] ZINC001488427193 1128756770 /nfs/dbraw/zinc/75/67/70/1128756770.db2.gz ZGEZETGTKVBPSG-NEPJUHHUSA-N -1 1 337.376 -0.285 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1CCNS(C)(=O)=O ZINC001489261458 1128937689 /nfs/dbraw/zinc/93/76/89/1128937689.db2.gz UEZQCDGYTYUSGV-MNOVXSKESA-N -1 1 342.421 -0.471 20 0 EBADMM NC(=O)NCCC(=O)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001489235654 1128940603 /nfs/dbraw/zinc/94/06/03/1128940603.db2.gz JWKVIOSUHOQTOM-WDEREUQCSA-N -1 1 347.375 -0.482 20 0 EBADMM CS[C@@H](C)C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001489296949 1128945640 /nfs/dbraw/zinc/94/56/40/1128945640.db2.gz UWHAPLQOIWFKLZ-IQJOONFLSA-N -1 1 325.390 -0.160 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)C[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001489716712 1129051470 /nfs/dbraw/zinc/05/14/70/1129051470.db2.gz MMZIZBATZRMGDQ-LBPRGKRZSA-N -1 1 334.376 -0.062 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001490398634 1129171671 /nfs/dbraw/zinc/17/16/71/1129171671.db2.gz LMYOMSNTOLEGJR-UHFFFAOYSA-N -1 1 338.412 -0.991 20 0 EBADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@]1(C)CNC(=O)C1 ZINC001416210648 1131310461 /nfs/dbraw/zinc/31/04/61/1131310461.db2.gz SUHWORYANODUBC-QFYYESIMSA-N -1 1 334.376 -0.062 20 0 EBADMM COCC(=O)N[C@@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@@H]1O ZINC001414040541 1131343189 /nfs/dbraw/zinc/34/31/89/1131343189.db2.gz WIXMNQDFOBMIPC-MNOVXSKESA-N -1 1 336.348 -0.993 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCN(Cc2cncs2)C1 ZINC001490567598 1129227243 /nfs/dbraw/zinc/22/72/43/1129227243.db2.gz WHGKUYXHOSBZRY-LLVKDONJSA-N -1 1 349.416 -0.226 20 0 EBADMM O=C(NC[C@H](NCc1n[nH]c(=O)[n-]1)C1CC1)[C@@H]1CCNC(=O)CC1 ZINC001490689366 1129247702 /nfs/dbraw/zinc/24/77/02/1129247702.db2.gz NFXWXXKKTVGRRJ-QWRGUYRKSA-N -1 1 336.396 -0.589 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H](C)N(C)C(=O)CSC ZINC001411617151 1129334232 /nfs/dbraw/zinc/33/42/32/1129334232.db2.gz ONWAZMJSINASPT-ZETCQYMHSA-N -1 1 344.393 -0.164 20 0 EBADMM COC(=O)[C@H]1C[C@@H](C(=O)OC)CCN1C(=O)CCCc1nn[n-]n1 ZINC001411949948 1129410518 /nfs/dbraw/zinc/41/05/18/1129410518.db2.gz NKWVEKRLJKLIEI-VHSXEESVSA-N -1 1 339.352 -0.524 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](CCO)C1CCOCC1 ZINC001412003955 1129424659 /nfs/dbraw/zinc/42/46/59/1129424659.db2.gz MXBNJCIMVXWPRQ-SECBINFHSA-N -1 1 327.337 -0.196 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCOC[C@H]1[C@H]1CCCO1 ZINC001412112268 1129450212 /nfs/dbraw/zinc/45/02/12/1129450212.db2.gz STMIGVFXATUVSH-DTWKUNHWSA-N -1 1 325.321 -0.084 20 0 EBADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CS(=O)(=O)C(C)C)n[n-]1 ZINC001412325860 1129502633 /nfs/dbraw/zinc/50/26/33/1129502633.db2.gz HAZNCBMAQAOBPS-MRVPVSSYSA-N -1 1 332.382 -0.018 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CS(=O)(=O)C(C)C)n1 ZINC001412325860 1129502637 /nfs/dbraw/zinc/50/26/37/1129502637.db2.gz HAZNCBMAQAOBPS-MRVPVSSYSA-N -1 1 332.382 -0.018 20 0 EBADMM CN1CCN(c2ccc(NC(=O)Cn3c(=O)[n-][nH]c3=O)cn2)CC1 ZINC001412389674 1129516223 /nfs/dbraw/zinc/51/62/23/1129516223.db2.gz GTOBNMWKPMAVDS-UHFFFAOYSA-N -1 1 333.352 -0.525 20 0 EBADMM CS(=O)(=O)c1ccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)s1 ZINC001412427866 1129526378 /nfs/dbraw/zinc/52/63/78/1129526378.db2.gz PKFHQGRKRUIDIB-SSDOTTSWSA-N -1 1 343.390 -0.122 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC(O)(c3ccnn3C)C2)co1 ZINC001412517089 1129549367 /nfs/dbraw/zinc/54/93/67/1129549367.db2.gz ZTOKSRIITILAOH-UHFFFAOYSA-N -1 1 340.361 -0.735 20 0 EBADMM O=C(c1cc2c([nH]c1=O)CCOC2)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001412534405 1129553260 /nfs/dbraw/zinc/55/32/60/1129553260.db2.gz QAVZTYUPAMBOFI-LLVKDONJSA-N -1 1 332.320 -0.413 20 0 EBADMM COC[C@@H](NC(=O)c1ccc(NS(C)(=O)=O)cc1)c1nn[n-]n1 ZINC001412588149 1129569369 /nfs/dbraw/zinc/56/93/69/1129569369.db2.gz FCQUWKYPPQJLAW-SNVBAGLBSA-N -1 1 340.365 -0.311 20 0 EBADMM O=C(c1cn(C[C@H]2CCOC2)nn1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001412607768 1129575025 /nfs/dbraw/zinc/57/50/25/1129575025.db2.gz ISXLIYMZZRPAPS-NWDGAFQWSA-N -1 1 346.395 -0.077 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1CCC(=O)N1C)NC(=O)c1ncccc1[O-] ZINC001412668026 1129588777 /nfs/dbraw/zinc/58/87/77/1129588777.db2.gz NVDJAIKXJLZNLV-MNOVXSKESA-N -1 1 334.376 -0.015 20 0 EBADMM COC(=O)[C@]1(NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)CCSC1 ZINC001412778489 1129643127 /nfs/dbraw/zinc/64/31/27/1129643127.db2.gz YUIBYTIESJVOJM-LBPRGKRZSA-N -1 1 329.334 -0.325 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC2(C(=O)OC)CC(CO)C2)o1 ZINC001412790444 1129650538 /nfs/dbraw/zinc/65/05/38/1129650538.db2.gz GHRDBVNXOIOJLU-UHFFFAOYSA-N -1 1 346.361 -0.768 20 0 EBADMM COc1ncccc1C(=O)N[C@@H](CO)CNC(=O)c1ncccc1[O-] ZINC001412806098 1129660386 /nfs/dbraw/zinc/66/03/86/1129660386.db2.gz HNPMMAAIGPYWLK-SNVBAGLBSA-N -1 1 346.343 -0.289 20 0 EBADMM Cc1ccn(C)c1C(=O)NC[C@@H](CO)NC(=O)c1ncccc1[O-] ZINC001412814937 1129666747 /nfs/dbraw/zinc/66/67/47/1129666747.db2.gz JJNIVUPFKNCMTN-NSHDSACASA-N -1 1 332.360 -0.045 20 0 EBADMM COC1(CC(=O)NC[C@H](CO)NC(=O)c2ncccc2[O-])CCC1 ZINC001412815905 1129667683 /nfs/dbraw/zinc/66/76/83/1129667683.db2.gz KXKVQFSLFUOSSY-LLVKDONJSA-N -1 1 337.376 -0.047 20 0 EBADMM CCOc1ccc(C(=O)NC[C@@H](CO)NC(=O)c2cnn[nH]2)cc1 ZINC001412995020 1129845455 /nfs/dbraw/zinc/84/54/55/1129845455.db2.gz SZAWDJDGIWZSEX-NSHDSACASA-N -1 1 333.348 -0.276 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CCNC(N)=O ZINC001413025175 1129868264 /nfs/dbraw/zinc/86/82/64/1129868264.db2.gz GFQKXEXTKRRAGJ-ZJUUUORDSA-N -1 1 335.364 -0.435 20 0 EBADMM CN(C[C@@H](O)CNC(=O)[C@@H]1CC1(F)F)C(=O)c1ncccc1[O-] ZINC001413240986 1129963512 /nfs/dbraw/zinc/96/35/12/1129963512.db2.gz AVMJQZVYBKUDID-IUCAKERBSA-N -1 1 329.303 -0.009 20 0 EBADMM C[C@H](C(=O)N(C)C[C@H](O)CNC(=O)c1ncccc1[O-])n1cncn1 ZINC001413239417 1129963738 /nfs/dbraw/zinc/96/37/38/1129963738.db2.gz CAWJOMIXZCDQHH-GHMZBOCLSA-N -1 1 348.363 -0.811 20 0 EBADMM COC(=O)[C@@H]1CC[C@H](C(=O)OC)N(C(=O)CCc2nn[n-]n2)C1 ZINC001413280498 1129987383 /nfs/dbraw/zinc/98/73/83/1129987383.db2.gz XHCQOLUQMONPOW-RKDXNWHRSA-N -1 1 325.325 -0.915 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2ccc3c(c2)CCO3)c(=O)[nH]c1=O ZINC001413287285 1129993354 /nfs/dbraw/zinc/99/33/54/1129993354.db2.gz FADGXWQMRXBSKD-UHFFFAOYSA-N -1 1 337.357 -0.101 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@]2(C)CCO[C@@H]2C2CC2)c(=O)[nH]c1=O ZINC001413315941 1130010418 /nfs/dbraw/zinc/01/04/18/1130010418.db2.gz DCXGJWQWGPFPFE-ZWNOBZJWSA-N -1 1 329.378 -0.278 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H](CO)c2cncn2C)o1 ZINC001413325785 1130017653 /nfs/dbraw/zinc/01/76/53/1130017653.db2.gz JQKGHHOBDZZPCM-MRVPVSSYSA-N -1 1 328.350 -0.615 20 0 EBADMM CN(C)S(=O)(=O)c1ccc(F)c(C(=O)N(C)c2nn[n-]n2)c1 ZINC001413329536 1130019762 /nfs/dbraw/zinc/01/97/62/1130019762.db2.gz VRBNWZCDCPZQPK-UHFFFAOYSA-N -1 1 328.329 -0.134 20 0 EBADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)NC[C@@H](O)[C@@H](C)O ZINC001413344736 1130032503 /nfs/dbraw/zinc/03/25/03/1130032503.db2.gz NWRFOHBZSLXKIX-ZWNOBZJWSA-N -1 1 332.360 -0.047 20 0 EBADMM CN(C[C@H](O)CN(C)C(=O)c1ncccc1[O-])C(=O)c1ccon1 ZINC001413365852 1130056814 /nfs/dbraw/zinc/05/68/14/1130056814.db2.gz PGGFHRAPMPHEPR-JTQLQIEISA-N -1 1 334.332 -0.020 20 0 EBADMM CS(=O)(=O)c1cc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)cs1 ZINC001413387091 1130068411 /nfs/dbraw/zinc/06/84/11/1130068411.db2.gz MFOHRQQTLZWTQI-MRVPVSSYSA-N -1 1 343.390 -0.122 20 0 EBADMM COC(=O)C1(C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)CCCC1 ZINC001413431087 1130086138 /nfs/dbraw/zinc/08/61/38/1130086138.db2.gz MIFKSHGTJOGTTM-UHFFFAOYSA-N -1 1 345.377 -0.502 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-]CCN2CCNC2=O)cc1C ZINC001413435294 1130088317 /nfs/dbraw/zinc/08/83/17/1130088317.db2.gz XIQDMSBFOYFPRH-UHFFFAOYSA-N -1 1 331.350 -0.322 20 0 EBADMM CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@]1(C)CCNC1=O ZINC001413444703 1130091069 /nfs/dbraw/zinc/09/10/69/1130091069.db2.gz GXZRGVRZDVWQIU-GFCCVEGCSA-N -1 1 330.366 -0.548 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)[C@H]1CCS(=O)(=O)C1 ZINC001413452712 1130092989 /nfs/dbraw/zinc/09/29/89/1130092989.db2.gz CIAURLUJXJHVFC-QMMMGPOBSA-N -1 1 327.362 -0.694 20 0 EBADMM COc1cc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)ccc1OCC(N)=O ZINC001413466722 1130098133 /nfs/dbraw/zinc/09/81/33/1130098133.db2.gz HFDSBXROFDGLQL-SNVBAGLBSA-N -1 1 346.347 -0.298 20 0 EBADMM O=C(CSc1n[nH]c(=O)[n-]1)N1CC[C@H]2[C@@H]1CCCN2CCO ZINC001413767298 1130263792 /nfs/dbraw/zinc/26/37/92/1130263792.db2.gz NQENWCJQVIFPRL-UWVGGRQHSA-N -1 1 327.410 -0.340 20 0 EBADMM Cc1nnc(CN[C@H]2C[C@@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)o1 ZINC001491064951 1130309865 /nfs/dbraw/zinc/30/98/65/1130309865.db2.gz VTKZNBCJAJVPJM-PHIMTYICSA-N -1 1 348.363 -0.945 20 0 EBADMM C[C@H](C(=O)NC[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1)n1cncn1 ZINC001491097816 1130322747 /nfs/dbraw/zinc/32/27/47/1130322747.db2.gz IJHORXHRONDXFL-VXGBXAGGSA-N -1 1 348.411 -0.711 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001491197198 1130356656 /nfs/dbraw/zinc/35/66/56/1130356656.db2.gz SADRKXKNPQLGPQ-GFCCVEGCSA-N -1 1 338.412 -0.943 20 0 EBADMM Cc1n[nH]c(C(=O)NCCNC(=O)[C@H]2CN(C(C)C)CCO2)c1[O-] ZINC001491229625 1130365416 /nfs/dbraw/zinc/36/54/16/1130365416.db2.gz ABGUUQBWDFLMEZ-LLVKDONJSA-N -1 1 339.396 -0.621 20 0 EBADMM Cc1nonc1CC(=O)NC[C@@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001491228497 1130366759 /nfs/dbraw/zinc/36/67/59/1130366759.db2.gz ALIFFXQNDDJXMP-NSHDSACASA-N -1 1 349.395 -0.487 20 0 EBADMM O=C(NCCNC(=O)[C@H](C1CC1)N1CCOCC1)c1ncccc1[O-] ZINC001491246102 1130371123 /nfs/dbraw/zinc/37/11/23/1130371123.db2.gz ZIRJCFFWYROJAK-HNNXBMFYSA-N -1 1 348.403 -0.256 20 0 EBADMM Cc1cccn(CC(=O)NCCNC(=O)c2ncccc2[O-])c1=O ZINC001491245409 1130371271 /nfs/dbraw/zinc/37/12/71/1130371271.db2.gz OUHFQRQCXUESDZ-UHFFFAOYSA-N -1 1 330.344 -0.196 20 0 EBADMM O=C(Cc1ccccc1)NCCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001491439250 1130444341 /nfs/dbraw/zinc/44/43/41/1130444341.db2.gz ICRHLITZOAUMMI-UHFFFAOYSA-N -1 1 340.343 -0.494 20 0 EBADMM Cn1nc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c2ccccc2c1=O ZINC001251768121 1130536622 /nfs/dbraw/zinc/53/66/22/1130536622.db2.gz PWAOKIJUTFKXPE-LLVKDONJSA-N -1 1 341.331 -0.340 20 0 EBADMM CC(=O)Nc1ncc(S(=O)(=O)NCC[P@](=O)([O-])O)s1 ZINC001260709117 1130546074 /nfs/dbraw/zinc/54/60/74/1130546074.db2.gz WCNNAFBJESZFGQ-UHFFFAOYSA-N -1 1 329.296 -0.443 20 0 EBADMM C[C@H](CCNC(=O)C[C@H]1CCS(=O)(=O)C1)NCc1n[nH]c(=O)[n-]1 ZINC001492053550 1130577948 /nfs/dbraw/zinc/57/79/48/1130577948.db2.gz MADOGVMUJHADJF-NXEZZACHSA-N -1 1 345.425 -0.681 20 0 EBADMM CN(CCNC(=O)c1c(C2CCC2)cnn1C)Cc1nc(=O)n(C)[n-]1 ZINC001492257749 1130609991 /nfs/dbraw/zinc/60/99/91/1130609991.db2.gz VAGMMISLHMSTCG-UHFFFAOYSA-N -1 1 347.423 -0.029 20 0 EBADMM CN(CCNC(=O)Cc1cnn2c1CCCC2)Cc1nc(=O)n(C)[n-]1 ZINC001492286391 1130619359 /nfs/dbraw/zinc/61/93/59/1130619359.db2.gz MINRMAVWHLDSJL-UHFFFAOYSA-N -1 1 347.423 -0.568 20 0 EBADMM CC[C@@H](F)C(=O)N(C)CCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001492434776 1130667457 /nfs/dbraw/zinc/66/74/57/1130667457.db2.gz WYWMYJXUQRDONR-LLVKDONJSA-N -1 1 342.371 -0.361 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCCNC(=O)c1cnccn1 ZINC001302793908 1130678766 /nfs/dbraw/zinc/67/87/66/1130678766.db2.gz KZHBMTMJLNAHCX-UHFFFAOYSA-N -1 1 334.361 -0.271 20 0 EBADMM C[C@@H](CO)N(C)C(=O)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC001329360680 1130709839 /nfs/dbraw/zinc/70/98/39/1130709839.db2.gz JNAFOXJAHBIBAR-QPUJVOFHSA-N -1 1 339.314 -0.505 20 0 EBADMM COC(=O)NCC(=O)NCCCN(Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001479865488 1130740973 /nfs/dbraw/zinc/74/09/73/1130740973.db2.gz RZTZXMZNVOMLPU-UHFFFAOYSA-N -1 1 326.357 -0.663 20 0 EBADMM C[C@H]1CN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CC(=O)N1C ZINC001413914815 1130741826 /nfs/dbraw/zinc/74/18/26/1130741826.db2.gz DCGQQCMYJITOJY-VIFPVBQESA-N -1 1 335.364 -0.373 20 0 EBADMM CCN(CCCNC(=O)[C@H]1CCNC1=O)C(=O)c1ncccc1[O-] ZINC001492991491 1130745966 /nfs/dbraw/zinc/74/59/66/1130745966.db2.gz XCILFZSKOJFOTC-LLVKDONJSA-N -1 1 334.376 -0.108 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CCc2ncncc2C1)NCc1n[nH]c(=O)[n-]1 ZINC001480474057 1130818004 /nfs/dbraw/zinc/81/80/04/1130818004.db2.gz GUVMTXDOATYZGM-UWVGGRQHSA-N -1 1 331.380 -0.300 20 0 EBADMM CCN(CCCNC(=O)[C@@H]1CCNC1=O)C(=O)c1ncccc1[O-] ZINC001492991492 1130861476 /nfs/dbraw/zinc/86/14/76/1130861476.db2.gz XCILFZSKOJFOTC-NSHDSACASA-N -1 1 334.376 -0.108 20 0 EBADMM O=C(CC1CC1)NC[C@H]1CCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001356995349 1131018415 /nfs/dbraw/zinc/01/84/15/1131018415.db2.gz ABFKMMAOXVBMGF-CYBMUJFWSA-N -1 1 348.403 -0.166 20 0 EBADMM COC[C@@H](C)C(=O)NC/C=C\CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001357657507 1131112218 /nfs/dbraw/zinc/11/22/18/1131112218.db2.gz IRRNBNQTVCISTC-UMBAGQNISA-N -1 1 348.363 -0.898 20 0 EBADMM O=C(NC/C=C\CNC(=O)[C@H]1CCNC(=O)C1)c1ncccc1[O-] ZINC001357721120 1131119553 /nfs/dbraw/zinc/11/95/53/1131119553.db2.gz LLWOJYISRDUXSE-BVBSBALXSA-N -1 1 332.360 -0.284 20 0 EBADMM O=C(NCC=CCNC(=O)c1ncccc1[O-])c1cn[nH]c(=O)c1 ZINC001357751123 1131123337 /nfs/dbraw/zinc/12/33/37/1131123337.db2.gz ICEYFQWWWPMXLP-OWOJBTEDSA-N -1 1 329.316 -0.001 20 0 EBADMM C[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)c1ccccn1 ZINC001358048691 1131164062 /nfs/dbraw/zinc/16/40/62/1131164062.db2.gz RJCVKFBOMYVVSS-SECBINFHSA-N -1 1 341.331 -0.639 20 0 EBADMM CC(C)CC(=O)NC[C@H]1CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001358583479 1131219081 /nfs/dbraw/zinc/21/90/81/1131219081.db2.gz UWRBMODYBIWEAT-GFCCVEGCSA-N -1 1 336.392 -0.310 20 0 EBADMM CN(C)C(=O)Cn1cc(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)nn1 ZINC001361605007 1131426766 /nfs/dbraw/zinc/42/67/66/1131426766.db2.gz ODUHMVUCBGHCEJ-UHFFFAOYSA-N -1 1 345.363 -0.007 20 0 EBADMM CNS(=O)(=O)c1cccc(CNC(=O)CCCc2nn[n-]n2)c1 ZINC001361636132 1131432117 /nfs/dbraw/zinc/43/21/17/1131432117.db2.gz AVCBZQJMPSPUIH-UHFFFAOYSA-N -1 1 338.393 -0.253 20 0 EBADMM CSc1ncc(C(=O)NCCS(=O)(=O)NC(C)C)c(=O)[n-]1 ZINC001361688593 1131440520 /nfs/dbraw/zinc/44/05/20/1131440520.db2.gz QBMCCAIZUMUMLK-UHFFFAOYSA-N -1 1 334.423 -0.038 20 0 EBADMM Cc1cc(=O)n2[n-]c(NC(=O)C[C@@H]3CCCS(=O)(=O)C3)nc2n1 ZINC001361704660 1131442871 /nfs/dbraw/zinc/44/28/71/1131442871.db2.gz MAUCBMZVOPUZOV-VIFPVBQESA-N -1 1 339.377 -0.121 20 0 EBADMM C[C@H](NC(=O)c1ccc(F)c([O-])c1)C(=O)N1CCS(=O)(=O)CC1 ZINC001361744985 1131448247 /nfs/dbraw/zinc/44/82/47/1131448247.db2.gz PBVVCFMUWQWPDL-VIFPVBQESA-N -1 1 344.364 -0.093 20 0 EBADMM CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc([O-])cc1F ZINC001361807870 1131459463 /nfs/dbraw/zinc/45/94/63/1131459463.db2.gz SGQCODBUWRQMQD-SECBINFHSA-N -1 1 344.364 -0.093 20 0 EBADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CC[C@@H](C(=O)OC)O3)nc2n1 ZINC001361824807 1131462087 /nfs/dbraw/zinc/46/20/87/1131462087.db2.gz SGEUBBCVMCODFO-BDAKNGLRSA-N -1 1 335.320 -0.361 20 0 EBADMM CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1cccc([O-])c1F ZINC001361923615 1131480105 /nfs/dbraw/zinc/48/01/05/1131480105.db2.gz RUPVPIPMXXQHTF-VIFPVBQESA-N -1 1 344.364 -0.093 20 0 EBADMM COC[C@@]1(C(=O)Nc2nnn[n-]2)CN(C(=O)OC(C)(C)C)CCO1 ZINC001361933931 1131482890 /nfs/dbraw/zinc/48/28/90/1131482890.db2.gz WSHMHYLVBXGWQE-CYBMUJFWSA-N -1 1 342.356 -0.209 20 0 EBADMM COC[C@@]1(C(=O)Nc2nn[n-]n2)CN(C(=O)OC(C)(C)C)CCO1 ZINC001361933931 1131482893 /nfs/dbraw/zinc/48/28/93/1131482893.db2.gz WSHMHYLVBXGWQE-CYBMUJFWSA-N -1 1 342.356 -0.209 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NC2CCS(=O)(=O)CC2)co1 ZINC001362096409 1131514868 /nfs/dbraw/zinc/51/48/68/1131514868.db2.gz BNFCCZYEOARVMK-UHFFFAOYSA-N -1 1 336.391 -0.505 20 0 EBADMM O=C(C[C@@H]1NC(=O)c2ccccc21)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001362123561 1131520006 /nfs/dbraw/zinc/52/00/06/1131520006.db2.gz JZXZQNLKNRJOKQ-NWDGAFQWSA-N -1 1 328.332 -0.026 20 0 EBADMM NC(=O)c1cc(N2CCOCC2)ccc1NC(=O)CCc1nn[n-]n1 ZINC001362248721 1131544918 /nfs/dbraw/zinc/54/49/18/1131544918.db2.gz XSKUQJHIHGELDF-UHFFFAOYSA-N -1 1 345.363 -0.294 20 0 EBADMM C[C@@H](NC(=O)c1c[nH]c2nc(=O)[n-]c(=O)c-2c1)[C@@H]1CN(C)CCN1C ZINC001362324315 1131560819 /nfs/dbraw/zinc/56/08/19/1131560819.db2.gz ZCDDHFLWUQCOHI-SKDRFNHKSA-N -1 1 346.391 -0.200 20 0 EBADMM CS(=O)(=O)N1CC(CNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001362372977 1131570732 /nfs/dbraw/zinc/57/07/32/1131570732.db2.gz FUOHGUOZTCWTCD-UHFFFAOYSA-N -1 1 326.378 -0.319 20 0 EBADMM O=C(CS[C@H]1CCS(=O)(=O)C1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362408611 1131577702 /nfs/dbraw/zinc/57/77/02/1131577702.db2.gz TUVVJGOYLXKSLK-ZJUUUORDSA-N -1 1 345.450 -0.174 20 0 EBADMM O=C(C[C@@H]1CCCS1(=O)=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC001362412820 1131578617 /nfs/dbraw/zinc/57/86/17/1131578617.db2.gz WZGMYFAHMWPQLN-IUCAKERBSA-N -1 1 331.423 -0.217 20 0 EBADMM O=C(C[C@@H]1CCCS(=O)(=O)C1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362435292 1131582140 /nfs/dbraw/zinc/58/21/40/1131582140.db2.gz IYRLRVNHKMZFPE-JTQLQIEISA-N -1 1 343.409 -0.775 20 0 EBADMM Cc1nc2c(n1CCO)CC[C@@H](NC(=O)CCCc1nn[n-]n1)C2 ZINC001362523711 1131601428 /nfs/dbraw/zinc/60/14/28/1131601428.db2.gz YMXVFSPUXVVAPW-LLVKDONJSA-N -1 1 333.396 -0.307 20 0 EBADMM CCOC(=O)[C@@H](NC(=O)CCCc1nn[n-]n1)[C@H]1CCCOC1 ZINC001362534846 1131604538 /nfs/dbraw/zinc/60/45/38/1131604538.db2.gz UEIKZSOOQXQNAA-GWCFXTLKSA-N -1 1 325.369 -0.003 20 0 EBADMM O=C(N1CCC(O)(c2nn[n-]n2)CC1)C1(O)Cc2ccccc2C1 ZINC001362558279 1131610017 /nfs/dbraw/zinc/61/00/17/1131610017.db2.gz BRSODUXWMGQJCA-UHFFFAOYSA-N -1 1 329.360 -0.460 20 0 EBADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H]2CC[C@@H](C(=O)OC)O2)n[n-]1 ZINC001362662906 1131636150 /nfs/dbraw/zinc/63/61/50/1131636150.db2.gz XMHSQTFJROIEMQ-YIZRAAEISA-N -1 1 340.336 -0.121 20 0 EBADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H]2CC[C@@H](C(=O)OC)O2)[n-]1 ZINC001362662906 1131636154 /nfs/dbraw/zinc/63/61/54/1131636154.db2.gz XMHSQTFJROIEMQ-YIZRAAEISA-N -1 1 340.336 -0.121 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H]2CC[C@@H](C(=O)OC)O2)n1 ZINC001362662906 1131636156 /nfs/dbraw/zinc/63/61/56/1131636156.db2.gz XMHSQTFJROIEMQ-YIZRAAEISA-N -1 1 340.336 -0.121 20 0 EBADMM CCC[C@@H](C(=O)N1CCSC[C@H]1c1nn[n-]n1)S(N)(=O)=O ZINC001362676056 1131638838 /nfs/dbraw/zinc/63/88/38/1131638838.db2.gz XTGRRJDMJJHVRD-YUMQZZPRSA-N -1 1 334.427 -0.727 20 0 EBADMM O=C(NCCN1CCCOCC1)c1ccc(-n2[nH]c(=O)[n-]c2=O)cc1 ZINC001362680236 1131639466 /nfs/dbraw/zinc/63/94/66/1131639466.db2.gz SJRJNSPNEMQQEI-UHFFFAOYSA-N -1 1 347.375 -0.282 20 0 EBADMM CS(=O)(=O)NC[C@H]1CCC[C@H]1NC(=O)CCCc1nn[n-]n1 ZINC001362702986 1131645696 /nfs/dbraw/zinc/64/56/96/1131645696.db2.gz DNIZUNKBRYTVNM-NXEZZACHSA-N -1 1 330.414 -0.644 20 0 EBADMM CS(=O)(=O)NC[C@@H]1CCC[C@@H]1NC(=O)CCCc1nn[n-]n1 ZINC001362702994 1131645899 /nfs/dbraw/zinc/64/58/99/1131645899.db2.gz DNIZUNKBRYTVNM-UWVGGRQHSA-N -1 1 330.414 -0.644 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H](N2CCOCC2)C[C@H]1C ZINC001362805480 1131680711 /nfs/dbraw/zinc/68/07/11/1131680711.db2.gz XUEKQCQQXHXGPG-NXEZZACHSA-N -1 1 338.364 -0.168 20 0 EBADMM C[C@]1(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CCCS1(=O)=O ZINC001362809309 1131681725 /nfs/dbraw/zinc/68/17/25/1131681725.db2.gz POUDBKVZAFNQPA-CQSZACIVSA-N -1 1 341.389 -0.162 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1CCC(=O)N(c2cnn(C)c2)C1)c1nn[n-]n1 ZINC001362823033 1131686652 /nfs/dbraw/zinc/68/66/52/1131686652.db2.gz AANYPWBWQSMJGM-WDEREUQCSA-N -1 1 346.395 -0.062 20 0 EBADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@H]1CCCN(S(N)(=O)=O)C1 ZINC001362828240 1131687849 /nfs/dbraw/zinc/68/78/49/1131687849.db2.gz AORKXGUDBBOKMG-JTQLQIEISA-N -1 1 345.429 -0.900 20 0 EBADMM C[C@H](O)c1cn(C2CN(C(=O)CNC(=O)c3ncccc3[O-])C2)nn1 ZINC001362859597 1131697980 /nfs/dbraw/zinc/69/79/80/1131697980.db2.gz NFZDODUQCJMSIG-VIFPVBQESA-N -1 1 346.347 -0.755 20 0 EBADMM CC(C)[C@H](NS(C)(=O)=O)C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001362903996 1131708557 /nfs/dbraw/zinc/70/85/57/1131708557.db2.gz IWOBCOCESASTCU-PWSUYJOCSA-N -1 1 344.441 -0.445 20 0 EBADMM Cn1nnc2c1C[C@H](C(=O)N1CCC[C@@H](Cc3nn[n-]n3)C1)CC2 ZINC001362902633 1131708767 /nfs/dbraw/zinc/70/87/67/1131708767.db2.gz DPPWJHZJUPPJRL-WDEREUQCSA-N -1 1 330.396 -0.086 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H](CO)Cc2cnn(C)c2)o1 ZINC001362906739 1131709611 /nfs/dbraw/zinc/70/96/11/1131709611.db2.gz QVCAOFKPBJLHBR-JTQLQIEISA-N -1 1 342.377 -0.745 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCS(=O)(=O)[C@H](C)C1 ZINC001362966112 1131727766 /nfs/dbraw/zinc/72/77/66/1131727766.db2.gz ACVATEGFTHXKIW-RQJHMYQMSA-N -1 1 331.350 -0.408 20 0 EBADMM CSc1nc(C)c(CCC(=O)N2C[C@H](CO)[C@H](O)C2)c(=O)[n-]1 ZINC001363015332 1131746578 /nfs/dbraw/zinc/74/65/78/1131746578.db2.gz WBRLKRYOCCQENY-MWLCHTKSSA-N -1 1 327.406 -0.043 20 0 EBADMM O=C(N[C@@H](CO)C(F)(F)F)c1ccc(-n2[n-]c(=O)[nH]c2=O)cc1 ZINC001363056630 1131765912 /nfs/dbraw/zinc/76/59/12/1131765912.db2.gz NNXVDIHPEMVUEE-QMMMGPOBSA-N -1 1 332.238 -0.081 20 0 EBADMM O=C(NCCN1CC[C@H](O)C1)c1ccc(-n2[nH]c(=O)[n-]c2=O)cc1 ZINC001363093023 1131781177 /nfs/dbraw/zinc/78/11/77/1131781177.db2.gz UMWYSRZMYPEXQN-LBPRGKRZSA-N -1 1 333.348 -0.937 20 0 EBADMM CSCC[C@H](NC(=O)Cn1c(C)cc(O)cc1=O)c1nn[n-]n1 ZINC001363099345 1131783660 /nfs/dbraw/zinc/78/36/60/1131783660.db2.gz JHJUZZGYQFRJDB-JTQLQIEISA-N -1 1 338.393 -0.014 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H](C)C2N=NC(=O)N2C)o1 ZINC001363151009 1131804309 /nfs/dbraw/zinc/80/43/09/1131804309.db2.gz ALSQFBNHXKZDLQ-LURJTMIESA-N -1 1 329.338 -0.487 20 0 EBADMM O=C([C@@H]1CNC(=O)c2ccccc21)N1CCOC[C@H]1c1nn[n-]n1 ZINC001363204130 1131829202 /nfs/dbraw/zinc/82/92/02/1131829202.db2.gz OIZOIXGGXVZMGC-NEPJUHHUSA-N -1 1 328.332 -0.373 20 0 EBADMM NS(=O)(=O)c1cc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)cs1 ZINC001363215192 1131835050 /nfs/dbraw/zinc/83/50/50/1131835050.db2.gz BYBMLMHHZJCSMU-ZCFIWIBFSA-N -1 1 328.379 -0.462 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1C[C@H](CO)[C@H](CO)C1 ZINC001363271473 1131862683 /nfs/dbraw/zinc/86/26/83/1131862683.db2.gz SKJZHQCVYMWDKR-TXEJJXNPSA-N -1 1 334.376 -0.347 20 0 EBADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C[C@]1(C)CCS(=O)(=O)N1 ZINC001363276253 1131866340 /nfs/dbraw/zinc/86/63/40/1131866340.db2.gz LJHLMTJLJOMEMC-LBPRGKRZSA-N -1 1 330.414 -0.344 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H](CO)Cc1cncs1 ZINC001363353280 1131912072 /nfs/dbraw/zinc/91/20/72/1131912072.db2.gz ADUXSZWQRHHPHO-SECBINFHSA-N -1 1 336.373 -0.307 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CCc3nnnn3CC2)co1 ZINC001363390470 1131927670 /nfs/dbraw/zinc/92/76/70/1131927670.db2.gz CJSRHVWWWJDQHT-VIFPVBQESA-N -1 1 340.365 -0.691 20 0 EBADMM O=C(c1cn(C(F)(F)CO)nn1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001363402508 1131933570 /nfs/dbraw/zinc/93/35/70/1131933570.db2.gz YMSSXCQFVVCXFW-QMMMGPOBSA-N -1 1 342.310 -0.572 20 0 EBADMM CS(=O)(=O)C1CCC(C(=O)N2CCOC[C@H]2c2nn[n-]n2)CC1 ZINC001363411140 1131938091 /nfs/dbraw/zinc/93/80/91/1131938091.db2.gz YYDZQKUVYQIHRX-ILDUYXDCSA-N -1 1 343.409 -0.297 20 0 EBADMM COC[C@H](NC(=O)CCCN(C)C(=O)c1ccncc1)c1nn[n-]n1 ZINC001363435120 1131948313 /nfs/dbraw/zinc/94/83/13/1131948313.db2.gz LHCWFWDSOQPQBD-LBPRGKRZSA-N -1 1 347.379 -0.049 20 0 EBADMM COC(=O)c1cnn(CC(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)c1 ZINC001363437378 1131949447 /nfs/dbraw/zinc/94/94/47/1131949447.db2.gz VZTLSPJVAKRAHV-JTQLQIEISA-N -1 1 333.352 -0.336 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2[C@H](C)C(=O)N[C@@H](C)[C@H]2C)co1 ZINC001363467765 1131961455 /nfs/dbraw/zinc/96/14/55/1131961455.db2.gz GOUJJZMWNGQLMI-DJLDLDEBSA-N -1 1 329.378 -0.075 20 0 EBADMM CN(C(=O)C1(CNC(=O)OC(C)(C)C)CC(O)C1)c1nn[n-]n1 ZINC001363486765 1131968942 /nfs/dbraw/zinc/96/89/42/1131968942.db2.gz BEGIYCYYSUPVDY-UHFFFAOYSA-N -1 1 326.357 -0.172 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCN[C@H](c2cn[nH]c2)C1 ZINC001363497384 1131976522 /nfs/dbraw/zinc/97/65/22/1131976522.db2.gz GSFJZIDKKPRRIT-NSHDSACASA-N -1 1 330.348 -0.587 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@@H]3CCNC(=O)[C@@H]32)o1 ZINC001363529504 1131996402 /nfs/dbraw/zinc/99/64/02/1131996402.db2.gz NOKXNESDYGLSGU-BXKDBHETSA-N -1 1 341.389 -0.072 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)[C@H]1CCCCS1(=O)=O ZINC001363533193 1131999658 /nfs/dbraw/zinc/99/96/58/1131999658.db2.gz UXGMRTQRRZTUGO-LLVKDONJSA-N -1 1 341.389 -0.162 20 0 EBADMM CSCC[C@H](NC(=O)C[C@@]1(C)CCS(=O)(=O)N1)c1nn[n-]n1 ZINC001363544700 1132005765 /nfs/dbraw/zinc/00/57/65/1132005765.db2.gz UQTLABBYMMLUOQ-GZMMTYOYSA-N -1 1 348.454 -0.418 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC2(C(=O)NC)CCOCC2)o1 ZINC001363596460 1132027263 /nfs/dbraw/zinc/02/72/63/1132027263.db2.gz YYPBEYPHCNUUAN-UHFFFAOYSA-N -1 1 345.377 -0.787 20 0 EBADMM COC(=O)[C@@H]1OCC[C@@H]1CNC(=O)CNC(=O)c1ncccc1[O-] ZINC001363627832 1132037098 /nfs/dbraw/zinc/03/70/98/1132037098.db2.gz YBMILGMXJCRBNI-NOZJJQNGSA-N -1 1 337.332 -0.789 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@@H]3CCC[C@]3(C(N)=O)C2)co1 ZINC001363645328 1132042290 /nfs/dbraw/zinc/04/22/90/1132042290.db2.gz JHVBYULRXUGXKZ-HZMBPMFUSA-N -1 1 341.389 -0.085 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@H](CO)[C@H](CCO)C2)co1 ZINC001363711742 1132065419 /nfs/dbraw/zinc/06/54/19/1132065419.db2.gz PSQMIBZFQJQGCO-MWLCHTKSSA-N -1 1 332.378 -0.749 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCC(=O)NC2CCCC2)[n-]n1 ZINC001363754701 1132076557 /nfs/dbraw/zinc/07/65/57/1132076557.db2.gz GGVUTKAHJIHNFH-UHFFFAOYSA-N -1 1 330.366 -0.467 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCC(=O)NC2CCCC2)n[n-]1 ZINC001363754701 1132076564 /nfs/dbraw/zinc/07/65/64/1132076564.db2.gz GGVUTKAHJIHNFH-UHFFFAOYSA-N -1 1 330.366 -0.467 20 0 EBADMM CO[C@@]1(C)C[C@@H]([N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)C1(C)C ZINC001363784272 1132087595 /nfs/dbraw/zinc/08/75/95/1132087595.db2.gz HRXKYSSYRREFGR-RNCFNFMXSA-N -1 1 331.394 -0.032 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@](C)(C(=O)OC)C2)[n-]n1 ZINC001363822160 1132100685 /nfs/dbraw/zinc/10/06/85/1132100685.db2.gz NMLDEAXNIUSCTQ-LBPRGKRZSA-N -1 1 331.350 -0.230 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@](C)(C(=O)OC)C2)n[n-]1 ZINC001363822160 1132100688 /nfs/dbraw/zinc/10/06/88/1132100688.db2.gz NMLDEAXNIUSCTQ-LBPRGKRZSA-N -1 1 331.350 -0.230 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCN(C(C)=O)CC2(C)C)[n-]n1 ZINC001363844551 1132108801 /nfs/dbraw/zinc/10/88/01/1132108801.db2.gz XJKWXOJTFVUNAR-UHFFFAOYSA-N -1 1 344.393 -0.172 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCN(C(C)=O)CC2(C)C)n[n-]1 ZINC001363844551 1132108807 /nfs/dbraw/zinc/10/88/07/1132108807.db2.gz XJKWXOJTFVUNAR-UHFFFAOYSA-N -1 1 344.393 -0.172 20 0 EBADMM COC(=O)C[C@]1(NS(=O)(=O)c2cc(C(=O)OC)n[n-]2)CCOC1 ZINC001363852050 1132111799 /nfs/dbraw/zinc/11/17/99/1132111799.db2.gz LDIVLSNRPHVSPC-GFCCVEGCSA-N -1 1 347.349 -0.803 20 0 EBADMM COC(=O)C[C@]1(NS(=O)(=O)c2cc(C(=O)OC)[n-]n2)CCOC1 ZINC001363852050 1132111801 /nfs/dbraw/zinc/11/18/01/1132111801.db2.gz LDIVLSNRPHVSPC-GFCCVEGCSA-N -1 1 347.349 -0.803 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H](C)[S@](=O)CC2)[n-]n1 ZINC001363865523 1132115315 /nfs/dbraw/zinc/11/53/15/1132115315.db2.gz IFWQGMCBCBROPD-ZPWHCFADSA-N -1 1 335.407 -0.272 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H](C)[S@](=O)CC2)n[n-]1 ZINC001363865523 1132115319 /nfs/dbraw/zinc/11/53/19/1132115319.db2.gz IFWQGMCBCBROPD-ZPWHCFADSA-N -1 1 335.407 -0.272 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H]2CS(=O)(=O)C[C@@]2(C)C1 ZINC001363867391 1132115678 /nfs/dbraw/zinc/11/56/78/1132115678.db2.gz MIXIFRNTOMDSCK-WPPNPWJKSA-N -1 1 343.361 -0.597 20 0 EBADMM C[C@H]1C[C@@H](CC[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)CCO1 ZINC001363934533 1132135059 /nfs/dbraw/zinc/13/50/59/1132135059.db2.gz IMRKSPFUNYJIOD-UWVGGRQHSA-N -1 1 331.394 -0.031 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@@H](OCCO)C2)[n-]n1 ZINC001363955174 1132142444 /nfs/dbraw/zinc/14/24/44/1132142444.db2.gz ORSGEEVCCQREIH-SECBINFHSA-N -1 1 333.366 -0.642 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@@H](OCCO)C2)n[n-]1 ZINC001363955174 1132142449 /nfs/dbraw/zinc/14/24/49/1132142449.db2.gz ORSGEEVCCQREIH-SECBINFHSA-N -1 1 333.366 -0.642 20 0 EBADMM CSC1(C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)CCOCC1 ZINC001363957484 1132143276 /nfs/dbraw/zinc/14/32/76/1132143276.db2.gz KKVIRMPXTLSXBO-UHFFFAOYSA-N -1 1 349.434 -0.324 20 0 EBADMM CNC(=O)[C@@H](CO)[N-]S(=O)(=O)[C@H]1CCc2ccccc2[C@@H]1OC ZINC001363970195 1132147841 /nfs/dbraw/zinc/14/78/41/1132147841.db2.gz RTLTXPLAIRTJFN-RDBSUJKOSA-N -1 1 342.417 -0.285 20 0 EBADMM COC(=O)[C@@H]([N-]S(=O)(=O)CCn1ccnc1)c1ccnn1C ZINC001364005965 1132162414 /nfs/dbraw/zinc/16/24/14/1132162414.db2.gz ACQJKIJIZMGQSQ-NSHDSACASA-N -1 1 327.366 -0.550 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCCC23OCCO3)[n-]n1 ZINC001364041943 1132179692 /nfs/dbraw/zinc/17/96/92/1132179692.db2.gz VVLJWKTWURHKNT-SECBINFHSA-N -1 1 331.350 -0.230 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCCC23OCCO3)n[n-]1 ZINC001364041943 1132179697 /nfs/dbraw/zinc/17/96/97/1132179697.db2.gz VVLJWKTWURHKNT-SECBINFHSA-N -1 1 331.350 -0.230 20 0 EBADMM CCC[C@H](C(=O)OCC)S(=O)(=O)[N-][C@H](CO)c1nncn1C ZINC001364043865 1132180341 /nfs/dbraw/zinc/18/03/41/1132180341.db2.gz IXPDYQCAIKDCOH-NXEZZACHSA-N -1 1 334.398 -0.500 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@H](C(=O)N(C)C)C2)[n-]n1 ZINC001364055331 1132187475 /nfs/dbraw/zinc/18/74/75/1132187475.db2.gz QWLAELCNAWQSOV-VIFPVBQESA-N -1 1 344.393 -0.315 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@H](C(=O)N(C)C)C2)n[n-]1 ZINC001364055331 1132187476 /nfs/dbraw/zinc/18/74/76/1132187476.db2.gz QWLAELCNAWQSOV-VIFPVBQESA-N -1 1 344.393 -0.315 20 0 EBADMM COC(=O)[C@@H](C)CS(=O)(=O)[N-][C@@H](CC1OCCO1)C(=O)OC ZINC001364067212 1132193004 /nfs/dbraw/zinc/19/30/04/1132193004.db2.gz BSFDAWGEFCTWLZ-IUCAKERBSA-N -1 1 339.366 -0.981 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C2CCC3(COC3)CC2)c(=O)[nH]c1=O ZINC001364071201 1132195816 /nfs/dbraw/zinc/19/58/16/1132195816.db2.gz IVVSEBNOTAXLPR-UHFFFAOYSA-N -1 1 329.378 -0.277 20 0 EBADMM O=S(=O)([N-][C@@H]1CCOC[C@H]1O)c1nc[nH]c1Br ZINC001364074515 1132197332 /nfs/dbraw/zinc/19/73/32/1132197332.db2.gz SZMLLKDUDRDWQR-PHDIDXHHSA-N -1 1 326.172 -0.400 20 0 EBADMM Cn1cnnc1[C@H](CO)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC001364076529 1132198619 /nfs/dbraw/zinc/19/86/19/1132198619.db2.gz SWTIPPQWPADKDU-YFKPBYRVSA-N -1 1 340.287 -0.431 20 0 EBADMM CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001364229399 1132246554 /nfs/dbraw/zinc/24/65/54/1132246554.db2.gz KSRFWUDEEFFNOF-KOLCDFICSA-N -1 1 349.391 -0.031 20 0 EBADMM CCN1C[C@H](NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CC1=O ZINC001364276765 1132259193 /nfs/dbraw/zinc/25/91/93/1132259193.db2.gz UYDLSZYXFSPQBX-SECBINFHSA-N -1 1 335.364 -0.325 20 0 EBADMM O=C([C@@H]1CC(c2ccccn2)=NO1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001364383715 1132301140 /nfs/dbraw/zinc/30/11/40/1132301140.db2.gz RICKMHDBCIBFDD-NEPJUHHUSA-N -1 1 329.320 -0.312 20 0 EBADMM Cn1cc(NC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)c(C(N)=O)n1 ZINC001364430009 1132313384 /nfs/dbraw/zinc/31/33/84/1132313384.db2.gz AHILHEOVRCXGHB-UHFFFAOYSA-N -1 1 348.285 -0.339 20 0 EBADMM COC(=O)[C@@H]1CN(C)C(=O)CN1Cc1nc2c(c(=O)[n-]1)COCC2 ZINC001364545500 1132357848 /nfs/dbraw/zinc/35/78/48/1132357848.db2.gz MXDHUBLTKJDTFZ-NSHDSACASA-N -1 1 336.348 -0.930 20 0 EBADMM O=C(CCc1nn[n-]n1)Nc1cnc(N2C[C@H]3CC[C@@H](C2)O3)nc1 ZINC001364605107 1132372946 /nfs/dbraw/zinc/37/29/46/1132372946.db2.gz QWXMFDNXOYWTBR-PHIMTYICSA-N -1 1 330.352 -0.071 20 0 EBADMM COC(=O)[C@@H]1CC(F)(F)CN1C(=O)CNC(=O)c1ncccc1[O-] ZINC001364607635 1132373854 /nfs/dbraw/zinc/37/38/54/1132373854.db2.gz AZSWYBKOWMDRGD-QMMMGPOBSA-N -1 1 343.286 -0.074 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CC(=O)N(C2CC2)C1 ZINC001364798338 1132430336 /nfs/dbraw/zinc/43/03/36/1132430336.db2.gz PQOJFXKFJZRKDB-SSDOTTSWSA-N -1 1 328.350 -0.762 20 0 EBADMM COC[C@H](NC(=O)[C@@H]1C[C@@]12c1ccccc1NC2=O)c1nn[n-]n1 ZINC001364814798 1132436288 /nfs/dbraw/zinc/43/62/88/1132436288.db2.gz NGXPOEKMKAYUJV-CCUNJIBTSA-N -1 1 328.332 -0.087 20 0 EBADMM COC[C@H]([N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F)C(N)=O ZINC001364826843 1132443833 /nfs/dbraw/zinc/44/38/33/1132443833.db2.gz DCHIJKGYCBYBSV-YFKPBYRVSA-N -1 1 330.288 -0.783 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCC2(C1)OCCO2 ZINC001364829208 1132445825 /nfs/dbraw/zinc/44/58/25/1132445825.db2.gz DRHVSPNQGVRGBD-QMMMGPOBSA-N -1 1 331.350 -0.230 20 0 EBADMM COC(=O)C1(CS(=O)(=O)[N-]C2(C(=O)OC)CCOCC2)CCC1 ZINC001364864636 1132459545 /nfs/dbraw/zinc/45/95/45/1132459545.db2.gz HAWXBWNWLJSPMC-UHFFFAOYSA-N -1 1 349.405 -0.029 20 0 EBADMM NC(=O)[C@]1([N-]S(=O)(=O)Cc2noc3c2CCCC3)CCOC1 ZINC001364868252 1132459864 /nfs/dbraw/zinc/45/98/64/1132459864.db2.gz MEEDMDWFOCITEO-ZDUSSCGKSA-N -1 1 329.378 -0.383 20 0 EBADMM CCOC(=O)c1nc([C@H](C)NS(=O)(=O)N2CCOCC2)n[n-]1 ZINC001364879945 1132466422 /nfs/dbraw/zinc/46/64/22/1132466422.db2.gz GWYCOKDIBQKXGO-QMMMGPOBSA-N -1 1 333.370 -0.791 20 0 EBADMM CCOC(=O)c1nnc([C@H](C)NS(=O)(=O)N2CCOCC2)[n-]1 ZINC001364879945 1132466441 /nfs/dbraw/zinc/46/64/41/1132466441.db2.gz GWYCOKDIBQKXGO-QMMMGPOBSA-N -1 1 333.370 -0.791 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](C)NS(=O)(=O)N2CCOCC2)n1 ZINC001364879945 1132466446 /nfs/dbraw/zinc/46/64/46/1132466446.db2.gz GWYCOKDIBQKXGO-QMMMGPOBSA-N -1 1 333.370 -0.791 20 0 EBADMM CSCC[C@H]([N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C)C(N)=O ZINC001364887879 1132470220 /nfs/dbraw/zinc/47/02/20/1132470220.db2.gz JVTDJRNLBDZQLQ-ULCDLSAGSA-N -1 1 332.473 -0.998 20 0 EBADMM COC(=O)c1occc1C[N-]S(=O)(=O)N=[S@](C)(=O)N(C)C ZINC001364912507 1132480930 /nfs/dbraw/zinc/48/09/30/1132480930.db2.gz DJMZYOLVJVDYEW-FQEVSTJZSA-N -1 1 339.395 -0.025 20 0 EBADMM COC(=O)[C@@H](CC1CCOCC1)[N-]S(=O)(=O)[C@@H]1COC[C@H]1O ZINC001364913900 1132481724 /nfs/dbraw/zinc/48/17/24/1132481724.db2.gz WBCKJFXMKPOVFB-IJLUTSLNSA-N -1 1 337.394 -0.976 20 0 EBADMM O=C(C[N-]S(=O)(=O)[C@@H]1COC[C@H]1O)N1CCOc2ccccc21 ZINC001364922621 1132487201 /nfs/dbraw/zinc/48/72/01/1132487201.db2.gz HKGSPHYHAAMMEO-DGCLKSJQSA-N -1 1 342.373 -0.909 20 0 EBADMM C[C@@H](NC(=O)Nc1ccn(CCN2CC[NH+]=C2[O-])n1)c1nnc[n-]1 ZINC001365087002 1132539508 /nfs/dbraw/zinc/53/95/08/1132539508.db2.gz WTRMLJYWLQAMPE-SECBINFHSA-N -1 1 333.356 -0.091 20 0 EBADMM COc1cnc([C@@H]2CCCN2C(=O)CS(=O)(=O)N(C)C)[n-]c1=O ZINC001365142283 1132558679 /nfs/dbraw/zinc/55/86/79/1132558679.db2.gz DZTBFTHAYISCNM-VIFPVBQESA-N -1 1 344.393 -0.254 20 0 EBADMM O=C([N-]CC1CN(C(=O)NCc2nnnn2C2CC2)C1)C(F)(F)F ZINC001365342669 1132630240 /nfs/dbraw/zinc/63/02/40/1132630240.db2.gz FPYBJNSLVLYLSF-UHFFFAOYSA-N -1 1 347.301 -0.172 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H]1CCCS1(=O)=O ZINC001365398574 1132652056 /nfs/dbraw/zinc/65/20/56/1132652056.db2.gz LACKWHBNXNXNAJ-ZETCQYMHSA-N -1 1 337.379 -0.948 20 0 EBADMM CCCc1ncc(C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)o1 ZINC001365400713 1132652764 /nfs/dbraw/zinc/65/27/64/1132652764.db2.gz VNVWPHGHPJXGJP-UHFFFAOYSA-N -1 1 328.350 -0.095 20 0 EBADMM CN(CCS(C)(=O)=O)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001365427413 1132667529 /nfs/dbraw/zinc/66/75/29/1132667529.db2.gz GIUZBSJVILHYRV-UHFFFAOYSA-N -1 1 344.393 -0.559 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2C[C@@H](C)n3ncnc32)[n-]n1 ZINC001365434514 1132672736 /nfs/dbraw/zinc/67/27/36/1132672736.db2.gz GOVRDZBMWPCTPN-RNFRBKRXSA-N -1 1 326.338 -0.228 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2C[C@@H](C)n3ncnc32)n[n-]1 ZINC001365434514 1132672741 /nfs/dbraw/zinc/67/27/41/1132672741.db2.gz GOVRDZBMWPCTPN-RNFRBKRXSA-N -1 1 326.338 -0.228 20 0 EBADMM CO[C@H](CC(C)C)CS(=O)(=O)[N-][C@@H]1CS(=O)(=O)C[C@H]1O ZINC001365452372 1132684374 /nfs/dbraw/zinc/68/43/74/1132684374.db2.gz FOMYYGDPCKYLHL-GMTAPVOTSA-N -1 1 329.440 -0.875 20 0 EBADMM CO[C@@H]1COCC[C@@H]1N(C)C(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC001365539440 1132714112 /nfs/dbraw/zinc/71/41/12/1132714112.db2.gz UACYGNNZVWNBFX-VHSXEESVSA-N -1 1 338.368 -0.036 20 0 EBADMM CCc1nn(C)cc1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001365632743 1132740743 /nfs/dbraw/zinc/74/07/43/1132740743.db2.gz RUUNUASDYXFZKQ-UHFFFAOYSA-N -1 1 333.396 -0.638 20 0 EBADMM CN1CCC[C@@H](NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1=O ZINC001365653103 1132747538 /nfs/dbraw/zinc/74/75/38/1132747538.db2.gz MQOXBTYBZDHZAE-LLVKDONJSA-N -1 1 335.364 -0.325 20 0 EBADMM CN(C)[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@H](O)COCC1CC1 ZINC001365665256 1132753649 /nfs/dbraw/zinc/75/36/49/1132753649.db2.gz AYSQWABITVNJCH-OVWNDWIMSA-N -1 1 329.444 -0.817 20 0 EBADMM C[C@H](CS(C)(=O)=O)[N-]S(=O)(=O)c1nc[nH]c1Br ZINC001365682267 1132761391 /nfs/dbraw/zinc/76/13/91/1132761391.db2.gz LMOSVOKKOXELDS-RXMQYKEDSA-N -1 1 346.228 -0.116 20 0 EBADMM Cc1cccc([C@@H](O)C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)c1 ZINC001365681695 1132761408 /nfs/dbraw/zinc/76/14/08/1132761408.db2.gz UXGWTKSGJZHUGS-NSHDSACASA-N -1 1 339.373 -0.194 20 0 EBADMM COC(=O)CC[C@@H]([N-]S(=O)(=O)C[C@H](OC)C1CC1)C(=O)OC ZINC001365704748 1132769807 /nfs/dbraw/zinc/76/98/07/1132769807.db2.gz WNPPHYCDSNNEGV-MNOVXSKESA-N -1 1 337.394 -0.174 20 0 EBADMM COC(=O)[C@](C)(CO)[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC001365713514 1132772651 /nfs/dbraw/zinc/77/26/51/1132772651.db2.gz MXHSXNLCPRBGQT-VIFPVBQESA-N -1 1 345.299 -0.359 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)CCc4ccon4)C3)C2)nc1=O ZINC001365732679 1132781972 /nfs/dbraw/zinc/78/19/72/1132781972.db2.gz KRFQYXVTIJKMJR-UHFFFAOYSA-N -1 1 346.391 -0.237 20 0 EBADMM Cn1cnnc1[C@@H](CO)[N-]S(=O)(=O)c1cccc2nsnc21 ZINC001365734738 1132783281 /nfs/dbraw/zinc/78/32/81/1132783281.db2.gz NVNIJDIFWWJPGG-MRVPVSSYSA-N -1 1 340.390 -0.168 20 0 EBADMM CCOC(=O)[C@H](COC)[N-]S(=O)(=O)c1n[nH]c(C)c1C(=O)OC ZINC001365735653 1132784363 /nfs/dbraw/zinc/78/43/63/1132784363.db2.gz LIFBKTATMKFSQV-QMMMGPOBSA-N -1 1 349.365 -0.639 20 0 EBADMM COC(=O)[C@@H](CC1OCCO1)[N-]S(=O)(=O)[C@@H]1COC(C)(C)C1 ZINC001365753266 1132791600 /nfs/dbraw/zinc/79/16/00/1132791600.db2.gz UCAQMOOMCBNVSQ-VHSXEESVSA-N -1 1 337.394 -0.222 20 0 EBADMM CC(=O)NCC(=O)N1C[C@H]2[C@@H](C1)[C@H]1CC[C@@H]2N(Cc2n[nH]c(=O)[n-]2)C1 ZINC001365869041 1132845100 /nfs/dbraw/zinc/84/51/00/1132845100.db2.gz FQKLCAZFKMSGMF-CYDGBPFRSA-N -1 1 348.407 -0.685 20 0 EBADMM CCOCC(=O)N(C)C[C@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001414111277 1133002845 /nfs/dbraw/zinc/00/28/45/1133002845.db2.gz WQTVUCKMFNVYJC-SNVBAGLBSA-N -1 1 348.363 -0.873 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H]2CNC(=O)CCn2ccnc2)nc1=O ZINC001366208172 1133056500 /nfs/dbraw/zinc/05/65/00/1133056500.db2.gz GTQJILAOJOTLRN-ZDUSSCGKSA-N -1 1 347.423 -0.134 20 0 EBADMM CCC(=O)N[C@@H](C)C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001366217007 1133060309 /nfs/dbraw/zinc/06/03/09/1133060309.db2.gz QDHJPRADYYZXAW-QWRGUYRKSA-N -1 1 338.412 -0.104 20 0 EBADMM CCN(CCNC(=O)CCc1ccncn1)Cc1nc(=O)n(C)[n-]1 ZINC001366609207 1133209114 /nfs/dbraw/zinc/20/91/14/1133209114.db2.gz FHTCNRRIJYHXMA-UHFFFAOYSA-N -1 1 333.396 -0.531 20 0 EBADMM CCN(CCNC(=O)[C@@H]1CCn2cncc2C1)Cc1nc(=O)n(C)[n-]1 ZINC001366620637 1133214193 /nfs/dbraw/zinc/21/41/93/1133214193.db2.gz KLXVLIYGZJCCTR-GFCCVEGCSA-N -1 1 347.423 -0.494 20 0 EBADMM CC[C@@H](NC(C)=O)C(=O)NC[C@@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001366663231 1133235153 /nfs/dbraw/zinc/23/51/53/1133235153.db2.gz FLJOKNSCGRPDBB-NWDGAFQWSA-N -1 1 338.412 -0.896 20 0 EBADMM C[C@@](CNCc1n[nH]c(=O)[n-]1)(NC(=O)CNC(=O)C1CC1)C1CC1 ZINC001367167907 1133492351 /nfs/dbraw/zinc/49/23/51/1133492351.db2.gz LYMQDEOOKUQMQP-HNNXBMFYSA-N -1 1 336.396 -0.589 20 0 EBADMM O=C(CCc1n[nH]c(=O)[n-]c1=O)NC[C@@H]1CN(CC2CC2)CCO1 ZINC001367303593 1133536736 /nfs/dbraw/zinc/53/67/36/1133536736.db2.gz IUWGQOWNJCNZKX-LLVKDONJSA-N -1 1 337.380 -0.558 20 0 EBADMM CCn1ccc(CNC[C@H](C)N(C)C(=O)Cn2c(=O)[n-][nH]c2=O)n1 ZINC001367402471 1133579760 /nfs/dbraw/zinc/57/97/60/1133579760.db2.gz BAWSDXHMYUGYSD-JTQLQIEISA-N -1 1 337.384 -0.458 20 0 EBADMM Cc1ncccc1CN(C)CCN(C)C(=O)Cn1c(=O)[n-][nH]c1=O ZINC001367546438 1133623567 /nfs/dbraw/zinc/62/35/67/1133623567.db2.gz FIMBFASYNMUAKE-UHFFFAOYSA-N -1 1 334.380 -0.017 20 0 EBADMM CCn1ccc(CC(=O)N[C@@H](C)CN(C)Cc2nc(=O)n(C)[n-]2)n1 ZINC001367807325 1133792073 /nfs/dbraw/zinc/79/20/73/1133792073.db2.gz NZAUXGDATKCITF-NSHDSACASA-N -1 1 335.412 -0.496 20 0 EBADMM CCO[C@H](C(=O)N[C@@H](C)CN(C)Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001367809544 1133793416 /nfs/dbraw/zinc/79/34/16/1133793416.db2.gz JLONHIBOFWZOAB-GWCFXTLKSA-N -1 1 325.413 -0.140 20 0 EBADMM COc1ccc(CC(=O)N[C@H](C)CN(C)Cc2nc(=O)n(C)[n-]2)nc1 ZINC001367835638 1133805688 /nfs/dbraw/zinc/80/56/88/1133805688.db2.gz PVLDBLCLMOONIS-LLVKDONJSA-N -1 1 348.407 -0.309 20 0 EBADMM CC(=O)NCC(C)(C)C(=O)N[C@@H](C)CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001367890651 1133827397 /nfs/dbraw/zinc/82/73/97/1133827397.db2.gz MPHWGTGRHRQICF-JTQLQIEISA-N -1 1 340.428 -0.793 20 0 EBADMM C[C@@H](CS(C)(=O)=O)C(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001367921923 1133841529 /nfs/dbraw/zinc/84/15/29/1133841529.db2.gz RSVPNNWPELNYIB-WPRPVWTQSA-N -1 1 345.425 -0.825 20 0 EBADMM Cc1ccn(C[C@H](C)C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001367957135 1133863130 /nfs/dbraw/zinc/86/31/30/1133863130.db2.gz XQVGDRJRPJNBCP-WCQYABFASA-N -1 1 347.423 -0.360 20 0 EBADMM C[C@@H](Oc1cccnc1)C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001367960818 1133864732 /nfs/dbraw/zinc/86/47/32/1133864732.db2.gz RXLUFRQWJRBBHY-VXGBXAGGSA-N -1 1 346.391 -0.339 20 0 EBADMM C[C@H](Oc1cccnc1)C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001367960816 1133864752 /nfs/dbraw/zinc/86/47/52/1133864752.db2.gz RXLUFRQWJRBBHY-RYUDHWBXSA-N -1 1 346.391 -0.339 20 0 EBADMM C[C@@H](C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)c1cnn(C)c1 ZINC001367977655 1133876481 /nfs/dbraw/zinc/87/64/81/1133876481.db2.gz LYLHYXZHZSIYSO-ZYHUDNBSSA-N -1 1 333.396 -0.664 20 0 EBADMM CNC(=O)CCCC(=O)N[C@@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001368160141 1133970512 /nfs/dbraw/zinc/97/05/12/1133970512.db2.gz YPHDJDNEHUWRBZ-HNNXBMFYSA-N -1 1 338.412 -0.895 20 0 EBADMM CCn1ccnc1C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCOCC1 ZINC001368223038 1133997052 /nfs/dbraw/zinc/99/70/52/1133997052.db2.gz OQCGETFOPVWOGH-UHFFFAOYSA-N -1 1 349.395 -0.205 20 0 EBADMM Cc1cscc1C(=O)NCC1(O)CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001368288603 1134105209 /nfs/dbraw/zinc/10/52/09/1134105209.db2.gz IEVGUUIFDVHRDX-UHFFFAOYSA-N -1 1 337.405 -0.545 20 0 EBADMM Cn1[n-]c(CN2CC(O)(CNC(=O)[C@@H]3CC[C@H]4C[C@H]4C3)C2)nc1=O ZINC001368308302 1134132451 /nfs/dbraw/zinc/13/24/51/1134132451.db2.gz PFLBBLOJJQOAMM-TUAOUCFPSA-N -1 1 335.408 -0.793 20 0 EBADMM Cn1ncc(CCC(=O)NCC2(NCc3n[nH]c(=O)[n-]3)CCC2)n1 ZINC001368492589 1134251326 /nfs/dbraw/zinc/25/13/26/1134251326.db2.gz FCXVIUQFMAJYMB-UHFFFAOYSA-N -1 1 334.384 -0.600 20 0 EBADMM CC(C)SCC(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001368508267 1134260921 /nfs/dbraw/zinc/26/09/21/1134260921.db2.gz SFYBBVYYZUHYKO-JTQLQIEISA-N -1 1 329.426 -0.031 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@H](C)N(C)Cc1cc(=O)n2[n-]ccc2n1 ZINC001368935199 1134488452 /nfs/dbraw/zinc/48/84/52/1134488452.db2.gz HMHPKCUOOFLVMB-NSHDSACASA-N -1 1 348.407 -0.563 20 0 EBADMM C[C@@H](CNC(=O)Cn1cc(Cl)cn1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001368979184 1134505900 /nfs/dbraw/zinc/50/59/00/1134505900.db2.gz BNBIRJHVTFUNBS-VIFPVBQESA-N -1 1 341.803 -0.405 20 0 EBADMM C[C@@H](CNC(=O)c1cccn(C)c1=O)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001368997227 1134512957 /nfs/dbraw/zinc/51/29/57/1134512957.db2.gz RMCSZEQYDFDHSW-JTQLQIEISA-N -1 1 334.380 -0.943 20 0 EBADMM C[C@H](CNC(=O)[C@@H](C)CN1CCOCC1)N(C)Cc1n[nH]c(=O)[n-]1 ZINC001369010226 1134518109 /nfs/dbraw/zinc/51/81/09/1134518109.db2.gz TYIIRQZVACFDNE-NWDGAFQWSA-N -1 1 340.428 -0.585 20 0 EBADMM CCc1nc(CNC[C@@H](O)CN(C)C(=O)c2ncccc2[O-])no1 ZINC001369453981 1134792191 /nfs/dbraw/zinc/79/21/91/1134792191.db2.gz GGBKQDJPSSABPZ-SNVBAGLBSA-N -1 1 335.364 -0.045 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H](O)CN(C)C(=O)c1cccnc1 ZINC001369493491 1134869040 /nfs/dbraw/zinc/86/90/40/1134869040.db2.gz YDXXUCDUYHYQNR-LBPRGKRZSA-N -1 1 334.380 -0.932 20 0 EBADMM CN1C(=O)CC[C@H]1C(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001369559681 1134940414 /nfs/dbraw/zinc/94/04/14/1134940414.db2.gz CPSXCTMHTUEQHV-VHSXEESVSA-N -1 1 338.412 -0.248 20 0 EBADMM CN1C(=O)CC[C@@H]1C(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001369559688 1134940664 /nfs/dbraw/zinc/94/06/64/1134940664.db2.gz CPSXCTMHTUEQHV-ZJUUUORDSA-N -1 1 338.412 -0.248 20 0 EBADMM CC(=O)N1CC(C(=O)N(C)[C@@H](C)CNC(=O)c2ncccc2[O-])C1 ZINC001369829335 1135037419 /nfs/dbraw/zinc/03/74/19/1135037419.db2.gz JDWKGZLYIPFWET-JTQLQIEISA-N -1 1 334.376 -0.158 20 0 EBADMM CO[C@H](C(=O)NC1(CCO)CN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC001369994672 1135152135 /nfs/dbraw/zinc/15/21/35/1135152135.db2.gz FSDYKEYJYNGXKB-AWEZNQCLSA-N -1 1 349.387 -0.095 20 0 EBADMM C[C@@H](CC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)n1cccn1 ZINC001370029510 1135180275 /nfs/dbraw/zinc/18/02/75/1135180275.db2.gz CAVOSSSXQGNVMP-NWDGAFQWSA-N -1 1 333.396 -0.353 20 0 EBADMM CCCNC(=O)CCC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001370047872 1135185130 /nfs/dbraw/zinc/18/51/30/1135185130.db2.gz QCMZGTFCQPUSGX-NSHDSACASA-N -1 1 338.412 -0.895 20 0 EBADMM C[C@H](CC(N)=O)C(=O)N1C[C@@H]2[C@@H](CNC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001370219673 1135255711 /nfs/dbraw/zinc/25/57/11/1135255711.db2.gz BYBNIQDURGIXRM-KKOKHZNYSA-N -1 1 346.387 -0.267 20 0 EBADMM Cn1c(=O)cccc1C(=O)NC[C@@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001370221620 1135256724 /nfs/dbraw/zinc/25/67/24/1135256724.db2.gz GZAIWYXYFQGXAX-SNVBAGLBSA-N -1 1 332.364 -0.493 20 0 EBADMM O=C(Cn1ccccc1=O)NC[C@@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001370269950 1135276847 /nfs/dbraw/zinc/27/68/47/1135276847.db2.gz MZLKBHRCFPEEGA-LLVKDONJSA-N -1 1 332.364 -0.643 20 0 EBADMM CC(C)[C@@H](CCNC(=O)Cn1ncnn1)NC(=O)c1ncccc1[O-] ZINC001370367322 1135321717 /nfs/dbraw/zinc/32/17/17/1135321717.db2.gz QRLZHCCVEKCXMR-LLVKDONJSA-N -1 1 347.379 -0.265 20 0 EBADMM COCC(=O)N[C@H](C)CN(C)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001370574613 1135415259 /nfs/dbraw/zinc/41/52/59/1135415259.db2.gz ZSWMWYLOJKMEDA-SSDOTTSWSA-N -1 1 328.325 -0.881 20 0 EBADMM CC(C)CS(=O)(=O)CC(=O)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001370657374 1135459955 /nfs/dbraw/zinc/45/99/55/1135459955.db2.gz UXXLYALINPIAGO-AOOOYVTPSA-N -1 1 345.425 -0.682 20 0 EBADMM CCn1ccc(CC(=O)NC[C@H](CO)NC(=O)c2ncccc2[O-])n1 ZINC001370717574 1135540571 /nfs/dbraw/zinc/54/05/71/1135540571.db2.gz IMFOQCWGPWKZAP-GFCCVEGCSA-N -1 1 347.375 -0.547 20 0 EBADMM CCc1nn(C)cc1C(=O)NC[C@H](CO)NC(=O)c1ncccc1[O-] ZINC001370731220 1135560066 /nfs/dbraw/zinc/56/00/66/1135560066.db2.gz JMTMAOIJIIWUGW-SNVBAGLBSA-N -1 1 347.375 -0.396 20 0 EBADMM Cc1nc(C)c(CC(=O)NC[C@@H]2CCC[N@H+](CC(N)=O)C2)c(=O)[nH]1 ZINC001370785508 1135630555 /nfs/dbraw/zinc/63/05/55/1135630555.db2.gz DLCYNIHZIBYELQ-LBPRGKRZSA-N -1 1 335.408 -0.345 20 0 EBADMM Cn1c(=O)cccc1C(=O)NC[C@H](CO)NC(=O)c1ncccc1[O-] ZINC001370813598 1135654305 /nfs/dbraw/zinc/65/43/05/1135654305.db2.gz SYKRPJHVGJIYGI-SNVBAGLBSA-N -1 1 346.343 -0.993 20 0 EBADMM Cc1cc(C(=O)N(C)C[C@H](O)CNC(=O)c2ncccc2[O-])on1 ZINC001370952196 1135707737 /nfs/dbraw/zinc/70/77/37/1135707737.db2.gz OBTAJQIXBWZWQB-SNVBAGLBSA-N -1 1 334.332 -0.053 20 0 EBADMM CN(C[C@H](O)CNC(=O)c1cc[nH]c(=O)c1)C(=O)c1ncccc1[O-] ZINC001370990885 1135743124 /nfs/dbraw/zinc/74/31/24/1135743124.db2.gz QSQHUWFAYWDJFE-LLVKDONJSA-N -1 1 346.343 -0.249 20 0 EBADMM CN(C[C@H](O)CNC(=O)c1ncccc1[O-])C(=O)[C@]1(F)CCOC1 ZINC001371018666 1135781425 /nfs/dbraw/zinc/78/14/25/1135781425.db2.gz IFWPNSRBGRZXTF-BMIGLBTASA-N -1 1 341.339 -0.535 20 0 EBADMM Cc1cc(CN[C@@H](C)CNC(=O)CCc2n[nH]c(=O)[n-]c2=O)nn1C ZINC001371261537 1135873899 /nfs/dbraw/zinc/87/38/99/1135873899.db2.gz LMVRKTIQBPJHRI-VIFPVBQESA-N -1 1 349.395 -0.448 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])[C@H](C)CNC(=O)[C@@H]1CN(C)C(=O)N1 ZINC001371358020 1135895735 /nfs/dbraw/zinc/89/57/35/1135895735.db2.gz SPAXUQQUWVPWOD-MNOVXSKESA-N -1 1 349.391 -0.222 20 0 EBADMM CCc1cnc(CN[C@@H](C)CCNC(=O)Cn2c(=O)[n-][nH]c2=O)o1 ZINC001371886742 1136048002 /nfs/dbraw/zinc/04/80/02/1136048002.db2.gz ZBQUMBBCDYMXGM-VIFPVBQESA-N -1 1 338.368 -0.076 20 0 EBADMM O=C(CCCF)N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001372307239 1136170121 /nfs/dbraw/zinc/17/01/21/1136170121.db2.gz URHFZRLCMWREOO-SECBINFHSA-N -1 1 336.327 -0.502 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H]2CNC(=O)Cc2ncccc2F)nc1=O ZINC001372540579 1136226639 /nfs/dbraw/zinc/22/66/39/1136226639.db2.gz GUSYCKWMWNZHJY-NSHDSACASA-N -1 1 348.382 -0.034 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)CC3CCOCC3)C2)nc1=O ZINC001372592968 1136240361 /nfs/dbraw/zinc/24/03/61/1136240361.db2.gz GDXDAWITWYQBGG-ZDUSSCGKSA-N -1 1 337.424 -0.137 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)c1cc(=O)n(C)cn1 ZINC001372833208 1136299759 /nfs/dbraw/zinc/29/97/59/1136299759.db2.gz IZXQMRXMLXBWKI-SECBINFHSA-N -1 1 331.332 -0.571 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)c1cc(=O)n(C)cn1 ZINC001372833209 1136299987 /nfs/dbraw/zinc/29/99/87/1136299987.db2.gz IZXQMRXMLXBWKI-VIFPVBQESA-N -1 1 331.332 -0.571 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CCCS1(=O)=O ZINC001372837285 1136301217 /nfs/dbraw/zinc/30/12/17/1136301217.db2.gz UFPNHJMRMWYOBA-KOLCDFICSA-N -1 1 341.389 -0.401 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CCNC(=O)CC1 ZINC001372838678 1136301593 /nfs/dbraw/zinc/30/15/93/1136301593.db2.gz WNOSXOGSRGKLII-MNOVXSKESA-N -1 1 334.376 -0.062 20 0 EBADMM C[C@H](CNC(=O)[C@@H]1CCN(C)C(=O)C1)NC(=O)c1ncccc1[O-] ZINC001372959901 1136338948 /nfs/dbraw/zinc/33/89/48/1136338948.db2.gz IUSQNVZNUXRJEL-GHMZBOCLSA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@H](C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1)c1cccnc1 ZINC001373549050 1136492932 /nfs/dbraw/zinc/49/29/32/1136492932.db2.gz DCUSYWHLPGPDAY-NSHDSACASA-N -1 1 330.392 -0.145 20 0 EBADMM CC[C@@H](CNC(=O)c1cc(CN(C)C)on1)NCc1n[nH]c(=O)[n-]1 ZINC001373768690 1136556431 /nfs/dbraw/zinc/55/64/31/1136556431.db2.gz DPAXPHICNBUBJC-VIFPVBQESA-N -1 1 337.384 -0.142 20 0 EBADMM CN1CCO[C@@H](C(=O)NCCN(C(=O)c2ncccc2[O-])C2CC2)C1 ZINC001374209549 1136649537 /nfs/dbraw/zinc/64/95/37/1136649537.db2.gz FPVDVXQZJAKCOH-CQSZACIVSA-N -1 1 348.403 -0.161 20 0 EBADMM CC(C)[C@H](CNC(=O)CC1CS(=O)(=O)C1)NCc1n[nH]c(=O)[n-]1 ZINC001374447948 1136690211 /nfs/dbraw/zinc/69/02/11/1136690211.db2.gz DAVVPYSYLIVOFK-JTQLQIEISA-N -1 1 345.425 -0.825 20 0 EBADMM CC(C)[C@@H](CNC(=O)[C@@H]1CCc2nncn2C1)NCc1n[nH]c(=O)[n-]1 ZINC001374449217 1136690495 /nfs/dbraw/zinc/69/04/95/1136690495.db2.gz DUCKKAMEYGOLBU-GHMZBOCLSA-N -1 1 348.411 -0.405 20 0 EBADMM CCOc1ccccc1C(=O)NC[C@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001374682624 1136798610 /nfs/dbraw/zinc/79/86/10/1136798610.db2.gz XQAADJJUZOKIKL-SNVBAGLBSA-N -1 1 335.364 -0.211 20 0 EBADMM O=C(CCCC1CC1)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001374742399 1136820474 /nfs/dbraw/zinc/82/04/74/1136820474.db2.gz HDZRFOHNNJMLPE-UHFFFAOYSA-N -1 1 344.375 -0.062 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CCc2nncn2CC1 ZINC001374791034 1136834397 /nfs/dbraw/zinc/83/43/97/1136834397.db2.gz ONMVFYGKGOBMQM-ZJUUUORDSA-N -1 1 334.384 -0.651 20 0 EBADMM COCCC(=O)N1CC(CNC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC001374868741 1136859422 /nfs/dbraw/zinc/85/94/22/1136859422.db2.gz JRMJAVLOMIJPOK-UHFFFAOYSA-N -1 1 340.336 -0.879 20 0 EBADMM CO[C@H]1CCn2cc(C(=O)N[C@@H](C)CNCc3n[nH]c(=O)[n-]3)nc2C1 ZINC001374906857 1136870483 /nfs/dbraw/zinc/87/04/83/1136870483.db2.gz SJYZAWCQNLWGTB-UWVGGRQHSA-N -1 1 349.395 -0.424 20 0 EBADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CN(C)C(=O)N1 ZINC001375056857 1136902459 /nfs/dbraw/zinc/90/24/59/1136902459.db2.gz CHHJBGZHCDSOQG-NXEZZACHSA-N -1 1 335.364 -0.565 20 0 EBADMM C[C@@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)c1cnn2c1OCCC2 ZINC001375072112 1136907440 /nfs/dbraw/zinc/90/74/40/1136907440.db2.gz KWNSFTRINIRBMO-JTQLQIEISA-N -1 1 349.395 -0.662 20 0 EBADMM C[C@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)[C@@H]1C[C@H]1c1cnn(C)c1 ZINC001375109954 1136916567 /nfs/dbraw/zinc/91/65/67/1136916567.db2.gz ZSADCJSIVPCQKA-KGYLQXTDSA-N -1 1 347.423 -0.418 20 0 EBADMM CCOC(=O)[C@@H]1CCCN(S(=O)(=O)[N-]CC(F)(F)CO)C1 ZINC000231780654 375872715 /nfs/dbraw/zinc/87/27/15/375872715.db2.gz MFHVKKQBAPWPEE-SECBINFHSA-N -1 1 330.353 -0.277 20 0 EBADMM CNC(=O)[C@@H]1CCCN1C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000067044308 376242791 /nfs/dbraw/zinc/24/27/91/376242791.db2.gz HOZYSDPQVQMYEQ-LBPRGKRZSA-N -1 1 331.376 -0.081 20 0 EBADMM O=C(C(=O)N1CCN(Cc2ncccc2[O-])CC1)N1CCOCC1 ZINC000558396723 376254376 /nfs/dbraw/zinc/25/43/76/376254376.db2.gz IZEFGVLMEBRFOM-UHFFFAOYSA-N -1 1 334.376 -0.710 20 0 EBADMM O=C(CCNS(=O)(=O)c1cccc(Cl)c1)NCc1nn[n-]n1 ZINC000600505821 376425509 /nfs/dbraw/zinc/42/55/09/376425509.db2.gz SRSXKFKVKUZFLM-UHFFFAOYSA-N -1 1 344.784 -0.162 20 0 EBADMM Cc1nnnn1[C@H](Cc1cccc(F)c1)C(=O)NCc1nn[n-]n1 ZINC000600505792 376425573 /nfs/dbraw/zinc/42/55/73/376425573.db2.gz SFXJOMJFMICOIR-LLVKDONJSA-N -1 1 331.315 -0.266 20 0 EBADMM O=C(Cc1ccccc1)NCC(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370869794 376598715 /nfs/dbraw/zinc/59/87/15/376598715.db2.gz KHCJGLBXJUVBPK-LBPRGKRZSA-N -1 1 330.348 -0.542 20 0 EBADMM O=C(CNC(=O)NC1CCCCC1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370863347 376593512 /nfs/dbraw/zinc/59/35/12/376593512.db2.gz VLNPQBJMYOXYSD-LLVKDONJSA-N -1 1 337.384 -0.269 20 0 EBADMM CCN1CCN(CC(=O)Nc2n[n-]c(C(F)(F)F)n2)C(=O)C1=O ZINC000146467634 377033797 /nfs/dbraw/zinc/03/37/97/377033797.db2.gz KMFPWDFUYIMPBP-UHFFFAOYSA-N -1 1 334.258 -0.547 20 0 EBADMM COC(=O)[C@@](C)(CCF)[N-]S(=O)(=O)C[C@@H]1CN(C)CCO1 ZINC000601466600 377589867 /nfs/dbraw/zinc/58/98/67/377589867.db2.gz JZOVRZITQZQINU-CMPLNLGQSA-N -1 1 326.390 -0.472 20 0 EBADMM O=C(CN1C(=O)[C@@H]2CCCC[C@H]2C1=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000364527906 377620577 /nfs/dbraw/zinc/62/05/77/377620577.db2.gz CGMOEDRJRRCDOC-MXWKQRLJSA-N -1 1 348.363 -0.725 20 0 EBADMM O=C([N-]OC[C@@H]1CCOC1)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000364542285 377623112 /nfs/dbraw/zinc/62/31/12/377623112.db2.gz JKLCMVWSFRMZEV-ZIAGYGMSSA-N -1 1 341.408 -0.749 20 0 EBADMM O=C(NCc1ccc(F)cc1)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000364701256 377644138 /nfs/dbraw/zinc/64/41/38/377644138.db2.gz WSIYMNABAOTMNR-LLVKDONJSA-N -1 1 334.311 -0.445 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)CCOCC1CC1)c1ccnn1C ZINC000592095044 377798381 /nfs/dbraw/zinc/79/83/81/377798381.db2.gz FDZGWLICJOLGOP-GFCCVEGCSA-N -1 1 331.394 -0.020 20 0 EBADMM CCOC(=O)c1c[n-]c(NC(=O)Cn2nc3n(c2=O)CCCC3)n1 ZINC000593150421 377876194 /nfs/dbraw/zinc/87/61/94/377876194.db2.gz OCUFJJXSTYNVNF-UHFFFAOYSA-N -1 1 334.336 -0.080 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC[C@H](COC)OC ZINC000594796440 377954260 /nfs/dbraw/zinc/95/42/60/377954260.db2.gz ZVGKSBPJFANNLD-SECBINFHSA-N -1 1 335.382 -0.084 20 0 EBADMM COC(=O)CCN1CC[C@@H](N2CCC(c3n[nH]c(=O)[n-]3)CC2)C1=O ZINC000595467111 378008601 /nfs/dbraw/zinc/00/86/01/378008601.db2.gz ZPELLTHADFOXEO-LLVKDONJSA-N -1 1 337.380 -0.146 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-][C@]2(C(=O)OC)CCOC2)cc1C ZINC000595318735 377996672 /nfs/dbraw/zinc/99/66/72/377996672.db2.gz MGQPFJWVOALBIF-CYBMUJFWSA-N -1 1 347.345 -0.015 20 0 EBADMM CNS(=O)(=O)CC[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595324390 377998196 /nfs/dbraw/zinc/99/81/96/377998196.db2.gz FBANZBKHYLHEAX-UHFFFAOYSA-N -1 1 340.379 -0.798 20 0 EBADMM CO[C@H](Cc1ccccc1)CS(=O)(=O)[N-][C@@H]1CC(=O)N(C)C1=O ZINC000643213515 378219858 /nfs/dbraw/zinc/21/98/58/378219858.db2.gz BIQNFQVLPGKNKT-CHWSQXEVSA-N -1 1 340.401 -0.079 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)[N-]C2(c3nnc[nH]3)CCC2)on1 ZINC000599301288 378220901 /nfs/dbraw/zinc/22/09/01/378220901.db2.gz AUVWIUTVKLXKBN-UHFFFAOYSA-N -1 1 327.322 -0.063 20 0 EBADMM COC(=O)C[C@@H](O)C[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000599460965 378244292 /nfs/dbraw/zinc/24/42/92/378244292.db2.gz BJMPANIUWOVAFL-ZCFIWIBFSA-N -1 1 345.299 -0.359 20 0 EBADMM COC[C@H](CS(=O)(=O)[N-][C@@]1(C(=O)OC)CCSC1)OC ZINC000603303242 378398831 /nfs/dbraw/zinc/39/88/31/378398831.db2.gz IBCBGLFRWVEEDS-KOLCDFICSA-N -1 1 327.424 -0.384 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)[C@@H]1C[C@@H]2CC[C@H]1O2)c1ccnn1C ZINC000603365771 378400342 /nfs/dbraw/zinc/40/03/42/378400342.db2.gz HOFLFBXVOVSJNW-JTLRNRKASA-N -1 1 329.378 -0.127 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@H](OC)[C@@H]2CCOC2)co1 ZINC000617135029 378471568 /nfs/dbraw/zinc/47/15/68/378471568.db2.gz JZGVREWXSGDPQU-KOLCDFICSA-N -1 1 332.378 -0.031 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1ccc(OCC(F)(F)F)nc1 ZINC000606116718 378529030 /nfs/dbraw/zinc/52/90/30/378529030.db2.gz LSSYTRJTUNYZJY-UHFFFAOYSA-N -1 1 345.241 -0.209 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H]1CC(=O)N2CCCC[C@@H]12 ZINC000338193927 378743758 /nfs/dbraw/zinc/74/37/58/378743758.db2.gz KYNSHDPQLVWTOS-MNOVXSKESA-N -1 1 332.360 -0.213 20 0 EBADMM Cc1nnc([C@@H]2CN(C(=O)CNC(=O)c3ncccc3[O-])CCO2)[nH]1 ZINC000337901049 378706604 /nfs/dbraw/zinc/70/66/04/378706604.db2.gz IZIOMWJIQYKSOA-NSHDSACASA-N -1 1 346.347 -0.456 20 0 EBADMM Cc1nc([C@@H]2CN(C(=O)CNC(=O)c3ncccc3[O-])CCO2)n[nH]1 ZINC000337901049 378706613 /nfs/dbraw/zinc/70/66/13/378706613.db2.gz IZIOMWJIQYKSOA-NSHDSACASA-N -1 1 346.347 -0.456 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NCCNC(=O)c1cccnc1 ZINC000337950592 378718203 /nfs/dbraw/zinc/71/82/03/378718203.db2.gz YPGUGDXGYWRWQU-UHFFFAOYSA-N -1 1 343.343 -0.542 20 0 EBADMM CN(C(=O)CNC(=O)c1ncccc1[O-])[C@H]1CCS(=O)(=O)C1 ZINC000337952808 378718540 /nfs/dbraw/zinc/71/85/40/378718540.db2.gz WLSAYRMBRDZJBK-VIFPVBQESA-N -1 1 327.362 -0.838 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCOCC(N)=O)c(C(F)(F)F)n1 ZINC000338931862 378831126 /nfs/dbraw/zinc/83/11/26/378831126.db2.gz VMZSTLAQBVXSHI-UHFFFAOYSA-N -1 1 330.288 -0.781 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC(=O)Nc2cccnc2)co1 ZINC000339206926 378862246 /nfs/dbraw/zinc/86/22/46/378862246.db2.gz XJOJCIDPYKVPKG-UHFFFAOYSA-N -1 1 338.345 -0.049 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@H](O)COCC2CC2)co1 ZINC000339440370 378921744 /nfs/dbraw/zinc/92/17/44/378921744.db2.gz QLLOAIMKZNQZJY-NSHDSACASA-N -1 1 332.378 -0.295 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H]1CCOC[C@H]1OC ZINC000451778300 379068150 /nfs/dbraw/zinc/06/81/50/379068150.db2.gz SJNDVANLHQMMNK-GXSJLCMTSA-N -1 1 347.393 -0.084 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H]1CCOC[C@@H]1OC ZINC000451778301 379068173 /nfs/dbraw/zinc/06/81/73/379068173.db2.gz SJNDVANLHQMMNK-KOLCDFICSA-N -1 1 347.393 -0.084 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc3c(c2)C(=O)NC3=O)n1 ZINC000616028460 379103088 /nfs/dbraw/zinc/10/30/88/379103088.db2.gz HVQUJQSQEKFTSA-UHFFFAOYSA-N -1 1 343.299 -0.205 20 0 EBADMM CNS(=O)(=O)NC1CCN(C(=O)c2ncc(C)cc2[O-])CC1 ZINC000571290862 379118259 /nfs/dbraw/zinc/11/82/59/379118259.db2.gz SYUSPTWDXHKMAC-UHFFFAOYSA-N -1 1 328.394 -0.246 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@](C)(COC)C(=O)OC)o1 ZINC000268164704 379283763 /nfs/dbraw/zinc/28/37/63/379283763.db2.gz WOHSFXCFVCPHNG-GFCCVEGCSA-N -1 1 334.350 -0.504 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CCC[C@H]3OCC[C@H]32)c(=O)n(C)c1=O ZINC000268528797 379311493 /nfs/dbraw/zinc/31/14/93/379311493.db2.gz WVMNDEIVEHWSGT-HBNTYKKESA-N -1 1 343.405 -0.680 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCCN1CCOC1=O ZINC000269633720 379387721 /nfs/dbraw/zinc/38/77/21/379387721.db2.gz ARRBPWPMZOHWBI-UHFFFAOYSA-N -1 1 346.365 -0.293 20 0 EBADMM COC[C@H](CS(=O)(=O)[N-][C@H]1CN(c2ccccc2)C1=O)OC ZINC000641438435 379421039 /nfs/dbraw/zinc/42/10/39/379421039.db2.gz JMJSZXLKTAASTB-OLZOCXBDSA-N -1 1 328.390 -0.017 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCN(C(=O)COC)CC2)co1 ZINC000270022011 379426992 /nfs/dbraw/zinc/42/69/92/379426992.db2.gz JCTPLNMJGMWASP-UHFFFAOYSA-N -1 1 345.377 -0.882 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NCCn2cccc2)o1 ZINC000487549714 379498976 /nfs/dbraw/zinc/49/89/76/379498976.db2.gz OHWQPEZNFPJJQN-UHFFFAOYSA-N -1 1 340.361 -0.725 20 0 EBADMM C[C@@H](NC(=O)c1cc(F)ccc1[O-])C(=O)N1CCS(=O)(=O)CC1 ZINC000270747208 379472979 /nfs/dbraw/zinc/47/29/79/379472979.db2.gz ZEKQDPVXZFJOQB-SECBINFHSA-N -1 1 344.364 -0.093 20 0 EBADMM NC(=O)CO[N-]C(=O)CCCNC1=NS(=O)(=O)c2ccccc21 ZINC000271443172 379526323 /nfs/dbraw/zinc/52/63/23/379526323.db2.gz UCRNLMOIJQRIHS-UHFFFAOYSA-N -1 1 340.361 -0.962 20 0 EBADMM O=C1CN(CC[N-]S(=O)(=O)c2ccc(F)c(F)c2F)CCN1 ZINC000272642379 379592366 /nfs/dbraw/zinc/59/23/66/379592366.db2.gz CVFJJSPQQKWLJD-UHFFFAOYSA-N -1 1 337.323 -0.186 20 0 EBADMM Cn1cnc(S(=O)(=O)N[C@H](Cc2cnc[nH]2)C(=O)[O-])c1Cl ZINC000274908958 379722960 /nfs/dbraw/zinc/72/29/60/379722960.db2.gz JZLICWYCNFYPMZ-SSDOTTSWSA-N -1 1 333.757 -0.229 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H]2CN3CCN2CC3)c1 ZINC000632130107 379819803 /nfs/dbraw/zinc/81/98/03/379819803.db2.gz YEXOJGLFJUNNQP-NSHDSACASA-N -1 1 327.406 -0.321 20 0 EBADMM NS(=O)(=O)CCOCCNC(=O)c1ncc2ccccc2c1[O-] ZINC000614746485 379973371 /nfs/dbraw/zinc/97/33/71/379973371.db2.gz GCYMECVKKHYBNZ-UHFFFAOYSA-N -1 1 339.373 -0.025 20 0 EBADMM C[C@@H](O)[C@H](NC(=O)C1CC1)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614472987 379933396 /nfs/dbraw/zinc/93/33/96/379933396.db2.gz GEOZFSALFKOKAO-KCJUWKMLSA-N -1 1 338.364 -0.243 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)[C@H](C)CN2CCOCC2)o1 ZINC000279318223 379946203 /nfs/dbraw/zinc/94/62/03/379946203.db2.gz OFPAZRXTDDGMPZ-LLVKDONJSA-N -1 1 345.421 -0.020 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@]1(O)CCO[C@@H]1C ZINC000279422484 379950765 /nfs/dbraw/zinc/95/07/65/379950765.db2.gz ILQJIPXPUDUQEU-BDJLRTHQSA-N -1 1 334.376 -0.162 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)[C@H](C)CN2CCOCC2)co1 ZINC000279436602 379951962 /nfs/dbraw/zinc/95/19/62/379951962.db2.gz ZJHZNFHGOVWNKM-LLVKDONJSA-N -1 1 345.421 -0.020 20 0 EBADMM COC(=O)c1c[n-]c(S(=O)(=O)CC(=O)NCc2ccco2)n1 ZINC000279560470 379955451 /nfs/dbraw/zinc/95/54/51/379955451.db2.gz KIDGINLZBQXOBT-UHFFFAOYSA-N -1 1 327.318 -0.121 20 0 EBADMM C[C@H](C(=O)N(C)CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1)n1cccn1 ZINC000540422759 380009626 /nfs/dbraw/zinc/00/96/26/380009626.db2.gz FMAIIJHQZNHGPE-VXGBXAGGSA-N -1 1 346.395 -0.178 20 0 EBADMM Cc1ccc(S(=O)(=O)N[C@@H](C(=O)Nc2nn[n-]n2)[C@@H](C)O)cc1 ZINC000354737185 380089166 /nfs/dbraw/zinc/08/91/66/380089166.db2.gz IVWDDDINHXGYIC-PSASIEDQSA-N -1 1 340.365 -0.825 20 0 EBADMM O=C(CNC(=O)c1ccccn1)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000282855707 380091163 /nfs/dbraw/zinc/09/11/63/380091163.db2.gz GTXQSHOHPQUIRG-SNVBAGLBSA-N -1 1 330.348 -0.371 20 0 EBADMM Cc1ccc(S(=O)(=O)N[C@@H](C(=O)Nc2nnn[n-]2)[C@@H](C)O)cc1 ZINC000354737185 380089153 /nfs/dbraw/zinc/08/91/53/380089153.db2.gz IVWDDDINHXGYIC-PSASIEDQSA-N -1 1 340.365 -0.825 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CC[C@@](O)(C(N)=O)C1 ZINC000355072457 380140899 /nfs/dbraw/zinc/14/08/99/380140899.db2.gz RUADMVHWJDOGIV-INIZCTEOSA-N -1 1 347.375 -0.567 20 0 EBADMM CCS(=O)(=O)N1CCC[C@@H](C(=O)NC(C)(C)c2nn[n-]n2)C1 ZINC000354793168 380098697 /nfs/dbraw/zinc/09/86/97/380098697.db2.gz XLOSVNONESMZEU-SECBINFHSA-N -1 1 330.414 -0.387 20 0 EBADMM COC(=O)C1(O)CCN(C(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000354985666 380127410 /nfs/dbraw/zinc/12/74/10/380127410.db2.gz SBPAGMPSIDMWQB-UHFFFAOYSA-N -1 1 337.332 -0.957 20 0 EBADMM O=C([O-])[C@@H](Cc1cnc[nH]1)NS(=O)(=O)c1cnc2n1CCC2 ZINC000547872138 380167398 /nfs/dbraw/zinc/16/73/98/380167398.db2.gz OJUTVGZTDIMTBC-SECBINFHSA-N -1 1 325.350 -0.473 20 0 EBADMM COCCOCCOCCC[N-]S(=O)(=O)c1c(C)onc1N ZINC000289864104 380451746 /nfs/dbraw/zinc/45/17/46/380451746.db2.gz NGVIINIQWWETPU-UHFFFAOYSA-N -1 1 337.398 -0.087 20 0 EBADMM CS(=O)(=O)CCS(=O)(=O)[N-][C@@H]1COc2ccccc2[C@@H]1O ZINC000288498312 380409602 /nfs/dbraw/zinc/40/96/02/380409602.db2.gz WYJMPBAQVGJXJC-PWSUYJOCSA-N -1 1 335.403 -0.555 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CC(=O)N([C@H]3C[C@@H]3C)C2)o1 ZINC000363267833 380465430 /nfs/dbraw/zinc/46/54/30/380465430.db2.gz AHCIAXKSVFJVPD-AEJSXWLSSA-N -1 1 341.389 -0.073 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCCC(C)(C)CO)c(=O)n(C)c1=O ZINC000269743951 380523659 /nfs/dbraw/zinc/52/36/59/380523659.db2.gz FTVXBGBGRNYPOM-UHFFFAOYSA-N -1 1 333.410 -0.839 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H](CO)c2ccccc2)c(=O)n(C)c1=O ZINC000269740148 380523692 /nfs/dbraw/zinc/52/36/92/380523692.db2.gz UEQCNGQTVIQNFZ-NSHDSACASA-N -1 1 339.373 -0.904 20 0 EBADMM C[C@@H]1CN(S(=O)(=O)N[C@H](Cc2cnc[nH]2)C(=O)[O-])C[C@@H](C)O1 ZINC000314261678 380564332 /nfs/dbraw/zinc/56/43/32/380564332.db2.gz HPXXUXSYMUDJRN-FXPVBKGRSA-N -1 1 332.382 -0.651 20 0 EBADMM CCC[C@H](C)[C@@H](CO)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000568033273 380567690 /nfs/dbraw/zinc/56/76/90/380567690.db2.gz HGCONIXNPCNCFF-VHSXEESVSA-N -1 1 333.410 -0.841 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCN2CCO[C@H](C)C2)co1 ZINC000535125648 380607663 /nfs/dbraw/zinc/60/76/63/380607663.db2.gz QCLPMMPLQBIJNB-SNVBAGLBSA-N -1 1 331.394 -0.362 20 0 EBADMM C[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1cscn1 ZINC000568902165 380623469 /nfs/dbraw/zinc/62/34/69/380623469.db2.gz XLCROEWAYMYMOH-SSDOTTSWSA-N -1 1 330.391 -0.420 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCCSC2)o1 ZINC000341014371 380657126 /nfs/dbraw/zinc/65/71/26/380657126.db2.gz CBCCYWQFSSZZTF-MRVPVSSYSA-N -1 1 347.418 -0.331 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCOC[C@H]1c1nc[nH]n1 ZINC000342611691 380761812 /nfs/dbraw/zinc/76/18/12/380761812.db2.gz FMRHSFUNWSUSIY-VIFPVBQESA-N -1 1 332.320 -0.765 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCOC[C@H]1c1nnc[nH]1 ZINC000342611691 380761825 /nfs/dbraw/zinc/76/18/25/380761825.db2.gz FMRHSFUNWSUSIY-VIFPVBQESA-N -1 1 332.320 -0.765 20 0 EBADMM COC(=O)CNC(=O)C[N-]S(=O)(=O)c1sccc1Cl ZINC000576227600 380761872 /nfs/dbraw/zinc/76/18/72/380761872.db2.gz JVXBKVLBWRHYQO-UHFFFAOYSA-N -1 1 326.783 -0.031 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC23CCC(CC2)C3)c(=O)n(C)c1=O ZINC000366797458 380770183 /nfs/dbraw/zinc/77/01/83/380770183.db2.gz GXQSCXUUZSCXHV-UHFFFAOYSA-N -1 1 327.406 -0.057 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2CS(=O)(=O)C[C@@H]2C)c1 ZINC000341980549 380748235 /nfs/dbraw/zinc/74/82/35/380748235.db2.gz QJPQYNYJKTYQHC-CBAPKCEASA-N -1 1 337.375 -0.222 20 0 EBADMM CO[C@H]1C[C@@H](c2nnc[nH]2)N(S(=O)(=O)[N-]CC(F)(F)F)C1 ZINC000343164464 380771916 /nfs/dbraw/zinc/77/19/16/380771916.db2.gz KTJWWABGAIDICK-BQBZGAKWSA-N -1 1 329.304 -0.037 20 0 EBADMM C[C@@H](CO)NS(=O)(=O)CCCS(=O)(=O)[N-]c1ccccn1 ZINC000349560895 380890164 /nfs/dbraw/zinc/89/01/64/380890164.db2.gz CFKCMYXHFSXXIU-JTQLQIEISA-N -1 1 337.423 -0.486 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CN3CCN2CC3)o1 ZINC000378227685 381020877 /nfs/dbraw/zinc/02/08/77/381020877.db2.gz OZLWSKZPHWNPDN-LLVKDONJSA-N -1 1 343.405 -0.266 20 0 EBADMM COC(=O)[C@H](CN1CCOCC1)[N-]S(=O)(=O)CC1CCCC1 ZINC000581050780 381049649 /nfs/dbraw/zinc/04/96/49/381049649.db2.gz XZHQDYIGLSBNGO-ZDUSSCGKSA-N -1 1 334.438 -0.030 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-]CCN2CCCS2(=O)=O)c1Cl ZINC000060924960 381245418 /nfs/dbraw/zinc/24/54/18/381245418.db2.gz JNZOCVZZPKVHSG-UHFFFAOYSA-N -1 1 342.830 -0.613 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2nnnn2C2CC2)c1 ZINC000611082708 381300496 /nfs/dbraw/zinc/30/04/96/381300496.db2.gz NPNHUCFVTXWVFA-UHFFFAOYSA-N -1 1 327.322 -0.134 20 0 EBADMM CC(C)(NC(=O)c1cncc(NS(C)(=O)=O)c1)c1nn[n-]n1 ZINC000348475776 381373200 /nfs/dbraw/zinc/37/32/00/381373200.db2.gz FPCGIVOZBLKGLU-UHFFFAOYSA-N -1 1 325.354 -0.369 20 0 EBADMM NC(=O)CNC(=O)C[N-]S(=O)(=O)c1c(Cl)cccc1Cl ZINC000351080255 381609999 /nfs/dbraw/zinc/60/99/99/381609999.db2.gz VBUZPCDYXHHTNM-UHFFFAOYSA-N -1 1 340.188 -0.127 20 0 EBADMM CCOC(=O)c1c(F)cccc1NC(=O)C(=O)NCc1nn[n-]n1 ZINC000611603659 381678369 /nfs/dbraw/zinc/67/83/69/381678369.db2.gz WJLJOFUTNWYLGB-UHFFFAOYSA-N -1 1 336.283 -0.230 20 0 EBADMM CO[C@H]1C[C@H]([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)C1(C)C ZINC000332486904 381802140 /nfs/dbraw/zinc/80/21/40/381802140.db2.gz WUCTTYVOUNODEX-UWVGGRQHSA-N -1 1 331.394 -0.824 20 0 EBADMM CCc1cnccc1C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000352081444 381868721 /nfs/dbraw/zinc/86/87/21/381868721.db2.gz FDKUWRYPTJTHOL-UHFFFAOYSA-N -1 1 338.389 -0.480 20 0 EBADMM COc1ccc(C(=O)NCC(=O)NC2(c3nn[n-]n3)CC2)cc1OC ZINC000352205109 381909422 /nfs/dbraw/zinc/90/94/22/381909422.db2.gz KUVOKGQWPOBDHO-UHFFFAOYSA-N -1 1 346.347 -0.248 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NCCc1nnc2n1CCC2 ZINC000352530926 381997102 /nfs/dbraw/zinc/99/71/02/381997102.db2.gz PEGMDGLADXAYAM-UHFFFAOYSA-N -1 1 330.348 -0.586 20 0 EBADMM CC(C)C[C@@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)N1CCOCC1 ZINC000352441392 381984240 /nfs/dbraw/zinc/98/42/40/381984240.db2.gz WXZYOJDEMZEOAV-LBPRGKRZSA-N -1 1 348.407 -0.106 20 0 EBADMM CN(CC(=O)NC1(c2nn[n-]n2)CCCC1)[C@@H]1CCS(=O)(=O)C1 ZINC000433968905 382056083 /nfs/dbraw/zinc/05/60/83/382056083.db2.gz WVQDEBKRGAYYIJ-SNVBAGLBSA-N -1 1 342.425 -0.796 20 0 EBADMM Cn1ccc(CCC(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001051641615 735282053 /nfs/dbraw/zinc/28/20/53/735282053.db2.gz BNXHESYOURSUIH-GFCCVEGCSA-N -1 1 349.395 -0.806 20 0 EBADMM O=C(CS(=O)(=O)c1n[n-]c(C2CC2)n1)NCC(=O)N1CCCC1 ZINC000299223591 155151041 /nfs/dbraw/zinc/15/10/41/155151041.db2.gz AOMIPSVMSPHYOH-UHFFFAOYSA-N -1 1 341.393 -0.806 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@H]2CCC[C@@H](CO)C2)c(=O)n(C)c1=O ZINC000323354030 155183120 /nfs/dbraw/zinc/18/31/20/155183120.db2.gz UKBWTJSKZWNDGM-WDEREUQCSA-N -1 1 345.421 -0.839 20 0 EBADMM CCOC[C@H](NS(=O)(=O)c1scnc1C(=O)OC)C(=O)[O-] ZINC000290481313 286169095 /nfs/dbraw/zinc/16/90/95/286169095.db2.gz GBFACCULNGIRQM-LURJTMIESA-N -1 1 338.363 -0.302 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)C(=O)N2CCOCC2)o1 ZINC000330645448 155296627 /nfs/dbraw/zinc/29/66/27/155296627.db2.gz LGPOFIGECNTYQI-SECBINFHSA-N -1 1 346.361 -0.408 20 0 EBADMM COC(=O)[C@@H]1CCC[C@@H]1S(=O)(=O)[N-]Cc1nc(N(C)C)no1 ZINC000331103793 155339399 /nfs/dbraw/zinc/33/93/99/155339399.db2.gz PUUYXFBHFHKZHC-BDAKNGLRSA-N -1 1 332.382 -0.103 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCN(CCO)[C@H](C)C2)co1 ZINC000331172351 155345966 /nfs/dbraw/zinc/34/59/66/155345966.db2.gz FWYKIVHUBCDSCZ-SNVBAGLBSA-N -1 1 331.394 -0.674 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCN(CCO)[C@@H](C)C2)o1 ZINC000331173580 155346428 /nfs/dbraw/zinc/34/64/28/155346428.db2.gz PIZGNTOCWQTKOF-JTQLQIEISA-N -1 1 331.394 -0.674 20 0 EBADMM CC(C)NC(=O)CN(C)CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000331250689 155352924 /nfs/dbraw/zinc/35/29/24/155352924.db2.gz ZPLKZWNGPXTYDD-LLVKDONJSA-N -1 1 339.396 -0.062 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCc2nccs2)c(=O)n(C)c1=O ZINC000051163022 154087199 /nfs/dbraw/zinc/08/71/99/154087199.db2.gz RNSACAMVBHFHHG-UHFFFAOYSA-N -1 1 330.391 -0.939 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCCNC(=O)[C@@H]1C ZINC000191759370 154157263 /nfs/dbraw/zinc/15/72/63/154157263.db2.gz WQVVDQMOHFUERO-NSHDSACASA-N -1 1 331.376 -0.081 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCS(=O)(=O)CC ZINC000228538029 154165617 /nfs/dbraw/zinc/16/56/17/154165617.db2.gz RJNWRDCGDPAKRP-UHFFFAOYSA-N -1 1 339.395 -0.701 20 0 EBADMM NCC(=O)NCC(=O)Nc1ccc(F)c(S(=O)(=O)NC2CC2)c1 ZINC000262418614 154229872 /nfs/dbraw/zinc/22/98/72/154229872.db2.gz QONKBHQPEWOSHB-UHFFFAOYSA-N -1 1 344.368 -0.720 20 0 EBADMM O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1cncc([O-])c1 ZINC000264843024 154296200 /nfs/dbraw/zinc/29/62/00/154296200.db2.gz LLLNJNSPLRNEKC-OLZOCXBDSA-N -1 1 341.389 -0.985 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)CN2CCO[C@H](CNC(C)=O)C2)cc1 ZINC000265460736 154318019 /nfs/dbraw/zinc/31/80/19/154318019.db2.gz NBUSNAFURNOWIU-OAHLLOKOSA-N -1 1 349.387 -0.212 20 0 EBADMM COC(=O)[C@@]1([N-]S(=O)(=O)c2c(C)nn(C)c2Cl)CCOC1 ZINC000268049571 154399303 /nfs/dbraw/zinc/39/93/03/154399303.db2.gz KPMAXNOZQUWIMY-LLVKDONJSA-N -1 1 337.785 -0.008 20 0 EBADMM CCN1C[C@H](C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])CC1=O ZINC000271951958 154541273 /nfs/dbraw/zinc/54/12/73/154541273.db2.gz BLMRFUYUWDJZGS-MRVPVSSYSA-N -1 1 327.362 -0.154 20 0 EBADMM O=C([N-]OC/C=C/Cl)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000291700940 155023575 /nfs/dbraw/zinc/02/35/75/155023575.db2.gz CFGLCZIXBCIXOX-YYRQZUAOSA-N -1 1 331.800 -0.033 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H](OC)C(=O)OC ZINC000291967617 155026497 /nfs/dbraw/zinc/02/64/97/155026497.db2.gz QBXCWNAFGFUCQU-QMMMGPOBSA-N -1 1 335.338 -0.947 20 0 EBADMM CN(C)C(=O)NCC[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000292694035 155036776 /nfs/dbraw/zinc/03/67/76/155036776.db2.gz ADGIQIKHOCUNHO-UHFFFAOYSA-N -1 1 343.331 -0.012 20 0 EBADMM COCC1(CC[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCC1 ZINC000292807564 155037802 /nfs/dbraw/zinc/03/78/02/155037802.db2.gz MVXSNWZDQDGIPV-UHFFFAOYSA-N -1 1 345.421 -0.431 20 0 EBADMM COC(=O)[C@@H](C)CS(=O)(=O)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000293151066 155043266 /nfs/dbraw/zinc/04/32/66/155043266.db2.gz QYOHZVBCPYLMJF-DTWKUNHWSA-N -1 1 332.382 -0.584 20 0 EBADMM CCOC(=O)COCC[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000295537417 155070056 /nfs/dbraw/zinc/07/00/56/155070056.db2.gz QYMGWPOJDQDHMV-UHFFFAOYSA-N -1 1 325.774 -0.069 20 0 EBADMM CCS(=O)(=O)NCC[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000298475086 155111391 /nfs/dbraw/zinc/11/13/91/155111391.db2.gz DDQFLLHAGLBRLP-UHFFFAOYSA-N -1 1 330.819 -0.709 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@](C)(O)C(=O)OCC ZINC000342512018 156040585 /nfs/dbraw/zinc/04/05/85/156040585.db2.gz ODZZDMJKBZWJMG-GFCCVEGCSA-N -1 1 349.365 -0.821 20 0 EBADMM COc1ccc(S(=O)(=O)N[C@H](C)C(=O)Nc2nnn[n-]2)cc1 ZINC000342857263 156064636 /nfs/dbraw/zinc/06/46/36/156064636.db2.gz LJMKBULDJFVEBB-SSDOTTSWSA-N -1 1 326.338 -0.486 20 0 EBADMM COc1ccc(S(=O)(=O)N[C@H](C)C(=O)Nc2nn[n-]n2)cc1 ZINC000342857263 156064640 /nfs/dbraw/zinc/06/46/40/156064640.db2.gz LJMKBULDJFVEBB-SSDOTTSWSA-N -1 1 326.338 -0.486 20 0 EBADMM NC(=O)c1ncn2c1nc(-c1ccco1)cc2C(=O)Nc1nn[n-]n1 ZINC000342852692 156064892 /nfs/dbraw/zinc/06/48/92/156064892.db2.gz PGOJFOYNGYVRTO-UHFFFAOYSA-N -1 1 339.275 -0.146 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NCCN1C(=O)CCCC1=O ZINC000343293538 156118720 /nfs/dbraw/zinc/11/87/20/156118720.db2.gz IAURLEYJRHCRHB-UHFFFAOYSA-N -1 1 334.332 -0.828 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CC[C@H](N2CCOCC2)C1 ZINC000343531291 156141528 /nfs/dbraw/zinc/14/15/28/156141528.db2.gz FRABXWSRFKYRKE-LBPRGKRZSA-N -1 1 334.376 -0.550 20 0 EBADMM COCCN1CCN(C(=O)CNC(=O)c2ncccc2[O-])C[C@@H]1C ZINC000343672491 156153537 /nfs/dbraw/zinc/15/35/37/156153537.db2.gz QTCMMZHGOLOJLP-LBPRGKRZSA-N -1 1 336.392 -0.304 20 0 EBADMM C[C@@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])CCS1(=O)=O ZINC000343717545 156161495 /nfs/dbraw/zinc/16/14/95/156161495.db2.gz GUKATLWTUJGXLV-SECBINFHSA-N -1 1 327.362 -0.838 20 0 EBADMM COC(=O)[C@H](Cc1c[nH]cn1)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000344991187 156290533 /nfs/dbraw/zinc/29/05/33/156290533.db2.gz ZXYRDDGVTSDLJW-JTQLQIEISA-N -1 1 347.331 -0.859 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCC[C@@H]1C(=O)NC ZINC000345495393 156336861 /nfs/dbraw/zinc/33/68/61/156336861.db2.gz VKAZWRYPWLRHNP-WCBMZHEXSA-N -1 1 344.393 -0.221 20 0 EBADMM Cc1[nH]c(=O)sc1S(=O)(=O)[N-]CCNC(=O)c1cn(C)cn1 ZINC000345481200 156337483 /nfs/dbraw/zinc/33/74/83/156337483.db2.gz IQGDQQNMFLENLO-UHFFFAOYSA-N -1 1 345.406 -0.401 20 0 EBADMM C[C@H]1OCC[C@@]1(C)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000345556837 156346172 /nfs/dbraw/zinc/34/61/72/156346172.db2.gz NSACJCMBUXPIJD-AMIZOPFISA-N -1 1 345.377 -0.660 20 0 EBADMM Cn1nccc1[C@H]1OCC[C@@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000346103852 156385367 /nfs/dbraw/zinc/38/53/67/156385367.db2.gz JRQGJIMSSUXIPS-BONVTDFDSA-N -1 1 345.359 -0.103 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)c1[nH]c(=O)[nH]c(=O)c1N ZINC000346154899 156387295 /nfs/dbraw/zinc/38/72/95/156387295.db2.gz TZYOETLWVRAESJ-UHFFFAOYSA-N -1 1 345.319 -0.235 20 0 EBADMM C[C@]1(CNC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)CCOC1 ZINC000346141390 156387681 /nfs/dbraw/zinc/38/76/81/156387681.db2.gz ZFOGBUMDGCNDCR-CYBMUJFWSA-N -1 1 345.377 -0.800 20 0 EBADMM COC(C)(C)CNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000346221922 156391440 /nfs/dbraw/zinc/39/14/40/156391440.db2.gz KSTQUHMOIZPRKF-UHFFFAOYSA-N -1 1 333.366 -0.802 20 0 EBADMM CN(C)CCNS(=O)(=O)c1cc2c(c(C(=O)[O-])c1)OCCO2 ZINC000350316340 156671370 /nfs/dbraw/zinc/67/13/70/156671370.db2.gz YTEFNXHXGJDOLM-UHFFFAOYSA-N -1 1 330.362 -0.004 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCO[C@H](c2ncon2)C1 ZINC000353568603 156850509 /nfs/dbraw/zinc/85/05/09/156850509.db2.gz ZQAICSGBPCKFQU-JTQLQIEISA-N -1 1 333.304 -0.500 20 0 EBADMM O=C(NCCNS(=O)(=O)Cc1ccon1)c1ncccc1[O-] ZINC000355393342 157000828 /nfs/dbraw/zinc/00/08/28/157000828.db2.gz IVZVKLCJFHAFJS-UHFFFAOYSA-N -1 1 326.334 -0.375 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1CC[C@H](C(N)=O)O1 ZINC000356115776 157048321 /nfs/dbraw/zinc/04/83/21/157048321.db2.gz LDMIEXOOPNCCDZ-MNOVXSKESA-N -1 1 333.348 -0.299 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCc2n[nH]c(=O)cc2C1 ZINC000356122754 157048467 /nfs/dbraw/zinc/04/84/67/157048467.db2.gz YZBYAZAJPYYCPR-UHFFFAOYSA-N -1 1 329.316 -0.403 20 0 EBADMM C[C@@H](NC(N)=O)C(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-] ZINC000356382745 157063250 /nfs/dbraw/zinc/06/32/50/157063250.db2.gz WMBHJXLBGUOPEM-SSDOTTSWSA-N -1 1 342.377 -0.172 20 0 EBADMM COCCNS(=O)(=O)CCCS(=O)(=O)[N-]c1ccccn1 ZINC000356882578 157097747 /nfs/dbraw/zinc/09/77/47/157097747.db2.gz SYFGERYGDAUQPE-UHFFFAOYSA-N -1 1 337.423 -0.221 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H](CO)Cc2ccncc2)co1 ZINC000357276759 157132355 /nfs/dbraw/zinc/13/23/55/157132355.db2.gz WUKKNXPTZREMBB-LBPRGKRZSA-N -1 1 339.373 -0.084 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@@H]1CN1CCOCC1 ZINC000357373280 157138591 /nfs/dbraw/zinc/13/85/91/157138591.db2.gz JUEXMDCVTSTRMI-SNVBAGLBSA-N -1 1 338.364 -0.167 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCS(=O)(=O)C2)o1 ZINC000358824618 157280863 /nfs/dbraw/zinc/28/08/63/157280863.db2.gz CKOYWBHLFYXAKB-QMMMGPOBSA-N -1 1 337.375 -0.078 20 0 EBADMM C[C@@H]1[C@@H](C)CCN1C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000360180014 157364438 /nfs/dbraw/zinc/36/44/38/157364438.db2.gz FGNRKSCOMWFJKB-DTWKUNHWSA-N -1 1 329.378 -0.086 20 0 EBADMM CS(=O)(=O)[N-]c1ccc(S(=O)(=O)NCCC(N)=O)c(F)c1 ZINC000360579502 157386677 /nfs/dbraw/zinc/38/66/77/157386677.db2.gz CIVWNDQLVJBJFP-UHFFFAOYSA-N -1 1 339.370 -0.649 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H](CCSC)C(=O)NC)co1 ZINC000360800409 157397812 /nfs/dbraw/zinc/39/78/12/157397812.db2.gz VZOXEUPVKOJAIU-VIFPVBQESA-N -1 1 349.434 -0.215 20 0 EBADMM O=C([N-]OCC(F)(F)F)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000370271601 418942433 /nfs/dbraw/zinc/94/24/33/418942433.db2.gz WBOIUTTVKCGKMV-SNVBAGLBSA-N -1 1 339.314 -0.223 20 0 EBADMM CC(=O)CCC(=O)NCC[N-]S(=O)(=O)c1sc(=O)[nH]c1C ZINC000337448902 419252526 /nfs/dbraw/zinc/25/25/26/419252526.db2.gz HABDRLUZRQQKPJ-UHFFFAOYSA-N -1 1 335.407 -0.079 20 0 EBADMM CS(=O)(=O)N1CCC[C@H]1C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000644887164 419255881 /nfs/dbraw/zinc/25/58/81/419255881.db2.gz VIAQQCUBLKQKCE-JTQLQIEISA-N -1 1 344.393 -0.095 20 0 EBADMM COCCN(CCOC)C(=O)N1Cc2[nH]cnc2C[C@@H]1C(=O)[O-] ZINC000283903583 419305500 /nfs/dbraw/zinc/30/55/00/419305500.db2.gz KFPKGTNLBHTRGJ-GFCCVEGCSA-N -1 1 326.353 -0.064 20 0 EBADMM CCCCNC(=O)NC(=O)CS(=O)(=O)c1nc(C(=O)OC)c[n-]1 ZINC000414219848 419314974 /nfs/dbraw/zinc/31/49/74/419314974.db2.gz IWIYXOKIRZLQBS-UHFFFAOYSA-N -1 1 346.365 -0.404 20 0 EBADMM CN(C)CC(=O)N1CCN(S(=O)(=O)[N-]CC(F)(F)F)CC1 ZINC000192015570 419569585 /nfs/dbraw/zinc/56/95/85/419569585.db2.gz MXOGZMNLDYIUGG-UHFFFAOYSA-N -1 1 332.348 -0.911 20 0 EBADMM C[C@H](CNS(=O)(=O)[N-]CC(F)(F)F)CN1CCN(C)CC1 ZINC000192023093 419570434 /nfs/dbraw/zinc/57/04/34/419570434.db2.gz BQTNAXLXSYBKDG-SNVBAGLBSA-N -1 1 332.392 -0.144 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H](C)S(C)(=O)=O)o1 ZINC000532829178 419629259 /nfs/dbraw/zinc/62/92/59/419629259.db2.gz RTXNLXGSYRAPTJ-SSDOTTSWSA-N -1 1 325.364 -0.222 20 0 EBADMM C[C@H](NC(=O)c1n[nH]c2ccccc21)C(=O)N1CCOC[C@@H]1C(=O)[O-] ZINC000648451347 419785740 /nfs/dbraw/zinc/78/57/40/419785740.db2.gz QVVHBNYXCCGHFN-JOYOIKCWSA-N -1 1 346.343 -0.007 20 0 EBADMM Cc1nc(S(=O)(=O)[N-][C@@H](C)C(N)=O)c(Br)n1C ZINC000286546754 419862640 /nfs/dbraw/zinc/86/26/40/419862640.db2.gz HJMGPBJENIMABQ-BYPYZUCNSA-N -1 1 325.188 -0.357 20 0 EBADMM CCn1c2ccc(C(=O)NC3(c4nn[n-]n4)CC3)cc2[nH]c(=O)c1=O ZINC000514900747 419973628 /nfs/dbraw/zinc/97/36/28/419973628.db2.gz FYTZNRGXDSWVKM-UHFFFAOYSA-N -1 1 341.331 -0.358 20 0 EBADMM Cc1nc(S(=O)(=O)[N-]CC(=O)Nc2ccn(C)n2)sc1C ZINC000564752016 420572185 /nfs/dbraw/zinc/57/21/85/420572185.db2.gz BNXNXZMDMAWBDK-UHFFFAOYSA-N -1 1 329.407 0.410 20 0 EBADMM CS(=O)(=O)c1nc(NC(=O)c2cccc(OCC(F)F)n2)n[n-]1 ZINC000340622059 420579543 /nfs/dbraw/zinc/57/95/43/420579543.db2.gz PMURMFAVMSZALS-UHFFFAOYSA-N -1 1 347.303 0.499 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2cccc(OCC(F)F)n2)n1 ZINC000340622059 420579546 /nfs/dbraw/zinc/57/95/46/420579546.db2.gz PMURMFAVMSZALS-UHFFFAOYSA-N -1 1 347.303 0.499 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2CCC(C)(C)O2)c(=O)n(C)c1=O ZINC000494278015 420587930 /nfs/dbraw/zinc/58/79/30/420587930.db2.gz WPHQFZFUHIGBLQ-VIFPVBQESA-N -1 1 331.394 -0.680 20 0 EBADMM Cn1[n-]c(CN2CCOC3(CN(C(=O)OC(C)(C)C)C3)C2)nc1=O ZINC000496576420 420639586 /nfs/dbraw/zinc/63/95/86/420639586.db2.gz BTYRBQVDOFWSQD-UHFFFAOYSA-N -1 1 339.396 -0.070 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCCc2ccco2)c(=O)n(C)c1=O ZINC000660079742 420721911 /nfs/dbraw/zinc/72/19/11/420721911.db2.gz RHWFSPJFBSXEAN-UHFFFAOYSA-N -1 1 327.362 -0.412 20 0 EBADMM CC(=O)NCC(=O)N1CCCN(C(=O)c2ncc(C)cc2[O-])CC1 ZINC000529916276 420776062 /nfs/dbraw/zinc/77/60/62/420776062.db2.gz GGNLEEPZMNZAFO-UHFFFAOYSA-N -1 1 334.376 -0.094 20 0 EBADMM CO[C@H](CS(=O)(=O)[N-][C@H]1CS(=O)(=O)C[C@@H]1OC)C1CC1 ZINC000657100081 420916008 /nfs/dbraw/zinc/91/60/08/420916008.db2.gz JRSFVSJESRDPJP-AXFHLTTASA-N -1 1 327.424 -0.857 20 0 EBADMM NC(=O)c1csc(C[N-]S(=O)(=O)c2cnn3c2OCCC3)n1 ZINC000657176865 420919902 /nfs/dbraw/zinc/91/99/02/420919902.db2.gz KTGLGJQYZYMXIU-UHFFFAOYSA-N -1 1 343.390 -0.301 20 0 EBADMM CN(CCC(=O)N1CCN(c2ncccn2)CC1)Cc1n[n-]c(=O)o1 ZINC000660850686 421053394 /nfs/dbraw/zinc/05/33/94/421053394.db2.gz QWAKYOWYNOXLSK-UHFFFAOYSA-N -1 1 347.379 -0.264 20 0 EBADMM CC(C)CN1CCO[C@@H](CNC(=O)CN(C)Cc2n[n-]c(=O)o2)C1 ZINC000660852274 421053843 /nfs/dbraw/zinc/05/38/43/421053843.db2.gz QBBUKYQISFDKPD-LBPRGKRZSA-N -1 1 341.412 -0.320 20 0 EBADMM O=C([N-]c1nnc(CC(F)(F)F)s1)c1nc2n(n1)CCCN2 ZINC000643724598 421290392 /nfs/dbraw/zinc/29/03/92/421290392.db2.gz SYEJEUCDYGDYRM-UHFFFAOYSA-N -1 1 333.299 0.560 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@]1(O)CCO[C@@H]1C ZINC000278641516 264614668 /nfs/dbraw/zinc/61/46/68/264614668.db2.gz FXFZGRARNMENDI-PELKAZGASA-N -1 1 333.366 -0.595 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCC[C@@H]2F)o1 ZINC000340808259 264643277 /nfs/dbraw/zinc/64/32/77/264643277.db2.gz DLNSJIGDIZHEIA-JGVFFNPUSA-N -1 1 333.341 -0.336 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H]1CCN(C)C(=O)C1 ZINC000425186016 265085778 /nfs/dbraw/zinc/08/57/78/265085778.db2.gz SMPOYVYWXMWPBZ-SECBINFHSA-N -1 1 344.393 -0.267 20 0 EBADMM CN1CC[C@H](NS(=O)(=O)c2c[nH]c3nc(=O)[n-]c(=O)c-3c2)C1 ZINC000437227397 265245770 /nfs/dbraw/zinc/24/57/70/265245770.db2.gz KXSRDHNVRFENSI-ZETCQYMHSA-N -1 1 325.350 -0.582 20 0 EBADMM CN(C)c1noc(C[N-]S(=O)(=O)c2cnn([C@H]3CCOC3)c2)n1 ZINC000440094204 265278408 /nfs/dbraw/zinc/27/84/08/265278408.db2.gz IYJXUIFZQYIDCX-VIFPVBQESA-N -1 1 342.381 -0.228 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCN(C(=O)CC)C1 ZINC000443495050 265352099 /nfs/dbraw/zinc/35/20/99/265352099.db2.gz VBPMENRWEOQBGH-VIFPVBQESA-N -1 1 344.393 -0.124 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@H](O)[C@H]2C(=O)OC)co1 ZINC000444434922 265362858 /nfs/dbraw/zinc/36/28/58/265362858.db2.gz JELODLQTMVVULP-ONGXEEELSA-N -1 1 346.361 -0.674 20 0 EBADMM Cc1oc(C(=O)NC2(c3nn[n-]n3)CCCC2)cc1S(N)(=O)=O ZINC000446916614 265371939 /nfs/dbraw/zinc/37/19/39/265371939.db2.gz OFDNUUNBBWBVNS-UHFFFAOYSA-N -1 1 340.365 -0.052 20 0 EBADMM Cn1c(=O)c2cc(NC(=O)c3cncc([O-])c3)cnc2n(C)c1=O ZINC000339839169 272809756 /nfs/dbraw/zinc/80/97/56/272809756.db2.gz ZDOGAEZJUZSXGI-UHFFFAOYSA-N -1 1 327.300 -0.015 20 0 EBADMM C[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1ncccc1F ZINC000528575407 272898273 /nfs/dbraw/zinc/89/82/73/272898273.db2.gz SWSXYSCCZWTEGU-QMMMGPOBSA-N -1 1 342.352 -0.342 20 0 EBADMM CC(C)(C)N1C[C@H](C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)CC1=O ZINC000338106037 278353845 /nfs/dbraw/zinc/35/38/45/278353845.db2.gz JOVDEYXZGCGAQE-SSDOTTSWSA-N -1 1 329.382 -0.206 20 0 EBADMM CC(C)(C)N1C[C@H](C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)CC1=O ZINC000338106037 278353847 /nfs/dbraw/zinc/35/38/47/278353847.db2.gz JOVDEYXZGCGAQE-SSDOTTSWSA-N -1 1 329.382 -0.206 20 0 EBADMM CC(C)[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)N1CCOCC1 ZINC000352430357 280253154 /nfs/dbraw/zinc/25/31/54/280253154.db2.gz GBIGZCZOBMRBKP-LBPRGKRZSA-N -1 1 334.380 -0.496 20 0 EBADMM Nc1ncnc2c1ncn2CCC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000668869418 545777741 /nfs/dbraw/zinc/77/77/41/545777741.db2.gz MVBNGUFULHYQHU-UHFFFAOYSA-N -1 1 342.367 -0.103 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NCCCC2CC2)o1 ZINC000673675757 546146590 /nfs/dbraw/zinc/14/65/90/546146590.db2.gz RWBGRNSAIZCWCY-UHFFFAOYSA-N -1 1 329.378 -0.037 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2ccc(Cl)o2)c(=O)n(C)c1=O ZINC000674012544 546153722 /nfs/dbraw/zinc/15/37/22/546153722.db2.gz VXPBPPFMMVCXTC-UHFFFAOYSA-N -1 1 333.753 -0.191 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)CC(=O)N2CCCC2)co1 ZINC000677297066 546228295 /nfs/dbraw/zinc/22/82/95/546228295.db2.gz DWPXOOGEVVBSIZ-UHFFFAOYSA-N -1 1 329.378 -0.118 20 0 EBADMM CCn1nc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])ccc1=O ZINC000679113845 546265572 /nfs/dbraw/zinc/26/55/72/546265572.db2.gz LCCSQNSJFFHEGR-UHFFFAOYSA-N -1 1 338.345 -0.132 20 0 EBADMM Cn1c2ccc(S(=O)(=O)[N-]c3cc(C(N)=O)[nH]n3)cc2oc1=O ZINC000679202300 546267819 /nfs/dbraw/zinc/26/78/19/546267819.db2.gz FEFBIPXQTIFRTQ-UHFFFAOYSA-N -1 1 337.317 -0.246 20 0 EBADMM Cc1nc2ccccn2c1[C@H]1C(=O)NCCN1Cc1nc(=O)n(C)[n-]1 ZINC000680373564 546286210 /nfs/dbraw/zinc/28/62/10/546286210.db2.gz GNIQAIGBGYEZFR-AWEZNQCLSA-N -1 1 341.375 -0.262 20 0 EBADMM CC[C@@]1(NC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)CCOC1 ZINC000682975998 546343364 /nfs/dbraw/zinc/34/33/64/546343364.db2.gz CITGYHHMBKVTFE-CYBMUJFWSA-N -1 1 345.377 -0.658 20 0 EBADMM Cn1cc([C@H]2[C@H](C(=O)NCc3nn[n-]n3)CC(=O)N2C(C)(C)C)cn1 ZINC000684736432 546376398 /nfs/dbraw/zinc/37/63/98/546376398.db2.gz RXWWUCYLFRBZDW-MFKMUULPSA-N -1 1 346.395 -0.062 20 0 EBADMM Cc1cc(NC(=O)CN(C)C(=O)CCn2cc[n-]c(=O)c2=O)no1 ZINC000726871000 582623642 /nfs/dbraw/zinc/62/36/42/582623642.db2.gz FRFVHVHJOWEDND-UHFFFAOYSA-N -1 1 335.320 -0.680 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@@H](O)COc1ccccc1 ZINC000726871693 582623702 /nfs/dbraw/zinc/62/37/02/582623702.db2.gz QGWHDFCCNODHEL-GFCCVEGCSA-N -1 1 333.344 -0.517 20 0 EBADMM CS(=O)(=O)[N-]C(=O)CCNC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000788179276 582624008 /nfs/dbraw/zinc/62/40/08/582624008.db2.gz ZWJOZVALQNVIOD-UHFFFAOYSA-N -1 1 337.361 -0.333 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@@H]1CCN(CC(F)(F)F)C1 ZINC000727852461 582661373 /nfs/dbraw/zinc/66/13/73/582661373.db2.gz WPWSINSVLCGUPO-JTQLQIEISA-N -1 1 348.325 -0.073 20 0 EBADMM C[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)N1CCCC[C@@H]1C ZINC000727855256 582662099 /nfs/dbraw/zinc/66/20/99/582662099.db2.gz GPBLWHBVKIFGDT-RYUDHWBXSA-N -1 1 336.392 -0.168 20 0 EBADMM C[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)N1CCCC[C@H]1C ZINC000727855254 582662219 /nfs/dbraw/zinc/66/22/19/582662219.db2.gz GPBLWHBVKIFGDT-NEPJUHHUSA-N -1 1 336.392 -0.168 20 0 EBADMM CNC(=O)NC(=O)[C@H](C)OC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000729287037 582690234 /nfs/dbraw/zinc/69/02/34/582690234.db2.gz ACOQQVFSQQPFQW-VIFPVBQESA-N -1 1 349.347 -0.018 20 0 EBADMM COC[C@]1(C(=O)[N-]S(=O)(=O)Cc2ccccc2)CNCCO1 ZINC000901007191 582787865 /nfs/dbraw/zinc/78/78/65/582787865.db2.gz KBQCXALJQDFDRF-AWEZNQCLSA-N -1 1 328.390 -0.362 20 0 EBADMM COC[C@]1(C(=O)[N-]S(=O)(=O)[C@@H]2C[C@@H]3CC[C@H]2C3)CNCCO1 ZINC000901060855 582790361 /nfs/dbraw/zinc/79/03/61/582790361.db2.gz GFKRAMIUQDEPRS-CZXHOFHRSA-N -1 1 332.422 -0.374 20 0 EBADMM COCCN1CCC[C@@H]1CNS(=O)(=O)N1CCC(C(=O)[O-])CC1 ZINC000737814720 582836584 /nfs/dbraw/zinc/83/65/84/582836584.db2.gz YHKSNYISHVACPE-CYBMUJFWSA-N -1 1 349.453 -0.272 20 0 EBADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-]N=c1nc(OC)cc[nH]1 ZINC000732044792 582843324 /nfs/dbraw/zinc/84/33/24/582843324.db2.gz MIQSEFJNQXLTBR-UHFFFAOYSA-N -1 1 342.337 -0.391 20 0 EBADMM C[C@H](C(=O)N(C)CC(=O)N1CCO[C@H](c2nn[n-]n2)C1)n1cccn1 ZINC000732089308 582844083 /nfs/dbraw/zinc/84/40/83/582844083.db2.gz GFFCIGLWSWAQIY-MNOVXSKESA-N -1 1 348.367 -0.984 20 0 EBADMM CC(C)(C)n1cc(C(=O)C(=O)N2CCO[C@H](c3nn[n-]n3)C2)cn1 ZINC000732090448 582844348 /nfs/dbraw/zinc/84/43/48/582844348.db2.gz JUXYTUFOBBSNGW-JTQLQIEISA-N -1 1 333.352 -0.066 20 0 EBADMM CC(C)CS(=O)(=O)CCC(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000732088461 582844360 /nfs/dbraw/zinc/84/43/60/582844360.db2.gz CEKCTPHXRFIAHK-SNVBAGLBSA-N -1 1 331.398 -0.440 20 0 EBADMM O=C(Cc1cnn(-c2ccccc2)n1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000732092049 582844513 /nfs/dbraw/zinc/84/45/13/582844513.db2.gz VLTIYLKUPBSUEY-ZDUSSCGKSA-N -1 1 340.347 -0.077 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(CCOC)CCC(=O)OC)o1 ZINC000733269099 582858685 /nfs/dbraw/zinc/85/86/85/582858685.db2.gz XOINLBOYLMXWKZ-UHFFFAOYSA-N -1 1 348.377 -0.161 20 0 EBADMM CC(C)(CNc1nccnc1-c1nnn[n-]1)N1CCS(=O)CC1 ZINC000735670580 582902592 /nfs/dbraw/zinc/90/25/92/582902592.db2.gz CWOJOXHWWXEKMW-UHFFFAOYSA-N -1 1 336.425 -0.089 20 0 EBADMM CC(C)(CNc1nccnc1-c1nn[n-]n1)N1CCS(=O)CC1 ZINC000735670580 582902595 /nfs/dbraw/zinc/90/25/95/582902595.db2.gz CWOJOXHWWXEKMW-UHFFFAOYSA-N -1 1 336.425 -0.089 20 0 EBADMM CC(C)(C)OC(=O)N1CC(NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000736098847 582918320 /nfs/dbraw/zinc/91/83/20/582918320.db2.gz LQWZLNKCSBYOLE-UHFFFAOYSA-N -1 1 338.364 -0.338 20 0 EBADMM CC(=O)N1CCN([C@H]2CN(CC(=O)NCCC(=O)[O-])C[C@H]2C)CC1 ZINC000736134897 582919988 /nfs/dbraw/zinc/91/99/88/582919988.db2.gz SWXKSPNZNIGADZ-OCCSQVGLSA-N -1 1 340.424 -0.938 20 0 EBADMM C[C@@H](OC(=O)c1ccc(-c2nnn[n-]2)nc1)C(=O)N1CCOCC1 ZINC000736159597 582922140 /nfs/dbraw/zinc/92/21/40/582922140.db2.gz XGEVZHDIHTUROP-SECBINFHSA-N -1 1 332.320 -0.334 20 0 EBADMM C[C@@H](OC(=O)c1ccc(-c2nn[n-]n2)nc1)C(=O)N1CCOCC1 ZINC000736159597 582922142 /nfs/dbraw/zinc/92/21/42/582922142.db2.gz XGEVZHDIHTUROP-SECBINFHSA-N -1 1 332.320 -0.334 20 0 EBADMM C[C@@H]1[C@H](C)S(=O)(=O)CCN1C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736230532 582925781 /nfs/dbraw/zinc/92/57/81/582925781.db2.gz SRBVRBNBRMSTJF-BDAKNGLRSA-N -1 1 336.377 -0.091 20 0 EBADMM C[C@@H]1[C@H](C)S(=O)(=O)CCN1C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736230532 582925783 /nfs/dbraw/zinc/92/57/83/582925783.db2.gz SRBVRBNBRMSTJF-BDAKNGLRSA-N -1 1 336.377 -0.091 20 0 EBADMM CC[C@@H](C)NC(=O)CN1CCN(c2cnc(-c3nnn[n-]3)cn2)CC1 ZINC000736325487 582930003 /nfs/dbraw/zinc/93/00/03/582930003.db2.gz KKKYUSOJAIHYTP-LLVKDONJSA-N -1 1 345.411 -0.307 20 0 EBADMM CC[C@@H](C)NC(=O)CN1CCN(c2cnc(-c3nn[n-]n3)cn2)CC1 ZINC000736325487 582930007 /nfs/dbraw/zinc/93/00/07/582930007.db2.gz KKKYUSOJAIHYTP-LLVKDONJSA-N -1 1 345.411 -0.307 20 0 EBADMM CC(C)[C@H](CNC(=O)N1CCO[C@H](C(=O)[O-])C1)N1CCN(C)CC1 ZINC000736353922 582931045 /nfs/dbraw/zinc/93/10/45/582931045.db2.gz SANIZLBUBBATLL-KBPBESRZSA-N -1 1 342.440 -0.247 20 0 EBADMM CC[C@H]1CN(c2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)C[C@H](C)O1 ZINC000736408353 582933156 /nfs/dbraw/zinc/93/31/56/582933156.db2.gz TVLOSAKWGVLCFP-IUCAKERBSA-N -1 1 335.368 -0.732 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)COC(=O)[C@@H]2C[C@@H]2C(=O)[O-])C1 ZINC000736444596 582934497 /nfs/dbraw/zinc/93/44/97/582934497.db2.gz YUYWTGBDKXGHOA-FRRDWIJNSA-N -1 1 342.392 -0.277 20 0 EBADMM CCc1nc(CN2CCN(c3nccnc3-c3nnn[n-]3)CC2)no1 ZINC000736703564 582950470 /nfs/dbraw/zinc/95/04/70/582950470.db2.gz BMSUAIZWZWNOFJ-UHFFFAOYSA-N -1 1 342.367 -0.076 20 0 EBADMM CCc1nc(CN2CCN(c3nccnc3-c3nn[n-]n3)CC2)no1 ZINC000736703564 582950472 /nfs/dbraw/zinc/95/04/72/582950472.db2.gz BMSUAIZWZWNOFJ-UHFFFAOYSA-N -1 1 342.367 -0.076 20 0 EBADMM CN(C(=O)CCn1cc[n-]c(=O)c1=O)c1cccc(-c2nn[nH]n2)c1 ZINC000736732217 582952050 /nfs/dbraw/zinc/95/20/50/582952050.db2.gz LMXXYDMOSISWPR-UHFFFAOYSA-N -1 1 341.331 -0.230 20 0 EBADMM CN(C)C(=O)CCNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736748276 582952607 /nfs/dbraw/zinc/95/26/07/582952607.db2.gz WROQFMFLFRKAKJ-UHFFFAOYSA-N -1 1 325.354 -0.982 20 0 EBADMM CN(C)C(=O)CCNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736748276 582952609 /nfs/dbraw/zinc/95/26/09/582952609.db2.gz WROQFMFLFRKAKJ-UHFFFAOYSA-N -1 1 325.354 -0.982 20 0 EBADMM CN(C)C(=O)Cn1cc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)cn1 ZINC000736750764 582953422 /nfs/dbraw/zinc/95/34/22/582953422.db2.gz BVPVTEITNODDQL-UHFFFAOYSA-N -1 1 341.335 -0.201 20 0 EBADMM CN(C)C(=O)Cn1cc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)cn1 ZINC000736750764 582953424 /nfs/dbraw/zinc/95/34/24/582953424.db2.gz BVPVTEITNODDQL-UHFFFAOYSA-N -1 1 341.335 -0.201 20 0 EBADMM CN(Cc1cnccn1)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736830064 582960129 /nfs/dbraw/zinc/96/01/29/582960129.db2.gz PVWRVIURYYRLNS-UHFFFAOYSA-N -1 1 332.349 -0.128 20 0 EBADMM CN(Cc1cnccn1)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736830064 582960131 /nfs/dbraw/zinc/96/01/31/582960131.db2.gz PVWRVIURYYRLNS-UHFFFAOYSA-N -1 1 332.349 -0.128 20 0 EBADMM CNC(=O)CCN(C)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736871111 582967438 /nfs/dbraw/zinc/96/74/38/582967438.db2.gz PDWIXQYCFJPFDF-UHFFFAOYSA-N -1 1 325.354 -0.982 20 0 EBADMM CNC(=O)CCN(C)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736871111 582967439 /nfs/dbraw/zinc/96/74/39/582967439.db2.gz PDWIXQYCFJPFDF-UHFFFAOYSA-N -1 1 325.354 -0.982 20 0 EBADMM COC(=O)[C@H](C)CSc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000736892207 582969538 /nfs/dbraw/zinc/96/95/38/582969538.db2.gz NPFAPJACKVQXDG-ZCFIWIBFSA-N -1 1 340.365 -0.835 20 0 EBADMM COC(=O)[C@H]1CCCN1S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736909484 582970644 /nfs/dbraw/zinc/97/06/44/582970644.db2.gz IYDNNJRZPRKPEF-SNVBAGLBSA-N -1 1 338.349 -0.412 20 0 EBADMM COC(=O)[C@H]1CCCN1S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736909484 582970646 /nfs/dbraw/zinc/97/06/46/582970646.db2.gz IYDNNJRZPRKPEF-SNVBAGLBSA-N -1 1 338.349 -0.412 20 0 EBADMM CC[C@H]1CN(CCn2cccn2)CCN1CC(=O)NCCC(=O)[O-] ZINC000736943123 582976571 /nfs/dbraw/zinc/97/65/71/582976571.db2.gz ICPVWGHFYJQZPT-AWEZNQCLSA-N -1 1 337.424 -0.130 20 0 EBADMM CO[C@@H](CNc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C)C(F)(F)F ZINC000736959753 582977702 /nfs/dbraw/zinc/97/77/02/582977702.db2.gz DEHNVHCTPWHMBX-YFKPBYRVSA-N -1 1 349.273 -0.747 20 0 EBADMM COCCN(c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C)C1CCCC1 ZINC000737006809 582983524 /nfs/dbraw/zinc/98/35/24/582983524.db2.gz JNMVIHOYWDHMGQ-UHFFFAOYSA-N -1 1 349.395 -0.341 20 0 EBADMM CCCc1nnc([C@@H]2CN([C@@H](C)C(=O)NCC(=O)[O-])CCO2)[nH]1 ZINC000737051531 582985969 /nfs/dbraw/zinc/98/59/69/582985969.db2.gz UILKZTLJYWKSIV-UWVGGRQHSA-N -1 1 325.369 -0.280 20 0 EBADMM CCCc1n[nH]c([C@@H]2CN([C@@H](C)C(=O)NCC(=O)[O-])CCO2)n1 ZINC000737051531 582985970 /nfs/dbraw/zinc/98/59/70/582985970.db2.gz UILKZTLJYWKSIV-UWVGGRQHSA-N -1 1 325.369 -0.280 20 0 EBADMM Cc1ncsc1CNc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000737501870 583010657 /nfs/dbraw/zinc/01/06/57/583010657.db2.gz AASDYNHWKMLLDP-UHFFFAOYSA-N -1 1 334.365 -0.359 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N[C@@H]2CCCC[C@H]2CO)n(C)c1=O ZINC000737595680 583018977 /nfs/dbraw/zinc/01/89/77/583018977.db2.gz JTHVHFOJAMPOGI-DTWKUNHWSA-N -1 1 335.368 -0.773 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N[C@H]2CCCC[C@H]2CO)n(C)c1=O ZINC000737595683 583019006 /nfs/dbraw/zinc/01/90/06/583019006.db2.gz JTHVHFOJAMPOGI-IUCAKERBSA-N -1 1 335.368 -0.773 20 0 EBADMM Cn1cc(CN2CCN(c3nccnc3-c3nnn[n-]3)CC2)cn1 ZINC000737600830 583019374 /nfs/dbraw/zinc/01/93/74/583019374.db2.gz ABHMKQMZTHKFSM-UHFFFAOYSA-N -1 1 326.368 -0.288 20 0 EBADMM Cn1cc(CN2CCN(c3nccnc3-c3nn[n-]n3)CC2)cn1 ZINC000737600830 583019381 /nfs/dbraw/zinc/01/93/81/583019381.db2.gz ABHMKQMZTHKFSM-UHFFFAOYSA-N -1 1 326.368 -0.288 20 0 EBADMM O=C([C@@H]1CCCN(c2cnc(-c3nnn[n-]3)cn2)C1)N1CCOCC1 ZINC000737791860 583027718 /nfs/dbraw/zinc/02/77/18/583027718.db2.gz MADRDKWJYOYKPR-LLVKDONJSA-N -1 1 344.379 -0.268 20 0 EBADMM O=C([C@@H]1CCCN(c2cnc(-c3nn[n-]n3)cn2)C1)N1CCOCC1 ZINC000737791860 583027722 /nfs/dbraw/zinc/02/77/22/583027722.db2.gz MADRDKWJYOYKPR-LLVKDONJSA-N -1 1 344.379 -0.268 20 0 EBADMM O=C([C@@H]1CCCO1)N1CCN(c2nccnc2-c2nnn[n-]2)CC1 ZINC000737790809 583027887 /nfs/dbraw/zinc/02/78/87/583027887.db2.gz HPBULVIRRWCCMS-JTQLQIEISA-N -1 1 330.352 -0.516 20 0 EBADMM O=C([C@@H]1CCCO1)N1CCN(c2nccnc2-c2nn[n-]n2)CC1 ZINC000737790809 583027890 /nfs/dbraw/zinc/02/78/90/583027890.db2.gz HPBULVIRRWCCMS-JTQLQIEISA-N -1 1 330.352 -0.516 20 0 EBADMM COC(=O)[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)CC(C)C ZINC000741720481 583074846 /nfs/dbraw/zinc/07/48/46/583074846.db2.gz XPAVMXSCIXXGCE-NSHDSACASA-N -1 1 325.365 -0.122 20 0 EBADMM CC(C)NC(=O)CN(C)CC(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000749737205 583131244 /nfs/dbraw/zinc/13/12/44/583131244.db2.gz SOWPJXWFWDETQA-HNNXBMFYSA-N -1 1 345.407 -0.138 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OCc2nnnn2C2CC2)co1 ZINC000756405535 583183131 /nfs/dbraw/zinc/18/31/31/583183131.db2.gz PWPOGHLZSHNEEY-UHFFFAOYSA-N -1 1 327.322 -0.134 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@H](C)C(=O)N2CCOCC2)co1 ZINC000756389961 583183252 /nfs/dbraw/zinc/18/32/52/583183252.db2.gz NSXHDHLTCMNYFO-SECBINFHSA-N -1 1 346.361 -0.408 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC(=O)N2CCCC2=O)co1 ZINC000756401878 583183273 /nfs/dbraw/zinc/18/32/73/583183273.db2.gz GFCJGUZINWJICC-UHFFFAOYSA-N -1 1 330.318 -0.507 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@H](C)C(=O)N2CCNC2=O)co1 ZINC000756406534 583183551 /nfs/dbraw/zinc/18/35/51/583183551.db2.gz WFFDTVBTCJKHEZ-SSDOTTSWSA-N -1 1 345.333 -0.715 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC(=O)NC[C@H]2CCCO2)co1 ZINC000756405903 583183663 /nfs/dbraw/zinc/18/36/63/583183663.db2.gz SHVNLXZNLWFOJY-SNVBAGLBSA-N -1 1 346.361 -0.360 20 0 EBADMM CCOC(=O)N1CCC(S(=O)(=O)[N-][C@H](CO)C(=O)OC)CC1 ZINC000758501369 583204463 /nfs/dbraw/zinc/20/44/63/583204463.db2.gz DYHYGDDOWNJCGS-SNVBAGLBSA-N -1 1 338.382 -0.939 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1ccc2c(c1)S(=O)(=O)CC2 ZINC000763823444 583266067 /nfs/dbraw/zinc/26/60/67/583266067.db2.gz CBONPWDQLLYPED-UHFFFAOYSA-N -1 1 349.368 -0.105 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCOCC(F)(F)C(F)F ZINC000765192374 583278937 /nfs/dbraw/zinc/27/89/37/583278937.db2.gz INDRWCRWMDRGBL-UHFFFAOYSA-N -1 1 341.261 -0.040 20 0 EBADMM Cc1ccc(-c2nnn(CC(=O)OCc3nc(=O)n(C)[n-]3)n2)cc1 ZINC000765408951 583281371 /nfs/dbraw/zinc/28/13/71/583281371.db2.gz JUHZVKZDYGDNGY-UHFFFAOYSA-N -1 1 329.320 -0.186 20 0 EBADMM COc1cc(C(=O)OCc2nc(=O)n(C)[n-]2)ccc1OCC(N)=O ZINC000765422568 583281879 /nfs/dbraw/zinc/28/18/79/583281879.db2.gz ZJMLIMKLXYYSPM-UHFFFAOYSA-N -1 1 336.304 -0.662 20 0 EBADMM Cn1[n-]c(COC(=O)c2cccc([C@]3(C)NC(=O)NC3=O)c2)nc1=O ZINC000765496212 583284032 /nfs/dbraw/zinc/28/40/32/583284032.db2.gz XXFZSVZZWOCOTF-HNNXBMFYSA-N -1 1 345.315 -0.480 20 0 EBADMM Cn1[n-]c(COC(=O)c2ccc(S(N)(=O)=O)cc2F)nc1=O ZINC000765496710 583284072 /nfs/dbraw/zinc/28/40/72/583284072.db2.gz LPWMZDZZIPSXKJ-UHFFFAOYSA-N -1 1 330.297 -0.748 20 0 EBADMM CC(C)(C)OC(=O)N1CCO[C@@H](CC(=O)NCc2nn[n-]n2)C1 ZINC000765497372 583284132 /nfs/dbraw/zinc/28/41/32/583284132.db2.gz XGOFNJQKDUOWEG-VIFPVBQESA-N -1 1 326.357 -0.158 20 0 EBADMM CS(=O)(=O)[C@H]1CCCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000768124113 583312498 /nfs/dbraw/zinc/31/24/98/583312498.db2.gz QFZNRQAGAJUMRV-NSHDSACASA-N -1 1 343.405 -0.648 20 0 EBADMM O=S(=O)(c1nc(-c2ccccc2)n[n-]1)[C@@H]1CS(=O)(=O)C[C@@H]1O ZINC000774008890 583378775 /nfs/dbraw/zinc/37/87/75/583378775.db2.gz MECLONHKOXQLFD-VHSXEESVSA-N -1 1 343.386 -0.597 20 0 EBADMM O=S(=O)(c1n[n-]c(-c2ccccc2)n1)[C@@H]1CS(=O)(=O)C[C@@H]1O ZINC000774008890 583378777 /nfs/dbraw/zinc/37/87/77/583378777.db2.gz MECLONHKOXQLFD-VHSXEESVSA-N -1 1 343.386 -0.597 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NS(=O)(=O)c1cccc2nonc21 ZINC000776436463 583404071 /nfs/dbraw/zinc/40/40/71/583404071.db2.gz CXVXWXAMIQRDSM-VIFPVBQESA-N -1 1 337.317 -0.080 20 0 EBADMM CCCN(C(=O)[C@@H](C)OC(=O)c1cn[n-]n1)[C@@H]1CCS(=O)(=O)C1 ZINC000805603697 583418996 /nfs/dbraw/zinc/41/89/96/583418996.db2.gz SOHMWSKWEJYOQH-NXEZZACHSA-N -1 1 344.393 -0.224 20 0 EBADMM CC(C)CN(C(=O)COC(=O)c1cn[n-]n1)[C@H]1CCS(=O)(=O)C1 ZINC000805605100 583420547 /nfs/dbraw/zinc/42/05/47/583420547.db2.gz JEBJFDATVNDUQR-JTQLQIEISA-N -1 1 344.393 -0.367 20 0 EBADMM CC(C)CN(C(=O)COC(=O)c1cn[n-]n1)[C@@H]1CCS(=O)(=O)C1 ZINC000805605101 583420793 /nfs/dbraw/zinc/42/07/93/583420793.db2.gz JEBJFDATVNDUQR-SNVBAGLBSA-N -1 1 344.393 -0.367 20 0 EBADMM CN(Cc1ncc(Cl)n1C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000778970886 583429851 /nfs/dbraw/zinc/42/98/51/583429851.db2.gz BEOOLJNLQVOTLL-UHFFFAOYSA-N -1 1 325.756 -0.028 20 0 EBADMM CC(C)CN1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)[C@@H](C)C1=O ZINC000779112075 583430995 /nfs/dbraw/zinc/43/09/95/583430995.db2.gz YGSFDPSRWVXNHI-LBPRGKRZSA-N -1 1 336.392 -0.358 20 0 EBADMM CN1CCN(CC(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)CC1=O ZINC000781913785 583462904 /nfs/dbraw/zinc/46/29/04/583462904.db2.gz QHDKPHHMIBPKCK-CQSZACIVSA-N -1 1 329.364 -0.821 20 0 EBADMM NC(=O)Cn1cc(C(=O)Nc2nc(Br)ccc2[O-])nn1 ZINC000783912423 583482616 /nfs/dbraw/zinc/48/26/16/583482616.db2.gz XEGLYQBZWYQWEG-UHFFFAOYSA-N -1 1 341.125 -0.121 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)C(=O)[N-]OC2CCOCC2)C1 ZINC000785415902 583497413 /nfs/dbraw/zinc/49/74/13/583497413.db2.gz RIRKNONOFSQYAJ-CQSZACIVSA-N -1 1 343.424 -0.314 20 0 EBADMM Cc1nnnn1[C@H](Cc1ccccc1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000786129806 583505663 /nfs/dbraw/zinc/50/56/63/583505663.db2.gz URCIKDKGYNNFMR-GFCCVEGCSA-N -1 1 343.347 -0.069 20 0 EBADMM Cc1nnnn1[C@@H](Cc1ccccc1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000786129810 583505802 /nfs/dbraw/zinc/50/58/02/583505802.db2.gz URCIKDKGYNNFMR-LBPRGKRZSA-N -1 1 343.347 -0.069 20 0 EBADMM CC[C@H](C)C(=O)COC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000786199632 583507015 /nfs/dbraw/zinc/50/70/15/583507015.db2.gz HJOCWAQPFGWJSX-QMMMGPOBSA-N -1 1 346.361 -0.185 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@H]2CCOC2)o1 ZINC000786196638 583507050 /nfs/dbraw/zinc/50/70/50/583507050.db2.gz HRIOZNBCZDCUGG-MRVPVSSYSA-N -1 1 332.334 -0.764 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@H]2CCCO2)o1 ZINC000786199414 583507153 /nfs/dbraw/zinc/50/71/53/583507153.db2.gz ZSRYLHYZFLOGDL-MRVPVSSYSA-N -1 1 332.334 -0.621 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)OCc2ccon2)o1 ZINC000786196624 583507168 /nfs/dbraw/zinc/50/71/68/583507168.db2.gz HKOHIKLMWFOCKO-UHFFFAOYSA-N -1 1 329.290 -0.612 20 0 EBADMM COCCO[N-]C(=O)C(=O)NC1CCN(Cc2ccncc2)CC1 ZINC000787435615 583518906 /nfs/dbraw/zinc/51/89/06/583518906.db2.gz ZYLBOLAMEGERMT-UHFFFAOYSA-N -1 1 336.392 -0.144 20 0 EBADMM CCC[C@H]([N-]S(=O)(=O)c1n[nH]c(C)c1C(=O)OCC)C(N)=O ZINC000796209357 583595101 /nfs/dbraw/zinc/59/51/01/583595101.db2.gz CYMFEMXPKVKOSF-QMMMGPOBSA-N -1 1 332.382 -0.173 20 0 EBADMM COC[C@H](C)NC(=O)NC(=O)COC(=O)c1ccc(C(=O)OC)[n-]1 ZINC000796346031 583595725 /nfs/dbraw/zinc/59/57/25/583595725.db2.gz PLTYSCFBLAPPRW-QMMMGPOBSA-N -1 1 341.320 -0.181 20 0 EBADMM CC(=O)Nc1nnc(S(=O)(=O)[N-]C(=O)[C@H](C(C)C)N(C)C)s1 ZINC000798846051 583608286 /nfs/dbraw/zinc/60/82/86/583608286.db2.gz SABIISZOXFOIRH-QMMMGPOBSA-N -1 1 349.438 -0.112 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)NC3CC(NS(C)(=O)=O)C3)ccnc1-2 ZINC000806488770 583650434 /nfs/dbraw/zinc/65/04/34/583650434.db2.gz KFUQSDZAKKCEHM-UHFFFAOYSA-N -1 1 338.393 -0.457 20 0 EBADMM CCN(CC)C(=O)CNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806864949 583654995 /nfs/dbraw/zinc/65/49/95/583654995.db2.gz KVUFRPIKSDQVRE-UHFFFAOYSA-N -1 1 332.364 -0.735 20 0 EBADMM CN(CCn1cccn1)C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806895621 583655383 /nfs/dbraw/zinc/65/53/83/583655383.db2.gz SYXAGFBLQXXQLR-UHFFFAOYSA-N -1 1 327.348 -0.365 20 0 EBADMM CSC[C@H](CCO)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806932600 583656064 /nfs/dbraw/zinc/65/60/64/583656064.db2.gz GZUMZPFAUCKWEJ-VIFPVBQESA-N -1 1 337.405 -0.490 20 0 EBADMM C[C@H](NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)C(=O)NC(C)(C)C ZINC000806930888 583656133 /nfs/dbraw/zinc/65/61/33/583656133.db2.gz LZHOGKHDUBNUMU-VIFPVBQESA-N -1 1 346.391 -0.300 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCO[C@H](C4CC4)C3)ccnc1-2 ZINC000806985188 583656459 /nfs/dbraw/zinc/65/64/59/583656459.db2.gz CKORLABHLHUYBC-ZDUSSCGKSA-N -1 1 329.360 -0.082 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N[C@H]3CCCC[C@H]3C(N)=O)ccnc1-2 ZINC000807017122 583656723 /nfs/dbraw/zinc/65/67/23/583656723.db2.gz FFTKDOJENOXJQP-KOLCDFICSA-N -1 1 344.375 -0.559 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N[C@@H]3CCCC[C@H]3C(N)=O)ccnc1-2 ZINC000807017123 583656751 /nfs/dbraw/zinc/65/67/51/583656751.db2.gz FFTKDOJENOXJQP-MWLCHTKSSA-N -1 1 344.375 -0.559 20 0 EBADMM C[C@@H](O)C[C@H]1COCCN1C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000807027717 583657067 /nfs/dbraw/zinc/65/70/67/583657067.db2.gz YNMPRRSONNUFSQ-MNOVXSKESA-N -1 1 347.375 -0.721 20 0 EBADMM C[C@@H]1[C@@H](C)N(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)CCN1C ZINC000807025714 583657071 /nfs/dbraw/zinc/65/70/71/583657071.db2.gz XXOZAGCJXQBVRI-GHMZBOCLSA-N -1 1 330.392 -0.169 20 0 EBADMM COC(=O)c1cc(NC(=O)C(=O)NN2CC(=O)[N-]C2=O)c(C)cc1C ZINC000807063464 583657663 /nfs/dbraw/zinc/65/76/63/583657663.db2.gz UYIXIIONJZKMFD-UHFFFAOYSA-N -1 1 348.315 -0.388 20 0 EBADMM O=C([N-]OC/C=C\Cl)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000812399377 583695493 /nfs/dbraw/zinc/69/54/93/583695493.db2.gz NRDJRTSGSLIUMC-ATMFLTRRSA-N -1 1 336.797 -0.178 20 0 EBADMM O=C(CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000815519508 583711069 /nfs/dbraw/zinc/71/10/69/583711069.db2.gz ZURMDCVOQPQXQL-OUAUKWLOSA-N -1 1 346.347 -0.949 20 0 EBADMM CN(C[C@H](O)Cc1ccccc1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000817220277 583726146 /nfs/dbraw/zinc/72/61/46/583726146.db2.gz CSIGIPYIGRNWTN-CQSZACIVSA-N -1 1 331.372 -0.011 20 0 EBADMM CC(C)(C)OC(=O)CCCNC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000819927973 583763691 /nfs/dbraw/zinc/76/36/91/583763691.db2.gz DVAWDYSRZIFWRP-UHFFFAOYSA-N -1 1 336.356 -0.304 20 0 EBADMM CC(C)(Oc1ccc(-c2nn[nH]n2)cc1)C(=O)NCc1nn[n-]n1 ZINC000820000958 583764735 /nfs/dbraw/zinc/76/47/35/583764735.db2.gz AMKRDLCDWDZWFU-UHFFFAOYSA-N -1 1 329.324 -0.146 20 0 EBADMM CC(C)[C@@H]1C(=O)NCCN1C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820037563 583765313 /nfs/dbraw/zinc/76/53/13/583765313.db2.gz DSZHLVGBLAFGCH-SECBINFHSA-N -1 1 333.356 -0.412 20 0 EBADMM CC(C)[C@@H]1C(=O)NCCN1C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820037563 583765314 /nfs/dbraw/zinc/76/53/14/583765314.db2.gz DSZHLVGBLAFGCH-SECBINFHSA-N -1 1 333.356 -0.412 20 0 EBADMM C[C@@H](C[C@@H]1CCOC1)Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000820233218 583768880 /nfs/dbraw/zinc/76/88/80/583768880.db2.gz JPSDELPIHPYHBD-IUCAKERBSA-N -1 1 335.368 -0.509 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nnn[n-]2)CCN1Cc1ncnn1C ZINC000820804686 583781452 /nfs/dbraw/zinc/78/14/52/583781452.db2.gz XMHPMGAMVZBOCR-SNVBAGLBSA-N -1 1 341.383 -0.504 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1Cc1ncnn1C ZINC000820804686 583781454 /nfs/dbraw/zinc/78/14/54/583781454.db2.gz XMHPMGAMVZBOCR-SNVBAGLBSA-N -1 1 341.383 -0.504 20 0 EBADMM CC[C@@H](C[C@H](C)CO)Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000820882557 583782434 /nfs/dbraw/zinc/78/24/34/583782434.db2.gz TULAQEPKNARQFA-IUCAKERBSA-N -1 1 337.384 -0.527 20 0 EBADMM CS(=O)(=O)Nc1ccccc1C(=O)OCC(=O)NCC(=O)[O-] ZINC000820894248 583782667 /nfs/dbraw/zinc/78/26/67/583782667.db2.gz JSHBJXPEKSRNJP-UHFFFAOYSA-N -1 1 330.318 -0.584 20 0 EBADMM CC[C@@H]1CN(c2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)CCS1 ZINC000820994170 583783566 /nfs/dbraw/zinc/78/35/66/583783566.db2.gz FRWWWTKGVLGVDV-MRVPVSSYSA-N -1 1 337.409 -0.404 20 0 EBADMM CCCn1cc(NC(=O)Cn2cnc(-c3nn[n-]n3)n2)ccc1=O ZINC000821149069 583785823 /nfs/dbraw/zinc/78/58/23/583785823.db2.gz RQAYNLHITWYPFZ-UHFFFAOYSA-N -1 1 329.324 -0.331 20 0 EBADMM NC(=O)c1n[nH]c2ccc(NC(=O)C(=O)NCCCCC(=O)[O-])cc21 ZINC000821357621 583789743 /nfs/dbraw/zinc/78/97/43/583789743.db2.gz YIAHBCFYIQCTSQ-UHFFFAOYSA-N -1 1 347.331 -0.029 20 0 EBADMM CCc1nc([C@H]2CN(c3nccnc3-c3nn[nH]n3)CCO2)n[nH]1 ZINC000821504451 583791909 /nfs/dbraw/zinc/79/19/09/583791909.db2.gz DQRXTQHTYRRCBH-MRVPVSSYSA-N -1 1 328.340 -0.085 20 0 EBADMM CN(C)C(=O)[C@@H]1CCC[C@H](NC(=O)Cn2cnc(-c3nn[n-]n3)n2)C1 ZINC000821575543 583793313 /nfs/dbraw/zinc/79/33/13/583793313.db2.gz GLXMHAQWXUSLED-ZJUUUORDSA-N -1 1 347.383 -0.779 20 0 EBADMM CN(CC(=O)N1CCOCC1)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821592478 583794575 /nfs/dbraw/zinc/79/45/75/583794575.db2.gz HXRJSDRIHOABEW-UHFFFAOYSA-N -1 1 336.377 -0.141 20 0 EBADMM CN(CC(=O)N1CCOCC1)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821592478 583794577 /nfs/dbraw/zinc/79/45/77/583794577.db2.gz HXRJSDRIHOABEW-UHFFFAOYSA-N -1 1 336.377 -0.141 20 0 EBADMM CN(C[C@@H](O)CN1CCOCC1)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821595204 583794656 /nfs/dbraw/zinc/79/46/56/583794656.db2.gz PDDMXIATYWEHSI-GFCCVEGCSA-N -1 1 347.379 -0.973 20 0 EBADMM CN(CCOCC1CC1)c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000821603524 583795161 /nfs/dbraw/zinc/79/51/61/583795161.db2.gz BYUBWRXKQGHNNZ-UHFFFAOYSA-N -1 1 335.368 -0.873 20 0 EBADMM COC(=O)[C@@H](NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)C1CC1 ZINC000821645412 583798526 /nfs/dbraw/zinc/79/85/26/583798526.db2.gz ZBRBANBXJMJTLB-JTQLQIEISA-N -1 1 338.349 -0.508 20 0 EBADMM COC(=O)[C@@H](NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)C1CC1 ZINC000821645412 583798528 /nfs/dbraw/zinc/79/85/28/583798528.db2.gz ZBRBANBXJMJTLB-JTQLQIEISA-N -1 1 338.349 -0.508 20 0 EBADMM CO[C@@]1(C)C[C@H](Nc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)C1(C)C ZINC000821677986 583800355 /nfs/dbraw/zinc/80/03/55/583800355.db2.gz HWUFZQICWSDMCK-AYVTZFPOSA-N -1 1 349.395 -0.120 20 0 EBADMM COC1CCC(CNc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)CC1 ZINC000821679864 583800402 /nfs/dbraw/zinc/80/04/02/583800402.db2.gz PGWWLVMCRIVSNF-UHFFFAOYSA-N -1 1 349.395 -0.119 20 0 EBADMM COC(=O)[C@@H](C)NC(=O)c1cc(-c2nn[n-]n2)c(SC)[nH]c1=O ZINC000821665957 583800484 /nfs/dbraw/zinc/80/04/84/583800484.db2.gz NDHACUBKRACLNW-RXMQYKEDSA-N -1 1 338.349 -0.020 20 0 EBADMM COc1cc(CCNC(=O)Cn2cnc(-c3nn[n-]n3)n2)ccc1O ZINC000821713010 583802645 /nfs/dbraw/zinc/80/26/45/583802645.db2.gz PXJBDPGDWAJXCZ-UHFFFAOYSA-N -1 1 344.335 -0.469 20 0 EBADMM CS(=O)(=O)N[C@H]1CCN(C(=O)c2ccc(-c3nnn[n-]3)s2)C1 ZINC000821932756 583806327 /nfs/dbraw/zinc/80/63/27/583806327.db2.gz QTHCBSXTIVCSRM-ZETCQYMHSA-N -1 1 342.406 -0.308 20 0 EBADMM CS(=O)(=O)N[C@H]1CCN(C(=O)c2ccc(-c3nn[n-]n3)s2)C1 ZINC000821932756 583806329 /nfs/dbraw/zinc/80/63/29/583806329.db2.gz QTHCBSXTIVCSRM-ZETCQYMHSA-N -1 1 342.406 -0.308 20 0 EBADMM CS(=O)(=O)NCCC(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000821937794 583806398 /nfs/dbraw/zinc/80/63/98/583806398.db2.gz QXHNBMZFTSDGAW-UHFFFAOYSA-N -1 1 326.338 -0.756 20 0 EBADMM CS(=O)(=O)NCCC(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000821937794 583806399 /nfs/dbraw/zinc/80/63/99/583806399.db2.gz QXHNBMZFTSDGAW-UHFFFAOYSA-N -1 1 326.338 -0.756 20 0 EBADMM Cc1nc2c(-c3nnn[n-]3)cnn2c(C)c1CCC(=O)N[C@@H](C)CO ZINC000822458906 583811315 /nfs/dbraw/zinc/81/13/15/583811315.db2.gz ILZYXWXSVCKOQC-QMMMGPOBSA-N -1 1 344.379 -0.044 20 0 EBADMM Cc1nc2c(-c3nn[n-]n3)cnn2c(C)c1CCC(=O)N[C@@H](C)CO ZINC000822458906 583811316 /nfs/dbraw/zinc/81/13/16/583811316.db2.gz ILZYXWXSVCKOQC-QMMMGPOBSA-N -1 1 344.379 -0.044 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N2CCSC(C)(C)C2)n(C)c1=O ZINC000822600382 583813404 /nfs/dbraw/zinc/81/34/04/583813404.db2.gz MUXFJZGBXFNFQQ-UHFFFAOYSA-N -1 1 337.409 -0.404 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NC[C@H]2CCC[C@@H](O)C2)n(C)c1=O ZINC000822599998 583813499 /nfs/dbraw/zinc/81/34/99/583813499.db2.gz IHYMNXXUGSVDIG-DTWKUNHWSA-N -1 1 335.368 -0.773 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NC[C@@H]2CCCS2(=O)=O)n1 ZINC000822614014 583814300 /nfs/dbraw/zinc/81/43/00/583814300.db2.gz IEAOQJYWXGZDJK-ZETCQYMHSA-N -1 1 340.369 -0.701 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NC[C@@H]2CCCS2(=O)=O)n1 ZINC000822614014 583814303 /nfs/dbraw/zinc/81/43/03/583814303.db2.gz IEAOQJYWXGZDJK-ZETCQYMHSA-N -1 1 340.369 -0.701 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N[C@H]2CCCC[C@@H]2C(N)=O)n1 ZINC000822614022 583814348 /nfs/dbraw/zinc/81/43/48/583814348.db2.gz IHJYTSPXWMJAND-CBAPKCEASA-N -1 1 333.356 -0.234 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N[C@H]2CCCC[C@@H]2C(N)=O)n1 ZINC000822614022 583814350 /nfs/dbraw/zinc/81/43/50/583814350.db2.gz IHJYTSPXWMJAND-CBAPKCEASA-N -1 1 333.356 -0.234 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N[C@@H]2CCCn3ncnc32)n1 ZINC000822614970 583814693 /nfs/dbraw/zinc/81/46/93/583814693.db2.gz YGKZCUJDFXBDOL-MRVPVSSYSA-N -1 1 329.328 -0.152 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N[C@@H]2CCCn3ncnc32)n1 ZINC000822614970 583814694 /nfs/dbraw/zinc/81/46/94/583814694.db2.gz YGKZCUJDFXBDOL-MRVPVSSYSA-N -1 1 329.328 -0.152 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000823063466 583823759 /nfs/dbraw/zinc/82/37/59/583823759.db2.gz TZZAMXQZUGETIN-WDEREUQCSA-N -1 1 339.377 -0.725 20 0 EBADMM O=C(CNC(=O)Cc1ccccc1)Nc1n[nH]cc1-c1nnn[n-]1 ZINC000823115051 583824220 /nfs/dbraw/zinc/82/42/20/583824220.db2.gz IJQZKCBQFQKJAK-UHFFFAOYSA-N -1 1 326.320 -0.113 20 0 EBADMM O=C(CNC(=O)Cc1ccccc1)Nc1n[nH]cc1-c1nn[n-]n1 ZINC000823115051 583824221 /nfs/dbraw/zinc/82/42/21/583824221.db2.gz IJQZKCBQFQKJAK-UHFFFAOYSA-N -1 1 326.320 -0.113 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CC[C@@H](Oc2ccccn2)C1 ZINC000823123250 583824367 /nfs/dbraw/zinc/82/43/67/583824367.db2.gz UMEXAULYLFIUTA-SNVBAGLBSA-N -1 1 341.335 -0.467 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NC[C@H](CCO)c1ccccc1 ZINC000823127006 583825279 /nfs/dbraw/zinc/82/52/79/583825279.db2.gz QOWCMJPAHYVTBA-LBPRGKRZSA-N -1 1 342.363 -0.259 20 0 EBADMM O=C(CCNC(=O)c1ccc(-c2nnn[n-]2)s1)N1CCOCC1 ZINC000823182563 583826264 /nfs/dbraw/zinc/82/62/64/583826264.db2.gz MLRSRDMVBAUIGP-UHFFFAOYSA-N -1 1 336.377 -0.093 20 0 EBADMM O=C(CCNC(=O)c1ccc(-c2nn[n-]n2)s1)N1CCOCC1 ZINC000823182563 583826266 /nfs/dbraw/zinc/82/62/66/583826266.db2.gz MLRSRDMVBAUIGP-UHFFFAOYSA-N -1 1 336.377 -0.093 20 0 EBADMM O=C(NCCS(=O)(=O)CC1CC1)c1ccc(-c2nnn[n-]2)nc1 ZINC000823219110 583826628 /nfs/dbraw/zinc/82/66/28/583826628.db2.gz GIEAQDKWKDDDQI-UHFFFAOYSA-N -1 1 336.377 -0.184 20 0 EBADMM O=C(NCCS(=O)(=O)CC1CC1)c1ccc(-c2nn[n-]n2)nc1 ZINC000823219110 583826629 /nfs/dbraw/zinc/82/66/29/583826629.db2.gz GIEAQDKWKDDDQI-UHFFFAOYSA-N -1 1 336.377 -0.184 20 0 EBADMM O=C(c1cc(-c2nn[nH]n2)cs1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000823472653 583830029 /nfs/dbraw/zinc/83/00/29/583830029.db2.gz UIJOWJBWLAGKKH-ZETCQYMHSA-N -1 1 333.337 -0.345 20 0 EBADMM O=C1NCC[C@@H]1N1CCN(c2oc(C3CC3)nc2-c2nn[n-]n2)CC1 ZINC000823547922 583832410 /nfs/dbraw/zinc/83/24/10/583832410.db2.gz QRORDIWIFXGBBR-JTQLQIEISA-N -1 1 344.379 -0.257 20 0 EBADMM C[C@H](C(N)=O)N(C)S(=O)(=O)c1ccc(F)c(-c2nn[n-]n2)c1 ZINC000824059281 583840935 /nfs/dbraw/zinc/84/09/35/583840935.db2.gz RSRWUZIYUFTCNY-ZCFIWIBFSA-N -1 1 328.329 -0.500 20 0 EBADMM CC(C)C(=O)NCCN(C)C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000824184560 583842244 /nfs/dbraw/zinc/84/22/44/583842244.db2.gz HLWZTAVLLQWWJK-UHFFFAOYSA-N -1 1 335.372 -0.164 20 0 EBADMM CC(C)C(=O)NCCN(C)C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000824184560 583842245 /nfs/dbraw/zinc/84/22/45/583842245.db2.gz HLWZTAVLLQWWJK-UHFFFAOYSA-N -1 1 335.372 -0.164 20 0 EBADMM C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000824677265 583848576 /nfs/dbraw/zinc/84/85/76/583848576.db2.gz CIKWXAYCDDGJFF-UFBFGSQYSA-N -1 1 346.347 -0.420 20 0 EBADMM C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000824677265 583848577 /nfs/dbraw/zinc/84/85/77/583848577.db2.gz CIKWXAYCDDGJFF-UFBFGSQYSA-N -1 1 346.347 -0.420 20 0 EBADMM C[C@H]1[C@H](C)N(S(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)CCN1C ZINC000824857745 583851304 /nfs/dbraw/zinc/85/13/04/583851304.db2.gz FFOFFEDVFDXTRF-UWVGGRQHSA-N -1 1 337.409 -0.025 20 0 EBADMM C[C@H]1[C@H](C)N(S(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)CCN1C ZINC000824857745 583851306 /nfs/dbraw/zinc/85/13/06/583851306.db2.gz FFOFFEDVFDXTRF-UWVGGRQHSA-N -1 1 337.409 -0.025 20 0 EBADMM C[C@H]1CN(c2nccnc2-c2nnn[n-]2)CCN1C(=O)c1nc[nH]n1 ZINC000825001324 583853776 /nfs/dbraw/zinc/85/37/76/583853776.db2.gz ZCRMFFVQWZICSW-QMMMGPOBSA-N -1 1 341.339 -0.874 20 0 EBADMM C[C@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1C(=O)c1nc[nH]n1 ZINC000825001324 583853777 /nfs/dbraw/zinc/85/37/77/583853777.db2.gz ZCRMFFVQWZICSW-QMMMGPOBSA-N -1 1 341.339 -0.874 20 0 EBADMM C[C@H]1CN(S(C)(=O)=O)CC[C@H]1Nc1ccc(-c2nnn[n-]2)nn1 ZINC000824992084 583853814 /nfs/dbraw/zinc/85/38/14/583853814.db2.gz JRWCKNLTZCSSJJ-DTWKUNHWSA-N -1 1 338.397 -0.261 20 0 EBADMM C[C@H]1CN(S(C)(=O)=O)CC[C@H]1Nc1ccc(-c2nn[n-]n2)nn1 ZINC000824992084 583853815 /nfs/dbraw/zinc/85/38/15/583853815.db2.gz JRWCKNLTZCSSJJ-DTWKUNHWSA-N -1 1 338.397 -0.261 20 0 EBADMM CCN(Cc1cn(C)nn1)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000825439645 583860673 /nfs/dbraw/zinc/86/06/73/583860673.db2.gz IYSHSQFONKMLOU-UHFFFAOYSA-N -1 1 349.380 -0.399 20 0 EBADMM CCN(Cc1cn(C)nn1)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000825439645 583860675 /nfs/dbraw/zinc/86/06/75/583860675.db2.gz IYSHSQFONKMLOU-UHFFFAOYSA-N -1 1 349.380 -0.399 20 0 EBADMM CCNC(=O)[C@@H](C)NS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000825464541 583861451 /nfs/dbraw/zinc/86/14/51/583861451.db2.gz DJAPKEKEQWXWQE-SSDOTTSWSA-N -1 1 342.356 -0.191 20 0 EBADMM CCNC(=O)[C@@H](C)NS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000825464541 583861452 /nfs/dbraw/zinc/86/14/52/583861452.db2.gz DJAPKEKEQWXWQE-SSDOTTSWSA-N -1 1 342.356 -0.191 20 0 EBADMM CCOC(=O)C[N-]S(=O)(=O)Cc1cc(-c2nn[nH]n2)ccc1F ZINC000825518225 583862692 /nfs/dbraw/zinc/86/26/92/583862692.db2.gz VMZZWNBNXMPCRN-UHFFFAOYSA-N -1 1 343.340 -0.012 20 0 EBADMM CCOc1cccc(Cn2c(=O)c(-c3nn[n-]n3)cn(C)c2=O)n1 ZINC000825649715 583864766 /nfs/dbraw/zinc/86/47/66/583864766.db2.gz VXDUPTRAZCBQCZ-UHFFFAOYSA-N -1 1 329.320 -0.431 20 0 EBADMM CCc1nnc(Cn2c(=O)c(-c3nn[n-]n3)cn(CC)c2=O)s1 ZINC000825767054 583866692 /nfs/dbraw/zinc/86/66/92/583866692.db2.gz GTYXXVZWVQZGRG-UHFFFAOYSA-N -1 1 334.365 -0.328 20 0 EBADMM CCn1cc(-c2nn[n-]n2)c(=O)n(Cc2cc(OC)cc(C)n2)c1=O ZINC000825797117 583866961 /nfs/dbraw/zinc/86/69/61/583866961.db2.gz UTZRTXAFIRXRSH-UHFFFAOYSA-N -1 1 343.347 -0.030 20 0 EBADMM CCn1cc(-c2nn[n-]n2)c(=O)n(Cc2ccc(CO)cc2)c1=O ZINC000825799305 583866994 /nfs/dbraw/zinc/86/69/94/583866994.db2.gz IPGVKETYURKDAB-UHFFFAOYSA-N -1 1 328.332 -0.249 20 0 EBADMM CC(=O)N1CSC[C@H]1C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000825847630 583868392 /nfs/dbraw/zinc/86/83/92/583868392.db2.gz VSGUFQHAHPGQBX-JQWIXIFHSA-N -1 1 329.422 -0.085 20 0 EBADMM CN([C@H]1CCNC1=O)S(=O)(=O)c1ccc(F)c(-c2nn[n-]n2)c1 ZINC000825887977 583869930 /nfs/dbraw/zinc/86/99/30/583869930.db2.gz MTHZDYAZRIWDJZ-JTQLQIEISA-N -1 1 340.340 -0.485 20 0 EBADMM CC1CCN(CC(=O)N(C)CC(=O)N2CCN(C(=O)[O-])CC2)CC1 ZINC000825945338 583871900 /nfs/dbraw/zinc/87/19/00/583871900.db2.gz VQBVXTWQKAEVNI-UHFFFAOYSA-N -1 1 340.424 -0.001 20 0 EBADMM CN1CC(=O)N(CC(=O)OCc2ccnc(-c3nnn[n-]3)c2)C1=O ZINC000826016412 583873767 /nfs/dbraw/zinc/87/37/67/583873767.db2.gz DFBYDCFMOOKBAO-UHFFFAOYSA-N -1 1 331.292 -0.801 20 0 EBADMM CN1CC(=O)N(CC(=O)OCc2ccnc(-c3nn[n-]n3)c2)C1=O ZINC000826016412 583873768 /nfs/dbraw/zinc/87/37/68/583873768.db2.gz DFBYDCFMOOKBAO-UHFFFAOYSA-N -1 1 331.292 -0.801 20 0 EBADMM CNC(=O)[C@@H](C)NS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000826051713 583875353 /nfs/dbraw/zinc/87/53/53/583875353.db2.gz MIFGTUINGVBGSC-ZCFIWIBFSA-N -1 1 328.329 -0.581 20 0 EBADMM CNC(=O)[C@@H](C)NS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000826051713 583875355 /nfs/dbraw/zinc/87/53/55/583875355.db2.gz MIFGTUINGVBGSC-ZCFIWIBFSA-N -1 1 328.329 -0.581 20 0 EBADMM C[C@@H]1CN(CCCNC(=O)[C@@H]2CN(C(=O)[O-])CCO2)C[C@H](C)O1 ZINC000826056392 583875848 /nfs/dbraw/zinc/87/58/48/583875848.db2.gz CDAZTRIBKKRXJI-XQQFMLRXSA-N -1 1 329.397 -0.019 20 0 EBADMM COC(=O)[C@H]1CCCCCN1C(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000826133236 583881811 /nfs/dbraw/zinc/88/18/11/583881811.db2.gz KTNFCQMVLLWKPJ-SECBINFHSA-N -1 1 334.340 -0.598 20 0 EBADMM COCCN1CCN(C(=O)Cc2noc(C)c2-c2nnn[n-]2)CC1=O ZINC000826159451 583885816 /nfs/dbraw/zinc/88/58/16/583885816.db2.gz GKAYZSLDNTVDDN-UHFFFAOYSA-N -1 1 349.351 -0.977 20 0 EBADMM COCCN1CCN(C(=O)Cc2noc(C)c2-c2nn[n-]n2)CC1=O ZINC000826159451 583885817 /nfs/dbraw/zinc/88/58/17/583885817.db2.gz GKAYZSLDNTVDDN-UHFFFAOYSA-N -1 1 349.351 -0.977 20 0 EBADMM COc1ccccc1[C@H](CO)NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000826218628 583888205 /nfs/dbraw/zinc/88/82/05/583888205.db2.gz HPZQMBQLYQYTCM-JTQLQIEISA-N -1 1 344.335 -0.683 20 0 EBADMM CS[C@H](CO)[C@@H](C)NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000826226205 583888814 /nfs/dbraw/zinc/88/88/14/583888814.db2.gz URKDOJFMAUXERD-HZGVNTEJSA-N -1 1 326.382 -0.066 20 0 EBADMM CS[C@H](CO)[C@@H](C)NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000826226205 583888816 /nfs/dbraw/zinc/88/88/16/583888816.db2.gz URKDOJFMAUXERD-HZGVNTEJSA-N -1 1 326.382 -0.066 20 0 EBADMM Cc1cnccc1CCNc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000826319430 583891401 /nfs/dbraw/zinc/89/14/01/583891401.db2.gz CIQBLXCAEUJVPV-UHFFFAOYSA-N -1 1 342.363 -0.378 20 0 EBADMM Cc1onc(CC(=O)N(C2CC2)[C@H]2CC(=O)NC2=O)c1-c1nnn[n-]1 ZINC000826343129 583892144 /nfs/dbraw/zinc/89/21/44/583892144.db2.gz NFQWHPRKYFKTKH-VIFPVBQESA-N -1 1 345.319 -0.888 20 0 EBADMM Cc1onc(CC(=O)N(C2CC2)[C@H]2CC(=O)NC2=O)c1-c1nn[n-]n1 ZINC000826343129 583892146 /nfs/dbraw/zinc/89/21/46/583892146.db2.gz NFQWHPRKYFKTKH-VIFPVBQESA-N -1 1 345.319 -0.888 20 0 EBADMM Cc1onc(CC(=O)N2CC(=O)N[C@@H]3CCCC[C@H]32)c1-c1nnn[n-]1 ZINC000826343671 583892161 /nfs/dbraw/zinc/89/21/61/583892161.db2.gz JQGPRFLPYNSHFI-MWLCHTKSSA-N -1 1 345.363 -0.025 20 0 EBADMM Cc1onc(CC(=O)N2CC(=O)N[C@@H]3CCCC[C@H]32)c1-c1nn[n-]n1 ZINC000826343671 583892163 /nfs/dbraw/zinc/89/21/63/583892163.db2.gz JQGPRFLPYNSHFI-MWLCHTKSSA-N -1 1 345.363 -0.025 20 0 EBADMM Cc1onc(CC(=O)N2CCC[C@@H]2C(=O)NC2CC2)c1-c1nnn[n-]1 ZINC000826344773 583892647 /nfs/dbraw/zinc/89/26/47/583892647.db2.gz RKWHCJIAKDVNAQ-LLVKDONJSA-N -1 1 345.363 -0.025 20 0 EBADMM Cc1onc(CC(=O)N2CCC[C@@H]2C(=O)NC2CC2)c1-c1nn[n-]n1 ZINC000826344773 583892648 /nfs/dbraw/zinc/89/26/48/583892648.db2.gz RKWHCJIAKDVNAQ-LLVKDONJSA-N -1 1 345.363 -0.025 20 0 EBADMM Cc1onc(CC(=O)NCCN2CCOC(C)(C)C2)c1-c1nn[n-]n1 ZINC000826347014 583892856 /nfs/dbraw/zinc/89/28/56/583892856.db2.gz BFVGHDWISODAQE-UHFFFAOYSA-N -1 1 349.395 -0.067 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N2CCC[C@H]2C(C)(C)O)n(C)c1=O ZINC000826355758 583893351 /nfs/dbraw/zinc/89/33/51/583893351.db2.gz MFEPGBAGYHJPFE-QMMMGPOBSA-N -1 1 335.368 -0.996 20 0 EBADMM Cc1onc(CC(=O)NCc2ccnc(N(C)C)n2)c1-c1nnn[n-]1 ZINC000826347716 583893491 /nfs/dbraw/zinc/89/34/91/583893491.db2.gz BHCMQXMYSSQITK-UHFFFAOYSA-N -1 1 343.351 -0.122 20 0 EBADMM Cc1onc(CC(=O)NCc2ccnc(N(C)C)n2)c1-c1nn[n-]n1 ZINC000826347716 583893492 /nfs/dbraw/zinc/89/34/92/583893492.db2.gz BHCMQXMYSSQITK-UHFFFAOYSA-N -1 1 343.351 -0.122 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(=O)n(Cc2nnsc2Cl)c1=O ZINC000826357103 583893697 /nfs/dbraw/zinc/89/36/97/583893697.db2.gz RCQBRVWSJDJKJA-UHFFFAOYSA-N -1 1 326.729 -0.720 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(=O)n(CCc2ccc3c(c2)CCO3)c1=O ZINC000826357224 583893846 /nfs/dbraw/zinc/89/38/46/583893846.db2.gz WLEJSQWSOKYZJI-UHFFFAOYSA-N -1 1 340.343 -0.095 20 0 EBADMM Cn1cc(CN2CCN(c3ccc(-c4nn[n-]n4)nn3)CC2)cn1 ZINC000826360910 583894522 /nfs/dbraw/zinc/89/45/22/583894522.db2.gz CMYNWEBPZKHAAH-UHFFFAOYSA-N -1 1 326.368 -0.288 20 0 EBADMM NC(=O)CCNS(=O)(=O)c1cccc(Cl)c1-c1nnn[n-]1 ZINC000826391497 583896852 /nfs/dbraw/zinc/89/68/52/583896852.db2.gz NSPPRCCBWIDSSM-UHFFFAOYSA-N -1 1 330.757 -0.326 20 0 EBADMM NC(=O)CCNS(=O)(=O)c1cccc(Cl)c1-c1nn[n-]n1 ZINC000826391497 583896853 /nfs/dbraw/zinc/89/68/53/583896853.db2.gz NSPPRCCBWIDSSM-UHFFFAOYSA-N -1 1 330.757 -0.326 20 0 EBADMM O=C([C@@H]1CCCO1)N1CCN(c2ccc(-c3nnn[n-]3)nn2)CC1 ZINC000826399286 583899052 /nfs/dbraw/zinc/89/90/52/583899052.db2.gz RWOFKRGGHRBRMJ-NSHDSACASA-N -1 1 330.352 -0.516 20 0 EBADMM O=C([C@@H]1CCCO1)N1CCN(c2ccc(-c3nn[n-]n3)nn2)CC1 ZINC000826399286 583899055 /nfs/dbraw/zinc/89/90/55/583899055.db2.gz RWOFKRGGHRBRMJ-NSHDSACASA-N -1 1 330.352 -0.516 20 0 EBADMM O=C(CO)N1CCN(c2oc(-c3ccco3)nc2-c2nn[n-]n2)CC1 ZINC000826415266 583900536 /nfs/dbraw/zinc/90/05/36/583900536.db2.gz YNKJXGPTYOCDCF-UHFFFAOYSA-N -1 1 345.319 -0.244 20 0 EBADMM O=C(Cn1ccnc1-c1nnn[n-]1)N1CCN(C(=O)C2CC2)CC1 ZINC000826425136 583900884 /nfs/dbraw/zinc/90/08/84/583900884.db2.gz RBBAQYMVBKGVGS-UHFFFAOYSA-N -1 1 330.352 -0.856 20 0 EBADMM O=C(Cn1ccnc1-c1nn[n-]n1)N1CCN(C(=O)C2CC2)CC1 ZINC000826425136 583900887 /nfs/dbraw/zinc/90/08/87/583900887.db2.gz RBBAQYMVBKGVGS-UHFFFAOYSA-N -1 1 330.352 -0.856 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)Nc1ccc(OCCO)cc1 ZINC000826425881 583901065 /nfs/dbraw/zinc/90/10/65/583901065.db2.gz YEDGZTKKOWEZQT-UHFFFAOYSA-N -1 1 330.308 -0.532 20 0 EBADMM O=C(NC[C@@H](O)CN1CCOCC1)c1ccc(-c2nn[n-]n2)s1 ZINC000826432652 583901435 /nfs/dbraw/zinc/90/14/35/583901435.db2.gz HJEOWVUWBLSULG-SECBINFHSA-N -1 1 338.393 -0.649 20 0 EBADMM O=C1CN(CCNc2snc(Cl)c2-c2nnn[n-]2)CCN1 ZINC000826481414 583903774 /nfs/dbraw/zinc/90/37/74/583903774.db2.gz SWZOGRFNKWJHOC-UHFFFAOYSA-N -1 1 328.789 -0.180 20 0 EBADMM O=C1CN(CCNc2snc(Cl)c2-c2nn[n-]n2)CCN1 ZINC000826481414 583903778 /nfs/dbraw/zinc/90/37/78/583903778.db2.gz SWZOGRFNKWJHOC-UHFFFAOYSA-N -1 1 328.789 -0.180 20 0 EBADMM O=C1NC(=O)[C@]2(CCC[C@H]2CNc2ccc(-c3nnn[n-]3)nn2)N1 ZINC000826482037 583904242 /nfs/dbraw/zinc/90/42/42/583904242.db2.gz PVXQYRQCWAZFMW-WPPNPWJKSA-N -1 1 329.324 -0.553 20 0 EBADMM O=C1NC(=O)[C@]2(CCC[C@H]2CNc2ccc(-c3nn[n-]n3)nn2)N1 ZINC000826482037 583904244 /nfs/dbraw/zinc/90/42/44/583904244.db2.gz PVXQYRQCWAZFMW-WPPNPWJKSA-N -1 1 329.324 -0.553 20 0 EBADMM O=S(=O)(NC[C@@H](O)c1ccco1)c1ccc(-c2nnn[n-]2)nc1 ZINC000826489111 583905056 /nfs/dbraw/zinc/90/50/56/583905056.db2.gz IATYGHAZWRHRKP-SNVBAGLBSA-N -1 1 336.333 -0.133 20 0 EBADMM O=S(=O)(NC[C@@H](O)c1ccco1)c1ccc(-c2nn[n-]n2)nc1 ZINC000826489111 583905058 /nfs/dbraw/zinc/90/50/58/583905058.db2.gz IATYGHAZWRHRKP-SNVBAGLBSA-N -1 1 336.333 -0.133 20 0 EBADMM O=S(=O)(NCCOCCO)c1cccc(Cl)c1-c1nnn[n-]1 ZINC000826491441 583905413 /nfs/dbraw/zinc/90/54/13/583905413.db2.gz JJPIJGJWCPQBSX-UHFFFAOYSA-N -1 1 347.784 -0.193 20 0 EBADMM O=S(=O)(NCCOCCO)c1cccc(Cl)c1-c1nn[n-]n1 ZINC000826491441 583905414 /nfs/dbraw/zinc/90/54/14/583905414.db2.gz JJPIJGJWCPQBSX-UHFFFAOYSA-N -1 1 347.784 -0.193 20 0 EBADMM O=S(=O)(c1ccc(F)cc1-c1nn[n-]n1)N1CCO[C@H](CO)C1 ZINC000826495376 583906045 /nfs/dbraw/zinc/90/60/45/583906045.db2.gz NKGQPVIQIGYTPJ-VIFPVBQESA-N -1 1 343.340 -0.612 20 0 EBADMM CC[C@@H]1CN(C(=O)COC2CN(C(=O)[O-])C2)CCN1C[C@H](C)O ZINC000826683946 583909622 /nfs/dbraw/zinc/90/96/22/583909622.db2.gz ZAFNTTAODXCAIX-NWDGAFQWSA-N -1 1 329.397 -0.331 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)CN2C(=O)NC(C)(C)C2=O)CC1 ZINC000827362634 583919901 /nfs/dbraw/zinc/91/99/01/583919901.db2.gz RDLUFACWILQLGP-JTQLQIEISA-N -1 1 340.380 -0.676 20 0 EBADMM C[C@H](CN1CCN(C)CC1)NC(=O)N1CCO[C@H](CC(=O)[O-])C1 ZINC000827460897 583921347 /nfs/dbraw/zinc/92/13/47/583921347.db2.gz FUCKOJRTMHFZFZ-CHWSQXEVSA-N -1 1 328.413 -0.493 20 0 EBADMM C[C@@H](CN1CCN(C)CC1)NS(=O)(=O)CC1(CC(=O)[O-])CC1 ZINC000827460976 583921545 /nfs/dbraw/zinc/92/15/45/583921545.db2.gz IYJUKPGMEPMYFH-LBPRGKRZSA-N -1 1 333.454 -0.203 20 0 EBADMM CC[C@H](NC(=O)[O-])C(=O)N1CCO[C@@H](CN2CCN(C)CC2)C1 ZINC000827517992 583922780 /nfs/dbraw/zinc/92/27/80/583922780.db2.gz GFYOXMVOIZIGCD-STQMWFEESA-N -1 1 328.413 -0.493 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)Cn2cc([C@H](C)O)nn2)CC1 ZINC000827804059 583927981 /nfs/dbraw/zinc/92/79/81/583927981.db2.gz RHRIQMAPAVCNEC-MNOVXSKESA-N -1 1 325.369 -0.661 20 0 EBADMM CN(C)CC(=O)N1CCN(C(=O)[C@@]2(C)CCN(C(=O)[O-])C2)CC1 ZINC000828008179 583931280 /nfs/dbraw/zinc/93/12/80/583931280.db2.gz DWXRGPNSXRMIKZ-HNNXBMFYSA-N -1 1 326.397 -0.391 20 0 EBADMM CN(C)CCCN(C(=O)CN(C)C(=O)[O-])[C@@H]1CCS(=O)(=O)C1 ZINC000828024965 583931882 /nfs/dbraw/zinc/93/18/82/583931882.db2.gz YVLWLYNDPCPQMF-LLVKDONJSA-N -1 1 335.426 -0.436 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@H]1CCCOC1)c1ccnn1C ZINC000828127061 583933974 /nfs/dbraw/zinc/93/39/74/583933974.db2.gz AIJGEDDULJTICM-CMPLNLGQSA-N -1 1 331.394 -0.020 20 0 EBADMM C[C@H]1CN(C2CC2)C[C@@H]1NS(=O)(=O)c1cnn(CC(=O)[O-])c1 ZINC000828432764 583938930 /nfs/dbraw/zinc/93/89/30/583938930.db2.gz OIQCAUZXQNOYAD-CABZTGNLSA-N -1 1 328.394 -0.271 20 0 EBADMM C[C@@H]1CN(CC(=O)Nc2cccc(S(N)(=O)=O)c2)C[C@H]1C(=O)[O-] ZINC000828441999 583939150 /nfs/dbraw/zinc/93/91/50/583939150.db2.gz WEGDUQKZGXMZPE-BXKDBHETSA-N -1 1 341.389 -0.075 20 0 EBADMM C[C@@H]1CN(CN2C(=O)C[C@@H](Cc3cnn(C)c3)C2=O)C[C@H]1C(=O)[O-] ZINC000828448772 583939536 /nfs/dbraw/zinc/93/95/36/583939536.db2.gz GRQNVGKUBKNFJM-RAIGVLPGSA-N -1 1 334.376 -0.052 20 0 EBADMM CCCn1c(=O)[nH]nc1S(=O)(=O)CCc1cn(CC(=O)[O-])nn1 ZINC000829579635 583954788 /nfs/dbraw/zinc/95/47/88/583954788.db2.gz JWOJZYTUXGETBU-UHFFFAOYSA-N -1 1 344.353 -0.914 20 0 EBADMM O=C([N-]CCCN1CCO[C@]2(CCS(=O)(=O)C2)C1)C(F)(F)F ZINC000830014340 583961966 /nfs/dbraw/zinc/96/19/66/583961966.db2.gz XFGBMPBGGKUZKX-LLVKDONJSA-N -1 1 344.355 -0.056 20 0 EBADMM CN(C)C(=O)CN1CCN(C(=O)CN[C@H](C(=O)[O-])C(C)(C)C)CC1 ZINC000830552192 583967951 /nfs/dbraw/zinc/96/79/51/583967951.db2.gz ZWBKQHSVXAARQS-CQSZACIVSA-N -1 1 342.440 -0.692 20 0 EBADMM Cc1nnc([C@H]2CN(C(=O)[C@H]3COCCN3C(=O)[O-])CCO2)[nH]1 ZINC000830678318 583969470 /nfs/dbraw/zinc/96/94/70/583969470.db2.gz ODMYPEFNUQGYOB-NXEZZACHSA-N -1 1 325.325 -0.608 20 0 EBADMM Cn1ccnc1-c1cc(NC(=O)CN2CCN(C(=O)[O-])CC2=O)[nH]n1 ZINC000831031706 583975183 /nfs/dbraw/zinc/97/51/83/583975183.db2.gz UGQRZAGRQPGZBQ-UHFFFAOYSA-N -1 1 347.335 -0.429 20 0 EBADMM CC(=O)c1cn(CC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)nn1 ZINC000831122133 583976785 /nfs/dbraw/zinc/97/67/85/583976785.db2.gz WSXCNDICGZBFEU-UHFFFAOYSA-N -1 1 333.270 -0.382 20 0 EBADMM O=C(CN1CCCCC(=O)C1=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831162609 583977513 /nfs/dbraw/zinc/97/75/13/583977513.db2.gz SFDNERHBZQCTET-UHFFFAOYSA-N -1 1 349.309 -0.295 20 0 EBADMM COCC(=O)N[C@H](C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1)C(C)C ZINC000831541458 583984417 /nfs/dbraw/zinc/98/44/17/583984417.db2.gz WHIJESWXRCPCGQ-DOMZBBRYSA-N -1 1 343.424 -0.219 20 0 EBADMM COC[C@](C)(CC(=O)[O-])NC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000831549433 583984480 /nfs/dbraw/zinc/98/44/80/583984480.db2.gz ZYFBIWITJSASER-AWEZNQCLSA-N -1 1 336.352 -0.215 20 0 EBADMM O=C([O-])N1CCO[C@@H](C(=O)N[C@H](c2nn[nH]n2)c2ccccc2)C1 ZINC000831574172 583986247 /nfs/dbraw/zinc/98/62/47/583986247.db2.gz RPWYIOOQZLGRJU-MNOVXSKESA-N -1 1 332.320 -0.216 20 0 EBADMM O=C([O-])N1CCC(n2cc(C(=O)NCCc3nc[nH]n3)nn2)CC1 ZINC000831708016 583990383 /nfs/dbraw/zinc/99/03/83/583990383.db2.gz CQLORWOAXPFGBJ-UHFFFAOYSA-N -1 1 334.340 -0.316 20 0 EBADMM Cc1nnc([C@H]2CN(S(=O)(=O)N(C)CCC(=O)[O-])CCO2)[nH]1 ZINC000832990571 584002753 /nfs/dbraw/zinc/00/27/53/584002753.db2.gz CONJJGJSEMWYHL-SECBINFHSA-N -1 1 333.370 -0.862 20 0 EBADMM NC(=O)N1CCCN(CCCN2C(=O)CS/C2=C\C(=O)[O-])CC1 ZINC000833083550 584005053 /nfs/dbraw/zinc/00/50/53/584005053.db2.gz DEUOKNSPLIZXDJ-XFXZXTDPSA-N -1 1 342.421 -0.036 20 0 EBADMM CC(C)(C)[C@H](NS(C)(=O)=O)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000833427925 584010448 /nfs/dbraw/zinc/01/04/48/584010448.db2.gz YDBCTMWNQSWIDZ-VHSXEESVSA-N -1 1 346.409 -0.515 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)Nc2cc(C(=O)[O-])n(C)c2)C1 ZINC000833725112 584015451 /nfs/dbraw/zinc/01/54/51/584015451.db2.gz ZYUORARGRSCEDK-JTQLQIEISA-N -1 1 338.364 -0.501 20 0 EBADMM COCCN(CCNC(=O)NC[C@H]1CN(C)CCN1C)C(=O)[O-] ZINC000833885444 584019011 /nfs/dbraw/zinc/01/90/11/584019011.db2.gz FTOIZWYPVPZJDD-LBPRGKRZSA-N -1 1 331.417 -0.842 20 0 EBADMM O=C([O-])N1CC(CNC(=O)N2CCN(C[C@@H]3CCOC3)CC2)C1 ZINC000834068614 584025656 /nfs/dbraw/zinc/02/56/56/584025656.db2.gz XEXVPEUKCVVPOL-LBPRGKRZSA-N -1 1 326.397 -0.040 20 0 EBADMM O=C([O-])N1CC[C@H](C(=O)N2CCC(O)(CN3CCOCC3)CC2)C1 ZINC000834070572 584025916 /nfs/dbraw/zinc/02/59/16/584025916.db2.gz CVRZOFQIFCNEOU-ZDUSSCGKSA-N -1 1 341.408 -0.328 20 0 EBADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)CCc2cnn(C)n2)CCC1 ZINC000843014512 584069610 /nfs/dbraw/zinc/06/96/10/584069610.db2.gz NAGAPUXOEMGHHF-UHFFFAOYSA-N -1 1 329.426 -0.322 20 0 EBADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@H]1CCCCN1CCO ZINC000867326758 584078054 /nfs/dbraw/zinc/07/80/54/584078054.db2.gz IAINUVRUOOWRGX-NSPYISDASA-N -1 1 327.472 -0.215 20 0 EBADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CCN1CCC(O)CC1 ZINC000867359582 584094525 /nfs/dbraw/zinc/09/45/25/584094525.db2.gz ACERLUXPBYJAJO-UHFFFAOYSA-N -1 1 327.472 -0.215 20 0 EBADMM Cn1cc(C[C@H](CO)[N-]S(=O)(=O)c2ccc(F)nc2F)cn1 ZINC000867418209 584125354 /nfs/dbraw/zinc/12/53/54/584125354.db2.gz FFZGTEGZFXXOMW-SECBINFHSA-N -1 1 332.332 -0.025 20 0 EBADMM CCO[N-]C(=O)CNC(=O)[C@H](Cc1cnc[nH]1)NC(=O)CC(C)C ZINC000846492059 584126789 /nfs/dbraw/zinc/12/67/89/584126789.db2.gz VNMNYNMYSIVGJW-LBPRGKRZSA-N -1 1 339.396 -0.333 20 0 EBADMM CCOC(=O)c1c[n-]c(NC(=O)CN2CCS(=O)(=O)CC2)n1 ZINC000846649842 584129358 /nfs/dbraw/zinc/12/93/58/584129358.db2.gz AUOZRLHXYZZQIN-UHFFFAOYSA-N -1 1 330.366 -0.745 20 0 EBADMM CC1=C(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)Cn2nnnc2N1C ZINC000847080951 584134914 /nfs/dbraw/zinc/13/49/14/584134914.db2.gz PUJYTVPAOPDRJT-SECBINFHSA-N -1 1 346.351 -0.108 20 0 EBADMM CC1=C(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)[C@@H](C)n2nnnc2N1C ZINC000847473580 584140160 /nfs/dbraw/zinc/14/01/60/584140160.db2.gz ZXOMDQZSRQWLMV-RKDXNWHRSA-N -1 1 346.355 -0.929 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H]2COC[C@H]2n2ccnn2)o1 ZINC000847987791 584148392 /nfs/dbraw/zinc/14/83/92/584148392.db2.gz VGSQRORZARWYMA-PSASIEDQSA-N -1 1 342.333 -0.424 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC(=O)C2(C(=O)OC)CC2)co1 ZINC000848735302 584161206 /nfs/dbraw/zinc/16/12/06/584161206.db2.gz MUFCJRVPOMDCBP-UHFFFAOYSA-N -1 1 345.329 -0.133 20 0 EBADMM CCNS(=O)(=O)N1CCC[C@@H](NC(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC000849233064 584167186 /nfs/dbraw/zinc/16/71/86/584167186.db2.gz WGDHZMZPUDWRIN-RNFRBKRXSA-N -1 1 347.315 -0.563 20 0 EBADMM O=S(=O)([N-]CC1(OCCO)CCOCC1)c1c[nH]nc1Cl ZINC000849392354 584169070 /nfs/dbraw/zinc/16/90/70/584169070.db2.gz GSODUILBEUAKEH-UHFFFAOYSA-N -1 1 339.801 -0.101 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3C[C@@H]4COC[C@@]4(C)C3)ccnc1-2 ZINC000850659628 584184489 /nfs/dbraw/zinc/18/44/89/584184489.db2.gz ZOBYZHGPWIFIRU-QLJPJBMISA-N -1 1 329.360 -0.225 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N[C@H]3C[C@@H](O)C34CCC4)ccnc1-2 ZINC000850669837 584185185 /nfs/dbraw/zinc/18/51/85/584185185.db2.gz ZABCUUSXEWIKER-NWDGAFQWSA-N -1 1 329.360 -0.300 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CC[C@@H]4COC[C@H]4C3)ccnc1-2 ZINC000850695694 584186657 /nfs/dbraw/zinc/18/66/57/584186657.db2.gz WKCUYIKDNKPUIM-GHMZBOCLSA-N -1 1 329.360 -0.225 20 0 EBADMM Cn1ncc(CN2CCC[C@H](NC(=O)C(F)(F)F)[C@H]2C(=O)[O-])n1 ZINC000852736968 584215130 /nfs/dbraw/zinc/21/51/30/584215130.db2.gz PTNISHBOILSTHC-IUCAKERBSA-N -1 1 335.286 -0.089 20 0 EBADMM O=C([O-])[C@H]1[C@@H](NC(=O)C(F)(F)F)CCCN1C(=O)Cn1cncn1 ZINC000852956047 584222072 /nfs/dbraw/zinc/22/20/72/584222072.db2.gz FOPVYQQCHCQACM-IONNQARKSA-N -1 1 349.269 -0.599 20 0 EBADMM COCCn1c(=O)cc([O-])nc1SCC(=O)N1CCOCC1 ZINC000853779111 584231526 /nfs/dbraw/zinc/23/15/26/584231526.db2.gz OLLGSLCCOJMILS-UHFFFAOYSA-N -1 1 329.378 -0.454 20 0 EBADMM C/C(Nc1cccc2c(=O)[nH][nH]c(=O)c12)=C1/C(=O)[N-]C(=S)NC1=O ZINC000009873537 584253960 /nfs/dbraw/zinc/25/39/60/584253960.db2.gz LJSHWCSVYKANIB-UHFFFAOYSA-N -1 1 345.340 -0.155 20 0 EBADMM C/C(Nc1cccc2c(=O)[nH][nH]c(=O)c12)=C1\C(=O)[N-]C(=S)NC1=O ZINC000009873537 584253962 /nfs/dbraw/zinc/25/39/62/584253962.db2.gz LJSHWCSVYKANIB-UHFFFAOYSA-N -1 1 345.340 -0.155 20 0 EBADMM CC(Nc1cccc2c(=O)[nH][nH]c(=O)c12)=C1C(=O)[N-]C(=S)NC1=O ZINC000009873537 584253964 /nfs/dbraw/zinc/25/39/64/584253964.db2.gz LJSHWCSVYKANIB-UHFFFAOYSA-N -1 1 345.340 -0.155 20 0 EBADMM CN(CC(=O)[N-]OCCN1CCCC1=O)c1ncnc2[nH]cnc21 ZINC000856038540 584266204 /nfs/dbraw/zinc/26/62/04/584266204.db2.gz FTMDXKUJHHSAPW-UHFFFAOYSA-N -1 1 333.352 -0.541 20 0 EBADMM O=C(NN1CC(=O)[N-]C1=O)[C@H]1CC(=O)N(c2ccc(F)c(F)c2)C1 ZINC000858542930 584289087 /nfs/dbraw/zinc/28/90/87/584289087.db2.gz ODKNNNXHESYCKG-ZETCQYMHSA-N -1 1 338.270 -0.099 20 0 EBADMM Cn1[n-]c(COC(=O)c2ccc(C(=O)N3CCOCC3)cc2)nc1=O ZINC000860047005 584312233 /nfs/dbraw/zinc/31/22/33/584312233.db2.gz PHMPYOFMNNDTPO-UHFFFAOYSA-N -1 1 346.343 -0.062 20 0 EBADMM CN1C(=O)CN(CC(=O)OCCC[N-]C(=O)C(F)(F)F)C1=O ZINC000860306143 584315005 /nfs/dbraw/zinc/31/50/05/584315005.db2.gz FOAJAYKGUVZKPI-UHFFFAOYSA-N -1 1 325.243 -0.508 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N[C@H]3CO[C@@H](C4CC4)C3)ccnc1-2 ZINC000862117639 584347468 /nfs/dbraw/zinc/34/74/68/584347468.db2.gz CEMUNLQJOUKJNL-ZWNOBZJWSA-N -1 1 329.360 -0.036 20 0 EBADMM O=S(=O)([N-]C[C@@H]1COCCO1)c1nc[nH]c1Br ZINC000866559848 584402332 /nfs/dbraw/zinc/40/23/32/584402332.db2.gz MRJWEXHJJFGLRF-ZCFIWIBFSA-N -1 1 326.172 -0.134 20 0 EBADMM O=S(=O)(N=S1(=O)CCCC1)[N-]C[C@@H](O)CN1CCCCC1 ZINC000866833619 584407998 /nfs/dbraw/zinc/40/79/98/584407998.db2.gz SNZUDMKABCEPCE-GFCCVEGCSA-N -1 1 339.483 -0.071 20 0 EBADMM CCN1CCO[C@H](C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)C1 ZINC000869418185 584439462 /nfs/dbraw/zinc/43/94/62/584439462.db2.gz OKOAUCHVNGPODD-JTQLQIEISA-N -1 1 340.298 -0.112 20 0 EBADMM CCON(C)C(=O)CNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875697563 584504729 /nfs/dbraw/zinc/50/47/29/584504729.db2.gz CTDSYWPBZRTPTN-UHFFFAOYSA-N -1 1 340.302 -0.284 20 0 EBADMM CC[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)[C@H]1CCCOC1 ZINC000882758101 584595197 /nfs/dbraw/zinc/59/51/97/584595197.db2.gz VQSACVKNOMTURK-WDEREUQCSA-N -1 1 345.421 -0.433 20 0 EBADMM COc1ccc([C@H](CC(=O)NN2CC(=O)[N-]C2=O)NC(C)=O)cc1 ZINC000029019854 584607714 /nfs/dbraw/zinc/60/77/14/584607714.db2.gz RUHIRLFJLPTFRD-LBPRGKRZSA-N -1 1 334.332 -0.155 20 0 EBADMM C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000885624480 584651094 /nfs/dbraw/zinc/65/10/94/584651094.db2.gz WZAZJTNXABENKX-ZNSHCXBVSA-N -1 1 327.406 -0.346 20 0 EBADMM Cc1nn(C)cc1[C@@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000069125819 584665445 /nfs/dbraw/zinc/66/54/45/584665445.db2.gz DOJPAVXQQABYCN-SECBINFHSA-N -1 1 341.393 -0.835 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)N(CCN(C)C)CC(=O)[O-])o1 ZINC000739787086 596985305 /nfs/dbraw/zinc/98/53/05/596985305.db2.gz BBJJEUCYVPSSTB-UHFFFAOYSA-N -1 1 334.350 -0.297 20 0 EBADMM Cc1nc2cc(=O)[nH]n2c(C)c1CC(=O)Nc1n[nH]c(CC(=O)[O-])n1 ZINC000738872603 600180968 /nfs/dbraw/zinc/18/09/68/600180968.db2.gz IQOAOADIOJLYLN-UHFFFAOYSA-N -1 1 345.319 -0.022 20 0 EBADMM O=C([O-])Cn1cc(S(=O)(=O)Nc2ccc(-n3cnnn3)cc2)cn1 ZINC000392122872 600672286 /nfs/dbraw/zinc/67/22/86/600672286.db2.gz DVGGVDODMGBPPV-UHFFFAOYSA-N -1 1 349.332 -0.256 20 0 EBADMM O=C([O-])COc1ccc(S(=O)(=O)NCCc2nc[nH]n2)cc1 ZINC000316274520 601268405 /nfs/dbraw/zinc/26/84/05/601268405.db2.gz STUGFVJAKMCXAN-UHFFFAOYSA-N -1 1 326.334 -0.211 20 0 EBADMM O=C([O-])NC[C@@H]1CCN(CC(=O)NCCCN2CCCC2=O)C1 ZINC000740640497 601953910 /nfs/dbraw/zinc/95/39/10/601953910.db2.gz CJKTWZAPWJZJRX-LBPRGKRZSA-N -1 1 326.397 -0.295 20 0 EBADMM COC[C@H](C)NC(=O)NC(=O)CN1CC[C@@H](CN(C)C(=O)[O-])C1 ZINC000739803104 602537375 /nfs/dbraw/zinc/53/73/75/602537375.db2.gz CARGPRAYBIZBOO-QWRGUYRKSA-N -1 1 330.385 -0.221 20 0 EBADMM CCC[C@H](NC(=O)[O-])C(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC000739311344 602770894 /nfs/dbraw/zinc/77/08/94/602770894.db2.gz JVZXMCWBQFBQTB-KBPBESRZSA-N -1 1 342.440 -0.055 20 0 EBADMM CN(CCn1nc2ccccn2c1=O)CCN1CCN(C(=O)[O-])CC1 ZINC000739684373 602867608 /nfs/dbraw/zinc/86/76/08/602867608.db2.gz MGGGZMUSSCVUEP-UHFFFAOYSA-N -1 1 348.407 -0.277 20 0 EBADMM O=C([O-])N1CC[C@H](CN2CCN(C(=O)CN3CCOCC3)CC2)C1 ZINC000740501474 602978004 /nfs/dbraw/zinc/97/80/04/602978004.db2.gz VJVTVGFNIDURID-CQSZACIVSA-N -1 1 340.424 -0.537 20 0 EBADMM O=C([O-])N1CCN(CC(=O)Nc2nc(-c3ccccn3)n[nH]2)C(=O)C1 ZINC000740371094 603020205 /nfs/dbraw/zinc/02/02/05/603020205.db2.gz MFKCKRVBBDLHDN-UHFFFAOYSA-N -1 1 345.319 -0.373 20 0 EBADMM CN1CCN(C[C@H]2CN(C(=O)CC(C)(C)NC(=O)[O-])CCO2)CC1 ZINC000739736158 603045110 /nfs/dbraw/zinc/04/51/10/603045110.db2.gz CHMQMWNJLJFKGJ-ZDUSSCGKSA-N -1 1 342.440 -0.103 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)[C@H]2C[C@H](O)CN2C(=O)[O-])C1 ZINC000738825863 603063578 /nfs/dbraw/zinc/06/35/78/603063578.db2.gz RNDHPKRUFZVFTQ-YNEHKIRRSA-N -1 1 329.397 -0.427 20 0 EBADMM COC(=O)[C@@H]1CN(CC[C@@H](C)N2CCO[C@H](C(=O)[O-])C2)CCO1 ZINC000831114901 604435839 /nfs/dbraw/zinc/43/58/39/604435839.db2.gz RWDJFLIODUWMJF-AGIUHOORSA-N -1 1 330.381 -0.576 20 0 EBADMM O=C(CNC(=O)c1c[nH]c2ccccc2c1=O)NC1CN(C(=O)[O-])C1 ZINC000740375739 604760721 /nfs/dbraw/zinc/76/07/21/604760721.db2.gz IHNCFLUPKLYTMO-UHFFFAOYSA-N -1 1 344.327 -0.264 20 0 EBADMM C[C@@H](CN1CCOCC1)C(=O)N(CCN1CCOCC1)CC(=O)[O-] ZINC000911364829 650175351 /nfs/dbraw/zinc/17/53/51/650175351.db2.gz BFHKIKCIMHSSSR-AWEZNQCLSA-N -1 1 343.424 -0.800 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C1CCN(C(=O)OC)CC1 ZINC000912548955 650208062 /nfs/dbraw/zinc/20/80/62/650208062.db2.gz AYHDOQONDUTZCE-UHFFFAOYSA-N -1 1 346.365 -0.295 20 0 EBADMM CCOC(=O)N1CC[C@@H]([N-]S(=O)(=O)c2n[nH]cc2C(=O)OC)C1 ZINC000912547149 650208067 /nfs/dbraw/zinc/20/80/67/650208067.db2.gz KWVHDSXDTABSQE-MRVPVSSYSA-N -1 1 346.365 -0.295 20 0 EBADMM COC[C@@H]([N-]S(=O)(=O)c1n[nH]cc1C(=O)OC)[C@@H]1CCCO1 ZINC000912550866 650208132 /nfs/dbraw/zinc/20/81/32/650208132.db2.gz UFGZVAWPZXBILU-ZJUUUORDSA-N -1 1 333.366 -0.331 20 0 EBADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@@H](C)c1nccc(N)n1 ZINC000912553116 650208477 /nfs/dbraw/zinc/20/84/77/650208477.db2.gz IYAQGLWHKSBTHE-ZETCQYMHSA-N -1 1 340.365 -0.084 20 0 EBADMM C[C@@H]1CCN(C(=O)CCNC(=O)CO)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000912732359 650211396 /nfs/dbraw/zinc/21/13/96/650211396.db2.gz ZWCFSXNDFYBMJV-BDAKNGLRSA-N -1 1 339.314 -0.600 20 0 EBADMM O=S(=O)([N-][C@@H](CO)CN1CCOCC1)c1ccc(F)nc1F ZINC000918970194 650293228 /nfs/dbraw/zinc/29/32/28/650293228.db2.gz FLIIKXLMTYKSAU-SECBINFHSA-N -1 1 337.348 -0.669 20 0 EBADMM O=S(=O)([N-][C@@H](CO)CN1CCOCC1)c1cc(F)ccc1F ZINC000918988910 650294435 /nfs/dbraw/zinc/29/44/35/650294435.db2.gz NVAGOYDGDQIQIS-LLVKDONJSA-N -1 1 336.360 -0.064 20 0 EBADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H](C)[C@H]1CN(C)CCN1C ZINC000919983232 650307556 /nfs/dbraw/zinc/30/75/56/650307556.db2.gz ZLOSCBWOVPZNEW-QQKBFRNYSA-N -1 1 326.488 -0.427 20 0 EBADMM CN1CCOC[C@@]1(CO)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000921338901 650317710 /nfs/dbraw/zinc/31/77/10/650317710.db2.gz SFKXDVNMNKBMNM-LBPRGKRZSA-N -1 1 337.348 -0.669 20 0 EBADMM CO[C@]1(C[N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C)CCSC1 ZINC000921401865 650319812 /nfs/dbraw/zinc/31/98/12/650319812.db2.gz KHQSENFOORTAES-NIVTXAMTSA-N -1 1 331.485 -0.083 20 0 EBADMM CC(C)O[C@@]1(C[N-]S(=O)(=O)N=[S@](C)(=O)N(C)C)CCOC1 ZINC000921460371 650321264 /nfs/dbraw/zinc/32/12/64/650321264.db2.gz RSCMRMPWPGXNAN-GIPWTMENSA-N -1 1 343.471 -0.021 20 0 EBADMM COC1(C(=O)OCCC[N-]C(=O)C(F)(F)F)CS(=O)(=O)C1 ZINC000924338552 650363230 /nfs/dbraw/zinc/36/32/30/650363230.db2.gz JJPXIEDJWBGHDQ-UHFFFAOYSA-N -1 1 333.284 -0.588 20 0 EBADMM O=C(NC[C@@H]1CCCCN1C(=O)C1CC1)c1cc(=O)n2[n-]cnc2n1 ZINC000937149102 651654811 /nfs/dbraw/zinc/65/48/11/651654811.db2.gz BVEZDUHHWRXTKC-NSHDSACASA-N -1 1 344.375 -0.062 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@@H]2COCCN2C)C1 ZINC000937193192 651668804 /nfs/dbraw/zinc/66/88/04/651668804.db2.gz FYOISOPHGJURIK-STQMWFEESA-N -1 1 348.403 -0.209 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@@H]2COC(=O)N2)C1 ZINC000937288544 651718690 /nfs/dbraw/zinc/71/86/90/651718690.db2.gz ZNJRQMKCIOMBOX-UWVGGRQHSA-N -1 1 334.332 -0.432 20 0 EBADMM O=C(Cn1ncnn1)N1CCCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000937417541 651771514 /nfs/dbraw/zinc/77/15/14/651771514.db2.gz LWTXJMJSMFEMPL-NSHDSACASA-N -1 1 345.363 -0.415 20 0 EBADMM O=C(NC[C@@H]1CCCCN1C(=O)[C@@H]1CNC(=O)N1)c1ncccc1[O-] ZINC000937464804 651786239 /nfs/dbraw/zinc/78/62/39/651786239.db2.gz MEAQNIJZPYEKNJ-QWRGUYRKSA-N -1 1 347.375 -0.421 20 0 EBADMM O=C(NC[C@@H]1CCCCN1C(=O)[C@H]1CNC(=O)N1)c1ncccc1[O-] ZINC000937464806 651786563 /nfs/dbraw/zinc/78/65/63/651786563.db2.gz MEAQNIJZPYEKNJ-WDEREUQCSA-N -1 1 347.375 -0.421 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1ccoc1 ZINC000937681862 651876902 /nfs/dbraw/zinc/87/69/02/651876902.db2.gz MFTKIXSTAJMNTR-JTQLQIEISA-N -1 1 342.315 -0.345 20 0 EBADMM C[C@@H](C(=O)N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC000937791197 651926075 /nfs/dbraw/zinc/92/60/75/651926075.db2.gz SWKNZUGMSSPGDC-KOLCDFICSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@H](C(=O)N[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1)C1CC1 ZINC000937791128 651926359 /nfs/dbraw/zinc/92/63/59/651926359.db2.gz SQFZBDMVIPNYFL-AAEUAGOBSA-N -1 1 348.403 -0.310 20 0 EBADMM Cn1oc(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)cc1=O ZINC000937860822 651957897 /nfs/dbraw/zinc/95/78/97/651957897.db2.gz KTGNJLSLGPEGSL-VIFPVBQESA-N -1 1 332.316 -0.277 20 0 EBADMM C[C@@H](C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1)S(C)(=O)=O ZINC000937864641 651959988 /nfs/dbraw/zinc/95/99/88/651959988.db2.gz URNWCAZBCGIZPR-UWVGGRQHSA-N -1 1 341.389 -0.449 20 0 EBADMM NC(=O)N1CCC[C@H]1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000938200085 652133235 /nfs/dbraw/zinc/13/32/35/652133235.db2.gz KYFNNDIVKUWVGN-QWRGUYRKSA-N -1 1 347.375 -0.339 20 0 EBADMM CO[C@@H](C)C(=O)N1CC[C@@H](N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000936807500 652151757 /nfs/dbraw/zinc/15/17/57/652151757.db2.gz SRUMTWXMMKMFMK-VHSXEESVSA-N -1 1 348.363 -0.875 20 0 EBADMM O=C(C[C@H]1CC(=O)NC1=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000938253349 652162392 /nfs/dbraw/zinc/16/23/92/652162392.db2.gz ZYRIKTLJNFJSRQ-UWVGGRQHSA-N -1 1 346.343 -0.829 20 0 EBADMM O=C(C=C1CCC1)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000938314761 652192960 /nfs/dbraw/zinc/19/29/60/652192960.db2.gz RLPMOKVIZBITGW-NSHDSACASA-N -1 1 342.359 -0.141 20 0 EBADMM O=C(CCC1CC1)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000938315081 652193008 /nfs/dbraw/zinc/19/30/08/652193008.db2.gz ZNVUHIWBIVYCNP-NSHDSACASA-N -1 1 344.375 -0.062 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)[C@H]1CCN(C(=O)C2(C)CC2)C1 ZINC000938651282 652653231 /nfs/dbraw/zinc/65/32/31/652653231.db2.gz WZOUHCTZRRQODW-JTQLQIEISA-N -1 1 344.375 -0.109 20 0 EBADMM Cc1cc(C(=O)N2CCN(C3CN(Cc4n[nH]c(=O)[n-]4)C3)CC2)n[nH]1 ZINC000941330752 652669632 /nfs/dbraw/zinc/66/96/32/652669632.db2.gz UNACUJMQAUZPEN-UHFFFAOYSA-N -1 1 346.395 -0.816 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@H]2CCCNC2=O)C1 ZINC000938691789 652670870 /nfs/dbraw/zinc/67/08/70/652670870.db2.gz GKZDYXSKOVLIGM-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@@H]2CCCNC2=O)C1 ZINC000938691792 652671247 /nfs/dbraw/zinc/67/12/47/652671247.db2.gz GKZDYXSKOVLIGM-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM CNC(=O)[C@@H](C)N1CC(N2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC000941387387 652708868 /nfs/dbraw/zinc/70/88/68/652708868.db2.gz CJTWILOXZNETMZ-GFCCVEGCSA-N -1 1 347.419 -0.636 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CCC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000944185196 652709110 /nfs/dbraw/zinc/70/91/10/652709110.db2.gz NSGLOCSHLHLOAS-GMTAPVOTSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@@]1(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)CCNC1=O ZINC000938809618 652717855 /nfs/dbraw/zinc/71/78/55/652717855.db2.gz TUHFKKLQWFCNNQ-MGPLVRAMSA-N -1 1 332.360 -0.356 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)c2cnn(C)n2)C1 ZINC000938865872 652748732 /nfs/dbraw/zinc/74/87/32/652748732.db2.gz LEPKXOSWAHRXAR-SNVBAGLBSA-N -1 1 330.348 -0.098 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N(C)[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000938872331 652751043 /nfs/dbraw/zinc/75/10/43/652751043.db2.gz VWOYXMSKCYHZDD-JHJVBQTASA-N -1 1 348.403 -0.358 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@@]2(C)CNC(=O)C2)C1 ZINC000938890322 652760602 /nfs/dbraw/zinc/76/06/02/652760602.db2.gz MFLQDPJEQBTHJG-APPDUMDISA-N -1 1 346.387 -0.014 20 0 EBADMM CC[C@@H](F)C(=O)N1CCN(C2CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC000941520024 652783334 /nfs/dbraw/zinc/78/33/34/652783334.db2.gz JQIYOOKGXDNVKH-GFCCVEGCSA-N -1 1 340.403 -0.815 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1)[C@H]1CCOC1 ZINC000944503254 652807323 /nfs/dbraw/zinc/80/73/23/652807323.db2.gz AOQQZGIOJIYVFQ-RYUDHWBXSA-N -1 1 335.360 -0.219 20 0 EBADMM CO[C@@H]1CN(C(=O)c2n[nH]nc2C)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941608769 652830530 /nfs/dbraw/zinc/83/05/30/652830530.db2.gz FORNJTOXTVMVBM-MWLCHTKSSA-N -1 1 346.347 -0.517 20 0 EBADMM CO[C@@H]1CN(C(=O)c2[nH]nnc2C)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941608769 652830535 /nfs/dbraw/zinc/83/05/35/652830535.db2.gz FORNJTOXTVMVBM-MWLCHTKSSA-N -1 1 346.347 -0.517 20 0 EBADMM Cn1nncc1C(=O)N1CCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944559247 652857880 /nfs/dbraw/zinc/85/78/80/652857880.db2.gz AEOLFJBRJJXKCD-JTQLQIEISA-N -1 1 346.347 -0.813 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2cnns2)CCO1)c1ncccc1[O-] ZINC000944559792 652858777 /nfs/dbraw/zinc/85/87/77/652858777.db2.gz DQNRPSSVLPLCDW-VIFPVBQESA-N -1 1 349.372 -0.090 20 0 EBADMM Cn1cnc(C(=O)N2CCO[C@@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC000944561218 652860920 /nfs/dbraw/zinc/86/09/20/652860920.db2.gz OJCZZZJSYHBWDC-JTQLQIEISA-N -1 1 346.347 -0.813 20 0 EBADMM CC[C@H](F)C(=O)N1CC[C@@H](NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC000941663314 652864120 /nfs/dbraw/zinc/86/41/20/652864120.db2.gz OZGMYPUPJXLNGR-SFYZADRCSA-N -1 1 342.327 -0.025 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)C(F)F)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000941663819 652865403 /nfs/dbraw/zinc/86/54/03/652865403.db2.gz FQTLRRTYICQBMI-ZCFIWIBFSA-N -1 1 326.263 -0.987 20 0 EBADMM O=C(/C=C\C1CC1)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000941663795 652865631 /nfs/dbraw/zinc/86/56/31/652865631.db2.gz DMRYMYLNPWVOFM-DLRQAJBASA-N -1 1 342.359 -0.286 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ccco2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000941663749 652865640 /nfs/dbraw/zinc/86/56/40/652865640.db2.gz BMJYTCRHGZSFPS-SECBINFHSA-N -1 1 342.315 -0.345 20 0 EBADMM CC1(C(=O)N2CC[C@@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)CCC1 ZINC000941664679 652866573 /nfs/dbraw/zinc/86/65/73/652866573.db2.gz YPIUEMNFZYBPKR-SNVBAGLBSA-N -1 1 344.375 -0.062 20 0 EBADMM CC1(C)C[C@@H]1C(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000941664333 652866924 /nfs/dbraw/zinc/86/69/24/652866924.db2.gz SXEIURRROPOFEK-NXEZZACHSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)C1CCOCC1 ZINC000941728915 652896647 /nfs/dbraw/zinc/89/66/47/652896647.db2.gz HGLVOVVBBDIYMT-AAEUAGOBSA-N -1 1 337.424 -0.138 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@@H]2CNC(=O)N2)C1 ZINC000939349239 652906889 /nfs/dbraw/zinc/90/68/89/652906889.db2.gz KKTNMVOVYMVIAD-ZJUUUORDSA-N -1 1 333.348 -0.859 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1cc[n+]([O-])cc1 ZINC000941782994 652907297 /nfs/dbraw/zinc/90/72/97/652907297.db2.gz WZHHSKYLCRCMOP-YPMHNXCESA-N -1 1 346.391 -0.618 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1ccc(=O)[nH]n1 ZINC000941789584 652910332 /nfs/dbraw/zinc/91/03/32/652910332.db2.gz MAELBYRPUJYQTI-NXEZZACHSA-N -1 1 347.379 -0.756 20 0 EBADMM CCCn1cc(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)nn1 ZINC000939430442 652933440 /nfs/dbraw/zinc/93/34/40/652933440.db2.gz PZGWCWKWDLISJA-NXEZZACHSA-N -1 1 334.384 -0.238 20 0 EBADMM COCc1nc(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)co1 ZINC000939434285 652935230 /nfs/dbraw/zinc/93/52/30/652935230.db2.gz ZWFLKFYCSYHACA-RKDXNWHRSA-N -1 1 336.352 -0.105 20 0 EBADMM Cc1nn(C)cc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1C ZINC000941894023 652944594 /nfs/dbraw/zinc/94/45/94/652944594.db2.gz ZUEPYTQAJJYPNA-GWCFXTLKSA-N -1 1 347.423 -0.209 20 0 EBADMM Cc1nn(C)cc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC000941894027 652944780 /nfs/dbraw/zinc/94/47/80/652944780.db2.gz ZUEPYTQAJJYPNA-MFKMUULPSA-N -1 1 347.423 -0.209 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)Cc1cc[nH]n1 ZINC000941911529 652948380 /nfs/dbraw/zinc/94/83/80/652948380.db2.gz FGIHXNRCUKQPRI-PWSUYJOCSA-N -1 1 333.396 -0.599 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccc(N(C)C)nn2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939553456 653004836 /nfs/dbraw/zinc/00/48/36/653004836.db2.gz AGAQPALHGVMHEL-MWLCHTKSSA-N -1 1 346.395 -0.383 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CCN(C(=O)CC(N)=O)C1 ZINC000941965114 653008572 /nfs/dbraw/zinc/00/85/72/653008572.db2.gz OLSFBTUQWUFFBM-SNVBAGLBSA-N -1 1 349.391 -0.180 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1cncnc1 ZINC000941980038 653011625 /nfs/dbraw/zinc/01/16/25/653011625.db2.gz OMIAUJKUOGKWGX-CMPLNLGQSA-N -1 1 331.380 -0.461 20 0 EBADMM C[C@H]1CN(Cc2nnn(C)n2)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC000941989358 653014092 /nfs/dbraw/zinc/01/40/92/653014092.db2.gz LLMSPSUQIPKMGJ-WDEREUQCSA-N -1 1 331.380 -0.049 20 0 EBADMM C[C@@H]1CN(C(=O)CCc2cncn2C)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939641246 653014833 /nfs/dbraw/zinc/01/48/33/653014833.db2.gz DINDGEHDHKRQLR-ZYHUDNBSSA-N -1 1 333.396 -0.187 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1cocn1 ZINC000939722268 653033032 /nfs/dbraw/zinc/03/30/32/653033032.db2.gz DJVZJBBDUPVYDV-MRVPVSSYSA-N -1 1 343.303 -0.950 20 0 EBADMM Cc1cc(NC(=O)C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)no1 ZINC000939732487 653035171 /nfs/dbraw/zinc/03/51/71/653035171.db2.gz NHABENFHEZPQQW-VXNVDRBHSA-N -1 1 349.351 -0.618 20 0 EBADMM C[C@@H]1CN(C(=O)c2cncc(C(N)=O)c2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939777599 653044229 /nfs/dbraw/zinc/04/42/29/653044229.db2.gz SQXZKENHLDUMAW-LDYMZIIASA-N -1 1 345.363 -0.746 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccc(C(N)=O)cn2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939851071 653057247 /nfs/dbraw/zinc/05/72/47/653057247.db2.gz HHMYBRQQFIHNJS-LDYMZIIASA-N -1 1 345.363 -0.746 20 0 EBADMM O=C(CC1CCC1)N[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000939879073 653062902 /nfs/dbraw/zinc/06/29/02/653062902.db2.gz SNYVALXSXBOPTM-CYBMUJFWSA-N -1 1 348.403 -0.166 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1)C1CC1 ZINC000939916374 653067261 /nfs/dbraw/zinc/06/72/61/653067261.db2.gz SQFZBDMVIPNYFL-DGCLKSJQSA-N -1 1 348.403 -0.310 20 0 EBADMM CN1CC[C@H](C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000940130130 653084529 /nfs/dbraw/zinc/08/45/29/653084529.db2.gz GRZWBQIAZFXNAA-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(CCc1nnc[nH]1)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940132446 653085066 /nfs/dbraw/zinc/08/50/66/653085066.db2.gz ZIJYJTGCHZGLTE-SNVBAGLBSA-N -1 1 330.348 -0.131 20 0 EBADMM O=C(CCc1nc[nH]n1)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940132446 653085072 /nfs/dbraw/zinc/08/50/72/653085072.db2.gz ZIJYJTGCHZGLTE-SNVBAGLBSA-N -1 1 330.348 -0.131 20 0 EBADMM CN1CCOC[C@H]1C(=O)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000946533242 653144399 /nfs/dbraw/zinc/14/43/99/653144399.db2.gz NYERXKRAQMAOKC-ZDUSSCGKSA-N -1 1 348.403 -0.161 20 0 EBADMM CN1CC[C@H](C(=O)NC2CCN(C(=O)c3ncccc3[O-])CC2)C1=O ZINC000946538885 653146382 /nfs/dbraw/zinc/14/63/82/653146382.db2.gz UCGLUXDXAFBRKZ-GFCCVEGCSA-N -1 1 346.387 -0.014 20 0 EBADMM CC(=O)N1CC(C(=O)N2CCC(NC(=O)c3ncccc3[O-])CC2)C1 ZINC000946568010 653151817 /nfs/dbraw/zinc/15/18/17/653151817.db2.gz MJXZSAWTNBKNDG-UHFFFAOYSA-N -1 1 346.387 -0.014 20 0 EBADMM CCN(C(=O)C1CC1)[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000942633215 653164675 /nfs/dbraw/zinc/16/46/75/653164675.db2.gz ZEPHTNYZUGFGIW-NSHDSACASA-N -1 1 344.375 -0.109 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1ccnnc1 ZINC000942980267 653277869 /nfs/dbraw/zinc/27/78/69/653277869.db2.gz VDNNFHXLWLZLLP-CMPLNLGQSA-N -1 1 331.380 -0.461 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1ccn(C)n1 ZINC000944916692 653289167 /nfs/dbraw/zinc/28/91/67/653289167.db2.gz BHJLNZOYPPNOGM-GHMZBOCLSA-N -1 1 333.396 -0.518 20 0 EBADMM C[C@H]1C[C@H](NC(=O)C2=COCCO2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947005984 653290656 /nfs/dbraw/zinc/29/06/56/653290656.db2.gz XTOXCUBBJYPVPU-WDEREUQCSA-N -1 1 337.380 -0.534 20 0 EBADMM C[C@]1(C(=O)N2CCCN(C(=O)c3ncccc3[O-])CC2)CNC(=O)C1 ZINC000940833184 653298317 /nfs/dbraw/zinc/29/83/17/653298317.db2.gz WKBKUNWOKCOHAC-QGZVFWFLSA-N -1 1 346.387 -0.012 20 0 EBADMM NC(=O)[C@H]1CC[C@H]1C(=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940832155 653298459 /nfs/dbraw/zinc/29/84/59/653298459.db2.gz YLLZDOJJMGSMKA-NWDGAFQWSA-N -1 1 346.387 -0.027 20 0 EBADMM C[C@H]1C[C@H](NC(=O)Cn2cccn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947053505 653301840 /nfs/dbraw/zinc/30/18/40/653301840.db2.gz ZWOMUEPRHJCGJP-NWDGAFQWSA-N -1 1 333.396 -0.526 20 0 EBADMM Cn1nnc(C(=O)N2CCCC[C@@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC000943203092 653311286 /nfs/dbraw/zinc/31/12/86/653311286.db2.gz GNBMOZWZMMCQCP-SNVBAGLBSA-N -1 1 345.363 -0.265 20 0 EBADMM O=C(N[C@H]1CCCCN(C(=O)[C@@H]2CNC(=O)N2)C1)c1ncccc1[O-] ZINC000943209195 653312457 /nfs/dbraw/zinc/31/24/57/653312457.db2.gz KCJWOPBEVFDASI-QWRGUYRKSA-N -1 1 347.375 -0.421 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)Cc2cnn(C)c2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947111283 653315995 /nfs/dbraw/zinc/31/59/95/653315995.db2.gz CUSJTCFUZQSLNO-YPMHNXCESA-N -1 1 347.423 -0.446 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)Cc2cc[nH]n2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947148560 653327336 /nfs/dbraw/zinc/32/73/36/653327336.db2.gz QVSFVKRRETXRNP-MNOVXSKESA-N -1 1 333.396 -0.457 20 0 EBADMM Cc1c(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H](C)C2)nnn1C ZINC000947157862 653328523 /nfs/dbraw/zinc/32/85/23/653328523.db2.gz SASYPELZJMRHDX-GXSJLCMTSA-N -1 1 348.411 -0.672 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2cc[nH]c(=O)c2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947180962 653331982 /nfs/dbraw/zinc/33/19/82/653331982.db2.gz QXQLVKDYZNAABX-PWSUYJOCSA-N -1 1 346.391 -0.008 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2cc[nH]c(=O)c2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947180966 653332006 /nfs/dbraw/zinc/33/20/06/653332006.db2.gz QXQLVKDYZNAABX-ZYHUDNBSSA-N -1 1 346.391 -0.008 20 0 EBADMM C[C@@H]1CN(C(=O)C2CC2)CC[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000945084329 653341523 /nfs/dbraw/zinc/34/15/23/653341523.db2.gz SRUDJTOPADMXLB-KOLCDFICSA-N -1 1 344.375 -0.206 20 0 EBADMM COCC(=O)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@H](C)C1 ZINC000945115830 653345542 /nfs/dbraw/zinc/34/55/42/653345542.db2.gz LHCGBPOJROZNIO-ZJUUUORDSA-N -1 1 348.363 -0.969 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2cnnn2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947265109 653351956 /nfs/dbraw/zinc/35/19/56/653351956.db2.gz DDZAJBWPJMZDDQ-NXEZZACHSA-N -1 1 334.384 -0.980 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2ncn(C)n2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947277819 653353827 /nfs/dbraw/zinc/35/38/27/653353827.db2.gz UJUFFLIYTUZZIA-NXEZZACHSA-N -1 1 334.384 -0.980 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2ncn(C)n2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947277820 653354203 /nfs/dbraw/zinc/35/42/03/653354203.db2.gz UJUFFLIYTUZZIA-UWVGGRQHSA-N -1 1 334.384 -0.980 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)c1cn[nH]c(=O)c1 ZINC000941031257 653386583 /nfs/dbraw/zinc/38/65/83/653386583.db2.gz UPDSVOONJKCUBG-SNVBAGLBSA-N -1 1 329.316 -0.073 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)NC1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000947442567 653404356 /nfs/dbraw/zinc/40/43/56/653404356.db2.gz APXINHWNYOESPM-YPMHNXCESA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@H]1C[C@H]1C(=O)NC1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000947442566 653404524 /nfs/dbraw/zinc/40/45/24/653404524.db2.gz APXINHWNYOESPM-WCQYABFASA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2csnn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947571227 653430367 /nfs/dbraw/zinc/43/03/67/653430367.db2.gz CUHPQCUFEGQNMI-RKDXNWHRSA-N -1 1 337.409 -0.257 20 0 EBADMM C[C@H]1C[C@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947634161 653444529 /nfs/dbraw/zinc/44/45/29/653444529.db2.gz NNGOUUPAKLDBAS-SVPLCASGSA-N -1 1 335.408 -0.530 20 0 EBADMM CN1C[C@H](C(=O)N2CCC(NC(=O)c3ncccc3[O-])CC2)NC1=O ZINC000947708571 653468095 /nfs/dbraw/zinc/46/80/95/653468095.db2.gz DXNGYGLQSHYIAR-LLVKDONJSA-N -1 1 347.375 -0.468 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2ccnnc2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947746144 653476933 /nfs/dbraw/zinc/47/69/33/653476933.db2.gz LUEILVPCDFGWQS-JQWIXIFHSA-N -1 1 331.380 -0.319 20 0 EBADMM Cc1nonc1CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1C ZINC000943818633 653477522 /nfs/dbraw/zinc/47/75/22/653477522.db2.gz XREYOEMZSUYFMC-ONGXEEELSA-N -1 1 349.395 -0.631 20 0 EBADMM CNC(=O)CN1CC[C@H](NC(=O)c2c[n-]n3c2nccc3=O)C[C@H]1C ZINC000947789413 653483451 /nfs/dbraw/zinc/48/34/51/653483451.db2.gz UTFRDAXXZTYSLL-MNOVXSKESA-N -1 1 346.391 -0.649 20 0 EBADMM CC(C)C(=O)N1CCC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000943872371 653484651 /nfs/dbraw/zinc/48/46/51/653484651.db2.gz MPSMRXHHRYTRPR-SNVBAGLBSA-N -1 1 332.364 -0.206 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2ncccn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947845408 653492195 /nfs/dbraw/zinc/49/21/95/653492195.db2.gz JZKRMBHMTOBIBB-WDEREUQCSA-N -1 1 331.380 -0.319 20 0 EBADMM O=C(CN1CCOCC1)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000943969672 653499183 /nfs/dbraw/zinc/49/91/83/653499183.db2.gz QUXHSZVTAOEWND-CYBMUJFWSA-N -1 1 348.403 -0.160 20 0 EBADMM CC(=O)N1CCC[C@H](C)[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000945694736 653499555 /nfs/dbraw/zinc/49/95/55/653499555.db2.gz JACVJNBYAGJXJK-CABZTGNLSA-N -1 1 332.364 -0.206 20 0 EBADMM Cc1ncc(C(=O)N2C[C@@H](C)[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC000947952325 653505470 /nfs/dbraw/zinc/50/54/70/653505470.db2.gz BCUOEBULZRLNKN-HZGVNTEJSA-N -1 1 347.335 -0.795 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2ccn(C)n2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000948035522 653514685 /nfs/dbraw/zinc/51/46/85/653514685.db2.gz GSSRRUSDKRSPHV-WDEREUQCSA-N -1 1 333.396 -0.375 20 0 EBADMM O=C(NC1CCN(C(=O)[C@H]2CNC(=O)N2)CC1)c1ncccc1[O-] ZINC000948056059 653517052 /nfs/dbraw/zinc/51/70/52/653517052.db2.gz MIPOORQXOHQALL-SNVBAGLBSA-N -1 1 333.348 -0.811 20 0 EBADMM CC[C@@H]1CN(C(C)=O)CC[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000948176264 653527992 /nfs/dbraw/zinc/52/79/92/653527992.db2.gz UWAXEQZDXVGIKY-MNOVXSKESA-N -1 1 332.364 -0.206 20 0 EBADMM O=C(N[C@@H]1CCC[C@H]1CNC(=O)C1CC1)c1cc(=O)n2[n-]cnc2n1 ZINC000946256413 653556634 /nfs/dbraw/zinc/55/66/34/653556634.db2.gz XNPODZFHOCLLCH-WDEREUQCSA-N -1 1 344.375 -0.158 20 0 EBADMM Cn1nccc1CCC(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000948596186 653561600 /nfs/dbraw/zinc/56/16/00/653561600.db2.gz RGDCESAKROHRFW-UHFFFAOYSA-N -1 1 333.396 -0.881 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@H]1CCNC1=O ZINC000948630118 653564229 /nfs/dbraw/zinc/56/42/29/653564229.db2.gz MJSUDIZCIVXNPS-OUAUKWLOSA-N -1 1 332.360 -0.500 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1cnn(C)c1N ZINC000948629806 653564234 /nfs/dbraw/zinc/56/42/34/653564234.db2.gz BFMPUPWLDMQVHH-MWLCHTKSSA-N -1 1 344.375 -0.007 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)CN1CN=NC1=O ZINC000948630322 653564345 /nfs/dbraw/zinc/56/43/45/653564345.db2.gz ZGCUNEWLPHVZQM-NXEZZACHSA-N -1 1 346.347 -0.639 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cnn4ccncc34)CC2)nc1=O ZINC000948779085 653571929 /nfs/dbraw/zinc/57/19/29/653571929.db2.gz HQSCWGRFNYBLKM-UHFFFAOYSA-N -1 1 342.363 -0.891 20 0 EBADMM Cc1nn(C)cc1CC(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000948792195 653572924 /nfs/dbraw/zinc/57/29/24/653572924.db2.gz CUHPOKCGBLZRCX-UHFFFAOYSA-N -1 1 333.396 -0.963 20 0 EBADMM CCc1c[nH]c(CC(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC000948846240 653576495 /nfs/dbraw/zinc/57/64/95/653576495.db2.gz GBXAEPWETIIXDW-UHFFFAOYSA-N -1 1 333.396 -0.719 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)[C@@H]3CCc4cncn4C3)CC2)nc1=O ZINC000948955213 653584690 /nfs/dbraw/zinc/58/46/90/653584690.db2.gz VPFZZPLBCIYACM-GFCCVEGCSA-N -1 1 345.407 -0.788 20 0 EBADMM NC(=O)CN1CCC2(CC1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC000949080633 653593531 /nfs/dbraw/zinc/59/35/31/653593531.db2.gz IGGDHSZPNMCEEN-UHFFFAOYSA-N -1 1 334.376 -0.421 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cccn4nccc34)CC2)nc1=O ZINC000949093686 653594540 /nfs/dbraw/zinc/59/45/40/653594540.db2.gz HFDOOSMMNPFCAW-UHFFFAOYSA-N -1 1 341.375 -0.286 20 0 EBADMM CCc1nc([C@@H](C)N2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)CC2)n[nH]1 ZINC000949111218 653595434 /nfs/dbraw/zinc/59/54/34/653595434.db2.gz IYYVPEDEAWWFHO-MRVPVSSYSA-N -1 1 348.367 -0.518 20 0 EBADMM Cc1c(C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])nnn1C ZINC000949215258 653832965 /nfs/dbraw/zinc/83/29/65/653832965.db2.gz QGSYLSBPTLUAOU-SNVBAGLBSA-N -1 1 330.348 -0.131 20 0 EBADMM CN1CCO[C@H](C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])C1 ZINC000949239796 653845217 /nfs/dbraw/zinc/84/52/17/653845217.db2.gz VFHDGXUFWRCKNE-YPMHNXCESA-N -1 1 334.376 -0.552 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H]1CNC(=O)C1=CCCC1 ZINC000949369640 653874940 /nfs/dbraw/zinc/87/49/40/653874940.db2.gz RZTWHSVDOYQREA-ZDUSSCGKSA-N -1 1 346.387 -0.246 20 0 EBADMM Nc1nccnc1C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949535458 653922245 /nfs/dbraw/zinc/92/22/45/653922245.db2.gz VGLASRTYKQMSTA-VIFPVBQESA-N -1 1 328.332 -0.196 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])[nH]1 ZINC000949537747 653922790 /nfs/dbraw/zinc/92/27/90/653922790.db2.gz ASGCKXLMDGFFJK-SECBINFHSA-N -1 1 343.343 -0.141 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)[C@@H]1CCNC(=O)C1)c1ncccc1[O-] ZINC000949535706 653922812 /nfs/dbraw/zinc/92/28/12/653922812.db2.gz YQEFGIJMKVFLOV-MNOVXSKESA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(C[C@@H]1CCNC1=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949539095 653923444 /nfs/dbraw/zinc/92/34/44/653923444.db2.gz XVLYIZFROFUNMV-WDEREUQCSA-N -1 1 332.360 -0.356 20 0 EBADMM Cn1c(C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])c[nH]c1=O ZINC000949541448 653923824 /nfs/dbraw/zinc/92/38/24/653923824.db2.gz HXRYJTPWUGMMNU-SECBINFHSA-N -1 1 331.332 -0.129 20 0 EBADMM C[C@@H]1OCCO[C@@H]1C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949542074 653924548 /nfs/dbraw/zinc/92/45/48/653924548.db2.gz WDJNGSDYMLYCKU-MJVIPROJSA-N -1 1 335.360 -0.078 20 0 EBADMM CN1C[C@H](C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])CC1=O ZINC000949541812 653924780 /nfs/dbraw/zinc/92/47/80/653924780.db2.gz PXLIIWNBIXLGGD-GHMZBOCLSA-N -1 1 332.360 -0.404 20 0 EBADMM O=C(CC1CC1)N1CCC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000949670455 653946247 /nfs/dbraw/zinc/94/62/47/653946247.db2.gz ZUCWLYRTWPYGTH-LLVKDONJSA-N -1 1 344.375 -0.062 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cnc4n3CCCC4)CC2)nc1=O ZINC000949825230 653980865 /nfs/dbraw/zinc/98/08/65/653980865.db2.gz XMIBCFOLTUAEKQ-UHFFFAOYSA-N -1 1 345.407 -0.401 20 0 EBADMM O=C(Cn1cnnn1)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000949943379 654004977 /nfs/dbraw/zinc/00/49/77/654004977.db2.gz YGHDGEABMWLWFC-SNVBAGLBSA-N -1 1 331.336 -0.805 20 0 EBADMM O=C(N[C@H]1CCCN(C(=O)[C@@H]2COCCO2)C1)c1ncccc1[O-] ZINC000950108244 654039187 /nfs/dbraw/zinc/03/91/87/654039187.db2.gz WFAQNPDLTPBHNY-AAEUAGOBSA-N -1 1 335.360 -0.077 20 0 EBADMM Cc1cc(C(=O)N2CCC[C@H](NC(=O)Cn3c(=O)[n-][nH]c3=O)C2)[nH]n1 ZINC000950156486 654054820 /nfs/dbraw/zinc/05/48/20/654054820.db2.gz GVRBFCSDRCNERX-VIFPVBQESA-N -1 1 349.351 -0.858 20 0 EBADMM Cc1cc(C(=O)N2CCC[C@@H](NC(=O)Cn3c(=O)[n-][nH]c3=O)C2)[nH]n1 ZINC000950156485 654054848 /nfs/dbraw/zinc/05/48/48/654054848.db2.gz GVRBFCSDRCNERX-SECBINFHSA-N -1 1 349.351 -0.858 20 0 EBADMM O=C(CC1CC1)N[C@@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000951362378 654103862 /nfs/dbraw/zinc/10/38/62/654103862.db2.gz LBDGNXXZRLAKIP-CYBMUJFWSA-N -1 1 348.403 -0.166 20 0 EBADMM O=C(N[C@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C(F)F ZINC000951408031 654117456 /nfs/dbraw/zinc/11/74/56/654117456.db2.gz BUEOYQXVIJMJMY-ZETCQYMHSA-N -1 1 340.290 -0.597 20 0 EBADMM Cc1ncccc1CC(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000950485688 654145487 /nfs/dbraw/zinc/14/54/87/654145487.db2.gz WFZNJPYYAORXSL-UHFFFAOYSA-N -1 1 330.392 -0.301 20 0 EBADMM O=C(N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1)[C@H]1COCCO1 ZINC000950539471 654171817 /nfs/dbraw/zinc/17/18/17/654171817.db2.gz QMVPSRLGRAXJKV-WCQYABFASA-N -1 1 335.360 -0.077 20 0 EBADMM O=C(N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1)[C@H]1COCCO1 ZINC000950539470 654171963 /nfs/dbraw/zinc/17/19/63/654171963.db2.gz QMVPSRLGRAXJKV-DGCLKSJQSA-N -1 1 335.360 -0.077 20 0 EBADMM O=C(c1c[n-]n2c1nccc2=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000951549996 654190475 /nfs/dbraw/zinc/19/04/75/654190475.db2.gz JLJIKKBYHYNQMC-LBPRGKRZSA-N -1 1 331.376 -0.183 20 0 EBADMM CCN(C(=O)[C@@H]1CCC[C@@H]1OC)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950667422 654216410 /nfs/dbraw/zinc/21/64/10/654216410.db2.gz NTPMHSTWZXPABK-OLZOCXBDSA-N -1 1 337.424 -0.044 20 0 EBADMM CN1CC[C@@H](C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])C1=O ZINC000951654716 654233083 /nfs/dbraw/zinc/23/30/83/654233083.db2.gz HSPUTAHYGGICLZ-QWRGUYRKSA-N -1 1 332.360 -0.404 20 0 EBADMM CCc1c(C(=O)N(CC)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC000950724725 654236895 /nfs/dbraw/zinc/23/68/95/654236895.db2.gz FNSSMQGKZXNATK-UHFFFAOYSA-N -1 1 347.423 -0.249 20 0 EBADMM CN1CC[C@@H](C(=O)N2CCC[C@H](NC(=O)c3ncccc3[O-])C2)C1=O ZINC000951692298 654249666 /nfs/dbraw/zinc/24/96/66/654249666.db2.gz XRKHEAIVWOZITO-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(Cn1ncnn1)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000950911544 654309629 /nfs/dbraw/zinc/30/96/29/654309629.db2.gz VPZPPBGXWKVKPX-SNVBAGLBSA-N -1 1 331.336 -0.805 20 0 EBADMM CCN(C(=O)c1cnc([O-])n(C)c1=O)C1CN(C[C@H]2CCOC2)C1 ZINC000951121670 654392317 /nfs/dbraw/zinc/39/23/17/654392317.db2.gz FGXFQFFLUNXMFT-LLVKDONJSA-N -1 1 336.392 -0.331 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCN1C(=O)c1cnco1 ZINC000951221878 654423343 /nfs/dbraw/zinc/42/33/43/654423343.db2.gz BLGMKFJEBDFOJO-ZETCQYMHSA-N -1 1 349.303 -0.471 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1cocn1)c1cc(=O)n2[n-]cnc2n1 ZINC000951222609 654423589 /nfs/dbraw/zinc/42/35/89/654423589.db2.gz OLZWQAFHGVGRDP-MRVPVSSYSA-N -1 1 343.303 -0.950 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCN1C(=O)c1cc[nH]n1 ZINC000951222296 654423883 /nfs/dbraw/zinc/42/38/83/654423883.db2.gz JKFYFZLFYITLFS-ZETCQYMHSA-N -1 1 348.319 -0.736 20 0 EBADMM O=C(CC1CC1)N1CC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951222311 654423986 /nfs/dbraw/zinc/42/39/86/654423986.db2.gz KBKWXNLFHMRKKP-JTQLQIEISA-N -1 1 330.348 -0.452 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)C1CCCC1)c1cc(=O)n2[n-]cnc2n1 ZINC000951224454 654425359 /nfs/dbraw/zinc/42/53/59/654425359.db2.gz FRHOCZXGIHWNAQ-NSHDSACASA-N -1 1 344.375 -0.062 20 0 EBADMM O=C(C=C1CCC1)N1CC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951225140 654426077 /nfs/dbraw/zinc/42/60/77/654426077.db2.gz VYWLNYXEPXOWBG-NSHDSACASA-N -1 1 342.359 -0.141 20 0 EBADMM C[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1NC(=O)C(F)F ZINC000952369505 654448677 /nfs/dbraw/zinc/44/86/77/654448677.db2.gz HSFGCCRRHORDIH-RKDXNWHRSA-N -1 1 344.318 -0.845 20 0 EBADMM CC(=O)N1C[C@H]2CCC[C@@H](C1)N2C(=O)CCn1cc[n-]c(=O)c1=O ZINC000952624360 654485819 /nfs/dbraw/zinc/48/58/19/654485819.db2.gz VUNYBQZMIZRIOY-BETUJISGSA-N -1 1 334.376 -0.462 20 0 EBADMM CC(=O)N1CC[C@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@@H]21 ZINC000953377008 654568888 /nfs/dbraw/zinc/56/88/88/654568888.db2.gz MMQVHLNXDZYVFP-PWSUYJOCSA-N -1 1 330.348 -0.500 20 0 EBADMM CCC(=O)N1CC[C@@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@@H]21 ZINC000953378751 654569274 /nfs/dbraw/zinc/56/92/74/654569274.db2.gz MKOWAKOFIAUJDP-STQMWFEESA-N -1 1 348.403 -0.214 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(N(C)C(=O)C(F)F)C1 ZINC000953460254 654589161 /nfs/dbraw/zinc/58/91/61/654589161.db2.gz PJJPVWFYRKKKRT-UHFFFAOYSA-N -1 1 332.263 -0.556 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cccc(=O)[nH]1 ZINC000965845631 724530812 /nfs/dbraw/zinc/53/08/12/724530812.db2.gz NNMRMYHKRVSZCG-ZYHUDNBSSA-N -1 1 346.391 -0.151 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)ncn1 ZINC000965916584 724539458 /nfs/dbraw/zinc/53/94/58/724539458.db2.gz INIGRYUGMZGEKX-ZWNOBZJWSA-N -1 1 345.407 -0.153 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)ncn1 ZINC000965916583 724539687 /nfs/dbraw/zinc/53/96/87/724539687.db2.gz INIGRYUGMZGEKX-MFKMUULPSA-N -1 1 345.407 -0.153 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[nH]2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000965940118 724543989 /nfs/dbraw/zinc/54/39/89/724543989.db2.gz CDZLNOKNXFIYDQ-WDEREUQCSA-N -1 1 346.391 -0.151 20 0 EBADMM C[C@H](CN1CCN(C)CC1)NC(=O)CN(C[C@H]1CCCO1)C(=O)[O-] ZINC000738909037 719419434 /nfs/dbraw/zinc/41/94/34/719419434.db2.gz CSOIXCHTXCXOQY-ZIAGYGMSSA-N -1 1 342.440 -0.103 20 0 EBADMM CN(C[C@@H]1CCCN(C(=O)CC(N)=O)C1)C(=O)c1ncccc1[O-] ZINC000966215513 724590374 /nfs/dbraw/zinc/59/03/74/724590374.db2.gz HXJDWHLLUBZFCK-NSHDSACASA-N -1 1 334.376 -0.027 20 0 EBADMM C[C@@H]1CN(C(=O)CC(N)=O)C[C@@H]1NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000966258306 724599213 /nfs/dbraw/zinc/59/92/13/724599213.db2.gz HNNLEQBJXKJLBZ-KCJUWKMLSA-N -1 1 347.375 -0.488 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)c2cn(C)c(=O)cn2)C1 ZINC000954124239 719569324 /nfs/dbraw/zinc/56/93/24/719569324.db2.gz RWRQMNUSUHCJPP-UHFFFAOYSA-N -1 1 343.343 -0.523 20 0 EBADMM CCn1cc(CC(=O)N2CC(N(C)C(=O)c3ncccc3[O-])C2)nn1 ZINC000954124245 719569491 /nfs/dbraw/zinc/56/94/91/719569491.db2.gz SDXDCFQEOYVWIG-UHFFFAOYSA-N -1 1 344.375 -0.076 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC000954127572 719570492 /nfs/dbraw/zinc/57/04/92/719570492.db2.gz ARSXWZRVLSQNBR-NSHDSACASA-N -1 1 346.387 -0.062 20 0 EBADMM CCn1ncc(C(=O)N(C)C2CN(C(=O)c3ncccc3[O-])C2)n1 ZINC000954214360 719619632 /nfs/dbraw/zinc/61/96/32/719619632.db2.gz KCZLLSKFAFTOEQ-UHFFFAOYSA-N -1 1 330.348 -0.005 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)c1ncn(C)n1 ZINC000966342778 724618379 /nfs/dbraw/zinc/61/83/79/724618379.db2.gz BVOBYPRFQCSVIZ-UWVGGRQHSA-N -1 1 330.348 -0.194 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)Cn1cncn1 ZINC000966350430 724620170 /nfs/dbraw/zinc/62/01/70/724620170.db2.gz QNRYKKSOWBRFND-QWRGUYRKSA-N -1 1 330.348 -0.344 20 0 EBADMM CN(C(=O)[C@@H]1CCCN1C(N)=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954670303 719828816 /nfs/dbraw/zinc/82/88/16/719828816.db2.gz ARFDDVVNOVKSID-NSHDSACASA-N -1 1 347.375 -0.387 20 0 EBADMM CN(C(=O)[C@@H]1CCC(=O)NC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954716568 719857569 /nfs/dbraw/zinc/85/75/69/719857569.db2.gz NACLFCRBIYCWBJ-SNVBAGLBSA-N -1 1 332.360 -0.404 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnnn2C)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966376314 724629175 /nfs/dbraw/zinc/62/91/75/724629175.db2.gz LNQIZEHPYLIBHZ-ZJUUUORDSA-N -1 1 330.348 -0.194 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)CCC2CC2)C1 ZINC000954832849 719927069 /nfs/dbraw/zinc/92/70/69/719927069.db2.gz RRJVEUMAAFHDQX-UHFFFAOYSA-N -1 1 344.375 -0.109 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)[C@H]2CC23CC3)C1 ZINC000954833571 719927406 /nfs/dbraw/zinc/92/74/06/719927406.db2.gz YMYOMPFBZCLQRU-SNVBAGLBSA-N -1 1 342.359 -0.500 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncn(C)n2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966404615 724637718 /nfs/dbraw/zinc/63/77/18/724637718.db2.gz KYQISOHEGMLLTA-ZJUUUORDSA-N -1 1 330.348 -0.194 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@@H]1NC(=O)C(N)=O ZINC000966447557 724649263 /nfs/dbraw/zinc/64/92/63/724649263.db2.gz ZDVPVQSTSLWNSR-XCBNKYQSSA-N -1 1 333.348 -0.878 20 0 EBADMM CCC(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1C ZINC000955448247 720178748 /nfs/dbraw/zinc/17/87/48/720178748.db2.gz NGTIPGXKBPHWLK-VHSXEESVSA-N -1 1 332.364 -0.063 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)Cn1cnnn1 ZINC000955535601 720186713 /nfs/dbraw/zinc/18/67/13/720186713.db2.gz JQWAPMHOXSLKPJ-MNOVXSKESA-N -1 1 345.363 -0.417 20 0 EBADMM C[C@H]1C[C@H](NC(=O)C(N)=O)CCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000955692374 720225993 /nfs/dbraw/zinc/22/59/93/720225993.db2.gz ZTEHDIFNMNRDDE-WCBMZHEXSA-N -1 1 347.375 -0.346 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)Cn1ncnn1 ZINC000955695302 720227637 /nfs/dbraw/zinc/22/76/37/720227637.db2.gz NBIBUFWCGSPXED-GHMZBOCLSA-N -1 1 345.363 -0.417 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)C2CC2)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000955740059 720242465 /nfs/dbraw/zinc/24/24/65/720242465.db2.gz NMTHKXYPXQVJBG-DGCLKSJQSA-N -1 1 348.403 -0.168 20 0 EBADMM Cn1cnc(C(=O)NC[C@@H]2CCCN2C(=O)c2ncccc2[O-])n1 ZINC000955979225 720315284 /nfs/dbraw/zinc/31/52/84/720315284.db2.gz BDJPGZSVODCUOB-JTQLQIEISA-N -1 1 330.348 -0.050 20 0 EBADMM CN1CCOC[C@@H]1C(=O)NC[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000955979253 720315630 /nfs/dbraw/zinc/31/56/30/720315630.db2.gz CDICJRCDHCSCGZ-QWHCGFSZSA-N -1 1 348.403 -0.161 20 0 EBADMM O=C(NC[C@@H]1CCCN1C(=O)[C@H]1CNC(=O)N1)c1ncccc1[O-] ZINC000956182304 720390149 /nfs/dbraw/zinc/39/01/49/720390149.db2.gz UAQDCRQGDTZJCR-VHSXEESVSA-N -1 1 333.348 -0.811 20 0 EBADMM NC(=O)C1(C(=O)N2CCC[C@H]2CNC(=O)c2ncccc2[O-])CC1 ZINC000956184609 720391662 /nfs/dbraw/zinc/39/16/62/720391662.db2.gz KNKSRGZLFFXAQO-JTQLQIEISA-N -1 1 332.360 -0.227 20 0 EBADMM Cc1ncn(C)c1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000966586471 724690199 /nfs/dbraw/zinc/69/01/99/724690199.db2.gz XIVVSZCFBODKCM-PWSUYJOCSA-N -1 1 345.359 -0.556 20 0 EBADMM C[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1NC(=O)C1CC1 ZINC000966588476 724690777 /nfs/dbraw/zinc/69/07/77/724690777.db2.gz ALWDPNDWPNJWMB-CMPLNLGQSA-N -1 1 334.376 -0.700 20 0 EBADMM C[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1NC(=O)C1(C)CC1 ZINC000966606618 724696551 /nfs/dbraw/zinc/69/65/51/724696551.db2.gz CKBDZASAHVCVHX-ONGXEEELSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1NC(=O)C1CCC1 ZINC000966613440 724698770 /nfs/dbraw/zinc/69/87/70/724698770.db2.gz TUTCDKQGDYDSNF-CABZTGNLSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)[C@H]2CNC(=O)N2)C1 ZINC000956850377 722119367 /nfs/dbraw/zinc/11/93/67/722119367.db2.gz LDOQPTCRULLIGT-RFAUZJTJSA-N -1 1 333.348 -0.811 20 0 EBADMM C[C@@]1(NC(=O)[C@H]2COC(=O)N2)CCN(C(=O)c2ncccc2[O-])C1 ZINC000956881604 722126400 /nfs/dbraw/zinc/12/64/00/722126400.db2.gz WNECLYNHQLAICY-RFAUZJTJSA-N -1 1 334.332 -0.384 20 0 EBADMM C[C@@H](Cc1cnn(C)c1)C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000956950981 722138397 /nfs/dbraw/zinc/13/83/97/722138397.db2.gz ADYNVDMVXVUDMZ-LBPRGKRZSA-N -1 1 347.423 -0.635 20 0 EBADMM COc1cccc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC000957031965 722146460 /nfs/dbraw/zinc/14/64/60/722146460.db2.gz MINQJPCZNYDHOR-UHFFFAOYSA-N -1 1 332.364 -0.530 20 0 EBADMM CC[C@@H]1C[C@@H](C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)CCO1 ZINC000957106949 722158103 /nfs/dbraw/zinc/15/81/03/722158103.db2.gz IDNNGLYXBVAQRH-QWHCGFSZSA-N -1 1 337.424 -0.042 20 0 EBADMM CCCC(=O)N1CC[C@@](C)(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000957220085 722176463 /nfs/dbraw/zinc/17/64/63/722176463.db2.gz SXBLBSZBYABHCU-OAHLLOKOSA-N -1 1 332.364 -0.062 20 0 EBADMM CCN(C(=O)c1cccn(C)c1=O)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000957286144 722189583 /nfs/dbraw/zinc/18/95/83/722189583.db2.gz RDMBEVOBCHOPHR-UHFFFAOYSA-N -1 1 346.391 -0.846 20 0 EBADMM C[C@H]1CC[C@H](CN2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC2)O1 ZINC000957314577 722193263 /nfs/dbraw/zinc/19/32/63/722193263.db2.gz KBXFPFCKOJNMQQ-NWDGAFQWSA-N -1 1 346.391 -0.257 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(Cc2cnn(C)c2)CC1 ZINC000957319212 722194995 /nfs/dbraw/zinc/19/49/95/722194995.db2.gz CGLRIGFOZOJZSZ-UHFFFAOYSA-N -1 1 348.363 -0.412 20 0 EBADMM C[C@@]1(NC(=O)[C@H]2CNC(=O)N2)CCN(C(=O)c2ncccc2[O-])C1 ZINC000957456225 722213746 /nfs/dbraw/zinc/21/37/46/722213746.db2.gz SIWVEFIZSUCAOA-RFAUZJTJSA-N -1 1 333.348 -0.811 20 0 EBADMM C[C@@H](CC(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1)[C@@H]1CCCO1 ZINC000957463161 722214720 /nfs/dbraw/zinc/21/47/20/722214720.db2.gz YCEJTDKLQOUGCX-STQMWFEESA-N -1 1 337.424 -0.042 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2CCC(=O)N2C)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000957803548 722243452 /nfs/dbraw/zinc/24/34/52/722243452.db2.gz KSDBHPJYIPGYLI-UTUOFQBUSA-N -1 1 346.387 -0.015 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@@H](CNC(=O)c3cccc(F)c3)C2)nc1=O ZINC000957825293 722245771 /nfs/dbraw/zinc/24/57/71/722245771.db2.gz BZWHSDCFSFYMEN-WCQYABFASA-N -1 1 349.366 -0.530 20 0 EBADMM Cc1cccc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)n1 ZINC000957879302 722256431 /nfs/dbraw/zinc/25/64/31/722256431.db2.gz ZLSRNXNTRVCKFR-DGCLKSJQSA-N -1 1 346.391 -0.965 20 0 EBADMM Cn1[n-]c(CN2C[C@H](O)[C@H](CNC(=O)c3ccccc3O)C2)nc1=O ZINC000957887134 722258541 /nfs/dbraw/zinc/25/85/41/722258541.db2.gz SMEAULTWUPVIAY-MFKMUULPSA-N -1 1 347.375 -0.963 20 0 EBADMM O=C(NC[C@@H]1CN(Cc2csnn2)C[C@@H]1O)c1ncccc1[O-] ZINC000957989692 722283448 /nfs/dbraw/zinc/28/34/48/722283448.db2.gz HKZJULPQRFMATG-SKDRFNHKSA-N -1 1 335.389 -0.139 20 0 EBADMM CCn1ncnc1CN1C[C@H](O)[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957990244 722283955 /nfs/dbraw/zinc/28/39/55/722283955.db2.gz LOOSOPCFNNECJY-YPMHNXCESA-N -1 1 346.391 -0.379 20 0 EBADMM Cn1ccc(CN2C[C@H](O)[C@@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC000957991477 722283991 /nfs/dbraw/zinc/28/39/91/722283991.db2.gz SCCVZXRLGAXTND-FZMZJTMJSA-N -1 1 331.376 -0.257 20 0 EBADMM CCn1nncc1CN1C[C@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957994465 722284781 /nfs/dbraw/zinc/28/47/81/722284781.db2.gz CLMJDQPSYGKHFS-FZMZJTMJSA-N -1 1 346.391 -0.379 20 0 EBADMM O=C(NC[C@@H]1CN(Cc2cnns2)C[C@@H]1O)c1ncccc1[O-] ZINC000957995067 722285211 /nfs/dbraw/zinc/28/52/11/722285211.db2.gz KQKDEEYXJGBOLP-SKDRFNHKSA-N -1 1 335.389 -0.139 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)Cc2ccsc2)nc1=O ZINC000958144127 722310069 /nfs/dbraw/zinc/31/00/69/722310069.db2.gz RPQIXBYXMFADOW-YABSGUDNSA-N -1 1 333.417 -0.041 20 0 EBADMM Cc1cc(C[N@@H+]2C[C@H](O)[C@H](CNC(=O)c3cnc[nH]c3=O)C2)on1 ZINC000958168026 722317180 /nfs/dbraw/zinc/31/71/80/722317180.db2.gz RUDOIFFTCJZLBS-MFKMUULPSA-N -1 1 333.348 -0.299 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@@H](CNC(=O)C3CC4(CCC4)C3)C2)nc1=O ZINC000958328864 722346940 /nfs/dbraw/zinc/34/69/40/722346940.db2.gz OHXVVCYIPGYKHR-QWHCGFSZSA-N -1 1 349.435 -0.402 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c(C)[nH]1 ZINC000958444935 722367094 /nfs/dbraw/zinc/36/70/94/722367094.db2.gz UGQMNLSSRTVCBF-WCQYABFASA-N -1 1 348.407 -0.724 20 0 EBADMM Cc1ccc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)cc1 ZINC000958619349 722403272 /nfs/dbraw/zinc/40/32/72/722403272.db2.gz ROBWFLKDPNXLCX-KGLIPLIRSA-N -1 1 345.403 -0.360 20 0 EBADMM CN(C(=O)[C@H]1CCCOC1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958730917 722421595 /nfs/dbraw/zinc/42/15/95/722421595.db2.gz NNASXBTVKBUGGR-OBJOEFQTSA-N -1 1 349.387 -0.143 20 0 EBADMM Cc1ccnc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)n1 ZINC000958731818 722421704 /nfs/dbraw/zinc/42/17/04/722421704.db2.gz BLDYMCILFRMHGX-IAZYJMLFSA-N -1 1 329.364 -0.933 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)[C@H]2CCCO2)C[C@@H]1O ZINC000958830286 722604157 /nfs/dbraw/zinc/60/41/57/722604157.db2.gz OIUSVMHPGDZGAC-WCFLWFBJSA-N -1 1 335.360 -0.390 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)c2cc[nH]n2)C[C@@H]1O ZINC000958830295 722604160 /nfs/dbraw/zinc/60/41/60/722604160.db2.gz OSAZYNXHEKCQIK-JQWIXIFHSA-N -1 1 331.332 -0.532 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)c2ccn[nH]2)C[C@@H]1O ZINC000958830295 722604161 /nfs/dbraw/zinc/60/41/61/722604161.db2.gz OSAZYNXHEKCQIK-JQWIXIFHSA-N -1 1 331.332 -0.532 20 0 EBADMM O=C(c1ccc2n[nH]nc2n1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001018941874 728751588 /nfs/dbraw/zinc/75/15/88/728751588.db2.gz OCXCJWHKWMRZJF-ZETCQYMHSA-N -1 1 329.324 -0.819 20 0 EBADMM CN(C(=O)[C@H]1[C@@H]2COC[C@@H]21)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958930653 722659487 /nfs/dbraw/zinc/65/94/87/722659487.db2.gz ZLDVUVVYUDWVMK-GGFUIZRSSA-N -1 1 347.371 -0.677 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)Cn2ncnn2)C1 ZINC000959168438 722694230 /nfs/dbraw/zinc/69/42/30/722694230.db2.gz VRKGVIZSQJMBBM-MNOVXSKESA-N -1 1 345.363 -0.559 20 0 EBADMM O=C(c1ncccc1[O-])N1CC2(C1)CCN(C(=O)[C@H]1CCNC1=O)C2 ZINC001018962306 728756499 /nfs/dbraw/zinc/75/64/99/728756499.db2.gz NVWOZBUNLAONMH-NSHDSACASA-N -1 1 344.371 -0.402 20 0 EBADMM CCn1nncc1C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959993857 722781115 /nfs/dbraw/zinc/78/11/15/722781115.db2.gz VHJZHVMAFGNWJW-JTQLQIEISA-N -1 1 334.384 -0.094 20 0 EBADMM Cc1[nH]nc(C(=O)N2C[C@H]3OCCN(C(=O)c4ccn[nH]4)[C@H]3C2)c1[O-] ZINC000960060572 722786510 /nfs/dbraw/zinc/78/65/10/722786510.db2.gz HSJRSTRDEFGANR-WDEREUQCSA-N -1 1 346.347 -0.488 20 0 EBADMM Cn1c(=O)cccc1C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000960128328 722796805 /nfs/dbraw/zinc/79/68/05/722796805.db2.gz NNCLVJGEMUETLL-NSHDSACASA-N -1 1 346.391 -0.007 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)C1=COCCO1 ZINC000960389552 722825190 /nfs/dbraw/zinc/82/51/90/722825190.db2.gz HUPNCFHYBXCVFF-LLVKDONJSA-N -1 1 337.380 -0.581 20 0 EBADMM Cc1ncn(C)c1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000960394282 722825290 /nfs/dbraw/zinc/82/52/90/722825290.db2.gz HLLKLMKFQOHPBW-IAZYJMLFSA-N -1 1 331.380 -0.989 20 0 EBADMM C[C@@H](C(=O)N1CCC[C@@H]1CN(C)Cc1nc(=O)n(C)[n-]1)n1cccn1 ZINC000960447209 722830506 /nfs/dbraw/zinc/83/05/06/722830506.db2.gz VXJXGVHIJHLRCN-QWHCGFSZSA-N -1 1 347.423 -0.011 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)C[C@@H]1CCOC1 ZINC000960508877 722834816 /nfs/dbraw/zinc/83/48/16/722834816.db2.gz DQEVMSQLEIGPQC-QWHCGFSZSA-N -1 1 337.424 -0.042 20 0 EBADMM Cc1cc(CC(=O)N2CCC[C@@H]2CN(C)Cc2nc(=O)n(C)[n-]2)[nH]n1 ZINC000960544523 722837786 /nfs/dbraw/zinc/83/77/86/722837786.db2.gz BEVJRPVKLFECCQ-CYBMUJFWSA-N -1 1 347.423 -0.195 20 0 EBADMM C[C@H](C(=O)N1CCC[C@@H]1CN(C)Cc1nc(=O)n(C)[n-]1)n1cncn1 ZINC000960584020 722841431 /nfs/dbraw/zinc/84/14/31/722841431.db2.gz QJAKVEIHNCWDFJ-VXGBXAGGSA-N -1 1 348.411 -0.616 20 0 EBADMM COC1CC(C(=O)N2CCC[C@H]2CN(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000960698615 722854416 /nfs/dbraw/zinc/85/44/16/722854416.db2.gz FQTKCDITCCYJCU-CPCZMJQVSA-N -1 1 337.424 -0.044 20 0 EBADMM C[N@@H+](Cc1cc(=O)[nH]c(C2CC2)n1)C[C@H]1CCCN1C(=O)C(N)=O ZINC000960823281 722869128 /nfs/dbraw/zinc/86/91/28/722869128.db2.gz AKHRXQOJOVXBMV-GFCCVEGCSA-N -1 1 333.392 -0.032 20 0 EBADMM CN(Cc1cnn(C)c1)C[C@H]1CCCN1C(=O)Cn1c(=O)[n-][nH]c1=O ZINC000960990929 722885420 /nfs/dbraw/zinc/88/54/20/722885420.db2.gz JOEOZKOXPYUGMZ-GFCCVEGCSA-N -1 1 349.395 -0.454 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H]2CCO[C@H]2C2CC2)nc1=O ZINC000961303988 722928194 /nfs/dbraw/zinc/92/81/94/722928194.db2.gz RYEWVVNJDSZLPS-MUGBGTHKSA-N -1 1 347.419 -0.530 20 0 EBADMM Cc1cn2c(n1)C[C@H](C(=O)N1CC[C@H](NCc3n[nH]c(=O)[n-]3)C1)CC2 ZINC001019039243 728778871 /nfs/dbraw/zinc/77/88/71/728778871.db2.gz YDFZTTIZKPGOMI-NEPJUHHUSA-N -1 1 345.407 -0.032 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(Cc3cc(=O)n4[n-]ccc4n3)C[C@H]21)c1cnco1 ZINC000961400038 723013123 /nfs/dbraw/zinc/01/31/23/723013123.db2.gz ASULBSPJLZYPOM-HBYGRHMLSA-N -1 1 340.343 -0.129 20 0 EBADMM Cc1nn(C)c(C)c1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000961425884 723017335 /nfs/dbraw/zinc/01/73/35/723017335.db2.gz URPRPARABGYPLL-YABSGUDNSA-N -1 1 345.407 -0.681 20 0 EBADMM CCc1cc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)[nH]n1 ZINC000961643292 723051409 /nfs/dbraw/zinc/05/14/09/723051409.db2.gz SJMITPZFKNJNNN-YPMHNXCESA-N -1 1 345.359 -0.312 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)n1cccn1 ZINC000961681540 723057560 /nfs/dbraw/zinc/05/75/60/723057560.db2.gz XCAQSCPBSOAJGR-LOWVWBTDSA-N -1 1 345.359 -0.454 20 0 EBADMM CC(C)n1ccc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)n1 ZINC000961907675 723113593 /nfs/dbraw/zinc/11/35/93/723113593.db2.gz DAZYQMDCXQQGSO-YABSGUDNSA-N -1 1 345.407 -0.254 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)c(C)[nH]1 ZINC000961915627 723116000 /nfs/dbraw/zinc/11/60/00/723116000.db2.gz WIPWMZGBNRINPN-IMRBUKKESA-N -1 1 330.392 -0.086 20 0 EBADMM CCn1ncc(CNCC2CC(NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC000962037696 723155483 /nfs/dbraw/zinc/15/54/83/723155483.db2.gz ARUPCHRXIWXEHJ-UHFFFAOYSA-N -1 1 348.367 -0.808 20 0 EBADMM C[C@H](NCC1CC(NC(=O)c2n[nH]c(=O)[n-]c2=O)C1)c1ncccn1 ZINC000962037935 723155494 /nfs/dbraw/zinc/15/54/94/723155494.db2.gz DSUXWAJHFWLCOE-IDKOKCKLSA-N -1 1 345.363 -0.068 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CC[C@H](NCc2ccc(F)cn2)C1 ZINC001019094809 728795253 /nfs/dbraw/zinc/79/52/53/728795253.db2.gz NKRRCQLNFLTHIX-JTQLQIEISA-N -1 1 334.311 -0.179 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@]2(C)CCCOC2)nc1=O ZINC000962165540 723185604 /nfs/dbraw/zinc/18/56/04/723185604.db2.gz MRNYCZFPHJXOSM-KTSGXFQWSA-N -1 1 335.408 -0.529 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)[C@H]1CCCCO1 ZINC000962310717 723224011 /nfs/dbraw/zinc/22/40/11/723224011.db2.gz PLBBDOLNXYXTMX-KGYLQXTDSA-N -1 1 335.360 -0.342 20 0 EBADMM C[C@@H](c1cnccn1)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC000962404593 723241551 /nfs/dbraw/zinc/24/15/51/723241551.db2.gz KJAVSVSOQACIKK-QISWUMQESA-N -1 1 345.363 -0.713 20 0 EBADMM Cc1cc(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)nn1C ZINC000962434922 723252022 /nfs/dbraw/zinc/25/20/22/723252022.db2.gz MMKKDOOKRDGYBD-YPMHNXCESA-N -1 1 345.359 -0.556 20 0 EBADMM C[C@]1(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)CCOC1 ZINC000962435803 723252242 /nfs/dbraw/zinc/25/22/42/723252242.db2.gz UTINAYODBJBQMS-XAGWURHQSA-N -1 1 335.360 -0.485 20 0 EBADMM C[C@@H]1CC[C@H](C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)O1 ZINC000962435991 723252441 /nfs/dbraw/zinc/25/24/41/723252441.db2.gz AIULLPRDSDMADC-VCDKRKBESA-N -1 1 335.360 -0.344 20 0 EBADMM Cc1ncc(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1C ZINC000962436164 723252823 /nfs/dbraw/zinc/25/28/23/723252823.db2.gz CFADBHRSTVGFJT-MFKMUULPSA-N -1 1 345.359 -0.556 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C[C@H]2CCCOC2)nc1=O ZINC000962619991 723297204 /nfs/dbraw/zinc/29/72/04/723297204.db2.gz SLQRFGXRBUSRPT-FJJYHAOUSA-N -1 1 335.408 -0.529 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)c(C)n1 ZINC000962724540 723312840 /nfs/dbraw/zinc/31/28/40/723312840.db2.gz BQDAGWJKRZNNQG-NHAGDIPZSA-N -1 1 342.403 -0.020 20 0 EBADMM CCn1ncc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)n1 ZINC000962738871 723313775 /nfs/dbraw/zinc/31/37/75/723313775.db2.gz WHLIHLYIJILBDL-PWSUYJOCSA-N -1 1 346.347 -0.986 20 0 EBADMM CC(C)C(=O)N1CCC2(CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)C1 ZINC001019207879 728826588 /nfs/dbraw/zinc/82/65/88/728826588.db2.gz XZSARPYVPCBBOE-UHFFFAOYSA-N -1 1 344.375 -0.252 20 0 EBADMM O=C([C@@H]1CCC(=O)N1)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001019237487 728833510 /nfs/dbraw/zinc/83/35/10/728833510.db2.gz IVLQQFDZWDBUAO-NSHDSACASA-N -1 1 344.371 -0.260 20 0 EBADMM NC(=O)c1ccccc1CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019225064 728830453 /nfs/dbraw/zinc/83/04/53/728830453.db2.gz NAPGSUQGXUFNMU-NSHDSACASA-N -1 1 344.375 -0.458 20 0 EBADMM O=C([C@H]1CCNC1=O)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001019251203 728838520 /nfs/dbraw/zinc/83/85/20/728838520.db2.gz IBCYPWMKJCJXMS-NSHDSACASA-N -1 1 344.371 -0.402 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1ncccc1[O-])c1ccn[nH]1 ZINC000964086997 723792519 /nfs/dbraw/zinc/79/25/19/723792519.db2.gz DEJXPKLYTVQQTI-JTQLQIEISA-N -1 1 331.332 -0.219 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1ncccc1[O-])c1cnns1 ZINC000964115508 723814052 /nfs/dbraw/zinc/81/40/52/723814052.db2.gz XLNRHKCZPKUYAR-SECBINFHSA-N -1 1 349.372 -0.090 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1cncnc1)c1ncccc1[O-] ZINC000964154692 723840879 /nfs/dbraw/zinc/84/08/79/723840879.db2.gz LJZJGWGYXACBOA-LBPRGKRZSA-N -1 1 343.343 -0.152 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1cnns1)c1ncccc1[O-] ZINC000964154881 723841399 /nfs/dbraw/zinc/84/13/99/723841399.db2.gz GZDFMGHSCMUBFH-SECBINFHSA-N -1 1 349.372 -0.090 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1ncc[nH]1)c1ncccc1[O-] ZINC000964157168 723842905 /nfs/dbraw/zinc/84/29/05/723842905.db2.gz YKTWBDRXIJCDIO-SNVBAGLBSA-N -1 1 331.332 -0.219 20 0 EBADMM Cn1nnc2c1C[C@H](C(=O)N1CC[C@H](NCc3n[nH]c(=O)[n-]3)C1)CC2 ZINC001019294477 728850377 /nfs/dbraw/zinc/85/03/77/728850377.db2.gz XGANEPHPVVJCQX-ZJUUUORDSA-N -1 1 346.395 -0.866 20 0 EBADMM CCC(=O)N1CCC2(C[C@H]2NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000964412988 724006225 /nfs/dbraw/zinc/00/62/25/724006225.db2.gz YAHPBIYTKDVWRI-LLVKDONJSA-N -1 1 344.375 -0.062 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1cnccn1 ZINC000964741376 724053341 /nfs/dbraw/zinc/05/33/41/724053341.db2.gz FXJBRLQRNDBZNV-JQWIXIFHSA-N -1 1 331.380 -0.461 20 0 EBADMM Cn1cnc2ccc(C(=O)N3CC[C@H](NCc4n[nH]c(=O)[n-]4)C3)nc21 ZINC001019379269 728875376 /nfs/dbraw/zinc/87/53/76/728875376.db2.gz NJNDFZVRGUMADA-VIFPVBQESA-N -1 1 342.363 -0.204 20 0 EBADMM O=C(N[C@H]1CCCN(C(=O)c2ncccc2[O-])CC1)[C@@H]1CNC(=O)N1 ZINC000965137730 724131158 /nfs/dbraw/zinc/13/11/58/724131158.db2.gz BUUTUBKTQLVXNG-QWRGUYRKSA-N -1 1 347.375 -0.421 20 0 EBADMM O=C(c1ncccc1[O-])N1CC2(C1)CN(C(=O)[C@H]1CCOC1)CCO2 ZINC000965318001 724173244 /nfs/dbraw/zinc/17/32/44/724173244.db2.gz DPEFTGXCKJLVRW-LBPRGKRZSA-N -1 1 347.371 -0.123 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)nn1C ZINC000965454909 724252147 /nfs/dbraw/zinc/25/21/47/724252147.db2.gz XPKJNCNSJCBFMO-MFKMUULPSA-N -1 1 347.423 -0.209 20 0 EBADMM CC(C)[C@@H](O)C(=O)N1CC2(C1)C[C@H](NC(=O)c1ncccc1[O-])CO2 ZINC000965679271 724361282 /nfs/dbraw/zinc/36/12/82/724361282.db2.gz CFMDOVSDSYMERM-SMDDNHRTSA-N -1 1 349.387 -0.096 20 0 EBADMM Cc1nc(CN2CC[C@H](C)[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC000967258790 724857540 /nfs/dbraw/zinc/85/75/40/724857540.db2.gz RATBDFZLZMPOTK-CBAPKCEASA-N -1 1 348.367 -0.650 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCCO[C@H](CNC(C)=O)C1 ZINC001019498119 728901670 /nfs/dbraw/zinc/90/16/70/728901670.db2.gz SVRALPYJGUOYFK-SECBINFHSA-N -1 1 340.336 -0.736 20 0 EBADMM CCN(C(=O)C1CC1)C1CN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC000967714769 724897892 /nfs/dbraw/zinc/89/78/92/724897892.db2.gz RJBQLJVQSBZYLR-UHFFFAOYSA-N -1 1 336.348 -0.021 20 0 EBADMM CCN(C(=O)[C@@H]1COCCN1C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000967887799 724925354 /nfs/dbraw/zinc/92/53/54/724925354.db2.gz NKEVJHONNKMLGD-ZDUSSCGKSA-N -1 1 348.403 -0.209 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1ccsn1 ZINC000968060739 724955354 /nfs/dbraw/zinc/95/53/54/724955354.db2.gz WJGIZNRZXDRMHX-KOLCDFICSA-N -1 1 334.357 -0.141 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1n[nH]cc1F ZINC000968193707 724976041 /nfs/dbraw/zinc/97/60/41/724976041.db2.gz WKUGRASJLUQERE-SCZZXKLOSA-N -1 1 337.359 -0.389 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cc1cncn1C ZINC000968535467 725078122 /nfs/dbraw/zinc/07/81/22/725078122.db2.gz ZSBNDFRBRFRATO-WCQYABFASA-N -1 1 347.423 -0.589 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1ccc(=O)[nH]c1 ZINC000968610228 725087055 /nfs/dbraw/zinc/08/70/55/725087055.db2.gz PBNJQXSUQJTLFK-JQWIXIFHSA-N -1 1 346.391 -0.151 20 0 EBADMM O=C(c1cn(C2CCC2)nn1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000968705593 725106280 /nfs/dbraw/zinc/10/62/80/725106280.db2.gz XKWPUNVJXBPRKP-SECBINFHSA-N -1 1 332.368 -0.169 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2CN(C(=O)c3ccn[nH]3)CCCO2)c1[O-] ZINC001019567237 728923640 /nfs/dbraw/zinc/92/36/40/728923640.db2.gz VPDBFGFDTDKEAM-JTQLQIEISA-N -1 1 348.363 -0.192 20 0 EBADMM O=C(c1cc2c(cn1)OCCO2)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000968945016 725132518 /nfs/dbraw/zinc/13/25/18/725132518.db2.gz JBSLVERRHBZNEA-SECBINFHSA-N -1 1 346.347 -0.319 20 0 EBADMM O=C(c1cc(=O)[nH]c(C2CC2)n1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969022907 725143247 /nfs/dbraw/zinc/14/32/47/725143247.db2.gz HJSKLABKSVNPTH-SECBINFHSA-N -1 1 345.363 -0.112 20 0 EBADMM O=C(Cn1cnc2c1CCCC2)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969362264 725173877 /nfs/dbraw/zinc/17/38/77/725173877.db2.gz OEYGPOZOHUXJOO-LLVKDONJSA-N -1 1 345.407 -0.024 20 0 EBADMM Cc1cc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cnn1 ZINC000969568438 725193426 /nfs/dbraw/zinc/19/34/26/725193426.db2.gz INZHXSZLKAWVKW-JTQLQIEISA-N -1 1 331.380 -0.543 20 0 EBADMM C[C@H](NC(=O)c1cn2c(n1)CCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969695791 725203969 /nfs/dbraw/zinc/20/39/69/725203969.db2.gz IMPCLKHRMFNKOA-JTQLQIEISA-N -1 1 345.407 -0.499 20 0 EBADMM Cc1ncc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)n1C ZINC000969957040 725231929 /nfs/dbraw/zinc/23/19/29/725231929.db2.gz FEEQIVDIZYYAIX-MFKMUULPSA-N -1 1 345.359 -0.556 20 0 EBADMM COc1cc(CC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)ccn1 ZINC000969965765 725233233 /nfs/dbraw/zinc/23/32/33/725233233.db2.gz XEXNYKHKJBWTME-LLVKDONJSA-N -1 1 332.364 -0.153 20 0 EBADMM O=C(Cc1ccco1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000970008894 725238264 /nfs/dbraw/zinc/23/82/64/725238264.db2.gz LVAGQRUMLAOIJE-YPMHNXCESA-N -1 1 331.328 -0.076 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1ncccc1F ZINC000970079067 725248461 /nfs/dbraw/zinc/24/84/61/725248461.db2.gz LYYHLEPKKNEQCY-PWSUYJOCSA-N -1 1 346.318 -0.063 20 0 EBADMM COc1nccc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000970126899 725254268 /nfs/dbraw/zinc/25/42/68/725254268.db2.gz JSUPTPIQTHIEIG-VIFPVBQESA-N -1 1 347.379 -0.843 20 0 EBADMM C[C@H](NC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970176312 725259035 /nfs/dbraw/zinc/25/90/35/725259035.db2.gz FGXFFKBOOYQOAX-TZQJONAQSA-N -1 1 349.435 -0.140 20 0 EBADMM Cn1nncc1CN[C@@H]1CCN(C(=O)c2c[n-]n3c2nccc3=O)C1 ZINC000970197803 725261020 /nfs/dbraw/zinc/26/10/20/725261020.db2.gz ABDJRNJGNIWMSU-SNVBAGLBSA-N -1 1 342.363 -0.845 20 0 EBADMM O=C(c1ccc2n[nH]c(=O)n2c1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970255823 725268671 /nfs/dbraw/zinc/26/86/71/725268671.db2.gz JINUTDNJZFUGFW-SECBINFHSA-N -1 1 344.335 -0.737 20 0 EBADMM CCn1nc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)ccc1=O ZINC000970289466 725274591 /nfs/dbraw/zinc/27/45/91/725274591.db2.gz NPKZXGWDSSESHC-SECBINFHSA-N -1 1 333.352 -0.909 20 0 EBADMM C[C@@H](NC(=O)c1csc(=O)[nH]1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970308216 725276435 /nfs/dbraw/zinc/27/64/35/725276435.db2.gz BYVLARSPJJRJOJ-SSDOTTSWSA-N -1 1 338.393 -0.479 20 0 EBADMM CCC(=O)N1CC[C@H](C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001019614485 728939769 /nfs/dbraw/zinc/93/97/69/728939769.db2.gz HZJMOEAHDFEAGI-QWRGUYRKSA-N -1 1 336.396 -0.541 20 0 EBADMM C[C@H](NC(=O)c1cccc(=O)n1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970404589 725286853 /nfs/dbraw/zinc/28/68/53/725286853.db2.gz QOEMCWOELYMPHK-JTQLQIEISA-N -1 1 346.391 -0.943 20 0 EBADMM CN(C)c1ncccc1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970709827 725319636 /nfs/dbraw/zinc/31/96/36/725319636.db2.gz SYECBEPUCHWAGG-SNVBAGLBSA-N -1 1 331.380 -0.024 20 0 EBADMM CC[C@@H](C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)N1CCCC1=O ZINC000970753203 725324022 /nfs/dbraw/zinc/32/40/22/725324022.db2.gz LNFWGUUVFIHEKO-MNOVXSKESA-N -1 1 336.396 -0.398 20 0 EBADMM CC[C@H](C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)N1CCCC1=O ZINC000970753201 725324140 /nfs/dbraw/zinc/32/41/40/725324140.db2.gz LNFWGUUVFIHEKO-GHMZBOCLSA-N -1 1 336.396 -0.398 20 0 EBADMM C[C@@H](NC(=O)c1ccc(=O)[nH]c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970834442 725332961 /nfs/dbraw/zinc/33/29/61/725332961.db2.gz ADDRQVNTCPOJHW-SECBINFHSA-N -1 1 332.364 -0.541 20 0 EBADMM NC(=O)c1ccc(CC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cc1 ZINC000970834809 725333254 /nfs/dbraw/zinc/33/32/54/725333254.db2.gz AIKFRVFMTDXONP-GFCCVEGCSA-N -1 1 344.375 -0.458 20 0 EBADMM CCOc1cnc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC000970872812 725336622 /nfs/dbraw/zinc/33/66/22/725336622.db2.gz GYJBMVHBSMURAT-SECBINFHSA-N -1 1 333.352 -0.297 20 0 EBADMM Cc1ncncc1C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000971143136 725352206 /nfs/dbraw/zinc/35/22/06/725352206.db2.gz RFPJNCPXKJLIOA-LLVKDONJSA-N -1 1 331.380 -0.447 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)C[C@@H]2COC(=O)C2)C1 ZINC000971344756 725359845 /nfs/dbraw/zinc/35/98/45/725359845.db2.gz VBXGFJKJKGVZAE-QWRGUYRKSA-N -1 1 337.380 -0.906 20 0 EBADMM O=C(c1cnn2c1CCC2)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051646808 735286972 /nfs/dbraw/zinc/28/69/72/735286972.db2.gz CUQBACXIYXXOLA-SNVBAGLBSA-N -1 1 347.379 -0.716 20 0 EBADMM Cn1nccc1CC[N@@H+](C)[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000971869782 725444356 /nfs/dbraw/zinc/44/43/56/725444356.db2.gz MNEIOBLUCSTAGQ-LLVKDONJSA-N -1 1 347.379 -0.595 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)c2cn(C)ccc2=O)C1 ZINC000971917262 725448308 /nfs/dbraw/zinc/44/83/08/725448308.db2.gz NFXDCBURSIFASC-NSHDSACASA-N -1 1 346.391 -0.846 20 0 EBADMM Cc1nn(C)c(C)c1C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000972039620 725458049 /nfs/dbraw/zinc/45/80/49/725458049.db2.gz KREAXXSPGPCKDE-GFCCVEGCSA-N -1 1 347.423 -0.195 20 0 EBADMM Cc1nc[nH]c1C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC000991596301 725469667 /nfs/dbraw/zinc/46/96/67/725469667.db2.gz UFDFEQCZJSEVEY-ZYHUDNBSSA-N -1 1 345.359 -0.176 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@]3(CCN(C(=O)C(F)F)C3)C2)nc1=O ZINC000972203335 725478261 /nfs/dbraw/zinc/47/82/61/725478261.db2.gz DKUFEAFXHVVOJK-ZDUSSCGKSA-N -1 1 331.323 -0.823 20 0 EBADMM Cn1[n-]c(CN2CCO[C@]3(CCN(C(=O)C(C)(F)F)C3)C2)nc1=O ZINC000972211486 725479372 /nfs/dbraw/zinc/47/93/72/725479372.db2.gz AJPBFFQLFWFZQU-CQSZACIVSA-N -1 1 345.350 -0.433 20 0 EBADMM Cn1[n-]c(CN2CCO[C@]3(CCN(C(=O)c4ccoc4)C3)C2)nc1=O ZINC000972260471 725487030 /nfs/dbraw/zinc/48/70/30/725487030.db2.gz ZRKRJTZSCHOUJQ-MRXNPFEDSA-N -1 1 347.375 -0.182 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@]3(CCN(C(=O)c4cocn4)C3)C2)nc1=O ZINC000972289837 725491631 /nfs/dbraw/zinc/49/16/31/725491631.db2.gz QSFVWAMIZANGCF-HNNXBMFYSA-N -1 1 348.363 -0.787 20 0 EBADMM Cn1[n-]c(CN2CCO[C@]3(CCN(C(=O)[C@H]4CC4(C)C)C3)C2)nc1=O ZINC000972338751 725500631 /nfs/dbraw/zinc/50/06/31/725500631.db2.gz JXBLZHYKPVJCQL-SJKOYZFVSA-N -1 1 349.435 -0.042 20 0 EBADMM CN(C)C(=O)CN1CCO[C@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000972354482 725505062 /nfs/dbraw/zinc/50/50/62/725505062.db2.gz BIOSKUKPJFWPFZ-QGZVFWFLSA-N -1 1 348.403 -0.208 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)c1cnco1 ZINC000991641587 725505540 /nfs/dbraw/zinc/50/55/40/725505540.db2.gz KYUOLRDKIGUHHA-MWLCHTKSSA-N -1 1 332.316 -0.219 20 0 EBADMM CNC(=O)CN1CCO[C@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000972354905 725505494 /nfs/dbraw/zinc/50/54/94/725505494.db2.gz GVQAJRCGIQCOQA-MRXNPFEDSA-N -1 1 334.376 -0.550 20 0 EBADMM CC[C@@H](C(N)=O)N1CCO[C@@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000972355976 725505885 /nfs/dbraw/zinc/50/58/85/725505885.db2.gz SGCZZPRUCWQIKT-SJCJKPOMSA-N -1 1 348.403 -0.032 20 0 EBADMM O=C(Cc1nnc[nH]1)N1CC(NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000991643476 725507130 /nfs/dbraw/zinc/50/71/30/725507130.db2.gz BHYIUIKUUWHOPB-UHFFFAOYSA-N -1 1 343.347 -0.639 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1ccncn1 ZINC000991689772 725545578 /nfs/dbraw/zinc/54/55/78/725545578.db2.gz KPSJWWJGCQZCKT-GWCFXTLKSA-N -1 1 343.343 -0.417 20 0 EBADMM COc1cccc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000972654157 725570560 /nfs/dbraw/zinc/57/05/60/725570560.db2.gz VHUSTFBJKLXMTQ-NSHDSACASA-N -1 1 346.391 -0.142 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)c3cc[n+]([O-])cc3)C(C)(C)C2)nc1=O ZINC000972781735 725592331 /nfs/dbraw/zinc/59/23/31/725592331.db2.gz CHSMIQRREDCGBS-LBPRGKRZSA-N -1 1 346.391 -0.618 20 0 EBADMM Cc1ncncc1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000972836816 725597116 /nfs/dbraw/zinc/59/71/16/725597116.db2.gz HGKIPQAVBZWJIA-LBPRGKRZSA-N -1 1 345.407 -0.153 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)Cc3ccon3)C(C)(C)C2)nc1=O ZINC000972861695 725598899 /nfs/dbraw/zinc/59/88/99/725598899.db2.gz BJXUDPCNEWPIQK-LLVKDONJSA-N -1 1 334.380 -0.334 20 0 EBADMM CCn1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)cn1 ZINC000972968942 725613851 /nfs/dbraw/zinc/61/38/51/725613851.db2.gz BBZPOSVSGYBXJF-GFCCVEGCSA-N -1 1 347.423 -0.035 20 0 EBADMM Cn1cnc(C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)cc1=O ZINC000973199089 725667844 /nfs/dbraw/zinc/66/78/44/725667844.db2.gz NWIYLGHNRIXGMG-MGCOHNPYSA-N -1 1 343.343 -0.428 20 0 EBADMM CN1CC[C@@H](C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)C1=O ZINC000973199951 725668313 /nfs/dbraw/zinc/66/83/13/725668313.db2.gz UMZMYSMRAUKZIJ-DCAQKATOSA-N -1 1 332.360 -0.358 20 0 EBADMM C[C@]1(C(=O)NC2CC(CNC(=O)c3ncccc3[O-])C2)CNC(=O)C1 ZINC000992055189 725710583 /nfs/dbraw/zinc/71/05/83/725710583.db2.gz WYCMKVXBPGBRDA-NQMJSUOBSA-N -1 1 346.387 -0.062 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)[C@H]4[C@@H]5COC[C@@H]54)CCC[C@@H]23)nc1=O ZINC000992142732 725734357 /nfs/dbraw/zinc/73/43/57/725734357.db2.gz NJYOPJMVKNGLRF-CJYCNLPLSA-N -1 1 347.419 -0.386 20 0 EBADMM CN1C[C@H](C(=O)NCC2CC(NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000992180607 725740819 /nfs/dbraw/zinc/74/08/19/725740819.db2.gz JXSFOGJSJNTSSA-MOENNCHZSA-N -1 1 346.387 -0.110 20 0 EBADMM O=C(NCC1CC(NC(=O)C2CCC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000992242731 725758986 /nfs/dbraw/zinc/75/89/86/725758986.db2.gz WNZHBVPLXARUII-UHFFFAOYSA-N -1 1 344.375 -0.158 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)c4ccnnc4)CCC[C@H]23)nc1=O ZINC000992262479 725762651 /nfs/dbraw/zinc/76/26/51/725762651.db2.gz RUAHOAZWSDDQGX-BLLLJJGKSA-N -1 1 343.391 -0.175 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)c4ccnnc4)CCC[C@@H]23)nc1=O ZINC000992262494 725762780 /nfs/dbraw/zinc/76/27/80/725762780.db2.gz RUAHOAZWSDDQGX-WBMJQRKESA-N -1 1 343.391 -0.175 20 0 EBADMM O=C(N[C@H]1C[C@@H](NC(=O)C2CC=CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000973971870 725838569 /nfs/dbraw/zinc/83/85/69/725838569.db2.gz DTHMOYOFLMSQOD-PHIMTYICSA-N -1 1 342.359 -0.239 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2cnccn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000992985299 725849103 /nfs/dbraw/zinc/84/91/03/725849103.db2.gz XDRQXPXBLIMVGF-WDEREUQCSA-N -1 1 331.380 -0.319 20 0 EBADMM CC1(C)C[C@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000974036686 725852030 /nfs/dbraw/zinc/85/20/30/725852030.db2.gz BKIVEXAPCNYAQS-AEJSXWLSSA-N -1 1 344.375 -0.159 20 0 EBADMM COc1ccnc(N2CC[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1 ZINC001051683202 735321233 /nfs/dbraw/zinc/32/12/33/735321233.db2.gz YWDBMAFFFONZMN-PWSUYJOCSA-N -1 1 345.359 -0.045 20 0 EBADMM NC(=O)[C@H]1CC[C@@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974054723 725858275 /nfs/dbraw/zinc/85/82/75/725858275.db2.gz LDFHCFZTYNCRCJ-VLEAKVRGSA-N -1 1 332.360 -0.324 20 0 EBADMM Cc1nnc(CC(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)[nH]1 ZINC000974056139 725858874 /nfs/dbraw/zinc/85/88/74/725858874.db2.gz GBGBSODQJWZJQR-AOOOYVTPSA-N -1 1 330.348 -0.167 20 0 EBADMM Cc1nc(CC(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)n[nH]1 ZINC000974056139 725858877 /nfs/dbraw/zinc/85/88/77/725858877.db2.gz GBGBSODQJWZJQR-AOOOYVTPSA-N -1 1 330.348 -0.167 20 0 EBADMM CN(C)C(=O)[C@@H]1C[C@@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974057253 725859492 /nfs/dbraw/zinc/85/94/92/725859492.db2.gz NJXXINPJVCCGKC-WHOHXGKFSA-N -1 1 346.387 -0.112 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2cc[nH]c(=O)c2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993216975 725863849 /nfs/dbraw/zinc/86/38/49/725863849.db2.gz QTSSZWBUICEZQJ-CMPLNLGQSA-N -1 1 346.391 -0.008 20 0 EBADMM Cc1c[nH]nc1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC000993278239 725868427 /nfs/dbraw/zinc/86/84/27/725868427.db2.gz HHWPSTIRNADXHX-GHMZBOCLSA-N -1 1 333.396 -0.077 20 0 EBADMM Cc1nnc(CC(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)o1 ZINC000993328644 725873308 /nfs/dbraw/zinc/87/33/08/725873308.db2.gz NKZYNVZSQLZTLV-MWLCHTKSSA-N -1 1 349.395 -0.488 20 0 EBADMM Cc1nc(CC(=O)N[C@H]2CCCN(Cc3n[nH]c(=O)[n-]3)[C@@H]2C)n[nH]1 ZINC000993357954 725879755 /nfs/dbraw/zinc/87/97/55/725879755.db2.gz ORSWJJNMUMHAIP-SCZZXKLOSA-N -1 1 334.384 -0.351 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2cn[nH]c(=O)c2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993470973 725892850 /nfs/dbraw/zinc/89/28/50/725892850.db2.gz ZUTHRSLBOLLZHE-ONGXEEELSA-N -1 1 347.379 -0.613 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2cn[nH]c(=O)c2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993470969 725893014 /nfs/dbraw/zinc/89/30/14/725893014.db2.gz ZUTHRSLBOLLZHE-MWLCHTKSSA-N -1 1 347.379 -0.613 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cc2cnoc2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993711598 725930865 /nfs/dbraw/zinc/93/08/65/725930865.db2.gz AWKCCMMYVSAOCQ-PWSUYJOCSA-N -1 1 334.380 -0.192 20 0 EBADMM Cc1ncc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)n1C ZINC000993713645 725931064 /nfs/dbraw/zinc/93/10/64/725931064.db2.gz COQZYKWKDXLLNG-PWSUYJOCSA-N -1 1 347.423 -0.067 20 0 EBADMM CCc1n[nH]cc1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051695090 735330381 /nfs/dbraw/zinc/33/03/81/735330381.db2.gz DTYZZSGXRBWUEM-VIFPVBQESA-N -1 1 335.368 -0.573 20 0 EBADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)[C@H]1CCc2nncn2C1 ZINC000993841430 725952065 /nfs/dbraw/zinc/95/20/65/725952065.db2.gz FZMLBUBHFHGMHC-JTQLQIEISA-N -1 1 342.359 -0.418 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2cnn(C)n2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993995069 725969423 /nfs/dbraw/zinc/96/94/23/725969423.db2.gz PERGYKZCXJGLQH-UWVGGRQHSA-N -1 1 334.384 -0.980 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ccncn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000994005169 725970442 /nfs/dbraw/zinc/97/04/42/725970442.db2.gz HDWBQESHVHUICE-MNOVXSKESA-N -1 1 331.380 -0.319 20 0 EBADMM O=C(c1n[nH]c2c1CCC2)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051696870 735331924 /nfs/dbraw/zinc/33/19/24/735331924.db2.gz ATDBNXVFAPAANK-SECBINFHSA-N -1 1 347.379 -0.647 20 0 EBADMM Cc1nonc1CC(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC000994128280 725982306 /nfs/dbraw/zinc/98/23/06/725982306.db2.gz STWBOABXSHZODD-MNOVXSKESA-N -1 1 349.395 -0.488 20 0 EBADMM Cc1nccc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)n1 ZINC000994447578 726003234 /nfs/dbraw/zinc/00/32/34/726003234.db2.gz BZIWLKOTEMVSDP-CMPLNLGQSA-N -1 1 345.407 -0.010 20 0 EBADMM Cc1nonc1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC000994537697 726030657 /nfs/dbraw/zinc/03/06/57/726030657.db2.gz SWWJCCJTDALVTH-NXEZZACHSA-N -1 1 335.368 -0.417 20 0 EBADMM Cc1nc[nH]c1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC000994553525 726031430 /nfs/dbraw/zinc/03/14/30/726031430.db2.gz ZWMAARAGRXPWCA-MNOVXSKESA-N -1 1 333.396 -0.077 20 0 EBADMM CCn1nccc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000974507808 726034111 /nfs/dbraw/zinc/03/41/11/726034111.db2.gz TTYJCPHQMVWVFB-GFCCVEGCSA-N -1 1 347.423 -0.035 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000974710310 726055553 /nfs/dbraw/zinc/05/55/53/726055553.db2.gz SZLDIIIMZSPQLU-VIFPVBQESA-N -1 1 349.395 -0.514 20 0 EBADMM O=C(NC[C@H]1CCCN(C(=O)[C@H]2COC(=O)N2)C1)c1ncccc1[O-] ZINC000975228355 726116772 /nfs/dbraw/zinc/11/67/72/726116772.db2.gz VWDUWTODBQZEOY-GHMZBOCLSA-N -1 1 348.359 -0.136 20 0 EBADMM O=C(NC[C@@H]1CCCN(C(=O)[C@@H]2CCNC2=O)C1)c1ncccc1[O-] ZINC000975262942 726124849 /nfs/dbraw/zinc/12/48/49/726124849.db2.gz YQGKSCCPAZOVMA-NWDGAFQWSA-N -1 1 346.387 -0.108 20 0 EBADMM O=C(N[C@H]1CC[C@H](CNCc2cnon2)C1)c1n[nH]c(=O)[n-]c1=O ZINC000995011025 726142855 /nfs/dbraw/zinc/14/28/55/726142855.db2.gz YFVUADPMCJYELL-YUMQZZPRSA-N -1 1 335.324 -0.646 20 0 EBADMM Cn1ccc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)cc1=O ZINC000995121550 726165809 /nfs/dbraw/zinc/16/58/09/726165809.db2.gz NQXIJCNCARNXLG-UHFFFAOYSA-N -1 1 328.328 -0.260 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)N[C@@H]1[C@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@H]21 ZINC000976096267 726200883 /nfs/dbraw/zinc/20/08/83/726200883.db2.gz JJXGPOWZZJFLQK-MOWSAHLDSA-N -1 1 346.387 -0.844 20 0 EBADMM O=C(N[C@@H]1CC(=O)N(C2CN(C(=O)c3ncccc3[O-])C2)C1)C1CC1 ZINC000995467343 726232578 /nfs/dbraw/zinc/23/25/78/726232578.db2.gz XDMDZYOTTCSDDA-LLVKDONJSA-N -1 1 344.371 -0.261 20 0 EBADMM Cn1cc(CC(=O)N[C@@H]2[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)cn1 ZINC000976247157 726238425 /nfs/dbraw/zinc/23/84/25/726238425.db2.gz WIUDDPMEBPUYJJ-JYAVWHMHSA-N -1 1 341.371 -0.050 20 0 EBADMM CC[C@@H]1OCC[C@H]1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051727929 735356306 /nfs/dbraw/zinc/35/63/06/735356306.db2.gz RGINEEVYROPRKA-TUAOUCFPSA-N -1 1 339.396 -0.358 20 0 EBADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)c1ccc2nnnn2c1 ZINC000995538461 726270827 /nfs/dbraw/zinc/27/08/27/726270827.db2.gz FEJUFOZGDZJDNT-UHFFFAOYSA-N -1 1 339.315 -0.521 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2[C@H]3CN(C(=O)CCc4cnc[nH]4)C[C@H]32)c1[O-] ZINC000976356061 726274909 /nfs/dbraw/zinc/27/49/09/726274909.db2.gz NQRADICBYBYNJO-PJXYFTJBSA-N -1 1 344.375 -0.034 20 0 EBADMM CN1C[C@H](C(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ncccc2[O-])CC1=O ZINC000976394136 726290356 /nfs/dbraw/zinc/29/03/56/726290356.db2.gz KQADSXWZKSDWML-PUHVVEEASA-N -1 1 344.371 -0.548 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)[C@@H]3COCCO3)C[C@H]21)c1ncccc1[O-] ZINC000976404878 726294483 /nfs/dbraw/zinc/29/44/83/726294483.db2.gz QTVARRULZGOQRS-JULQROHOSA-N -1 1 333.344 -0.611 20 0 EBADMM CCn1ncc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)n1 ZINC000995777344 726298613 /nfs/dbraw/zinc/29/86/13/726298613.db2.gz YVEYYFKREHKZAS-SNVBAGLBSA-N -1 1 334.384 -0.238 20 0 EBADMM CC1(C)CN(C(=O)CO[C@@H]2CCOC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995813382 726303349 /nfs/dbraw/zinc/30/33/49/726303349.db2.gz OCOIWYFZCPYJOR-MNOVXSKESA-N -1 1 339.396 -0.358 20 0 EBADMM COc1nc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)co1 ZINC001051736789 735361302 /nfs/dbraw/zinc/36/13/02/735361302.db2.gz CHXRFLGSHSMGTB-QMMMGPOBSA-N -1 1 338.324 -0.862 20 0 EBADMM COc1cnc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)nc1 ZINC000996036943 726325021 /nfs/dbraw/zinc/32/50/21/726325021.db2.gz ZJXVHZLTNXIIDI-SNVBAGLBSA-N -1 1 347.379 -0.051 20 0 EBADMM COCC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000976524273 726334301 /nfs/dbraw/zinc/33/43/01/726334301.db2.gz WGYBTVADZXTBTA-PJXYFTJBSA-N -1 1 332.360 -0.107 20 0 EBADMM NC(=O)CC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000976530745 726336265 /nfs/dbraw/zinc/33/62/65/726336265.db2.gz VULWTMUPCBOARH-IWIIMEHWSA-N -1 1 345.359 -0.878 20 0 EBADMM CC1(C)CN(C(=O)[C@]2(C)CCC(=O)N2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996265509 726354998 /nfs/dbraw/zinc/35/49/98/726354998.db2.gz YJXSKGNUPGFSQI-VFZGTOFNSA-N -1 1 336.396 -0.494 20 0 EBADMM CC1(C)CN(C(=O)[C@]2(C)CCC(=O)N2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996265505 726355035 /nfs/dbraw/zinc/35/50/35/726355035.db2.gz YJXSKGNUPGFSQI-PSLIRLAXSA-N -1 1 336.396 -0.494 20 0 EBADMM CC1(C)CN(C(=O)c2cc(C(N)=O)co2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996282987 726358744 /nfs/dbraw/zinc/35/87/44/726358744.db2.gz IBVGSMBUJTUTEZ-SNVBAGLBSA-N -1 1 348.363 -0.157 20 0 EBADMM CN1CCCC[C@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1=O ZINC000996382630 726377681 /nfs/dbraw/zinc/37/76/81/726377681.db2.gz FJDCVNWVOYWQLU-GFCCVEGCSA-N -1 1 346.387 -0.014 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)[C@@H]2CC2(C)C)C1 ZINC000996397250 726386014 /nfs/dbraw/zinc/38/60/14/726386014.db2.gz NZHBPBRZISAAID-QMMMGPOBSA-N -1 1 336.348 -0.117 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)c2cccn2C)C1 ZINC000996398368 726386531 /nfs/dbraw/zinc/38/65/31/726386531.db2.gz UASALISUVFKYJT-UHFFFAOYSA-N -1 1 347.331 -0.511 20 0 EBADMM O=C(NC1CN(C(=O)c2ccns2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996404917 726388454 /nfs/dbraw/zinc/38/84/54/726388454.db2.gz HQXRUVVZKXBAAP-UHFFFAOYSA-N -1 1 345.344 -0.872 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996409551 726389489 /nfs/dbraw/zinc/38/94/89/726389489.db2.gz ULLHXIHHTUVGHF-PSASIEDQSA-N -1 1 330.348 -0.596 20 0 EBADMM O=C(NC1CN(C(=O)C2CC3(CC3)C2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996409673 726389511 /nfs/dbraw/zinc/38/95/11/726389511.db2.gz XIWFQNADPMDPFR-UHFFFAOYSA-N -1 1 342.359 -0.452 20 0 EBADMM CC(F)(F)C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996410159 726389830 /nfs/dbraw/zinc/38/98/30/726389830.db2.gz ZPJOQWGFTRASIY-UHFFFAOYSA-N -1 1 326.263 -0.987 20 0 EBADMM CCn1cc(CNC2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)CC2)nn1 ZINC000996764477 726426046 /nfs/dbraw/zinc/42/60/46/726426046.db2.gz YBRHYHDJIQFMIE-UHFFFAOYSA-N -1 1 348.367 -0.711 20 0 EBADMM O=C([C@H]1CCCS1(=O)=O)N1CCC(NCc2n[nH]c(=O)[n-]2)CC1 ZINC000997336730 726446887 /nfs/dbraw/zinc/44/68/87/726446887.db2.gz RFNRCKDZBQFFKO-SNVBAGLBSA-N -1 1 343.409 -0.832 20 0 EBADMM Cc1cocc1C(=O)NC1CN(C(=O)c2cc(=O)n3nc[n-]c3n2)C1 ZINC000997834045 726466921 /nfs/dbraw/zinc/46/69/21/726466921.db2.gz YBMCTLYAFNDWHJ-UHFFFAOYSA-N -1 1 342.315 -0.427 20 0 EBADMM C[C@@H](C(=O)N1CCC(CNCc2n[nH]c(=O)[n-]2)CC1)S(C)(=O)=O ZINC000997860755 726467486 /nfs/dbraw/zinc/46/74/86/726467486.db2.gz CRNCCBDCUGXXQI-VIFPVBQESA-N -1 1 345.425 -0.728 20 0 EBADMM O=C(N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-])[C@@H]1CCC(=O)N1 ZINC000998947605 726502074 /nfs/dbraw/zinc/50/20/74/726502074.db2.gz CYEWJCDPYVBNSW-RHYQMDGZSA-N -1 1 344.371 -0.073 20 0 EBADMM C[C@H](NC1CC(N(C)C(=O)c2n[nH]c(=O)[n-]c2=O)C1)c1nncn1C ZINC000999065385 726506260 /nfs/dbraw/zinc/50/62/60/726506260.db2.gz WQVFUSWJCSPZBN-UEJVZZJDSA-N -1 1 348.367 -0.635 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)C[C@@H]2C[C@@H]2C)C1 ZINC000999090018 726507510 /nfs/dbraw/zinc/50/75/10/726507510.db2.gz CKYDHGWTUVXKEL-YUMQZZPRSA-N -1 1 336.348 -0.117 20 0 EBADMM COc1cc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)ncn1 ZINC000999168136 726509653 /nfs/dbraw/zinc/50/96/53/726509653.db2.gz DVHVZIJBIMNJOA-SECBINFHSA-N -1 1 333.352 -0.297 20 0 EBADMM O=C(CCn1ccccc1=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999310578 726513754 /nfs/dbraw/zinc/51/37/54/726513754.db2.gz YPLUNNKDSNUAKB-GFCCVEGCSA-N -1 1 346.391 -0.157 20 0 EBADMM O=C(C[C@@H]1CCC(=O)NC1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999338474 726515038 /nfs/dbraw/zinc/51/50/38/726515038.db2.gz HCYXTJFCQCKIGA-QWRGUYRKSA-N -1 1 336.396 -0.493 20 0 EBADMM O=C(c1cn2c(n1)COCC2)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999491699 726520664 /nfs/dbraw/zinc/52/06/64/726520664.db2.gz AHJNNDJFFFYRRA-SNVBAGLBSA-N -1 1 347.379 -0.369 20 0 EBADMM COc1ccc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)nn1 ZINC000999598125 726524038 /nfs/dbraw/zinc/52/40/38/726524038.db2.gz UXGDSFCYYNRNHN-UHFFFAOYSA-N -1 1 329.316 -0.160 20 0 EBADMM O=C(c1cccc2ncnn21)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999628163 726525322 /nfs/dbraw/zinc/52/53/22/726525322.db2.gz VRFWSBYETUPYMT-JTQLQIEISA-N -1 1 342.363 -0.053 20 0 EBADMM CC(=O)N1CC[C@@](C)(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000999695943 726528231 /nfs/dbraw/zinc/52/82/31/726528231.db2.gz AUJDHGHHIHZWOA-QGZVFWFLSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C([C@@H]1CCNC(=O)CC1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999816386 726533868 /nfs/dbraw/zinc/53/38/68/726533868.db2.gz YYHFNBDLUJWFET-QWRGUYRKSA-N -1 1 336.396 -0.493 20 0 EBADMM Cn1ccc(CN[C@@H]2CCCN(C(=O)c3cnc([O-])n(C)c3=O)C2)n1 ZINC000999867348 726536641 /nfs/dbraw/zinc/53/66/41/726536641.db2.gz WTNBIBFRLGMZGH-GFCCVEGCSA-N -1 1 346.391 -0.386 20 0 EBADMM NC(=O)CN1CC[C@@H](n2cc(CNC(=O)c3ncccc3[O-])nn2)C1 ZINC000999979760 726546647 /nfs/dbraw/zinc/54/66/47/726546647.db2.gz JUXNOSJVSXZBNM-LLVKDONJSA-N -1 1 345.363 -0.959 20 0 EBADMM Cn1cc(OCC(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001000017232 726550615 /nfs/dbraw/zinc/55/06/15/726550615.db2.gz WUDWLAVEWQBAQM-JTQLQIEISA-N -1 1 335.368 -0.597 20 0 EBADMM C[C@H]1CCN(C(=O)C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001000155278 726556672 /nfs/dbraw/zinc/55/66/72/726556672.db2.gz FQTQOMVEQRFXKD-JTQLQIEISA-N -1 1 332.360 -0.404 20 0 EBADMM Cc1cnc(C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)cn1 ZINC001000397606 726566128 /nfs/dbraw/zinc/56/61/28/726566128.db2.gz ISXUGMLDOSZEBO-UHFFFAOYSA-N -1 1 343.391 -0.231 20 0 EBADMM COC(=O)[C@H]1C[C@@H]1C(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001000518052 726569554 /nfs/dbraw/zinc/56/95/54/726569554.db2.gz UTHIRUKCBSDNNU-RYUDHWBXSA-N -1 1 349.391 -0.834 20 0 EBADMM Cc1c(C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)nnn1C ZINC001000545496 726570184 /nfs/dbraw/zinc/57/01/84/726570184.db2.gz ZAQMFGWXTCRELD-UHFFFAOYSA-N -1 1 346.395 -0.893 20 0 EBADMM Cn1ccnc1CN[C@@H]1CCCN(C(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC001000789831 726577625 /nfs/dbraw/zinc/57/76/25/726577625.db2.gz POPBJRVUPKYCRM-SNVBAGLBSA-N -1 1 335.368 -0.796 20 0 EBADMM Cc1cc(NC(=O)C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)no1 ZINC001000907332 726583431 /nfs/dbraw/zinc/58/34/31/726583431.db2.gz RESQESLEGYBAPN-VIFPVBQESA-N -1 1 349.351 -0.474 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(CNC(=O)c2ccc[nH]2)C1 ZINC001000906468 726583493 /nfs/dbraw/zinc/58/34/93/726583493.db2.gz YVAIUUROGRMZRH-UHFFFAOYSA-N -1 1 347.331 -0.274 20 0 EBADMM C[C@@H]1CO[C@@H](C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)C1 ZINC001000967255 726585464 /nfs/dbraw/zinc/58/54/64/726585464.db2.gz GKEJCVXJUQAMQO-WCQYABFASA-N -1 1 335.408 -0.218 20 0 EBADMM COc1nc(C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)co1 ZINC001001003955 726586859 /nfs/dbraw/zinc/58/68/59/726586859.db2.gz MJXWEUUQKNRFIP-UHFFFAOYSA-N -1 1 348.363 -0.333 20 0 EBADMM CN1C[C@@H](C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CCC1=O ZINC001001015156 726587643 /nfs/dbraw/zinc/58/76/43/726587643.db2.gz NUQIAGFANLGPSV-WDEREUQCSA-N -1 1 336.396 -0.541 20 0 EBADMM CC(=O)N1CCC[C@@H]1C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001001020761 726588181 /nfs/dbraw/zinc/58/81/81/726588181.db2.gz SCVHKOCILJTYDJ-VXGBXAGGSA-N -1 1 336.396 -0.398 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)c3c[nH]c(=O)n3C)CC2)nc1=O ZINC001001048357 726589606 /nfs/dbraw/zinc/58/96/06/726589606.db2.gz ZEZMNNRDWSHUEW-UHFFFAOYSA-N -1 1 347.379 -0.890 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001001064601 726590534 /nfs/dbraw/zinc/59/05/34/726590534.db2.gz AYECXVOWIGSASO-SNVBAGLBSA-N -1 1 345.363 -0.601 20 0 EBADMM Nc1nccnc1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001001241814 726598318 /nfs/dbraw/zinc/59/83/18/726598318.db2.gz GFOSXLSDBHNLRN-UHFFFAOYSA-N -1 1 328.332 -0.339 20 0 EBADMM Cc1n[nH]c(C(=O)NCC2CCN([C@H]3CCC(=O)NC3=O)CC2)c1[O-] ZINC001001314757 726602493 /nfs/dbraw/zinc/60/24/93/726602493.db2.gz NMEQXRIINILACO-NSHDSACASA-N -1 1 349.391 -0.329 20 0 EBADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCNC(=O)C1 ZINC001001407602 726604897 /nfs/dbraw/zinc/60/48/97/726604897.db2.gz JKDDNACRODIWNH-LLVKDONJSA-N -1 1 332.360 -0.498 20 0 EBADMM Nc1nccnc1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001539262 726611170 /nfs/dbraw/zinc/61/11/70/726611170.db2.gz VYJOJCRFOMVZRU-UHFFFAOYSA-N -1 1 328.332 -0.339 20 0 EBADMM Cn1nc(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)ccc1=O ZINC001001540971 726611206 /nfs/dbraw/zinc/61/12/06/726611206.db2.gz HNVLQYWPLZUIQS-UHFFFAOYSA-N -1 1 343.343 -0.617 20 0 EBADMM NC(=O)c1c[nH]c(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)c1 ZINC001001540941 726611301 /nfs/dbraw/zinc/61/13/01/726611301.db2.gz GUYMXMXFUXCUTB-UHFFFAOYSA-N -1 1 343.343 -0.284 20 0 EBADMM O=C(NCC1CN(C(=O)[C@H]2CCNC(=O)CC2)C1)c1ncccc1[O-] ZINC001001545614 726611465 /nfs/dbraw/zinc/61/14/65/726611465.db2.gz UTHJMTCMYMRZPM-GFCCVEGCSA-N -1 1 346.387 -0.108 20 0 EBADMM C[C@@]1(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)CCC(=O)NC1 ZINC001001552900 726611619 /nfs/dbraw/zinc/61/16/19/726611619.db2.gz RTLMORFSJXCYRD-QGZVFWFLSA-N -1 1 346.387 -0.108 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)[C@H]3C[C@@]34CCOC4)CC2)nc1=O ZINC001002027621 726630391 /nfs/dbraw/zinc/63/03/91/726630391.db2.gz VOECBELXXVFNHT-CXAGYDPISA-N -1 1 349.435 -0.137 20 0 EBADMM Cc1[nH]nc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c1C ZINC001002485810 726641725 /nfs/dbraw/zinc/64/17/25/726641725.db2.gz QPAZKWSBYKLBQJ-UHFFFAOYSA-N -1 1 333.396 -0.157 20 0 EBADMM C[C@@H](C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1)n1cncn1 ZINC001002634240 726644213 /nfs/dbraw/zinc/64/42/13/726644213.db2.gz DKECMVWFKOMWDJ-JTQLQIEISA-N -1 1 334.384 -0.958 20 0 EBADMM CN(C[C@@H]1CCCN1C(=O)[C@H]1CCNC1=O)C(=O)c1ncccc1[O-] ZINC001002761480 726646555 /nfs/dbraw/zinc/64/65/55/726646555.db2.gz BNAZMVLHAQGHSK-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM NC(=O)N1CCC[C@H]1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001002942134 726655544 /nfs/dbraw/zinc/65/55/44/726655544.db2.gz QELNLGWLSVASEW-NSHDSACASA-N -1 1 347.375 -0.482 20 0 EBADMM CCn1nnc(C)c1C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001003007044 726658203 /nfs/dbraw/zinc/65/82/03/726658203.db2.gz MQPOMXAZEFTBMD-UHFFFAOYSA-N -1 1 348.411 -0.577 20 0 EBADMM Cc1nn(C)cc1CC(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001003036929 726659696 /nfs/dbraw/zinc/65/96/96/726659696.db2.gz KQSSEKNYJDBBCF-UHFFFAOYSA-N -1 1 347.423 -0.526 20 0 EBADMM NC(=O)C(=O)N1CC[C@H]([C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)C1 ZINC001003157734 726662385 /nfs/dbraw/zinc/66/23/85/726662385.db2.gz OWPODFCLGVXQCI-NEPJUHHUSA-N -1 1 346.387 -0.027 20 0 EBADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)C1CC(=O)NC(=O)C1 ZINC001003231579 726665655 /nfs/dbraw/zinc/66/56/55/726665655.db2.gz OAFKZKUMXKOYKK-UHFFFAOYSA-N -1 1 346.343 -0.972 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CN(C(=O)c2ccc[nH]2)C1 ZINC001003316377 726669433 /nfs/dbraw/zinc/66/94/33/726669433.db2.gz FHTWBHRWIWDSPI-UHFFFAOYSA-N -1 1 347.331 -0.274 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)c3csc(=O)[nH]3)CC2)nc1=O ZINC001003472911 726676042 /nfs/dbraw/zinc/67/60/42/726676042.db2.gz XGVHSYDUFTVCJE-UHFFFAOYSA-N -1 1 338.393 -0.335 20 0 EBADMM CNC(=O)[C@H](C)N1CCC(NC(=O)c2c[n-]n3c2nccc3=O)CC1 ZINC001003753519 726689092 /nfs/dbraw/zinc/68/90/92/726689092.db2.gz XULKTQVTYFRYNU-JTQLQIEISA-N -1 1 346.391 -0.649 20 0 EBADMM CCn1cnc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c1 ZINC001003975534 726696570 /nfs/dbraw/zinc/69/65/70/726696570.db2.gz SUGMPMMYXWFOJG-UHFFFAOYSA-N -1 1 333.396 -0.281 20 0 EBADMM C[C@@H](C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1)c1cnn(C)c1 ZINC001004302037 726704924 /nfs/dbraw/zinc/70/49/24/726704924.db2.gz MGTVUOSUJYLKGU-LLVKDONJSA-N -1 1 347.423 -0.274 20 0 EBADMM C[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1NC(=O)C1CC1 ZINC001004318265 726705271 /nfs/dbraw/zinc/70/52/71/726705271.db2.gz WJKBDKCDKDDASV-SKDRFNHKSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1NC(=O)C1CC1 ZINC001004318262 726705313 /nfs/dbraw/zinc/70/53/13/726705313.db2.gz WJKBDKCDKDDASV-JOYOIKCWSA-N -1 1 344.375 -0.206 20 0 EBADMM CN(C(=O)Cc1ccon1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005195518 726728552 /nfs/dbraw/zinc/72/85/52/726728552.db2.gz KFRIFXBBPNGPTO-UHFFFAOYSA-N -1 1 334.380 -0.238 20 0 EBADMM C[C@H](NC(=O)C1CC1)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001005539183 726735656 /nfs/dbraw/zinc/73/56/56/726735656.db2.gz BGCFOSUMURUFEU-JTQLQIEISA-N -1 1 334.376 -0.700 20 0 EBADMM CC[C@@H](C(N)=O)N1CCC(N(C)C(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001005669211 726738665 /nfs/dbraw/zinc/73/86/65/726738665.db2.gz WNZYZPYFFMJSLN-VIFPVBQESA-N -1 1 338.368 -0.917 20 0 EBADMM C[C@@H](NC(=O)[C@@H]1COCCN1C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005930330 726748635 /nfs/dbraw/zinc/74/86/35/726748635.db2.gz WTBLSFOWHBODCT-YPMHNXCESA-N -1 1 348.403 -0.306 20 0 EBADMM CC(=O)N1CC(C(=O)N2CC([C@H](C)NC(=O)c3ncccc3[O-])C2)C1 ZINC001005949425 726749477 /nfs/dbraw/zinc/74/94/77/726749477.db2.gz FZTFOPOMEOWGEU-JTQLQIEISA-N -1 1 346.387 -0.158 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CCNC(=O)C2)C1 ZINC001005950374 726749589 /nfs/dbraw/zinc/74/95/89/726749589.db2.gz JTUAIJBKUNKXDJ-GHMZBOCLSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)c2cn(C)nn2)C1 ZINC001005951536 726749620 /nfs/dbraw/zinc/74/96/20/726749620.db2.gz MWLLIAVFPVXPSV-VIFPVBQESA-N -1 1 330.348 -0.194 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)Cc2nnc[nH]2)C1 ZINC001005951606 726749642 /nfs/dbraw/zinc/74/96/42/726749642.db2.gz OCUDNEZUBJRUNG-VIFPVBQESA-N -1 1 330.348 -0.275 20 0 EBADMM C[C@@H](NC(=O)c1cn(C)nn1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006020051 726752466 /nfs/dbraw/zinc/75/24/66/726752466.db2.gz UTQQUHLVKPYFSP-SECBINFHSA-N -1 1 330.348 -0.194 20 0 EBADMM CN(C(=O)Cc1ncc[nH]1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001006194117 726758290 /nfs/dbraw/zinc/75/82/90/726758290.db2.gz WSMLDURZDCMEMY-UHFFFAOYSA-N -1 1 333.396 -0.503 20 0 EBADMM Cc1nonc1C(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001006482055 726770901 /nfs/dbraw/zinc/77/09/01/726770901.db2.gz WYWJMGZXXVTMMS-UHFFFAOYSA-N -1 1 335.368 -0.464 20 0 EBADMM CCc1cc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC001006799339 726784156 /nfs/dbraw/zinc/78/41/56/726784156.db2.gz SAHOZOPAAQQJLD-NSHDSACASA-N -1 1 333.396 -0.212 20 0 EBADMM C[C@@H](NC(=O)[C@H]1C[C@H]1C(N)=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006881062 726786032 /nfs/dbraw/zinc/78/60/32/726786032.db2.gz BXVFQBDEXMSJFD-IEBDPFPHSA-N -1 1 332.360 -0.515 20 0 EBADMM CCn1ccnc1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001007236025 726790851 /nfs/dbraw/zinc/79/08/51/726790851.db2.gz QEYCNIMULWJRHL-LLVKDONJSA-N -1 1 333.396 -0.281 20 0 EBADMM Cc1c(CC(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001007471739 726794285 /nfs/dbraw/zinc/79/42/85/726794285.db2.gz HFVAAHJGTMVIIA-ZDUSSCGKSA-N -1 1 347.423 -0.526 20 0 EBADMM Cn1ncc(C(=O)N2C[C@@H]3[C@@H](CNC(=O)c4ncccc4[O-])[C@@H]3C2)n1 ZINC001008971096 726837127 /nfs/dbraw/zinc/83/71/27/726837127.db2.gz BOWCHTPYOYQQGN-RTCCRHLQSA-N -1 1 342.359 -0.336 20 0 EBADMM O=C(NC[C@@H]1[C@H]2CN(C(=O)[C@H]3CCC(=O)N3)C[C@H]21)c1ncccc1[O-] ZINC001008978246 726837891 /nfs/dbraw/zinc/83/78/91/726837891.db2.gz QTPMWWOPWVBKFK-WISYIIOYSA-N -1 1 344.371 -0.500 20 0 EBADMM O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCc2[nH]nnc2C1 ZINC001009187328 726868944 /nfs/dbraw/zinc/86/89/44/726868944.db2.gz LHNMCEPGQHHQLS-NXEZZACHSA-N -1 1 346.395 -0.486 20 0 EBADMM O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCc2nn[nH]c2C1 ZINC001009187328 726868948 /nfs/dbraw/zinc/86/89/48/726868948.db2.gz LHNMCEPGQHHQLS-NXEZZACHSA-N -1 1 346.395 -0.486 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)c3cccn(C)c3=O)C2)nc1=O ZINC001009245470 726880344 /nfs/dbraw/zinc/88/03/44/726880344.db2.gz MNBLODUFWQUWKK-NSHDSACASA-N -1 1 346.391 -0.799 20 0 EBADMM CCc1nc[nH]c1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001009363980 726898254 /nfs/dbraw/zinc/89/82/54/726898254.db2.gz NXLIPKMAIVULFY-SNVBAGLBSA-N -1 1 333.396 -0.212 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1)c1cnn(C)c1 ZINC001009777818 726999939 /nfs/dbraw/zinc/99/99/39/726999939.db2.gz DUKZIAHRZFKPGU-DGCLKSJQSA-N -1 1 347.423 -0.274 20 0 EBADMM C[C@@H]1C[C@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)CN1C(=O)c1ccn[nH]1 ZINC001010022688 727019621 /nfs/dbraw/zinc/01/96/21/727019621.db2.gz LKGWSKGNHMOQQY-HTQZYQBOSA-N -1 1 347.335 -0.714 20 0 EBADMM C[C@@H]1C[C@H](CNC(=O)c2ncccc2[O-])CN1C(=O)Cn1ncnn1 ZINC001010169855 727041164 /nfs/dbraw/zinc/04/11/64/727041164.db2.gz BEMLDIJBSUQNQP-GHMZBOCLSA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@@H]1C[C@H](CNC(=O)C2CC2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001010233478 727051963 /nfs/dbraw/zinc/05/19/63/727051963.db2.gz TUOBTQVWUVXXFO-NXEZZACHSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(c1ccc[nH]1)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001010323872 727072268 /nfs/dbraw/zinc/07/22/68/727072268.db2.gz UICMCEQUFASSPX-UHFFFAOYSA-N -1 1 341.331 -0.656 20 0 EBADMM NC(=O)CC(=O)N1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC001010812234 727149578 /nfs/dbraw/zinc/14/95/78/727149578.db2.gz PUYIGUCLODCHEZ-UHFFFAOYSA-N -1 1 333.348 -0.781 20 0 EBADMM Cc1ccoc1CC(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051816640 735411581 /nfs/dbraw/zinc/41/15/81/735411581.db2.gz KHHMQFCRAFUSNN-LLVKDONJSA-N -1 1 335.364 -0.029 20 0 EBADMM O=C(CCn1cnnn1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011035712 727186247 /nfs/dbraw/zinc/18/62/47/727186247.db2.gz OMBJUZHMQYFLKK-UHFFFAOYSA-N -1 1 331.336 -0.852 20 0 EBADMM CN1CC[C@@H](CC(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)C1=O ZINC001011036387 727186602 /nfs/dbraw/zinc/18/66/02/727186602.db2.gz QYRMCRPENMTYTN-LBPRGKRZSA-N -1 1 346.387 -0.060 20 0 EBADMM Cc1ccc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)c(=O)[nH]1 ZINC001051844393 735424805 /nfs/dbraw/zinc/42/48/05/735424805.db2.gz HCUBTOZXOJJFRD-JTQLQIEISA-N -1 1 348.363 -0.450 20 0 EBADMM C[C@@H](CC(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1)n1ccnc1 ZINC001051851228 735428032 /nfs/dbraw/zinc/42/80/32/735428032.db2.gz PDPYCAPJWFGYAD-NWDGAFQWSA-N -1 1 349.395 -0.325 20 0 EBADMM O=C(CCc1cn[nH]c1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051861814 735432739 /nfs/dbraw/zinc/43/27/39/735432739.db2.gz OGBFMLAXPSTCEM-NSHDSACASA-N -1 1 335.368 -0.817 20 0 EBADMM CC1(C(=O)N2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)CC2)CC1 ZINC001011241949 727498352 /nfs/dbraw/zinc/49/83/52/727498352.db2.gz HJLODHPYURUVSL-UHFFFAOYSA-N -1 1 334.376 -0.602 20 0 EBADMM COc1ccc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)o1 ZINC001051881142 735442070 /nfs/dbraw/zinc/44/20/70/735442070.db2.gz AJMBOHRGYFFNLM-SECBINFHSA-N -1 1 337.336 -0.257 20 0 EBADMM O=C(CCn1cccc1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051883808 735444313 /nfs/dbraw/zinc/44/43/13/735444313.db2.gz AHWOMLBXVMZYBX-LBPRGKRZSA-N -1 1 334.380 -0.281 20 0 EBADMM CC(C)[C@H](C)C(=O)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001011637535 727552322 /nfs/dbraw/zinc/55/23/22/727552322.db2.gz IHXNIWBEGJJXKL-NSHDSACASA-N -1 1 346.391 -0.006 20 0 EBADMM C[C@H]1[C@@H](NC(=O)Cn2nccn2)CCN1C(=O)c1ncccc1[O-] ZINC001011679719 727558035 /nfs/dbraw/zinc/55/80/35/727558035.db2.gz PIYOQDXKKODCDR-QWRGUYRKSA-N -1 1 330.348 -0.202 20 0 EBADMM C[C@H]1[C@H](NC(=O)Cn2ncnn2)CCN1C(=O)c1ncccc1[O-] ZINC001011681956 727558165 /nfs/dbraw/zinc/55/81/65/727558165.db2.gz ZHHGLGHLYCHOEW-VHSXEESVSA-N -1 1 331.336 -0.807 20 0 EBADMM C[C@@H]1[C@H](NC(=O)Cn2ncnn2)CCN1C(=O)c1ncccc1[O-] ZINC001011681953 727558309 /nfs/dbraw/zinc/55/83/09/727558309.db2.gz ZHHGLGHLYCHOEW-NXEZZACHSA-N -1 1 331.336 -0.807 20 0 EBADMM C[C@H]1[C@@H](NC(=O)C(F)F)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001011899586 727599896 /nfs/dbraw/zinc/59/98/96/727599896.db2.gz QKVZQKJFUGTSLA-IUCAKERBSA-N -1 1 344.318 -0.703 20 0 EBADMM C[C@@H]1[C@H](NC(=O)CC2CC2)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001011915203 727602648 /nfs/dbraw/zinc/60/26/48/727602648.db2.gz XGUOETNEQSBACE-MWLCHTKSSA-N -1 1 344.375 -0.063 20 0 EBADMM O=C(c1cc2c([nH]1)CCC2)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051898395 735453155 /nfs/dbraw/zinc/45/31/55/735453155.db2.gz VZBRQIBGMJDNPR-NSHDSACASA-N -1 1 346.391 -0.042 20 0 EBADMM Cc1cc(C(=O)N2C[C@H](NC(=O)Cn3c(=O)[n-][nH]c3=O)C[C@H]2C)[nH]n1 ZINC001012357770 727657046 /nfs/dbraw/zinc/65/70/46/727657046.db2.gz WHIKONXGWQDWGN-RKDXNWHRSA-N -1 1 349.351 -0.860 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)C2(C)CC2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001013238114 727786041 /nfs/dbraw/zinc/78/60/41/727786041.db2.gz BNCUJHRGXCGSIH-NEPJUHHUSA-N -1 1 348.403 -0.168 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)C(F)F)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001013238569 727786433 /nfs/dbraw/zinc/78/64/33/727786433.db2.gz FABNKGFYPPNTAM-DTWKUNHWSA-N -1 1 344.318 -0.703 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)C2CCC2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001013326200 727794217 /nfs/dbraw/zinc/79/42/17/727794217.db2.gz MAJKAROTZUGKSV-MWLCHTKSSA-N -1 1 344.375 -0.063 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)CCn1cnnn1 ZINC001013907603 727842283 /nfs/dbraw/zinc/84/22/83/727842283.db2.gz WIGOCIKCHFSYHB-GHMZBOCLSA-N -1 1 345.363 -0.417 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)Cn1cncn1 ZINC001014084390 727894031 /nfs/dbraw/zinc/89/40/31/727894031.db2.gz QMSRZEZYKGTSLK-WDEREUQCSA-N -1 1 330.348 -0.202 20 0 EBADMM Cn1ncc(Cl)c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001014448664 727956440 /nfs/dbraw/zinc/95/64/40/727956440.db2.gz ZKQYRGOOCHIWPK-QMMMGPOBSA-N -1 1 339.787 -0.500 20 0 EBADMM CCn1ccc(CC(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001014515201 727965430 /nfs/dbraw/zinc/96/54/30/727965430.db2.gz ZWZVEHFKUBXYHV-GFCCVEGCSA-N -1 1 333.396 -0.742 20 0 EBADMM CC(=O)N[C@@H]1CCC[C@@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@@H]21 ZINC001014598567 727975305 /nfs/dbraw/zinc/97/53/05/727975305.db2.gz LTJFIVSYQNTVGA-GRYCIOLGSA-N -1 1 344.375 -0.206 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cn4c(n3)CCCC4)C2)nc1=O ZINC001014621767 727980579 /nfs/dbraw/zinc/98/05/79/727980579.db2.gz XARLVPCSYFQZJL-NSHDSACASA-N -1 1 345.407 -0.355 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C3(F)CCOCC3)C2)nc1=O ZINC001014670757 727990468 /nfs/dbraw/zinc/99/04/68/727990468.db2.gz UQGBJTJGIQNXRK-JTQLQIEISA-N -1 1 327.360 -0.682 20 0 EBADMM C[C@H]1[C@H](NC(=O)C2CC2)CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001014676934 727990923 /nfs/dbraw/zinc/99/09/23/727990923.db2.gz CXSGSVBOBWRVGX-GXSJLCMTSA-N -1 1 344.375 -0.063 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)C2CC2)CCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001014679413 727991307 /nfs/dbraw/zinc/99/13/07/727991307.db2.gz MQFJKHVJLWTBEG-YPMHNXCESA-N -1 1 348.403 -0.168 20 0 EBADMM C[C@H]1[C@@H](NC(=O)Cn2cnnn2)CCCN1C(=O)c1ncccc1[O-] ZINC001014747325 728002522 /nfs/dbraw/zinc/00/25/22/728002522.db2.gz UCIKAENRKZAPRZ-QWRGUYRKSA-N -1 1 345.363 -0.417 20 0 EBADMM CCOc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC001014885446 728026359 /nfs/dbraw/zinc/02/63/59/728026359.db2.gz PNWUJDVDIQNHLW-SECBINFHSA-N -1 1 335.368 -0.766 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CCCN1C(=O)C(N)=O ZINC001014958275 728040864 /nfs/dbraw/zinc/04/08/64/728040864.db2.gz XCGJSLFVKKGFGB-KWQFWETISA-N -1 1 347.375 -0.346 20 0 EBADMM CCc1nc([C@H](C)N2CC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001015117747 728083917 /nfs/dbraw/zinc/08/39/17/728083917.db2.gz FRVXHFAJPLNDDN-JGVFFNPUSA-N -1 1 348.367 -0.471 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@@H]3Cc4ccccc4O3)C2)nc1=O ZINC001015162671 728099851 /nfs/dbraw/zinc/09/98/51/728099851.db2.gz BXXIUNUDURLHKX-OCCSQVGLSA-N -1 1 343.387 -0.197 20 0 EBADMM NC(=O)CN1CCN([C@@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001052017163 735504491 /nfs/dbraw/zinc/50/44/91/735504491.db2.gz DXTXWVSSYSXUSG-GFCCVEGCSA-N -1 1 333.392 -0.895 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@@H]3CCO[C@H]3C3CC3)C2)nc1=O ZINC001015344951 728403304 /nfs/dbraw/zinc/40/33/04/728403304.db2.gz NJWDQMANINRBLZ-SCRDCRAPSA-N -1 1 335.408 -0.386 20 0 EBADMM CCc1c(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nnn1CC ZINC001015692944 728427193 /nfs/dbraw/zinc/42/71/93/728427193.db2.gz ORZZCLYQOPEVAY-SNVBAGLBSA-N -1 1 348.411 -0.714 20 0 EBADMM Cc1noc(C)c1CC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001016235320 728444301 /nfs/dbraw/zinc/44/43/01/728444301.db2.gz HDXWWYDQDZYYNN-LLVKDONJSA-N -1 1 334.380 -0.354 20 0 EBADMM O=C(NC1(C2CCN(C(=O)[C@@H]3CCC(=O)N3)CC2)CC1)c1cnn[nH]1 ZINC001017177027 728551816 /nfs/dbraw/zinc/55/18/16/728551816.db2.gz VSAIWUQPDINJED-NSHDSACASA-N -1 1 346.391 -0.416 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001017199111 728555338 /nfs/dbraw/zinc/55/53/38/728555338.db2.gz YVCJJFHKQPTEAM-SNVBAGLBSA-N -1 1 349.395 -0.066 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)c2cnsn2)nc1=O ZINC001017383181 728570158 /nfs/dbraw/zinc/57/01/58/728570158.db2.gz KASUALQJDBDWPJ-DTORHVGOSA-N -1 1 335.393 -0.551 20 0 EBADMM O=C(NCC1=CCN(C(=O)[C@H]2CNC(=O)N2)CC1)c1ncccc1[O-] ZINC001017400677 728571922 /nfs/dbraw/zinc/57/19/22/728571922.db2.gz SVMUWOCCFJTLSU-LLVKDONJSA-N -1 1 345.359 -0.643 20 0 EBADMM O=C(NCC1=CCN(C(=O)c2ncccc2[O-])CC1)[C@@H]1CCNC1=O ZINC001017617643 728595115 /nfs/dbraw/zinc/59/51/15/728595115.db2.gz GHQXJXVXTSYMLD-GFCCVEGCSA-N -1 1 344.371 -0.188 20 0 EBADMM C[C@H](O)CN1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1n[nH]c(=O)[n-]c1=O ZINC001017696624 728605379 /nfs/dbraw/zinc/60/53/79/728605379.db2.gz NSRCCQICHAUTGN-GARJFASQSA-N -1 1 337.380 -0.729 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)C2CC2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001017889297 728622229 /nfs/dbraw/zinc/62/22/29/728622229.db2.gz GRMDTDKLXRWRJN-MWLCHTKSSA-N -1 1 344.375 -0.063 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2cnn[nH]2)CN1C(=O)c1cn(C)c(=O)n1C ZINC001018251313 728649949 /nfs/dbraw/zinc/64/99/49/728649949.db2.gz QSMNUWFFISHXAJ-VHSXEESVSA-N -1 1 347.379 -0.735 20 0 EBADMM CN1CCCC[C@H](C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)C1=O ZINC001019644261 728955258 /nfs/dbraw/zinc/95/52/58/728955258.db2.gz XFXDOEKHLOJDEQ-QWRGUYRKSA-N -1 1 336.396 -0.541 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCCO1)c1nnc[nH]1 ZINC001019644596 728955814 /nfs/dbraw/zinc/95/58/14/728955814.db2.gz GMAALFUYFLLALE-SNVBAGLBSA-N -1 1 346.347 -0.434 20 0 EBADMM C[C@H]1[C@@H](NC(=O)[C@H]2CCC(=O)N2)CCN1C(=O)c1ncccc1[O-] ZINC001020205394 729099873 /nfs/dbraw/zinc/09/98/73/729099873.db2.gz WTKLQUZZLVGGOH-GARJFASQSA-N -1 1 332.360 -0.215 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@H]1CCNC1=O ZINC001020452559 729171060 /nfs/dbraw/zinc/17/10/60/729171060.db2.gz ILIBVKFIHWZQRC-DCAQKATOSA-N -1 1 332.360 -0.358 20 0 EBADMM O=C(NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1)[C@@H]1CCC(=O)NC1 ZINC001021306395 729305109 /nfs/dbraw/zinc/30/51/09/729305109.db2.gz MLOPVBZTZGYIRB-WAAKLRNESA-N -1 1 348.407 -0.352 20 0 EBADMM Cn1cc(C(=O)NC2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[n-]2)[nH]c1=O ZINC001021369118 729308945 /nfs/dbraw/zinc/30/89/45/729308945.db2.gz AGKSTKPFRAPGKT-PBINXNQUSA-N -1 1 347.379 -0.125 20 0 EBADMM O=C(Cc1nnc[nH]1)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001021443854 729314408 /nfs/dbraw/zinc/31/44/08/729314408.db2.gz CPYFNDROIPQKFN-WDEREUQCSA-N -1 1 342.359 -0.179 20 0 EBADMM Cn1cc(C(=O)N2C[C@@H]3CCN(C(=O)c4ncccc4[O-])[C@@H]3C2)nn1 ZINC001021442781 729314420 /nfs/dbraw/zinc/31/44/20/729314420.db2.gz YPJVJDXFILWBOU-CMPLNLGQSA-N -1 1 342.359 -0.098 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CC[C@H]2CN(C(=O)C3CC3)C[C@H]21 ZINC001022206500 729466175 /nfs/dbraw/zinc/46/61/75/729466175.db2.gz BEOHIPAVLBUPMO-CMPLNLGQSA-N -1 1 342.359 -0.500 20 0 EBADMM Cc1ncoc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001023308786 729661708 /nfs/dbraw/zinc/66/17/08/729661708.db2.gz QRFLPNZUJJVYPX-MWLCHTKSSA-N -1 1 332.316 -0.301 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](CNC(=O)[C@]34C[C@H]3COC4)C2)nc1=O ZINC001023383372 729675597 /nfs/dbraw/zinc/67/55/97/729675597.db2.gz SZQARXUUHWAAMI-MKBNYLNASA-N -1 1 335.408 -0.527 20 0 EBADMM Cn1cc(CN2CCC[C@@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)cn1 ZINC001023468198 729696213 /nfs/dbraw/zinc/69/62/13/729696213.db2.gz MCYLTALHKZPIKQ-JTQLQIEISA-N -1 1 347.379 -0.342 20 0 EBADMM Cc1cc(CC(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)no1 ZINC001023488421 729702397 /nfs/dbraw/zinc/70/23/97/729702397.db2.gz HEBVFUVGZDQPMR-DGCLKSJQSA-N -1 1 346.343 -0.372 20 0 EBADMM Cc1nn(C)cc1C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023488504 729702651 /nfs/dbraw/zinc/70/26/51/729702651.db2.gz KPWZPXXOYXTYKP-DGCLKSJQSA-N -1 1 345.359 -0.556 20 0 EBADMM Cc1nocc1C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023490738 729703657 /nfs/dbraw/zinc/70/36/57/729703657.db2.gz OJVHRJOEZNAXJB-ZYHUDNBSSA-N -1 1 332.316 -0.301 20 0 EBADMM CCn1cc(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)nn1 ZINC001023491212 729704096 /nfs/dbraw/zinc/70/40/96/729704096.db2.gz ZFBMCWXDPZMWSE-BXKDBHETSA-N -1 1 346.347 -0.986 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ccc(=O)[nH]c2)C[C@H]1O)c1ncccc1[O-] ZINC001023493813 729704323 /nfs/dbraw/zinc/70/43/23/729704323.db2.gz MZUALTIPOYTCHQ-ZYHUDNBSSA-N -1 1 344.327 -0.497 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](CNC(=O)c3ccc(=O)[nH]c3)C2)nc1=O ZINC001023733608 729759527 /nfs/dbraw/zinc/75/95/27/729759527.db2.gz QEHGUPCIOKLKLF-NSHDSACASA-N -1 1 346.391 -0.149 20 0 EBADMM Cc1ccnn1CC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001023735218 729789542 /nfs/dbraw/zinc/78/95/42/729789542.db2.gz BZJXEUVKXXHYQM-DGCLKSJQSA-N -1 1 345.359 -0.706 20 0 EBADMM COCC(=O)N1C[C@@H](O)[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001023757042 729793614 /nfs/dbraw/zinc/79/36/14/729793614.db2.gz RAQLAASUOZQDAQ-GHMZBOCLSA-N -1 1 336.348 -0.993 20 0 EBADMM O=C(Cc1ccc[nH]1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001023996068 729826365 /nfs/dbraw/zinc/82/63/65/729826365.db2.gz DBSBGEWFACVKPN-DGCLKSJQSA-N -1 1 330.344 -0.341 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H]2CNC(=O)C2=COCCO2)nc1=O ZINC001024309009 729871946 /nfs/dbraw/zinc/87/19/46/729871946.db2.gz ROVOFFDBQHKVLY-LLVKDONJSA-N -1 1 337.380 -0.533 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)[nH]n1 ZINC001024341234 729874851 /nfs/dbraw/zinc/87/48/51/729874851.db2.gz CGYJQQNEIUJWHI-NSHDSACASA-N -1 1 333.396 -0.076 20 0 EBADMM Cc1c(C(=O)NC[C@@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)nnn1C ZINC001024373454 729879150 /nfs/dbraw/zinc/87/91/50/729879150.db2.gz MJDGPCAZDUANFP-NSHDSACASA-N -1 1 348.411 -0.670 20 0 EBADMM CC[C@@H]1CCO[C@@H]1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001024373897 729879197 /nfs/dbraw/zinc/87/91/97/729879197.db2.gz UPGIVEKSBWKKIF-CVMIBZJCSA-N -1 1 349.387 -0.096 20 0 EBADMM Cn1cncc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001024466025 729890817 /nfs/dbraw/zinc/89/08/17/729890817.db2.gz UYTFDKFZCHXNHT-BXKDBHETSA-N -1 1 331.332 -0.864 20 0 EBADMM Cc1ncn(C)c1C(=O)NC[C@@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001024493675 729893366 /nfs/dbraw/zinc/89/33/66/729893366.db2.gz DNWIBVQTAUQMNN-LBPRGKRZSA-N -1 1 347.423 -0.065 20 0 EBADMM O=C(NC[C@@H]1CCCCN1CCn1cncn1)c1n[nH]c(=O)[n-]c1=O ZINC001024532472 729899031 /nfs/dbraw/zinc/89/90/31/729899031.db2.gz HEUGAQUTCQGANQ-JTQLQIEISA-N -1 1 348.367 -0.841 20 0 EBADMM CN1CC(C(=O)NC[C@@H]2CCCCN2Cc2n[nH]c(=O)[n-]2)=NC1=O ZINC001024633099 729914200 /nfs/dbraw/zinc/91/42/00/729914200.db2.gz FNSYUBIITHCFEN-VIFPVBQESA-N -1 1 335.368 -0.266 20 0 EBADMM O=C(C[C@@H]1COC(=O)C1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024927468 729942967 /nfs/dbraw/zinc/94/29/67/729942967.db2.gz QTHLEVLQMPIRKR-WDEREUQCSA-N -1 1 337.380 -0.066 20 0 EBADMM Cn1oc(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)cc1=O ZINC001024940808 729944078 /nfs/dbraw/zinc/94/40/78/729944078.db2.gz ATTWQQADLQUCAE-SECBINFHSA-N -1 1 336.352 -0.414 20 0 EBADMM CC(=O)N1CC(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)C1 ZINC001024942565 729944476 /nfs/dbraw/zinc/94/44/76/729944476.db2.gz LUPFCVRZZFXSQW-GFCCVEGCSA-N -1 1 336.396 -0.541 20 0 EBADMM CO[C@H]1CC[C@H](C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)C1 ZINC001025825372 730098144 /nfs/dbraw/zinc/09/81/44/730098144.db2.gz YBTLRUPLAWEIGP-ZXPJVPCYSA-N -1 1 335.408 -0.530 20 0 EBADMM CCC(=O)N[C@@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C12CCC2 ZINC001025826685 730098554 /nfs/dbraw/zinc/09/85/54/730098554.db2.gz ATGRQSVTUHPWAX-GHMZBOCLSA-N -1 1 344.375 -0.015 20 0 EBADMM CO[C@@H]1CCC[C@@H](C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)C1 ZINC001025989270 730114883 /nfs/dbraw/zinc/11/48/83/730114883.db2.gz RVHQCTGTZUFKIP-NTASLKFISA-N -1 1 349.435 -0.140 20 0 EBADMM C[C@H]1COCC[C@@H]1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001026021104 730116054 /nfs/dbraw/zinc/11/60/54/730116054.db2.gz DPZFZPVULJOYBC-ZXPJVPCYSA-N -1 1 335.408 -0.673 20 0 EBADMM CC[C@H]1C[C@@H](C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)CCO1 ZINC001026137332 730123387 /nfs/dbraw/zinc/12/33/87/730123387.db2.gz YNOIUCHIWYNOAN-XOBFJNJYSA-N -1 1 349.435 -0.140 20 0 EBADMM CO[C@@H]1CCCC[C@@H]1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001026152714 730127043 /nfs/dbraw/zinc/12/70/43/730127043.db2.gz PZZLOZVFSFHEOR-RLPMIEJPSA-N -1 1 349.435 -0.140 20 0 EBADMM CC[C@@H](C(N)=O)N(C)[C@@H]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001027479546 730218624 /nfs/dbraw/zinc/21/86/24/730218624.db2.gz JNMZYMHUVAAJPT-BDAKNGLRSA-N -1 1 338.368 -0.917 20 0 EBADMM Cc1c(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)cnn1C ZINC001027759028 730237311 /nfs/dbraw/zinc/23/73/11/730237311.db2.gz HPRAOYWPXQNBFF-NSHDSACASA-N -1 1 333.396 -0.455 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)cnn1 ZINC001027848492 730242910 /nfs/dbraw/zinc/24/29/10/730242910.db2.gz KRZUTYAXCLNUEH-LBPRGKRZSA-N -1 1 331.380 -0.399 20 0 EBADMM Cn1[nH]c(CN2CCC[C@@H]2CNC(=O)[C@@H]2CCCC[N@H+]2C)nc1=O ZINC001027972221 730253042 /nfs/dbraw/zinc/25/30/42/730253042.db2.gz RYKBDBILBTVLRR-OLZOCXBDSA-N -1 1 336.440 -0.327 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H]2CNC(=O)c2ccn(C)c(=O)c2)nc1=O ZINC001027977001 730253655 /nfs/dbraw/zinc/25/36/55/730253655.db2.gz LUAYSRYARMREKZ-GFCCVEGCSA-N -1 1 346.391 -0.799 20 0 EBADMM Cc1cnn(C)c1C(=O)NC[C@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001028081888 730265100 /nfs/dbraw/zinc/26/51/00/730265100.db2.gz BJWRNKYITPXPNU-LLVKDONJSA-N -1 1 333.396 -0.455 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)nc(C)n1 ZINC001028200644 730273696 /nfs/dbraw/zinc/27/36/96/730273696.db2.gz TUCUMNXGATUDET-LBPRGKRZSA-N -1 1 345.407 -0.090 20 0 EBADMM O=C(NC[C@H]1CCCN1Cc1cnon1)c1cc(=O)n2[n-]cnc2n1 ZINC001028259286 730278065 /nfs/dbraw/zinc/27/80/65/730278065.db2.gz BTKOYZWQHSQJHE-SNVBAGLBSA-N -1 1 344.335 -0.805 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H]2CNC(=O)c2ccc(=O)[nH]c2)nc1=O ZINC001028299531 730280815 /nfs/dbraw/zinc/28/08/15/730280815.db2.gz GXWNZVKXOATNSC-NSHDSACASA-N -1 1 332.364 -0.397 20 0 EBADMM Cc1nccnc1CN1CC[C@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001028661890 730334471 /nfs/dbraw/zinc/33/44/71/730334471.db2.gz QGXXAAHAVZUHAX-SNVBAGLBSA-N -1 1 345.363 -0.367 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)[C@@H]3CC34CCOCC4)C2)nc1=O ZINC001029024383 730386223 /nfs/dbraw/zinc/38/62/23/730386223.db2.gz GVNPWLBOOOZTAZ-STQMWFEESA-N -1 1 349.435 -0.137 20 0 EBADMM CCn1cc(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)cn1 ZINC001029248729 730394904 /nfs/dbraw/zinc/39/49/04/730394904.db2.gz JCVQJCALOULKNA-BETUJISGSA-N -1 1 345.407 -0.186 20 0 EBADMM Cc1[nH]nc(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)c1C ZINC001029249167 730394924 /nfs/dbraw/zinc/39/49/24/730394924.db2.gz HRGWPGMSKFAKOB-TXEJJXNPSA-N -1 1 345.407 -0.063 20 0 EBADMM CO[C@H](C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1)C(C)C ZINC001029457910 730406181 /nfs/dbraw/zinc/40/61/81/730406181.db2.gz VUSCHNKLKNWMOW-SCRDCRAPSA-N -1 1 337.424 -0.045 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)[C@@H]2CCNC(=O)C2)C1 ZINC001029702821 730485299 /nfs/dbraw/zinc/48/52/99/730485299.db2.gz OKALJKUWDDREOE-GHMZBOCLSA-N -1 1 336.396 -0.683 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)CO[C@H]2CCOC2)C1 ZINC001029739510 730492586 /nfs/dbraw/zinc/49/25/86/730492586.db2.gz JQOSOSZNMSTLSM-NEPJUHHUSA-N -1 1 339.396 -0.404 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001029750226 730493923 /nfs/dbraw/zinc/49/39/23/730493923.db2.gz DDLNHZSCPNMJQW-QWRGUYRKSA-N -1 1 336.396 -0.731 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)c2coc(C(N)=O)c2)C1 ZINC001029791820 730501855 /nfs/dbraw/zinc/50/18/55/730501855.db2.gz WINWZAPZKCYJIC-VIFPVBQESA-N -1 1 348.363 -0.204 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)c2c[nH]c(=O)n2C)C1 ZINC001029802473 730503865 /nfs/dbraw/zinc/50/38/65/730503865.db2.gz BNRNQFZHWZIPBL-SECBINFHSA-N -1 1 335.368 -0.457 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)Cc2cncn2C)C1 ZINC001029887909 730519097 /nfs/dbraw/zinc/51/90/97/730519097.db2.gz RDHAZLHABMGJAQ-NSHDSACASA-N -1 1 333.396 -0.233 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)[C@H]2CCC(=O)N2)CC1 ZINC001029947060 730526896 /nfs/dbraw/zinc/52/68/96/730526896.db2.gz GSFKFKQKIIPXGK-LLVKDONJSA-N -1 1 336.396 -0.541 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)[C@@H]2COC(=O)N2)CC1 ZINC001029961678 730528715 /nfs/dbraw/zinc/52/87/15/730528715.db2.gz GIZGDRFLVDECRO-JTQLQIEISA-N -1 1 338.368 -0.711 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ccn(C(F)F)n3)C2)nc1=O ZINC001030372237 730575448 /nfs/dbraw/zinc/57/54/48/730575448.db2.gz WYSOOBRKJQIANS-UHFFFAOYSA-N -1 1 327.295 -0.686 20 0 EBADMM Cc1c(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C(C)(C)C ZINC001030401876 730580465 /nfs/dbraw/zinc/58/04/65/730580465.db2.gz CFUSDWFKDYATFQ-UHFFFAOYSA-N -1 1 347.423 -0.017 20 0 EBADMM Cc1ccn2cnc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)c2c1 ZINC001030580518 730607964 /nfs/dbraw/zinc/60/79/64/730607964.db2.gz BGKZTSYNFJPJNI-UHFFFAOYSA-N -1 1 341.375 -0.321 20 0 EBADMM Cc1nc(C)c(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)nc1C ZINC001030650258 730619830 /nfs/dbraw/zinc/61/98/30/730619830.db2.gz ASFBWQASSRISCU-UHFFFAOYSA-N -1 1 331.380 -0.562 20 0 EBADMM Cn1nc(C(F)F)cc1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030673593 730622300 /nfs/dbraw/zinc/62/23/00/730622300.db2.gz VNOZNAYHQDNZQK-UHFFFAOYSA-N -1 1 341.322 -0.606 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3c[nH]nc3-c3ccoc3)C2)nc1=O ZINC001030728194 730630043 /nfs/dbraw/zinc/63/00/43/730630043.db2.gz LIHDYFSNRBQZBX-UHFFFAOYSA-N -1 1 343.347 -0.294 20 0 EBADMM Cc1noc2ncc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)cc12 ZINC001030768058 730635215 /nfs/dbraw/zinc/63/52/15/730635215.db2.gz FRRYRBLLTXTGAC-UHFFFAOYSA-N -1 1 343.347 -0.433 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC1CN(Cc2nnnn2C)C1 ZINC001030775942 730636300 /nfs/dbraw/zinc/63/63/00/730636300.db2.gz JKECYHFTHVVJKQ-UHFFFAOYSA-N -1 1 346.395 -0.248 20 0 EBADMM C[C@H]1C[C@H](CN2CC(NC(=O)c3cnc([O-])n(C)c3=O)C2)CCO1 ZINC001030779799 730637002 /nfs/dbraw/zinc/63/70/02/730637002.db2.gz JNLUTDKXSFEKEJ-WDEREUQCSA-N -1 1 336.392 -0.285 20 0 EBADMM C[C@@H]1C[C@H](CN2CC(NC(=O)c3cnc([O-])n(C)c3=O)C2)CCO1 ZINC001030779796 730637039 /nfs/dbraw/zinc/63/70/39/730637039.db2.gz JNLUTDKXSFEKEJ-GHMZBOCLSA-N -1 1 336.392 -0.285 20 0 EBADMM CC(C)c1nc(CN2CC(NC(=O)c3cnc([O-])n(C)c3=O)C2)n[nH]1 ZINC001030780183 730637266 /nfs/dbraw/zinc/63/72/66/730637266.db2.gz SJHRZXDXBHCNAE-UHFFFAOYSA-N -1 1 347.379 -0.658 20 0 EBADMM COc1cc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)cc(C)n1 ZINC001030855861 730649021 /nfs/dbraw/zinc/64/90/21/730649021.db2.gz NYLJBBBAATVPGO-UHFFFAOYSA-N -1 1 332.364 -0.565 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)Cc3ccc(F)c(F)c3)C2)nc1=O ZINC001030864108 730650296 /nfs/dbraw/zinc/65/02/96/730650296.db2.gz CTCUUQDQVSSFDP-UHFFFAOYSA-N -1 1 337.330 -0.070 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@@H]3Cc4ccncc4C3)C2)nc1=O ZINC001030963810 730659466 /nfs/dbraw/zinc/65/94/66/730659466.db2.gz QQGDNGWOAIJCEK-LLVKDONJSA-N -1 1 328.376 -0.781 20 0 EBADMM O=C(NC1CN(Cc2n[nH]c(=O)[n-]2)C1)c1nnc2ccccc2c1O ZINC001031035332 730666787 /nfs/dbraw/zinc/66/67/87/730666787.db2.gz OYSIRQBASAJINK-UHFFFAOYSA-N -1 1 341.331 -0.227 20 0 EBADMM CC(C)c1nnsc1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031131954 730679957 /nfs/dbraw/zinc/67/99/57/730679957.db2.gz VTCACDIJQUIZRR-UHFFFAOYSA-N -1 1 337.409 -0.303 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3nc4ccccn4c3F)C2)nc1=O ZINC001031200098 730685448 /nfs/dbraw/zinc/68/54/48/730685448.db2.gz SIIDWHCPLHXCEV-UHFFFAOYSA-N -1 1 345.338 -0.491 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3csc(Cl)n3)C2)nc1=O ZINC001031206115 730685961 /nfs/dbraw/zinc/68/59/61/730685961.db2.gz DMPPIQFPFUFAOS-UHFFFAOYSA-N -1 1 328.785 -0.168 20 0 EBADMM Cc1nn(C(C)C)cc1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031244047 730691537 /nfs/dbraw/zinc/69/15/37/730691537.db2.gz MDHPMHVMPNJMQV-UHFFFAOYSA-N -1 1 333.396 -0.192 20 0 EBADMM O=C(NC1CN(Cc2cncc(F)c2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001031249513 730693046 /nfs/dbraw/zinc/69/30/46/730693046.db2.gz ALAJNCXFMGHADX-UHFFFAOYSA-N -1 1 343.322 -0.434 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(Cc2cnc(C)nc2)C1 ZINC001031249827 730693226 /nfs/dbraw/zinc/69/32/26/730693226.db2.gz IGLXBBCYABIFOH-UHFFFAOYSA-N -1 1 346.347 -0.391 20 0 EBADMM CCc1nocc1CN1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001031249643 730693253 /nfs/dbraw/zinc/69/32/53/730693253.db2.gz DKGFOXILNKRFLT-UHFFFAOYSA-N -1 1 343.347 -0.418 20 0 EBADMM O=C(NC1CN(Cc2ccccn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001031249865 730693474 /nfs/dbraw/zinc/69/34/74/730693474.db2.gz JTNHOXMPYZTWNN-UHFFFAOYSA-N -1 1 325.332 -0.573 20 0 EBADMM O=C(NC1CN(CCC[C@@H]2CCOC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001031253425 730695684 /nfs/dbraw/zinc/69/56/84/730695684.db2.gz ODTCHPWADMZNNG-LLVKDONJSA-N -1 1 346.391 -0.352 20 0 EBADMM O=C(NC1CN(Cc2n[nH]c(=O)[n-]2)C1)c1n[nH]nc1-c1ccccc1 ZINC001031448427 730725221 /nfs/dbraw/zinc/72/52/21/730725221.db2.gz PLANQHZEDJWBSL-UHFFFAOYSA-N -1 1 340.347 -0.090 20 0 EBADMM CN(C)c1ccc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)nc1 ZINC001031453687 730725981 /nfs/dbraw/zinc/72/59/81/730725981.db2.gz DYWOAVYWCQRXRE-UHFFFAOYSA-N -1 1 331.380 -0.816 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@@H]3COc4ccccc43)C2)nc1=O ZINC001031478871 730727774 /nfs/dbraw/zinc/72/77/74/730727774.db2.gz YTTVXFLKFNZRPW-GFCCVEGCSA-N -1 1 329.360 -0.415 20 0 EBADMM Cc1cccc2c1O[C@H](C(=O)NC1CN(Cc3nc(=O)n(C)[n-]3)C1)C2 ZINC001031501222 730731227 /nfs/dbraw/zinc/73/12/27/730731227.db2.gz LOWWBBCIZKAEIT-ZDUSSCGKSA-N -1 1 343.387 -0.279 20 0 EBADMM Cc1n[nH]c(C(=O)NCC2CN(CCN3C(=O)CCC3=O)C2)c1[O-] ZINC001031616753 730741337 /nfs/dbraw/zinc/74/13/37/730741337.db2.gz LBFDFHWNCKSMPA-UHFFFAOYSA-N -1 1 335.364 -0.766 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@H]3CCCc4n[nH]cc43)C2)nc1=O ZINC001031671199 730748733 /nfs/dbraw/zinc/74/87/33/730748733.db2.gz GADQLOQIPFZMML-NSHDSACASA-N -1 1 345.407 -0.500 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cc4cccn4cn3)C2)nc1=O ZINC001031764071 730765470 /nfs/dbraw/zinc/76/54/70/730765470.db2.gz UEAAISYCPHFCOB-UHFFFAOYSA-N -1 1 341.375 -0.382 20 0 EBADMM CCCc1n[nH]cc1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031801015 730770648 /nfs/dbraw/zinc/77/06/48/730770648.db2.gz MRNJEWCXIGXSOT-UHFFFAOYSA-N -1 1 333.396 -0.354 20 0 EBADMM O=C(NCC1CN(Cc2ccc(CO)cc2)C1)c1n[nH]c(=O)[n-]c1=O ZINC001031815961 730774077 /nfs/dbraw/zinc/77/40/77/730774077.db2.gz RMHXYHPHQZMHHG-UHFFFAOYSA-N -1 1 345.359 -0.363 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3ncnc4[nH]ccc43)C2)nc1=O ZINC001031816649 730774412 /nfs/dbraw/zinc/77/44/12/730774412.db2.gz PEIIDDJVAUAZCR-UHFFFAOYSA-N -1 1 342.363 -0.807 20 0 EBADMM COc1ccnc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001031894756 730786451 /nfs/dbraw/zinc/78/64/51/730786451.db2.gz UTDGKCIBLIRVHN-UHFFFAOYSA-N -1 1 332.364 -0.626 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3ccc4n[nH]cc4c3)C2)nc1=O ZINC001031930607 730794526 /nfs/dbraw/zinc/79/45/26/730794526.db2.gz DKIVRSRJVXSTMU-UHFFFAOYSA-N -1 1 341.375 -0.154 20 0 EBADMM CCOc1cc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)ccn1 ZINC001031964736 730797136 /nfs/dbraw/zinc/79/71/36/730797136.db2.gz ATSWTKIYXQBYAU-UHFFFAOYSA-N -1 1 346.391 -0.236 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3ccnc(C4CC4)n3)C2)nc1=O ZINC001032018206 730803285 /nfs/dbraw/zinc/80/32/85/730803285.db2.gz UQGOIJXZVVFXAA-UHFFFAOYSA-N -1 1 343.391 -0.362 20 0 EBADMM COCc1nc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)co1 ZINC001032082677 730811720 /nfs/dbraw/zinc/81/17/20/730811720.db2.gz GVJGOOPWYVSUHK-UHFFFAOYSA-N -1 1 336.352 -0.895 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3c[nH]nc3C3CC3)C2)nc1=O ZINC001032098253 730811825 /nfs/dbraw/zinc/81/18/25/730811825.db2.gz UPLUYXDCZFQIII-UHFFFAOYSA-N -1 1 331.380 -0.429 20 0 EBADMM Cn1cc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)c(Cl)n1 ZINC001032120411 730814446 /nfs/dbraw/zinc/81/44/46/730814446.db2.gz YAQRGCJHBKQLQL-UHFFFAOYSA-N -1 1 339.787 -0.643 20 0 EBADMM Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)n1C ZINC001032277660 730836500 /nfs/dbraw/zinc/83/65/00/730836500.db2.gz NVWGVUVPYMVECN-RYUDHWBXSA-N -1 1 330.392 -0.146 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)c2ccccc2O)nc1=O ZINC001032287238 730837229 /nfs/dbraw/zinc/83/72/29/730837229.db2.gz ABTBRLYFPYAJQI-QWRGUYRKSA-N -1 1 329.360 -0.087 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)C[C@H]2CCCCO2)nc1=O ZINC001032350169 730843611 /nfs/dbraw/zinc/84/36/11/730843611.db2.gz HEEOOEAGBSBZQU-RWMBFGLXSA-N -1 1 335.408 -0.147 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)CC[C@@H]2CCOC2)nc1=O ZINC001032346227 730843826 /nfs/dbraw/zinc/84/38/26/730843826.db2.gz UDFHZUUNOUIVRC-AGIUHOORSA-N -1 1 335.408 -0.290 20 0 EBADMM Cc1nscc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032364652 730845630 /nfs/dbraw/zinc/84/56/30/730845630.db2.gz AJGMXNDATYQOOO-UWVGGRQHSA-N -1 1 334.405 -0.028 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)Cc2cncs2)nc1=O ZINC001032460758 730866152 /nfs/dbraw/zinc/86/61/52/730866152.db2.gz BQAZXMZHJRKBPQ-UWVGGRQHSA-N -1 1 334.405 -0.407 20 0 EBADMM CC1(C)CO[C@@H](CN2C[C@@H]3C[C@H]2CN3C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001032498856 730876468 /nfs/dbraw/zinc/87/64/68/730876468.db2.gz LQWAHBZTEUBFTD-GARJFASQSA-N -1 1 349.391 -0.003 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)CCc2cncnc2)nc1=O ZINC001032519737 730881535 /nfs/dbraw/zinc/88/15/35/730881535.db2.gz MZQJQXYBILDBLJ-STQMWFEESA-N -1 1 343.391 -0.684 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)CCc2ccccn2)nc1=O ZINC001032556915 730888184 /nfs/dbraw/zinc/88/81/84/730888184.db2.gz CLOYXSVRLDXFCA-KBPBESRZSA-N -1 1 342.403 -0.079 20 0 EBADMM Cc1cc(=O)[nH]cc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032618779 730899837 /nfs/dbraw/zinc/89/98/37/730899837.db2.gz BCUSSRNWTLPGPQ-QWRGUYRKSA-N -1 1 344.375 -0.384 20 0 EBADMM CCn1cc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)c(C)n1 ZINC001032655030 730905494 /nfs/dbraw/zinc/90/54/94/730905494.db2.gz PAMDYTHYVSEIEW-RYUDHWBXSA-N -1 1 345.407 -0.268 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)c2ccc(=O)[nH]c2)nc1=O ZINC001032763600 730925657 /nfs/dbraw/zinc/92/56/57/730925657.db2.gz JPWANFCKUGAWTJ-QWRGUYRKSA-N -1 1 330.348 -0.692 20 0 EBADMM CCn1nc(C)c(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)n1 ZINC001032817658 730937480 /nfs/dbraw/zinc/93/74/80/730937480.db2.gz AEJYXUGBAGSXRO-QWRGUYRKSA-N -1 1 346.395 -0.873 20 0 EBADMM CN(C(=O)Cc1ccn(C)n1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033074717 730966025 /nfs/dbraw/zinc/96/60/25/730966025.db2.gz JSSQMGWVKIVFIM-GFCCVEGCSA-N -1 1 333.396 -0.883 20 0 EBADMM Cc1ncc(CC(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)o1 ZINC001033140497 730976075 /nfs/dbraw/zinc/97/60/75/730976075.db2.gz XVWPQKSANKDCCC-LLVKDONJSA-N -1 1 334.380 -0.320 20 0 EBADMM CN(C(=O)c1n[nH]c2c1CCC2)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033222966 730990068 /nfs/dbraw/zinc/99/00/68/730990068.db2.gz KOXDZMFHDZZZFY-SNVBAGLBSA-N -1 1 345.407 -0.333 20 0 EBADMM COc1cnc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nc1 ZINC001033254815 730997080 /nfs/dbraw/zinc/99/70/80/730997080.db2.gz RFMCCIRJTAIZQQ-SNVBAGLBSA-N -1 1 347.379 -0.747 20 0 EBADMM CN(C(=O)[C@]1(F)CCOC1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033362573 731014295 /nfs/dbraw/zinc/01/42/95/731014295.db2.gz SHLLTLSMJHUGFP-YGRLFVJLSA-N -1 1 327.360 -0.730 20 0 EBADMM Cc1ncc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1C ZINC001033361673 731014342 /nfs/dbraw/zinc/01/43/42/731014342.db2.gz RICDUBNOBLWXSU-NSHDSACASA-N -1 1 333.396 -0.503 20 0 EBADMM Cc1noc(C)c1CC(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033653610 731056012 /nfs/dbraw/zinc/05/60/12/731056012.db2.gz SEAJMTHDYXIYPP-GFCCVEGCSA-N -1 1 348.407 -0.011 20 0 EBADMM CCN(C(=O)C1=COCCO1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033744189 731070947 /nfs/dbraw/zinc/07/09/47/731070947.db2.gz YOWBRLLMWKYCOT-NSHDSACASA-N -1 1 337.380 -0.581 20 0 EBADMM CCN(C(=O)c1cncnc1C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033761434 731071547 /nfs/dbraw/zinc/07/15/47/731071547.db2.gz LYSFAEUVUNYNPV-GFCCVEGCSA-N -1 1 345.407 -0.057 20 0 EBADMM CCN(C(=O)c1cc[nH]c(=O)c1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033801945 731074672 /nfs/dbraw/zinc/07/46/72/731074672.db2.gz FFYCUKVFTLJFES-GFCCVEGCSA-N -1 1 346.391 -0.054 20 0 EBADMM CCN(C(=O)c1nn(C)cc1C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033827594 731077937 /nfs/dbraw/zinc/07/79/37/731077937.db2.gz GPONWNKJHOQGHV-LBPRGKRZSA-N -1 1 347.423 -0.113 20 0 EBADMM CCN(C(=O)c1cncn1C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033884465 731083878 /nfs/dbraw/zinc/08/38/78/731083878.db2.gz FODYCFJLBXXBNL-LLVKDONJSA-N -1 1 333.396 -0.422 20 0 EBADMM CCN(C(=O)c1cnc(C)n1C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033940149 731092513 /nfs/dbraw/zinc/09/25/13/731092513.db2.gz LHFMTDUYTIYLJW-GFCCVEGCSA-N -1 1 347.423 -0.113 20 0 EBADMM CCN(C(=O)c1ccncn1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033988268 731096253 /nfs/dbraw/zinc/09/62/53/731096253.db2.gz JXABOSCGKXZIRZ-LLVKDONJSA-N -1 1 331.380 -0.365 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H](NC(=O)Cn3cccn3)C2)nc1=O ZINC001034100147 731103607 /nfs/dbraw/zinc/10/36/07/731103607.db2.gz BUYPBBOHRPJRCM-LBPRGKRZSA-N -1 1 333.396 -0.524 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H](NC(=O)[C@H]3[C@@H]4COC[C@@H]43)C2)nc1=O ZINC001034356870 731125120 /nfs/dbraw/zinc/12/51/20/731125120.db2.gz AWQPOAWXGROFHQ-CIQGVGRVSA-N -1 1 335.408 -0.529 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H](NC(=O)c3ncccn3)C2)nc1=O ZINC001034452057 731132928 /nfs/dbraw/zinc/13/29/28/731132928.db2.gz AAVKEKQUZSREAI-NSHDSACASA-N -1 1 331.380 -0.317 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H](NC(=O)Cc3ncc[nH]3)C2)nc1=O ZINC001034457507 731133244 /nfs/dbraw/zinc/13/32/44/731133244.db2.gz FBKLXXWWHJXDQK-LLVKDONJSA-N -1 1 333.396 -0.455 20 0 EBADMM O=C(c1cn2c(n1)COCC2)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034975461 731171053 /nfs/dbraw/zinc/17/10/53/731171053.db2.gz YBFRZAHOIPSUDI-SNVBAGLBSA-N -1 1 347.379 -0.369 20 0 EBADMM Cc1cnc(CNC[C@H]2CCCN2C(=O)c2n[nH]c(=O)[n-]c2=O)cn1 ZINC001034982412 731172084 /nfs/dbraw/zinc/17/20/84/731172084.db2.gz DPZYNVMOCJNXKN-LLVKDONJSA-N -1 1 345.363 -0.224 20 0 EBADMM Cc1oncc1CNC[C@H]1CCCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001034985186 731172893 /nfs/dbraw/zinc/17/28/93/731172893.db2.gz NXCOCUAPTROHTK-SNVBAGLBSA-N -1 1 334.336 -0.026 20 0 EBADMM COc1coc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)cc1=O ZINC001034992491 731174190 /nfs/dbraw/zinc/17/41/90/731174190.db2.gz JGVXYFZMNWGHLG-SECBINFHSA-N -1 1 349.347 -0.134 20 0 EBADMM COc1nn(C)cc1C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035011452 731176311 /nfs/dbraw/zinc/17/63/11/731176311.db2.gz XQDUXNAOHWDENS-SECBINFHSA-N -1 1 335.368 -0.353 20 0 EBADMM NC(=O)c1c[nH]c(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)c1 ZINC001035088583 731184691 /nfs/dbraw/zinc/18/46/91/731184691.db2.gz IZEQTGKZFOFIGN-SECBINFHSA-N -1 1 333.352 -0.668 20 0 EBADMM O=C(CCn1cnccc1=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035089890 731184890 /nfs/dbraw/zinc/18/48/90/731184890.db2.gz OOFJWHFUUSCYON-LLVKDONJSA-N -1 1 347.379 -0.762 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)cn1 ZINC001035142818 731192471 /nfs/dbraw/zinc/19/24/71/731192471.db2.gz DJMXLGVTSVBMHE-SNVBAGLBSA-N -1 1 345.363 -0.601 20 0 EBADMM O=C([C@@H]1CNC(=O)N1)N1CCC2(CCN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035221217 731198673 /nfs/dbraw/zinc/19/86/73/731198673.db2.gz ZGKKZQVARUPPEB-JTQLQIEISA-N -1 1 349.395 -0.994 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)c3cccc(F)c3)C2)nc1=O ZINC001035276758 731203571 /nfs/dbraw/zinc/20/35/71/731203571.db2.gz CJLKQWLCWYMWKJ-CYBMUJFWSA-N -1 1 349.366 -0.122 20 0 EBADMM Cc1cccnc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035322481 731214918 /nfs/dbraw/zinc/21/49/18/731214918.db2.gz GCQRNWWYWTXDAC-LBPRGKRZSA-N -1 1 346.391 -0.557 20 0 EBADMM O=C(NC[C@@H]1CN(CCn2cncn2)CCO1)c1ncccc1[O-] ZINC001035344315 731222508 /nfs/dbraw/zinc/22/25/08/731222508.db2.gz PQNXSBZRKXCLAH-GFCCVEGCSA-N -1 1 332.364 -0.491 20 0 EBADMM Cc1coc(C)c1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035351670 731225186 /nfs/dbraw/zinc/22/51/86/731225186.db2.gz DXPNTOUKDJEKGQ-LBPRGKRZSA-N -1 1 349.391 -0.051 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)[C@H]3CC3(F)F)C2)nc1=O ZINC001035366791 731231377 /nfs/dbraw/zinc/23/13/77/731231377.db2.gz HMHGKGBMAWHGBX-DTWKUNHWSA-N -1 1 331.323 -0.919 20 0 EBADMM C[C@@H]1CCCN(C(=O)Cn2nccn2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036553539 731262121 /nfs/dbraw/zinc/26/21/21/731262121.db2.gz RDSUXOJVCAAGKM-GHMZBOCLSA-N -1 1 334.384 -0.481 20 0 EBADMM O=C(NC[C@H]1CCN1C[C@H](O)CN1CCCC1=O)c1ncccc1[O-] ZINC001038194237 731292483 /nfs/dbraw/zinc/29/24/83/731292483.db2.gz PGBHLKOLOLJLBC-OLZOCXBDSA-N -1 1 348.403 -0.425 20 0 EBADMM COc1cc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)ccn1 ZINC001038443963 731325936 /nfs/dbraw/zinc/32/59/36/731325936.db2.gz KEVPKVDKLPWGLM-NSHDSACASA-N -1 1 332.364 -0.484 20 0 EBADMM Cn1nccc1[C@@H]1C[C@H]1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038536093 731341387 /nfs/dbraw/zinc/34/13/87/731341387.db2.gz DOBGSWJAQARXNB-IJLUTSLNSA-N -1 1 345.407 -0.664 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@H]2CCc3cccnc32)nc1=O ZINC001038639685 731358571 /nfs/dbraw/zinc/35/85/71/731358571.db2.gz UBSKUFFCMMZIQP-OLZOCXBDSA-N -1 1 342.403 -0.076 20 0 EBADMM O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[n-]1)[C@@H]1CCCc2n[nH]nc21 ZINC001038648103 731359928 /nfs/dbraw/zinc/35/99/28/731359928.db2.gz VEDCGTKDOGMTQY-RKDXNWHRSA-N -1 1 332.368 -0.561 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2csc3cncn32)nc1=O ZINC001038765623 731373971 /nfs/dbraw/zinc/37/39/71/731373971.db2.gz AOGSDOYIZUTUMX-SECBINFHSA-N -1 1 347.404 -0.178 20 0 EBADMM COCc1cc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)no1 ZINC001038780789 731375986 /nfs/dbraw/zinc/37/59/86/731375986.db2.gz QIDGMORJSZFNKV-VIFPVBQESA-N -1 1 336.352 -0.753 20 0 EBADMM Cn1nc2c(c1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)CCC2 ZINC001038914062 731391298 /nfs/dbraw/zinc/39/12/98/731391298.db2.gz YPMZNHVFPOYNLP-JTQLQIEISA-N -1 1 345.407 -0.665 20 0 EBADMM O=C(NC[C@@H]1CCN1Cc1ccon1)c1cc(=O)n2[n-]cnc2n1 ZINC001038921948 731393233 /nfs/dbraw/zinc/39/32/33/731393233.db2.gz NOODTAFSYLYXMJ-JTQLQIEISA-N -1 1 329.320 -0.590 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@@H]2Cc3cccnc3C2)nc1=O ZINC001038968736 731397193 /nfs/dbraw/zinc/39/71/93/731397193.db2.gz MAKFBFSBZWGHIL-OLZOCXBDSA-N -1 1 342.403 -0.391 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@@H]2Cc3cccnc3C2)nc1=O ZINC001038968733 731397375 /nfs/dbraw/zinc/39/73/75/731397375.db2.gz MAKFBFSBZWGHIL-CHWSQXEVSA-N -1 1 342.403 -0.391 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@H]2CCc3c[nH]nc3C2)nc1=O ZINC001039045408 731410921 /nfs/dbraw/zinc/41/09/21/731410921.db2.gz GPLRHMWNJHEDHX-JQWIXIFHSA-N -1 1 345.407 -0.673 20 0 EBADMM CCc1cc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)nn1C ZINC001039085193 731416751 /nfs/dbraw/zinc/41/67/51/731416751.db2.gz RGIAMMSMYYOJLD-LLVKDONJSA-N -1 1 333.396 -0.591 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CC[C@@H](C2)N3C(=O)CC2(O)CCC2)nc1=O ZINC001039371444 731430059 /nfs/dbraw/zinc/43/00/59/731430059.db2.gz JNTNEBKWNPSNEZ-OLZOCXBDSA-N -1 1 349.435 -0.021 20 0 EBADMM Cn1ncc(C(=O)N2C[C@H]3CCC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)n1 ZINC001039943957 731564598 /nfs/dbraw/zinc/56/45/98/731564598.db2.gz PLSRDWQYLFKNSJ-PHIMTYICSA-N -1 1 346.395 -0.884 20 0 EBADMM Cc1nn[nH]c1C(=O)NC[C@]1(O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001040466486 731746334 /nfs/dbraw/zinc/74/63/34/731746334.db2.gz HZFILEUUGCWTGQ-OAHLLOKOSA-N -1 1 346.347 -0.779 20 0 EBADMM O=C(Cc1cnoc1)NC[C@@]1(O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001040642092 731802789 /nfs/dbraw/zinc/80/27/89/731802789.db2.gz NKUFELYNFRNTHD-INIZCTEOSA-N -1 1 346.343 -0.289 20 0 EBADMM O=C(NC[C@@]1(O)CCN(C(=O)c2ncccc2[O-])C1)c1ccncn1 ZINC001040659710 731811379 /nfs/dbraw/zinc/81/13/79/731811379.db2.gz CEAIBZIVUWEMAS-INIZCTEOSA-N -1 1 343.343 -0.416 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(CCN(C(=O)Cc4ccon4)C3)C2)nc1=O ZINC001041148094 732007415 /nfs/dbraw/zinc/00/74/15/732007415.db2.gz WSNWJDVXKMBKQB-MRXNPFEDSA-N -1 1 346.391 -0.237 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(CCN(C(=O)c4cncnc4)C3)C2)nc1=O ZINC001041233178 732043564 /nfs/dbraw/zinc/04/35/64/732043564.db2.gz DBYFKTSYXPXRPG-INIZCTEOSA-N -1 1 343.391 -0.363 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(CCN(C(=O)c4cnco4)C3)C2)nc1=O ZINC001041520717 732146054 /nfs/dbraw/zinc/14/60/54/732146054.db2.gz VOMWPMWPIKGLTH-HNNXBMFYSA-N -1 1 332.364 -0.165 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)c1cnsn1 ZINC001041691441 732197197 /nfs/dbraw/zinc/19/71/97/732197197.db2.gz GHAQBXHPEJRNID-UHFFFAOYSA-N -1 1 335.345 -0.744 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)c1cncs1 ZINC001041742637 732211392 /nfs/dbraw/zinc/21/13/92/732211392.db2.gz BEFGNWSKYMWRRC-UHFFFAOYSA-N -1 1 334.357 -0.139 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)N1CC[C@]2(CCN(CCF)C2)C1 ZINC001041745041 732212103 /nfs/dbraw/zinc/21/21/03/732212103.db2.gz PJEOZWRFEYXQRD-INIZCTEOSA-N -1 1 348.382 -0.035 20 0 EBADMM Cc1cc(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)n(C)n1 ZINC001041746590 732212575 /nfs/dbraw/zinc/21/25/75/732212575.db2.gz ICZMBZAKLNGVAK-UHFFFAOYSA-N -1 1 345.359 -0.554 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(CCN(C(=O)c4ncccn4)C3)C2)nc1=O ZINC001041751950 732214731 /nfs/dbraw/zinc/21/47/31/732214731.db2.gz SCJJKXVYPCHMGY-MRXNPFEDSA-N -1 1 343.391 -0.363 20 0 EBADMM C[C@H](OCC1CC1)C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001041854619 732239637 /nfs/dbraw/zinc/23/96/37/732239637.db2.gz VIAAPXUBTGGQMD-NSHDSACASA-N -1 1 349.387 -0.095 20 0 EBADMM C[C@@H](OCC1CC1)C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001041854618 732239774 /nfs/dbraw/zinc/23/97/74/732239774.db2.gz VIAAPXUBTGGQMD-LLVKDONJSA-N -1 1 349.387 -0.095 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)Cn4cccn4)C[C@H]32)nc1=O ZINC001041941375 732259448 /nfs/dbraw/zinc/25/94/48/732259448.db2.gz IKBPNJZYNLGMKG-QWHCGFSZSA-N -1 1 345.407 -0.572 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)Cn4cccn4)C[C@H]32)nc1=O ZINC001041941370 732259514 /nfs/dbraw/zinc/25/95/14/732259514.db2.gz IKBPNJZYNLGMKG-CHWSQXEVSA-N -1 1 345.407 -0.572 20 0 EBADMM Cn1cc(CC(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)cn1 ZINC001041948813 732262129 /nfs/dbraw/zinc/26/21/29/732262129.db2.gz XFSPQIXGXHSNSC-UHFFFAOYSA-N -1 1 345.359 -0.934 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)c4cocn4)C[C@H]32)nc1=O ZINC001041973678 732265675 /nfs/dbraw/zinc/26/56/75/732265675.db2.gz VUDYQHPIOSXJFW-CMPLNLGQSA-N -1 1 332.364 -0.167 20 0 EBADMM CCCCOCC(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001042201592 732355104 /nfs/dbraw/zinc/35/51/04/732355104.db2.gz VAWBTGDXKARYLD-UHFFFAOYSA-N -1 1 337.376 -0.093 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)c4ncccn4)C[C@H]32)nc1=O ZINC001042279273 732388284 /nfs/dbraw/zinc/38/82/84/732388284.db2.gz ICBOXGZWUHVMJU-VXGBXAGGSA-N -1 1 343.391 -0.365 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)c1cccc(=O)[nH]1 ZINC001042352176 732414272 /nfs/dbraw/zinc/41/42/72/732414272.db2.gz UFJSOAOROFRKPP-UHFFFAOYSA-N -1 1 344.327 -0.495 20 0 EBADMM Cc1n[nH]c(C(=O)NCC2(O)CN(C(=O)CCc3cnc[nH]3)C2)c1[O-] ZINC001042579899 732487002 /nfs/dbraw/zinc/48/70/02/732487002.db2.gz FGSPHQRJAGRKIA-UHFFFAOYSA-N -1 1 348.363 -0.917 20 0 EBADMM COc1cc(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)on1 ZINC001042688580 732533626 /nfs/dbraw/zinc/53/36/26/732533626.db2.gz ZCQYMEOOODRJOF-UHFFFAOYSA-N -1 1 348.315 -0.599 20 0 EBADMM CC[C@@H](C)OCC(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042713069 732546661 /nfs/dbraw/zinc/54/66/61/732546661.db2.gz NKDPCEBQIXRLIY-LLVKDONJSA-N -1 1 337.376 -0.095 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2cncs2)C1)c1ncccc1[O-] ZINC001042713297 732547294 /nfs/dbraw/zinc/54/72/94/732547294.db2.gz RTJPEDOLCPUHMB-UHFFFAOYSA-N -1 1 334.357 -0.139 20 0 EBADMM CCc1nc(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)co1 ZINC001042713341 732547373 /nfs/dbraw/zinc/54/73/73/732547373.db2.gz UABBBBWKELYFMJ-UHFFFAOYSA-N -1 1 346.343 -0.046 20 0 EBADMM Cn1ccc(CC(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)n1 ZINC001042715872 732547961 /nfs/dbraw/zinc/54/79/61/732547961.db2.gz DAAGOHKAMCNGGT-UHFFFAOYSA-N -1 1 345.359 -0.934 20 0 EBADMM C[C@H]1C[C@H](C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)CCO1 ZINC001042716586 732548889 /nfs/dbraw/zinc/54/88/89/732548889.db2.gz TVGRRDXAXOVEQH-NWDGAFQWSA-N -1 1 349.387 -0.095 20 0 EBADMM C[C@@H]1COCC[C@H]1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042720148 732551266 /nfs/dbraw/zinc/55/12/66/732551266.db2.gz RUNHHPWJFDEPLX-VXGBXAGGSA-N -1 1 349.387 -0.237 20 0 EBADMM Cc1n[nH]cc1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042720528 732551881 /nfs/dbraw/zinc/55/18/81/732551881.db2.gz YFLFRGWKQABGNY-UHFFFAOYSA-N -1 1 331.332 -0.564 20 0 EBADMM CN(C(=O)c1c(Cl)cnn1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042729305 732555389 /nfs/dbraw/zinc/55/53/89/732555389.db2.gz DQKTYPRSSDITPZ-UHFFFAOYSA-N -1 1 339.787 -0.548 20 0 EBADMM CN(C(=O)c1ccnn1C(F)F)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042790851 732587903 /nfs/dbraw/zinc/58/79/03/732587903.db2.gz NXMCSEVIVPYWJO-UHFFFAOYSA-N -1 1 341.322 -0.344 20 0 EBADMM CN(C(=O)Cc1cc(C2CC2)no1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042897289 732650090 /nfs/dbraw/zinc/65/00/90/732650090.db2.gz PZQQTGXJNCXJEG-UHFFFAOYSA-N -1 1 346.391 -0.141 20 0 EBADMM O=C(NC[C@@H]1CCN(c2nccn3nnnc23)C1)c1ncccc1[O-] ZINC001061589912 738632397 /nfs/dbraw/zinc/63/23/97/738632397.db2.gz ODXMCRINZGFLSE-JTQLQIEISA-N -1 1 340.347 -0.124 20 0 EBADMM CN(C(=O)c1ccc2cc[nH]c2n1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042995166 732703613 /nfs/dbraw/zinc/70/36/13/732703613.db2.gz BICOZIZPUXTBCW-UHFFFAOYSA-N -1 1 341.375 -0.059 20 0 EBADMM CCCc1n[nH]cc1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043191222 732815602 /nfs/dbraw/zinc/81/56/02/732815602.db2.gz ZCWOXSDNXLRYIN-UHFFFAOYSA-N -1 1 333.396 -0.260 20 0 EBADMM CCc1nc([C@@H](C)N2CC(N(C)C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001043230862 732837094 /nfs/dbraw/zinc/83/70/94/732837094.db2.gz GQTNHHBTRDTLST-SSDOTTSWSA-N -1 1 348.367 -0.519 20 0 EBADMM COC1CC(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001043490703 732973997 /nfs/dbraw/zinc/97/39/97/732973997.db2.gz GJMMZIUGBBHSOH-UHFFFAOYSA-N -1 1 335.360 -0.485 20 0 EBADMM CCCc1cc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC001043502377 732975854 /nfs/dbraw/zinc/97/58/54/732975854.db2.gz XOCCGBZXVQAEDH-UHFFFAOYSA-N -1 1 333.396 -0.260 20 0 EBADMM COc1cccnc1CC(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043649487 733041428 /nfs/dbraw/zinc/04/14/28/733041428.db2.gz ZIPFRNYTGFTGBF-UHFFFAOYSA-N -1 1 346.391 -0.603 20 0 EBADMM COCc1cc(N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)ncn1 ZINC001043917524 733198076 /nfs/dbraw/zinc/19/80/76/733198076.db2.gz BOXGQHKOJLROPF-YPMHNXCESA-N -1 1 345.359 -0.297 20 0 EBADMM COc1ccnc(N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1 ZINC001043918725 733199213 /nfs/dbraw/zinc/19/92/13/733199213.db2.gz RZGMRPGQYIUBNP-KOLCDFICSA-N -1 1 331.332 -0.435 20 0 EBADMM Cc1cc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)nc(C)n1 ZINC001044045711 733261679 /nfs/dbraw/zinc/26/16/79/733261679.db2.gz PYOOAFMUQYEKCF-UHFFFAOYSA-N -1 1 331.380 -0.528 20 0 EBADMM Cc1cc(=O)c(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c[nH]1 ZINC001044134789 733296065 /nfs/dbraw/zinc/29/60/65/733296065.db2.gz ZDDCTNTUFVGZBE-UHFFFAOYSA-N -1 1 332.364 -0.938 20 0 EBADMM CCOc1ncccc1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044250854 733339929 /nfs/dbraw/zinc/33/99/29/733339929.db2.gz RKJSLJPRURCTRQ-UHFFFAOYSA-N -1 1 346.391 -0.142 20 0 EBADMM Cc1cc(C)n([C@@H](C)C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001044361428 733398270 /nfs/dbraw/zinc/39/82/70/733398270.db2.gz ILZDPKBOIYHKFF-LBPRGKRZSA-N -1 1 347.423 -0.174 20 0 EBADMM CN(C(=O)[C@@H]1COc2ccccc21)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044367108 733400771 /nfs/dbraw/zinc/40/07/71/733400771.db2.gz RFUAIFYLYZLJOO-CYBMUJFWSA-N -1 1 343.387 -0.073 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CS(C)(=O)=O ZINC001044489342 733437918 /nfs/dbraw/zinc/43/79/18/733437918.db2.gz IIZAAUSHWLQXGF-DTWKUNHWSA-N -1 1 331.398 -0.976 20 0 EBADMM C[C@H]1C[C@@H](NCc2nncn2C)CCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001044663487 733474931 /nfs/dbraw/zinc/47/49/31/733474931.db2.gz LJCQGOQHQFFUGB-IUCAKERBSA-N -1 1 348.367 -0.806 20 0 EBADMM CCN1C[C@@H](C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CC1=O ZINC001045059399 733569067 /nfs/dbraw/zinc/56/90/67/733569067.db2.gz FYUTZXZDFKOGSC-QWRGUYRKSA-N -1 1 336.396 -0.541 20 0 EBADMM COc1nn(C)cc1C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045149260 733602872 /nfs/dbraw/zinc/60/28/72/733602872.db2.gz XQDUXNAOHWDENS-VIFPVBQESA-N -1 1 335.368 -0.353 20 0 EBADMM O=C(Cc1ncc[nH]1)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001045277464 733646423 /nfs/dbraw/zinc/64/64/23/733646423.db2.gz RWFISUOFFMCLDW-UHFFFAOYSA-N -1 1 331.332 -0.944 20 0 EBADMM Cn1cccc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)c1=O ZINC001045280018 733646904 /nfs/dbraw/zinc/64/69/04/733646904.db2.gz YOBDMIMLEGLYQE-JTQLQIEISA-N -1 1 332.364 -0.397 20 0 EBADMM Cc1cnc(C(=O)NC2(C)CCN(Cc3nc(=O)n(C)[n-]3)CC2)cn1 ZINC001045353990 733674834 /nfs/dbraw/zinc/67/48/34/733674834.db2.gz PXFANYFGUUOKKH-UHFFFAOYSA-N -1 1 345.407 -0.009 20 0 EBADMM Cn1[n-]c(CN2CCC(C)(NC(=O)c3c[nH]c(=O)n3C)CC2)nc1=O ZINC001045523843 733713687 /nfs/dbraw/zinc/71/36/87/733713687.db2.gz XWIRVYHHZSAKNI-UHFFFAOYSA-N -1 1 349.395 -0.668 20 0 EBADMM O=C(CCc1c[nH]nn1)N1CC[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001045850630 733779632 /nfs/dbraw/zinc/77/96/32/733779632.db2.gz MIQZBOZHKHUSER-NEPJUHHUSA-N -1 1 346.395 -0.174 20 0 EBADMM CC(=O)N1CCN([C@@H]2CCN(Cc3cc(=O)n4[n-]ccc4n3)C2)CC1 ZINC001045991221 733788692 /nfs/dbraw/zinc/78/86/92/733788692.db2.gz USNXAQPRIHUZIX-OAHLLOKOSA-N -1 1 344.419 -0.239 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](N3CCN(C(=O)C4CCC4)CC3)C2)nc1=O ZINC001045993963 733789240 /nfs/dbraw/zinc/78/92/40/733789240.db2.gz CVQKKLBQBRKMFX-AWEZNQCLSA-N -1 1 348.451 -0.373 20 0 EBADMM CCc1cc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC001046153379 733868382 /nfs/dbraw/zinc/86/83/82/733868382.db2.gz SMEPKCHKWYOZNC-HNNXBMFYSA-N -1 1 333.396 -0.212 20 0 EBADMM Cc1cc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC001046175474 733871828 /nfs/dbraw/zinc/87/18/28/733871828.db2.gz KDKJXYCUDHBLGR-HNNXBMFYSA-N -1 1 333.396 -0.455 20 0 EBADMM Cc1ccc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001046304959 733905325 /nfs/dbraw/zinc/90/53/25/733905325.db2.gz BERHENSQUBYSIJ-OAHLLOKOSA-N -1 1 331.380 -0.399 20 0 EBADMM COc1ccc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001046466139 733941876 /nfs/dbraw/zinc/94/18/76/733941876.db2.gz BVJVLWOYDRKBOU-MRXNPFEDSA-N -1 1 346.391 -0.094 20 0 EBADMM COc1cnc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)nc1 ZINC001046470270 733942427 /nfs/dbraw/zinc/94/24/27/733942427.db2.gz HLRYZUBCAQUSFA-HNNXBMFYSA-N -1 1 347.379 -0.699 20 0 EBADMM Cc1nc(C)c(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)[nH]1 ZINC001046626399 733985167 /nfs/dbraw/zinc/98/51/67/733985167.db2.gz CRLQSBLKKOYGEN-OAHLLOKOSA-N -1 1 333.396 -0.157 20 0 EBADMM CC(C)n1cc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001046738501 734017579 /nfs/dbraw/zinc/01/75/79/734017579.db2.gz ZEGRUGSMTRYBRF-HNNXBMFYSA-N -1 1 348.411 -0.325 20 0 EBADMM Cn1[n-]c(CN2CC[C@](C)(NC(=O)c3cccn(C)c3=O)C2)nc1=O ZINC001046782252 734027202 /nfs/dbraw/zinc/02/72/02/734027202.db2.gz WRTOLLMSKIJKRD-INIZCTEOSA-N -1 1 346.391 -0.799 20 0 EBADMM Cn1[n-]c(CN2CC[C@](C)(NC(=O)c3cnn4cc[nH]c34)C2)nc1=O ZINC001046797173 734028251 /nfs/dbraw/zinc/02/82/51/734028251.db2.gz UWMZFSODFXKJMI-HNNXBMFYSA-N -1 1 344.379 -0.521 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](C)(NC(=O)c3ccc(=O)[nH]c3)C2)nc1=O ZINC001046816087 734033773 /nfs/dbraw/zinc/03/37/73/734033773.db2.gz HOSAFEWEEWIRJA-OAHLLOKOSA-N -1 1 332.364 -0.397 20 0 EBADMM Cn1cnc(C(=O)N[C@@H]2C[C@H]3C[C@@H](C2)N(Cc2n[nH]c(=O)[n-]2)C3)n1 ZINC001047067205 734088666 /nfs/dbraw/zinc/08/86/66/734088666.db2.gz NZPUKKPCNDVLFA-AEJSXWLSSA-N -1 1 332.368 -0.578 20 0 EBADMM CCc1cc(C(=O)N(C)[C@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@@H]2O)n[nH]1 ZINC001047309555 734126248 /nfs/dbraw/zinc/12/62/48/734126248.db2.gz IKHJPBXKYCXYJX-QWRGUYRKSA-N -1 1 335.368 -0.887 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(Cc2cnnn2C)C[C@@H]1O ZINC001047359388 734152470 /nfs/dbraw/zinc/15/24/70/734152470.db2.gz URDDQAFTQOKMOI-AAEUAGOBSA-N -1 1 332.364 -0.767 20 0 EBADMM CCc1[nH]ccc1C(=O)N(C)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047369445 734158262 /nfs/dbraw/zinc/15/82/62/734158262.db2.gz PNAWUOJDXGVEMP-STQMWFEESA-N -1 1 348.407 -0.684 20 0 EBADMM CN(C(=O)[C@@H]1C[C@H]1c1cnc[nH]1)[C@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@@H]1O ZINC001047415522 734183026 /nfs/dbraw/zinc/18/30/26/734183026.db2.gz DMNSODKYPINYEV-LSKIRQOJSA-N -1 1 347.379 -0.959 20 0 EBADMM CN(C(=O)Cc1ccccc1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047432643 734191662 /nfs/dbraw/zinc/19/16/62/734191662.db2.gz XOIGARBLRCFRBS-KBPBESRZSA-N -1 1 345.403 -0.645 20 0 EBADMM C[C@@H](NCc1cnn(C)n1)[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001047893833 734318839 /nfs/dbraw/zinc/31/88/39/734318839.db2.gz HGANHBSVCWHRGX-BDAKNGLRSA-N -1 1 348.367 -0.948 20 0 EBADMM C[C@H](NCc1ccon1)[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001047896702 734319087 /nfs/dbraw/zinc/31/90/87/734319087.db2.gz WXYCYUMGVGFSIA-DTWKUNHWSA-N -1 1 334.336 -0.089 20 0 EBADMM C[C@H](NCc1cnon1)[C@H]1CCN(C(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001047928732 734322431 /nfs/dbraw/zinc/32/24/31/734322431.db2.gz NVLNFJZWGCSUBN-UWVGGRQHSA-N -1 1 348.363 -0.491 20 0 EBADMM Cc1nonc1CNC[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@H]1C ZINC001048302599 734385855 /nfs/dbraw/zinc/38/58/55/734385855.db2.gz DBTMBQSSELOCQZ-IONNQARKSA-N -1 1 349.351 -0.528 20 0 EBADMM CCO[C@@H](C)C(=O)N(C)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001048344436 734395947 /nfs/dbraw/zinc/39/59/47/734395947.db2.gz RQWULWLBIOGBRY-GVXVVHGQSA-N -1 1 337.376 -0.144 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4cnsn4)C[C@@H]3C2)nc1=O ZINC001048701304 734473550 /nfs/dbraw/zinc/47/35/50/734473550.db2.gz WWXMDMPAPGCDFS-DTORHVGOSA-N -1 1 335.393 -0.836 20 0 EBADMM CCOCCC(=O)N1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001048722086 734482592 /nfs/dbraw/zinc/48/25/92/734482592.db2.gz FNKLGTUQXDZXER-AAEUAGOBSA-N -1 1 337.376 -0.143 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4csnn4)C[C@@H]3C2)nc1=O ZINC001048966488 734589126 /nfs/dbraw/zinc/58/91/26/734589126.db2.gz ZOADWSSMGPZSRC-DTORHVGOSA-N -1 1 335.393 -0.836 20 0 EBADMM NC(=O)C(=O)N1C[C@H]2CN(Cc3nc(=O)c4sccc4[n-]3)C[C@H]2C1 ZINC001049021727 734616663 /nfs/dbraw/zinc/61/66/63/734616663.db2.gz CEWKOWTVDOGGOZ-DTORHVGOSA-N -1 1 347.400 -0.228 20 0 EBADMM C[C@H](C(N)=O)N1C[C@@H]2CN(C(=O)c3ccc4oc(=O)nc-4[n-]3)C[C@@H]2C1 ZINC001049169286 734673925 /nfs/dbraw/zinc/67/39/25/734673925.db2.gz MILJDMFCIRXKJL-BBBLOLIVSA-N -1 1 345.359 -0.194 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@@H]2CCCN3C(=O)c2cn[nH]c2)nc1=O ZINC001049353231 734713731 /nfs/dbraw/zinc/71/37/31/734713731.db2.gz KDJUGBSOSGJPBO-NWDGAFQWSA-N -1 1 331.380 -0.289 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@@H]2CCCN3C(=O)c2cnns2)nc1=O ZINC001049355109 734713820 /nfs/dbraw/zinc/71/38/20/734713820.db2.gz WASBIAVWHUUWCX-VHSXEESVSA-N -1 1 349.420 -0.161 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@H]2CCCN3C(=O)CC2(O)CCC2)nc1=O ZINC001049472359 734738323 /nfs/dbraw/zinc/73/83/23/734738323.db2.gz SFHHUKIRAYSCNG-CHWSQXEVSA-N -1 1 349.435 -0.021 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@H]([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001049839468 734796163 /nfs/dbraw/zinc/79/61/63/734796163.db2.gz QNWMKSYCOTVUDS-JQWIXIFHSA-N -1 1 334.376 -0.110 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@@H]([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001049839472 734796322 /nfs/dbraw/zinc/79/63/22/734796322.db2.gz QNWMKSYCOTVUDS-ZYHUDNBSSA-N -1 1 334.376 -0.110 20 0 EBADMM Cn1ccc(C(=O)N2CCC[C@@H]3[C@@H]2CCN3Cc2nc(=O)n(C)[n-]2)n1 ZINC001049896764 734805168 /nfs/dbraw/zinc/80/51/68/734805168.db2.gz OPUOGWGDBZURAT-OLZOCXBDSA-N -1 1 345.407 -0.279 20 0 EBADMM Cn1cc(C(=O)N2CCC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)cn1 ZINC001049970436 734814864 /nfs/dbraw/zinc/81/48/64/734814864.db2.gz DHVSDAWKLYLNQQ-WCQYABFASA-N -1 1 345.407 -0.422 20 0 EBADMM C[C@H](NC(=O)Cn1c(=O)[n-][nH]c1=O)[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001050103462 734842367 /nfs/dbraw/zinc/84/23/67/734842367.db2.gz LHZVDWIUYDLJBU-DTWKUNHWSA-N -1 1 349.351 -0.921 20 0 EBADMM C[C@@H]1CCO[C@@H]1C(=O)N1CCC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001050144900 734853396 /nfs/dbraw/zinc/85/33/96/734853396.db2.gz RUYFKIGUDKUUBD-COMQUAJESA-N -1 1 349.435 -0.044 20 0 EBADMM Cc1cccc(C(=O)NC[C@@H]2COCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001050821530 734966829 /nfs/dbraw/zinc/96/68/29/734966829.db2.gz LYKGVTKIZBWNSC-GFCCVEGCSA-N -1 1 346.391 -0.557 20 0 EBADMM O=C(CN1CCOC[C@H]1CNC(=O)c1ncccc1[O-])N1CCC1 ZINC001050892164 734990999 /nfs/dbraw/zinc/99/09/99/734990999.db2.gz SDQNSPCUHUXMTQ-GFCCVEGCSA-N -1 1 334.376 -0.550 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)[C@@H]2CCC2(F)F)nc1=O ZINC001050976850 735022886 /nfs/dbraw/zinc/02/28/86/735022886.db2.gz ZLRORQZKPXSZDJ-ZJUUUORDSA-N -1 1 345.350 -0.529 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@@H]2CC[C@H](NC(C)=O)[C@H]2C1 ZINC000979874802 805597887 /nfs/dbraw/zinc/59/78/87/805597887.db2.gz SKLPZVOEZHONBU-GUBZILKMSA-N -1 1 336.348 -0.117 20 0 EBADMM Cc1ncc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)s1 ZINC001051483082 735170333 /nfs/dbraw/zinc/17/03/33/735170333.db2.gz OCVIFJLYLDWBIC-SECBINFHSA-N -1 1 338.393 -0.094 20 0 EBADMM O=C(CC[C@@H]1CCOC1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051535785 735224158 /nfs/dbraw/zinc/22/41/58/735224158.db2.gz YTZHNGRWTKOKPS-VXGBXAGGSA-N -1 1 339.396 -0.356 20 0 EBADMM O=C([C@H]1CCCCO1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051548042 735227365 /nfs/dbraw/zinc/22/73/65/735227365.db2.gz CIONKBUMIBWCNW-WDEREUQCSA-N -1 1 325.369 -0.604 20 0 EBADMM CC[C@@H](SC)C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051548439 735227905 /nfs/dbraw/zinc/22/79/05/735227905.db2.gz GCFFEVPJIJMYIL-VHSXEESVSA-N -1 1 329.426 -0.031 20 0 EBADMM CCn1ccc(CC(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001051627706 735273689 /nfs/dbraw/zinc/27/36/89/735273689.db2.gz CMXWGVLUYJSIAS-LBPRGKRZSA-N -1 1 349.395 -0.714 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNC(=O)CCNC(N)=O ZINC001052420289 735611118 /nfs/dbraw/zinc/61/11/18/735611118.db2.gz CYPJIODEIHSTPL-MNOVXSKESA-N -1 1 349.391 -0.330 20 0 EBADMM CC(C)C(=O)NC[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC001053026398 735739527 /nfs/dbraw/zinc/73/95/27/735739527.db2.gz WNXDKFXPETXVSA-MNOVXSKESA-N -1 1 346.391 -0.102 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)c2ccco2)CCO3)nc1=O ZINC001053129918 735755835 /nfs/dbraw/zinc/75/58/35/735755835.db2.gz VWENGUGEVBKIPZ-UHFFFAOYSA-N -1 1 333.348 -0.572 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)c2ccsc2)CCO3)nc1=O ZINC001053144260 735758621 /nfs/dbraw/zinc/75/86/21/735758621.db2.gz YNXXMKJOTQJGJX-UHFFFAOYSA-N -1 1 349.416 -0.103 20 0 EBADMM Cc1occc1C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053148077 735759172 /nfs/dbraw/zinc/75/91/72/735759172.db2.gz MNRFVCHRJXBAMJ-UHFFFAOYSA-N -1 1 347.375 -0.263 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)c2ccc[nH]2)CCO3)nc1=O ZINC001053154099 735760643 /nfs/dbraw/zinc/76/06/43/735760643.db2.gz QNNCGWVSMCIZGR-UHFFFAOYSA-N -1 1 332.364 -0.837 20 0 EBADMM Cn1nnnc1CN1CC2(C1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC001053231772 735785694 /nfs/dbraw/zinc/78/56/94/735785694.db2.gz BBQMBYCYXNLHDO-UHFFFAOYSA-N -1 1 345.363 -0.962 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)Cc2ccoc2)CCO3)nc1=O ZINC001053230982 735785719 /nfs/dbraw/zinc/78/57/19/735785719.db2.gz DAOVIPUFIOWJNW-UHFFFAOYSA-N -1 1 347.375 -0.643 20 0 EBADMM O=C(c1ncccc1[O-])N1CCOC2(CN([C@@H]3CCNC3=O)C2)C1 ZINC001053232522 735786306 /nfs/dbraw/zinc/78/63/06/735786306.db2.gz QGAHXASMXGYWTN-LLVKDONJSA-N -1 1 332.360 -0.798 20 0 EBADMM Cc1cc(C(=O)N2CCOC3(CN(Cc4nc(=O)n(C)[n-]4)C3)C2)co1 ZINC001053235236 735787744 /nfs/dbraw/zinc/78/77/44/735787744.db2.gz OCKYCLIQELPHKB-UHFFFAOYSA-N -1 1 347.375 -0.263 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)C2(C)CCC2)CCO3)nc1=O ZINC001053377604 735854187 /nfs/dbraw/zinc/85/41/87/735854187.db2.gz JNMCHOVBAMHQNT-UHFFFAOYSA-N -1 1 335.408 -0.288 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CC[C@@H](CNC(=O)c2ccco2)O3)nc1=O ZINC001053561314 735926631 /nfs/dbraw/zinc/92/66/31/735926631.db2.gz KKBRLQXUQJREJF-NSHDSACASA-N -1 1 347.375 -0.135 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CC[C@@H](CNC(=O)c2cocn2)O3)nc1=O ZINC001053583694 735934158 /nfs/dbraw/zinc/93/41/58/735934158.db2.gz GFZVMKCUCRMDDV-JTQLQIEISA-N -1 1 348.363 -0.740 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CC[C@@H](CNC(=O)c2cnco2)O3)nc1=O ZINC001053633874 735950670 /nfs/dbraw/zinc/95/06/70/735950670.db2.gz CYIKKFNOBOYUPR-JTQLQIEISA-N -1 1 348.363 -0.740 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)c2cccnc2)CO3)nc1=O ZINC001053716057 735977581 /nfs/dbraw/zinc/97/75/81/735977581.db2.gz KWGOTXSOOVMXAW-LBPRGKRZSA-N -1 1 344.375 -0.723 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)c2ccoc2)CO3)nc1=O ZINC001053738515 735986204 /nfs/dbraw/zinc/98/62/04/735986204.db2.gz NGCSQYKHPXYXJE-NSHDSACASA-N -1 1 333.348 -0.525 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)CC2CCC2)CO3)nc1=O ZINC001053764502 736003486 /nfs/dbraw/zinc/00/34/86/736003486.db2.gz RUIGTNBZWICTJJ-LBPRGKRZSA-N -1 1 335.408 -0.242 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1)C1CC1 ZINC001053767191 736004679 /nfs/dbraw/zinc/00/46/79/736004679.db2.gz DEQYDYVKXCRGBX-PWSUYJOCSA-N -1 1 335.408 -0.386 20 0 EBADMM C[C@@H](C(=O)N(C)C)N1CC2(C1)C[C@H](NC(=O)c1ncccc1[O-])CO2 ZINC001053784353 736013943 /nfs/dbraw/zinc/01/39/43/736013943.db2.gz MCICEAHUVNYOMC-RYUDHWBXSA-N -1 1 348.403 -0.163 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)[C@]24C[C@H]2CCC4)CO3)nc1=O ZINC001053874770 736071501 /nfs/dbraw/zinc/07/15/01/736071501.db2.gz IWQSGEQRJHAGSH-BWACUDIHSA-N -1 1 347.419 -0.242 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)[C@@H]2CC24CCC4)CO3)nc1=O ZINC001053942726 736106945 /nfs/dbraw/zinc/10/69/45/736106945.db2.gz UAXQZDFOKFRAJP-NEPJUHHUSA-N -1 1 347.419 -0.242 20 0 EBADMM COc1nc(C)ncc1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H](C)C1 ZINC001054708198 736234134 /nfs/dbraw/zinc/23/41/34/736234134.db2.gz JWSCVVKTRTWJGG-KWQFWETISA-N -1 1 347.379 -0.132 20 0 EBADMM C[C@H]1CN(C(=O)C2(F)CCOCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054729360 736236456 /nfs/dbraw/zinc/23/64/56/736236456.db2.gz ARYRNMASZXUKDG-VHSXEESVSA-N -1 1 327.360 -0.035 20 0 EBADMM C[C@H]1CN(C(=O)Cn2cc(C3CC3)nn2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054742389 736237967 /nfs/dbraw/zinc/23/79/67/736237967.db2.gz ZPIUAMXBSDPTNO-ONGXEEELSA-N -1 1 346.395 -0.384 20 0 EBADMM C[C@H]1CN(C(=O)c2ccn(C)c(=O)c2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054811271 736248063 /nfs/dbraw/zinc/24/80/63/736248063.db2.gz AAAXVILPSMVZHQ-GXSJLCMTSA-N -1 1 332.364 -0.541 20 0 EBADMM COCc1nn(C)cc1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H](C)C1 ZINC001054820113 736250465 /nfs/dbraw/zinc/25/04/65/736250465.db2.gz MVDVAJBRRONYHV-ONGXEEELSA-N -1 1 349.395 -0.360 20 0 EBADMM C[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@H]1NCc1ccn(C)n1 ZINC001054862996 736258427 /nfs/dbraw/zinc/25/84/27/736258427.db2.gz OKGDJJOTVMKAAZ-WPRPVWTQSA-N -1 1 333.352 -0.733 20 0 EBADMM C[C@@H]1CN(C(=O)c2cc3n(n2)CCCO3)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054894455 736264821 /nfs/dbraw/zinc/26/48/21/736264821.db2.gz UAQQWQFQSZIFLY-MWLCHTKSSA-N -1 1 347.379 -0.260 20 0 EBADMM C[C@H]1CN(C(=O)c2cnn3cccnc23)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054961760 736273634 /nfs/dbraw/zinc/27/36/34/736273634.db2.gz KFBYPRVCLGTJBP-GXSJLCMTSA-N -1 1 342.363 -0.197 20 0 EBADMM CC(=O)N(C)CC(=O)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC001055185089 736305318 /nfs/dbraw/zinc/30/53/18/736305318.db2.gz VIBWQBJDPVPFSM-BETUJISGSA-N -1 1 346.387 -0.204 20 0 EBADMM O=C(N[C@]1(CO)CCCN(C(=O)c2ncccc2[O-])C1)c1ccn[nH]1 ZINC001055787715 736476742 /nfs/dbraw/zinc/47/67/42/736476742.db2.gz LRSVXYAUTXRXFT-MRXNPFEDSA-N -1 1 345.359 -0.093 20 0 EBADMM O=C(N[C@@]1(CO)CCCN(C(=O)c2nc[nH]n2)C1)c1ncccc1[O-] ZINC001055900185 736541267 /nfs/dbraw/zinc/54/12/67/736541267.db2.gz GWYJHGGYGDBEDT-HNNXBMFYSA-N -1 1 346.347 -0.698 20 0 EBADMM O=C(Cc1ccon1)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057240661 737106498 /nfs/dbraw/zinc/10/64/98/737106498.db2.gz QYYWKOOCDCCAPL-UHFFFAOYSA-N -1 1 334.332 -0.431 20 0 EBADMM CCO[C@@H](C)C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410045 737229527 /nfs/dbraw/zinc/22/95/27/737229527.db2.gz AJSUHKZYHYJAQS-NSHDSACASA-N -1 1 325.365 -0.237 20 0 EBADMM CCn1ccnc1C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410222 737230081 /nfs/dbraw/zinc/23/00/81/737230081.db2.gz IQJVLSHPUWCQDN-UHFFFAOYSA-N -1 1 347.375 -0.132 20 0 EBADMM Cc1[nH]nc(C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])c1C ZINC001057410408 737230858 /nfs/dbraw/zinc/23/08/58/737230858.db2.gz QNBQUBPZWUEWCO-UHFFFAOYSA-N -1 1 347.375 -0.008 20 0 EBADMM Cc1c[nH]c(C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])n1 ZINC001057415985 737235826 /nfs/dbraw/zinc/23/58/26/737235826.db2.gz ACCWJGCIFSZHQB-UHFFFAOYSA-N -1 1 333.348 -0.317 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@H](Nc2ncccn2)C1 ZINC001057667551 737402053 /nfs/dbraw/zinc/40/20/53/737402053.db2.gz AYRQFYFPCSCCKC-LBPRGKRZSA-N -1 1 344.375 -0.180 20 0 EBADMM O=C(N[C@@H]1CCN(c2ccc3nnnn3n2)C1)c1ncccc1[O-] ZINC001058344109 737786125 /nfs/dbraw/zinc/78/61/25/737786125.db2.gz RDIFBDYCEFGZBF-SECBINFHSA-N -1 1 326.320 -0.371 20 0 EBADMM O=C(N[C@@H]1CCN(c2cccc(F)n2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001058406370 737816295 /nfs/dbraw/zinc/81/62/95/737816295.db2.gz PWLLETSMIKZKRL-SECBINFHSA-N -1 1 343.322 -0.040 20 0 EBADMM O=C(Cc1ncc[nH]1)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001058550083 737894475 /nfs/dbraw/zinc/89/44/75/737894475.db2.gz LDZSLPOYANTEFF-UHFFFAOYSA-N -1 1 333.348 -0.696 20 0 EBADMM C[C@H]1OCC[C@H]1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001058553105 737898168 /nfs/dbraw/zinc/89/81/68/737898168.db2.gz VFWHMPMOKYHYSS-VXGBXAGGSA-N -1 1 337.376 -0.237 20 0 EBADMM O=C(N[C@H]1C[C@H](Nc2ncc(F)cn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001059265139 738141266 /nfs/dbraw/zinc/14/12/66/738141266.db2.gz LVKUDRKQUBUMGS-KYZUINATSA-N -1 1 344.310 -0.280 20 0 EBADMM O=C(Cn1ncnn1)NCC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059516827 738187833 /nfs/dbraw/zinc/18/78/33/738187833.db2.gz VYYJJVRPPWEHCW-NSHDSACASA-N -1 1 345.363 -0.558 20 0 EBADMM O=C(NCC[C@H]1CCN(C(=O)[C@H]2CCNC2=O)C1)c1ncccc1[O-] ZINC001060061927 738300980 /nfs/dbraw/zinc/30/09/80/738300980.db2.gz UJBZUAIDSJXZAG-RYUDHWBXSA-N -1 1 346.387 -0.108 20 0 EBADMM O=C(NCC[C@@H]1CCN(C(=O)[C@H]2CNC(=O)N2)C1)c1ncccc1[O-] ZINC001060258373 738332299 /nfs/dbraw/zinc/33/22/99/738332299.db2.gz FIDTZEYMEAEBJX-GHMZBOCLSA-N -1 1 347.375 -0.563 20 0 EBADMM O=C(NC1(CNC(=O)[C@@H]2COCCO2)CCC1)c1ncccc1[O-] ZINC001062591236 738945391 /nfs/dbraw/zinc/94/53/91/738945391.db2.gz OBYJTVDCAMXGQI-LBPRGKRZSA-N -1 1 335.360 -0.029 20 0 EBADMM CN1CCO[C@@H](C(=O)NCC2(NC(=O)c3ncccc3[O-])CCC2)C1 ZINC001062747325 738981882 /nfs/dbraw/zinc/98/18/82/738981882.db2.gz MSSLDSGDEMKUGM-CYBMUJFWSA-N -1 1 348.403 -0.114 20 0 EBADMM O=C(NC1(CNC(=O)[C@@H]2COC(=O)N2)CCC1)c1ncccc1[O-] ZINC001062897586 739016077 /nfs/dbraw/zinc/01/60/77/739016077.db2.gz CAQPIFZGDCQTLM-VIFPVBQESA-N -1 1 334.332 -0.336 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NC1(CNC(=O)c2cc(=O)n3[n-]cnc3n2)CCC1 ZINC001063715769 739185772 /nfs/dbraw/zinc/18/57/72/739185772.db2.gz YDUQCRFZAXLZRF-UWVGGRQHSA-N -1 1 344.375 -0.158 20 0 EBADMM CC(C)[C@@H](O)C(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001065073597 739510506 /nfs/dbraw/zinc/51/05/06/739510506.db2.gz MVRQEMGOGIDCOK-BXUZGUMPSA-N -1 1 337.376 -0.239 20 0 EBADMM O=C(Cc1cnoc1)NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001065073905 739511057 /nfs/dbraw/zinc/51/10/57/739511057.db2.gz RCEQOGMFEXXNHK-GFCCVEGCSA-N -1 1 346.343 -0.025 20 0 EBADMM CN(CCCN(C)C(=O)[C@@H]1CCC(=O)N1)C(=O)c1ncccc1[O-] ZINC001067255184 740113669 /nfs/dbraw/zinc/11/36/69/740113669.db2.gz KLDHTVZIHVCWFX-NSHDSACASA-N -1 1 334.376 -0.014 20 0 EBADMM NC(=O)CC(=O)NC[C@H]1CCC2(CN(C(=O)c3ncccc3[O-])C2)O1 ZINC001068544089 740358684 /nfs/dbraw/zinc/35/86/84/740358684.db2.gz XKZMATGBDILSDE-SNVBAGLBSA-N -1 1 348.359 -0.848 20 0 EBADMM CNC(=O)CC(=O)N[C@@H]1COC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001068826193 740456858 /nfs/dbraw/zinc/45/68/58/740456858.db2.gz CSVBLCBYOJFLSF-JTQLQIEISA-N -1 1 348.359 -0.977 20 0 EBADMM CCC1(NC(=O)CNC(N)=O)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001069322605 740550308 /nfs/dbraw/zinc/55/03/08/740550308.db2.gz JIVAPHOWKDWAQC-UHFFFAOYSA-N -1 1 349.391 -0.044 20 0 EBADMM Cc1cnc(C(=O)N[C@@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001071325740 741121653 /nfs/dbraw/zinc/12/16/53/741121653.db2.gz XYVWWBMCHYUIFX-NWDGAFQWSA-N -1 1 345.407 -0.010 20 0 EBADMM Cc1cnc(C(=O)N[C@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001071325743 741121731 /nfs/dbraw/zinc/12/17/31/741121731.db2.gz XYVWWBMCHYUIFX-RYUDHWBXSA-N -1 1 345.407 -0.010 20 0 EBADMM Cc1cnn(CC(=O)N[C@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001071376647 741129895 /nfs/dbraw/zinc/12/98/95/741129895.db2.gz XSLJSITWHCDQEP-STQMWFEESA-N -1 1 347.423 -0.217 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)Cc2ccon2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071383784 741130730 /nfs/dbraw/zinc/13/07/30/741130730.db2.gz MRMHMJKAUYJMNM-CMPLNLGQSA-N -1 1 334.380 -0.192 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2ccnn2C)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071383628 741130786 /nfs/dbraw/zinc/13/07/86/741130786.db2.gz KNWACLIWOGLLSJ-GHMZBOCLSA-N -1 1 333.396 -0.375 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2cccc(=O)[nH]2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071408561 741133295 /nfs/dbraw/zinc/13/32/95/741133295.db2.gz JGIWOZIVJFGGHC-MNOVXSKESA-N -1 1 346.391 -0.008 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2cnc[nH]c2=O)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071599816 741175335 /nfs/dbraw/zinc/17/53/35/741175335.db2.gz ATXLOGRITPCLPX-UWVGGRQHSA-N -1 1 347.379 -0.613 20 0 EBADMM C[C@H]1CN(C(=O)CNC(=O)C2CC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001071688659 741194015 /nfs/dbraw/zinc/19/40/15/741194015.db2.gz YSVBLKYLMJFPOH-CMPLNLGQSA-N -1 1 346.387 -0.110 20 0 EBADMM Cc1ccn(CC(=O)N[C@@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001071831599 741228481 /nfs/dbraw/zinc/22/84/81/741228481.db2.gz JFJOEOGEMGLOKV-CHWSQXEVSA-N -1 1 347.423 -0.217 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)Cc2c[nH]cn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071847519 741232626 /nfs/dbraw/zinc/23/26/26/741232626.db2.gz LIHOGKRLDMTKFQ-WDEREUQCSA-N -1 1 333.396 -0.457 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)CN1CCO ZINC001071908497 741243134 /nfs/dbraw/zinc/24/31/34/741243134.db2.gz WZIVRZYUDFIYTB-QWRGUYRKSA-N -1 1 334.380 -0.967 20 0 EBADMM CCNC(=O)CC(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001071939943 741255404 /nfs/dbraw/zinc/25/54/04/741255404.db2.gz RFVGPRUHZGGFFH-GHMZBOCLSA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C(=O)NCC1CC1 ZINC001071951860 741263272 /nfs/dbraw/zinc/26/32/72/741263272.db2.gz DTOQWJLSYLPMSS-JQWIXIFHSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)CCNC(N)=O ZINC001071953183 741264376 /nfs/dbraw/zinc/26/43/76/741264376.db2.gz WGZRBMJHECBPLV-NXEZZACHSA-N -1 1 335.364 -0.578 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)Cn2ccnc2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001072123311 741309991 /nfs/dbraw/zinc/30/99/91/741309991.db2.gz QUIACKVXIIAQQA-VXGBXAGGSA-N -1 1 333.396 -0.526 20 0 EBADMM C[C@@H]1CN(C(=O)C2(C)CC2)C[C@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001072250504 741339660 /nfs/dbraw/zinc/33/96/60/741339660.db2.gz RJHTVYPXNWTZFB-VXGBXAGGSA-N -1 1 348.403 -0.310 20 0 EBADMM CCO[C@H]1C[C@H]1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072493490 741416188 /nfs/dbraw/zinc/41/61/88/741416188.db2.gz XMXGFZHWKLMZRE-NEPJUHHUSA-N -1 1 335.408 -0.432 20 0 EBADMM Cc1cc(C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)n(C)n1 ZINC001072519296 741422598 /nfs/dbraw/zinc/42/25/98/741422598.db2.gz GRIFLDJDZNBPMS-UHFFFAOYSA-N -1 1 345.407 -0.502 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)c4ccc(F)nc4)C3)C2)nc1=O ZINC001072542321 741426534 /nfs/dbraw/zinc/42/65/34/741426534.db2.gz QJAWEWZXQPVWFP-UHFFFAOYSA-N -1 1 346.366 -0.009 20 0 EBADMM Cc1ncoc1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072588516 741434902 /nfs/dbraw/zinc/43/49/02/741434902.db2.gz FCYIXWCYJBJQHQ-UHFFFAOYSA-N -1 1 332.364 -0.247 20 0 EBADMM COc1cc(C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)on1 ZINC001072648003 741451945 /nfs/dbraw/zinc/45/19/45/741451945.db2.gz KWGSUPAIRNQOCW-UHFFFAOYSA-N -1 1 348.363 -0.547 20 0 EBADMM O=C(CCc1c[nH]nn1)N1CC2(C1)CCN(Cc1n[nH]c(=O)[n-]1)C2 ZINC001072996276 741538235 /nfs/dbraw/zinc/53/82/35/741538235.db2.gz XMKKFXWPWHHHIL-UHFFFAOYSA-N -1 1 332.368 -0.705 20 0 EBADMM Cn1cncc1CN1CCC2(CN(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)C1 ZINC001073149817 741570956 /nfs/dbraw/zinc/57/09/56/741570956.db2.gz CFTWYQZKQFJCJR-UHFFFAOYSA-N -1 1 347.379 -0.843 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@@H](CNC(=O)c3ccncc3)C2)nc1=O ZINC001073514737 741625397 /nfs/dbraw/zinc/62/53/97/741625397.db2.gz CRTICJCTKDXUAJ-ZDUSSCGKSA-N -1 1 346.391 -0.476 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@H](CNC(=O)c3cccnc3)C2)nc1=O ZINC001073517590 741625930 /nfs/dbraw/zinc/62/59/30/741625930.db2.gz SUNNQIHPTKDBOL-CYBMUJFWSA-N -1 1 346.391 -0.476 20 0 EBADMM CC(=O)NCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001073656919 741699135 /nfs/dbraw/zinc/69/91/35/741699135.db2.gz WRRQKGAIKGKBFM-PWSUYJOCSA-N -1 1 334.376 -0.110 20 0 EBADMM CNC(=O)CC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001073901340 741782561 /nfs/dbraw/zinc/78/25/61/741782561.db2.gz VNUDGFVTTWLLRK-QWRGUYRKSA-N -1 1 334.376 -0.110 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCCN1C(=O)[C@]12C[C@H]1COC2 ZINC001074018137 741809314 /nfs/dbraw/zinc/80/93/14/741809314.db2.gz LPFKXDUFJQQOIM-AHIWAGSCSA-N -1 1 349.435 -0.042 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCCN1C(=O)c1cnn(C)n1 ZINC001074080281 741827104 /nfs/dbraw/zinc/82/71/04/741827104.db2.gz ZPJRWWYRTSUJNF-LLVKDONJSA-N -1 1 348.411 -0.636 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H]3CCN(C(=O)c4ccco4)C[C@@H]32)nc1=O ZINC001074144375 741839635 /nfs/dbraw/zinc/83/96/35/741839635.db2.gz OZZYSMPHGXZQSY-RYUDHWBXSA-N -1 1 347.375 -0.183 20 0 EBADMM Cc1ncc(C(=O)N2CC[C@@H]3OCCN(Cc4n[nH]c(=O)[n-]4)[C@@H]3C2)[nH]1 ZINC001074194251 741857068 /nfs/dbraw/zinc/85/70/68/741857068.db2.gz HKCJYVIIYZCQLR-NEPJUHHUSA-N -1 1 347.379 -0.343 20 0 EBADMM CNC(=O)CN1CCO[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC001074218921 741862488 /nfs/dbraw/zinc/86/24/88/741862488.db2.gz HIIQXTGEJFKIKF-WCQYABFASA-N -1 1 334.376 -0.552 20 0 EBADMM CC(C)CN1CCO[C@@H]2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)C[C@H]21 ZINC001074392966 741925769 /nfs/dbraw/zinc/92/57/69/741925769.db2.gz SSGSFUHOBXOYCA-VXGBXAGGSA-N -1 1 339.396 -0.353 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C[C@H]1CCN(C)C1=O ZINC001074701628 742077436 /nfs/dbraw/zinc/07/74/36/742077436.db2.gz XQMMZEAGMOMZQC-AXFHLTTASA-N -1 1 336.396 -0.542 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CNC(N)=O ZINC001074943616 742139715 /nfs/dbraw/zinc/13/97/15/742139715.db2.gz KTSMJIXWDYVWNT-NXEZZACHSA-N -1 1 335.364 -0.435 20 0 EBADMM C[C@@H](CCNC(=O)C1CCC1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001075282490 742207845 /nfs/dbraw/zinc/20/78/45/742207845.db2.gz KGWDWBRLPGCMFR-VIFPVBQESA-N -1 1 332.364 -0.158 20 0 EBADMM Cc1cnc(C(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)cn1 ZINC001075512471 742240402 /nfs/dbraw/zinc/24/04/02/742240402.db2.gz BTYJEAHLZXFJBQ-WCQYABFASA-N -1 1 343.391 -0.447 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)CCn4cccn4)[C@@H]3C2)nc1=O ZINC001075560253 742250619 /nfs/dbraw/zinc/25/06/19/742250619.db2.gz LSVDTSYBVNILME-QWHCGFSZSA-N -1 1 345.407 -0.572 20 0 EBADMM Cn1ccc(C(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)c1 ZINC001075685338 742273061 /nfs/dbraw/zinc/27/30/61/742273061.db2.gz WSFZDBIFXPAGAB-WCQYABFASA-N -1 1 330.392 -0.207 20 0 EBADMM C[C@@H](CCNC(=O)c1cocn1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001075925181 742330457 /nfs/dbraw/zinc/33/04/57/742330457.db2.gz YMYQBPLQHDXEFE-JTQLQIEISA-N -1 1 349.347 -0.761 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CCC(=O)NC1 ZINC001076246219 742418945 /nfs/dbraw/zinc/41/89/45/742418945.db2.gz JPYXFCSAJPHWJP-WDEREUQCSA-N -1 1 334.376 -0.062 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)C1CS(=O)(=O)C1 ZINC001076245207 742418987 /nfs/dbraw/zinc/41/89/87/742418987.db2.gz SDHPBTLCVOSJKB-VIFPVBQESA-N -1 1 341.389 -0.544 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)c1cn(C)c(=O)n1C ZINC001076244858 742419190 /nfs/dbraw/zinc/41/91/90/742419190.db2.gz CTRVJXWHPIBIQB-JTQLQIEISA-N -1 1 347.375 -0.237 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CCNC(=O)C1 ZINC001076244128 742419281 /nfs/dbraw/zinc/41/92/81/742419281.db2.gz JGLXCTWLLGGQOH-WDEREUQCSA-N -1 1 334.376 -0.062 20 0 EBADMM C[C@@H](CCNC(=O)C[C@@H]1CCNC1=O)NC(=O)c1ncccc1[O-] ZINC001076849110 742601709 /nfs/dbraw/zinc/60/17/09/742601709.db2.gz IKPSEAQLXOCOCK-QWRGUYRKSA-N -1 1 334.376 -0.062 20 0 EBADMM CN(C(=O)CN1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC001076916548 742642095 /nfs/dbraw/zinc/64/20/95/742642095.db2.gz QKTQULNWDZYTDN-DGCLKSJQSA-N -1 1 334.376 -0.817 20 0 EBADMM Cc1noc(CCCN2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001076917371 742642774 /nfs/dbraw/zinc/64/27/74/742642774.db2.gz ZHCSNCREWQNSRZ-DGCLKSJQSA-N -1 1 347.375 -0.114 20 0 EBADMM Cc1ncc(CN2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)cn1 ZINC001076917293 742642785 /nfs/dbraw/zinc/64/27/85/742642785.db2.gz XOOZOPZIIPIYRV-TZMCWYRMSA-N -1 1 329.360 -0.139 20 0 EBADMM C[C@@H](CCNC(=O)C[C@@H]1NC(=O)NC1=O)NC(=O)c1ncccc1[O-] ZINC001077120529 742738740 /nfs/dbraw/zinc/73/87/40/742738740.db2.gz GDFPOKQJRLTJCZ-IUCAKERBSA-N -1 1 349.347 -0.990 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)CC3CC(F)(F)C3)C2)nc1=O ZINC001077252693 742810675 /nfs/dbraw/zinc/81/06/75/742810675.db2.gz BBZCPEMCSIJBIM-NXEZZACHSA-N -1 1 345.350 -0.795 20 0 EBADMM CCc1cccnc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001077271150 742825695 /nfs/dbraw/zinc/82/56/95/742825695.db2.gz IAWBRICULHMYDI-VXGBXAGGSA-N -1 1 346.391 -0.959 20 0 EBADMM C[C@@H](CCNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)C1(C)CC1 ZINC001077402403 742956480 /nfs/dbraw/zinc/95/64/80/742956480.db2.gz NAZOEYXIYSUFBC-NSHDSACASA-N -1 1 336.392 -0.262 20 0 EBADMM CC[C@@H](F)C(=O)N[C@@H](C)CCNC(=O)c1cc(=O)n2nc[n-]c2n1 ZINC001077488382 743012617 /nfs/dbraw/zinc/01/26/17/743012617.db2.gz ONHKUCBMQCGODS-DTWKUNHWSA-N -1 1 338.343 -0.210 20 0 EBADMM C[C@@H](CCNC(=O)c1n[nH]c(=O)[n-]c1=O)NC(=O)CCc1cnc[nH]1 ZINC001077578261 743094521 /nfs/dbraw/zinc/09/45/21/743094521.db2.gz UPSKMGSYGYXBDT-QMMMGPOBSA-N -1 1 349.351 -0.737 20 0 EBADMM C[C@@H](CCNC(=O)c1cnc([O-])n(C)c1=O)NC(=O)Cc1cnc[nH]1 ZINC001077707878 743189957 /nfs/dbraw/zinc/18/99/57/743189957.db2.gz FRALIHASLPQYHB-VIFPVBQESA-N -1 1 348.363 -0.924 20 0 EBADMM C[C@@H](CCNC(=O)[C@@H]1C[C@H]1C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001077745236 743225760 /nfs/dbraw/zinc/22/57/60/743225760.db2.gz OCDHSVOWXWEMGP-GRYCIOLGSA-N -1 1 336.392 -0.406 20 0 EBADMM CC[C@H](F)CN1C[C@@H](O)[C@H](NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC001077997217 743403698 /nfs/dbraw/zinc/40/36/98/743403698.db2.gz VLTKFFOAAYCEPG-DJLDLDEBSA-N -1 1 344.343 -0.581 20 0 EBADMM C[C@H]1CC[C@H](C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)CC1 ZINC001078033743 743431006 /nfs/dbraw/zinc/43/10/06/743431006.db2.gz YVHSNXGBUAXUDF-FDYHWXHSSA-N -1 1 337.424 -0.404 20 0 EBADMM Cc1ccc(C)c(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c1 ZINC001078073315 743448344 /nfs/dbraw/zinc/44/83/44/743448344.db2.gz AEHZQYXJCWVLPN-ZIAGYGMSSA-N -1 1 345.403 -0.300 20 0 EBADMM O=C([C@H]1CCCO1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078327633 743586094 /nfs/dbraw/zinc/58/60/94/743586094.db2.gz UOMURZFVTNUTIO-WDEREUQCSA-N -1 1 325.369 -0.604 20 0 EBADMM O=C(c1cc[nH]c(=O)c1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078374316 743613381 /nfs/dbraw/zinc/61/33/81/743613381.db2.gz WRJGVDHSSCRNJP-LLVKDONJSA-N -1 1 348.363 -0.368 20 0 EBADMM Cc1cn(C)nc1C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078400889 743631588 /nfs/dbraw/zinc/63/15/88/743631588.db2.gz RLNVEUAKOXUDFN-LLVKDONJSA-N -1 1 349.395 -0.427 20 0 EBADMM O=C(c1cn[nH]c(=O)c1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078414921 743639220 /nfs/dbraw/zinc/63/92/20/743639220.db2.gz SSROQURDXAJNCF-SNVBAGLBSA-N -1 1 349.351 -0.973 20 0 EBADMM Cc1cnn(C)c1C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078450214 743653303 /nfs/dbraw/zinc/65/33/03/743653303.db2.gz UODBRTJZOYEFAJ-LLVKDONJSA-N -1 1 349.395 -0.427 20 0 EBADMM O=C(CN1CCCC1=O)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078551441 743693274 /nfs/dbraw/zinc/69/32/74/743693274.db2.gz RYNJMLYXQKMUSS-WDEREUQCSA-N -1 1 348.407 -0.350 20 0 EBADMM Cc1nnc(CC(=O)N[C@@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)o1 ZINC001078619056 743708940 /nfs/dbraw/zinc/70/89/40/743708940.db2.gz BCYPPIOTMVPHFS-VHSXEESVSA-N -1 1 347.379 -0.039 20 0 EBADMM CCn1nncc1C(=O)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078619295 743709479 /nfs/dbraw/zinc/70/94/79/743709479.db2.gz GURHNTLHEJPGQO-MNOVXSKESA-N -1 1 346.395 -0.048 20 0 EBADMM O=C(Cc1ncc[nH]1)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078761723 743731303 /nfs/dbraw/zinc/73/13/03/743731303.db2.gz PZFQDCYWTBWDSC-NXEZZACHSA-N -1 1 331.380 -0.007 20 0 EBADMM Cc1nonc1CC(=O)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078766509 743732107 /nfs/dbraw/zinc/73/21/07/743732107.db2.gz FDWVYBVIDCCDTI-WDEREUQCSA-N -1 1 347.379 -0.039 20 0 EBADMM O=C(Cn1ccnc1)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078830431 743740757 /nfs/dbraw/zinc/74/07/57/743740757.db2.gz YZNNIGHLTCZZCS-QWRGUYRKSA-N -1 1 331.380 -0.076 20 0 EBADMM O=C(NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)[C@@H]1CCC(=O)N1 ZINC000980082991 805723430 /nfs/dbraw/zinc/72/34/30/805723430.db2.gz XFBWTXGQNAMKGE-DCAQKATOSA-N -1 1 332.360 -0.310 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)c2cnn3cc[nH]c23)C1 ZINC000980269153 805822657 /nfs/dbraw/zinc/82/26/57/805822657.db2.gz GZSFQAUCSLVYSP-SNVBAGLBSA-N -1 1 344.379 -0.569 20 0 EBADMM CCc1nc[nH]c1C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000980282264 805828868 /nfs/dbraw/zinc/82/88/68/805828868.db2.gz BMCDQWJUNGIWKU-JTQLQIEISA-N -1 1 333.396 -0.260 20 0 EBADMM C[C@H]1C[C@H](CNCc2nncn2C)CN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000980643075 805973731 /nfs/dbraw/zinc/97/37/31/805973731.db2.gz VGBMPTZQKHMLHO-DTWKUNHWSA-N -1 1 348.367 -0.948 20 0 EBADMM C[C@@H]1C[C@H](CNCc2cnon2)CN1C(=O)c1cnc([O-])n(C)c1=O ZINC000980669986 805979785 /nfs/dbraw/zinc/97/97/85/805979785.db2.gz CJYPALJUVAHPME-NXEZZACHSA-N -1 1 348.363 -0.491 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)c3ccnc(F)c3)CC2)nc1=O ZINC000980814296 806025009 /nfs/dbraw/zinc/02/50/09/806025009.db2.gz JPGVVNXWLSOLBK-UHFFFAOYSA-N -1 1 334.355 -0.009 20 0 EBADMM CCn1cc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)cn1 ZINC000980862338 806032848 /nfs/dbraw/zinc/03/28/48/806032848.db2.gz JNNREQYFGGJXFN-UHFFFAOYSA-N -1 1 333.396 -0.327 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)c3cc[nH]c(=O)c3)CC2)nc1=O ZINC000980957563 806047258 /nfs/dbraw/zinc/04/72/58/806047258.db2.gz DDNZUJIGTFNHHV-UHFFFAOYSA-N -1 1 332.364 -0.443 20 0 EBADMM CS(=O)(=O)CCN1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000981026394 806062606 /nfs/dbraw/zinc/06/26/06/806062606.db2.gz DXHSDDOSCADODC-UHFFFAOYSA-N -1 1 327.406 -0.020 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)Cc3ccc(F)cn3)CC2)nc1=O ZINC000981472280 806178383 /nfs/dbraw/zinc/17/83/83/806178383.db2.gz JJYAXQOOPMDHKA-UHFFFAOYSA-N -1 1 348.382 -0.081 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)c4ncc[nH]4)C3)C2)nc1=O ZINC000981755525 806268579 /nfs/dbraw/zinc/26/85/79/806268579.db2.gz LJNOOKNJRDDQQA-UHFFFAOYSA-N -1 1 331.380 -0.430 20 0 EBADMM Cc1cc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)nc(C)n1 ZINC000982205458 806423443 /nfs/dbraw/zinc/42/34/43/806423443.db2.gz SNELWSMEVIEWEN-UHFFFAOYSA-N -1 1 345.407 -0.137 20 0 EBADMM Cn1[n-]c(CN2C[C@]3(C)CN(C(=O)c4cn[nH]c4)C[C@]3(C)C2)nc1=O ZINC000982221925 806426639 /nfs/dbraw/zinc/42/66/39/806426639.db2.gz QHPHHWVUUNIGAQ-IYBDPMFKSA-N -1 1 345.407 -0.184 20 0 EBADMM CN1CCOC[C@H]1C(=O)NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000982672964 806628852 /nfs/dbraw/zinc/62/88/52/806628852.db2.gz ZULONJSLMZAWFR-STQMWFEESA-N -1 1 348.403 -0.304 20 0 EBADMM CN1CCOC[C@H]1C(=O)NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000982672956 806628923 /nfs/dbraw/zinc/62/89/23/806628923.db2.gz ZULONJSLMZAWFR-OLZOCXBDSA-N -1 1 348.403 -0.304 20 0 EBADMM CC(C)(C(N)=O)C(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982879606 806751164 /nfs/dbraw/zinc/75/11/64/806751164.db2.gz ZUTNINILOSLVTJ-SNVBAGLBSA-N -1 1 334.376 -0.123 20 0 EBADMM CC(C)n1cc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)nn1 ZINC000983049051 806851783 /nfs/dbraw/zinc/85/17/83/806851783.db2.gz HWNXMPKHJVVWLG-UHFFFAOYSA-N -1 1 348.411 -0.371 20 0 EBADMM O=C(CCn1cnnn1)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000983176686 806923369 /nfs/dbraw/zinc/92/33/69/806923369.db2.gz QYFHQWOVUNQOCD-NSHDSACASA-N -1 1 345.363 -0.558 20 0 EBADMM CC(C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000976859525 803910556 /nfs/dbraw/zinc/91/05/56/803910556.db2.gz QLHCIWXJMVDMKR-GDGBQDQQSA-N -1 1 330.348 -0.740 20 0 EBADMM C[C@H](O)CN1C[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C(C)(C)C1 ZINC000977364937 804297357 /nfs/dbraw/zinc/29/73/57/804297357.db2.gz QZSLUHGXLVFPFL-JQWIXIFHSA-N -1 1 348.407 -0.721 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1CCC(=O)N1 ZINC000977465128 804337198 /nfs/dbraw/zinc/33/71/98/804337198.db2.gz IPAZSUXVDUUARU-GHMZBOCLSA-N -1 1 332.360 -0.261 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1c[nH]c(=O)cn1)C(=O)c1ncccc1[O-] ZINC000977601970 804408851 /nfs/dbraw/zinc/40/88/51/804408851.db2.gz QRQKDVROTDYVSD-SNVBAGLBSA-N -1 1 343.343 -0.143 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)[C@@H]1CNC(=O)N1)C(=O)c1ncccc1[O-] ZINC000977604319 804409025 /nfs/dbraw/zinc/40/90/25/804409025.db2.gz WZPNZRHROIPMNF-UWVGGRQHSA-N -1 1 333.348 -0.859 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)CS(C)(=O)=O)C(=O)c1ncccc1[O-] ZINC000977605664 804410491 /nfs/dbraw/zinc/41/04/91/804410491.db2.gz BKGURZIYJCCSKR-JTQLQIEISA-N -1 1 341.389 -0.495 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)[C@@H]1CN(C)CCO1)C(=O)c1ncccc1[O-] ZINC000977614934 804415180 /nfs/dbraw/zinc/41/51/80/804415180.db2.gz FIIFVPKMCWCXNM-JSGCOSHPSA-N -1 1 348.403 -0.209 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)[C@@H]1CCC(=O)N1)C(=O)c1ncccc1[O-] ZINC000977623183 804420085 /nfs/dbraw/zinc/42/00/85/804420085.db2.gz XNOVBESEHHYSPG-QWRGUYRKSA-N -1 1 332.360 -0.261 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)c1cnnn1C ZINC000977644108 804434771 /nfs/dbraw/zinc/43/47/71/804434771.db2.gz KRUKMDKTHOXXGJ-JTQLQIEISA-N -1 1 330.348 -0.098 20 0 EBADMM CN(C[C@H]1CCN1C(=O)C1CC1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000978053722 804635788 /nfs/dbraw/zinc/63/57/88/804635788.db2.gz LKSGPJWJLJOWGW-SNVBAGLBSA-N -1 1 330.348 -0.500 20 0 EBADMM C[C@H](c1nnnn1C)N1C[C@@H]2[C@@H](CNC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000978064837 804641128 /nfs/dbraw/zinc/64/11/28/804641128.db2.gz OUKGRLVAOYPSJT-KKOKHZNYSA-N -1 1 343.391 -0.021 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@@H]1CCNC1=O ZINC000978132782 804678453 /nfs/dbraw/zinc/67/84/53/804678453.db2.gz BOAJMVFCRLVKRW-GHMZBOCLSA-N -1 1 332.360 -0.404 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N(C)C[C@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000978185829 804709680 /nfs/dbraw/zinc/70/96/80/804709680.db2.gz CZSDONRCNPUMKI-AXFHLTTASA-N -1 1 344.375 -0.254 20 0 EBADMM Cc1nc(CC(=O)N[C@H]2C[C@@H](CNC(=O)c3[nH]nc(C)c3[O-])C2)n[nH]1 ZINC000978722700 804941486 /nfs/dbraw/zinc/94/14/86/804941486.db2.gz OIBRYBLIEIXGML-AOOOYVTPSA-N -1 1 347.379 -0.282 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2C[C@@H](NC(=O)Cc3nnc[nH]3)C2)c1[O-] ZINC000978732203 804947808 /nfs/dbraw/zinc/94/78/08/804947808.db2.gz PYBYOSMTOSSNDV-DTORHVGOSA-N -1 1 333.352 -0.591 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)no1 ZINC000979201580 805206405 /nfs/dbraw/zinc/20/64/05/805206405.db2.gz OJJGFBGVGWWOEF-KOLCDFICSA-N -1 1 336.352 -0.174 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)no1 ZINC000979201579 805206470 /nfs/dbraw/zinc/20/64/70/805206470.db2.gz OJJGFBGVGWWOEF-GXSJLCMTSA-N -1 1 336.352 -0.174 20 0 EBADMM Cc1cnn(CC(=O)N2CCO[C@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC000979269497 805250220 /nfs/dbraw/zinc/25/02/20/805250220.db2.gz ZMMIGFHAJGQONW-NEPJUHHUSA-N -1 1 349.395 -0.579 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)Cc2cccnc2)CCO1 ZINC000979290282 805265991 /nfs/dbraw/zinc/26/59/91/805265991.db2.gz HVULCXAYJBVILH-YPMHNXCESA-N -1 1 346.391 -0.147 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2cn[nH]c(=O)c2)CCO1 ZINC000979345059 805310551 /nfs/dbraw/zinc/31/05/51/805310551.db2.gz GUOOERYMSBFFFR-PSASIEDQSA-N -1 1 349.351 -0.975 20 0 EBADMM Cc1nocc1C(=O)N1CCO[C@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979354967 805317818 /nfs/dbraw/zinc/31/78/18/805317818.db2.gz FEYFHNQEZWIREZ-ONGXEEELSA-N -1 1 336.352 -0.174 20 0 EBADMM Cc1n[nH]cc1C(=O)N1CCO[C@@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979362126 805325800 /nfs/dbraw/zinc/32/58/00/805325800.db2.gz NBGFGCWMRXHSOE-MWLCHTKSSA-N -1 1 335.368 -0.439 20 0 EBADMM Cc1nc(C)c(C(=O)N2CCO[C@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC000979390989 805345691 /nfs/dbraw/zinc/34/56/91/805345691.db2.gz NFEPSSNBVJGOPJ-KCJUWKMLSA-N -1 1 349.395 -0.130 20 0 EBADMM Cc1nonc1C(=O)N1CCO[C@@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979458111 805391873 /nfs/dbraw/zinc/39/18/73/805391873.db2.gz FELVRDIEYYGKPQ-IONNQARKSA-N -1 1 337.340 -0.779 20 0 EBADMM CN1CCOC[C@@H]1C(=O)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000979660355 805472851 /nfs/dbraw/zinc/47/28/51/805472851.db2.gz BSIHRGSLVMLBHO-JHJVBQTASA-N -1 1 348.403 -0.258 20 0 EBADMM NC(=O)CC(=O)N1C[C@@H]2CC[C@@H](NC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000979747412 805526828 /nfs/dbraw/zinc/52/68/28/805526828.db2.gz IICGOYOQZSUQCO-HBNTYKKESA-N -1 1 332.360 -0.371 20 0 EBADMM NC(=O)CC(=O)N1C[C@@H]2CC[C@H](NC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000979747400 805527197 /nfs/dbraw/zinc/52/71/97/805527197.db2.gz IICGOYOQZSUQCO-AXFHLTTASA-N -1 1 332.360 -0.371 20 0 EBADMM O=C(Cn1cncn1)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000983332384 806996371 /nfs/dbraw/zinc/99/63/71/806996371.db2.gz RMHASKQCGSIFHJ-NSHDSACASA-N -1 1 330.348 -0.343 20 0 EBADMM CCC(=O)N1CCC[C@H](N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000983726105 807088828 /nfs/dbraw/zinc/08/88/28/807088828.db2.gz ZRUNIEXPXZBATA-JTQLQIEISA-N -1 1 332.364 -0.109 20 0 EBADMM CN(C(=O)Cn1cnnn1)[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984102073 807165471 /nfs/dbraw/zinc/16/54/71/807165471.db2.gz APDDYXZMEQTSCM-LLVKDONJSA-N -1 1 345.363 -0.463 20 0 EBADMM CN(C(=O)c1ccn[nH]1)[C@@H]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000984427232 807287588 /nfs/dbraw/zinc/28/75/88/807287588.db2.gz BAJWPMVMTDZLAU-MRVPVSSYSA-N -1 1 347.335 -0.617 20 0 EBADMM Cc1nonc1CNC[C@H]1C[C@H](NC(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC000985075610 807436895 /nfs/dbraw/zinc/43/68/95/807436895.db2.gz KBVVZRISDMMGPL-KYZUINATSA-N -1 1 337.340 -0.935 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)c2cc(=O)n(C)cn2)CC1 ZINC000985476329 807516797 /nfs/dbraw/zinc/51/67/97/807516797.db2.gz QYLWBRKPLFMOHG-UHFFFAOYSA-N -1 1 347.379 -0.659 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)[C@H]2CCCNC2=O)CC1 ZINC000985481819 807518641 /nfs/dbraw/zinc/51/86/41/807518641.db2.gz OZVVLRBVXJXWIU-NSHDSACASA-N -1 1 336.396 -0.541 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)c2cn(C)c(=O)cn2)CC1 ZINC000985543333 807532519 /nfs/dbraw/zinc/53/25/19/807532519.db2.gz LBBHLEJSMRSHCC-UHFFFAOYSA-N -1 1 347.379 -0.659 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)[C@H]2CCC(=O)N2C)CC1 ZINC000985594331 807546394 /nfs/dbraw/zinc/54/63/94/807546394.db2.gz UUJKIQWFKHFMKC-LLVKDONJSA-N -1 1 336.396 -0.446 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)CCn2cnnn2)CC1 ZINC000985714241 807568634 /nfs/dbraw/zinc/56/86/34/807568634.db2.gz GDUSVSVKDUHVFH-UHFFFAOYSA-N -1 1 335.372 -0.990 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCn1ccccc1=O ZINC000986333338 807676778 /nfs/dbraw/zinc/67/67/78/807676778.db2.gz MMYPFPQQNARQSK-NWDGAFQWSA-N -1 1 346.391 -0.159 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cn2cccnc2n1 ZINC000986483054 807716566 /nfs/dbraw/zinc/71/65/66/807716566.db2.gz PUMJSMYQCDUBAT-VHSXEESVSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cccc2ncnn21 ZINC000986494102 807719643 /nfs/dbraw/zinc/71/96/43/807719643.db2.gz CZZJHYBPEQZOES-UWVGGRQHSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cn(C)ccc1=O ZINC000986542190 807730030 /nfs/dbraw/zinc/73/00/30/807730030.db2.gz UIPPXEVLKUWGDG-ONGXEEELSA-N -1 1 332.364 -0.398 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)C(F)F)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000987178493 807858604 /nfs/dbraw/zinc/85/86/04/807858604.db2.gz QKVZQKJFUGTSLA-BDAKNGLRSA-N -1 1 344.318 -0.703 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cn2cnnn2)CCN1C(=O)c1ncccc1[O-] ZINC000987298703 807885438 /nfs/dbraw/zinc/88/54/38/807885438.db2.gz CXQPWBGWLBPEIV-ZJUUUORDSA-N -1 1 331.336 -0.807 20 0 EBADMM COc1ccc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)nn1 ZINC000987316706 807891431 /nfs/dbraw/zinc/89/14/31/807891431.db2.gz JNVQZSHRAKQAGE-IUCAKERBSA-N -1 1 333.352 -0.298 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cc(C(N)=O)ccn1 ZINC000987630289 807974966 /nfs/dbraw/zinc/97/49/66/807974966.db2.gz CCGVYUQYLVMUFS-WPRPVWTQSA-N -1 1 345.363 -0.603 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@@H]1CCN(C)C1=O ZINC000987686620 807991067 /nfs/dbraw/zinc/99/10/67/807991067.db2.gz ATMZAPNGJMXWEV-UTUOFQBUSA-N -1 1 346.387 -0.015 20 0 EBADMM CN(C[C@H]1CCN(C(=O)Cn2nccn2)C1)C(=O)c1ncccc1[O-] ZINC000988025108 808096481 /nfs/dbraw/zinc/09/64/81/808096481.db2.gz WZKXEZARNWHKIE-GFCCVEGCSA-N -1 1 344.375 -0.001 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnn2ncccc12 ZINC000988971249 808339336 /nfs/dbraw/zinc/33/93/36/808339336.db2.gz FDNMDNNGJRKKDC-NXEZZACHSA-N -1 1 342.363 -0.054 20 0 EBADMM Cc1cc(OCC(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)no1 ZINC000988990398 808345116 /nfs/dbraw/zinc/34/51/16/808345116.db2.gz PPFDOKABVQRDJV-WPRPVWTQSA-N -1 1 336.352 -0.035 20 0 EBADMM Cc1nc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)c(=O)[nH]c1C ZINC000989031785 808352256 /nfs/dbraw/zinc/35/22/56/808352256.db2.gz AUWRODZIWNKDJL-OIBJUYFYSA-N -1 1 347.379 -0.397 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccn(C)c(=O)c1 ZINC000989053412 808358106 /nfs/dbraw/zinc/35/81/06/808358106.db2.gz IDAVLSZBXMDPEN-ONGXEEELSA-N -1 1 332.364 -0.398 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cc(CN(C)C)on1 ZINC000989080172 808369436 /nfs/dbraw/zinc/36/94/36/808369436.db2.gz YHAZHAXKQGSMFJ-ZJUUUORDSA-N -1 1 349.395 -0.047 20 0 EBADMM Cc1cc(C(=O)N2CC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)[C@H]2C)n[nH]1 ZINC000989114348 808379770 /nfs/dbraw/zinc/37/97/70/808379770.db2.gz PAVKNKOZGSVTEQ-SFYZADRCSA-N -1 1 347.335 -0.653 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCc1nccn1C ZINC000989221148 808406892 /nfs/dbraw/zinc/40/68/92/808406892.db2.gz JDZHCSHAMPARSP-MNOVXSKESA-N -1 1 333.396 -0.044 20 0 EBADMM C[C@@H]1C[C@H](NCc2nccn2C)CN1C(=O)c1cnc([O-])n(C)c1=O ZINC000989279666 808425498 /nfs/dbraw/zinc/42/54/98/808425498.db2.gz BXWBWXNRNOKRTQ-MNOVXSKESA-N -1 1 346.391 -0.388 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccoc1CC(N)=O ZINC000989359489 808456048 /nfs/dbraw/zinc/45/60/48/808456048.db2.gz ZZVCPSBHCLABPE-DTWKUNHWSA-N -1 1 348.363 -0.476 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)c3cnn4cc[nH]c34)CC2)nc1=O ZINC000989559600 808506567 /nfs/dbraw/zinc/50/65/67/808506567.db2.gz KYVQZJWGRWYQFN-UHFFFAOYSA-N -1 1 344.379 -0.568 20 0 EBADMM C[C@@H]1C[C@@H](NCc2ccon2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000989562344 808507735 /nfs/dbraw/zinc/50/77/35/808507735.db2.gz PFDBYEMKJTUOSJ-DGCLKSJQSA-N -1 1 347.375 -0.306 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)Cn1ccccc1=O ZINC000989776961 808591567 /nfs/dbraw/zinc/59/15/67/808591567.db2.gz ZFNJQFRKDUULAU-MNOVXSKESA-N -1 1 332.364 -0.549 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)Cn1ccccc1=O ZINC000989776963 808591943 /nfs/dbraw/zinc/59/19/43/808591943.db2.gz ZFNJQFRKDUULAU-WDEREUQCSA-N -1 1 332.364 -0.549 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cc(C(N)=O)ccn1 ZINC000989874382 808615284 /nfs/dbraw/zinc/61/52/84/808615284.db2.gz WVARUTDTFWAVDL-PSASIEDQSA-N -1 1 345.363 -0.603 20 0 EBADMM CC(C)(F)C(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000990048315 808644018 /nfs/dbraw/zinc/64/40/18/808644018.db2.gz HFJOJZVHLLEBGU-UHFFFAOYSA-N -1 1 326.328 -0.998 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)c2cccn2C)C1 ZINC000990260841 808715620 /nfs/dbraw/zinc/71/56/20/808715620.db2.gz RVGUDUNJSDGMTR-UHFFFAOYSA-N -1 1 347.331 -0.511 20 0 EBADMM O=C(CC1CCC1)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000990630410 808878373 /nfs/dbraw/zinc/87/83/73/808878373.db2.gz SSJGKZOHLFNHPK-UHFFFAOYSA-N -1 1 334.376 -0.556 20 0 EBADMM CC1CC(C(=O)NC2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)C1 ZINC000990748624 808901291 /nfs/dbraw/zinc/90/12/91/808901291.db2.gz IGLDGPVSFPSVHC-UHFFFAOYSA-N -1 1 330.348 -0.596 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)c2ccn(C)c2)C1 ZINC000990813662 808932603 /nfs/dbraw/zinc/93/26/03/808932603.db2.gz HOTLSNINSLHVMI-UHFFFAOYSA-N -1 1 347.331 -0.511 20 0 EBADMM O=C(NC1CN(C(=O)[C@H]2CCc3n[nH]nc3C2)C1)c1ncccc1[O-] ZINC000990969343 809018023 /nfs/dbraw/zinc/01/80/23/809018023.db2.gz MWMQVYOPLVNNGS-VIFPVBQESA-N -1 1 342.359 -0.349 20 0 EBADMM Cn1cc(OCC(=O)N2CC(NC(=O)c3ncccc3[O-])C2)cn1 ZINC000990969264 809018896 /nfs/dbraw/zinc/01/88/96/809018896.db2.gz CDWDJHZEQKCNJE-UHFFFAOYSA-N -1 1 331.332 -0.460 20 0 EBADMM Cn1cc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)ncc1=O ZINC000990972501 809019936 /nfs/dbraw/zinc/01/99/36/809019936.db2.gz RCSBRBPSIOCLHT-UHFFFAOYSA-N -1 1 329.316 -0.865 20 0 EBADMM COCCn1cc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)cn1 ZINC000990973275 809020349 /nfs/dbraw/zinc/02/03/49/809020349.db2.gz ZRAOZESOZPMHFS-UHFFFAOYSA-N -1 1 345.359 -0.116 20 0 EBADMM CC(=O)N1CCC(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)CC1 ZINC000990975940 809022706 /nfs/dbraw/zinc/02/27/06/809022706.db2.gz CQXSSADHPFRRPE-UHFFFAOYSA-N -1 1 346.387 -0.014 20 0 EBADMM Cc1cc(C(=O)NC2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)co1 ZINC000991011422 809039192 /nfs/dbraw/zinc/03/91/92/809039192.db2.gz HYBQHIVJFAMJEH-UHFFFAOYSA-N -1 1 346.343 -0.531 20 0 EBADMM Cn1nncc1C(=O)NC1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000991106379 809076424 /nfs/dbraw/zinc/07/64/24/809076424.db2.gz UNKSYDHPPZODAU-UHFFFAOYSA-N -1 1 343.347 -0.558 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1cnns1 ZINC000991303295 809261443 /nfs/dbraw/zinc/26/14/43/809261443.db2.gz HJRJGIFLMILWTO-WPRPVWTQSA-N -1 1 349.372 -0.356 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cnccn2)C[C@H]1O)c1ncccc1[O-] ZINC000991411357 809429291 /nfs/dbraw/zinc/42/92/91/809429291.db2.gz UHHYAHYSICAAFU-ZWNOBZJWSA-N -1 1 343.343 -0.417 20 0 EBADMM C[C@@H]1CC[C@@H](C(=O)NC2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)C1 ZINC000991411759 809429878 /nfs/dbraw/zinc/42/98/78/809429878.db2.gz REQVABRHRTZQLK-NXEZZACHSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1cncnc1 ZINC000991412456 809430867 /nfs/dbraw/zinc/43/08/67/809430867.db2.gz XGFYFJNOOUVQFS-YPMHNXCESA-N -1 1 343.343 -0.417 20 0 EBADMM O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)[C@@H]1CCNC1=O)c1ncccc1[O-] ZINC001002200155 809687714 /nfs/dbraw/zinc/68/77/14/809687714.db2.gz UOIVLWATEBIELK-KKOKHZNYSA-N -1 1 344.371 -0.215 20 0 EBADMM CN(C[C@@H]1CCCN1C(=O)Cn1ncnn1)C(=O)c1ncccc1[O-] ZINC001002527291 809732858 /nfs/dbraw/zinc/73/28/58/809732858.db2.gz YGSVOBXDTQLGEO-NSHDSACASA-N -1 1 345.363 -0.463 20 0 EBADMM CN(C[C@@H]1CCCN1C(=O)CCn1cc[n-]c(=O)c1=O)C(=O)C1CC1 ZINC001002696287 809765068 /nfs/dbraw/zinc/76/50/68/809765068.db2.gz JVSFUFKDYCAERM-ZDUSSCGKSA-N -1 1 348.403 -0.214 20 0 EBADMM CN(C[C@H]1CCCN1C(=O)c1n[nH]c(=O)[n-]c1=O)C(=O)c1ccn[nH]1 ZINC001002727634 809770571 /nfs/dbraw/zinc/77/05/71/809770571.db2.gz HPBUPADXGWMGNO-MRVPVSSYSA-N -1 1 347.335 -0.617 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)Cc3ncccc3F)CC2)nc1=O ZINC001003502432 809800639 /nfs/dbraw/zinc/80/06/39/809800639.db2.gz YIPUNWPHXTTWGF-UHFFFAOYSA-N -1 1 348.382 -0.034 20 0 EBADMM C[C@@H]([NH2+]Cc1nc(=O)n(C)[n-]1)[C@H]1CCCCN1C(=O)c1cnnn1C ZINC001004851261 809827565 /nfs/dbraw/zinc/82/75/65/809827565.db2.gz XKEQAFTURSSVLR-GHMZBOCLSA-N -1 1 348.411 -0.590 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)c1c[nH]c(=O)cn1 ZINC001004919680 809834342 /nfs/dbraw/zinc/83/43/42/809834342.db2.gz PTGRDGJBLRKMAD-GXSJLCMTSA-N -1 1 347.379 -0.233 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC001005961086 809873290 /nfs/dbraw/zinc/87/32/90/809873290.db2.gz YAMCLGNFELKMEQ-IEBDPFPHSA-N -1 1 332.360 -0.515 20 0 EBADMM CN(C(=O)c1ccnnc1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001006045960 809878638 /nfs/dbraw/zinc/87/86/38/809878638.db2.gz JZFAKMJUXWTOLD-UHFFFAOYSA-N -1 1 331.380 -0.365 20 0 EBADMM Cc1nc[nH]c1CC(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001006347534 809893330 /nfs/dbraw/zinc/89/33/30/809893330.db2.gz HXFHADPGANUSOQ-UHFFFAOYSA-N -1 1 347.423 -0.195 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)c3ccn(C)c(=O)c3)C2)nc1=O ZINC001007673550 809976538 /nfs/dbraw/zinc/97/65/38/809976538.db2.gz KEIIOYPWQRKYQP-GFCCVEGCSA-N -1 1 346.391 -0.799 20 0 EBADMM CCC(=O)N[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1(C)C ZINC001007740644 810010242 /nfs/dbraw/zinc/01/02/42/810010242.db2.gz UEFLKENKPRCIMD-SNVBAGLBSA-N -1 1 332.364 -0.206 20 0 EBADMM CCc1c(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001007764809 810015892 /nfs/dbraw/zinc/01/58/92/810015892.db2.gz CQGQSZNRDIBYHD-NSHDSACASA-N -1 1 347.423 -0.201 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)c3ccc(=O)n(C)c3)C2)nc1=O ZINC001007770497 810017068 /nfs/dbraw/zinc/01/70/68/810017068.db2.gz MWHWYNHLEZGMPS-LBPRGKRZSA-N -1 1 346.391 -0.799 20 0 EBADMM Cc1nc([C@@H](C)N2CCC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001007799899 810022008 /nfs/dbraw/zinc/02/20/08/810022008.db2.gz LKTQIXKAVBNDBR-VXNVDRBHSA-N -1 1 348.367 -0.335 20 0 EBADMM O=C(N[C@@H]1CCCN(CCn2cccn2)C1)c1n[nH]c(=O)[n-]c1=O ZINC001007799715 810022033 /nfs/dbraw/zinc/02/20/33/810022033.db2.gz KPJQALNARMUZIP-SNVBAGLBSA-N -1 1 333.352 -0.626 20 0 EBADMM COc1ccc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001007846354 810029214 /nfs/dbraw/zinc/02/92/14/810029214.db2.gz RALYHTPHTGLUPB-GFCCVEGCSA-N -1 1 346.391 -0.094 20 0 EBADMM CC(=O)N[C@@H]1CC[C@@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@H]2C1 ZINC001009767220 810263212 /nfs/dbraw/zinc/26/32/12/810263212.db2.gz NDMIDTODCSYUFM-MGPQQGTHSA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@@H]1CN(C(=O)CCCn2ccnn2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001214835573 810283751 /nfs/dbraw/zinc/28/37/51/810283751.db2.gz JRIXIYBGIISMLW-GHMZBOCLSA-N -1 1 334.384 -0.481 20 0 EBADMM O=C(c1cocn1)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001010682076 810292671 /nfs/dbraw/zinc/29/26/71/810292671.db2.gz YDIADDYNYQJTBW-UHFFFAOYSA-N -1 1 343.303 -0.996 20 0 EBADMM C[C@H](CS(C)(=O)=O)C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001216861827 810397226 /nfs/dbraw/zinc/39/72/26/810397226.db2.gz HSBBYDVVJWCMIA-OPRDCNLKSA-N -1 1 345.425 -0.873 20 0 EBADMM CC(C)CCC(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21 ZINC001217264731 810416033 /nfs/dbraw/zinc/41/60/33/810416033.db2.gz CDATWMRRAWKBEQ-QWHCGFSZSA-N -1 1 337.424 -0.044 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@@H]3CCCc4c[nH]nc43)C2)nc1=O ZINC001014429250 810430716 /nfs/dbraw/zinc/43/07/16/810430716.db2.gz FMYNGQAYWVJHCZ-VXGBXAGGSA-N -1 1 345.407 -0.358 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCCN1C(=O)c1nnn(C)n1 ZINC001014893365 810452615 /nfs/dbraw/zinc/45/26/15/810452615.db2.gz LRRQXWZKOOCHIT-UWVGGRQHSA-N -1 1 345.363 -0.266 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cccc4c[nH]nc43)C2)nc1=O ZINC001015471461 810486003 /nfs/dbraw/zinc/48/60/03/810486003.db2.gz NXCMKZCHBWHYRM-NSHDSACASA-N -1 1 341.375 -0.011 20 0 EBADMM CC(C)S(=O)(=O)c1ccccc1NC(=O)[C@@H](N)Cc1nnn[n-]1 ZINC001218821156 810535018 /nfs/dbraw/zinc/53/50/18/810535018.db2.gz VRGGDBGHLCXXPM-VIFPVBQESA-N -1 1 338.393 -0.110 20 0 EBADMM COc1ccc(CC(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nc1 ZINC001015610412 810539555 /nfs/dbraw/zinc/53/95/55/810539555.db2.gz XJFIBMDWQYDOQQ-GFCCVEGCSA-N -1 1 346.391 -0.555 20 0 EBADMM O=C(C[C@@H]1COCCN1)Nc1ccc2c(c1)S(=O)(=O)[N-]C2=O ZINC001218963546 810550115 /nfs/dbraw/zinc/55/01/15/810550115.db2.gz SEJNPEZYWRMAMI-SECBINFHSA-N -1 1 325.346 -0.564 20 0 EBADMM COC(=O)c1ccc(NC(=O)[C@@H](N)Cc2nnn[n-]2)c(C(C)=O)c1 ZINC001218983177 810550851 /nfs/dbraw/zinc/55/08/51/810550851.db2.gz ZQQADRXWQMUPOK-JTQLQIEISA-N -1 1 332.320 -0.303 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@@H]3CCCc4nc[nH]c43)C2)nc1=O ZINC001015688825 810562753 /nfs/dbraw/zinc/56/27/53/810562753.db2.gz DGEVUJXDIZRINU-WDEREUQCSA-N -1 1 345.407 -0.358 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cnn(CC4CC4)c3)C2)nc1=O ZINC001015958532 810626934 /nfs/dbraw/zinc/62/69/34/810626934.db2.gz QMQJQNFIELWVES-CYBMUJFWSA-N -1 1 345.407 -0.281 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCN(Cc2ncccn2)C1 ZINC001015988970 810642176 /nfs/dbraw/zinc/64/21/76/810642176.db2.gz JBEXHUQTMOVKBJ-VIFPVBQESA-N -1 1 346.347 -0.309 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCN(Cc2cnnn2C)C1 ZINC001015989350 810644591 /nfs/dbraw/zinc/64/45/91/810644591.db2.gz PBTIULLTJCUXDU-QMMMGPOBSA-N -1 1 349.351 -0.971 20 0 EBADMM Cc1conc1CN1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001015992496 810645823 /nfs/dbraw/zinc/64/58/23/810645823.db2.gz OQQPGGUURPMXNR-SNVBAGLBSA-N -1 1 343.347 -0.282 20 0 EBADMM Cc1conc1CN1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001015992495 810645950 /nfs/dbraw/zinc/64/59/50/810645950.db2.gz OQQPGGUURPMXNR-JTQLQIEISA-N -1 1 343.347 -0.282 20 0 EBADMM CCCC[C@H](C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001219560633 810751042 /nfs/dbraw/zinc/75/10/42/810751042.db2.gz JBSZYBWEMMMYPA-TUAOUCFPSA-N -1 1 325.413 -0.404 20 0 EBADMM Cc1ncc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c(C)n1 ZINC001033507756 810777927 /nfs/dbraw/zinc/77/79/27/810777927.db2.gz JVUXVQAGTHTMMT-GFCCVEGCSA-N -1 1 345.407 -0.138 20 0 EBADMM CN1CCCC[C@@H]1C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)CCO1 ZINC001035415617 810785840 /nfs/dbraw/zinc/78/58/40/810785840.db2.gz CGWWKOTZAYGOES-VXGBXAGGSA-N -1 1 338.412 -0.688 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)[C@@H]3CCC3(C)C)C2)nc1=O ZINC001035490000 810848897 /nfs/dbraw/zinc/84/88/97/810848897.db2.gz UKBRRCKUJDNHEK-RYUDHWBXSA-N -1 1 337.424 -0.138 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O)C(C)(C)C ZINC001219882051 810893280 /nfs/dbraw/zinc/89/32/80/810893280.db2.gz RFKWMUPPTYVFAD-AXFHLTTASA-N -1 1 325.413 -0.548 20 0 EBADMM NCC(=O)N[C@@H](Cc1ccccc1)C(=O)N[C@@H]1SC(=O)[N-]C1=O ZINC001219904065 810903000 /nfs/dbraw/zinc/90/30/00/810903000.db2.gz PBIFFSPGAWYLSV-TVQRCGJNSA-N -1 1 336.373 -0.902 20 0 EBADMM Cc1cc(NC(=O)NN2CC(=O)[N-]C2=O)cc(S(C)(=O)=O)c1 ZINC001219914081 810905853 /nfs/dbraw/zinc/90/58/53/810905853.db2.gz DHEZRHJDDATDAW-UHFFFAOYSA-N -1 1 326.334 -0.013 20 0 EBADMM Cc1c[nH]cc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035607710 810959844 /nfs/dbraw/zinc/95/98/44/810959844.db2.gz GLFSBXRNJWOABW-LLVKDONJSA-N -1 1 334.380 -0.624 20 0 EBADMM Cc1c[nH]nc1C(=O)N1CCC2(CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001035702815 810995347 /nfs/dbraw/zinc/99/53/47/810995347.db2.gz CLSKADYNGQOQND-UHFFFAOYSA-N -1 1 345.407 -0.122 20 0 EBADMM Nc1nc2c(ncn2CC(=O)NCCCC[P@](=O)([O-])O)c(=O)[nH]1 ZINC001220375612 811079171 /nfs/dbraw/zinc/07/91/71/811079171.db2.gz ZJBLEINJXMIIIN-UHFFFAOYSA-N -1 1 344.268 -0.812 20 0 EBADMM Cc1nonc1CN[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1C ZINC001036093188 811095646 /nfs/dbraw/zinc/09/56/46/811095646.db2.gz LJLRNRPGZYKBSR-APPZFPTMSA-N -1 1 349.351 -0.385 20 0 EBADMM C[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC[C@@H]1NCc1cnn(C)n1 ZINC001036096848 811097586 /nfs/dbraw/zinc/09/75/86/811097586.db2.gz VHXXRCMAMXYVQW-WPRPVWTQSA-N -1 1 348.367 -0.948 20 0 EBADMM CCC[C@@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O)C(C)C ZINC001220452127 811112796 /nfs/dbraw/zinc/11/27/96/811112796.db2.gz RMPPHFOUBPZLRF-UPJWGTAASA-N -1 1 339.440 -0.158 20 0 EBADMM CC(C)CCCCC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001220579891 811163017 /nfs/dbraw/zinc/16/30/17/811163017.db2.gz PRCWDIZSCHAGRJ-OLZOCXBDSA-N -1 1 339.440 -0.014 20 0 EBADMM C[C@H]1CCCN(C(=O)c2ncn(C)n2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036544080 811173036 /nfs/dbraw/zinc/17/30/36/811173036.db2.gz AXMZSZFTRKNRKS-UWVGGRQHSA-N -1 1 334.384 -0.331 20 0 EBADMM O=C(CN1CCCC1=O)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036641338 811192552 /nfs/dbraw/zinc/19/25/52/811192552.db2.gz YXJDBTLIEVTRCB-VXGBXAGGSA-N -1 1 348.407 -0.445 20 0 EBADMM CC(=O)N1CC(C(=O)N2CC[C@@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001036699557 811211851 /nfs/dbraw/zinc/21/18/51/811211851.db2.gz RGGPHQLANGBWRB-WCQYABFASA-N -1 1 348.407 -0.589 20 0 EBADMM Cn1oc(C(=O)N2CC[C@@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC001036700581 811214035 /nfs/dbraw/zinc/21/40/35/811214035.db2.gz IIDDRSWBIGUEEB-VHSXEESVSA-N -1 1 348.363 -0.461 20 0 EBADMM CC(C)(C(N)=O)C(=O)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036740764 811237464 /nfs/dbraw/zinc/23/74/64/811237464.db2.gz ADVVTJAYZOVDEO-UWVGGRQHSA-N -1 1 336.396 -0.555 20 0 EBADMM Cn1ncc(C(=O)N2CC[C@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001036840420 811283168 /nfs/dbraw/zinc/28/31/68/811283168.db2.gz GXMSASNJVYQTFC-KOLCDFICSA-N -1 1 332.368 -0.625 20 0 EBADMM Cn1cncc1CC(=O)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036866657 811295527 /nfs/dbraw/zinc/29/55/27/811295527.db2.gz IVJOGYKEWLIORF-WCQYABFASA-N -1 1 345.407 -0.091 20 0 EBADMM Cn1ccc(C(=O)N2CC[C@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001036894368 811304424 /nfs/dbraw/zinc/30/44/24/811304424.db2.gz GSEXPZKJDJIBCA-JQWIXIFHSA-N -1 1 331.380 -0.020 20 0 EBADMM O=C(Cn1ccnc1)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036910282 811312430 /nfs/dbraw/zinc/31/24/30/811312430.db2.gz RBIWSRKHPAJNNJ-VXGBXAGGSA-N -1 1 331.380 -0.170 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CCC[C@@H]2CNCc2nnnn2C)c1[O-] ZINC001036985051 811323608 /nfs/dbraw/zinc/32/36/08/811323608.db2.gz BBUBWMUWHGJVFV-NXEZZACHSA-N -1 1 334.384 -0.364 20 0 EBADMM O=C(N[C@H]1CCC[C@@H]1CNCc1ccon1)c1n[nH]c(=O)[n-]c1=O ZINC001037038896 811348033 /nfs/dbraw/zinc/34/80/33/811348033.db2.gz WAHMTIRQVKCFOB-SCZZXKLOSA-N -1 1 334.336 -0.041 20 0 EBADMM CCCCOCC(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001221463110 811462734 /nfs/dbraw/zinc/46/27/34/811462734.db2.gz POBIIYMSYIESRS-CHWSQXEVSA-N -1 1 337.424 -0.042 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1C[C@H]2CCN(CCOCC3CC3)C[C@H]21 ZINC001221671743 811515375 /nfs/dbraw/zinc/51/53/75/811515375.db2.gz GYQSWCATHBUUAO-VXGBXAGGSA-N -1 1 349.391 -0.144 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)CCNC(=O)NC)C1 ZINC001079652981 811639299 /nfs/dbraw/zinc/63/92/99/811639299.db2.gz PALGLWQSDIOPSM-UHFFFAOYSA-N -1 1 349.391 -0.221 20 0 EBADMM CCN(C(=O)C[C@H](C)NC(N)=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079780721 811716650 /nfs/dbraw/zinc/71/66/50/811716650.db2.gz NWYJJBOURHBAHO-JTQLQIEISA-N -1 1 349.391 -0.093 20 0 EBADMM O=[P@]([O-])(O)CCO[C@H](C[n+]1ccccc1)CS(=O)(=O)[O-] ZINC001224603241 811766738 /nfs/dbraw/zinc/76/67/38/811766738.db2.gz WOECJHXXBAKRCN-SNVBAGLBSA-O -1 1 326.287 -0.575 20 0 EBADMM C[C@H](Cn1cnc2c1c(=O)n(C)c(=O)n2C)OCC[P@](=O)([O-])O ZINC001224606344 811767900 /nfs/dbraw/zinc/76/79/00/811767900.db2.gz OOVQZJKGJSMCGL-MRVPVSSYSA-N -1 1 346.280 -0.984 20 0 EBADMM O=S(=O)([O-])C[C@@H](C[NH+]1CCOCC1)Oc1ncnc2[n-]ncc21 ZINC001225561564 811817445 /nfs/dbraw/zinc/81/74/45/811817445.db2.gz GBLSTUPRCZHCHD-SECBINFHSA-N -1 1 343.365 -0.680 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ccn(C)c(=O)c1 ZINC001079978955 811827838 /nfs/dbraw/zinc/82/78/38/811827838.db2.gz LFJUECRAHIWNSW-ZYHUDNBSSA-N -1 1 346.391 -0.943 20 0 EBADMM C[C@H](NC(N)=O)C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001225868765 811834016 /nfs/dbraw/zinc/83/40/16/811834016.db2.gz JFNRLDNESYNARH-DJLDLDEBSA-N -1 1 325.373 -0.916 20 0 EBADMM O=S(=O)([O-])C[C@H](CN1CCOCC1)Oc1n[nH]c2ncccc12 ZINC001226303432 811860050 /nfs/dbraw/zinc/86/00/50/811860050.db2.gz VUINJNQGYHMUDH-JTQLQIEISA-N -1 1 342.377 -0.075 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC001080155090 811921045 /nfs/dbraw/zinc/92/10/45/811921045.db2.gz RFYXEVNGJQYEJL-NLRWUALESA-N -1 1 349.435 -0.140 20 0 EBADMM CCN(C(=O)Cn1c(=O)[n-][nH]c1=O)C1CN(C(=O)c2cc(C)n[nH]2)C1 ZINC001080200698 811937877 /nfs/dbraw/zinc/93/78/77/811937877.db2.gz KAYKEOMHYGJJNZ-UHFFFAOYSA-N -1 1 349.351 -0.906 20 0 EBADMM COC(=O)c1n[n-]c(OC[C@H]2COCCOCCOCCO2)n1 ZINC001228312394 811975335 /nfs/dbraw/zinc/97/53/35/811975335.db2.gz SGQCSOQSLJVIGN-SNVBAGLBSA-N -1 1 331.325 -0.581 20 0 EBADMM COC(=O)c1nc(OC[C@H]2COCCOCCOCCO2)n[n-]1 ZINC001228312394 811975337 /nfs/dbraw/zinc/97/53/37/811975337.db2.gz SGQCSOQSLJVIGN-SNVBAGLBSA-N -1 1 331.325 -0.581 20 0 EBADMM CCn1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)c(C)n1 ZINC001080529380 812046783 /nfs/dbraw/zinc/04/67/83/812046783.db2.gz FNICDMAJHWPEEM-ZWNOBZJWSA-N -1 1 347.423 -0.116 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)CCc3cnccn3)CC2)nc1=O ZINC001229900696 812061466 /nfs/dbraw/zinc/06/14/66/812061466.db2.gz FPQFKWSEXQXXJE-UHFFFAOYSA-N -1 1 345.407 -0.388 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cc1cscn1 ZINC001080566656 812067193 /nfs/dbraw/zinc/06/71/93/812067193.db2.gz GHLFZWNSUKYBCE-MWLCHTKSSA-N -1 1 336.421 -0.256 20 0 EBADMM Cc1cnn(CC(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)c1 ZINC001230974911 812261064 /nfs/dbraw/zinc/26/10/64/812261064.db2.gz DNRWKSOGXYEYJD-GFCCVEGCSA-N -1 1 333.396 -0.654 20 0 EBADMM CCCCOCC(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001231368676 812296181 /nfs/dbraw/zinc/29/61/81/812296181.db2.gz NWNJFRSLUMNLPQ-LBPRGKRZSA-N -1 1 325.413 -0.042 20 0 EBADMM CC[C@H](C)OCC(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001233716391 812332739 /nfs/dbraw/zinc/33/27/39/812332739.db2.gz RPOZUHWOFJNUML-RYUDHWBXSA-N -1 1 325.413 -0.044 20 0 EBADMM CC(F)(F)C(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001081184276 812535496 /nfs/dbraw/zinc/53/54/96/812535496.db2.gz RHFKOBDAFNKAIQ-WCBMZHEXSA-N -1 1 329.303 -0.009 20 0 EBADMM CC(C)OCC(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001081321409 812728357 /nfs/dbraw/zinc/72/83/57/812728357.db2.gz NOGMAHXIICWDKJ-WCQYABFASA-N -1 1 337.376 -0.239 20 0 EBADMM O=S(=O)([N-][C@@H]1C[C@H](CO)[C@@H](O)[C@H]1O)c1cc(F)c(F)cc1F ZINC001259042810 812805520 /nfs/dbraw/zinc/80/55/20/812805520.db2.gz HQRGOPRAWSZETA-YYHYXLOLSA-N -1 1 341.307 -0.515 20 0 EBADMM O=C(Cc1ccon1)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001081365526 812811600 /nfs/dbraw/zinc/81/16/00/812811600.db2.gz PEMQGFCRTUEDCM-ZWNOBZJWSA-N -1 1 346.343 -0.433 20 0 EBADMM COCCS(=O)(=O)[N-][C@@H](CC(=O)OC(C)(C)C)C(=O)OC ZINC001259965242 812818784 /nfs/dbraw/zinc/81/87/84/812818784.db2.gz JQCRCKLAUWQGBF-VIFPVBQESA-N -1 1 325.383 -0.174 20 0 EBADMM O=C(Cc1cc[nH]n1)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001081368427 812819423 /nfs/dbraw/zinc/81/94/23/812819423.db2.gz NNZRBBZNKKHEIO-ZWNOBZJWSA-N -1 1 345.359 -0.698 20 0 EBADMM NC(=O)C[C@H]([N-]S(=O)(=O)c1cc(F)c(F)cc1Cl)C(N)=O ZINC001260289957 812831126 /nfs/dbraw/zinc/83/11/26/812831126.db2.gz BERWQOBBWDSOMT-ZETCQYMHSA-N -1 1 341.723 -0.374 20 0 EBADMM NC(=O)CNC(=O)C[N-]S(=O)(=O)c1cc(Cl)sc1Cl ZINC001260474066 812833866 /nfs/dbraw/zinc/83/38/66/812833866.db2.gz CNNMPOBTEPYCNT-UHFFFAOYSA-N -1 1 346.217 -0.065 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cccn1C ZINC001081375849 812839263 /nfs/dbraw/zinc/83/92/63/812839263.db2.gz DWMGOEAELBSBPT-ZYHUDNBSSA-N -1 1 334.380 -0.924 20 0 EBADMM CS(=O)(=O)CS(=O)(=O)[N-]c1ccc(N2C(=O)C=CC2=O)cc1 ZINC001260592771 812839857 /nfs/dbraw/zinc/83/98/57/812839857.db2.gz PAAXGSMDCLXSJM-UHFFFAOYSA-N -1 1 344.370 -0.140 20 0 EBADMM COC(=O)[C@@](C)([N-]S(=O)(=O)CS(C)(=O)=O)c1ccccc1 ZINC001260596959 812842228 /nfs/dbraw/zinc/84/22/28/812842228.db2.gz WFIXBWRYYJCZCR-LBPRGKRZSA-N -1 1 335.403 -0.004 20 0 EBADMM CS(=O)(=O)CS(=O)(=O)[N-]c1cnn(-c2ccccc2)c(=O)c1 ZINC001260598999 812843768 /nfs/dbraw/zinc/84/37/68/812843768.db2.gz UPVYHEBHPBLRBQ-UHFFFAOYSA-N -1 1 343.386 -0.024 20 0 EBADMM O=C([O-])[C@]12COC[C@H]1CN(C(=O)C(=O)N1CC[C@@H](N3CC=CC3)C1)C2 ZINC001261644544 812959164 /nfs/dbraw/zinc/95/91/64/812959164.db2.gz DVVHIKGJYYPOIC-PBFPGSCMSA-N -1 1 349.387 -0.981 20 0 EBADMM O=C([N-]OC[C@H]1CCOC1)C(=O)NC[C@H]1CCN1C1CCOCC1 ZINC001262318795 813066557 /nfs/dbraw/zinc/06/65/57/813066557.db2.gz PFYUXGFYWGTFJS-GXTWGEPZSA-N -1 1 341.408 -0.560 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)CCCCC(N)=O ZINC001263816426 813120498 /nfs/dbraw/zinc/12/04/98/813120498.db2.gz BSDPMAIMPZKBIH-NSHDSACASA-N -1 1 338.412 -0.813 20 0 EBADMM CC(C)=CC(=O)N(C)CCOCCN(C)Cc1nc(=O)n(C)[n-]1 ZINC001264661173 813195392 /nfs/dbraw/zinc/19/53/92/813195392.db2.gz GJNOVKCKYYEGDI-UHFFFAOYSA-N -1 1 325.413 -0.019 20 0 EBADMM CN(CCCNC(=O)CCn1cc[n-]c(=O)c1=O)Cc1ccn(C)n1 ZINC001265048026 813228292 /nfs/dbraw/zinc/22/82/92/813228292.db2.gz FIMITYWSGIFBQG-UHFFFAOYSA-N -1 1 348.407 -0.702 20 0 EBADMM CN(CCCNC(=O)c1ccc2oc(=O)nc-2[n-]1)[C@@H]1CCNC1=O ZINC001265057957 813229961 /nfs/dbraw/zinc/22/99/61/813229961.db2.gz BUOQHBQLKGMZPW-SNVBAGLBSA-N -1 1 333.348 -0.131 20 0 EBADMM CCN(CCCNC(=O)[C@]1(C)CCNC(=O)C1)Cc1n[nH]c(=O)[n-]1 ZINC001265119783 813242210 /nfs/dbraw/zinc/24/22/10/813242210.db2.gz QECSWZGRUCAXSZ-OAHLLOKOSA-N -1 1 338.412 -0.245 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@H]1C[C@@H]1C(F)F ZINC001081608774 813290805 /nfs/dbraw/zinc/29/08/05/813290805.db2.gz YNPDCXUPFVBZJI-AXTSPUMRSA-N -1 1 345.350 -0.675 20 0 EBADMM CCC(=O)N1CCCC[C@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001081809431 813620512 /nfs/dbraw/zinc/62/05/12/813620512.db2.gz CXFCFYUTGRLEOD-LBPRGKRZSA-N -1 1 336.392 -0.166 20 0 EBADMM CNC(=O)NCC(=O)NC[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001082022603 813720362 /nfs/dbraw/zinc/72/03/62/813720362.db2.gz ISCJTZXFGNATES-NSHDSACASA-N -1 1 349.391 -0.173 20 0 EBADMM Cc1nn2cccnc2c1C(=O)NC[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001266996905 813724691 /nfs/dbraw/zinc/72/46/91/813724691.db2.gz KFHMWUFCXXNBLV-QMMMGPOBSA-N -1 1 330.352 -0.230 20 0 EBADMM CO[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CN1CC[C@H](C)C1 ZINC001082135200 813784181 /nfs/dbraw/zinc/78/41/81/813784181.db2.gz SSPXKQKKTHFZRM-QJPTWQEYSA-N -1 1 338.412 -0.833 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1nocc1C ZINC001082307886 813884237 /nfs/dbraw/zinc/88/42/37/813884237.db2.gz QIUKCBZDWJGHCV-NXEZZACHSA-N -1 1 336.352 -0.966 20 0 EBADMM Cc1nccnc1CNCCN(C)C(=O)c1c[n-]n2c1nccc2=O ZINC001267438862 813894200 /nfs/dbraw/zinc/89/42/00/813894200.db2.gz WDGABIXFJUOUPN-UHFFFAOYSA-N -1 1 341.375 -0.017 20 0 EBADMM CN(CCNCc1csnn1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001267441749 813895968 /nfs/dbraw/zinc/89/59/68/813895968.db2.gz UXMXKHGIEGGEBN-UHFFFAOYSA-N -1 1 338.393 -0.974 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)CCCCC(N)=O)C1 ZINC001267593805 813946330 /nfs/dbraw/zinc/94/63/30/813946330.db2.gz SXELRLBGYFTGME-NSHDSACASA-N -1 1 338.412 -0.164 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2CCCC(=O)N2C)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082891639 814019666 /nfs/dbraw/zinc/01/96/66/814019666.db2.gz QNJNJUXSFKIBEQ-MXWKQRLJSA-N -1 1 336.396 -0.542 20 0 EBADMM O=C(N[C@@H]1CCCN(CCOCCO)C1)c1c[n-]n2c1nccc2=O ZINC001267969763 814079953 /nfs/dbraw/zinc/07/99/53/814079953.db2.gz LHITYYVPWUJDJF-GFCCVEGCSA-N -1 1 349.391 -0.774 20 0 EBADMM Cc1cccn2cc(C(=O)NC[C@H](O)CNCc3n[nH]c(=O)[n-]3)cc12 ZINC001268329176 814208375 /nfs/dbraw/zinc/20/83/75/814208375.db2.gz RJPMCHCCJHIAFR-GFCCVEGCSA-N -1 1 344.375 -0.048 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)c3cc(Cl)c[nH]3)[C@@H](O)C2)nc1=O ZINC001083338173 814297815 /nfs/dbraw/zinc/29/78/15/814297815.db2.gz AVCMXELIJWPMKC-ZJUUUORDSA-N -1 1 340.771 -0.935 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)Cc1cnn2ccccc12 ZINC001268689901 814353394 /nfs/dbraw/zinc/35/33/94/814353394.db2.gz DQGVCYZFYWZACS-JTQLQIEISA-N -1 1 329.364 -0.005 20 0 EBADMM O=C(CN1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1)N1CCCCC1 ZINC001083421017 814400457 /nfs/dbraw/zinc/40/04/57/814400457.db2.gz GAEGVCNEDBTMRN-OCCSQVGLSA-N -1 1 348.403 -0.425 20 0 EBADMM COc1ccc(CN2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)nn1 ZINC001083423030 814403180 /nfs/dbraw/zinc/40/31/80/814403180.db2.gz ALVOSAYSPRKHPT-YPMHNXCESA-N -1 1 345.359 -0.439 20 0 EBADMM O=C(N[C@@H]1CN(Cc2nnc(C3CC3)[nH]2)C[C@@H]1O)c1ncccc1[O-] ZINC001083423036 814403519 /nfs/dbraw/zinc/40/35/19/814403519.db2.gz AXFHVUSLIHGRIM-PWSUYJOCSA-N -1 1 344.375 -0.242 20 0 EBADMM O=C(N[C@@H]1CN(Cc2n[nH]c(C3CC3)n2)C[C@@H]1O)c1ncccc1[O-] ZINC001083423036 814403525 /nfs/dbraw/zinc/40/35/25/814403525.db2.gz AXFHVUSLIHGRIM-PWSUYJOCSA-N -1 1 344.375 -0.242 20 0 EBADMM CN1CC[C@@H](C(=O)NC2(CNCc3n[nH]c(=O)[n-]3)CCCC2)C1=O ZINC001269572053 814733227 /nfs/dbraw/zinc/73/32/27/814733227.db2.gz FZBHNURFMDSXBT-JTQLQIEISA-N -1 1 336.396 -0.493 20 0 EBADMM CC[C@H](C)N1C[C@]2(CC1=O)COCCN(C(=O)Cc1nn[n-]n1)C2 ZINC001269576360 814734048 /nfs/dbraw/zinc/73/40/48/814734048.db2.gz JRCRSXQKIUHGPV-XHDPSFHLSA-N -1 1 336.396 -0.382 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@]2(C1)CN(CC(F)(F)F)C(=O)CO2 ZINC001269576849 814734311 /nfs/dbraw/zinc/73/43/11/814734311.db2.gz YMNOEIPZKHSZCJ-NSHDSACASA-N -1 1 348.285 -0.866 20 0 EBADMM CC[C@@H](C)N1C[C@@]2(F)CN(C(=O)Cc3nn[n-]n3)C[C@@]2(F)C1=O ZINC001269576333 814734577 /nfs/dbraw/zinc/73/45/77/814734577.db2.gz IWCZHCSAAZWRPF-OXHMUOHRSA-N -1 1 328.323 -0.358 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@@]2(CCN(Cc3cnoc3)C2=O)C1 ZINC001269577672 814736327 /nfs/dbraw/zinc/73/63/27/814736327.db2.gz NQXPFZAPOJPSCB-AWEZNQCLSA-N -1 1 331.336 -0.619 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@H]3Cc4ccccc43)[C@@H](O)C2)nc1=O ZINC001083741894 814748530 /nfs/dbraw/zinc/74/85/30/814748530.db2.gz WRWBOWCLZSSSKU-MJBXVCDLSA-N -1 1 343.387 -0.890 20 0 EBADMM CC(C)(C(N)=O)C(=O)N1CCC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001269739846 815585684 /nfs/dbraw/zinc/58/56/84/815585684.db2.gz AGCHFVLZLPXPIJ-JTQLQIEISA-N -1 1 338.412 -0.260 20 0 EBADMM CN(C)S(=O)(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc([O-])cn1 ZINC001269896146 815630797 /nfs/dbraw/zinc/63/07/97/815630797.db2.gz ZYXOKUWMQXUGNY-PHIMTYICSA-N -1 1 340.405 -0.118 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)cs1 ZINC001083860780 815657110 /nfs/dbraw/zinc/65/71/10/815657110.db2.gz GTCJYGVFAFGSIX-MNOVXSKESA-N -1 1 337.405 -0.547 20 0 EBADMM CC(C)(C(N)=O)C(=O)NCCC1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001270118088 815690550 /nfs/dbraw/zinc/69/05/50/815690550.db2.gz GXVPGHXPCBENSZ-UHFFFAOYSA-N -1 1 338.412 -0.260 20 0 EBADMM O=C(CCc1ccc[nH]1)N1[C@@H]2CC[C@H]1CN(C(=O)Cc1nn[n-]n1)C2 ZINC001270182259 815712574 /nfs/dbraw/zinc/71/25/74/815712574.db2.gz AEAIQMDMVQHQQW-BETUJISGSA-N -1 1 343.391 -0.095 20 0 EBADMM CCN1CCCC[C@@H]1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@@H]1O ZINC001084091607 815829058 /nfs/dbraw/zinc/82/90/58/815829058.db2.gz GDOOMLWFWXFGPN-UTUOFQBUSA-N -1 1 338.412 -0.954 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4cccnc4)[C@@H]3C2)nc1=O ZINC001084154639 815858657 /nfs/dbraw/zinc/85/86/57/815858657.db2.gz YDRWGHWAXSNJOZ-CHWSQXEVSA-N -1 1 328.376 -0.150 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)C[C@@H]4CCOC4)[C@@H]3C2)nc1=O ZINC001084245310 815873540 /nfs/dbraw/zinc/87/35/40/815873540.db2.gz OZAMSKFSRDKUQQ-YNEHKIRRSA-N -1 1 335.408 -0.432 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC2(C[C@H]2C(=O)Nc2nnco2)CC1 ZINC001270784044 815887467 /nfs/dbraw/zinc/88/74/67/815887467.db2.gz CWDSRKIKZMPCKG-QMMMGPOBSA-N -1 1 332.324 -0.607 20 0 EBADMM O=C(Cc1nn[n-]n1)N1C[C@H]2C[C@@]2(C(=O)N2CC(F)(C3CC3)C2)C1 ZINC001270787889 815891196 /nfs/dbraw/zinc/89/11/96/815891196.db2.gz ADXRTZHGMAKQHP-QMTHXVAHSA-N -1 1 334.355 -0.449 20 0 EBADMM CN(Cc1ncccn1)C(=O)[C@@]12C[C@@H]1CN(C(=O)Cc1nn[n-]n1)C2 ZINC001270787958 815891275 /nfs/dbraw/zinc/89/12/75/815891275.db2.gz BVMKCFBQZBPLTA-MEBBXXQBSA-N -1 1 342.363 -0.961 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC2(C1)SCC[C@H]2C(=O)N1CC=CC1 ZINC001270789146 815891868 /nfs/dbraw/zinc/89/18/68/815891868.db2.gz NBUNLXOKIFKQGZ-JTQLQIEISA-N -1 1 334.405 -0.525 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC2(C[C@@H]2C(=O)N2CC(F)(C3CC3)C2)C1 ZINC001270789699 815893104 /nfs/dbraw/zinc/89/31/04/815893104.db2.gz VBRSNHINITYAGR-SNVBAGLBSA-N -1 1 334.355 -0.449 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC2(C1)SCC[C@@H]2C(=O)NCC(F)F ZINC001270789490 815893469 /nfs/dbraw/zinc/89/34/69/815893469.db2.gz RKVPEXGJLWYSKQ-SSDOTTSWSA-N -1 1 346.363 -0.542 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCc2[nH]nc(C(=O)N3CC=CC3)c2C1 ZINC001270789455 815893925 /nfs/dbraw/zinc/89/39/25/815893925.db2.gz QMTWIUWYIBTGQN-UHFFFAOYSA-N -1 1 328.336 -0.938 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCc2[nH]nc(C(=O)N3CCCCO3)c2C1 ZINC001270789521 815893996 /nfs/dbraw/zinc/89/39/96/815893996.db2.gz SANNQEQRVJWIPY-UHFFFAOYSA-N -1 1 346.351 -0.782 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)[C@H]4CC4(F)F)[C@@H]3C2)nc1=O ZINC001084328771 815895266 /nfs/dbraw/zinc/89/52/66/815895266.db2.gz FEHSLQZPHSBGBF-OPRDCNLKSA-N -1 1 327.335 -0.204 20 0 EBADMM Cn1cncc1C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001084490478 815927797 /nfs/dbraw/zinc/92/77/97/815927797.db2.gz ARQCECDOKVTBLI-ZYHUDNBSSA-N -1 1 331.380 -0.812 20 0 EBADMM Cc1ccn(CC(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)n1 ZINC001084669354 815959236 /nfs/dbraw/zinc/95/92/36/815959236.db2.gz NBURKQNRMXLXTK-CHWSQXEVSA-N -1 1 345.407 -0.654 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)[C@]4(C)CCOC4)[C@@H]3C2)nc1=O ZINC001084741669 815973527 /nfs/dbraw/zinc/97/35/27/815973527.db2.gz MRGKJNBOBONTTO-XHBSWPGZSA-N -1 1 335.408 -0.432 20 0 EBADMM Cn1[n-]c(CN2CC[C@](O)(CNC(=O)[C@@]3(C)CC=CCC3)C2)nc1=O ZINC001271152168 816006968 /nfs/dbraw/zinc/00/69/68/816006968.db2.gz JYDKQNGRJNUHPK-IRXDYDNUSA-N -1 1 349.435 -0.092 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1cc[nH]c(=O)c1 ZINC001085542772 816067116 /nfs/dbraw/zinc/06/71/16/816067116.db2.gz GDNZCDSGVTZGOS-LLVKDONJSA-N -1 1 332.364 -0.445 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)C1CCC(O)CC1 ZINC001085569808 816075390 /nfs/dbraw/zinc/07/53/90/816075390.db2.gz UBENHPLUCWGSSO-CPCZMJQVSA-N -1 1 337.424 -0.308 20 0 EBADMM CCc1c(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)cnn1C ZINC001085694909 816113597 /nfs/dbraw/zinc/11/35/97/816113597.db2.gz UTEUOQHRGCIDHH-LLVKDONJSA-N -1 1 347.423 -0.249 20 0 EBADMM CNC(=O)CN1CC[C@@H]1CN(C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001085859478 816164510 /nfs/dbraw/zinc/16/45/10/816164510.db2.gz BGSDLXPZNHSDPX-LLVKDONJSA-N -1 1 333.392 -0.048 20 0 EBADMM COc1ccc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)nn1 ZINC001085908645 816176202 /nfs/dbraw/zinc/17/62/02/816176202.db2.gz OLLRGZDVKUQQMH-JTQLQIEISA-N -1 1 347.379 -0.747 20 0 EBADMM Cc1nnc([C@H](C)N[C@H]2C[C@@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC001086138655 816244818 /nfs/dbraw/zinc/24/48/18/816244818.db2.gz UUSSTBNTSJQQKU-CNUIFLNQSA-N -1 1 348.367 -0.431 20 0 EBADMM C[N@@H+]1CCO[C@]2(CCCN(C(=O)Cn3cc(F)c(=O)[nH]c3=O)C2)C1 ZINC001272000607 816287002 /nfs/dbraw/zinc/28/70/02/816287002.db2.gz OCTGGTGRKLCDJK-OAHLLOKOSA-N -1 1 340.355 -0.589 20 0 EBADMM CCn1ncnc1CN[C@H]1C[C@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001086418217 816326673 /nfs/dbraw/zinc/32/66/73/816326673.db2.gz GCSGAYNLURYCHF-KYZUINATSA-N -1 1 348.367 -0.808 20 0 EBADMM CN(C)c1ncccc1C(=O)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001272307444 816392463 /nfs/dbraw/zinc/39/24/63/816392463.db2.gz QGSMRXAUYQXHBS-UHFFFAOYSA-N -1 1 349.395 -0.804 20 0 EBADMM O=C(NC[C@H]1CC[C@@H](NCc2ccon2)C1)c1n[nH]c(=O)[n-]c1=O ZINC001086746239 816399913 /nfs/dbraw/zinc/39/99/13/816399913.db2.gz NYKQSEPZRWPDDM-DTWKUNHWSA-N -1 1 334.336 -0.041 20 0 EBADMM O=C(NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1)c1ccc2ncccc2c1 ZINC001272512232 816452264 /nfs/dbraw/zinc/45/22/64/816452264.db2.gz JZNKPKNFKFNDCF-LBPRGKRZSA-N -1 1 342.359 -0.061 20 0 EBADMM C[C@@H]1CCN(C(=O)[C@H]2CCNC2=O)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087198776 816473234 /nfs/dbraw/zinc/47/32/34/816473234.db2.gz ZYEQIUBQKWUSGK-VWYCJHECSA-N -1 1 336.396 -0.637 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)NCc1nc(CNCc2nc(=O)n(C)[n-]2)cc(=O)[nH]1 ZINC001087254604 816481418 /nfs/dbraw/zinc/48/14/18/816481418.db2.gz LPUUCCULBSTBAJ-SCZZXKLOSA-N -1 1 347.379 -0.834 20 0 EBADMM COCCOCN1C[C@@H]2COC[C@H](C1)N2C(=O)c1cncc([O-])c1 ZINC001272673284 816504420 /nfs/dbraw/zinc/50/44/20/816504420.db2.gz WDPYMGPDKBOMPN-OKILXGFUSA-N -1 1 337.376 -0.067 20 0 EBADMM CN(C)S(=O)(=O)N1CCC2(CN(C(=O)c3cncc([O-])c3)C2)C1 ZINC001272672295 816504576 /nfs/dbraw/zinc/50/45/76/816504576.db2.gz IHAGDAIREBESPK-UHFFFAOYSA-N -1 1 340.405 -0.259 20 0 EBADMM Cc1[nH]nc(C(=O)N2C[C@H]3[C@@H](C2)[C@@H]2CC[C@H]3CN2CC(N)=O)c1[O-] ZINC001087351166 816506755 /nfs/dbraw/zinc/50/67/55/816506755.db2.gz NQHJEQRHRIMGEZ-QCNOEVLYSA-N -1 1 333.392 -0.309 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2cnc(C)cn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087491609 816524408 /nfs/dbraw/zinc/52/44/08/816524408.db2.gz HJWAYSCNIYJWBK-WCQYABFASA-N -1 1 345.407 -0.010 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)Cc2cnn(C)c2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087516788 816526560 /nfs/dbraw/zinc/52/65/60/816526560.db2.gz RLCQBPPZWUCJAC-QWHCGFSZSA-N -1 1 347.423 -0.446 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2nccc(C)n2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087555310 816531589 /nfs/dbraw/zinc/53/15/89/816531589.db2.gz ZOGHPCBTBQFGPO-NWDGAFQWSA-N -1 1 345.407 -0.010 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2cnnc(C)c2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087555975 816532339 /nfs/dbraw/zinc/53/23/39/816532339.db2.gz MICYLFJASYYGHB-QWHCGFSZSA-N -1 1 345.407 -0.010 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2csnn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087675836 816545694 /nfs/dbraw/zinc/54/56/94/816545694.db2.gz GACOEKGXRLJFIQ-WCBMZHEXSA-N -1 1 337.409 -0.257 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)Cn2c(=O)[n-][nH]c2=O)CCN1Cc1cncn1C ZINC001087811431 816561714 /nfs/dbraw/zinc/56/17/14/816561714.db2.gz UKHRAGQJDPQWRH-NWDGAFQWSA-N -1 1 349.395 -0.408 20 0 EBADMM O=C1CC[C@H](CN2C(=O)COCC23CN(Cc2ccncc2[O-])C3)N1 ZINC001273080099 816583064 /nfs/dbraw/zinc/58/30/64/816583064.db2.gz MFQLHQGGEKSEPT-CYBMUJFWSA-N -1 1 346.387 -0.521 20 0 EBADMM O=C1CC[C@@H](CN2CC3(CN(Cc4ccncc4[O-])C3)OCC2=O)N1 ZINC001273081955 816583259 /nfs/dbraw/zinc/58/32/59/816583259.db2.gz ZPOJIQKRJPMMLU-ZDUSSCGKSA-N -1 1 346.387 -0.521 20 0 EBADMM Cn1nnnc1CN1CC[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC001087944089 816585065 /nfs/dbraw/zinc/58/50/65/816585065.db2.gz ILJXROGHRYRJKF-NEPJUHHUSA-N -1 1 343.391 -0.095 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4n[nH]cc4F)C[C@@H]3C2)nc1=O ZINC001088095720 816626929 /nfs/dbraw/zinc/62/69/29/816626929.db2.gz DJOZFRPGCBHSLF-ZJUUUORDSA-N -1 1 349.370 -0.435 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4ncccn4)C[C@@H]3C2)nc1=O ZINC001088147869 816635963 /nfs/dbraw/zinc/63/59/63/816635963.db2.gz ZVJSLKHQDRJJIC-NEPJUHHUSA-N -1 1 343.391 -0.508 20 0 EBADMM CN(C)C(=O)CCN1C(=O)COCC12CN(Cc1ncccc1[O-])C2 ZINC001273327405 816645081 /nfs/dbraw/zinc/64/50/81/816645081.db2.gz VQOWJXPHVIZMBM-UHFFFAOYSA-N -1 1 348.403 -0.321 20 0 EBADMM O=C([C@H]1CCC(=O)N1)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088280333 816658033 /nfs/dbraw/zinc/65/80/33/816658033.db2.gz OHVSHWMEHVTOBH-GHMZBOCLSA-N -1 1 336.396 -0.350 20 0 EBADMM O=C([C@@H]1COC(=O)N1)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088307212 816660599 /nfs/dbraw/zinc/66/05/99/816660599.db2.gz QMGHJVHULYCCDZ-ZJUUUORDSA-N -1 1 338.368 -0.521 20 0 EBADMM Cn1cnc(C(=O)N2CCCCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001088308706 816661838 /nfs/dbraw/zinc/66/18/38/816661838.db2.gz FHVWNGHAMYDLSD-SNVBAGLBSA-N -1 1 334.384 -0.187 20 0 EBADMM O=C(CCn1cnnn1)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088405241 816678271 /nfs/dbraw/zinc/67/82/71/816678271.db2.gz ROIMIMLZUUBLFZ-LLVKDONJSA-N -1 1 349.399 -0.552 20 0 EBADMM O=C([C@@H]1CCC(=O)N1)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088416454 816679672 /nfs/dbraw/zinc/67/96/72/816679672.db2.gz OHVSHWMEHVTOBH-QWRGUYRKSA-N -1 1 336.396 -0.350 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)n(C)n1 ZINC001088484767 816689826 /nfs/dbraw/zinc/68/98/26/816689826.db2.gz YXTRYXRLFDVXRX-MNOVXSKESA-N -1 1 333.396 -0.457 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1CC(=O)N1CCOCC1 ZINC001088538825 816698871 /nfs/dbraw/zinc/69/88/71/816698871.db2.gz SURWWUOJTLVDJB-OLZOCXBDSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)C2CCC(O)CC2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088542666 816699417 /nfs/dbraw/zinc/69/94/17/816699417.db2.gz PHTBSQICJOEXQL-XVSSEFHLSA-N -1 1 337.424 -0.262 20 0 EBADMM Cc1cn(C)nc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001088562492 816703329 /nfs/dbraw/zinc/70/33/29/816703329.db2.gz YUSAVUKLBILUAX-MNOVXSKESA-N -1 1 333.396 -0.457 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)Cn1c(C)cc(C)nc1=O ZINC001273680915 816722732 /nfs/dbraw/zinc/72/27/32/816722732.db2.gz KNFYPMJEZVRVRO-UHFFFAOYSA-N -1 1 349.395 -0.678 20 0 EBADMM Cc1ccn(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)n1 ZINC001088866367 816758472 /nfs/dbraw/zinc/75/84/72/816758472.db2.gz NWQFZWSTRUUFKV-NEPJUHHUSA-N -1 1 333.396 -0.607 20 0 EBADMM CCn1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)nn1 ZINC001088946953 816769010 /nfs/dbraw/zinc/76/90/10/816769010.db2.gz ARELOVCCAAORLC-ZJUUUORDSA-N -1 1 334.384 -0.887 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCCN1C(=O)c1cn[nH]c1 ZINC001089125622 816806019 /nfs/dbraw/zinc/80/60/19/816806019.db2.gz NVWHELMCQBTGFZ-LBPRGKRZSA-N -1 1 333.396 -0.042 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCCN1C(=O)c1cnnn1C ZINC001089169660 816811923 /nfs/dbraw/zinc/81/19/23/816811923.db2.gz GVNTVJBKFUABDO-NSHDSACASA-N -1 1 348.411 -0.636 20 0 EBADMM Cc1n[nH]c(C(=O)NCC=CCNCC(=O)NCC(F)(F)F)c1[O-] ZINC001273957568 816821616 /nfs/dbraw/zinc/82/16/16/816821616.db2.gz PKTLLYFZAXVUMY-NSCUHMNNSA-N -1 1 349.313 -0.022 20 0 EBADMM NC(=O)CN1C[C@@H](NC(=O)c2ncccc2[O-])CC2(CCOCC2)C1 ZINC001089934804 816943031 /nfs/dbraw/zinc/94/30/31/816943031.db2.gz HLKGWVHRBFICEQ-LBPRGKRZSA-N -1 1 348.403 -0.127 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ccccc3)[C@H](O)C2)nc1=O ZINC001090027975 816972676 /nfs/dbraw/zinc/97/26/76/816972676.db2.gz YKGPBOVHGANLCQ-CHWSQXEVSA-N -1 1 331.376 -0.526 20 0 EBADMM Cc1ccccc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001090033435 816976437 /nfs/dbraw/zinc/97/64/37/816976437.db2.gz JCOHKDDFAPANNO-KGLIPLIRSA-N -1 1 345.403 -0.218 20 0 EBADMM Cc1cc(C)c(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)o1 ZINC001090163736 817082905 /nfs/dbraw/zinc/08/29/05/817082905.db2.gz QJLMPWANUHMSMY-NWDGAFQWSA-N -1 1 349.391 -0.317 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)oc1C ZINC001090197676 817111550 /nfs/dbraw/zinc/11/15/50/817111550.db2.gz OEZRRKWVIUETEI-NWDGAFQWSA-N -1 1 349.391 -0.317 20 0 EBADMM Cn1cnnc1CN1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001090216384 817135149 /nfs/dbraw/zinc/13/51/49/817135149.db2.gz MLPSRKPEQNGCNI-ZYHUDNBSSA-N -1 1 332.364 -0.719 20 0 EBADMM Cn1ccc(CN2CC[C@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1 ZINC001090216696 817135255 /nfs/dbraw/zinc/13/52/55/817135255.db2.gz OGPBUFJOFHHWBV-JSGCOSHPSA-N -1 1 331.376 -0.114 20 0 EBADMM Cc1nonc1CN1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001090217299 817136712 /nfs/dbraw/zinc/13/67/12/817136712.db2.gz PRBWFVKVQJLUDJ-GWCFXTLKSA-N -1 1 333.348 -0.156 20 0 EBADMM CCn1nncc1CN1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001090217862 817137281 /nfs/dbraw/zinc/13/72/81/817137281.db2.gz SBYJRHJJYBYZIL-GXTWGEPZSA-N -1 1 346.391 -0.236 20 0 EBADMM O=C(CN1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1)NC1CC1 ZINC001090218612 817138848 /nfs/dbraw/zinc/13/88/48/817138848.db2.gz VTLUTEIFUYIXMW-WCQYABFASA-N -1 1 334.376 -0.769 20 0 EBADMM CN(C)C(=O)CNC(=O)C12CC(NC(=O)c3ccncc3[O-])(C1)C2 ZINC001275218182 817171400 /nfs/dbraw/zinc/17/14/00/817171400.db2.gz SFBFLEQSCOVBMB-UHFFFAOYSA-N -1 1 332.360 -0.356 20 0 EBADMM COCCN(C)C(=O)C1=NO[C@@H]2CN(C(=O)c3cncc([O-])c3)C[C@H]12 ZINC001275622807 817263131 /nfs/dbraw/zinc/26/31/31/817263131.db2.gz GOKPVDPTPMNHQJ-QWHCGFSZSA-N -1 1 348.359 -0.281 20 0 EBADMM O=C(NCCn1ccnn1)[C@]12C[C@H]1CCN2C(=O)c1cncc([O-])c1 ZINC001275622512 817263626 /nfs/dbraw/zinc/26/36/26/817263626.db2.gz BIOHQUCERITSOH-WBMJQRKESA-N -1 1 342.359 -0.200 20 0 EBADMM Cc1cnc(CN(C)[C@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)o1 ZINC001275956789 817324364 /nfs/dbraw/zinc/32/43/64/817324364.db2.gz XRADIYCVIXUJNL-LLVKDONJSA-N -1 1 349.391 -0.140 20 0 EBADMM Cn1[n-]c(CN2CC(CCO)(NC(=O)[C@]3(C)CC=CCC3)C2)nc1=O ZINC001276050878 817348797 /nfs/dbraw/zinc/34/87/97/817348797.db2.gz QZKUMONFPRENSH-MRXNPFEDSA-N -1 1 349.435 -0.092 20 0 EBADMM O=C(NC1(CNCc2n[nH]c(=O)[n-]2)CCCCC1)[C@H]1CCNC1=O ZINC001276219480 817374252 /nfs/dbraw/zinc/37/42/52/817374252.db2.gz WTDSPYBELJAEKP-JTQLQIEISA-N -1 1 336.396 -0.445 20 0 EBADMM COCCC[C@H](C)C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001276957764 817501740 /nfs/dbraw/zinc/50/17/40/817501740.db2.gz YHVGILJCEXNODF-NWDGAFQWSA-N -1 1 325.413 -0.138 20 0 EBADMM C[C@@H]1C[C@H]1CN1CCn2c(nnc2C(=O)N=c2nn[n-]n2C)C[C@H]1C ZINC001277134096 817523268 /nfs/dbraw/zinc/52/32/68/817523268.db2.gz MPNHJRJCROLXNJ-MXWKQRLJSA-N -1 1 345.411 -0.622 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c(C)[nH]1 ZINC001090606460 817580835 /nfs/dbraw/zinc/58/08/35/817580835.db2.gz QXOQTKDHUNAFMD-QWHCGFSZSA-N -1 1 348.407 -0.581 20 0 EBADMM Cc1n[nH]c(C(=O)NCC2CC(N[C@H](C)c3nnnn3C)C2)c1[O-] ZINC001090986238 817834647 /nfs/dbraw/zinc/83/46/47/817834647.db2.gz UOPLBLQPJUNBDR-XNWIYYODSA-N -1 1 334.384 -0.194 20 0 EBADMM Cn1ccc(CNC2CC(CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001091123657 817894972 /nfs/dbraw/zinc/89/49/72/817894972.db2.gz AUOGBSCSXSKTHB-UHFFFAOYSA-N -1 1 333.352 -0.686 20 0 EBADMM COCCOCC(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001278942093 817895545 /nfs/dbraw/zinc/89/55/45/817895545.db2.gz LFLQNXJYHHCXDR-SRVKXCTJSA-N -1 1 339.396 -0.358 20 0 EBADMM COc1c[nH]c(C(=O)NCC2CC(NCc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC001091146256 817907612 /nfs/dbraw/zinc/90/76/12/817907612.db2.gz PXFDGZRVAHMORX-UHFFFAOYSA-N -1 1 348.363 -0.495 20 0 EBADMM Cn1ccnc1CNC1CC(CNC(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001091177265 817922210 /nfs/dbraw/zinc/92/22/10/817922210.db2.gz DENBMPKQEUXLOJ-UHFFFAOYSA-N -1 1 346.391 -0.482 20 0 EBADMM Cn1[n-]c(CN2CCC[C@](CO)(NC(=O)[C@@H]3CC=CCC3)C2)nc1=O ZINC001279462165 817935733 /nfs/dbraw/zinc/93/57/33/817935733.db2.gz OQAOLAANBJIZNO-DYVFJYSZSA-N -1 1 349.435 -0.092 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@H]1C[C@H](Nc2ncccn2)C1 ZINC001091466428 817992341 /nfs/dbraw/zinc/99/23/41/817992341.db2.gz RBRUTFVYCRLZCH-HAQNSBGRSA-N -1 1 344.375 -0.276 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4cnns4)C[C@]3(C)C2)nc1=O ZINC001091513334 818001768 /nfs/dbraw/zinc/00/17/68/818001768.db2.gz NVBCOJYDLMRZKD-OTYXRUKQSA-N -1 1 349.420 -0.446 20 0 EBADMM COCc1cc(NCCNC(=O)CCn2cc[n-]c(=O)c2=O)ncn1 ZINC001093737734 818092046 /nfs/dbraw/zinc/09/20/46/818092046.db2.gz GVGLVVFNKQDCJX-UHFFFAOYSA-N -1 1 348.363 -0.909 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCNc1nc(C)ns1 ZINC001093761249 818097528 /nfs/dbraw/zinc/09/75/28/818097528.db2.gz WVQPLPSRMDJTQI-UHFFFAOYSA-N -1 1 326.338 -0.102 20 0 EBADMM CCc1nsc(NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001093761830 818097713 /nfs/dbraw/zinc/09/77/13/818097713.db2.gz WBZWZFYADRQMPL-UHFFFAOYSA-N -1 1 334.365 -0.327 20 0 EBADMM COc1ccnc(NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001093761458 818097788 /nfs/dbraw/zinc/09/77/88/818097788.db2.gz IEWGDINTQRLLSC-UHFFFAOYSA-N -1 1 330.308 -0.942 20 0 EBADMM CC(=O)N1CCC(C2(NC(=O)CCn3cc[n-]c(=O)c3=O)CC2)CC1 ZINC001281358001 818156911 /nfs/dbraw/zinc/15/69/11/818156911.db2.gz ATUMNEDQMPXOBD-UHFFFAOYSA-N -1 1 348.403 -0.166 20 0 EBADMM Cn1[n-]c(CN2CC(n3cc(CNC(=O)C4CCC4)nn3)C2)nc1=O ZINC001094269186 818191487 /nfs/dbraw/zinc/19/14/87/818191487.db2.gz MSPCSUFOKCKIDS-UHFFFAOYSA-N -1 1 346.395 -0.827 20 0 EBADMM Cc1cnc(C)nc1NCCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001094499205 818277276 /nfs/dbraw/zinc/27/72/76/818277276.db2.gz PCNLKTSOBUJOKL-UHFFFAOYSA-N -1 1 346.391 -0.048 20 0 EBADMM CN(C[C@H]1CCN1C(=O)C1CCC1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001282045980 818345089 /nfs/dbraw/zinc/34/50/89/818345089.db2.gz AVKHSDXJAWYQKZ-CYBMUJFWSA-N -1 1 348.403 -0.214 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CC(=O)N(C3CN(CC4CC4)C3)C2)c1[O-] ZINC001094721607 818369018 /nfs/dbraw/zinc/36/90/18/818369018.db2.gz HACNOMOJHJJWIK-NSHDSACASA-N -1 1 333.392 -0.151 20 0 EBADMM C[C@H](CNC(=O)c1ccc2n[nH]nc2n1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001282397406 818400654 /nfs/dbraw/zinc/40/06/54/818400654.db2.gz FKIWHISBZPQLSJ-MRVPVSSYSA-N -1 1 345.367 -0.975 20 0 EBADMM Cn1[n-]c(CN2CC(CCO)(NC(=O)C[C@@H]3C=CCCC3)C2)nc1=O ZINC001282524491 818446736 /nfs/dbraw/zinc/44/67/36/818446736.db2.gz ZFGOVURESQYXGL-CYBMUJFWSA-N -1 1 349.435 -0.092 20 0 EBADMM C[C@@H](NC(=O)CCOCC(F)F)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001282715744 818477264 /nfs/dbraw/zinc/47/72/64/818477264.db2.gz ZNJSJAIZMAYVNF-SECBINFHSA-N -1 1 347.366 -0.283 20 0 EBADMM O=C(NCCCNc1ncnc2[nH]cnc21)c1n[nH]c(=O)[n-]c1=O ZINC001094887629 818534130 /nfs/dbraw/zinc/53/41/30/818534130.db2.gz CAHRKUPJMYGNPD-UHFFFAOYSA-N -1 1 331.296 -0.867 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2cnns2)C3)nc1=O ZINC001095206320 818583072 /nfs/dbraw/zinc/58/30/72/818583072.db2.gz CUVPKSVHFPWAME-VGMNWLOBSA-N -1 1 335.393 -0.505 20 0 EBADMM Cc1[nH]nc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)c1C ZINC001095244473 818590450 /nfs/dbraw/zinc/59/04/50/818590450.db2.gz DZEYFZUPGFHCHU-WOPDTQHZSA-N -1 1 345.407 -0.016 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@H]2CCCCO2)C3)nc1=O ZINC001095322175 818600838 /nfs/dbraw/zinc/60/08/38/818600838.db2.gz URILRAPFDGBLFJ-MROQNXINSA-N -1 1 335.408 -0.101 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2cccnn2)C3)nc1=O ZINC001096224739 818747217 /nfs/dbraw/zinc/74/72/17/818747217.db2.gz DMEICCLAZYTWGD-USWWRNFRSA-N -1 1 329.364 -0.566 20 0 EBADMM C[C@@H](c1nnnn1C)N(C)CCOCCNC(=O)c1ncccc1[O-] ZINC001283374114 818781122 /nfs/dbraw/zinc/78/11/22/818781122.db2.gz FBUJRZTUCZESFT-NSHDSACASA-N -1 1 349.395 -0.250 20 0 EBADMM Cc1ccc(N2CC[C@@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)nn1 ZINC001096403601 818817449 /nfs/dbraw/zinc/81/74/49/818817449.db2.gz VKVCMVIFEHSOIX-GFCCVEGCSA-N -1 1 344.375 -0.580 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2ccc(=O)[nH]c2)C3)nc1=O ZINC001096476109 818833173 /nfs/dbraw/zinc/83/31/73/818833173.db2.gz YOYCCJQVJVZUEG-WOPDTQHZSA-N -1 1 344.375 -0.256 20 0 EBADMM Cc1nccc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)n1 ZINC001096564923 818853505 /nfs/dbraw/zinc/85/35/05/818853505.db2.gz AZSXZILZZJLNIO-WXHSDQCUSA-N -1 1 343.391 -0.258 20 0 EBADMM CCn1cc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)cn1 ZINC001096895591 818900798 /nfs/dbraw/zinc/90/07/98/818900798.db2.gz SMFKCDBOZQQFAX-UPJWGTAASA-N -1 1 345.407 -0.140 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3CCN2CCNC2=O)c1[O-] ZINC001096961363 818913610 /nfs/dbraw/zinc/91/36/10/818913610.db2.gz XCXQIHLNUYPAHB-UTUOFQBUSA-N -1 1 348.407 -0.216 20 0 EBADMM COc1cc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)on1 ZINC001096984620 818920922 /nfs/dbraw/zinc/92/09/22/818920922.db2.gz DJLYVJPNLARQGT-BBBLOLIVSA-N -1 1 348.363 -0.360 20 0 EBADMM O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2[C@H]1CCC(=O)NC1=O)c1ncccc1[O-] ZINC001096991567 818922293 /nfs/dbraw/zinc/92/22/93/818922293.db2.gz ZXSCUFVUEJNVMF-WYUUTHIRSA-N -1 1 344.371 -0.073 20 0 EBADMM Cc1nc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)co1 ZINC001096994870 818923222 /nfs/dbraw/zinc/92/32/22/818923222.db2.gz KFMUVBIQIURIHX-FOGDFJRCSA-N -1 1 332.364 -0.060 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCN(C(=O)C2=CCCC2)C1 ZINC001283877216 819013987 /nfs/dbraw/zinc/01/39/87/819013987.db2.gz SVYUUDPDGLLDAF-CYBMUJFWSA-N -1 1 346.387 -0.246 20 0 EBADMM Cc1cnc(C)nc1N[C@@H](C)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001097940225 819058995 /nfs/dbraw/zinc/05/89/95/819058995.db2.gz VPAPJGYJORJALJ-NSHDSACASA-N -1 1 346.391 -0.050 20 0 EBADMM O=C(NCC1CC1)C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001284029230 819071849 /nfs/dbraw/zinc/07/18/49/819071849.db2.gz NDIALYIOMSRQCQ-NSHDSACASA-N -1 1 332.360 -0.356 20 0 EBADMM COCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cn1[n-]cc3c(=O)ncnc1-3)C2 ZINC001098057061 819083165 /nfs/dbraw/zinc/08/31/65/819083165.db2.gz LNLAZXCSCMVDLF-RTXFEEFZSA-N -1 1 346.391 -0.561 20 0 EBADMM CC(C)CCC(=O)NC[C@@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001284194042 819129831 /nfs/dbraw/zinc/12/98/31/819129831.db2.gz QLGPEMIHHZEJKM-GFCCVEGCSA-N -1 1 338.408 -0.016 20 0 EBADMM O=C(NCC1CC1)C(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001284380423 819208264 /nfs/dbraw/zinc/20/82/64/819208264.db2.gz HWTNWSPRJBIBRK-GFCCVEGCSA-N -1 1 346.387 -0.108 20 0 EBADMM C[C@H](CCNC(=O)[C@@]1(C)CCNC1=O)NC(=O)c1ncccc1[O-] ZINC001284459068 819244792 /nfs/dbraw/zinc/24/47/92/819244792.db2.gz QKLKQTWVMIRYQL-QLJPJBMISA-N -1 1 334.376 -0.062 20 0 EBADMM NC(=O)CNCc1cn([C@@H]2CCN(C(=O)c3ncccc3[O-])C2)nn1 ZINC001098659988 819301890 /nfs/dbraw/zinc/30/18/90/819301890.db2.gz VJIVVSHAZCIPEF-LLVKDONJSA-N -1 1 345.363 -0.959 20 0 EBADMM CC[C@@H](F)C(=O)N(CC)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001284631938 819310233 /nfs/dbraw/zinc/31/02/33/819310233.db2.gz LPMLFQKQQPEAGJ-LLVKDONJSA-N -1 1 342.371 -0.361 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCC[C@]3(NC(=O)c3cnns3)C2)nc1=O ZINC001098744266 819349949 /nfs/dbraw/zinc/34/99/49/819349949.db2.gz ZYDUZXOXQBJRNN-XPTSAGLGSA-N -1 1 349.420 -0.256 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCC[C@]3(NC(=O)[C@@H]3CCOC3)C2)nc1=O ZINC001098751038 819352647 /nfs/dbraw/zinc/35/26/47/819352647.db2.gz GOGZPUKCMTXYRV-WQGACYEGSA-N -1 1 335.408 -0.384 20 0 EBADMM Cc1nc(CC(=O)N[C@]23CCC[C@H]2CN(Cc2n[nH]c(=O)[n-]2)C3)n[nH]1 ZINC001098830302 819378083 /nfs/dbraw/zinc/37/80/83/819378083.db2.gz BEEPDGDMCZZRBU-BONVTDFDSA-N -1 1 346.395 -0.350 20 0 EBADMM CN(CCOCCNCc1n[nH]c(=O)[n-]1)C(=O)c1csnn1 ZINC001284875500 819384886 /nfs/dbraw/zinc/38/48/86/819384886.db2.gz PWCIYRXHXCWEQT-UHFFFAOYSA-N -1 1 327.370 -0.760 20 0 EBADMM CC[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)CC1CC1 ZINC001285076345 819474110 /nfs/dbraw/zinc/47/41/10/819474110.db2.gz UUEWXRIBPLFNFE-GFCCVEGCSA-N -1 1 336.392 -0.262 20 0 EBADMM CC[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)CC1CC1 ZINC001285076346 819474266 /nfs/dbraw/zinc/47/42/66/819474266.db2.gz UUEWXRIBPLFNFE-LBPRGKRZSA-N -1 1 336.392 -0.262 20 0 EBADMM CCCCCC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001099674513 819560416 /nfs/dbraw/zinc/56/04/16/819560416.db2.gz BOQIVELKOIVECX-NWDGAFQWSA-N -1 1 325.413 -0.260 20 0 EBADMM CCC(CC)C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001099695674 819586187 /nfs/dbraw/zinc/58/61/87/819586187.db2.gz MICVATKAXXXBOX-NWDGAFQWSA-N -1 1 325.413 -0.404 20 0 EBADMM CCC(=CC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O)CC ZINC001099781102 819690148 /nfs/dbraw/zinc/69/01/48/819690148.db2.gz RAXKYZKUUOGONZ-CHWSQXEVSA-N -1 1 337.424 -0.094 20 0 EBADMM Cc1cnoc1C(=O)NC[C@@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285670605 819717730 /nfs/dbraw/zinc/71/77/30/819717730.db2.gz PUKQOKUGDDKIEU-SNVBAGLBSA-N -1 1 349.347 -0.842 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)C[C@H]1C=CCC1 ZINC001285705920 819731041 /nfs/dbraw/zinc/73/10/41/819731041.db2.gz FTTFXQSVERXGOQ-STQMWFEESA-N -1 1 348.403 -0.096 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1(NC(=O)c2ccco2)CC1 ZINC001285943679 819838299 /nfs/dbraw/zinc/83/82/99/819838299.db2.gz GLMIHWBIERPJJW-UHFFFAOYSA-N -1 1 346.343 -0.402 20 0 EBADMM C/C=C(/C)C(=O)N1CC([C@@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001286203695 819947888 /nfs/dbraw/zinc/94/78/88/819947888.db2.gz RDJRFPKSWVIALW-CSXHZRMWSA-N -1 1 348.403 -0.144 20 0 EBADMM C=C/C(C)=C\CC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001099953559 819962584 /nfs/dbraw/zinc/96/25/84/819962584.db2.gz BUXUBYPBRJMUKD-WNIKWDQDSA-N -1 1 335.408 -0.318 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H](CNC(=O)[C@H]1CCNC1=O)C1CC1 ZINC001287514866 820066436 /nfs/dbraw/zinc/06/64/36/820066436.db2.gz RQGVNWFDKVAHAX-RYUDHWBXSA-N -1 1 346.387 -0.110 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)CCC(C)(C)C)[C@@H](O)C2)nc1=O ZINC001100043056 820111976 /nfs/dbraw/zinc/11/19/76/820111976.db2.gz ADFOTKMEIWXTQP-RYUDHWBXSA-N -1 1 339.440 -0.014 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)CCC3(C)CC3)[C@H](O)C2)nc1=O ZINC001100060206 820141850 /nfs/dbraw/zinc/14/18/50/820141850.db2.gz OLJDXLKSNOPHHZ-NWDGAFQWSA-N -1 1 337.424 -0.260 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)CCC3(C)CC3)[C@H](O)C2)nc1=O ZINC001100060208 820142178 /nfs/dbraw/zinc/14/21/78/820142178.db2.gz OLJDXLKSNOPHHZ-VXGBXAGGSA-N -1 1 337.424 -0.260 20 0 EBADMM CCN(CCNC(=O)c1cc(=O)n2[n-]cnc2n1)c1ccnc(C)n1 ZINC001100567247 820476595 /nfs/dbraw/zinc/47/65/95/820476595.db2.gz CTUHGEUJNXMKPO-UHFFFAOYSA-N -1 1 342.363 -0.228 20 0 EBADMM O=C(CCn1cnccc1=O)NCCNC(=O)c1ncccc1[O-] ZINC001292662807 820580489 /nfs/dbraw/zinc/58/04/89/820580489.db2.gz XBDLOLKFBCSTFR-UHFFFAOYSA-N -1 1 331.332 -0.720 20 0 EBADMM NC(=O)[C@H]1CCC[C@H](C(=O)NCCNC(=O)c2ncccc2[O-])C1 ZINC001292665952 820581691 /nfs/dbraw/zinc/58/16/91/820581691.db2.gz DWHIPDHANPCBIU-QWRGUYRKSA-N -1 1 334.376 -0.075 20 0 EBADMM C[C@@H](CS(C)(=O)=O)C(=O)NCC1CC(NCc2n[nH]c(=O)[n-]2)C1 ZINC001101046606 820663918 /nfs/dbraw/zinc/66/39/18/820663918.db2.gz XRNNCGMRBMMLNT-IDKOKCKLSA-N -1 1 345.425 -0.825 20 0 EBADMM O=C(CNC(=O)C1CCC1)NCCCNC(=O)c1ncccc1[O-] ZINC001293263121 820731783 /nfs/dbraw/zinc/73/17/83/820731783.db2.gz WRZUCUHEBRIPCA-UHFFFAOYSA-N -1 1 334.376 -0.060 20 0 EBADMM Cc1cc(C)nc(NCCN(C)C(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001101686965 820878355 /nfs/dbraw/zinc/87/83/55/820878355.db2.gz RUTRCMICUOPYTA-UHFFFAOYSA-N -1 1 346.391 -0.096 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)N(C)CCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001294190501 820894900 /nfs/dbraw/zinc/89/49/00/820894900.db2.gz JRHXUXJXQYPCFO-NEPJUHHUSA-N -1 1 336.392 -0.453 20 0 EBADMM CCN(CCCNC(=O)C(C)C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001294398333 820928663 /nfs/dbraw/zinc/92/86/63/820928663.db2.gz FPTPURXSZKIUGC-UHFFFAOYSA-N -1 1 338.408 -0.063 20 0 EBADMM C[C@H](CNC(=O)c1ccco1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001295402586 821090302 /nfs/dbraw/zinc/09/03/02/821090302.db2.gz XCLZWEIPFSSONI-MRVPVSSYSA-N -1 1 330.304 -0.441 20 0 EBADMM COCCO[C@@H](C)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102424320 821104344 /nfs/dbraw/zinc/10/43/44/821104344.db2.gz IXAKFMQPZFWWKD-GRYCIOLGSA-N -1 1 341.412 -0.254 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)C[C@H]1CCC(=O)N1 ZINC001102461594 821113869 /nfs/dbraw/zinc/11/38/69/821113869.db2.gz IJQQEBCMTZWLQL-GMTAPVOTSA-N -1 1 336.396 -0.637 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)C1CCCC1 ZINC001295568562 821125806 /nfs/dbraw/zinc/12/58/06/821125806.db2.gz HVSRIBPKFHEQQF-LLVKDONJSA-N -1 1 336.392 -0.262 20 0 EBADMM CN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)[C@@H]1CC1(F)F ZINC001296153529 821218394 /nfs/dbraw/zinc/21/83/94/821218394.db2.gz UJFCSOJPHMTPOZ-VIFPVBQESA-N -1 1 344.318 -0.844 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)[C@H]1COC(=O)N1 ZINC001102936499 821233730 /nfs/dbraw/zinc/23/37/30/821233730.db2.gz IPOUACNLZFPHLR-OPRDCNLKSA-N -1 1 338.368 -0.807 20 0 EBADMM CC[C@@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)Nc1ncc(F)cn1 ZINC001103135710 821265091 /nfs/dbraw/zinc/26/50/91/821265091.db2.gz MBROGTYCHQGQJP-VIFPVBQESA-N -1 1 346.326 -0.033 20 0 EBADMM CCOCCCC(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001297005376 821300578 /nfs/dbraw/zinc/30/05/78/821300578.db2.gz VOQXFFWWZOZJGD-UHFFFAOYSA-N -1 1 348.363 -0.825 20 0 EBADMM C[C@@H]1CN(C(=O)CCn2cncn2)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001103895005 821365570 /nfs/dbraw/zinc/36/55/70/821365570.db2.gz CXRWQGUILVYUQM-VXGBXAGGSA-N -1 1 348.411 -0.234 20 0 EBADMM CC[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)c1ccon1 ZINC001297444099 821373854 /nfs/dbraw/zinc/37/38/54/821373854.db2.gz CWYPGZBGWURFMS-SNVBAGLBSA-N -1 1 349.347 -0.761 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2COC(=O)N2)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001104144233 821410826 /nfs/dbraw/zinc/41/08/26/821410826.db2.gz MSVMZSRACQDUAB-BBBLOLIVSA-N -1 1 338.368 -0.807 20 0 EBADMM Cc1nccc(NC[C@H](C)N(C)C(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001104618613 821523210 /nfs/dbraw/zinc/52/32/10/821523210.db2.gz CTQBOGOZVBYWMF-NSHDSACASA-N -1 1 346.391 -0.016 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2CCC(=O)N2)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001104926836 821564974 /nfs/dbraw/zinc/56/49/74/821564974.db2.gz GDZCQZXNLZNJET-MXWKQRLJSA-N -1 1 336.396 -0.637 20 0 EBADMM CC/C(C)=C/C(=O)NC[C@@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001298651099 821581833 /nfs/dbraw/zinc/58/18/33/821581833.db2.gz ZCCNFWDBCONCPL-LMMOQWNQSA-N -1 1 336.392 -0.096 20 0 EBADMM CC(C)C(=O)N[C@]1(C)CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001299502303 821700113 /nfs/dbraw/zinc/70/01/13/821700113.db2.gz CHHMQBKUCHJVKH-MRXNPFEDSA-N -1 1 336.392 -0.310 20 0 EBADMM Cc1ccc2nnc(CNC(=O)CCn3cc[n-]c(=O)c3=O)n2c1 ZINC001299592452 821714529 /nfs/dbraw/zinc/71/45/29/821714529.db2.gz HWYHTNBMEQOGNT-UHFFFAOYSA-N -1 1 328.332 -0.406 20 0 EBADMM COC(=O)[C@@H]1CC(F)(F)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001303103067 821755032 /nfs/dbraw/zinc/75/50/32/821755032.db2.gz CQFAWKXPGQMQDW-QMMMGPOBSA-N -1 1 331.275 -0.664 20 0 EBADMM COc1cnc([C@@H]2CCCN2C(=O)CN2CC(=O)N(C)C2=O)[n-]c1=O ZINC001304660321 821764547 /nfs/dbraw/zinc/76/45/47/821764547.db2.gz HRNAEXUWRAMKRI-VIFPVBQESA-N -1 1 349.347 -0.252 20 0 EBADMM COc1cnc([C@H]2CCCN2C(=O)CN2CC(=O)N(C)C2=O)[n-]c1=O ZINC001304660315 821764593 /nfs/dbraw/zinc/76/45/93/821764593.db2.gz HRNAEXUWRAMKRI-SECBINFHSA-N -1 1 349.347 -0.252 20 0 EBADMM COC(=O)CSCC[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC001309161849 821775833 /nfs/dbraw/zinc/77/58/33/821775833.db2.gz MMWNFZHOZNWRJU-UHFFFAOYSA-N -1 1 330.453 -0.011 20 0 EBADMM Cn1nnc2c1ncnc2NC[C@H](O)CNC(=O)c1ncccc1[O-] ZINC001105802247 821873809 /nfs/dbraw/zinc/87/38/09/821873809.db2.gz JFMHOJDTZWRJHV-QMMMGPOBSA-N -1 1 344.335 -0.938 20 0 EBADMM O=C(NC[C@H](O)CNc1ncnc2nc[nH]c21)c1ncccc1[O-] ZINC001105802775 821874954 /nfs/dbraw/zinc/87/49/54/821874954.db2.gz QEBILUJITQSNIB-MRVPVSSYSA-N -1 1 329.320 -0.392 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)CCCCC(N)=O)C2)nc1=O ZINC001316957143 821935539 /nfs/dbraw/zinc/93/55/39/821935539.db2.gz UWVPSGHURFAWBB-NSHDSACASA-N -1 1 338.412 -0.765 20 0 EBADMM Cn1ccnc1CN1CC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001316990550 821964189 /nfs/dbraw/zinc/96/41/89/821964189.db2.gz PSJJIHSOWNFYEC-LBPRGKRZSA-N -1 1 346.391 -0.949 20 0 EBADMM CN(CCNC(=O)c1cnc2[nH]cnc2c1)Cc1nc(=O)n(C)[n-]1 ZINC001317447247 822111235 /nfs/dbraw/zinc/11/12/35/822111235.db2.gz VDZUIYNBWQHBHK-UHFFFAOYSA-N -1 1 330.352 -0.759 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1ccc2c(c1)nnn2C ZINC001317600100 822172190 /nfs/dbraw/zinc/17/21/90/822172190.db2.gz JZYJUSYIPCLPJT-UHFFFAOYSA-N -1 1 330.352 -0.346 20 0 EBADMM Cc1noc([C@H](C)N2CC(NC(=O)CCn3cc[n-]c(=O)c3=O)C2)n1 ZINC001318070963 822252844 /nfs/dbraw/zinc/25/28/44/822252844.db2.gz HEVLPFOXPZJHFT-VIFPVBQESA-N -1 1 348.363 -0.820 20 0 EBADMM C[C@H](CCNc1nccnc1F)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001106383494 822260655 /nfs/dbraw/zinc/26/06/55/822260655.db2.gz VXXNRIJBRGDDIP-MRVPVSSYSA-N -1 1 346.326 -0.033 20 0 EBADMM O=C(NN1CC(=O)[N-]C1=O)c1nn(-c2ccc(F)cc2)ccc1=O ZINC001318433319 822314642 /nfs/dbraw/zinc/31/46/42/822314642.db2.gz JJPDDUUQAPIINT-UHFFFAOYSA-N -1 1 331.263 -0.432 20 0 EBADMM Cn1[n-]c(CN2CCn3ncc(CNC(=O)C(C)(C)C)c3C2)nc1=O ZINC001128565368 828475731 /nfs/dbraw/zinc/47/57/31/828475731.db2.gz DESJVOLCUKNKLK-UHFFFAOYSA-N -1 1 347.423 -0.017 20 0 EBADMM CN(CC(=O)NCCNCc1n[nH]c(=O)[n-]1)C(=O)c1cccs1 ZINC001128628348 828492135 /nfs/dbraw/zinc/49/21/35/828492135.db2.gz ABNQTSSGUUDGMO-UHFFFAOYSA-N -1 1 338.393 -0.450 20 0 EBADMM CCC(CC)N1C[C@H](C(=O)NCCNCc2n[nH]c(=O)[n-]2)CC1=O ZINC001128679363 828507504 /nfs/dbraw/zinc/50/75/04/828507504.db2.gz GNKIGSYHZCMBJD-SNVBAGLBSA-N -1 1 338.412 -0.247 20 0 EBADMM CCN(CCNc1ncnc2[nH]cnc21)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001107000772 823715821 /nfs/dbraw/zinc/71/58/21/823715821.db2.gz PTIQKVBLQRGMJJ-UHFFFAOYSA-N -1 1 345.323 -0.525 20 0 EBADMM O=C(NC/C=C/CNc1cnc(F)cn1)c1cc(=O)n2[n-]cnc2n1 ZINC001107262551 823830696 /nfs/dbraw/zinc/83/06/96/823830696.db2.gz ARSDAQXITRBBFV-OWOJBTEDSA-N -1 1 344.310 -0.255 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC/C=C/CNc1ncc(F)cn1 ZINC001107257697 823830756 /nfs/dbraw/zinc/83/07/56/823830756.db2.gz OOXKOKSDBLFDQK-OWOJBTEDSA-N -1 1 348.338 -0.360 20 0 EBADMM O=C(NC/C=C\CNc1cnc(F)cn1)c1cc(=O)n2[n-]cnc2n1 ZINC001107262552 823831169 /nfs/dbraw/zinc/83/11/69/823831169.db2.gz ARSDAQXITRBBFV-UPHRSURJSA-N -1 1 344.310 -0.255 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)NCC=CCNc1ncnc2[nH]cnc21 ZINC001107286720 823837301 /nfs/dbraw/zinc/83/73/01/823837301.db2.gz BEUJUSVXXCPDLE-UPHRSURJSA-N -1 1 345.323 -0.908 20 0 EBADMM O=C(Cn1nccn1)NC[C@]12CCC[C@H]1N(Cc1n[nH]c(=O)[n-]1)CC2 ZINC001107422950 823857922 /nfs/dbraw/zinc/85/79/22/823857922.db2.gz RZKOLDYGJSTIRE-IAQYHMDHSA-N -1 1 346.395 -0.337 20 0 EBADMM CCO[C@H](C)C(=O)NC[C@@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107761126 823945750 /nfs/dbraw/zinc/94/57/50/823945750.db2.gz LOEFSKZYVSCLDG-ABAIWWIYSA-N -1 1 341.412 -0.760 20 0 EBADMM CN1CC[C@@H](N2CCO[C@](C)(CNC(=O)c3ncccc3[O-])C2)C1=O ZINC001107889846 824020714 /nfs/dbraw/zinc/02/07/14/824020714.db2.gz FBOCTIPOAFGGOD-SJKOYZFVSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@@]1(CNC(=O)c2cc(=O)n3[n-]cnc3n2)CN(CCF)CCO1 ZINC001108340889 824227452 /nfs/dbraw/zinc/22/74/52/824227452.db2.gz MDLHMWYXFHEWKX-CQSZACIVSA-N -1 1 338.343 -0.792 20 0 EBADMM C[C@H](CN(C)c1ncccn1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001109039079 824474724 /nfs/dbraw/zinc/47/47/24/824474724.db2.gz HECXBHLECNWQAE-SECBINFHSA-N -1 1 328.336 -0.538 20 0 EBADMM O=C(CCc1nc[nH]n1)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[n-]1 ZINC001110430792 824728690 /nfs/dbraw/zinc/72/86/90/824728690.db2.gz OMHKUBYKLHWHNH-BBBLOLIVSA-N -1 1 332.368 -0.517 20 0 EBADMM C[C@]1(NC(=O)CCn2cc[n-]c(=O)c2=O)CCN(c2ncccn2)C1 ZINC001110773661 824788443 /nfs/dbraw/zinc/78/84/43/824788443.db2.gz NTYRJOHBTSQKES-INIZCTEOSA-N -1 1 344.375 -0.498 20 0 EBADMM COCC(=O)NCc1c[nH]nc1[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001130329754 828785277 /nfs/dbraw/zinc/78/52/77/828785277.db2.gz YONXIKHBZXQVCH-JTQLQIEISA-N -1 1 349.395 -0.916 20 0 EBADMM Cn1nncc1C(=O)N1CCN(CCC[N-]C(=O)C(F)(F)F)CC1 ZINC001112815657 825649215 /nfs/dbraw/zinc/64/92/15/825649215.db2.gz YURPSYATHYOQGS-UHFFFAOYSA-N -1 1 348.329 -0.359 20 0 EBADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)[C@@H](C)Nc1nccnc1F ZINC001113118449 825764321 /nfs/dbraw/zinc/76/43/21/825764321.db2.gz HBUBTZSALQQUPD-HTQZYQBOSA-N -1 1 346.326 -0.034 20 0 EBADMM C[C@@H](Nc1ncc(F)cn1)[C@H](C)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001113119034 825764495 /nfs/dbraw/zinc/76/44/95/825764495.db2.gz PWIIUAVLZNXJBE-JGVFFNPUSA-N -1 1 346.326 -0.034 20 0 EBADMM CO[C@@H](CC(C)C)C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001113318588 825822196 /nfs/dbraw/zinc/82/21/96/825822196.db2.gz ZLESLKVWYFGEMD-LBPRGKRZSA-N -1 1 325.413 -0.186 20 0 EBADMM C[C@H](NC(=O)c1n[nH]c(=O)[n-]c1=O)[C@H](C)Nc1ncnc2[nH]cnc21 ZINC001113363763 825845150 /nfs/dbraw/zinc/84/51/50/825845150.db2.gz DHQDELCLFHRQHS-WDSKDSINSA-N -1 1 345.323 -0.432 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)c1nccn2nnnc12 ZINC001113575400 825926126 /nfs/dbraw/zinc/92/61/26/825926126.db2.gz UUBIRDIMSOENKP-SECBINFHSA-N -1 1 328.336 -0.125 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CC[C@@H]2CCCO2)nc1=O ZINC001113891369 826062572 /nfs/dbraw/zinc/06/25/72/826062572.db2.gz QNGHHLDDOQWGEU-UUIJZJDISA-N -1 1 335.408 -0.386 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)COCC2CCCC2)nc1=O ZINC001114419188 826240849 /nfs/dbraw/zinc/24/08/49/826240849.db2.gz XANDIEYBRIYCER-VIKVFOODSA-N -1 1 349.435 -0.138 20 0 EBADMM Cn1ccnc1CCC(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001114604945 826295932 /nfs/dbraw/zinc/29/59/32/826295932.db2.gz JFRXLJIHYVVEPP-HBYGRHMLSA-N -1 1 345.407 -0.979 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CCc2ncccn2)nc1=O ZINC001115005072 826397666 /nfs/dbraw/zinc/39/76/66/826397666.db2.gz DQDPCPJHGZIAFK-HBYGRHMLSA-N -1 1 343.391 -0.922 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CC[C@@H]2CCCOC2)nc1=O ZINC001115046237 826408430 /nfs/dbraw/zinc/40/84/30/826408430.db2.gz IICWFRZXLXDGHE-BCUIYNNISA-N -1 1 349.435 -0.138 20 0 EBADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)CCC(=O)N1CCOCC1 ZINC001180495089 833084720 /nfs/dbraw/zinc/08/47/20/833084720.db2.gz VKGJSUWMEAZIBR-UHFFFAOYSA-N -1 1 325.281 -0.137 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CSCC(=O)N2)c1 ZINC001180554554 833090753 /nfs/dbraw/zinc/09/07/53/833090753.db2.gz ZCYREISOISYNBN-SECBINFHSA-N -1 1 345.402 -0.530 20 0 EBADMM CSc1nc(NC(=O)CN2CCS(=O)(=O)CC2)cc(=O)[n-]1 ZINC001180591870 833093463 /nfs/dbraw/zinc/09/34/63/833093463.db2.gz WJVBYODRZUQFKJ-UHFFFAOYSA-N -1 1 332.407 -0.427 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N[C@@H]3CCC[C@H]3CCO)ccnc1-2 ZINC001117389939 826738433 /nfs/dbraw/zinc/73/84/33/826738433.db2.gz XQGZQSDZZQZJEV-CMPLNLGQSA-N -1 1 331.376 -0.052 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)N2CC[C@H](C)[C@@H](C(=O)[O-])C2)C1 ZINC001118254440 826889573 /nfs/dbraw/zinc/88/95/73/826889573.db2.gz GAJMTWRFANGPCS-AVGNSLFASA-N -1 1 341.408 -0.608 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)C(=O)NC[C@H](C)C(=O)[O-])C1 ZINC001118388492 826921129 /nfs/dbraw/zinc/92/11/29/826921129.db2.gz BEMUHZPFYGNVFD-NWDGAFQWSA-N -1 1 329.397 -0.704 20 0 EBADMM COCCN1CC[C@@H](NC(=O)C(=O)N2CCC[C@@H](C(=O)[O-])C2)C1 ZINC001119392245 827105456 /nfs/dbraw/zinc/10/54/56/827105456.db2.gz MXNPFTAMULYBIY-VXGBXAGGSA-N -1 1 327.381 -0.854 20 0 EBADMM O=C(NC[C@@H](CO)Nc1ccc2nccnc2n1)c1ncccc1[O-] ZINC001121338708 827345798 /nfs/dbraw/zinc/34/57/98/827345798.db2.gz BHPZQRRXOPKJST-JTQLQIEISA-N -1 1 340.343 -0.298 20 0 EBADMM COc1cc(C(=O)NN2CC(=O)[N-]C2=O)cc(NC(C)=O)c1OC ZINC001182303568 833222441 /nfs/dbraw/zinc/22/24/41/833222441.db2.gz VROSYCOUIHQOMS-UHFFFAOYSA-N -1 1 336.304 -0.141 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N[C@@H]2COc3c(F)cc(F)cc3C2)CNCCO1 ZINC001122465873 827599933 /nfs/dbraw/zinc/59/99/33/827599933.db2.gz FQEYLRMLWFSWAO-BONVTDFDSA-N -1 1 342.298 -0.172 20 0 EBADMM NC(=O)[C@@H]1C[C@H]1C(=O)NCC[N-]C(=O)C(F)(F)Br ZINC001182393920 833229745 /nfs/dbraw/zinc/22/97/45/833229745.db2.gz XONHNXMQKKMTBD-RFZPGFLSSA-N -1 1 328.113 -0.672 20 0 EBADMM O=C(Cn1cc(F)c(=O)[n-]c1=O)N1CCO[C@@H](C(F)(F)F)C1 ZINC001183659786 833298944 /nfs/dbraw/zinc/29/89/44/833298944.db2.gz GJBRZRPQAJDHPY-SSDOTTSWSA-N -1 1 325.218 -0.122 20 0 EBADMM NC(=O)c1[nH]ncc1NC(=O)COc1ccc(OCC(=O)[O-])cc1 ZINC001183902136 833311186 /nfs/dbraw/zinc/31/11/86/833311186.db2.gz GSLXTCLYYVTRPD-UHFFFAOYSA-N -1 1 334.288 -0.011 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1c[nH]nc1-c1ccncc1 ZINC001130789681 828939080 /nfs/dbraw/zinc/93/90/80/828939080.db2.gz ZPZBGUYRIPZJSV-UHFFFAOYSA-N -1 1 328.336 -0.185 20 0 EBADMM CCOC(=O)N[C@@H](CC(N)=O)C(=O)Nc1nc(C(=O)OCC)c[n-]1 ZINC001185858851 833372265 /nfs/dbraw/zinc/37/22/65/833372265.db2.gz JWKGWLOLIPPPDK-ZETCQYMHSA-N -1 1 341.324 -0.485 20 0 EBADMM CCOC(=O)N[C@@H](CC(N)=O)C(=O)NCCCC[P@](=O)([O-])O ZINC001185876116 833373622 /nfs/dbraw/zinc/37/36/22/833373622.db2.gz ZDDIWMSSXQERLH-QMMMGPOBSA-N -1 1 339.285 -0.949 20 0 EBADMM CCNC(=O)NC(C)(C)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001186074594 833387941 /nfs/dbraw/zinc/38/79/41/833387941.db2.gz GKTPWDOUYCKKFE-SECBINFHSA-N -1 1 339.400 -0.702 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)CC(C)(C)O)CN1Cc1nc(=O)n(C)[n-]1 ZINC001132008977 829300545 /nfs/dbraw/zinc/30/05/45/829300545.db2.gz DSCQBDSOKMDNBQ-MNOVXSKESA-N -1 1 325.413 -0.262 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCNCc1nnn(C)n1 ZINC001132202913 829378008 /nfs/dbraw/zinc/37/80/08/829378008.db2.gz LKJXGPSKXJEOOY-UHFFFAOYSA-N -1 1 334.384 -0.343 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)CC(=O)N(C)C)CN1Cc1nc(=O)n(C)[n-]1 ZINC001132342811 829418870 /nfs/dbraw/zinc/41/88/70/829418870.db2.gz DWQZODULBWPBEN-QWRGUYRKSA-N -1 1 338.412 -0.944 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)CCCC(N)=O)CN1Cc1nc(=O)n(C)[n-]1 ZINC001132537725 829480277 /nfs/dbraw/zinc/48/02/77/829480277.db2.gz QEFSTXYNYBXHDX-GHMZBOCLSA-N -1 1 338.412 -0.767 20 0 EBADMM CC[C@@H](C)C(=O)NCC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001211147817 837401408 /nfs/dbraw/zinc/40/14/08/837401408.db2.gz VDMPUQJNBWSNMK-MNOVXSKESA-N -1 1 338.412 -0.247 20 0 EBADMM C[C@@H](NCCNC(=O)c1c[n-]n2c1nccc2=O)c1cnccn1 ZINC001134942089 829876264 /nfs/dbraw/zinc/87/62/64/829876264.db2.gz YSVMSVQDOAWJGC-SNVBAGLBSA-N -1 1 327.348 -0.107 20 0 EBADMM CC(C)n1ncnc1CNCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001135386598 829959753 /nfs/dbraw/zinc/95/97/53/829959753.db2.gz BEOOFFBGWPHZND-UHFFFAOYSA-N -1 1 349.395 -0.995 20 0 EBADMM Cc1nc([C@@H](C)NCCNC(=O)CCn2cc[n-]c(=O)c2=O)no1 ZINC001135390462 829960568 /nfs/dbraw/zinc/96/05/68/829960568.db2.gz KNMDPKAOCWLEBN-SECBINFHSA-N -1 1 336.352 -0.915 20 0 EBADMM Cc1nc([C@H](C)NCCNC(=O)CCn2cc[n-]c(=O)c2=O)no1 ZINC001135390463 829960759 /nfs/dbraw/zinc/96/07/59/829960759.db2.gz KNMDPKAOCWLEBN-VIFPVBQESA-N -1 1 336.352 -0.915 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)NCCNCc1cncs1 ZINC001135465301 829971172 /nfs/dbraw/zinc/97/11/72/829971172.db2.gz CVYCMWXOEXSHEE-UHFFFAOYSA-N -1 1 333.377 -0.566 20 0 EBADMM O=C(C[C@H]1NC(=O)c2ccccc21)NCCNCc1n[nH]c(=O)[n-]1 ZINC001135590066 829990647 /nfs/dbraw/zinc/99/06/47/829990647.db2.gz HQBFYRXGCSNLRA-LLVKDONJSA-N -1 1 330.348 -0.409 20 0 EBADMM C[C@H](C[C@H](C)NCc1cnon1)NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001136035809 830069126 /nfs/dbraw/zinc/06/91/26/830069126.db2.gz QYDQPECSQIDAJO-JGVFFNPUSA-N -1 1 325.329 -0.855 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCCN(S(C)(=O)=O)CC1 ZINC001141381121 830111614 /nfs/dbraw/zinc/11/16/14/830111614.db2.gz RQUBJFTYJUBLSX-UHFFFAOYSA-N -1 1 346.434 -0.113 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CCn2cnnn2)c1 ZINC001141758354 830114949 /nfs/dbraw/zinc/11/49/49/830114949.db2.gz IRTGQUACIZIMBS-UHFFFAOYSA-N -1 1 326.338 -0.684 20 0 EBADMM COC([O-])=NC(=S)N(C(=O)Cn1cnnn1)c1ccccc1N ZINC001142139174 830123428 /nfs/dbraw/zinc/12/34/28/830123428.db2.gz KQFFUVVNUGGVES-UHFFFAOYSA-N -1 1 335.349 -0.071 20 0 EBADMM COC(=O)[N-]C(=S)N(C(=O)Cn1cnnn1)c1ccccc1N ZINC001142139174 830123431 /nfs/dbraw/zinc/12/34/31/830123431.db2.gz KQFFUVVNUGGVES-UHFFFAOYSA-N -1 1 335.349 -0.071 20 0 EBADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)CCC(=O)NCc1cccnc1 ZINC001142324805 830127507 /nfs/dbraw/zinc/12/75/07/830127507.db2.gz SAZSDOOZEIOKIZ-UHFFFAOYSA-N -1 1 332.320 -0.100 20 0 EBADMM CCCS(=O)(=O)CC(=O)N[C@H](C)C[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001146430766 830198711 /nfs/dbraw/zinc/19/87/11/830198711.db2.gz KZEWNLXHSOQOPI-VHSXEESVSA-N -1 1 347.441 -0.292 20 0 EBADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CN2CC(=O)N(C)C2=O)n[n-]1 ZINC001146867324 830234173 /nfs/dbraw/zinc/23/41/73/830234173.db2.gz YXRWNWZTBBMSRL-SSDOTTSWSA-N -1 1 338.324 -0.947 20 0 EBADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CN2CC(=O)N(C)C2=O)[n-]1 ZINC001146867324 830234178 /nfs/dbraw/zinc/23/41/78/830234178.db2.gz YXRWNWZTBBMSRL-SSDOTTSWSA-N -1 1 338.324 -0.947 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CN2CC(=O)N(C)C2=O)n1 ZINC001146867324 830234182 /nfs/dbraw/zinc/23/41/82/830234182.db2.gz YXRWNWZTBBMSRL-SSDOTTSWSA-N -1 1 338.324 -0.947 20 0 EBADMM NC(=O)NC(=O)CN1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001147387420 830332663 /nfs/dbraw/zinc/33/26/63/830332663.db2.gz XHTHJDMLXLUXMI-UHFFFAOYSA-N -1 1 333.348 -0.870 20 0 EBADMM CCO[C@@H](C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2)C1CC1 ZINC001147583977 830367498 /nfs/dbraw/zinc/36/74/98/830367498.db2.gz ZQLKCRFSCUAETH-CQSZACIVSA-N -1 1 349.435 -0.042 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1ccc(-n2ccnc2)cn1 ZINC001147615708 830372184 /nfs/dbraw/zinc/37/21/84/830372184.db2.gz ZEYRVIKEUKZQSQ-UHFFFAOYSA-N -1 1 328.336 -0.389 20 0 EBADMM O=C(CNCCNC(=O)c1ccc2oc(=O)nc-2[n-]1)Nc1ccon1 ZINC001147712755 830401276 /nfs/dbraw/zinc/40/12/76/830401276.db2.gz XIYIYYBLTJFLPW-UHFFFAOYSA-N -1 1 346.303 -0.126 20 0 EBADMM CC(C)CC(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149106046 830590715 /nfs/dbraw/zinc/59/07/15/830590715.db2.gz XPGUUIICNDNEHX-LBPRGKRZSA-N -1 1 325.413 -0.138 20 0 EBADMM CS[C@H](C)C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149261703 830628017 /nfs/dbraw/zinc/62/80/17/830628017.db2.gz AUZNVETZCLLOBT-MNOVXSKESA-N -1 1 343.453 -0.433 20 0 EBADMM Cn1cnnc1COCC(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001149500903 830682163 /nfs/dbraw/zinc/68/21/63/830682163.db2.gz QOUODJKPTMEMQJ-ZDUSSCGKSA-N -1 1 328.336 -0.249 20 0 EBADMM CC(C)C(=O)N1CCCC[C@H]1C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001152186217 831139860 /nfs/dbraw/zinc/13/98/60/831139860.db2.gz GJUONBBIARNXPE-NSHDSACASA-N -1 1 338.412 -0.247 20 0 EBADMM Cn1nnc2c(C(=O)[N-]c3nc(Cl)nc4[nH]cnc43)ncn2c1=O ZINC001152746182 831186243 /nfs/dbraw/zinc/18/62/43/831186243.db2.gz GWDVBNUPZIPWSR-UHFFFAOYSA-N -1 1 346.698 -0.605 20 0 EBADMM COCCCCC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1OC ZINC001212005084 837517161 /nfs/dbraw/zinc/51/71/61/837517161.db2.gz YZQRHYCEKDWPSV-VXGBXAGGSA-N -1 1 341.412 -0.760 20 0 EBADMM Cn1cc(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c(=O)c2cccn21 ZINC001154653674 831356789 /nfs/dbraw/zinc/35/67/89/831356789.db2.gz DEPDALMVRDVAOC-UHFFFAOYSA-N -1 1 341.287 -0.081 20 0 EBADMM C[C@H](NC(=O)CCc1[nH]c(O)nc1O)c1n[n-]c(C(F)(F)F)n1 ZINC001157235123 831546414 /nfs/dbraw/zinc/54/64/14/831546414.db2.gz UVWFXAPQAMROMY-WHFBIAKZSA-N -1 1 334.258 -0.011 20 0 EBADMM C[C@H](NC(=O)CCc1[nH]c(O)nc1O)c1nnc(C(F)(F)F)[n-]1 ZINC001157235123 831546416 /nfs/dbraw/zinc/54/64/16/831546416.db2.gz UVWFXAPQAMROMY-WHFBIAKZSA-N -1 1 334.258 -0.011 20 0 EBADMM CN(CC(=O)NC(N)=O)C[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001157836540 831596346 /nfs/dbraw/zinc/59/63/46/831596346.db2.gz WVRRBHZIVVUKLU-LLVKDONJSA-N -1 1 349.391 -0.091 20 0 EBADMM Cn1[n-]c(CN2CC=C(CCNC(=O)c3cnccn3)CC2)nc1=O ZINC001159161317 831713706 /nfs/dbraw/zinc/71/37/06/831713706.db2.gz IJHVYLJZFLFOAC-UHFFFAOYSA-N -1 1 343.391 -0.149 20 0 EBADMM CO[C@@H](C)CCC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1OC ZINC001212159006 837561642 /nfs/dbraw/zinc/56/16/42/837561642.db2.gz MXYBWOKOVSCNRQ-QJPTWQEYSA-N -1 1 341.412 -0.761 20 0 EBADMM C[C@@H]1C(=O)Nc2cnc(Nc3c(O)[nH]c(=O)[n-]c3=S)nc2N1C ZINC001160849826 831895266 /nfs/dbraw/zinc/89/52/66/831895266.db2.gz UDNQZBFLUPDDAN-XINAWCOVSA-N -1 1 335.349 -0.799 20 0 EBADMM C[C@H]1C(=O)Nc2cnc(Nc3c(O)[nH]c(=O)[n-]c3=S)nc2N1C ZINC001160849824 831895765 /nfs/dbraw/zinc/89/57/65/831895765.db2.gz UDNQZBFLUPDDAN-NJGYIYPDSA-N -1 1 335.349 -0.799 20 0 EBADMM O=C(CCc1n[nH]c(=O)[n-]c1=O)NCCCNCc1nncs1 ZINC001161715646 831986769 /nfs/dbraw/zinc/98/67/69/831986769.db2.gz QMMFOBRKUBADSS-UHFFFAOYSA-N -1 1 339.381 -0.637 20 0 EBADMM C[C@H](NCCCNC(=O)c1n[nH]c(=O)[n-]c1=O)c1nnnn1C1CC1 ZINC001161864045 831998601 /nfs/dbraw/zinc/99/86/01/831998601.db2.gz VSJJQPGYGVPWRN-ZETCQYMHSA-N -1 1 349.355 -0.925 20 0 EBADMM Cc1ccnc(CNCCCNC(=O)c2cnc([O-])n(C)c2=O)n1 ZINC001163781257 832137789 /nfs/dbraw/zinc/13/77/89/832137789.db2.gz BNOGSNWHKBOFFL-UHFFFAOYSA-N -1 1 332.364 -0.506 20 0 EBADMM Cc1nnc(CNCCCNC(=O)c2cnc([O-])n(C)c2=O)s1 ZINC001163781584 832138526 /nfs/dbraw/zinc/13/85/26/832138526.db2.gz LTKRERGVJZXYKT-UHFFFAOYSA-N -1 1 338.393 -0.444 20 0 EBADMM CCn1c(C)nnc1CNCCCNC(=O)c1cnc([O-])n(C)c1=O ZINC001163782062 832138658 /nfs/dbraw/zinc/13/86/58/832138658.db2.gz YTMZOHBWOFNVNT-UHFFFAOYSA-N -1 1 349.395 -0.685 20 0 EBADMM COc1cc(CNCCCNC(=O)c2cnc([O-])n(C)c2=O)on1 ZINC001163781905 832138806 /nfs/dbraw/zinc/13/88/06/832138806.db2.gz VWIRPQBBFCHMFF-UHFFFAOYSA-N -1 1 337.336 -0.608 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-]CCCn2cccnc2=O)c1Cl ZINC001212341131 837606014 /nfs/dbraw/zinc/60/60/14/837606014.db2.gz LCQRALYZLVDMAA-UHFFFAOYSA-N -1 1 331.785 -0.001 20 0 EBADMM CNC(=O)CCCC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001164191672 832175971 /nfs/dbraw/zinc/17/59/71/832175971.db2.gz FJFJTXPRGIUCJJ-UHFFFAOYSA-N -1 1 338.412 -0.197 20 0 EBADMM O=C(NCCc1c(F)cc([O-])cc1F)C(=O)NC[C@H]1COCCO1 ZINC001351123242 832216934 /nfs/dbraw/zinc/21/69/34/832216934.db2.gz BXCJIXPPFGVKQF-JTQLQIEISA-N -1 1 344.314 -0.139 20 0 EBADMM C[C@@H]1OCCO[C@@H]1C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001165589729 832228453 /nfs/dbraw/zinc/22/84/53/832228453.db2.gz ZRTFUJCLRRNSCI-JQWIXIFHSA-N -1 1 339.396 -0.310 20 0 EBADMM O=C(NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)c1cn[nH]c(=O)c1 ZINC001165628796 832231457 /nfs/dbraw/zinc/23/14/57/832231457.db2.gz YZERSACZMFOZBV-UHFFFAOYSA-N -1 1 333.352 -0.304 20 0 EBADMM O=c1nc(N[C@@H]2CCN(c3ccc4nncn4n3)C2)nc2[nH][n-]cc1-2 ZINC001351365741 832231479 /nfs/dbraw/zinc/23/14/79/832231479.db2.gz XPXGDWLHDSTIBE-MRVPVSSYSA-N -1 1 338.335 -0.440 20 0 EBADMM O=C(NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)C(=O)NCC(F)F ZINC001165904913 832242130 /nfs/dbraw/zinc/24/21/30/832242130.db2.gz FUVJWJOKUDDOCT-UHFFFAOYSA-N -1 1 346.338 -0.732 20 0 EBADMM Cn1cc(OCC(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)cn1 ZINC001166858161 832294918 /nfs/dbraw/zinc/29/49/18/832294918.db2.gz ZDYZOIKFBSDQCC-UHFFFAOYSA-N -1 1 349.395 -0.301 20 0 EBADMM C[C@@H](NCCCNC(=O)c1c[n-]n2c1nccc2=O)c1nncn1C ZINC001167784490 832373096 /nfs/dbraw/zinc/37/30/96/832373096.db2.gz XZHXGSVBMWMULE-SNVBAGLBSA-N -1 1 344.379 -0.378 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H](CO)[C@H](O)C1CCCCC1 ZINC001169415726 832461496 /nfs/dbraw/zinc/46/14/96/832461496.db2.gz PHFNIBZPOIXIFG-GXTWGEPZSA-N -1 1 339.392 -0.655 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)CC(C)(C)C ZINC001354557686 832501700 /nfs/dbraw/zinc/50/17/00/832501700.db2.gz SGAFTVROVGQBOE-LLVKDONJSA-N -1 1 338.408 -0.016 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)[C@H]1CC12CCC2 ZINC001354555759 832501934 /nfs/dbraw/zinc/50/19/34/832501934.db2.gz KHNZCHNKKLMMTA-NWDGAFQWSA-N -1 1 348.403 -0.262 20 0 EBADMM Cn1[n-]c(C[NH2+]CCCNC(=O)c2ccc3oc(=O)nc-3[n-]2)nc1=O ZINC001171080339 832543209 /nfs/dbraw/zinc/54/32/09/832543209.db2.gz URCKZOMKCUHXJI-UHFFFAOYSA-N -1 1 347.335 -0.740 20 0 EBADMM C[C@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CCCNC1=O ZINC001355121268 832548414 /nfs/dbraw/zinc/54/84/14/832548414.db2.gz GOENAZYKYWAFKJ-GHMZBOCLSA-N -1 1 334.376 -0.062 20 0 EBADMM C[C@H](CCNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)CC1CC1 ZINC001355215832 832552680 /nfs/dbraw/zinc/55/26/80/832552680.db2.gz MDQPDDXQCLFIAP-LLVKDONJSA-N -1 1 336.392 -0.262 20 0 EBADMM CCOC(=O)c1snc(C(N)=O)c1NC(=O)Cc1nn[n-]n1 ZINC001176838495 832693255 /nfs/dbraw/zinc/69/32/55/832693255.db2.gz WTPCLQAIZLUMIH-UHFFFAOYSA-N -1 1 325.310 -0.887 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC[C@@]2(CCN(c3cncnc3)C2=O)C1 ZINC001176840350 832694317 /nfs/dbraw/zinc/69/43/17/832694317.db2.gz IXFQBUKWKBFQOU-OAHLLOKOSA-N -1 1 342.363 -0.422 20 0 EBADMM CCN(CC)c1ccnc([C@H]2COCCN2C(=O)Cc2nn[n-]n2)n1 ZINC001176840019 832694552 /nfs/dbraw/zinc/69/45/52/832694552.db2.gz FXYJTMWSCMSUMQ-LLVKDONJSA-N -1 1 346.395 -0.022 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCCn2cnc(Cn3cccn3)c2C1 ZINC001176841381 832694724 /nfs/dbraw/zinc/69/47/24/832694724.db2.gz XXNMBXXCDUOIKL-UHFFFAOYSA-N -1 1 327.352 -0.384 20 0 EBADMM CC(C)(C)OC(=O)N1CCCO[C@H](C(=O)NCc2nn[n-]n2)C1 ZINC001178710644 832903799 /nfs/dbraw/zinc/90/37/99/832903799.db2.gz JQPRADOMOAIBRP-VIFPVBQESA-N -1 1 326.357 -0.158 20 0 EBADMM CS(=O)(=O)N1CCC(C(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)CC1 ZINC001178761736 832910229 /nfs/dbraw/zinc/91/02/29/832910229.db2.gz MVFFVMSHMBIWHM-UHFFFAOYSA-N -1 1 331.354 -0.920 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CCC(C)(F)F ZINC001212479048 837695978 /nfs/dbraw/zinc/69/59/78/837695978.db2.gz DJWIXZVEJBLMJU-NXEZZACHSA-N -1 1 347.366 -0.141 20 0 EBADMM O=C(CCNC(=O)c1ccco1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001187677559 833955758 /nfs/dbraw/zinc/95/57/58/833955758.db2.gz QRRAFXQQJFGUCZ-SNVBAGLBSA-N -1 1 348.363 -0.386 20 0 EBADMM COC(=O)CCS(=O)(=O)[N-][C@@H](CC(N)=O)C(=O)OC(C)(C)C ZINC001188403484 834043682 /nfs/dbraw/zinc/04/36/82/834043682.db2.gz WRSNRWLDVZKLJW-QMMMGPOBSA-N -1 1 338.382 -0.945 20 0 EBADMM Cc1n[nH]c(C(=O)NCC[N-]C(=O)C(F)(F)Br)n1 ZINC001189875630 834204925 /nfs/dbraw/zinc/20/49/25/834204925.db2.gz GKDIGUFLKMUYCF-UHFFFAOYSA-N -1 1 326.101 -0.053 20 0 EBADMM O=C(Nc1[n-]c(=O)nc2nc[nH]c21)c1cncc(N2CCOCC2)n1 ZINC001190775427 834339187 /nfs/dbraw/zinc/33/91/87/834339187.db2.gz LBJCDNJOXSZENY-UHFFFAOYSA-N -1 1 342.319 -0.063 20 0 EBADMM COC(=O)[C@H](CC(C)C)NC(=O)Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001190833992 834350062 /nfs/dbraw/zinc/35/00/62/834350062.db2.gz FHKCKTZYLKOIDQ-BQBZGAKWSA-N -1 1 330.366 -0.591 20 0 EBADMM Cc1c[nH]c(C(=O)NCCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])n1 ZINC001191109280 834413320 /nfs/dbraw/zinc/41/33/20/834413320.db2.gz KYPJTWDUBBUOGC-JTQLQIEISA-N -1 1 334.336 -0.627 20 0 EBADMM CCC[C@H](OC)C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001191697590 834532965 /nfs/dbraw/zinc/53/29/65/834532965.db2.gz WEVPJOWWRHWXCO-NEPJUHHUSA-N -1 1 325.413 -0.044 20 0 EBADMM CCN(C(=O)CN1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC001192419872 834665427 /nfs/dbraw/zinc/66/54/27/834665427.db2.gz JHOXQBIOLOOCHU-TZMCWYRMSA-N -1 1 348.403 -0.427 20 0 EBADMM O=C(N[C@@H]1CN(CCNC(=O)C2CC2)C[C@H]1O)c1ncccc1[O-] ZINC001192420110 834665472 /nfs/dbraw/zinc/66/54/72/834665472.db2.gz KZWBCOAPXOBCPU-DGCLKSJQSA-N -1 1 334.376 -0.912 20 0 EBADMM CC[C@@H](NC(C)=O)C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001192464917 834672465 /nfs/dbraw/zinc/67/24/65/834672465.db2.gz WYJVCJJHLLYYPS-NWDGAFQWSA-N -1 1 338.412 -0.944 20 0 EBADMM CNC(=O)[C@H](C)N(C)[C@H]1CCN(C(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001192713981 834721244 /nfs/dbraw/zinc/72/12/44/834721244.db2.gz YBRXPBLOTNKVNM-QWRGUYRKSA-N -1 1 346.391 -0.697 20 0 EBADMM Cc1oc(S(=O)(=O)N2CCCC2)cc1C(=O)NCc1nn[n-]n1 ZINC001193596203 834913642 /nfs/dbraw/zinc/91/36/42/834913642.db2.gz DHSYBKXKNIHQFK-UHFFFAOYSA-N -1 1 340.365 -0.184 20 0 EBADMM C[C@@H](CC(F)F)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001194789945 835151150 /nfs/dbraw/zinc/15/11/50/835151150.db2.gz SWXMYEJZOSACHU-DJLDLDEBSA-N -1 1 333.339 -0.939 20 0 EBADMM Cc1coc(C(=O)NCCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])n1 ZINC001195763268 835286191 /nfs/dbraw/zinc/28/61/91/835286191.db2.gz CSGYRSLQKNHRIL-JTQLQIEISA-N -1 1 335.320 -0.362 20 0 EBADMM CCOC(=O)CS(=O)(=O)[N-][C@@H](c1ccccc1)[C@@H](O)C(=O)OC ZINC001195982858 835323305 /nfs/dbraw/zinc/32/33/05/835323305.db2.gz AIGIYJLGDOJUPB-QWHCGFSZSA-N -1 1 345.373 -0.256 20 0 EBADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC001196009268 835324598 /nfs/dbraw/zinc/32/45/98/835324598.db2.gz XEYCMJHSWWTQII-JTQLQIEISA-N -1 1 338.389 -0.066 20 0 EBADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1[C@@H]2CC[C@H]1CC1(C2)NC(=O)NC1=O ZINC001196016349 835327095 /nfs/dbraw/zinc/32/70/95/835327095.db2.gz TZBRASPJTWVKTQ-IOETWPSPSA-N -1 1 349.372 -0.184 20 0 EBADMM CS(=O)(=O)N1CCC(CNC(=O)c2c[nH]c(=S)[n-]c2=O)CC1 ZINC001196026855 835329987 /nfs/dbraw/zinc/32/99/87/835329987.db2.gz JYLJVSCRZVANNN-UHFFFAOYSA-N -1 1 346.434 -0.128 20 0 EBADMM COCC1(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)CCC1 ZINC001196565372 835431664 /nfs/dbraw/zinc/43/16/64/835431664.db2.gz SYWIXSADTRTWDU-UHFFFAOYSA-N -1 1 337.424 -0.041 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)COCC(F)F)CC2)nc1=O ZINC001196627620 835439654 /nfs/dbraw/zinc/43/96/54/835439654.db2.gz SSMWHSSBRSNVPC-UHFFFAOYSA-N -1 1 333.339 -0.576 20 0 EBADMM CC[C@H](C)CCC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001197138555 835510873 /nfs/dbraw/zinc/51/08/73/835510873.db2.gz DAYWRARVWCEWLG-QJPTWQEYSA-N -1 1 325.413 -0.404 20 0 EBADMM CCCCCCC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001198312945 835667876 /nfs/dbraw/zinc/66/78/76/835667876.db2.gz CKKCNVFGHGDTTL-VXGBXAGGSA-N -1 1 325.413 -0.260 20 0 EBADMM COCC(=O)NC[C@@H](NCc1nc(=O)n(C)[n-]1)c1ccccc1OC ZINC001198433129 835684280 /nfs/dbraw/zinc/68/42/80/835684280.db2.gz FXLMIVCHUCGMJY-GFCCVEGCSA-N -1 1 349.391 -0.290 20 0 EBADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1cc(N2CCCNC2=O)ncn1 ZINC001199421966 835808619 /nfs/dbraw/zinc/80/86/19/835808619.db2.gz IUWDURZEBFYJTI-UHFFFAOYSA-N -1 1 346.307 -0.568 20 0 EBADMM Cn1cnc2cc(C(=O)Nc3c(N)[nH]c(=O)[n-]c3=O)ccc2c1=O ZINC001201328920 836129471 /nfs/dbraw/zinc/12/94/71/836129471.db2.gz BRFBHDRNHPRXLX-UHFFFAOYSA-N -1 1 328.288 -0.031 20 0 EBADMM COC(=O)CCc1csc(NC(=O)NN2CC(=O)[N-]C2=O)n1 ZINC001201954150 836222463 /nfs/dbraw/zinc/22/24/63/836222463.db2.gz RLOYQOPUGJFYQU-UHFFFAOYSA-N -1 1 327.322 -0.163 20 0 EBADMM CCC(=O)NCC(=O)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202624493 836324716 /nfs/dbraw/zinc/32/47/16/836324716.db2.gz CYVFYBQUKGBEEU-NXEZZACHSA-N -1 1 336.396 -0.447 20 0 EBADMM CCC(=O)NCC(=O)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202624495 836325300 /nfs/dbraw/zinc/32/53/00/836325300.db2.gz CYVFYBQUKGBEEU-VHSXEESVSA-N -1 1 336.396 -0.447 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)COC(C)(C)C ZINC001206889825 836693821 /nfs/dbraw/zinc/69/38/21/836693821.db2.gz LCYWKPZTUVLGEK-GHMZBOCLSA-N -1 1 325.413 -0.140 20 0 EBADMM O=C(CCCn1ccnn1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001208325561 836996182 /nfs/dbraw/zinc/99/61/82/836996182.db2.gz RVSJEQORMFHNTE-NSHDSACASA-N -1 1 334.384 -0.337 20 0 EBADMM COC[C@]1(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CCOC1 ZINC001208795403 837110351 /nfs/dbraw/zinc/11/03/51/837110351.db2.gz GTHYNLBUUOYKFG-IAQYHMDHSA-N -1 1 339.396 -0.356 20 0 EBADMM COCCO[C@H](C)C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001209939489 837218355 /nfs/dbraw/zinc/21/83/55/837218355.db2.gz FLNBJPIKUYFACU-MNOVXSKESA-N -1 1 327.385 -0.358 20 0 EBADMM COCCn1cc(S(=O)(=O)NN=c2nc(OC)cc[n-]2)cn1 ZINC001319366942 838121036 /nfs/dbraw/zinc/12/10/36/838121036.db2.gz QMLIEHWQEJAKLQ-UHFFFAOYSA-N -1 1 328.354 -0.367 20 0 EBADMM Cn1c2cccc(C(=O)N3CCO[C@@H](c4nn[n-]n4)C3)c2oc1=O ZINC001319605538 838157847 /nfs/dbraw/zinc/15/78/47/838157847.db2.gz BCHHFGNTJGOSGJ-SNVBAGLBSA-N -1 1 330.304 -0.142 20 0 EBADMM Cc1[nH]c2ccnn2c(=O)c1CC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC001321048766 838892883 /nfs/dbraw/zinc/89/28/83/838892883.db2.gz NVNWYGUSDHJSPI-QMMMGPOBSA-N -1 1 330.352 -0.351 20 0 EBADMM Cn1cncc1CNC/C=C/CNC(=O)CCc1n[nH]c(=O)[n-]c1=O ZINC001321137127 838919381 /nfs/dbraw/zinc/91/93/81/838919381.db2.gz RTNSUENKAOXAAM-NSCUHMNNSA-N -1 1 347.379 -0.589 20 0 EBADMM CC(C)C[C@]1(C(=O)N[C@@H](C)CNCc2n[nH]c(=O)[n-]2)CCNC1=O ZINC001321878538 839093702 /nfs/dbraw/zinc/09/37/02/839093702.db2.gz PGZFHPLHSURHLX-ZUZCIYMTSA-N -1 1 338.412 -0.343 20 0 EBADMM C[C@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)c1ccnc2[nH]cnc21 ZINC001322169777 839147890 /nfs/dbraw/zinc/14/78/90/839147890.db2.gz SPQIGSWYQHYUSG-SECBINFHSA-N -1 1 344.379 -0.370 20 0 EBADMM C[C@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)c1cn2cccnc2n1 ZINC001322195794 839152711 /nfs/dbraw/zinc/15/27/11/839152711.db2.gz JUMVCNYJBDRHOX-SNVBAGLBSA-N -1 1 344.379 -0.599 20 0 EBADMM O=C(N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)c1cn2cccnc2n1 ZINC001323033574 839329513 /nfs/dbraw/zinc/32/95/13/839329513.db2.gz NVLMWDVKXVVVFG-JTQLQIEISA-N -1 1 342.363 -0.149 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N[C@@H]3CCc4c[nH]nc4C3)ccnc1-2 ZINC001323230274 839381275 /nfs/dbraw/zinc/38/12/75/839381275.db2.gz VHZFVNYWECZFBM-SNVBAGLBSA-N -1 1 339.359 -0.323 20 0 EBADMM COCCCO[N-]C(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001323262894 839394534 /nfs/dbraw/zinc/39/45/34/839394534.db2.gz CEABNSIDQSJQQZ-GHMZBOCLSA-N -1 1 334.394 -0.894 20 0 EBADMM CCOCCN1CC[C@](C)(NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001324656542 839696961 /nfs/dbraw/zinc/69/69/61/839696961.db2.gz MZNLCCQSLJBILL-INIZCTEOSA-N -1 1 348.407 -0.312 20 0 EBADMM Cn1[n-]c(CN2CCC[C@](CO)(NC(=O)C[C@H]3C=CCC3)C2)nc1=O ZINC001325817643 839944139 /nfs/dbraw/zinc/94/41/39/839944139.db2.gz JMWHZQGLQFNHLI-GUYCJALGSA-N -1 1 349.435 -0.092 20 0 EBADMM Cn1[n-]c(CN2CCC[C@](CO)(NC(=O)C[C@@H]3C=CCC3)C2)nc1=O ZINC001325817641 839944258 /nfs/dbraw/zinc/94/42/58/839944258.db2.gz JMWHZQGLQFNHLI-DYVFJYSZSA-N -1 1 349.435 -0.092 20 0 EBADMM O=C(c1ccc(Cl)cn1)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001326134851 840044158 /nfs/dbraw/zinc/04/41/58/840044158.db2.gz KHXRDFVMTXHRDG-UHFFFAOYSA-N -1 1 340.771 -0.217 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCOCC(OC)OC)co1 ZINC001326167679 840056380 /nfs/dbraw/zinc/05/63/80/840056380.db2.gz SUOBMODKFYDIBM-UHFFFAOYSA-N -1 1 336.366 -0.447 20 0 EBADMM CCO[N-]C(=O)CNC(=O)C(=O)N[C@H]1CCN(Cc2ccccc2)C1 ZINC001326617399 840152977 /nfs/dbraw/zinc/15/29/77/840152977.db2.gz QNMPGCKYCBKITJ-AWEZNQCLSA-N -1 1 348.403 -0.439 20 0 EBADMM CCO[N-]C(=O)CNC(=O)C(=O)NC[C@@H](c1cccs1)N(C)C ZINC001327477087 840431066 /nfs/dbraw/zinc/43/10/66/840431066.db2.gz IOPPGWJXCYCUAG-JTQLQIEISA-N -1 1 342.421 -0.349 20 0 EBADMM COCCCOCCC(=O)N1CCN([C@]2(C(=O)[O-])CCOC2)CC1 ZINC001329215807 840875802 /nfs/dbraw/zinc/87/58/02/840875802.db2.gz CZNJEUFMMLAYAL-MRXNPFEDSA-N -1 1 344.408 -0.183 20 0 EBADMM Cc1nc(CN(C)[C@@H](C)CNC(=O)c2c[n-]n3c2nccc3=O)n[nH]1 ZINC001329572693 840955791 /nfs/dbraw/zinc/95/57/91/840955791.db2.gz KXNGSUFJIAYDID-VIFPVBQESA-N -1 1 344.379 -0.301 20 0 EBADMM CCC(=CC(=O)NC1(CCO)CN(Cc2nc(=O)n(C)[n-]2)C1)CC ZINC001329652600 840976321 /nfs/dbraw/zinc/97/63/21/840976321.db2.gz VSBSNVIFEMIDKU-UHFFFAOYSA-N -1 1 337.424 -0.092 20 0 EBADMM CC(=O)NCCN[C@@H]1CC(=O)N(Cc2ccc(C(=O)[O-])cc2)C1=O ZINC001329789371 841020612 /nfs/dbraw/zinc/02/06/12/841020612.db2.gz YVUBKKFTBVIQKL-CYBMUJFWSA-N -1 1 333.344 -0.262 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC(N2CN=NC2=O)CC1 ZINC001329905296 841058412 /nfs/dbraw/zinc/05/84/12/841058412.db2.gz PWWKXUQZOOKYFY-UHFFFAOYSA-N -1 1 334.336 -0.913 20 0 EBADMM Cn1[n-]c(CN[C@H](CO)CNC(=O)c2cc3ccccn3c2)nc1=O ZINC001331804596 841505084 /nfs/dbraw/zinc/50/50/84/841505084.db2.gz NYZNUDKHSMKCOJ-LBPRGKRZSA-N -1 1 344.375 -0.758 20 0 EBADMM CC(C)[C@H](C(=O)[O-])C(=O)N[C@@H]1CC[N@@H+](CCN2CCOCC2)C1 ZINC001332113912 841576797 /nfs/dbraw/zinc/57/67/97/841576797.db2.gz NWCFMMYLEIPOOC-KGLIPLIRSA-N -1 1 327.425 -0.134 20 0 EBADMM CC(C)[C@H](C(=O)[O-])C(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC001332113912 841576807 /nfs/dbraw/zinc/57/68/07/841576807.db2.gz NWCFMMYLEIPOOC-KGLIPLIRSA-N -1 1 327.425 -0.134 20 0 EBADMM COCC[C@H]1CNCCN1C(=O)[C@H]1CCCN(CC(=O)[O-])C1=O ZINC001335198318 842222379 /nfs/dbraw/zinc/22/23/79/842222379.db2.gz IQADXWUYTJWADR-RYUDHWBXSA-N -1 1 327.381 -0.854 20 0 EBADMM COCCN(CCC(=O)[O-])C(=O)C(=O)N1CC[C@@H](N2CC=CC2)C1 ZINC001336302035 842433123 /nfs/dbraw/zinc/43/31/23/842433123.db2.gz ZFJDPISIGGSCEU-CYBMUJFWSA-N -1 1 339.392 -0.591 20 0 EBADMM CN(Cc1ccc2c(c1)OCCO2)C(=O)[C@@]1(C(=O)[O-])CNCCO1 ZINC001336690852 842535391 /nfs/dbraw/zinc/53/53/91/842535391.db2.gz AFJBOMMLLKFBHX-MRXNPFEDSA-N -1 1 336.344 -0.141 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)C1CC(=O)NC(=O)C1 ZINC001337133140 842579507 /nfs/dbraw/zinc/57/95/07/842579507.db2.gz CPPCXURUJZWYCI-VIFPVBQESA-N -1 1 348.359 -0.583 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2CCO[C@@H](Cc3ccccc3)C2)CNCCO1 ZINC001340218569 842982570 /nfs/dbraw/zinc/98/25/70/842982570.db2.gz ZQJJDYSBKXNPHT-YOEHRIQHSA-N -1 1 334.372 -0.100 20 0 EBADMM CCOCCCNC(=O)[C@@H](C)S(=O)(=O)c1nnc(COC)[n-]1 ZINC001340424749 842995963 /nfs/dbraw/zinc/99/59/63/842995963.db2.gz RGNBMGJQNXXBQF-SECBINFHSA-N -1 1 334.398 -0.344 20 0 EBADMM CCOCCCNC(=O)[C@@H](C)S(=O)(=O)c1n[n-]c(COC)n1 ZINC001340424749 842995976 /nfs/dbraw/zinc/99/59/76/842995976.db2.gz RGNBMGJQNXXBQF-SECBINFHSA-N -1 1 334.398 -0.344 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@]2(C(=O)[O-])CNCCO2)C[C@@H](C(F)(F)F)O1 ZINC001340735451 843026701 /nfs/dbraw/zinc/02/67/01/843026701.db2.gz KCNUGLVMZCOLJN-VHSKPIJISA-N -1 1 326.271 -0.392 20 0 EBADMM CN(C)[C@H](CCNC(=O)[C@]1(C(=O)[O-])CNCCO1)C(F)(F)F ZINC001341798273 843115192 /nfs/dbraw/zinc/11/51/92/843115192.db2.gz VYWDCZUKXXWSLC-KCJUWKMLSA-N -1 1 327.303 -0.572 20 0 EBADMM C[C@H](C[S@](C)=O)N(C)C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001342429551 843161657 /nfs/dbraw/zinc/16/16/57/843161657.db2.gz HZFJUKHOWJIIND-WBNWAKSLSA-N -1 1 337.405 -0.494 20 0 EBADMM CN(C)c1nccc2c1CN(C(=O)[C@@]1(C(=O)[O-])CNCCO1)CC2 ZINC001343487109 843242358 /nfs/dbraw/zinc/24/23/58/843242358.db2.gz CGBUKPQKYHXTGG-MRXNPFEDSA-N -1 1 334.376 -0.525 20 0 EBADMM Cc1cc(C)nc(N2CCN(c3nnc(-c4nnn[n-]4)n3C)CC2)n1 ZINC001343697729 843255402 /nfs/dbraw/zinc/25/54/02/843255402.db2.gz ZIBVXVCLWQICPD-UHFFFAOYSA-N -1 1 341.383 -0.271 20 0 EBADMM Cc1cc(C)nc(N2CCN(c3nnc(-c4nn[n-]n4)n3C)CC2)n1 ZINC001343697729 843255405 /nfs/dbraw/zinc/25/54/05/843255405.db2.gz ZIBVXVCLWQICPD-UHFFFAOYSA-N -1 1 341.383 -0.271 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCCc2nncn2C)o1 ZINC001344028296 843270064 /nfs/dbraw/zinc/27/00/64/843270064.db2.gz QGGGVRMGZVQVQX-UHFFFAOYSA-N -1 1 327.366 -0.321 20 0 EBADMM O=C([O-])[C@@]1(C(=O)NC[C@@H]2CCCN2CC(F)(F)F)CNCCO1 ZINC001344892061 843342523 /nfs/dbraw/zinc/34/25/23/843342523.db2.gz YJNRUYNAQSUAHL-CABZTGNLSA-N -1 1 339.314 -0.428 20 0 EBADMM Cc1noc(C2CCN(c3nnc(Cc4nnn[n-]4)n3C)CC2)n1 ZINC001345386886 843392349 /nfs/dbraw/zinc/39/23/49/843392349.db2.gz WKBZBTBTEONZMR-UHFFFAOYSA-N -1 1 330.356 -0.006 20 0 EBADMM Cc1noc(C2CCN(c3nnc(Cc4nn[n-]n4)n3C)CC2)n1 ZINC001345386886 843392354 /nfs/dbraw/zinc/39/23/54/843392354.db2.gz WKBZBTBTEONZMR-UHFFFAOYSA-N -1 1 330.356 -0.006 20 0 EBADMM O=C(Nc1ccc(SC(F)F)nc1)C(=O)NN1CC(=O)[N-]C1=O ZINC001346544858 843529377 /nfs/dbraw/zinc/52/93/77/843529377.db2.gz OMBWZSDMKVPFFS-UHFFFAOYSA-N -1 1 345.287 -0.082 20 0 EBADMM CS(=O)(=O)NC[C@@H]1COCCN1CCC[N-]C(=O)C(F)(F)F ZINC001348248940 843674884 /nfs/dbraw/zinc/67/48/84/843674884.db2.gz SKMXROKRYKNYOT-SECBINFHSA-N -1 1 347.359 -0.695 20 0 EBADMM O=C(NCCNC(=O)c1cc(=O)n2[n-]cnc2n1)c1ccc(F)cn1 ZINC001348829487 843754058 /nfs/dbraw/zinc/75/40/58/843754058.db2.gz SIPRVRRMZMBROM-UHFFFAOYSA-N -1 1 345.294 -0.889 20 0 EBADMM O=C(NCCCNC(=O)[C@H]1CCC(=O)N1)c1cnc(C2CC2)[n-]c1=O ZINC001349818324 843940633 /nfs/dbraw/zinc/94/06/33/843940633.db2.gz PHBAVBVEXORVEX-LLVKDONJSA-N -1 1 347.375 -0.426 20 0 EBADMM Cc1cc[nH]c1C(=O)NCCCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001349967838 843953599 /nfs/dbraw/zinc/95/35/99/843953599.db2.gz SOWQPFUUMQFEKF-UHFFFAOYSA-N -1 1 343.347 -0.396 20 0 EBADMM COCCN1CCC[C@H]1CNC(=O)C(=O)N1CC[C@@H](C(=O)[O-])C1 ZINC001611012167 970847735 /nfs/dbraw/zinc/84/77/35/970847735.db2.gz TXHNMAAWURESBO-NEPJUHHUSA-N -1 1 327.381 -0.854 20 0 EBADMM Cc1cc(C(=O)[O-])ccc1S(=O)(=O)NCC(=O)N1CCNCC1 ZINC000323337650 970992165 /nfs/dbraw/zinc/99/21/65/970992165.db2.gz ONPSKSHFANCRTM-UHFFFAOYSA-N -1 1 341.389 -0.597 20 0 EBADMM O=C([O-])C1=NO[C@@H](C(=O)N2CCC(CN3CCOCC3)CC2)C1 ZINC001611362050 971010542 /nfs/dbraw/zinc/01/05/42/971010542.db2.gz MWFMHTOYYMHNRL-CYBMUJFWSA-N -1 1 325.365 -0.213 20 0 EBADMM O=C(c1ccc(-c2nn[nH]n2)cn1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000095163713 944612469 /nfs/dbraw/zinc/61/24/69/944612469.db2.gz FHRXSZVEEKIYET-VIFPVBQESA-N -1 1 326.324 -0.205 20 0 EBADMM CC(=O)NC(C)(C)C(=O)N[C@H](C)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001573464562 945965771 /nfs/dbraw/zinc/96/57/71/945965771.db2.gz MMFXQJDBDBZDLO-MRVPVSSYSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NC(C)(C)C(=O)N[C@H](C)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001573464562 945965780 /nfs/dbraw/zinc/96/57/80/945965780.db2.gz MMFXQJDBDBZDLO-MRVPVSSYSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)C[C@H](C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001573467352 945978845 /nfs/dbraw/zinc/97/88/45/945978845.db2.gz CEJRODJQTNLNCJ-DTWKUNHWSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)C[C@H](C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001573467352 945978854 /nfs/dbraw/zinc/97/88/54/945978854.db2.gz CEJRODJQTNLNCJ-DTWKUNHWSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)CCN(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001573470030 946016525 /nfs/dbraw/zinc/01/65/25/946016525.db2.gz ZRDSXZOSCJCIIV-VIFPVBQESA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N1CC[C@H](Nc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001573471133 946043067 /nfs/dbraw/zinc/04/30/67/946043067.db2.gz MKRGAFLQWWZMTB-WPRPVWTQSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N1CC[C@H](Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001573471133 946043082 /nfs/dbraw/zinc/04/30/82/946043082.db2.gz MKRGAFLQWWZMTB-WPRPVWTQSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N1CC[C@H](Nc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001573471131 946043315 /nfs/dbraw/zinc/04/33/15/946043315.db2.gz MKRGAFLQWWZMTB-SCZZXKLOSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N1CC[C@H](Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001573471131 946043329 /nfs/dbraw/zinc/04/33/29/946043329.db2.gz MKRGAFLQWWZMTB-SCZZXKLOSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@H](C)CCNc1cnc(-c2nnn[n-]2)cn1 ZINC001573475441 946102164 /nfs/dbraw/zinc/10/21/64/946102164.db2.gz UDMBCILZZOWQIL-RKDXNWHRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@H](C)CCNc1cnc(-c2nn[n-]n2)cn1 ZINC001573475441 946102169 /nfs/dbraw/zinc/10/21/69/946102169.db2.gz UDMBCILZZOWQIL-RKDXNWHRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@@H](C)CN(C)c1cncc(-c2nnn[n-]2)n1 ZINC001573477058 946128650 /nfs/dbraw/zinc/12/86/50/946128650.db2.gz LUVMZWWOZKNJMP-DTWKUNHWSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@@H](C)CN(C)c1cncc(-c2nn[n-]n2)n1 ZINC001573477058 946128655 /nfs/dbraw/zinc/12/86/55/946128655.db2.gz LUVMZWWOZKNJMP-DTWKUNHWSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@H](C)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001573477323 946132126 /nfs/dbraw/zinc/13/21/26/946132126.db2.gz OOZCJHJXEWOZIK-IUCAKERBSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@H](C)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001573477323 946132135 /nfs/dbraw/zinc/13/21/35/946132135.db2.gz OOZCJHJXEWOZIK-IUCAKERBSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NCC1(Nc2nccnc2-c2nnn[n-]2)CC1 ZINC001573479269 946156024 /nfs/dbraw/zinc/15/60/24/946156024.db2.gz BPNFAXTZLGNAMM-MRVPVSSYSA-N -1 1 345.367 -0.758 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NCC1(Nc2nccnc2-c2nn[n-]n2)CC1 ZINC001573479269 946156030 /nfs/dbraw/zinc/15/60/30/946156030.db2.gz BPNFAXTZLGNAMM-MRVPVSSYSA-N -1 1 345.367 -0.758 20 0 EBADMM CC(=O)N[C@@H]1CCN(S(=O)(=O)c2ccc(-c3nn[n-]n3)o2)C1 ZINC001573496798 946331658 /nfs/dbraw/zinc/33/16/58/946331658.db2.gz DCIGVMMOBLKFAA-MRVPVSSYSA-N -1 1 326.338 -0.641 20 0 EBADMM CC(=O)NCC(=O)NC[C@@H](C)N(C)c1nc(C)cc(-c2nnn[n-]2)n1 ZINC001573515305 946540043 /nfs/dbraw/zinc/54/00/43/946540043.db2.gz KIXPAVUKIBYUOJ-SECBINFHSA-N -1 1 347.383 -0.958 20 0 EBADMM CC(=O)NCC(=O)NC[C@@H](C)N(C)c1nc(C)cc(-c2nn[n-]n2)n1 ZINC001573515305 946540049 /nfs/dbraw/zinc/54/00/49/946540049.db2.gz KIXPAVUKIBYUOJ-SECBINFHSA-N -1 1 347.383 -0.958 20 0 EBADMM CC(=O)NCC(=O)NC[C@H](Nc1ccc(-c2nnn[n-]2)nn1)C(C)C ZINC001573517499 946574873 /nfs/dbraw/zinc/57/48/73/946574873.db2.gz LKVABEUTCPGJSC-NSHDSACASA-N -1 1 347.383 -0.655 20 0 EBADMM CC(=O)NCC(=O)NC[C@H](Nc1ccc(-c2nn[n-]n2)nn1)C(C)C ZINC001573517499 946574885 /nfs/dbraw/zinc/57/48/85/946574885.db2.gz LKVABEUTCPGJSC-NSHDSACASA-N -1 1 347.383 -0.655 20 0 EBADMM C[C@H](C(=O)N(C)CC(=O)Nc1n[nH]cc1-c1nnn[n-]1)n1cccn1 ZINC001573591685 947315514 /nfs/dbraw/zinc/31/55/14/947315514.db2.gz DJNQTLWJNGOCLI-MRVPVSSYSA-N -1 1 344.339 -0.556 20 0 EBADMM C[C@H](C(=O)N(C)CC(=O)Nc1n[nH]cc1-c1nn[n-]n1)n1cccn1 ZINC001573591685 947315529 /nfs/dbraw/zinc/31/55/29/947315529.db2.gz DJNQTLWJNGOCLI-MRVPVSSYSA-N -1 1 344.339 -0.556 20 0 EBADMM C[C@H](C(=O)N(C)C[C@@H](O)CNc1cnc(-c2nnn[n-]2)cn1)C1CC1 ZINC001573596539 947432165 /nfs/dbraw/zinc/43/21/65/947432165.db2.gz KZCANUCFOVUHQW-ONGXEEELSA-N -1 1 346.395 -0.066 20 0 EBADMM C[C@H](C(=O)N(C)C[C@@H](O)CNc1cnc(-c2nn[n-]n2)cn1)C1CC1 ZINC001573596539 947432172 /nfs/dbraw/zinc/43/21/72/947432172.db2.gz KZCANUCFOVUHQW-ONGXEEELSA-N -1 1 346.395 -0.066 20 0 EBADMM C[C@H](C(=O)N(CCO)CCNc1ccc(-c2nnn[n-]2)nn1)C1CC1 ZINC001573603820 947499104 /nfs/dbraw/zinc/49/91/04/947499104.db2.gz KNUJHBGUWTZLKQ-JTQLQIEISA-N -1 1 346.395 -0.064 20 0 EBADMM C[C@H](C(=O)N(CCO)CCNc1ccc(-c2nn[n-]n2)nn1)C1CC1 ZINC001573603820 947499111 /nfs/dbraw/zinc/49/91/11/947499111.db2.gz KNUJHBGUWTZLKQ-JTQLQIEISA-N -1 1 346.395 -0.064 20 0 EBADMM C[C@@H](C(=O)N1CC(=O)NC[C@H]1C(C)(C)C)n1cnc(-c2nn[n-]n2)n1 ZINC001573606943 947535913 /nfs/dbraw/zinc/53/59/13/947535913.db2.gz AZUKNRKHEMCNPK-IUCAKERBSA-N -1 1 347.383 -0.608 20 0 EBADMM C[C@H](C(=O)N1CC[C@H](c2cc(=O)[nH][nH]2)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573623398 947684830 /nfs/dbraw/zinc/68/48/30/947684830.db2.gz FHNZLNZRVNEXNY-SFYZADRCSA-N -1 1 344.339 -0.536 20 0 EBADMM C[C@@H](C(=O)N1CC[C@@H](c2nncn2C)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573624237 947694402 /nfs/dbraw/zinc/69/44/02/947694402.db2.gz JQSAFOMCLNRONL-DTWKUNHWSA-N -1 1 343.355 -0.836 20 0 EBADMM CC(=O)CCC(=O)Nc1[nH]nc(N2CCOCC2)c1-c1nnn[n-]1 ZINC001573365600 947732023 /nfs/dbraw/zinc/73/20/23/947732023.db2.gz MUACGZYDZORBFN-UHFFFAOYSA-N -1 1 334.340 -0.266 20 0 EBADMM CC(=O)CCC(=O)Nc1[nH]nc(N2CCOCC2)c1-c1nn[n-]n1 ZINC001573365600 947732034 /nfs/dbraw/zinc/73/20/34/947732034.db2.gz MUACGZYDZORBFN-UHFFFAOYSA-N -1 1 334.340 -0.266 20 0 EBADMM C[C@@H](C(=O)N1CCC2(CCNC2=O)CC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573630669 947794000 /nfs/dbraw/zinc/79/40/00/947794000.db2.gz RMLMWTPIMIJXMM-VIFPVBQESA-N -1 1 345.367 -0.852 20 0 EBADMM CC(=O)CCCC(=O)NC/C=C\CNc1ccnc(-c2nn[n-]n2)n1 ZINC001573382415 947884296 /nfs/dbraw/zinc/88/42/96/947884296.db2.gz GIKJDOVYVSQXOS-IHWYPQMZSA-N -1 1 344.379 -0.078 20 0 EBADMM C[C@@H](C(=O)NC(C)(C)[C@H](O)C(F)(F)F)n1cnc(-c2nn[n-]n2)n1 ZINC001573650461 947911084 /nfs/dbraw/zinc/91/10/84/947911084.db2.gz CCXFGOMTAXWMDM-CDUCUWFYSA-N -1 1 348.289 -0.163 20 0 EBADMM C[C@H](C(=O)N[C@H]([C@H](CO)C1CC1)C1CC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573652047 947926775 /nfs/dbraw/zinc/92/67/75/947926775.db2.gz ACSGKUKXVYEEPS-FXAINCCUSA-N -1 1 346.395 -0.068 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)CCNc1cncc(-c2nnn[n-]2)n1 ZINC001573397830 947976784 /nfs/dbraw/zinc/97/67/84/947976784.db2.gz DRDXRIHDAZPGFZ-VIFPVBQESA-N -1 1 347.383 -0.558 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)CCNc1cncc(-c2nn[n-]n2)n1 ZINC001573397830 947976790 /nfs/dbraw/zinc/97/67/90/947976790.db2.gz DRDXRIHDAZPGFZ-VIFPVBQESA-N -1 1 347.383 -0.558 20 0 EBADMM C[C@H](C(=O)N[C@@H](CO)Cc1cncs1)n1cnc(-c2nn[n-]n2)n1 ZINC001573656898 947996740 /nfs/dbraw/zinc/99/67/40/947996740.db2.gz JNOPGRKLTALXAK-HTQZYQBOSA-N -1 1 349.380 -0.805 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@@H](C)N(C)c1nccnc1-c1nnn[n-]1 ZINC001573403758 948012939 /nfs/dbraw/zinc/01/29/39/948012939.db2.gz OFFIMLJIOPZOIB-SECBINFHSA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@@H](C)N(C)c1nccnc1-c1nn[n-]n1 ZINC001573403758 948012945 /nfs/dbraw/zinc/01/29/45/948012945.db2.gz OFFIMLJIOPZOIB-SECBINFHSA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@@H](C)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001573404524 948026137 /nfs/dbraw/zinc/02/61/37/948026137.db2.gz UEYZERPLMVVSPJ-SECBINFHSA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@@H](C)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001573404524 948026147 /nfs/dbraw/zinc/02/61/47/948026147.db2.gz UEYZERPLMVVSPJ-SECBINFHSA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N1CC(C(=O)NC[C@H](C)Nc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001573419601 948123729 /nfs/dbraw/zinc/12/37/29/948123729.db2.gz NDDOGXMNMXQIIR-QMMMGPOBSA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)N1CC(C(=O)NC[C@H](C)Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001573419601 948123734 /nfs/dbraw/zinc/12/37/34/948123734.db2.gz NDDOGXMNMXQIIR-QMMMGPOBSA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)N1CCC(NC(=O)Cn2cccc(-c3nn[n-]n3)c2=O)CC1 ZINC001573432761 948193711 /nfs/dbraw/zinc/19/37/11/948193711.db2.gz GNUUZLUAMUOQIK-UHFFFAOYSA-N -1 1 345.363 -0.845 20 0 EBADMM C[C@@H](C(=O)NC[C@@H](O)CNc1ccnc(-c2nn[n-]n2)n1)C1CCC1 ZINC001573695042 948300195 /nfs/dbraw/zinc/30/01/95/948300195.db2.gz WQTLGRQPSIDBHJ-KOLCDFICSA-N -1 1 346.395 -0.596 20 0 EBADMM C[C@@H](C(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)C1CCC1 ZINC001573717745 948510764 /nfs/dbraw/zinc/51/07/64/948510764.db2.gz MVVPRHAAHAZWNL-MRVPVSSYSA-N -1 1 333.352 -0.319 20 0 EBADMM C[C@@H](C(=O)N[C@]12CC(=O)N[C@H]1CCCC2)n1cnc(-c2nn[n-]n2)n1 ZINC001573739638 948621154 /nfs/dbraw/zinc/62/11/54/948621154.db2.gz FVGUHSXSDBKIOQ-UINNMSKDSA-N -1 1 345.367 -0.663 20 0 EBADMM O=C(Nc1nc(Cl)c(-c2nn[n-]n2)s1)N[C@H]1CNC(=O)C1 ZINC001570925401 948668956 /nfs/dbraw/zinc/66/89/56/948668956.db2.gz BTJDANCSFYRZMD-GSVOUGTGSA-N -1 1 328.745 -0.013 20 0 EBADMM O=C(NCCn1cnc(-c2nn[n-]n2)n1)N[C@@H]1C[C@H]1c1ccco1 ZINC001570926019 948679871 /nfs/dbraw/zinc/67/98/71/948679871.db2.gz MWLBDTZHJFMCHW-RKDXNWHRSA-N -1 1 329.324 -0.094 20 0 EBADMM O=C([C@@H]1CCCO1)N1CC[C@@H](Nc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001570927204 948704971 /nfs/dbraw/zinc/70/49/71/948704971.db2.gz BXSMAFSPTJTBKD-KOLCDFICSA-N -1 1 330.352 -0.152 20 0 EBADMM O=C([C@@H]1CCCO1)N1CC[C@@H](Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001570927204 948704976 /nfs/dbraw/zinc/70/49/76/948704976.db2.gz BXSMAFSPTJTBKD-KOLCDFICSA-N -1 1 330.352 -0.152 20 0 EBADMM O=C(N[C@H]1C[C@@H](Nc2cnc(-c3nnn[n-]3)cn2)C1)[C@@H]1CCOC1 ZINC001570927223 948707146 /nfs/dbraw/zinc/70/71/46/948707146.db2.gz CIIPDLJGIVQTFN-BBBLOLIVSA-N -1 1 330.352 -0.248 20 0 EBADMM O=C(N[C@H]1C[C@@H](Nc2cnc(-c3nn[n-]n3)cn2)C1)[C@@H]1CCOC1 ZINC001570927223 948707150 /nfs/dbraw/zinc/70/71/50/948707150.db2.gz CIIPDLJGIVQTFN-BBBLOLIVSA-N -1 1 330.352 -0.248 20 0 EBADMM Cc1cc(F)cc(-c2nn[n-]n2)c1S(=O)(=O)N(C)CC(N)=O ZINC001570927569 948714124 /nfs/dbraw/zinc/71/41/24/948714124.db2.gz STWAVPWVHOZHIR-UHFFFAOYSA-N -1 1 328.329 -0.580 20 0 EBADMM O=C(c1cocn1)N1CC[C@@H](Nc2cncc(-c3nnn[n-]3)n2)C1 ZINC001570927765 948717921 /nfs/dbraw/zinc/71/79/21/948717921.db2.gz REBAVORCEVFMLE-MRVPVSSYSA-N -1 1 327.308 -0.029 20 0 EBADMM O=C(c1cocn1)N1CC[C@@H](Nc2cncc(-c3nn[n-]n3)n2)C1 ZINC001570927765 948717926 /nfs/dbraw/zinc/71/79/26/948717926.db2.gz REBAVORCEVFMLE-MRVPVSSYSA-N -1 1 327.308 -0.029 20 0 EBADMM O=C(NC[C@H]1COCCN1c1nccnc1-c1nnn[n-]1)C1CC1 ZINC001570928627 948737828 /nfs/dbraw/zinc/73/78/28/948737828.db2.gz SUCKSKXGBAAEGZ-JTQLQIEISA-N -1 1 330.352 -0.612 20 0 EBADMM O=C(NC[C@H]1COCCN1c1nccnc1-c1nn[n-]n1)C1CC1 ZINC001570928627 948737833 /nfs/dbraw/zinc/73/78/33/948737833.db2.gz SUCKSKXGBAAEGZ-JTQLQIEISA-N -1 1 330.352 -0.612 20 0 EBADMM C[C@H](C(=O)Nc1cnc2c(c1)CNC2=O)n1cnc(-c2nn[n-]n2)n1 ZINC001573754778 948749869 /nfs/dbraw/zinc/74/98/69/948749869.db2.gz KOSSOMRCERKNDG-ZCFIWIBFSA-N -1 1 340.307 -0.704 20 0 EBADMM CCc1c(C(=O)NCCn2cnc(-c3nn[n-]n3)n2)cnn1CC ZINC001570929029 948751130 /nfs/dbraw/zinc/75/11/30/948751130.db2.gz ZAPHOXGBKHVKPF-UHFFFAOYSA-N -1 1 330.356 -0.333 20 0 EBADMM CC(C)[C@@H](O)C(=O)N[C@@H](C)CCNc1cnc(-c2nnn[n-]2)cn1 ZINC001574679145 948767262 /nfs/dbraw/zinc/76/72/62/948767262.db2.gz OTWOXTGTEVWODG-JOYOIKCWSA-N -1 1 334.384 -0.020 20 0 EBADMM CC(C)[C@@H](O)C(=O)N[C@@H](C)CCNc1cnc(-c2nn[n-]n2)cn1 ZINC001574679145 948767277 /nfs/dbraw/zinc/76/72/77/948767277.db2.gz OTWOXTGTEVWODG-JOYOIKCWSA-N -1 1 334.384 -0.020 20 0 EBADMM C[C@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)[C@@H]1CCOC1 ZINC001574679458 948779655 /nfs/dbraw/zinc/77/96/55/948779655.db2.gz VSPJAARNOMCXJN-NXEZZACHSA-N -1 1 332.368 0.000 20 0 EBADMM C[C@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)[C@@H]1CCOC1 ZINC001574679458 948779665 /nfs/dbraw/zinc/77/96/65/948779665.db2.gz VSPJAARNOMCXJN-NXEZZACHSA-N -1 1 332.368 0.000 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)N[C@H]1CCCN(C)C1=O ZINC001570929826 948787841 /nfs/dbraw/zinc/78/78/41/948787841.db2.gz VEVNVXAUSWWQJY-VIFPVBQESA-N -1 1 331.336 -0.374 20 0 EBADMM CC(C)[C@H](NC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)C1CC1 ZINC001570930802 948823618 /nfs/dbraw/zinc/82/36/18/948823618.db2.gz PIJRZDRLZKGXNI-NSHDSACASA-N -1 1 333.352 -0.320 20 0 EBADMM CC(C)(O)CC(=O)N1CC[C@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001570930642 948824547 /nfs/dbraw/zinc/82/45/47/948824547.db2.gz OBGBXMRNOJDEGF-VIFPVBQESA-N -1 1 332.368 -0.170 20 0 EBADMM CC(C)(O)CC(=O)N1CC[C@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001570930642 948824564 /nfs/dbraw/zinc/82/45/64/948824564.db2.gz OBGBXMRNOJDEGF-VIFPVBQESA-N -1 1 332.368 -0.170 20 0 EBADMM CO[C@@H](C)C(=O)N1CCC[C@@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570931099 948835230 /nfs/dbraw/zinc/83/52/30/948835230.db2.gz RUGPVHYEELRGRX-VHSXEESVSA-N -1 1 332.368 -0.484 20 0 EBADMM CCC(=O)N1CCCO[C@@H](CNc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570931129 948837518 /nfs/dbraw/zinc/83/75/18/948837518.db2.gz SCYCYUMUIWIHHE-JTQLQIEISA-N -1 1 332.368 -0.482 20 0 EBADMM CC(F)(F)CC(=O)NC[C@H](CO)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001575233064 948879907 /nfs/dbraw/zinc/87/99/07/948879907.db2.gz GNPFPIWXAHJNSF-SSDOTTSWSA-N -1 1 342.310 -0.409 20 0 EBADMM CC(F)(F)CC(=O)NC[C@H](CO)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575233064 948879927 /nfs/dbraw/zinc/87/99/27/948879927.db2.gz GNPFPIWXAHJNSF-SSDOTTSWSA-N -1 1 342.310 -0.409 20 0 EBADMM O=C(NCCO)C1CCN(C(=O)c2ccc(-c3nnn[n-]3)o2)CC1 ZINC001570933350 948887304 /nfs/dbraw/zinc/88/73/04/948887304.db2.gz ADQGFDNJSDXVBA-UHFFFAOYSA-N -1 1 334.336 -0.580 20 0 EBADMM O=C(NCCO)C1CCN(C(=O)c2ccc(-c3nn[n-]n3)o2)CC1 ZINC001570933350 948887324 /nfs/dbraw/zinc/88/73/24/948887324.db2.gz ADQGFDNJSDXVBA-UHFFFAOYSA-N -1 1 334.336 -0.580 20 0 EBADMM C[C@H]1C[C@H](CO)CN(C(=O)NCCn2cnc(-c3nn[n-]n3)n2)C1 ZINC001570933895 948915681 /nfs/dbraw/zinc/91/56/81/948915681.db2.gz WKDOHHKVMYAQGW-UWVGGRQHSA-N -1 1 335.372 -0.882 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)Nc1cccc2c1CCNC2=O ZINC001570934460 948938640 /nfs/dbraw/zinc/93/86/40/948938640.db2.gz DWQCZYCOFPEPPE-UHFFFAOYSA-N -1 1 339.319 -0.617 20 0 EBADMM COc1ncc(NC(=O)C2(S(N)(=O)=O)CC2)cc1-c1nn[n-]n1 ZINC001570934931 948959804 /nfs/dbraw/zinc/95/98/04/948959804.db2.gz JQMQPOWBJCLFIW-UHFFFAOYSA-N -1 1 339.337 -0.970 20 0 EBADMM COC(=O)[C@H](CC(C)C)Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[n-]n1 ZINC001570934919 948960156 /nfs/dbraw/zinc/96/01/56/948960156.db2.gz NSMSBXSADJANMB-ZETCQYMHSA-N -1 1 337.340 -0.334 20 0 EBADMM CC(C)(C(=O)NC[C@H](CO)Nc1cnc(-c2nnn[n-]2)cn1)C1CC1 ZINC001573774596 949018762 /nfs/dbraw/zinc/01/87/62/949018762.db2.gz ONOODWALBLSIFK-SNVBAGLBSA-N -1 1 346.395 -0.018 20 0 EBADMM CC(C)(C(=O)NC[C@H](CO)Nc1cnc(-c2nn[n-]n2)cn1)C1CC1 ZINC001573774596 949018784 /nfs/dbraw/zinc/01/87/84/949018784.db2.gz ONOODWALBLSIFK-SNVBAGLBSA-N -1 1 346.395 -0.018 20 0 EBADMM CC(C)C1(O)CCN(C(=O)NCCn2cnc(-c3nn[n-]n3)n2)CC1 ZINC001574091726 949025017 /nfs/dbraw/zinc/02/50/17/949025017.db2.gz QNIBWHJLKLZOSV-UHFFFAOYSA-N -1 1 349.399 -0.349 20 0 EBADMM CC(C)c1nnsc1C(=O)NCCn1cnc(-c2nn[n-]n2)n1 ZINC001574440505 949030680 /nfs/dbraw/zinc/03/06/80/949030680.db2.gz QKUCKKHOEKDYSF-UHFFFAOYSA-N -1 1 334.369 -0.137 20 0 EBADMM O=C(c1cccnn1)N1CC[C@@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001570936596 949040900 /nfs/dbraw/zinc/04/09/00/949040900.db2.gz YQKZYGHJWCJIOE-SECBINFHSA-N -1 1 338.335 -0.227 20 0 EBADMM O=C(c1cccnn1)N1CC[C@@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001570936596 949040918 /nfs/dbraw/zinc/04/09/18/949040918.db2.gz YQKZYGHJWCJIOE-SECBINFHSA-N -1 1 338.335 -0.227 20 0 EBADMM C[C@@H](NC(=O)C(C)(C)C(N)=O)[C@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575247884 949055528 /nfs/dbraw/zinc/05/55/28/949055528.db2.gz LEQNLJOBUDYANV-JGVFFNPUSA-N -1 1 347.383 -0.527 20 0 EBADMM C[C@@H](NC(=O)C(C)(C)C(N)=O)[C@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575247884 949055547 /nfs/dbraw/zinc/05/55/47/949055547.db2.gz LEQNLJOBUDYANV-JGVFFNPUSA-N -1 1 347.383 -0.527 20 0 EBADMM CCS(=O)(=O)N[C@@H](C)C(=O)NCc1ccnc(-c2nnn[n-]2)c1 ZINC001570936887 949059576 /nfs/dbraw/zinc/05/95/76/949059576.db2.gz RKDFNCBQBOXNEZ-QMMMGPOBSA-N -1 1 339.381 -0.794 20 0 EBADMM CCS(=O)(=O)N[C@@H](C)C(=O)NCc1ccnc(-c2nn[n-]n2)c1 ZINC001570936887 949059597 /nfs/dbraw/zinc/05/95/97/949059597.db2.gz RKDFNCBQBOXNEZ-QMMMGPOBSA-N -1 1 339.381 -0.794 20 0 EBADMM CCS(=O)(=O)N[C@H](C)C(=O)NCc1ccnc(-c2nnn[n-]2)c1 ZINC001570936886 949060084 /nfs/dbraw/zinc/06/00/84/949060084.db2.gz RKDFNCBQBOXNEZ-MRVPVSSYSA-N -1 1 339.381 -0.794 20 0 EBADMM CCS(=O)(=O)N[C@H](C)C(=O)NCc1ccnc(-c2nn[n-]n2)c1 ZINC001570936886 949060102 /nfs/dbraw/zinc/06/01/02/949060102.db2.gz RKDFNCBQBOXNEZ-MRVPVSSYSA-N -1 1 339.381 -0.794 20 0 EBADMM C[C@H](C(=O)N1CC[C@@H](c2ncccn2)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001570937527 949080423 /nfs/dbraw/zinc/08/04/23/949080423.db2.gz FQTKSKFROKGWAG-NXEZZACHSA-N -1 1 340.351 -0.175 20 0 EBADMM Cc1c[nH]nc1C(=O)N[C@@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C1 ZINC001570938553 949104638 /nfs/dbraw/zinc/10/46/38/949104638.db2.gz UBBRINMKDILLLL-SECBINFHSA-N -1 1 340.351 -0.303 20 0 EBADMM Cc1c[nH]nc1C(=O)N[C@@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C1 ZINC001570938553 949104648 /nfs/dbraw/zinc/10/46/48/949104648.db2.gz UBBRINMKDILLLL-SECBINFHSA-N -1 1 340.351 -0.303 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)Cn1ccnc1 ZINC001574695399 949111591 /nfs/dbraw/zinc/11/15/91/949111591.db2.gz GZNCRZBTIPKAFP-SNVBAGLBSA-N -1 1 342.367 -0.140 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)Cn1ccnc1 ZINC001574695399 949111611 /nfs/dbraw/zinc/11/16/11/949111611.db2.gz GZNCRZBTIPKAFP-SNVBAGLBSA-N -1 1 342.367 -0.140 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)Cc1cc[nH]n1 ZINC001574695392 949113248 /nfs/dbraw/zinc/11/32/48/949113248.db2.gz GXRWENRZZXMJNU-SECBINFHSA-N -1 1 342.367 -0.071 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)Cc1cc[nH]n1 ZINC001574695392 949113256 /nfs/dbraw/zinc/11/32/56/949113256.db2.gz GXRWENRZZXMJNU-SECBINFHSA-N -1 1 342.367 -0.071 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)Cn1cccn1 ZINC001574696213 949125121 /nfs/dbraw/zinc/12/51/21/949125121.db2.gz NHGKEKIKJOKTSJ-SNVBAGLBSA-N -1 1 342.367 -0.140 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)Cn1cccn1 ZINC001574696213 949125132 /nfs/dbraw/zinc/12/51/32/949125132.db2.gz NHGKEKIKJOKTSJ-SNVBAGLBSA-N -1 1 342.367 -0.140 20 0 EBADMM C[C@@H](CNC(=O)COCC1CC1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001574932341 949142240 /nfs/dbraw/zinc/14/22/40/949142240.db2.gz XYEHZOSKSUBTRL-SNVBAGLBSA-N -1 1 346.395 -0.331 20 0 EBADMM C[C@@H]1C[C@@H](Nc2cncc(-c3nnn[n-]3)n2)CN1C(=O)c1nc[nH]n1 ZINC001570939856 949155899 /nfs/dbraw/zinc/15/58/99/949155899.db2.gz HQNOZCJWBAQXBR-HTQZYQBOSA-N -1 1 341.339 -0.510 20 0 EBADMM C[C@@H]1C[C@@H](Nc2cncc(-c3nn[n-]n3)n2)CN1C(=O)c1nc[nH]n1 ZINC001570939856 949155912 /nfs/dbraw/zinc/15/59/12/949155912.db2.gz HQNOZCJWBAQXBR-HTQZYQBOSA-N -1 1 341.339 -0.510 20 0 EBADMM Cc1ncoc1C(=O)N[C@@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C1 ZINC001570940067 949165398 /nfs/dbraw/zinc/16/53/98/949165398.db2.gz JKTMEGVUQQEXQX-SECBINFHSA-N -1 1 341.335 -0.038 20 0 EBADMM Cc1ncoc1C(=O)N[C@@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C1 ZINC001570940067 949165407 /nfs/dbraw/zinc/16/54/07/949165407.db2.gz JKTMEGVUQQEXQX-SECBINFHSA-N -1 1 341.335 -0.038 20 0 EBADMM CC(=O)N[C@@H]1CCN(S(=O)(=O)c2ccc(-c3nnn[n-]3)s2)C1 ZINC001570940116 949171977 /nfs/dbraw/zinc/17/19/77/949171977.db2.gz KUEKPPLBAMSJFO-MRVPVSSYSA-N -1 1 342.406 -0.173 20 0 EBADMM CC(=O)N[C@@H]1CCN(S(=O)(=O)c2ccc(-c3nn[n-]n3)s2)C1 ZINC001570940116 949171988 /nfs/dbraw/zinc/17/19/88/949171988.db2.gz KUEKPPLBAMSJFO-MRVPVSSYSA-N -1 1 342.406 -0.173 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CC[C@H](Nc2cncc(-c3nn[n-]n3)n2)C1 ZINC001570941341 949215402 /nfs/dbraw/zinc/21/54/02/949215402.db2.gz SOBRNRBYVVRGMS-QMMMGPOBSA-N -1 1 341.339 -0.590 20 0 EBADMM O=C(Cc1cnoc1)N[C@H]1CCN(c2cncc(-c3nnn[n-]3)n2)C1 ZINC001570941727 949242424 /nfs/dbraw/zinc/24/24/24/949242424.db2.gz ZLJHUCFABRDFGB-JTQLQIEISA-N -1 1 341.335 -0.418 20 0 EBADMM O=C(Cc1cnoc1)N[C@H]1CCN(c2cncc(-c3nn[n-]n3)n2)C1 ZINC001570941727 949242447 /nfs/dbraw/zinc/24/24/47/949242447.db2.gz ZLJHUCFABRDFGB-JTQLQIEISA-N -1 1 341.335 -0.418 20 0 EBADMM C[C@@H]1CCC[C@H](NC(=O)Cn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)C1 ZINC001576152241 949251160 /nfs/dbraw/zinc/25/11/60/949251160.db2.gz JKNUUPHYTNADDH-BDAKNGLRSA-N -1 1 333.352 -0.176 20 0 EBADMM CN(C)S(=O)(=O)c1cnn(Cc2nc(-c3nnn[n-]3)cs2)c1 ZINC001570942272 949280317 /nfs/dbraw/zinc/28/03/17/949280317.db2.gz NJELGWPVQZLCKS-UHFFFAOYSA-N -1 1 340.394 -0.182 20 0 EBADMM CN(C)S(=O)(=O)c1cnn(Cc2nc(-c3nn[n-]n3)cs2)c1 ZINC001570942272 949280337 /nfs/dbraw/zinc/28/03/37/949280337.db2.gz NJELGWPVQZLCKS-UHFFFAOYSA-N -1 1 340.394 -0.182 20 0 EBADMM NC(=O)CN1CCN(c2nc(Cl)c(-c3nnn[n-]3)s2)CC1=O ZINC001570942467 949290108 /nfs/dbraw/zinc/29/01/08/949290108.db2.gz MEZFAIWHYHLZJS-UHFFFAOYSA-N -1 1 342.772 -0.890 20 0 EBADMM NC(=O)CN1CCN(c2nc(Cl)c(-c3nn[n-]n3)s2)CC1=O ZINC001570942467 949290124 /nfs/dbraw/zinc/29/01/24/949290124.db2.gz MEZFAIWHYHLZJS-UHFFFAOYSA-N -1 1 342.772 -0.890 20 0 EBADMM Cn1c(=O)[nH]c(N[C@@H]2Cc3cccc(O)c3C2)c(-c2nn[n-]n2)c1=O ZINC001570942535 949293763 /nfs/dbraw/zinc/29/37/63/949293763.db2.gz QOUJSFCMQDLYHH-MRVPVSSYSA-N -1 1 341.331 -0.049 20 0 EBADMM Cc1onc(CC(=O)NCCC2CS(=O)(=O)C2)c1-c1nnn[n-]1 ZINC001570942733 949303116 /nfs/dbraw/zinc/30/31/16/949303116.db2.gz VDFFZWJRHVPDLY-UHFFFAOYSA-N -1 1 340.365 -0.743 20 0 EBADMM Cc1onc(CC(=O)NCCC2CS(=O)(=O)C2)c1-c1nn[n-]n1 ZINC001570942733 949303136 /nfs/dbraw/zinc/30/31/36/949303136.db2.gz VDFFZWJRHVPDLY-UHFFFAOYSA-N -1 1 340.365 -0.743 20 0 EBADMM C[C@H](CNC(=O)Cc1cc[nH]n1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001574942083 949330393 /nfs/dbraw/zinc/33/03/93/949330393.db2.gz KDSAGCISFCVKGA-SECBINFHSA-N -1 1 342.367 -0.437 20 0 EBADMM C[C@H](CNC(=O)Cc1cc[nH]n1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001574942083 949330418 /nfs/dbraw/zinc/33/04/18/949330418.db2.gz KDSAGCISFCVKGA-SECBINFHSA-N -1 1 342.367 -0.437 20 0 EBADMM CC1=C(C(=O)NCCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)CCC1 ZINC001575861796 949330590 /nfs/dbraw/zinc/33/05/90/949330590.db2.gz VAGHILRPHQLSBO-UHFFFAOYSA-N -1 1 331.336 -0.254 20 0 EBADMM CC(C)(C)C(=O)N[C@@H]1CCN(c2cnc(-c3nnn[n-]3)cn2)C[C@@H]1O ZINC001573798405 949347691 /nfs/dbraw/zinc/34/76/91/949347691.db2.gz BCIOKOOSCHNKJX-KOLCDFICSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)(C)C(=O)N[C@@H]1CCN(c2cnc(-c3nn[n-]n3)cn2)C[C@@H]1O ZINC001573798405 949347709 /nfs/dbraw/zinc/34/77/09/949347709.db2.gz BCIOKOOSCHNKJX-KOLCDFICSA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@@H](CNC(=O)Cc1ccon1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574942701 949366767 /nfs/dbraw/zinc/36/67/67/949366767.db2.gz RHEWAJDFBMUQCX-QMMMGPOBSA-N -1 1 329.324 -0.196 20 0 EBADMM C[C@@H](CNC(=O)Cc1ccon1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574942701 949366788 /nfs/dbraw/zinc/36/67/88/949366788.db2.gz RHEWAJDFBMUQCX-QMMMGPOBSA-N -1 1 329.324 -0.196 20 0 EBADMM C[C@H](CNC(=O)Cc1ccon1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001574943296 949375719 /nfs/dbraw/zinc/37/57/19/949375719.db2.gz VTSHQDKSYQDIRN-SECBINFHSA-N -1 1 343.351 -0.172 20 0 EBADMM C[C@H](CNC(=O)Cc1ccon1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001574943296 949375742 /nfs/dbraw/zinc/37/57/42/949375742.db2.gz VTSHQDKSYQDIRN-SECBINFHSA-N -1 1 343.351 -0.172 20 0 EBADMM C[C@H](CNC(=O)Cc1cscn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574944519 949393698 /nfs/dbraw/zinc/39/36/98/949393698.db2.gz DFZCIQNODKTAQC-MRVPVSSYSA-N -1 1 345.392 -0.306 20 0 EBADMM C[C@@H](CNC(=O)Cc1cnoc1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574944564 949396881 /nfs/dbraw/zinc/39/68/81/949396881.db2.gz FMAMJUHBISBKMT-QMMMGPOBSA-N -1 1 329.324 -0.196 20 0 EBADMM C[C@@H](CNC(=O)Cc1cnoc1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574944564 949396905 /nfs/dbraw/zinc/39/69/05/949396905.db2.gz FMAMJUHBISBKMT-QMMMGPOBSA-N -1 1 329.324 -0.196 20 0 EBADMM Cn1c(=O)[nH]c(N2CCOC[C@@H]2c2ccco2)c(-c2nn[n-]n2)c1=O ZINC001570944336 949415385 /nfs/dbraw/zinc/41/53/85/949415385.db2.gz NGOYEYCPDMVEJA-MRVPVSSYSA-N -1 1 345.319 -0.575 20 0 EBADMM C[C@H](CNC(=O)Cc1ncc[nH]1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574945577 949415744 /nfs/dbraw/zinc/41/57/44/949415744.db2.gz MTSSBOZPVGFZJS-MRVPVSSYSA-N -1 1 328.340 -0.461 20 0 EBADMM C[C@H](CNC(=O)Cc1ncc[nH]1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574945577 949415760 /nfs/dbraw/zinc/41/57/60/949415760.db2.gz MTSSBOZPVGFZJS-MRVPVSSYSA-N -1 1 328.340 -0.461 20 0 EBADMM CCn1ncc(NC(=O)Cn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)c1C ZINC001570944345 949419873 /nfs/dbraw/zinc/41/98/73/949419873.db2.gz NLSOKCWOCNGPKE-UHFFFAOYSA-N -1 1 345.323 -0.707 20 0 EBADMM C[C@@H](CNC(=O)Cc1ncc[nH]1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001574945804 949421111 /nfs/dbraw/zinc/42/11/11/949421111.db2.gz OKRPETKIXGDIHJ-VIFPVBQESA-N -1 1 342.367 -0.437 20 0 EBADMM C[C@@H](CNC(=O)Cc1ncc[nH]1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001574945804 949421122 /nfs/dbraw/zinc/42/11/22/949421122.db2.gz OKRPETKIXGDIHJ-VIFPVBQESA-N -1 1 342.367 -0.437 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)N1CC(N2CCOCC2)C1 ZINC001570944788 949442951 /nfs/dbraw/zinc/44/29/51/949442951.db2.gz XWCCXXHRAWSPBG-UHFFFAOYSA-N -1 1 345.363 -0.568 20 0 EBADMM C[C@@H]1CCN(S(=O)(=O)c2ccc(-c3nn[n-]n3)o2)CC[S@@]1=O ZINC001570944967 949449278 /nfs/dbraw/zinc/44/92/78/949449278.db2.gz IGBWUJKICNASCB-ZEDNOMKYSA-N -1 1 345.406 -0.009 20 0 EBADMM C[C@H](CNC(=O)Cn1cccn1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001574949445 949496373 /nfs/dbraw/zinc/49/63/73/949496373.db2.gz WSRZUSCIGWRXEN-SNVBAGLBSA-N -1 1 342.367 -0.506 20 0 EBADMM C[C@H](CNC(=O)Cn1cccn1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001574949445 949496381 /nfs/dbraw/zinc/49/63/81/949496381.db2.gz WSRZUSCIGWRXEN-SNVBAGLBSA-N -1 1 342.367 -0.506 20 0 EBADMM C[C@@H](CNC(=O)Cn1cccn1)Nc1nccnc1-c1nnn[n-]1 ZINC001574949616 949498100 /nfs/dbraw/zinc/49/81/00/949498100.db2.gz YQAANVXDLUDUOX-VIFPVBQESA-N -1 1 328.340 -0.530 20 0 EBADMM C[C@@H](CNC(=O)Cn1cccn1)Nc1nccnc1-c1nn[n-]n1 ZINC001574949616 949498120 /nfs/dbraw/zinc/49/81/20/949498120.db2.gz YQAANVXDLUDUOX-VIFPVBQESA-N -1 1 328.340 -0.530 20 0 EBADMM CN(Cc1ccccc1S(N)(=O)=O)c1ccnc(-c2nn[n-]n2)n1 ZINC001570945958 949516970 /nfs/dbraw/zinc/51/69/70/949516970.db2.gz GJBAYMCFQDOFGG-UHFFFAOYSA-N -1 1 346.376 -0.060 20 0 EBADMM C[C@H]1[C@H](NC(=O)CC(N)=O)CCCN1c1ccnc(-c2nn[n-]n2)n1 ZINC001575871979 949528202 /nfs/dbraw/zinc/52/82/02/949528202.db2.gz DKXRHGXVTWLSHP-DTWKUNHWSA-N -1 1 345.367 -0.994 20 0 EBADMM C[C@@H](CNC(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)C1CC1 ZINC001574950188 949529260 /nfs/dbraw/zinc/52/92/60/949529260.db2.gz MIRYNYRLGMKRGM-QMMMGPOBSA-N -1 1 348.367 -0.526 20 0 EBADMM O=C(N[C@H]1C[C@@H](Nc2ccc(-c3nnn[n-]3)nn2)C1)[C@H]1COCCO1 ZINC001570946366 949531931 /nfs/dbraw/zinc/53/19/31/949531931.db2.gz MFOTWFWSEDQCKA-WCABBAIRSA-N -1 1 346.351 -0.869 20 0 EBADMM O=C(N[C@H]1C[C@@H](Nc2ccc(-c3nn[n-]n3)nn2)C1)[C@H]1COCCO1 ZINC001570946366 949531949 /nfs/dbraw/zinc/53/19/49/949531949.db2.gz MFOTWFWSEDQCKA-WCABBAIRSA-N -1 1 346.351 -0.869 20 0 EBADMM O=C(c1cc(-c2nn[nH]n2)c[nH]1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001570946900 949553302 /nfs/dbraw/zinc/55/33/02/949553302.db2.gz SSCSVIMMVMUDMR-MRVPVSSYSA-N -1 1 344.339 -0.977 20 0 EBADMM COc1ncc(NC(=O)NCC(=O)NCC2CC2)cc1-c1nn[n-]n1 ZINC001570947083 949557535 /nfs/dbraw/zinc/55/75/35/949557535.db2.gz SEHWXXGEQHUVHO-UHFFFAOYSA-N -1 1 346.351 -0.082 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1COC(=O)N1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574711840 949561506 /nfs/dbraw/zinc/56/15/06/949561506.db2.gz MYVHHOHUOHNGIK-VXNVDRBHSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1COC(=O)N1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574711840 949561533 /nfs/dbraw/zinc/56/15/33/949561533.db2.gz MYVHHOHUOHNGIK-VXNVDRBHSA-N -1 1 347.339 -0.976 20 0 EBADMM CCC(=O)N1CC[C@@]2(C1)CN(c1ccc(-c3nnn[n-]3)nn1)CCO2 ZINC001570947413 949572068 /nfs/dbraw/zinc/57/20/68/949572068.db2.gz ZBEAEUBCDYDZDU-HNNXBMFYSA-N -1 1 344.379 -0.126 20 0 EBADMM CCC(=O)N1CC[C@@]2(C1)CN(c1ccc(-c3nn[n-]n3)nn1)CCO2 ZINC001570947413 949572079 /nfs/dbraw/zinc/57/20/79/949572079.db2.gz ZBEAEUBCDYDZDU-HNNXBMFYSA-N -1 1 344.379 -0.126 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1COC(=O)N1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574712458 949579754 /nfs/dbraw/zinc/57/97/54/949579754.db2.gz SLTXEVLPXRDKMW-CBAPKCEASA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1COC(=O)N1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574712458 949579781 /nfs/dbraw/zinc/57/97/81/949579781.db2.gz SLTXEVLPXRDKMW-CBAPKCEASA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1COC(=O)N1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574712978 949593773 /nfs/dbraw/zinc/59/37/73/949593773.db2.gz VPMGEIXMKXQQLT-VXNVDRBHSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1COC(=O)N1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574712978 949593788 /nfs/dbraw/zinc/59/37/88/949593788.db2.gz VPMGEIXMKXQQLT-VXNVDRBHSA-N -1 1 347.339 -0.976 20 0 EBADMM COCC(=O)N1CCCO[C@H](CNc2nccnc2-c2nnn[n-]2)C1 ZINC001570948124 949597525 /nfs/dbraw/zinc/59/75/25/949597525.db2.gz CZOYSNATHMVOOQ-SNVBAGLBSA-N -1 1 348.367 -0.668 20 0 EBADMM COCC(=O)N1CCCO[C@H](CNc2nccnc2-c2nn[n-]n2)C1 ZINC001570948124 949597538 /nfs/dbraw/zinc/59/75/38/949597538.db2.gz CZOYSNATHMVOOQ-SNVBAGLBSA-N -1 1 348.367 -0.668 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1COC(=O)N1)Nc1nccnc1-c1nnn[n-]1 ZINC001574713581 949605440 /nfs/dbraw/zinc/60/54/40/949605440.db2.gz YDVBHEYIJIECTR-YUMQZZPRSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1COC(=O)N1)Nc1nccnc1-c1nn[n-]n1 ZINC001574713581 949605457 /nfs/dbraw/zinc/60/54/57/949605457.db2.gz YDVBHEYIJIECTR-YUMQZZPRSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@H](CNC(=O)[C@]12C[C@H]1COC2)N(C)c1nccnc1-c1nnn[n-]1 ZINC001574957619 949622235 /nfs/dbraw/zinc/62/22/35/949622235.db2.gz CTOHKQGNTCINIS-FTGAXOIBSA-N -1 1 344.379 -0.366 20 0 EBADMM C[C@H](CNC(=O)[C@]12C[C@H]1COC2)N(C)c1nccnc1-c1nn[n-]n1 ZINC001574957619 949622255 /nfs/dbraw/zinc/62/22/55/949622255.db2.gz CTOHKQGNTCINIS-FTGAXOIBSA-N -1 1 344.379 -0.366 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001574957810 949633557 /nfs/dbraw/zinc/63/35/57/949633557.db2.gz IUNHNVALRHWLOM-QISWUMQESA-N -1 1 344.379 -0.510 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001574957810 949633574 /nfs/dbraw/zinc/63/35/74/949633574.db2.gz IUNHNVALRHWLOM-QISWUMQESA-N -1 1 344.379 -0.510 20 0 EBADMM C[C@H](CN(C)C(=O)CCCC(N)=O)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574715143 949643767 /nfs/dbraw/zinc/64/37/67/949643767.db2.gz BQGGAARALLPKKG-SECBINFHSA-N -1 1 347.383 -0.429 20 0 EBADMM C[C@H](CN(C)C(=O)CCCC(N)=O)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574715143 949643782 /nfs/dbraw/zinc/64/37/82/949643782.db2.gz BQGGAARALLPKKG-SECBINFHSA-N -1 1 347.383 -0.429 20 0 EBADMM C[C@@H](CNC(=O)[C@]12C[C@H]1COC2)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001574958767 949687986 /nfs/dbraw/zinc/68/79/86/949687986.db2.gz WFRPYSUWQHOCHW-YHAQOWFVSA-N -1 1 344.379 -0.366 20 0 EBADMM C[C@@H](CNC(=O)[C@]12C[C@H]1COC2)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001574958767 949688007 /nfs/dbraw/zinc/68/80/07/949688007.db2.gz WFRPYSUWQHOCHW-YHAQOWFVSA-N -1 1 344.379 -0.366 20 0 EBADMM COc1ncc(NC(=O)N[C@@]2(CCO)CCOC2)cc1-c1nn[n-]n1 ZINC001570950774 949702400 /nfs/dbraw/zinc/70/24/00/949702400.db2.gz UGTOQEOLKIGXPG-AWEZNQCLSA-N -1 1 349.351 -0.067 20 0 EBADMM CC(C)[C@H]1CN(C(=O)Cn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)CCO1 ZINC001570950833 949703068 /nfs/dbraw/zinc/70/30/68/949703068.db2.gz WMPPVPONDMOFAN-SNVBAGLBSA-N -1 1 349.351 -0.988 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CCC(=O)N1C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574964437 949777347 /nfs/dbraw/zinc/77/73/47/949777347.db2.gz WMOMIEJTWMUFBB-WCBMZHEXSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CCC(=O)N1C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574964437 949777356 /nfs/dbraw/zinc/77/73/56/949777356.db2.gz WMOMIEJTWMUFBB-WCBMZHEXSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](NC(=O)COCC1CC1)[C@H](C)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575281223 949802953 /nfs/dbraw/zinc/80/29/53/949802953.db2.gz DWRFKEFNVKSHPO-UWVGGRQHSA-N -1 1 346.395 -0.190 20 0 EBADMM CC(C)(C)[C@@H](NC(N)=O)C(=O)NCc1ccnc(-c2nnn[n-]2)c1 ZINC001573825164 949863228 /nfs/dbraw/zinc/86/32/28/949863228.db2.gz LIFLQEBUUWCGGD-JTQLQIEISA-N -1 1 332.368 -0.039 20 0 EBADMM CC(C)(C)[C@@H](NC(N)=O)C(=O)NCc1ccnc(-c2nn[n-]n2)c1 ZINC001573825164 949863247 /nfs/dbraw/zinc/86/32/47/949863247.db2.gz LIFLQEBUUWCGGD-JTQLQIEISA-N -1 1 332.368 -0.039 20 0 EBADMM C[C@H](CC(=O)NC[C@H](CO)Nc1ccc(-c2nnn[n-]2)nn1)C1CC1 ZINC001574497322 950229047 /nfs/dbraw/zinc/22/90/47/950229047.db2.gz ZFJPABIXULUFDO-MWLCHTKSSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](CC(=O)NC[C@H](CO)Nc1ccc(-c2nn[n-]n2)nn1)C1CC1 ZINC001574497322 950229070 /nfs/dbraw/zinc/22/90/70/950229070.db2.gz ZFJPABIXULUFDO-MWLCHTKSSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](CN(C)C(=O)c1cccn1C)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574760741 950677207 /nfs/dbraw/zinc/67/72/07/950677207.db2.gz ZATGJJILCIGRDL-SNVBAGLBSA-N -1 1 341.379 -0.010 20 0 EBADMM C[C@H](CN(C)C(=O)c1cnnn1C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574764734 950761360 /nfs/dbraw/zinc/76/13/60/950761360.db2.gz XLXCJFVTEOMJHC-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CN(C)C(=O)c1cnnn1C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574764734 950761375 /nfs/dbraw/zinc/76/13/75/950761375.db2.gz XLXCJFVTEOMJHC-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CN(C)C(=O)c1cnon1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574765653 950797074 /nfs/dbraw/zinc/79/70/74/950797074.db2.gz JCIFNDJNWPFUBA-SSDOTTSWSA-N -1 1 330.312 -0.966 20 0 EBADMM C[C@@H](CN(C)C(=O)c1csnn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574765715 950798183 /nfs/dbraw/zinc/79/81/83/950798183.db2.gz JVPYSVRLYPIJGX-ZETCQYMHSA-N -1 1 346.380 -0.497 20 0 EBADMM C[C@H]1CCc2n[nH]cc2[C@H]1C(=O)NCCn1cnc(-c2nn[n-]n2)n1 ZINC001576220264 950823446 /nfs/dbraw/zinc/82/34/46/950823446.db2.gz WTNGPCZUFLMMKD-KWQFWETISA-N -1 1 342.367 -0.336 20 0 EBADMM C[C@@H]1CCc2n[nH]cc2[C@@H]1C(=O)NCCn1cnc(-c2nn[n-]n2)n1 ZINC001576220266 950825982 /nfs/dbraw/zinc/82/59/82/950825982.db2.gz WTNGPCZUFLMMKD-LDYMZIIASA-N -1 1 342.367 -0.336 20 0 EBADMM CC1(C)C[C@@]1(C)CNC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001575639431 950868837 /nfs/dbraw/zinc/86/88/37/950868837.db2.gz BMCHRBSGTPJYOK-AWEZNQCLSA-N -1 1 333.352 -0.319 20 0 EBADMM CC1(C)C[C@@H](NC(=O)CCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)CO1 ZINC001575640027 950883425 /nfs/dbraw/zinc/88/34/25/950883425.db2.gz GJCOWEQNQNYDPR-MRVPVSSYSA-N -1 1 349.351 -0.797 20 0 EBADMM CC1(C)C[C@@H]1C(=O)N(CCO)CCNc1cncc(-c2nnn[n-]2)n1 ZINC001575641414 950921340 /nfs/dbraw/zinc/92/13/40/950921340.db2.gz PQZJXBVMPZODIZ-SNVBAGLBSA-N -1 1 346.395 -0.064 20 0 EBADMM CC1(C)C[C@@H]1C(=O)N(CCO)CCNc1cncc(-c2nn[n-]n2)n1 ZINC001575641414 950921354 /nfs/dbraw/zinc/92/13/54/950921354.db2.gz PQZJXBVMPZODIZ-SNVBAGLBSA-N -1 1 346.395 -0.064 20 0 EBADMM C[C@@H](CNC(=O)c1cccnn1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001575031489 951194277 /nfs/dbraw/zinc/19/42/77/951194277.db2.gz BIXYXWWEGGRYDM-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@@H](CNC(=O)c1cccnn1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575031489 951194290 /nfs/dbraw/zinc/19/42/90/951194290.db2.gz BIXYXWWEGGRYDM-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1cccnn1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001575031488 951194969 /nfs/dbraw/zinc/19/49/69/951194969.db2.gz BIXYXWWEGGRYDM-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1cccnn1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575031488 951194975 /nfs/dbraw/zinc/19/49/75/951194975.db2.gz BIXYXWWEGGRYDM-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1ccnn1C)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001575033896 951232061 /nfs/dbraw/zinc/23/20/61/951232061.db2.gz DOIHXJOXKMLHOA-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1ccnn1C)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575033896 951232073 /nfs/dbraw/zinc/23/20/73/951232073.db2.gz DOIHXJOXKMLHOA-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1ccncn1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575033916 951232332 /nfs/dbraw/zinc/23/23/32/951232332.db2.gz DXMBMVAMOASACI-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@H](CNC(=O)c1ccncn1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575033916 951232345 /nfs/dbraw/zinc/23/23/45/951232345.db2.gz DXMBMVAMOASACI-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@H](CNC(=O)c1ccnn1C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001575035072 951267372 /nfs/dbraw/zinc/26/73/72/951267372.db2.gz UQGSAOVDZKCWIG-MRVPVSSYSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@H](CNC(=O)c1ccnn1C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575035072 951267383 /nfs/dbraw/zinc/26/73/83/951267383.db2.gz UQGSAOVDZKCWIG-MRVPVSSYSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)nn1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001575041797 951351175 /nfs/dbraw/zinc/35/11/75/951351175.db2.gz CHLYBSGIVFJXAB-SSDOTTSWSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)nn1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575041797 951351190 /nfs/dbraw/zinc/35/11/90/951351190.db2.gz CHLYBSGIVFJXAB-SSDOTTSWSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@@H](CNC(=O)c1cn[nH]c(=O)c1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575044667 951417645 /nfs/dbraw/zinc/41/76/45/951417645.db2.gz UTYZDCXEDNUGEZ-ZETCQYMHSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@@H](CNC(=O)c1cn[nH]c(=O)c1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575044667 951417651 /nfs/dbraw/zinc/41/76/51/951417651.db2.gz UTYZDCXEDNUGEZ-ZETCQYMHSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@H](CNC(=O)c1cncn1C)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575046287 951440048 /nfs/dbraw/zinc/44/00/48/951440048.db2.gz MLGAHRSXDBMZSE-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1cncn1C)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575046287 951440057 /nfs/dbraw/zinc/44/00/57/951440057.db2.gz MLGAHRSXDBMZSE-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1cnnn1C)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001575054740 951495988 /nfs/dbraw/zinc/49/59/88/951495988.db2.gz KKVHNBLXEOFVOU-QMMMGPOBSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@H](CNC(=O)c1cnnn1C)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001575054740 951495997 /nfs/dbraw/zinc/49/59/97/951495997.db2.gz KKVHNBLXEOFVOU-QMMMGPOBSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@@H](CNC(=O)c1cnsn1)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001575055537 951507881 /nfs/dbraw/zinc/50/78/81/951507881.db2.gz WORNXTDASTVVFC-SSDOTTSWSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@@H](CNC(=O)c1cnsn1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001575055537 951507888 /nfs/dbraw/zinc/50/78/88/951507888.db2.gz WORNXTDASTVVFC-SSDOTTSWSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@H](CNC(=O)c1cnns1)Nc1nccnc1-c1nnn[n-]1 ZINC001575055673 951511251 /nfs/dbraw/zinc/51/12/51/951511251.db2.gz ZNOZBEISSUWWOM-ZCFIWIBFSA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@H](CNC(=O)c1cnns1)Nc1nccnc1-c1nn[n-]n1 ZINC001575055673 951511256 /nfs/dbraw/zinc/51/12/56/951511256.db2.gz ZNOZBEISSUWWOM-ZCFIWIBFSA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@@H](CNC(=O)c1cnns1)Nc1nccnc1-c1nnn[n-]1 ZINC001575055672 951511331 /nfs/dbraw/zinc/51/13/31/951511331.db2.gz ZNOZBEISSUWWOM-LURJTMIESA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@@H](CNC(=O)c1cnns1)Nc1nccnc1-c1nn[n-]n1 ZINC001575055672 951511337 /nfs/dbraw/zinc/51/13/37/951511337.db2.gz ZNOZBEISSUWWOM-LURJTMIESA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@H](CNC(=O)c1n[nH]cc1F)CNc1nccnc1-c1nnn[n-]1 ZINC001575060603 951542083 /nfs/dbraw/zinc/54/20/83/951542083.db2.gz ARHAJMOBMLNGKB-ZETCQYMHSA-N -1 1 346.330 -0.003 20 0 EBADMM C[C@H](CNC(=O)c1n[nH]cc1F)CNc1nccnc1-c1nn[n-]n1 ZINC001575060603 951542089 /nfs/dbraw/zinc/54/20/89/951542089.db2.gz ARHAJMOBMLNGKB-ZETCQYMHSA-N -1 1 346.330 -0.003 20 0 EBADMM O=C([O-])CN1CCC[C@@H](C(=O)Nc2nc(SCCO)n[nH]2)C1=O ZINC001595087277 951744519 /nfs/dbraw/zinc/74/45/19/951744519.db2.gz LTUHAKZWODYSBJ-ZETCQYMHSA-N -1 1 343.365 -0.849 20 0 EBADMM O=C([O-])CN1CCC[C@H](C(=O)Nc2nc(SCCO)n[nH]2)C1=O ZINC001595087276 951744585 /nfs/dbraw/zinc/74/45/85/951744585.db2.gz LTUHAKZWODYSBJ-SSDOTTSWSA-N -1 1 343.365 -0.849 20 0 EBADMM C[C@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)Cn1ccnc1 ZINC001575132611 952096673 /nfs/dbraw/zinc/09/66/73/952096673.db2.gz HKHYZUCNJLILPO-SNVBAGLBSA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)[C@]12C[C@H]1COC2 ZINC001575140553 952142731 /nfs/dbraw/zinc/14/27/31/952142731.db2.gz DPUDUGQWBAUZLQ-FTGAXOIBSA-N -1 1 344.379 -0.626 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)[C@H]1CCCOC1 ZINC001575143080 952149435 /nfs/dbraw/zinc/14/94/35/952149435.db2.gz MSLRKSPQJPGZGL-QWRGUYRKSA-N -1 1 346.395 -0.236 20 0 EBADMM O=C([O-])Cn1cccc(C(=O)NC[C@@H]2CN3CCN2CCC3)c1=O ZINC001595155487 952163256 /nfs/dbraw/zinc/16/32/56/952163256.db2.gz QZKFRQDIQBUZNW-GFCCVEGCSA-N -1 1 334.376 -0.947 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)Cc1cnoc1 ZINC001575144469 952167874 /nfs/dbraw/zinc/16/78/74/952167874.db2.gz VVUWPKQYVMAMAJ-SECBINFHSA-N -1 1 343.351 -0.432 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1ccon1 ZINC001575144810 952172602 /nfs/dbraw/zinc/17/26/02/952172602.db2.gz MANWNOPPANTVNQ-QMMMGPOBSA-N -1 1 329.324 -0.361 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001575144978 952174859 /nfs/dbraw/zinc/17/48/59/952174859.db2.gz ZVMJOQXYTPWUDZ-UXCLJVHYSA-N -1 1 344.379 -0.770 20 0 EBADMM C[C@@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)[C@H]1CCC(=O)N1 ZINC001575153154 952207382 /nfs/dbraw/zinc/20/73/82/952207382.db2.gz DFTOJZIOBJBNOL-DTWKUNHWSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)[C@H]1CCC(=O)N1 ZINC001575153154 952207388 /nfs/dbraw/zinc/20/73/88/952207388.db2.gz DFTOJZIOBJBNOL-DTWKUNHWSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)Cn1ccnc1 ZINC001575153388 952208546 /nfs/dbraw/zinc/20/85/46/952208546.db2.gz GUDNQTOVQIWKGQ-JTQLQIEISA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)c1cncn1C ZINC001575155772 952243675 /nfs/dbraw/zinc/24/36/75/952243675.db2.gz AGAWAYCEUZWUJA-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)c1cncn1C ZINC001575155772 952243679 /nfs/dbraw/zinc/24/36/79/952243679.db2.gz AGAWAYCEUZWUJA-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001575155712 952243962 /nfs/dbraw/zinc/24/39/62/952243962.db2.gz AACBJRDQECBNDZ-QISWUMQESA-N -1 1 344.379 -0.192 20 0 EBADMM C[C@@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001575155712 952243969 /nfs/dbraw/zinc/24/39/69/952243969.db2.gz AACBJRDQECBNDZ-QISWUMQESA-N -1 1 344.379 -0.192 20 0 EBADMM C[C@@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)[C@H]1COCCO1 ZINC001575156716 952255993 /nfs/dbraw/zinc/25/59/93/952255993.db2.gz HTYLFLFGLVRKBS-GXSJLCMTSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)[C@H]1COCCO1 ZINC001575156716 952255998 /nfs/dbraw/zinc/25/59/98/952255998.db2.gz HTYLFLFGLVRKBS-GXSJLCMTSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)c1cnon1 ZINC001575174654 952376371 /nfs/dbraw/zinc/37/63/71/952376371.db2.gz BRIUOPRPTWFXGB-SSDOTTSWSA-N -1 1 330.312 -0.388 20 0 EBADMM C[C@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)c1cnon1 ZINC001575174654 952376378 /nfs/dbraw/zinc/37/63/78/952376378.db2.gz BRIUOPRPTWFXGB-SSDOTTSWSA-N -1 1 330.312 -0.388 20 0 EBADMM CC(CO)(CO)CNS(=O)(=O)c1ccc(-c2nnn[n-]2)s1 ZINC001575179631 952413411 /nfs/dbraw/zinc/41/34/11/952413411.db2.gz WNHUZYMUBZBIOU-UHFFFAOYSA-N -1 1 333.395 -0.803 20 0 EBADMM CC(CO)(CO)CNS(=O)(=O)c1ccc(-c2nn[n-]n2)s1 ZINC001575179631 952413414 /nfs/dbraw/zinc/41/34/14/952413414.db2.gz WNHUZYMUBZBIOU-UHFFFAOYSA-N -1 1 333.395 -0.803 20 0 EBADMM C[C@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)c1cnn(C)c1 ZINC001575180606 952419980 /nfs/dbraw/zinc/41/99/80/952419980.db2.gz WLHYNNIVVUXZRA-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)c1cnn(C)c1 ZINC001575180606 952419988 /nfs/dbraw/zinc/41/99/88/952419988.db2.gz WLHYNNIVVUXZRA-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@](Cn1cccn1)(NC(=O)c1ccc(-c2nnn[n-]2)s1)C(N)=O ZINC001575206450 952529100 /nfs/dbraw/zinc/52/91/00/952529100.db2.gz JNQOYNUQMKJOAT-ZDUSSCGKSA-N -1 1 346.376 -0.201 20 0 EBADMM C[C@@](Cn1cccn1)(NC(=O)c1ccc(-c2nn[n-]n2)s1)C(N)=O ZINC001575206450 952529104 /nfs/dbraw/zinc/52/91/04/952529104.db2.gz JNQOYNUQMKJOAT-ZDUSSCGKSA-N -1 1 346.376 -0.201 20 0 EBADMM C[C@](Cn1cccn1)(NC(=O)c1cccc(-c2nnn[n-]2)n1)C(N)=O ZINC001575207939 952536687 /nfs/dbraw/zinc/53/66/87/952536687.db2.gz WPQSSSKFDALGQU-CQSZACIVSA-N -1 1 341.335 -0.868 20 0 EBADMM C[C@](Cn1cccn1)(NC(=O)c1cccc(-c2nn[n-]n2)n1)C(N)=O ZINC001575207939 952536690 /nfs/dbraw/zinc/53/66/90/952536690.db2.gz WPQSSSKFDALGQU-CQSZACIVSA-N -1 1 341.335 -0.868 20 0 EBADMM O=C([O-])[C@@H]1CCN(C(=O)C(=O)NC[C@H]2CCN2C2CCOCC2)C1 ZINC001594750478 953420657 /nfs/dbraw/zinc/42/06/57/953420657.db2.gz BWGIVFLAVPUBSG-DGCLKSJQSA-N -1 1 339.392 -0.711 20 0 EBADMM CCN1C(=O)N=NC1C1CCN([C@H]2CCN(CC(=O)[O-])C2=O)CC1 ZINC001593659932 953786889 /nfs/dbraw/zinc/78/68/89/953786889.db2.gz HIDSOUGOPWFCBZ-NSHDSACASA-N -1 1 337.380 -0.132 20 0 EBADMM C[C@@H]1CN2CCN1C[C@@H]2C(=O)Nc1nc2n(c1C(=O)[O-])CCOC2 ZINC001589406084 954463860 /nfs/dbraw/zinc/46/38/60/954463860.db2.gz GGPFLDKZLKIWMT-NXEZZACHSA-N -1 1 335.364 -0.562 20 0 EBADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2CCS(=O)(=O)C[C@@H]2C(=O)[O-])C1 ZINC001589413042 954533271 /nfs/dbraw/zinc/53/32/71/954533271.db2.gz OEYZAXRGXMBNDU-FBIMIBRVSA-N -1 1 341.389 -0.214 20 0 EBADMM CC(C)C[C@H](CNC(=O)C(=O)NC[C@@H]1CN(C)CCN1C)C(=O)[O-] ZINC001603004731 972055132 /nfs/dbraw/zinc/05/51/32/972055132.db2.gz KMKIQDXRESOTQO-CHWSQXEVSA-N -1 1 342.440 -0.789 20 0 EBADMM COC(=O)[C@@H]1CN(C)CCN(C(=O)N[C@H]2CC[C@@H](C(=O)[O-])C2)C1 ZINC001593829034 955131502 /nfs/dbraw/zinc/13/15/02/955131502.db2.gz UGFXLLASIVKHQH-UTUOFQBUSA-N -1 1 327.381 -0.014 20 0 EBADMM COC(=O)CC(=O)N1CCC[C@@H](NC(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC001593829255 955134561 /nfs/dbraw/zinc/13/45/61/955134561.db2.gz FPZVLDYLDDGNNE-MUWHJKNJSA-N -1 1 340.254 -0.328 20 0 EBADMM COC(=O)[C@@H](Cc1cnc[nH]1)NC(=O)[C@H]1CO[C@H](CCC(=O)[O-])C1 ZINC001593860250 955529174 /nfs/dbraw/zinc/52/91/74/955529174.db2.gz JOFOPUGGCLWRDL-YUSALJHKSA-N -1 1 339.348 -0.120 20 0 EBADMM Cn1cc([C@H]2C[C@H](C(=O)[O-])CN(CC(=O)N3CCOCC3)C2)cn1 ZINC001594534677 955561612 /nfs/dbraw/zinc/56/16/12/955561612.db2.gz AGRDBAUMHOKVBT-STQMWFEESA-N -1 1 336.392 -0.231 20 0 EBADMM CO[C@@H](C(=O)[O-])C(=O)N(CCCN(C)C)[C@H]1CCS(=O)(=O)C1 ZINC001593901735 955876963 /nfs/dbraw/zinc/87/69/63/955876963.db2.gz FPZRYGYOVKLNOB-WDEREUQCSA-N -1 1 336.410 -0.947 20 0 EBADMM C[C@H](NC(=O)c1cccn(CC(=O)[O-])c1=O)[C@@H]1CN(C)CCN1C ZINC001589117255 956100358 /nfs/dbraw/zinc/10/03/58/956100358.db2.gz YBZHLZZSFXCLAX-AAEUAGOBSA-N -1 1 336.392 -0.703 20 0 EBADMM CO[C@](C)(C(=O)[O-])C(=O)NC1CCN([C@@H]2CCN(C)C2=O)CC1 ZINC001593925637 956120442 /nfs/dbraw/zinc/12/04/42/956120442.db2.gz ZSZXMCCXEDNAQS-ABAIWWIYSA-N -1 1 327.381 -0.713 20 0 EBADMM Cn1nnnc1CN1CCC[C@H](NC(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC001594602326 956146128 /nfs/dbraw/zinc/14/61/28/956146128.db2.gz VIJCEIKONPKIEX-POYBYMJQSA-N -1 1 336.274 -0.694 20 0 EBADMM COC[C@]1(C(=O)[O-])CCN(CC(=O)NCC(=O)NC(C)(C)C)C1 ZINC001593966842 956559681 /nfs/dbraw/zinc/55/96/81/956559681.db2.gz OAHBZINETKMHDO-HNNXBMFYSA-N -1 1 329.397 -0.560 20 0 EBADMM C[C@@H](CN1CCN(C)CC1)NC(=O)N1C[C@@H]2COC[C@]2(C(=O)[O-])C1 ZINC001603159410 972262245 /nfs/dbraw/zinc/26/22/45/972262245.db2.gz ZSEKACKMYQLRPO-WOSRLPQWSA-N -1 1 340.424 -0.635 20 0 EBADMM Cc1cc(C(N)=O)cc(S(=O)(=O)N(CCN(C)C)CC(=O)[O-])c1 ZINC001594292958 957840144 /nfs/dbraw/zinc/84/01/44/957840144.db2.gz VHFZHNIBQKQSDR-UHFFFAOYSA-N -1 1 343.405 -0.269 20 0 EBADMM CSCc1n[nH]c(CNS(=O)(=O)N2CCC(C(=O)[O-])CC2)n1 ZINC001594188696 959144869 /nfs/dbraw/zinc/14/48/69/959144869.db2.gz GEPUUCITMBOQRE-UHFFFAOYSA-N -1 1 349.438 -0.201 20 0 EBADMM CSCc1nnc(CNS(=O)(=O)N2CCC(C(=O)[O-])CC2)[nH]1 ZINC001594188696 959144879 /nfs/dbraw/zinc/14/48/79/959144879.db2.gz GEPUUCITMBOQRE-UHFFFAOYSA-N -1 1 349.438 -0.201 20 0 EBADMM CC(C)S(=O)(=O)CCNC(=O)NC1CCN(CC(=O)[O-])CC1 ZINC001573552778 959239573 /nfs/dbraw/zinc/23/95/73/959239573.db2.gz DXZRBXASGTZZNH-UHFFFAOYSA-N -1 1 335.426 -0.342 20 0 EBADMM CN1CC[C@@H](N2CCC(NC(=O)[C@H]3CC(C(=O)[O-])=NO3)CC2)C1=O ZINC001588870487 962057640 /nfs/dbraw/zinc/05/76/40/962057640.db2.gz LTCOTHZYCVXILD-VXGBXAGGSA-N -1 1 338.364 -0.973 20 0 EBADMM O=C([O-])[C@@H]1CC[C@H](C(=O)NC[C@@]2(CO)COC[C@@H]3CCCN32)C1 ZINC001574573840 962067838 /nfs/dbraw/zinc/06/78/38/962067838.db2.gz PTQMGTLVAJEXCY-RSUWNVLCSA-N -1 1 326.393 -0.171 20 0 EBADMM O=C([O-])c1cnc(N2[C@@H]3CC[C@H]2[C@H](NC(=O)c2cnn[nH]2)C3)nc1 ZINC001571185850 962203442 /nfs/dbraw/zinc/20/34/42/962203442.db2.gz XHQPJUPONBBYNM-KKZNHRDASA-N -1 1 329.320 -0.167 20 0 EBADMM Cc1nc(CC(=O)NC2(CC(=O)[O-])CCS(=O)(=O)CC2)n[nH]1 ZINC001571190908 962248465 /nfs/dbraw/zinc/24/84/65/962248465.db2.gz OJXXPQLJHNFWQT-UHFFFAOYSA-N -1 1 330.366 -0.806 20 0 EBADMM O=C([O-])c1nc(NC[C@H]2CCCCN2C(=O)c2nnc[n-]2)cc[nH+]1 ZINC001571196425 962316807 /nfs/dbraw/zinc/31/68/07/962316807.db2.gz MWKYEYZLKOXOAN-SECBINFHSA-N -1 1 331.336 -0.178 20 0 EBADMM C[C@@H](CNS(=O)(=O)c1cc(C(=O)[O-])[nH]n1)N1CCN(C)CC1 ZINC001571198198 962330469 /nfs/dbraw/zinc/33/04/69/962330469.db2.gz ABJNFYYOPFFVHJ-VIFPVBQESA-N -1 1 331.398 -0.978 20 0 EBADMM O=C([O-])[C@@H]1C[C@H]1C(=O)NC1CCN(C(=O)CCc2c[nH]nn2)CC1 ZINC001571222945 962557953 /nfs/dbraw/zinc/55/79/53/962557953.db2.gz AHAHJYNARPGMJS-VXGBXAGGSA-N -1 1 335.364 -0.435 20 0 EBADMM O=C([O-])[C@@H]1C[C@H]1C(=O)NC1CCN(C(=O)CCc2cnn[nH]2)CC1 ZINC001571222945 962557959 /nfs/dbraw/zinc/55/79/59/962557959.db2.gz AHAHJYNARPGMJS-VXGBXAGGSA-N -1 1 335.364 -0.435 20 0 EBADMM O=C([O-])CN1CCC[C@H](C(=O)N2CCC[C@@H](c3nc[nH]n3)C2)C1=O ZINC001574720294 962764780 /nfs/dbraw/zinc/76/47/80/962764780.db2.gz WVVUHMHIEOCRPR-GHMZBOCLSA-N -1 1 335.364 -0.166 20 0 EBADMM O=C([O-])CN1CCC[C@H](C(=O)N2CCC[C@H](c3nc[nH]n3)C2)C1=O ZINC001574720297 962765820 /nfs/dbraw/zinc/76/58/20/962765820.db2.gz WVVUHMHIEOCRPR-WDEREUQCSA-N -1 1 335.364 -0.166 20 0 EBADMM CC(C)(CNS(=O)(=O)c1cc(C(=O)[O-])no1)S(C)(=O)=O ZINC000315734242 963931262 /nfs/dbraw/zinc/93/12/62/963931262.db2.gz CYVOCEKMXPYAHF-UHFFFAOYSA-N -1 1 326.352 -0.526 20 0 EBADMM CN(CCCC(=O)[O-])C(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC001604155470 972565329 /nfs/dbraw/zinc/56/53/29/972565329.db2.gz RARGDWFMOXFONR-CQSZACIVSA-N -1 1 342.440 -0.101 20 0 EBADMM CN1C(=O)c2ccccc2[C@H]1CNCCS(=O)(=O)CC(=O)[O-] ZINC001604201509 972656916 /nfs/dbraw/zinc/65/69/16/972656916.db2.gz VLSPVSYTFPLKPG-GFCCVEGCSA-N -1 1 326.374 -0.098 20 0 EBADMM CN1CCN(C)[C@@H](CNC(=O)C(=O)NC2CCC(C(=O)[O-])CC2)C1 ZINC001604220631 972703158 /nfs/dbraw/zinc/70/31/58/972703158.db2.gz FWRPCYYYNPNKHB-BPCQOVAHSA-N -1 1 340.424 -0.892 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N[C@@H]2CCN(CCN3CCOCC3)C2)CCCO1 ZINC001605922599 972807680 /nfs/dbraw/zinc/80/76/80/972807680.db2.gz DJYAAPPYVNVRJA-CJNGLKHVSA-N -1 1 341.408 -0.857 20 0 EBADMM CN1CCC(O)(CNS(=O)(=O)c2cc(C(=O)[O-])ccn2)CC1 ZINC000397567414 973009220 /nfs/dbraw/zinc/00/92/20/973009220.db2.gz WLWLJNMUOUEURQ-UHFFFAOYSA-N -1 1 329.378 -0.485 20 0 EBADMM COC(=O)[C@@H](Cc1cnc[nH]1)NC(=O)C1(C(=O)[O-])CCOCC1 ZINC001604348340 973040594 /nfs/dbraw/zinc/04/05/94/973040594.db2.gz LPNOCXUIJGCBGI-SNVBAGLBSA-N -1 1 325.321 -0.509 20 0 EBADMM C[C@@H]1CN(CCNS(=O)(=O)c2cnn(C)c2)CC[C@H]1C(=O)[O-] ZINC001603461531 973302924 /nfs/dbraw/zinc/30/29/24/973302924.db2.gz PUJNNRYXGCETOA-ZYHUDNBSSA-N -1 1 330.410 -0.259 20 0 EBADMM COCCN(CCOC)C1CN(C(=O)c2cc(C(=O)[O-])n[nH]2)C1 ZINC001604610429 973721011 /nfs/dbraw/zinc/72/10/11/973721011.db2.gz NKMCLUSZBRQJDX-UHFFFAOYSA-N -1 1 326.353 -0.473 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)N2CC[C@@H](C(=O)[O-])[C@@H](C)C2)C1 ZINC001604612617 973732401 /nfs/dbraw/zinc/73/24/01/973732401.db2.gz OHBQJKDBJZHLGX-RWMBFGLXSA-N -1 1 341.408 -0.608 20 0 EBADMM COCn1nc(C)c(S(=O)(=O)N(CCN(C)C)CC(=O)[O-])c1C ZINC001604651889 973797075 /nfs/dbraw/zinc/79/70/75/973797075.db2.gz ZQOBEAZYXSQKSV-UHFFFAOYSA-N -1 1 348.425 -0.259 20 0 EBADMM CCCN(C(=O)C(=O)Nc1ccn(CC(=O)[O-])n1)[C@H]1CCN(C)C1 ZINC001603654988 974025428 /nfs/dbraw/zinc/02/54/28/974025428.db2.gz NCEBIBAEMOCZDX-NSHDSACASA-N -1 1 337.380 -0.151 20 0 EBADMM CCc1nc(CNC(=O)N[C@@H]2CCCCN(CC(=O)[O-])C2=O)n[nH]1 ZINC001603984145 974488782 /nfs/dbraw/zinc/48/87/82/974488782.db2.gz ZQHSQRGLFWBYLR-SECBINFHSA-N -1 1 338.368 -0.368 20 0 EBADMM CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N1C[C@H](O)C[C@@H](C(=O)[O-])C1 ZINC001591858656 976150901 /nfs/dbraw/zinc/15/09/01/976150901.db2.gz FNNLLGZVALBPOE-HLTSFMKQSA-N -1 1 340.298 -0.017 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@@H]2CCc3nnnn3CC2)CC1 ZINC001592410735 978004779 /nfs/dbraw/zinc/00/47/79/978004779.db2.gz OHGHPKJHMIBZSB-VXGBXAGGSA-N -1 1 336.396 -0.367 20 0 EBADMM C[C@@H](CCNC(=O)CCc1c[nH]nn1)Nc1ccnc(C(=O)[O-])n1 ZINC001592513404 978345322 /nfs/dbraw/zinc/34/53/22/978345322.db2.gz OSMSDLBLQXFRQY-VIFPVBQESA-N -1 1 333.352 -0.346 20 0 EBADMM C[C@@H](CCNC(=O)CCc1cnn[nH]1)Nc1ccnc(C(=O)[O-])n1 ZINC001592513404 978345330 /nfs/dbraw/zinc/34/53/30/978345330.db2.gz OSMSDLBLQXFRQY-VIFPVBQESA-N -1 1 333.352 -0.346 20 0 EBADMM C[C@H](CNS(=O)(=O)c1cc(C(=O)[O-])[nH]n1)CN1CCN(C)CC1 ZINC001592715759 979212966 /nfs/dbraw/zinc/21/29/66/979212966.db2.gz HEVQMUQMIRPOFP-SNVBAGLBSA-N -1 1 345.425 -0.730 20 0 EBADMM C[C@](CO)(NC(=O)CNC(=O)c1c[nH]c2ccccc2c1=O)C(=O)[O-] ZINC001592744444 979288599 /nfs/dbraw/zinc/28/85/99/979288599.db2.gz ZAJOBRJNMPZWIQ-MRXNPFEDSA-N -1 1 347.327 -0.790 20 0 EBADMM CC[C@@H](CO)N1CCN(C(=O)CN2CCC[C@@H](C(=O)[O-])C2=O)CC1 ZINC001595174665 979757000 /nfs/dbraw/zinc/75/70/00/979757000.db2.gz SXDYJRGHNPFLFI-QWHCGFSZSA-N -1 1 341.408 -0.775 20 0 EBADMM C[C@H](Cc1cnc[nH]1)C(=O)N1CCN([C@@]2(C(=O)[O-])CCOC2)CC1 ZINC001592907983 979859770 /nfs/dbraw/zinc/85/97/70/979859770.db2.gz VKWGHIBGJQBZJP-WBMJQRKESA-N -1 1 336.392 -0.024 20 0 EBADMM CC[C@@H](O)Cn1cc(C(=O)N2CCN(C)C[C@H](C(=O)[O-])C2)nn1 ZINC001595332169 980578670 /nfs/dbraw/zinc/57/86/70/980578670.db2.gz SGYVDNMJGUCUNN-WDEREUQCSA-N -1 1 325.369 -0.863 20 0 EBADMM CCN(C(=O)[C@H](C)N[C@H](C(=O)[O-])C1CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001596128099 983471495 /nfs/dbraw/zinc/47/14/95/983471495.db2.gz RXWFHDJSOHQPGJ-WCQGTBRESA-N -1 1 332.422 -0.137 20 0 EBADMM CCN(CCNC(=O)C1=NC(=O)N(C)C1)c1ccnc(C(=O)[O-])n1 ZINC001596335144 983779950 /nfs/dbraw/zinc/77/99/50/983779950.db2.gz IWADMTBUBRTWRK-UHFFFAOYSA-N -1 1 334.336 -0.130 20 0 EBADMM CCN(CCO)CCNS(=O)(=O)c1cc(OC)ccc1C(=O)[O-] ZINC001596340918 983789873 /nfs/dbraw/zinc/78/98/73/983789873.db2.gz TUDMADBIXQQFFM-UHFFFAOYSA-N -1 1 346.405 -0.014 20 0 EBADMM CCN1C[C@@H](NC(=O)N2CCN(CCC(=O)[O-])C[C@@H]2C)CC1=O ZINC001596398599 983951829 /nfs/dbraw/zinc/95/18/29/983951829.db2.gz ZSRKQEOTYHLJOH-RYUDHWBXSA-N -1 1 326.397 -0.202 20 0 EBADMM CCN1CCCN(C(=O)C(=O)N2CCO[C@@H](CC(=O)[O-])C2)CC1 ZINC001596425328 984072769 /nfs/dbraw/zinc/07/27/69/984072769.db2.gz UGDLSYWXZDJSQS-LBPRGKRZSA-N -1 1 327.381 -0.757 20 0 EBADMM CCOC(=O)[C@@H]1CCCN(C(=O)CN2CC[C@@](O)(C(=O)[O-])C2)C1 ZINC001596575069 984475274 /nfs/dbraw/zinc/47/52/74/984475274.db2.gz VABBJYZAQBJRAL-ABAIWWIYSA-N -1 1 328.365 -0.691 20 0 EBADMM C[C@H]1CN(S(=O)(=O)CCCN2CCN(C)CC2)C[C@]1(C)C(=O)[O-] ZINC001599930322 985302810 /nfs/dbraw/zinc/30/28/10/985302810.db2.gz IRTUWPZXDAFIOW-ZFWWWQNUSA-N -1 1 347.481 -0.004 20 0 EBADMM C[C@H]1CN(C2CC2)C[C@@H]1NC(=O)C(=O)NC[C@H]1CCO[C@H]1C(=O)[O-] ZINC001594505920 985716267 /nfs/dbraw/zinc/71/62/67/985716267.db2.gz JKPBRMLQCQEQRY-JULQROHOSA-N -1 1 339.392 -0.809 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)CN1CCCCS1(=O)=O ZINC001594516406 985901056 /nfs/dbraw/zinc/90/10/56/985901056.db2.gz WOKXFKYWJKHRND-GFCCVEGCSA-N -1 1 347.437 -0.581 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NCCO[C@H]1CCOC1 ZINC001594519111 985942266 /nfs/dbraw/zinc/94/22/66/985942266.db2.gz KWLBRBAQIGUMQZ-OLZOCXBDSA-N -1 1 329.397 -0.018 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NCc1nnc2n1CCC2 ZINC001594519455 985955837 /nfs/dbraw/zinc/95/58/37/985955837.db2.gz VQILRNHYPZFSHE-LLVKDONJSA-N -1 1 336.396 -0.085 20 0 EBADMM C[C@@H]1CN(CCCOC(=O)CN2CCC[C@@H](C(=O)[O-])C2=O)CCO1 ZINC001594527240 986070151 /nfs/dbraw/zinc/07/01/51/986070151.db2.gz HWZUAHGDUQYFRS-CHWSQXEVSA-N -1 1 342.392 -0.036 20 0 EBADMM C[C@@H]1CN(CCCNC(=O)N2C[C@H](O)C[C@@H](C(=O)[O-])C2)C[C@H](C)O1 ZINC001594529011 986107693 /nfs/dbraw/zinc/10/76/93/986107693.db2.gz SSXITRUWVZNRHH-XJFOESAGSA-N -1 1 343.424 -0.037 20 0 EBADMM C[C@@H]1CN2CCN1C[C@@H]2C(=O)N1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001594584028 986455077 /nfs/dbraw/zinc/45/50/77/986455077.db2.gz BPKIJSZXVXUWOT-NTZNESFSSA-N -1 1 334.380 -0.862 20 0 EBADMM C[C@H]1CNCCN1C(=O)C(=O)N1CCOc2cc(C(=O)[O-])ccc2C1 ZINC001594584239 986458358 /nfs/dbraw/zinc/45/83/58/986458358.db2.gz DKYVLJHEMJSPAN-NSHDSACASA-N -1 1 347.371 -0.074 20 0 EBADMM C[C@@H]1CNCCN1C(=O)C(=O)N[C@@H]1CCOC2(CC(C(=O)[O-])C2)C1 ZINC001594585370 986477556 /nfs/dbraw/zinc/47/75/56/986477556.db2.gz YVIIYMIRXKATCI-QZCIIZHISA-N -1 1 339.392 -0.665 20 0 EBADMM C[C@H]1COC[C@@H]1NC(=O)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC001594592757 986497873 /nfs/dbraw/zinc/49/78/73/986497873.db2.gz MAZYHOHNXVOZMW-QWRGUYRKSA-N -1 1 327.381 -0.855 20 0 EBADMM C[C@@H](C(=O)NCC(=O)[O-])N1CC[C@@H](C(=O)NCC(F)(F)F)C1 ZINC001589397968 986544587 /nfs/dbraw/zinc/54/45/87/986544587.db2.gz XSZGTGZJRWNULH-JGVFFNPUSA-N -1 1 325.287 -0.424 20 0 EBADMM C[C@H](C(=O)NCC(=O)[O-])N1CC[C@@H](C(=O)NCC(F)(F)F)C1 ZINC001589397967 986545124 /nfs/dbraw/zinc/54/51/24/986545124.db2.gz XSZGTGZJRWNULH-HTQZYQBOSA-N -1 1 325.287 -0.424 20 0 EBADMM C[C@@H](C(=O)[O-])c1cccc(NC(=O)C(=O)NCCN2CC[C@H](O)C2)c1 ZINC001589522456 987178129 /nfs/dbraw/zinc/17/81/29/987178129.db2.gz KRTBYFZFXXGKCT-RISCZKNCSA-N -1 1 349.387 -0.004 20 0 EBADMM CC(C)(C(=O)[O-])N1CCN(C(=O)C(=O)NCCc2cnccn2)CC1 ZINC001589621100 987500980 /nfs/dbraw/zinc/50/09/80/987500980.db2.gz SKNJATIIHAZEJY-UHFFFAOYSA-N -1 1 349.391 -0.857 20 0 EBADMM CN(C)S(=O)(=O)CCCN1CCC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001598262606 991100730 /nfs/dbraw/zinc/10/07/30/991100730.db2.gz YJSDQFJFQOIHTP-NSHDSACASA-N -1 1 345.425 -0.105 20 0 EBADMM CC(C)[C@@H]1CN(C)CCN1C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)[O-] ZINC001591096715 991692647 /nfs/dbraw/zinc/69/26/47/991692647.db2.gz QEBMKZYAXZODIA-SRVKXCTJSA-N -1 1 328.413 -0.054 20 0 EBADMM CC(C)C[C@H](NC(=O)CN1CCN(C[C@H](C)O)C[C@H]1C)C(=O)[O-] ZINC001591168089 991944009 /nfs/dbraw/zinc/94/40/09/991944009.db2.gz SVGPWMDLCIWSMA-RDBSUJKOSA-N -1 1 329.441 -0.011 20 0 EBADMM CC(C)C[C@H](NC(=O)CN1CCN(C[C@@H](C)O)C[C@@H]1C)C(=O)[O-] ZINC001591168088 991944339 /nfs/dbraw/zinc/94/43/39/991944339.db2.gz SVGPWMDLCIWSMA-MJBXVCDLSA-N -1 1 329.441 -0.011 20 0 EBADMM CN(CCC(=O)[O-])S(=O)(=O)NCc1n[nH]c(-c2ccncc2)n1 ZINC001598470201 992014726 /nfs/dbraw/zinc/01/47/26/992014726.db2.gz QIIQJTLFKNCVLI-UHFFFAOYSA-N -1 1 340.365 -0.392 20 0 EBADMM CS(=O)(=O)Nc1ccc(C(=O)N2C[C@H](O)C[C@H]2C(=O)[O-])cn1 ZINC001599761437 992127563 /nfs/dbraw/zinc/12/75/63/992127563.db2.gz ZSLXAJCLDMSZJX-BDAKNGLRSA-N -1 1 329.334 -0.887 20 0 EBADMM CN(CCNC(=O)[C@@H]1C[C@H]1C(=O)[O-])CC1CCS(=O)(=O)CC1 ZINC001598489735 992268189 /nfs/dbraw/zinc/26/81/89/992268189.db2.gz WRBWBDFMVCJIRM-VXGBXAGGSA-N -1 1 332.422 -0.420 20 0 EBADMM CC(C)[N@@H+](CCCNC(=O)[C@H]1C[C@H]1C(=O)[O-])Cc1n[nH]c(=O)[n-]1 ZINC001591590751 992803253 /nfs/dbraw/zinc/80/32/53/992803253.db2.gz GELSILPWJGNNQK-VHSXEESVSA-N -1 1 325.369 -0.052 20 0 EBADMM CN1C[C@H](C(=O)[O-])N(C(=O)c2cc(Br)[nH]n2)CC1=O ZINC001598565670 993140695 /nfs/dbraw/zinc/14/06/95/993140695.db2.gz XXVJKCOOZCYWQU-ZCFIWIBFSA-N -1 1 331.126 -0.460 20 0 EBADMM CN1CC[C@](O)(CNS(=O)(=O)Cc2cccc(C(=O)[O-])c2)C1 ZINC001598586539 993392147 /nfs/dbraw/zinc/39/21/47/993392147.db2.gz RWLMQTKDWDKUIW-AWEZNQCLSA-N -1 1 328.390 -0.129 20 0 EBADMM C[N@H+]1CCN(C(=O)C(C)(C)[N-]C(=O)C(F)(F)F)C[C@@H](C(=O)[O-])C1 ZINC001598603298 993600259 /nfs/dbraw/zinc/60/02/59/993600259.db2.gz DYNVSCZZSGGMNZ-QMMMGPOBSA-N -1 1 339.314 -0.082 20 0 EBADMM CN1CCN(C)CCN(C(=O)CC[C@@H]2C[C@H](C(=O)[O-])CO2)CC1 ZINC001598614938 993862096 /nfs/dbraw/zinc/86/20/96/993862096.db2.gz SCQXVIMRZPHCGR-UONOGXRCSA-N -1 1 327.425 -0.038 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)c2coc(CO)c2)CC1 ZINC001598616600 993895036 /nfs/dbraw/zinc/89/50/36/993895036.db2.gz IFZUGYUPYGSCBZ-UHFFFAOYSA-N -1 1 325.365 -0.454 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)[C@@H]2CC[C@H](C(N)=O)C2)CC1 ZINC001598616931 993901020 /nfs/dbraw/zinc/90/10/20/993901020.db2.gz QNEJJZBBGACUSZ-QWHCGFSZSA-N -1 1 340.424 -0.951 20 0 EBADMM Cn1nc(C(=O)N(CCN2CCN(C)CC2)CC(=O)[O-])cc1C=O ZINC001598617141 993907065 /nfs/dbraw/zinc/90/70/65/993907065.db2.gz VCVRKTIIXNBMES-UHFFFAOYSA-N -1 1 337.380 -0.993 20 0 EBADMM CN1CCN(CCCS(=O)(=O)N2CCC[C@@H]3C[C@@]32C(=O)[O-])CC1 ZINC001598618018 993929925 /nfs/dbraw/zinc/92/99/25/993929925.db2.gz PISNMWAECOUMRU-HIFRSBDPSA-N -1 1 345.465 -0.107 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])S(=O)(=O)c2cccnc2)CC1 ZINC001598618681 993947703 /nfs/dbraw/zinc/94/77/03/993947703.db2.gz QINXMFTWPDMEPY-UHFFFAOYSA-N -1 1 342.421 -0.596 20 0 EBADMM CN1CCN(CCNC(=O)COCc2ocnc2C(=O)[O-])CC1 ZINC001598618703 993949731 /nfs/dbraw/zinc/94/97/31/993949731.db2.gz RGBPVUWRJVXODX-UHFFFAOYSA-N -1 1 326.353 -0.747 20 0 EBADMM CNC(=O)[C@@]1(C)CCN(CN2C(=O)N[C@@](C)(CCC(=O)[O-])C2=O)C1 ZINC001598635418 994239345 /nfs/dbraw/zinc/23/93/45/994239345.db2.gz DTWBWRMQYCBCQJ-GJZGRUSLSA-N -1 1 340.380 -0.423 20 0 EBADMM COC(=O)[C@H](CC(F)F)NS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC001598699226 995194378 /nfs/dbraw/zinc/19/43/78/995194378.db2.gz UWISLBWPUMKNAU-YFKPBYRVSA-N -1 1 328.249 -0.152 20 0 EBADMM COC(=O)[C@@H]1CC[C@H](C(=O)[O-])N(C(=O)CN(C)[C@@H]2CCC[C@H]2O)C1 ZINC001598780051 995973784 /nfs/dbraw/zinc/97/37/84/995973784.db2.gz YIBSPZUGVAMQIV-FDYHWXHSSA-N -1 1 342.392 -0.304 20 0 EBADMM COC(=O)[C@@H]1CSCCN([C@H]2CCN(CCC(=O)[O-])C2=O)C1 ZINC001598827122 996394969 /nfs/dbraw/zinc/39/49/69/996394969.db2.gz XLIUYRXIJKAALA-QWRGUYRKSA-N -1 1 330.406 -0.100 20 0 EBADMM COC(=O)[C@@H]1CSCCN([C@@H]2CCN(CCC(=O)[O-])C2=O)C1 ZINC001598827123 996395097 /nfs/dbraw/zinc/39/50/97/996395097.db2.gz XLIUYRXIJKAALA-WDEREUQCSA-N -1 1 330.406 -0.100 20 0 EBADMM COC(=O)CC[C@H](C(=O)[O-])N1CCN([C@@H](C)C(=O)NC2CC2)CC1 ZINC001598848814 996586535 /nfs/dbraw/zinc/58/65/35/996586535.db2.gz SLRWZYUTMDVCSV-WCQYABFASA-N -1 1 341.408 -0.323 20 0 EBADMM COC(=O)CCCCC(=O)N(CCN1CCN(C)CC1)CC(=O)[O-] ZINC001598851373 996606747 /nfs/dbraw/zinc/60/67/47/996606747.db2.gz NMGZANDKIROKIJ-UHFFFAOYSA-N -1 1 343.424 -0.120 20 0 EBADMM CO[C@@]1(C(=O)[O-])CCN(CC(=O)NCCCN2CCCC2=O)C1 ZINC001599077084 996898035 /nfs/dbraw/zinc/89/80/35/996898035.db2.gz VABXNPBDOAZDSK-HNNXBMFYSA-N -1 1 327.381 -0.709 20 0 EBADMM CC1(C)CN([C@H]2CCCN(CCC(=O)[O-])C2=O)CCN1CCO ZINC001593939914 996939757 /nfs/dbraw/zinc/93/97/57/996939757.db2.gz BVHJXBZNDLKGRW-ZDUSSCGKSA-N -1 1 327.425 -0.159 20 0 EBADMM COC[C@@H]1CNCCN1C(=O)C(=O)Nc1cccc(F)c1C(=O)[O-] ZINC001599208579 997456619 /nfs/dbraw/zinc/45/66/19/997456619.db2.gz VUVNSSIUPDWMFB-VIFPVBQESA-N -1 1 339.323 -0.091 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@@H]1N1CCC[C@@](O)(C2(C(=O)[O-])CCC2)C1 ZINC001599324125 997632920 /nfs/dbraw/zinc/63/29/20/997632920.db2.gz QRPBZCLQTUVMGS-ZOWXZIJZSA-N -1 1 347.433 -0.120 20 0 EBADMM COC1CCN(CCNS(=O)(=O)c2cc(C(=O)[O-])no2)CC1 ZINC001599124924 997824527 /nfs/dbraw/zinc/82/45/27/997824527.db2.gz VUWPDECGUPOIBV-UHFFFAOYSA-N -1 1 333.366 -0.238 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)N2CCC(C(=O)[O-])CC2)C1 ZINC001599240943 998041624 /nfs/dbraw/zinc/04/16/24/998041624.db2.gz VAGNVOXEEUSTEI-LBPRGKRZSA-N -1 1 327.381 -0.854 20 0 EBADMM COC[C@@](C)(NS(=O)(=O)c1scnc1C(=O)OC)C(=O)[O-] ZINC001599157314 998394960 /nfs/dbraw/zinc/39/49/60/998394960.db2.gz ZASXBSBTNWBPKL-SNVBAGLBSA-N -1 1 338.363 -0.302 20 0 EBADMM C[C@@H]1[C@@H](C(=O)[O-])CCN1S(=O)(=O)CCCN1CCN(C)CC1 ZINC001594171289 998657866 /nfs/dbraw/zinc/65/78/66/998657866.db2.gz SOLAUUIVCHIKJX-OLZOCXBDSA-N -1 1 333.454 -0.251 20 0 EBADMM C[C@@H]1C[C@H](C(=O)N(CCN2CCN(C)CC2)CC(=O)[O-])[C@@H](C)O1 ZINC001594201030 998776212 /nfs/dbraw/zinc/77/62/12/998776212.db2.gz VJFCCIANHRKARG-MCIONIFRSA-N -1 1 327.425 -0.040 20 0 EBADMM COC(CN(CCC(=O)[O-])C(=O)[C@H](C)CN1CCOCC1)OC ZINC001599047965 999164440 /nfs/dbraw/zinc/16/44/40/999164440.db2.gz YDVCNHJVLNHSEM-GFCCVEGCSA-N -1 1 332.397 -0.123 20 0 EBADMM C[C@H]1CC[C@@H](CNC(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)O1 ZINC001594305169 999177772 /nfs/dbraw/zinc/17/77/72/999177772.db2.gz IZWCBODXEUIDGT-RYUDHWBXSA-N -1 1 341.408 -0.323 20 0 EBADMM C[C@H]1CC[C@H](CNC(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)O1 ZINC001594305168 999177911 /nfs/dbraw/zinc/17/79/11/999177911.db2.gz IZWCBODXEUIDGT-NWDGAFQWSA-N -1 1 341.408 -0.323 20 0 EBADMM CN(CCNC(=O)c1cnc2c(cnn2C)c1)Cc1nc(=O)n(C)[n-]1 ZINC001690985440 1125796346 /nfs/dbraw/zinc/79/63/46/1125796346.db2.gz GAPNESKYUAXMCN-UHFFFAOYSA-N -1 1 344.379 -0.748 20 0 EBADMM C[N@H+](CCNC(=O)c1cnc[nH]c1=O)C[C@H]1CCS(=O)(=O)C1 ZINC001480877892 1125800064 /nfs/dbraw/zinc/80/00/64/1125800064.db2.gz LVOCFMJORGSMLL-SNVBAGLBSA-N -1 1 328.394 -0.722 20 0 EBADMM CN(C[C@@H]1CCN1CCOCC1CC1)C(=O)Cn1c(=O)[n-][nH]c1=O ZINC001691069388 1125829648 /nfs/dbraw/zinc/82/96/48/1125829648.db2.gz XSSKXDRPHFMHNZ-LBPRGKRZSA-N -1 1 339.396 -0.351 20 0 EBADMM CN(C[C@H]1CCN1CCOCC1CC1)C(=O)Cn1c(=O)[n-][nH]c1=O ZINC001691069387 1125829893 /nfs/dbraw/zinc/82/98/93/1125829893.db2.gz XSSKXDRPHFMHNZ-GFCCVEGCSA-N -1 1 339.396 -0.351 20 0 EBADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)CNC(=O)C1CCC1 ZINC001408555178 1125862547 /nfs/dbraw/zinc/86/25/47/1125862547.db2.gz SFJRHUQCENFXHO-UHFFFAOYSA-N -1 1 334.376 -0.108 20 0 EBADMM CN(CCNC(=O)c1nn(C)c2ccccc21)Cc1nc(=O)n(C)[n-]1 ZINC001480939173 1125885653 /nfs/dbraw/zinc/88/56/53/1125885653.db2.gz VQTUDONONMCYNY-UHFFFAOYSA-N -1 1 343.391 -0.143 20 0 EBADMM CN(CCNC(=O)c1cccnc1N(C)C)Cc1nc(=O)n(C)[n-]1 ZINC001480946168 1125888928 /nfs/dbraw/zinc/88/89/28/1125888928.db2.gz KTBRIGLISBKDGR-UHFFFAOYSA-N -1 1 333.396 -0.569 20 0 EBADMM CCN(CCNC(=O)[C@H]1Cc2cccnc2C1)Cc1nc(=O)n(C)[n-]1 ZINC001481014163 1125907212 /nfs/dbraw/zinc/90/72/12/1125907212.db2.gz BPJUPCIMXMCGKY-ZDUSSCGKSA-N -1 1 344.419 -0.144 20 0 EBADMM CCc1c[nH]c(CC(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001481045284 1125912543 /nfs/dbraw/zinc/91/25/43/1125912543.db2.gz YTCACCHDHAFNQR-GFCCVEGCSA-N -1 1 347.423 -0.283 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)C[C@@H]3CCCOC3)C2)nc1=O ZINC001481122920 1125926974 /nfs/dbraw/zinc/92/69/74/1125926974.db2.gz DJSOMSCSUDPWEF-STQMWFEESA-N -1 1 337.424 -0.137 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)COCc1cc[nH]c(=O)c1 ZINC001481245730 1125951659 /nfs/dbraw/zinc/95/16/59/1125951659.db2.gz LORGCKGUNIFIFR-UHFFFAOYSA-N -1 1 336.352 -0.624 20 0 EBADMM Cn1cncc1CC(=O)NCC[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001481457843 1125993818 /nfs/dbraw/zinc/99/38/18/1125993818.db2.gz MQECISUYMKBSTK-LLVKDONJSA-N -1 1 333.396 -0.185 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)N(C)CCN1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001481465960 1125996072 /nfs/dbraw/zinc/99/60/72/1125996072.db2.gz VGKPGIMSUYBKKU-OLZOCXBDSA-N -1 1 336.440 -0.660 20 0 EBADMM Cc1n[nH]c(C(=O)NCCN(C(=O)CCc2c[nH]nn2)C2CC2)c1[O-] ZINC001408908850 1126017405 /nfs/dbraw/zinc/01/74/05/1126017405.db2.gz SFIZMOPWDMPTOY-UHFFFAOYSA-N -1 1 347.379 -0.105 20 0 EBADMM Cc1oncc1CN1CC(CNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001481650592 1126031545 /nfs/dbraw/zinc/03/15/45/1126031545.db2.gz JTJYFMPUWMSBAC-UHFFFAOYSA-N -1 1 347.375 -0.529 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2cccc3c2OCO3)c(=O)[nH]c1=O ZINC001414201763 1126044480 /nfs/dbraw/zinc/04/44/80/1126044480.db2.gz QWTSATAQJQAKAE-UHFFFAOYSA-N -1 1 339.329 -0.307 20 0 EBADMM C[C@@H](CC(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)n1ccnc1 ZINC001481745412 1126050214 /nfs/dbraw/zinc/05/02/14/1126050214.db2.gz RYJGOEFFKPCNIC-QWHCGFSZSA-N -1 1 347.423 -0.011 20 0 EBADMM C[C@@H](C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1)S(C)(=O)=O ZINC001482223027 1126108102 /nfs/dbraw/zinc/10/81/02/1126108102.db2.gz OINNQFWPXQOYHR-VIFPVBQESA-N -1 1 345.425 -0.538 20 0 EBADMM CC(C)CS(=O)(=O)CC(=O)N(C)[C@@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001482298629 1126122459 /nfs/dbraw/zinc/12/24/59/1126122459.db2.gz KBFKRXXOYPEOLH-JTQLQIEISA-N -1 1 347.441 -0.482 20 0 EBADMM CN(C[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C(=O)Cn1cnnn1 ZINC001408990746 1126128070 /nfs/dbraw/zinc/12/80/70/1126128070.db2.gz DYQPCURFTPRHDO-LLVKDONJSA-N -1 1 345.363 -0.606 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)CCNC(N)=O)C1)C(=O)c1ncccc1[O-] ZINC001408993059 1126129912 /nfs/dbraw/zinc/12/99/12/1126129912.db2.gz XWTJZEDNTJPCMQ-NSHDSACASA-N -1 1 349.391 -0.234 20 0 EBADMM CC(C)C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C(=O)NCC(F)F ZINC001482387729 1126143612 /nfs/dbraw/zinc/14/36/12/1126143612.db2.gz QXOVHWBTQWWPMM-QMMMGPOBSA-N -1 1 348.354 -0.488 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)C[C@@H](C)OC)C1 ZINC001409081653 1126185879 /nfs/dbraw/zinc/18/58/79/1126185879.db2.gz ANOOFBHAFSOLFW-SSDOTTSWSA-N -1 1 340.336 -0.738 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1cnc2c(cnn2C)c1 ZINC001691528935 1126195847 /nfs/dbraw/zinc/19/58/47/1126195847.db2.gz TUJYRAITGGKGOF-UHFFFAOYSA-N -1 1 330.352 -0.346 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1ccnc2c1nnn2C ZINC001482948995 1126306412 /nfs/dbraw/zinc/30/64/12/1126306412.db2.gz WERHWISXMVPAHD-UHFFFAOYSA-N -1 1 345.367 -0.561 20 0 EBADMM CC[C@@H](CNC(=O)[C@@H]1CC(=O)N(C)C1)NC(=O)c1ncccc1[O-] ZINC001409319988 1126342850 /nfs/dbraw/zinc/34/28/50/1126342850.db2.gz XPWZIPWTUAXKKH-MNOVXSKESA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@@H](NC(=O)COCc1nccn1C)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001409343819 1126356284 /nfs/dbraw/zinc/35/62/84/1126356284.db2.gz DNMJKNJFQGAOME-VHSXEESVSA-N -1 1 337.384 -0.557 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)COCc1nccn1C ZINC001409343823 1126356333 /nfs/dbraw/zinc/35/63/33/1126356333.db2.gz DNMJKNJFQGAOME-ZJUUUORDSA-N -1 1 337.384 -0.557 20 0 EBADMM COC(=O)C1(CS(=O)(=O)[N-]C2(C(=O)OC)CC(CO)C2)CC1 ZINC001414377546 1126358149 /nfs/dbraw/zinc/35/81/49/1126358149.db2.gz JUINSWHKPPQFBA-UHFFFAOYSA-N -1 1 335.378 -0.827 20 0 EBADMM O=C(NC1(CNCc2n[nH]c(=O)[n-]2)CCCCC1)[C@H]1CCC(=O)N1 ZINC001409764093 1126408392 /nfs/dbraw/zinc/40/83/92/1126408392.db2.gz QCVDIVNAQBXLKV-SNVBAGLBSA-N -1 1 336.396 -0.302 20 0 EBADMM C[C@@H](CNCc1nccn1C(F)F)NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001483287112 1126413834 /nfs/dbraw/zinc/41/38/34/1126413834.db2.gz CAFBWLOMHAARCY-ZETCQYMHSA-N -1 1 345.310 -0.425 20 0 EBADMM CCOCCN(C)C[C@@H](C)NC(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC001483398641 1126423519 /nfs/dbraw/zinc/42/35/19/1126423519.db2.gz XXKXQBMSNXXTEM-LLVKDONJSA-N -1 1 336.396 -0.456 20 0 EBADMM Cc1conc1CN1CC[C@@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001483687825 1126458533 /nfs/dbraw/zinc/45/85/33/1126458533.db2.gz MKRAOFWJXZWYLL-GFCCVEGCSA-N -1 1 347.375 -0.386 20 0 EBADMM CS(=O)(=O)CC[N-]S(=O)(=O)c1nc[nH]c1Br ZINC001365636596 1126468739 /nfs/dbraw/zinc/46/87/39/1126468739.db2.gz DHVMYPOFIHSXEF-UHFFFAOYSA-N -1 1 332.201 -0.505 20 0 EBADMM CN(C(=O)COc1cccnc1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001483883714 1126485661 /nfs/dbraw/zinc/48/56/61/1126485661.db2.gz PHJJFZOSEMJGQM-UHFFFAOYSA-N -1 1 332.364 -0.775 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](O)(CNC(=O)C3(C(F)F)CC3)C2)nc1=O ZINC001484217233 1126519986 /nfs/dbraw/zinc/51/99/86/1126519986.db2.gz QLROMDUNXFEEDL-CYBMUJFWSA-N -1 1 345.350 -0.793 20 0 EBADMM CS[C@@H](C)CC(=O)NC[C@]1(O)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001484215967 1126523648 /nfs/dbraw/zinc/52/36/48/1126523648.db2.gz SKXZCPQHCHVPRA-IINYFYTJSA-N -1 1 343.453 -0.697 20 0 EBADMM Cn1[n-]c(CN2CC[C@](O)(CNC(=O)[C@@H]3CCCC34CC4)C2)nc1=O ZINC001484226707 1126528436 /nfs/dbraw/zinc/52/84/36/1126528436.db2.gz ZXPACHLQPNYYOU-SJCJKPOMSA-N -1 1 349.435 -0.258 20 0 EBADMM CC(C)N1CC[C@@H](N2CC(O)(CNC(=O)c3ncccc3[O-])C2)C1=O ZINC001484267384 1126547318 /nfs/dbraw/zinc/54/73/18/1126547318.db2.gz FLIYTPKLMPRLGY-GFCCVEGCSA-N -1 1 348.403 -0.427 20 0 EBADMM Cn1[n-]c(CN2CCC[C@](CO)(NC(=O)C3CC=CC3)C2)nc1=O ZINC001484431666 1126576879 /nfs/dbraw/zinc/57/68/79/1126576879.db2.gz RREQBRJBDZGFLA-INIZCTEOSA-N -1 1 335.408 -0.482 20 0 EBADMM Cc1cncc(CC(=O)N(CCO)CCNCc2n[nH]c(=O)[n-]2)c1 ZINC001484489249 1126593124 /nfs/dbraw/zinc/59/31/24/1126593124.db2.gz KZMRMPYXJBQPPU-UHFFFAOYSA-N -1 1 334.380 -0.633 20 0 EBADMM CCn1nc(C)cc1C(=O)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001484494568 1126597038 /nfs/dbraw/zinc/59/70/38/1126597038.db2.gz HUEDGYOAEGUCDG-UHFFFAOYSA-N -1 1 337.384 -0.741 20 0 EBADMM O=C(c1csc(Cl)n1)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001484498119 1126598281 /nfs/dbraw/zinc/59/82/81/1126598281.db2.gz AMRJABFKMYGECO-UHFFFAOYSA-N -1 1 346.800 -0.156 20 0 EBADMM COCCOCCC(=O)N1C[C@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001484909906 1126652719 /nfs/dbraw/zinc/65/27/19/1126652719.db2.gz QPRSOQQYQQEAFZ-WDEREUQCSA-N -1 1 327.385 -0.500 20 0 EBADMM Cc1ncncc1C(=O)N1CCC(O)(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001484951148 1126655105 /nfs/dbraw/zinc/65/51/05/1126655105.db2.gz ZZEIMDJVJCRMHC-UHFFFAOYSA-N -1 1 347.379 -0.634 20 0 EBADMM COC1CC(C(=O)N2CCC(O)(CNCc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001484956038 1126658574 /nfs/dbraw/zinc/65/85/74/1126658574.db2.gz WMELIXDBZRRSLJ-UHFFFAOYSA-N -1 1 339.396 -0.622 20 0 EBADMM Cn1[n-]c(CN2CCC(CO)(NC(=O)C[C@H]3C=CCC3)CC2)nc1=O ZINC001485014296 1126664360 /nfs/dbraw/zinc/66/43/60/1126664360.db2.gz UUXAFYUDFICJBD-ZDUSSCGKSA-N -1 1 349.435 -0.092 20 0 EBADMM C[C@@H](NCc1ccn(C)n1)[C@H](C)NC(=O)CCc1n[nH]c(=O)[n-]c1=O ZINC001485169998 1126678021 /nfs/dbraw/zinc/67/80/21/1126678021.db2.gz RBGBDZCOAZDDQJ-ZJUUUORDSA-N -1 1 349.395 -0.368 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)C1(S(C)(=O)=O)CCC1 ZINC001485211616 1126681755 /nfs/dbraw/zinc/68/17/55/1126681755.db2.gz ZNPAROVHZZILIA-IUCAKERBSA-N -1 1 345.425 -0.540 20 0 EBADMM CCO[C@H](C(=O)NC[C@H](C)N(C)Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001485299794 1126686788 /nfs/dbraw/zinc/68/67/88/1126686788.db2.gz AAUIIMIGHHLNCB-GWCFXTLKSA-N -1 1 325.413 -0.140 20 0 EBADMM C[C@H](CNC(=O)c1c2c(nn1C)CCC2)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001485372874 1126695780 /nfs/dbraw/zinc/69/57/80/1126695780.db2.gz FLPNWNIUBMOOKB-SNVBAGLBSA-N -1 1 347.423 -0.419 20 0 EBADMM Cn1[n-]c(CN2CC(CCO)(NC(=O)/C=C/c3ccco3)C2)nc1=O ZINC001485395560 1126696766 /nfs/dbraw/zinc/69/67/66/1126696766.db2.gz SAUSJSIBYNTOSM-SNAWJCMRSA-N -1 1 347.375 -0.532 20 0 EBADMM Cn1cc(C(=O)NC2(CNCc3n[nH]c(=O)[n-]3)CCCCC2)nn1 ZINC001485451630 1126719003 /nfs/dbraw/zinc/71/90/03/1126719003.db2.gz OFLCRNCEBGDILI-UHFFFAOYSA-N -1 1 334.384 -0.139 20 0 EBADMM C[C@H](NC(=O)CCc1nccn1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001485517283 1126740389 /nfs/dbraw/zinc/74/03/89/1126740389.db2.gz VLNVQWHMSFPHMD-NSHDSACASA-N -1 1 347.423 -0.589 20 0 EBADMM CNC(=O)[C@H](C)N(C)CCOCCNC(=O)c1[nH]nc(C)c1[O-] ZINC001485773893 1126833014 /nfs/dbraw/zinc/83/30/14/1126833014.db2.gz QKXGSIMLGFSIIJ-JTQLQIEISA-N -1 1 327.385 -0.764 20 0 EBADMM C[C@@H](CN(C)C(=O)c1n[nH]c(=O)[n-]c1=O)N[C@@H](C)c1cnccn1 ZINC001485895646 1126911143 /nfs/dbraw/zinc/91/11/43/1126911143.db2.gz NETJYZYYBQHHLQ-IUCAKERBSA-N -1 1 333.352 -0.116 20 0 EBADMM C[C@@H](CN(C)C(=O)CNC(=O)c1ccccn1)NCc1n[nH]c(=O)[n-]1 ZINC001485958737 1126938832 /nfs/dbraw/zinc/93/88/32/1126938832.db2.gz NUPPZRARTZWCLK-JTQLQIEISA-N -1 1 347.379 -0.728 20 0 EBADMM C[C@H](CN(C)C(=O)CNC(=O)c1ccccn1)NCc1n[nH]c(=O)[n-]1 ZINC001485958738 1126940007 /nfs/dbraw/zinc/94/00/07/1126940007.db2.gz NUPPZRARTZWCLK-SNVBAGLBSA-N -1 1 347.379 -0.728 20 0 EBADMM Cc1ccc(CC(=O)NC[C@@H](CO)NCc2nc(=O)n(C)[n-]2)cc1 ZINC001486013418 1126964116 /nfs/dbraw/zinc/96/41/16/1126964116.db2.gz AZSPFNHAFAFEDI-ZDUSSCGKSA-N -1 1 333.392 -0.774 20 0 EBADMM Cn1[n-]c(CN[C@H](CO)CNC(=O)C2CCC(F)CC2)nc1=O ZINC001486033440 1127003485 /nfs/dbraw/zinc/00/34/85/1127003485.db2.gz YHDBTEFOJHOIPN-ILDUYXDCSA-N -1 1 329.376 -0.797 20 0 EBADMM Cn1[n-]c(CN[C@H](CO)CNC(=O)c2cc3n(c2)CCCC3)nc1=O ZINC001486072507 1127033237 /nfs/dbraw/zinc/03/32/37/1127033237.db2.gz XQJFCYOQYOCCTD-LBPRGKRZSA-N -1 1 348.407 -0.873 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CN(C)C(=O)C1CC1 ZINC001486116004 1127039323 /nfs/dbraw/zinc/03/93/23/1127039323.db2.gz VCLKPYHTECSNAJ-ONGXEEELSA-N -1 1 336.396 -0.542 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCCS(C)(=O)=O ZINC001486132463 1127044025 /nfs/dbraw/zinc/04/40/25/1127044025.db2.gz WLPHDOPLBNRLNN-UWVGGRQHSA-N -1 1 345.425 -0.586 20 0 EBADMM CN(C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)[C@H]1Cc2cccnc2C1 ZINC001486314423 1127117121 /nfs/dbraw/zinc/11/71/21/1127117121.db2.gz WKMLUBDMTYGBOC-NWDGAFQWSA-N -1 1 346.391 -0.771 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H](O)CN(C)C(=O)[C@H]1CC12CCC2 ZINC001486351526 1127145638 /nfs/dbraw/zinc/14/56/38/1127145638.db2.gz VBNNRVGRQVAWLA-NWDGAFQWSA-N -1 1 337.424 -0.450 20 0 EBADMM CC(C)(C)[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CCS(C)(=O)=O ZINC001486402528 1127168858 /nfs/dbraw/zinc/16/88/58/1127168858.db2.gz GATOAUXBYHWEGF-VIFPVBQESA-N -1 1 347.441 -0.435 20 0 EBADMM CN(CCOCCNCc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCCOCC1 ZINC001486493527 1127187890 /nfs/dbraw/zinc/18/78/90/1127187890.db2.gz BDOFRYLZQDYYDK-GFCCVEGCSA-N -1 1 341.412 -0.108 20 0 EBADMM CC(C)C(=O)N[C@H](C)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001487147911 1127413018 /nfs/dbraw/zinc/41/30/18/1127413018.db2.gz HPNPZHFIPXEJGM-SECBINFHSA-N -1 1 332.364 -0.350 20 0 EBADMM C[C@@H](NC(=O)Cn1cncn1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001487223690 1127439779 /nfs/dbraw/zinc/43/97/79/1127439779.db2.gz CMUMGSUHEIRKRQ-SNVBAGLBSA-N -1 1 330.348 -0.344 20 0 EBADMM Cc1noc(C)c1C(=O)NC[C@H](O)CNC(=O)c1ncccc1[O-] ZINC001410037504 1127516569 /nfs/dbraw/zinc/51/65/69/1127516569.db2.gz AXOMZYOZEZRENX-JTQLQIEISA-N -1 1 334.332 -0.087 20 0 EBADMM O=C(C[C@@H]1CCCCO1)NC[C@H](O)CNC(=O)c1ncccc1[O-] ZINC001410112284 1127576471 /nfs/dbraw/zinc/57/64/71/1127576471.db2.gz STHYSRQHRKGHGG-RYUDHWBXSA-N -1 1 337.376 -0.047 20 0 EBADMM CN(C(=O)c1c[nH]c(=O)cn1)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001410318776 1127677777 /nfs/dbraw/zinc/67/77/77/1127677777.db2.gz XUVUVUGEQXEGQX-SNVBAGLBSA-N -1 1 333.352 -0.766 20 0 EBADMM Cc1ccc(=O)n(CC(=O)NC[C@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001410356869 1127687183 /nfs/dbraw/zinc/68/71/83/1127687183.db2.gz VCXLMMWIRWNHSH-NSHDSACASA-N -1 1 345.359 -0.413 20 0 EBADMM CC(C)OCC(=O)NC[C@@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001410521884 1127733586 /nfs/dbraw/zinc/73/35/86/1127733586.db2.gz BORIJKXSDLVPPR-JTQLQIEISA-N -1 1 348.363 -0.827 20 0 EBADMM Cn1[n-]c(CN[C@@H](CO)CNC(=O)[C@@H]2CCCC3(CC3)C2)nc1=O ZINC001410647910 1127788429 /nfs/dbraw/zinc/78/84/29/1127788429.db2.gz GGSYXRMBJJNZCV-VXGBXAGGSA-N -1 1 337.424 -0.355 20 0 EBADMM Cc1nnc(CC(=O)NC2(CNC(=O)c3ncccc3[O-])CC2)[nH]1 ZINC001410691872 1127819631 /nfs/dbraw/zinc/81/96/31/1127819631.db2.gz KRDSNSSJISCXTF-UHFFFAOYSA-N -1 1 330.348 -0.165 20 0 EBADMM Cc1nc(CC(=O)NC2(CNC(=O)c3ncccc3[O-])CC2)n[nH]1 ZINC001410691872 1127819644 /nfs/dbraw/zinc/81/96/44/1127819644.db2.gz KRDSNSSJISCXTF-UHFFFAOYSA-N -1 1 330.348 -0.165 20 0 EBADMM CC(C)S(=O)(=O)CC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001410844023 1127913958 /nfs/dbraw/zinc/91/39/58/1127913958.db2.gz GNRWMHFWWNXFOP-NXEZZACHSA-N -1 1 345.425 -0.588 20 0 EBADMM CC(C)S(=O)(=O)CC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC001410844043 1127914058 /nfs/dbraw/zinc/91/40/58/1127914058.db2.gz GNRWMHFWWNXFOP-VHSXEESVSA-N -1 1 345.425 -0.588 20 0 EBADMM CCC(=O)N1CC[C@](C)(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001411044980 1127986152 /nfs/dbraw/zinc/98/61/52/1127986152.db2.gz XNLBJKCUUCCANL-OAHLLOKOSA-N -1 1 332.364 -0.204 20 0 EBADMM Cc1ccc(C(=O)N(C)C[C@@H](O)CNCc2n[nH]c(=O)[n-]2)nc1C ZINC001411055695 1127991735 /nfs/dbraw/zinc/99/17/35/1127991735.db2.gz UUEPGRANVKNKFG-NSHDSACASA-N -1 1 334.380 -0.255 20 0 EBADMM O=C(C[C@H]1CCOC1)N1CC[C@](O)(CNC(=O)c2ncccc2[O-])C1 ZINC001411153526 1128047100 /nfs/dbraw/zinc/04/71/00/1128047100.db2.gz FWVWREFLHGOWDB-PXAZEXFGSA-N -1 1 349.387 -0.093 20 0 EBADMM O=C(COCC1CC1)N1CC[C@](O)(CNC(=O)c2ncccc2[O-])C1 ZINC001411160047 1128056960 /nfs/dbraw/zinc/05/69/60/1128056960.db2.gz OFWFLDCXGVAWBP-KRWDZBQOSA-N -1 1 349.387 -0.093 20 0 EBADMM CN(CCS(C)(=O)=O)C[C@@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001411162919 1128060344 /nfs/dbraw/zinc/06/03/44/1128060344.db2.gz OZOLKOOKCVWAAO-LLVKDONJSA-N -1 1 345.421 -0.804 20 0 EBADMM C[C@@H](NC(=O)CCc1c[nH]nn1)C1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001411238068 1128101733 /nfs/dbraw/zinc/10/17/33/1128101733.db2.gz QXBRBRSSYJOWCI-SNVBAGLBSA-N -1 1 348.411 -0.022 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)CCN1c1ncccn1 ZINC001091993466 1128173227 /nfs/dbraw/zinc/17/32/27/1128173227.db2.gz HWKHOYIAVTWSIY-NEPJUHHUSA-N -1 1 344.375 -0.500 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4ccnnc4)C[C@]3(C)C2)nc1=O ZINC001092041995 1128175120 /nfs/dbraw/zinc/17/51/20/1128175120.db2.gz FBCMIZNQHXMOFK-WBMJQRKESA-N -1 1 343.391 -0.508 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)[C@H]1CC(=O)N(C)C1 ZINC001092655533 1128259718 /nfs/dbraw/zinc/25/97/18/1128259718.db2.gz RGSGYUSMIUKEIF-OUAUKWLOSA-N -1 1 336.396 -0.827 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)c1cnc[nH]c1=O ZINC001092711361 1128262553 /nfs/dbraw/zinc/26/25/53/1128262553.db2.gz VUKNAAXSBVZQIT-RKDXNWHRSA-N -1 1 333.352 -0.496 20 0 EBADMM COc1c(C(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C)cnn1C ZINC001093162282 1128301703 /nfs/dbraw/zinc/30/17/03/1128301703.db2.gz CUEJHRUFNVLFAI-NXEZZACHSA-N -1 1 349.395 -0.250 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)CN(C)C(=O)C(F)F ZINC001487688065 1128397066 /nfs/dbraw/zinc/39/70/66/1128397066.db2.gz HYXRBVPUPQFLRG-YFKPBYRVSA-N -1 1 334.279 -0.262 20 0 EBADMM C[C@H](CN(C)C(=O)c1ccc[nH]1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001487708167 1128410848 /nfs/dbraw/zinc/41/08/48/1128410848.db2.gz MEYRZRAFTZIGJA-LLVKDONJSA-N -1 1 347.375 -0.468 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)CCn2cnnn2)CN1C(=O)c1ncccc1[O-] ZINC001488176604 1128638624 /nfs/dbraw/zinc/63/86/24/1128638624.db2.gz CWFQUENPQKCNKQ-GHMZBOCLSA-N -1 1 345.363 -0.417 20 0 EBADMM NC(=O)NCC(=O)N1CC[C@]2(NC(=O)c3ncccc3[O-])CCC[C@@H]12 ZINC001488228880 1128658676 /nfs/dbraw/zinc/65/86/76/1128658676.db2.gz SEBOZFCLSYQNNU-BDJLRTHQSA-N -1 1 347.375 -0.291 20 0 EBADMM Cc1ncncc1C(=O)N(C)C[C@@H](O)CNC(=O)c1ncccc1[O-] ZINC001488331496 1128678003 /nfs/dbraw/zinc/67/80/03/1128678003.db2.gz BRWIGVLMQAZQJR-NSHDSACASA-N -1 1 345.359 -0.251 20 0 EBADMM COCC(=O)N(C)C[C@H](O)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001488317941 1128683666 /nfs/dbraw/zinc/68/36/66/1128683666.db2.gz CGZBWEUXJUZHBQ-SNVBAGLBSA-N -1 1 338.364 -0.745 20 0 EBADMM CC(C)C(=O)N1CC=C(CNC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001488627623 1128817905 /nfs/dbraw/zinc/81/79/05/1128817905.db2.gz QMYFQMUUFDLZSE-UHFFFAOYSA-N -1 1 348.403 -0.142 20 0 EBADMM Cc1cc(C(=O)N2CC[C@H]2CN(C)C(=O)Cn2c(=O)[n-][nH]c2=O)[nH]n1 ZINC001489767921 1129064338 /nfs/dbraw/zinc/06/43/38/1129064338.db2.gz YLNFXIAVFPTVMF-VIFPVBQESA-N -1 1 349.351 -0.906 20 0 EBADMM CN1C[C@@H](C(=O)NCCCN(Cc2n[nH]c(=O)[n-]2)C2CC2)NC1=O ZINC001490380887 1129169771 /nfs/dbraw/zinc/16/97/71/1129169771.db2.gz KQUJVJIVSYSFRQ-JTQLQIEISA-N -1 1 337.384 -0.995 20 0 EBADMM C[C@@H](C(=O)NCC1(NC(=O)CCn2cc[n-]c(=O)c2=O)CC1)C1CC1 ZINC001358654753 1131244627 /nfs/dbraw/zinc/24/46/27/1131244627.db2.gz ASCIHMUEOXLWIH-LLVKDONJSA-N -1 1 348.403 -0.262 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@H]2CCS(=O)(=O)C2)co1 ZINC001649172103 1129271863 /nfs/dbraw/zinc/27/18/63/1129271863.db2.gz HJVJIGUMHRZIKY-MRVPVSSYSA-N -1 1 337.375 -0.221 20 0 EBADMM C[C@H](NC(=O)c1cn2c(n1)COCC2)[C@H](C)NC(=O)c1cnn[nH]1 ZINC001411409891 1129289473 /nfs/dbraw/zinc/28/94/73/1129289473.db2.gz BDIQUCRIEODTDL-IUCAKERBSA-N -1 1 333.352 -0.532 20 0 EBADMM CCCCS(=O)(=O)CC(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC001411418258 1129290974 /nfs/dbraw/zinc/29/09/74/1129290974.db2.gz IIYVOEQAOWSVEW-UHFFFAOYSA-N -1 1 327.366 -0.121 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NC[C@H]1CCS(=O)(=O)C1 ZINC001411629862 1129337828 /nfs/dbraw/zinc/33/78/28/1129337828.db2.gz KUEFFQDSOARVQJ-SECBINFHSA-N -1 1 327.362 -0.932 20 0 EBADMM C[C@]1(CC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)CCS(=O)(=O)N1 ZINC001412053482 1129436447 /nfs/dbraw/zinc/43/64/47/1129436447.db2.gz WJRHEIZMLCWVOC-TVQRCGJNSA-N -1 1 344.393 -0.047 20 0 EBADMM O=C(c1cc2n(n1)CCC[C@H]2O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001412056213 1129437071 /nfs/dbraw/zinc/43/70/71/1129437071.db2.gz JRNQTOULMPURIN-LLVKDONJSA-N -1 1 333.352 -0.653 20 0 EBADMM O=C(c1ccnn1CC(F)F)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001412060151 1129437980 /nfs/dbraw/zinc/43/79/80/1129437980.db2.gz ZWOUJJFHQXRRQR-UHFFFAOYSA-N -1 1 327.295 -0.215 20 0 EBADMM Cc1nc(N2CCOCC2)[n-]c(=O)c1CCC(=O)N1NC(=O)C[C@@H]1C ZINC001412387012 1129515119 /nfs/dbraw/zinc/51/51/19/1129515119.db2.gz QDJYFJXPQXFMHV-JTQLQIEISA-N -1 1 349.391 -0.088 20 0 EBADMM CS(=O)(=O)c1ccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)cn1 ZINC001412426215 1129525938 /nfs/dbraw/zinc/52/59/38/1129525938.db2.gz JLNSSVLJGJUWIY-SECBINFHSA-N -1 1 338.349 -0.788 20 0 EBADMM O=C([C@H]1CC(c2cccnc2)=NO1)N1CCOC[C@H]1c1nn[n-]n1 ZINC001412430987 1129527392 /nfs/dbraw/zinc/52/73/92/1129527392.db2.gz KKCIAVKHABGERS-NWDGAFQWSA-N -1 1 329.320 -0.312 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)CN(C)C(=O)[C@H](C)OC ZINC001412465976 1129535443 /nfs/dbraw/zinc/53/54/43/1129535443.db2.gz ZNYIFFIZQNYMGS-SFYZADRCSA-N -1 1 342.352 -0.492 20 0 EBADMM CS(=O)(=O)N1CCCC[C@@H]1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001412476648 1129538086 /nfs/dbraw/zinc/53/80/86/1129538086.db2.gz ZLJUKSGVWVPVON-LLVKDONJSA-N -1 1 342.425 -0.280 20 0 EBADMM C[C@H](C(=O)N(C)CC(=O)N1CCC(c2nn[n-]n2)CC1)n1cccn1 ZINC001412488004 1129539898 /nfs/dbraw/zinc/53/98/98/1129539898.db2.gz KJHBVNNYWAJOLF-LLVKDONJSA-N -1 1 346.395 -0.178 20 0 EBADMM CC[C@H](C(=O)N(C)C[C@H](C)NC(=O)c1cnn[nH]1)N1CCCC1=O ZINC001412527970 1129551548 /nfs/dbraw/zinc/55/15/48/1129551548.db2.gz BKKILBMCQNPAGV-CMPLNLGQSA-N -1 1 336.396 -0.218 20 0 EBADMM CS(=O)(=O)N1CCC[C@@H]1C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001412614977 1129577491 /nfs/dbraw/zinc/57/74/91/1129577491.db2.gz UJUNTURYERVJKZ-GHMZBOCLSA-N -1 1 342.425 -0.595 20 0 EBADMM O=C(CCN1CCCS1(=O)=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001412616840 1129578022 /nfs/dbraw/zinc/57/80/22/1129578022.db2.gz VZZXCDRWQSSKFN-LLVKDONJSA-N -1 1 342.425 -0.594 20 0 EBADMM CCS(=O)(=O)N[C@H](C)C(=O)N(C)CCc1nc([O-])c(C)c(=O)[nH]1 ZINC001412684729 1129593117 /nfs/dbraw/zinc/59/31/17/1129593117.db2.gz CNDWGTSTPNTJMH-SECBINFHSA-N -1 1 346.409 -0.475 20 0 EBADMM CC(C)OCCS(=O)(=O)[N-]CC(=O)N=S1(=O)CCOCC1 ZINC001412776645 1129641865 /nfs/dbraw/zinc/64/18/65/1129641865.db2.gz MPGQEBJYMHFABY-UHFFFAOYSA-N -1 1 342.439 -0.644 20 0 EBADMM O=C(CC[C@@H]1CCOC1)N[C@H](CO)CNC(=O)c1ncccc1[O-] ZINC001412816262 1129669249 /nfs/dbraw/zinc/66/92/49/1129669249.db2.gz VUGBJWPSZYXERM-NEPJUHHUSA-N -1 1 337.376 -0.189 20 0 EBADMM Cn1cc([C@@H]2C[S@@](=O)CCN2C(=O)CCCc2nn[n-]n2)cn1 ZINC001412830726 1129689141 /nfs/dbraw/zinc/68/91/41/1129689141.db2.gz RYXWKNHCGGHRKU-RULNZOCKSA-N -1 1 337.409 -0.412 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCO[C@@]2(CCO[C@@H]2C)C1 ZINC001412914096 1129780136 /nfs/dbraw/zinc/78/01/36/1129780136.db2.gz GFCMBSXNVJURQO-CLAHSXSESA-N -1 1 325.321 -0.084 20 0 EBADMM CCC(CC)(NC(=O)CN(C)[C@H]1CCS(=O)(=O)C1)c1nn[n-]n1 ZINC001412938577 1129793780 /nfs/dbraw/zinc/79/37/80/1129793780.db2.gz WFZGMRTXCXHDMJ-JTQLQIEISA-N -1 1 344.441 -0.550 20 0 EBADMM CCO[C@H](C)C(=O)NC[C@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001413251966 1129972272 /nfs/dbraw/zinc/97/22/72/1129972272.db2.gz SFXJRDBRJUWORP-MNOVXSKESA-N -1 1 325.365 -0.239 20 0 EBADMM CN(C[C@H](O)CNC(=O)c1ncccc1[O-])C(=O)Cc1cccnc1 ZINC001413257577 1129976999 /nfs/dbraw/zinc/97/69/99/1129976999.db2.gz OABFMIVNNSTKMI-CYBMUJFWSA-N -1 1 344.371 -0.026 20 0 EBADMM COC(=O)[C@@H]1CC[C@@H](C(=O)OC)N(C(=O)CCc2nn[n-]n2)C1 ZINC001413280495 1129987391 /nfs/dbraw/zinc/98/73/91/1129987391.db2.gz XHCQOLUQMONPOW-BDAKNGLRSA-N -1 1 325.325 -0.915 20 0 EBADMM COC(=O)[C@H]1CN(S(=O)(=O)c2cc(OC)ccc2[O-])CCO1 ZINC001413293184 1129996377 /nfs/dbraw/zinc/99/63/77/1129996377.db2.gz WNXGULVNOVCIBG-LLVKDONJSA-N -1 1 331.346 -0.037 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC(=O)N[C@@H]3CCCC[C@H]32)[n-]n1 ZINC001413308112 1130004365 /nfs/dbraw/zinc/00/43/65/1130004365.db2.gz OTOFRRSGIMJIOE-PSASIEDQSA-N -1 1 342.377 -0.372 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC(=O)N[C@@H]3CCCC[C@H]32)n[n-]1 ZINC001413308112 1130004369 /nfs/dbraw/zinc/00/43/69/1130004369.db2.gz OTOFRRSGIMJIOE-PSASIEDQSA-N -1 1 342.377 -0.372 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCN(C(C)=O)C[C@H]2C)[n-]n1 ZINC001413312431 1130007185 /nfs/dbraw/zinc/00/71/85/1130007185.db2.gz XYWCGQRSOHNAKT-MRVPVSSYSA-N -1 1 330.366 -0.562 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCN(C(C)=O)C[C@H]2C)n[n-]1 ZINC001413312431 1130007190 /nfs/dbraw/zinc/00/71/90/1130007190.db2.gz XYWCGQRSOHNAKT-MRVPVSSYSA-N -1 1 330.366 -0.562 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H](CCO)C2CCOCC2)[n-]n1 ZINC001413328350 1130016439 /nfs/dbraw/zinc/01/64/39/1130016439.db2.gz RINRSRUQONYLTK-SNVBAGLBSA-N -1 1 347.393 -0.348 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H](CCO)C2CCOCC2)n[n-]1 ZINC001413328350 1130016445 /nfs/dbraw/zinc/01/64/45/1130016445.db2.gz RINRSRUQONYLTK-SNVBAGLBSA-N -1 1 347.393 -0.348 20 0 EBADMM C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001413329489 1130019773 /nfs/dbraw/zinc/01/97/73/1130019773.db2.gz JRRXUJQSRHKACF-XDTLVQLUSA-N -1 1 344.375 -0.319 20 0 EBADMM COC(=O)[C@@H](Cc1ccncc1)[N-]S(=O)(=O)CCn1cccn1 ZINC001413363147 1130047455 /nfs/dbraw/zinc/04/74/55/1130047455.db2.gz YQLCDECPZREJGA-CYBMUJFWSA-N -1 1 338.389 -0.018 20 0 EBADMM CS(=O)(=O)CC1(CC(=O)N2CCOC[C@@H]2c2nn[n-]n2)CC1 ZINC001413385611 1130065765 /nfs/dbraw/zinc/06/57/65/1130065765.db2.gz HPBMCZJXBSZSSH-SECBINFHSA-N -1 1 329.382 -0.686 20 0 EBADMM O=C(N[C@@H](CO)C(=O)N1CC[C@H](c2nn[n-]n2)C1)c1ccc(F)cc1 ZINC001413466104 1130097727 /nfs/dbraw/zinc/09/77/27/1130097727.db2.gz DYHKGAFIIUBCNQ-JQWIXIFHSA-N -1 1 348.338 -0.554 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC[C@H]1CCCS1(=O)=O ZINC001413475858 1130101439 /nfs/dbraw/zinc/10/14/39/1130101439.db2.gz GXVAQCZIDLFWID-SSDOTTSWSA-N -1 1 331.350 -0.407 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C2([C@H]3CCCO3)CCC2)c(=O)[nH]c1=O ZINC001413481284 1130103442 /nfs/dbraw/zinc/10/34/42/1130103442.db2.gz JIKNOOCFQHGSHU-SNVBAGLBSA-N -1 1 329.378 -0.134 20 0 EBADMM CNS(=O)(=O)c1ccc(C(=O)N(Cc2nn[n-]n2)CC(C)C)o1 ZINC001413505035 1130114101 /nfs/dbraw/zinc/11/41/01/1130114101.db2.gz PUIITLVEVIURKA-UHFFFAOYSA-N -1 1 342.381 -0.001 20 0 EBADMM C[C@H](NC(=O)Cn1cnnn1)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001413523792 1130122831 /nfs/dbraw/zinc/12/28/31/1130122831.db2.gz GUDLOTYCFSGXBF-QMMMGPOBSA-N -1 1 338.755 -0.147 20 0 EBADMM CNS(=O)(=O)c1ccc(C(=O)N[C@@H](COC)c2nn[n-]n2)cc1 ZINC001413557364 1130133843 /nfs/dbraw/zinc/13/38/43/1130133843.db2.gz RXVOMFRKRNCGQV-JTQLQIEISA-N -1 1 340.365 -0.775 20 0 EBADMM Cc1cnc(C(=O)NCCS(=O)(=O)N=S(C)(C)=O)c([O-])c1 ZINC001413599962 1130180407 /nfs/dbraw/zinc/18/04/07/1130180407.db2.gz LTHCHUIKANWECN-UHFFFAOYSA-N -1 1 335.407 -0.117 20 0 EBADMM COc1cnc(C(C)(C)NC(=O)CN(C)S(C)(=O)=O)[n-]c1=O ZINC001413621830 1130200779 /nfs/dbraw/zinc/20/07/79/1130200779.db2.gz HTJGAXBMTOYRJJ-UHFFFAOYSA-N -1 1 332.382 -0.567 20 0 EBADMM CCCCC(=O)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001490986534 1130280753 /nfs/dbraw/zinc/28/07/53/1130280753.db2.gz QEDWGSDZUKTHCA-UHFFFAOYSA-N -1 1 332.364 -0.108 20 0 EBADMM O=C(NCCNC(=O)[C@@H](C1CC1)N1CCOCC1)c1ncccc1[O-] ZINC001491246103 1130370679 /nfs/dbraw/zinc/37/06/79/1130370679.db2.gz ZIRJCFFWYROJAK-OAHLLOKOSA-N -1 1 348.403 -0.256 20 0 EBADMM COCCn1ncc(C(=O)NC[C@H](C)NCc2n[nH]c(=O)[n-]2)c1C ZINC001491456469 1130451302 /nfs/dbraw/zinc/45/13/02/1130451302.db2.gz XVWQMHSWDVOAHC-VIFPVBQESA-N -1 1 337.384 -0.430 20 0 EBADMM C[C@@]1(C(=O)NCCNC(=O)CCn2cc[n-]c(=O)c2=O)C=CCC1 ZINC001491461148 1130455753 /nfs/dbraw/zinc/45/57/53/1130455753.db2.gz REVJORNOAKIETO-MRXNPFEDSA-N -1 1 334.376 -0.485 20 0 EBADMM CC(C)CN1C[C@@H](C(=O)NC[C@H](C)NCc2n[nH]c(=O)[n-]2)CC1=O ZINC001491475671 1130461856 /nfs/dbraw/zinc/46/18/56/1130461856.db2.gz ZYDOXFAZRRDQCV-QWRGUYRKSA-N -1 1 338.412 -0.391 20 0 EBADMM C[C@H](CNC(=O)Cc1ccc2c(c1)NC(=O)C2)NCc1n[nH]c(=O)[n-]1 ZINC001491485219 1130465731 /nfs/dbraw/zinc/46/57/31/1130465731.db2.gz LXNHUGJZDQUIML-SECBINFHSA-N -1 1 344.375 -0.158 20 0 EBADMM CC(=O)NCC(=O)NCCCN(C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001492255991 1130610349 /nfs/dbraw/zinc/61/03/49/1130610349.db2.gz OLZLXOPNARENFR-UHFFFAOYSA-N -1 1 349.391 -0.226 20 0 EBADMM C[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)C[C@@H]1CCOC1 ZINC001416797978 1130815059 /nfs/dbraw/zinc/81/50/59/1130815059.db2.gz YZKQJMOMZJPMMB-ZJUUUORDSA-N -1 1 348.363 -0.921 20 0 EBADMM C/C(=C/C(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1)C1CC1 ZINC001356746197 1130916528 /nfs/dbraw/zinc/91/65/28/1130916528.db2.gz MELAUGPJFOSNKL-FLIBITNWSA-N -1 1 346.387 -0.390 20 0 EBADMM CN(CCN(C)C(=O)c1cc(=O)n2[n-]cnc2n1)C(=O)c1ccc[nH]1 ZINC001357163134 1131055340 /nfs/dbraw/zinc/05/53/40/1131055340.db2.gz NGAIXESVEKQAJB-UHFFFAOYSA-N -1 1 343.347 -0.410 20 0 EBADMM CC(C)CC(=O)N1CCCN(C(=O)[C@]2(C(=O)[O-])CNCCO2)CC1 ZINC001358001915 1131160017 /nfs/dbraw/zinc/16/00/17/1131160017.db2.gz SLINXKRKGRTXPE-INIZCTEOSA-N -1 1 341.408 -0.463 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H](C)N1C(=O)CCC1=O ZINC001358203412 1131171514 /nfs/dbraw/zinc/17/15/14/1131171514.db2.gz JFGSLWOYMGLKTG-ZJUUUORDSA-N -1 1 348.359 -0.441 20 0 EBADMM C[C@H](CNC(=O)C1CC=CC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001358270800 1131176806 /nfs/dbraw/zinc/17/68/06/1131176806.db2.gz RRBWTCOUVBSYDZ-LLVKDONJSA-N -1 1 334.376 -0.486 20 0 EBADMM Cc1coc(C(=O)N[C@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC001358327650 1131179652 /nfs/dbraw/zinc/17/96/52/1131179652.db2.gz HAMCHVIGYVWGEI-LLVKDONJSA-N -1 1 348.359 -0.237 20 0 EBADMM C[C@@H](C(=O)N[C@@H](C)CNC(=O)CCn1cc[n-]c(=O)c1=O)C1CC1 ZINC001358483332 1131188138 /nfs/dbraw/zinc/18/81/38/1131188138.db2.gz BRQZCFLAVIEGEQ-WDEREUQCSA-N -1 1 336.392 -0.406 20 0 EBADMM CC(=O)NC[C@H]1CCCC[C@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001358553665 1131213132 /nfs/dbraw/zinc/21/31/32/1131213132.db2.gz ZTXLLLIAUILXEY-CHWSQXEVSA-N -1 1 336.392 -0.262 20 0 EBADMM NC(=O)[C@H](c1ccccc1)N1CCN(C(=O)CCc2nn[n-]n2)CC1 ZINC001361616356 1131428711 /nfs/dbraw/zinc/42/87/11/1131428711.db2.gz ZHGGYZYODCVPJD-HNNXBMFYSA-N -1 1 343.391 -0.497 20 0 EBADMM Cc1cc(C(=O)NC2CCN(C(=O)CCc3nn[n-]n3)CC2)no1 ZINC001361686174 1131439967 /nfs/dbraw/zinc/43/99/67/1131439967.db2.gz LQBZRAZSFFWOJU-UHFFFAOYSA-N -1 1 333.352 -0.150 20 0 EBADMM Cn1ncc(Br)c1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001361781653 1131454287 /nfs/dbraw/zinc/45/42/87/1131454287.db2.gz GOTFOVQAXGWZCJ-SSDOTTSWSA-N -1 1 342.157 -0.091 20 0 EBADMM O=C(CCNC(=O)c1ccc([O-])cc1F)N[C@@H]1CCS(=O)(=O)C1 ZINC001361807688 1131459396 /nfs/dbraw/zinc/45/93/96/1131459396.db2.gz PBCDDGJHEYJJRX-SECBINFHSA-N -1 1 344.364 -0.046 20 0 EBADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCCN1CCCS1(=O)=O ZINC001361861821 1131468188 /nfs/dbraw/zinc/46/81/88/1131468188.db2.gz DZOIBYXGJKSICP-UHFFFAOYSA-N -1 1 346.434 -0.022 20 0 EBADMM CC[C@H](Sc1nc(CC(=O)NCc2nn[n-]n2)cs1)C(N)=O ZINC001361914622 1131477733 /nfs/dbraw/zinc/47/77/33/1131477733.db2.gz LAZXEEBFPHBDBM-ZETCQYMHSA-N -1 1 341.422 -0.129 20 0 EBADMM O=C([C@@H]1CC(c2ccccn2)=NO1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001361924300 1131481126 /nfs/dbraw/zinc/48/11/26/1131481126.db2.gz LUAPRCZPJCHZTD-NWDGAFQWSA-N -1 1 329.320 -0.312 20 0 EBADMM CSc1ncc(C(=O)N2CC[C@@H](NS(C)(=O)=O)C2)c(=O)[n-]1 ZINC001361945237 1131484890 /nfs/dbraw/zinc/48/48/90/1131484890.db2.gz AWPBSJKLVHULRF-SSDOTTSWSA-N -1 1 332.407 -0.332 20 0 EBADMM CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc([O-])cn1 ZINC001362088322 1131513000 /nfs/dbraw/zinc/51/30/00/1131513000.db2.gz LXNNXNVXQDQLHV-VIFPVBQESA-N -1 1 327.362 -0.838 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NC1CCS(=O)(=O)CC1 ZINC001362102231 1131516256 /nfs/dbraw/zinc/51/62/56/1131516256.db2.gz ZHVLLVXACOWDID-UHFFFAOYSA-N -1 1 327.362 -0.790 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCN(c2cnn(C)c2)C1 ZINC001362125404 1131520566 /nfs/dbraw/zinc/52/05/66/1131520566.db2.gz BSJBPPYLNANQDC-QMMMGPOBSA-N -1 1 334.336 -0.361 20 0 EBADMM CC[C@H](C)[C@H](NC(=O)OC)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001362127071 1131520927 /nfs/dbraw/zinc/52/09/27/1131520927.db2.gz VCDLPPAXIRDFEP-AEJSXWLSSA-N -1 1 326.357 -0.130 20 0 EBADMM COC(=O)[C@@H]1O[C@@H](C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)C[C@H]1C ZINC001362249478 1131544658 /nfs/dbraw/zinc/54/46/58/1131544658.db2.gz WRJAAIKKVFXTPF-ZYUZMQFOSA-N -1 1 325.325 -0.934 20 0 EBADMM CC1=NS(=O)(=O)N(C)C=C1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362272156 1131549710 /nfs/dbraw/zinc/54/97/10/1131549710.db2.gz WVMDUKFJOZJSQG-SECBINFHSA-N -1 1 339.381 -0.559 20 0 EBADMM C[C@H](CN(C)C(=O)CN1CCCC2(CC2)S1(=O)=O)c1nn[n-]n1 ZINC001362302194 1131555708 /nfs/dbraw/zinc/55/57/08/1131555708.db2.gz SOZRWXWJHXCRFM-SNVBAGLBSA-N -1 1 342.425 -0.280 20 0 EBADMM COC(=O)Cc1occ(C)c1C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362391739 1131574111 /nfs/dbraw/zinc/57/41/11/1131574111.db2.gz XLXXSWJFZXQYNU-UHFFFAOYSA-N -1 1 349.347 -0.060 20 0 EBADMM CN(C[C@H]1CCCN(C(=O)CCCc2nn[n-]n2)C1)S(C)(=O)=O ZINC001362427791 1131580543 /nfs/dbraw/zinc/58/05/43/1131580543.db2.gz BGTXUNPFSMSAIT-LLVKDONJSA-N -1 1 344.441 -0.348 20 0 EBADMM O=C(C1=CS(=O)(=O)CCC1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362438525 1131583240 /nfs/dbraw/zinc/58/32/40/1131583240.db2.gz SZZPVAAHHYPURI-UHFFFAOYSA-N -1 1 327.366 -0.898 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@@](CO)(COC)C2)o1 ZINC001362464182 1131588912 /nfs/dbraw/zinc/58/89/12/1131588912.db2.gz GQLZPJGYRUBOSS-CYBMUJFWSA-N -1 1 332.378 -0.341 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)[C@H]1CCN(S(C)(=O)=O)C1 ZINC001362482010 1131592332 /nfs/dbraw/zinc/59/23/32/1131592332.db2.gz MZQRQAIXKZHYRJ-ZETCQYMHSA-N -1 1 346.365 -0.998 20 0 EBADMM CCOC(=O)[C@H]1CSCN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001362494849 1131595440 /nfs/dbraw/zinc/59/54/40/1131595440.db2.gz SKNVVMACNIETBR-ZCFIWIBFSA-N -1 1 329.334 -0.025 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC2(CNC(=O)N2C)CC1 ZINC001362587278 1131618471 /nfs/dbraw/zinc/61/84/71/1131618471.db2.gz GYMVTUVVNFPICP-UHFFFAOYSA-N -1 1 337.336 -0.474 20 0 EBADMM O=C(CN1CCCCS1(=O)=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC001362688807 1131641932 /nfs/dbraw/zinc/64/19/32/1131641932.db2.gz XEFYZWTVQGWXEC-VIFPVBQESA-N -1 1 346.438 -0.758 20 0 EBADMM O=C(N1CCC(O)(c2nn[n-]n2)CC1)[C@@]1(O)CCc2ccccc2C1 ZINC001362730438 1131657009 /nfs/dbraw/zinc/65/70/09/1131657009.db2.gz KSBZFEWOVJVWAL-QGZVFWFLSA-N -1 1 343.387 -0.070 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)CS1 ZINC001362756471 1131664674 /nfs/dbraw/zinc/66/46/74/1131664674.db2.gz DIUQEIHYYAMWER-YUMQZZPRSA-N -1 1 347.418 -0.333 20 0 EBADMM COC(=O)CNC(=O)[C@H]1CCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001362789914 1131675096 /nfs/dbraw/zinc/67/50/96/1131675096.db2.gz RDSHTKMIFWRHCJ-LLVKDONJSA-N -1 1 348.359 -0.047 20 0 EBADMM COC(=O)CC1(CNC(=O)CCCc2nn[n-]n2)CCOCC1 ZINC001362810222 1131682240 /nfs/dbraw/zinc/68/22/40/1131682240.db2.gz HJEUSSKNTWNHSP-UHFFFAOYSA-N -1 1 325.369 -0.002 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2C[C@H](S(C)(=O)=O)C2)co1 ZINC001362819577 1131685330 /nfs/dbraw/zinc/68/53/30/1131685330.db2.gz PPIFQRWZIIVJFU-KYZUINATSA-N -1 1 336.391 -0.507 20 0 EBADMM O=C([C@H]1CC12CCS(=O)(=O)CC2)N1CC[C@H](c2nn[n-]n2)C1 ZINC001362824760 1131686799 /nfs/dbraw/zinc/68/67/99/1131686799.db2.gz YZKCRBRCCMUPDG-VHSXEESVSA-N -1 1 325.394 -0.270 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cc(N2CCOCC2)ncn1)c1nn[n-]n1 ZINC001362824425 1131686883 /nfs/dbraw/zinc/68/68/83/1131686883.db2.gz HZZIYCZSVUSEFE-JTQLQIEISA-N -1 1 332.368 -0.298 20 0 EBADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N[C@@H]1COC[C@H]1O ZINC001362949602 1131721225 /nfs/dbraw/zinc/72/12/25/1131721225.db2.gz XHVMIPVGDRYRQC-CHWSQXEVSA-N -1 1 330.344 -0.441 20 0 EBADMM COCCS(=O)(=O)CC(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC001362962448 1131725728 /nfs/dbraw/zinc/72/57/28/1131725728.db2.gz ULKVUKRFZQYKGG-UHFFFAOYSA-N -1 1 335.407 -0.419 20 0 EBADMM O=C(CCCc1nn[n-]n1)N1CCS(=O)(=O)C[C@@H]1C1CCC1 ZINC001363067204 1131770714 /nfs/dbraw/zinc/77/07/14/1131770714.db2.gz MPCFHMHSGBRUGW-LLVKDONJSA-N -1 1 327.410 -0.052 20 0 EBADMM CSCC[C@H](NC(=O)C[C@@H]1CCS(=O)(=O)C1)c1nn[n-]n1 ZINC001363100493 1131784896 /nfs/dbraw/zinc/78/48/96/1131784896.db2.gz RMQNPIQPDXZGKC-IUCAKERBSA-N -1 1 333.439 -0.065 20 0 EBADMM O=C(Cn1cc(Br)cn1)N1CCOC[C@H]1c1nn[n-]n1 ZINC001363117216 1131791033 /nfs/dbraw/zinc/79/10/33/1131791033.db2.gz SXZQFLHALMUQMS-QMMMGPOBSA-N -1 1 342.157 -0.241 20 0 EBADMM COC(=O)C[C@H]1CS(=O)(=O)CCN1C(=O)c1ncccc1[O-] ZINC001363132427 1131795367 /nfs/dbraw/zinc/79/53/67/1131795367.db2.gz AZVCJCPRAWJGGB-VIFPVBQESA-N -1 1 328.346 -0.411 20 0 EBADMM CS(=O)(=O)NCC1CCN(C(=O)CCCc2nn[n-]n2)CC1 ZINC001363173382 1131814960 /nfs/dbraw/zinc/81/49/60/1131814960.db2.gz HQXAVSQHUOQKMN-UHFFFAOYSA-N -1 1 330.414 -0.690 20 0 EBADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@H]2CC[C@@H](C(N)=O)O2)C1 ZINC001363196144 1131826090 /nfs/dbraw/zinc/82/60/90/1131826090.db2.gz OMBNMSTZDHBQFD-JOAULVNJSA-N -1 1 337.298 -0.311 20 0 EBADMM C[C@@H](NC(=O)CN1CCC(c2n[n-]c(=O)o2)CC1)C(=O)N(C)C ZINC001363235782 1131843152 /nfs/dbraw/zinc/84/31/52/1131843152.db2.gz MZZBDASFYJIPEY-SECBINFHSA-N -1 1 325.369 -0.453 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCC1(CS(C)(=O)=O)CC1 ZINC001363245512 1131848229 /nfs/dbraw/zinc/84/82/29/1131848229.db2.gz ZRXDBXCBMPYRRS-UHFFFAOYSA-N -1 1 345.377 -0.159 20 0 EBADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C[C@@]1(C)CCS(=O)(=O)N1 ZINC001363276251 1131866151 /nfs/dbraw/zinc/86/61/51/1131866151.db2.gz LJHLMTJLJOMEMC-GFCCVEGCSA-N -1 1 330.414 -0.344 20 0 EBADMM CO[C@@]1(C(=O)N[C@@H](CCSC)c2nn[n-]n2)CCS(=O)(=O)C1 ZINC001363281357 1131868804 /nfs/dbraw/zinc/86/88/04/1131868804.db2.gz WTWKFYJLXXKXRE-KWQFWETISA-N -1 1 349.438 -0.686 20 0 EBADMM Cc1cc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)ccc1S(N)(=O)=O ZINC001363304931 1131886880 /nfs/dbraw/zinc/88/68/80/1131886880.db2.gz CNGDSJUCGYZBRV-SNVBAGLBSA-N -1 1 336.377 -0.215 20 0 EBADMM COC(=O)[C@@]1(O)CCN(C(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC001363321317 1131897561 /nfs/dbraw/zinc/89/75/61/1131897561.db2.gz MBJKADFLIIXXSB-MRXNPFEDSA-N -1 1 348.359 -0.269 20 0 EBADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CC(NS(N)(=O)=O)C1 ZINC001363360519 1131914814 /nfs/dbraw/zinc/91/48/14/1131914814.db2.gz NJPKNWMDEPZBBJ-UHFFFAOYSA-N -1 1 343.409 -0.594 20 0 EBADMM CC1=C(C(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)S(=O)(=O)CCO1 ZINC001363403090 1131933443 /nfs/dbraw/zinc/93/34/43/1131933443.db2.gz XGBSFHVRIUFCQO-JTQLQIEISA-N -1 1 341.393 -0.343 20 0 EBADMM CSc1ncc(C(=O)N(C)N2CCS(=O)(=O)CC2)c(=O)[n-]1 ZINC001363405747 1131935399 /nfs/dbraw/zinc/93/53/99/1131935399.db2.gz ZEQUBWNMBIUZNS-UHFFFAOYSA-N -1 1 332.407 -0.379 20 0 EBADMM COC[C@@H](NC(=O)[C@H]1C[C@H]1NC(=O)OC(C)(C)C)c1nn[n-]n1 ZINC001363409458 1131938668 /nfs/dbraw/zinc/93/86/68/1131938668.db2.gz FCCKLPXOQYFNAQ-DJLDLDEBSA-N -1 1 326.357 -0.083 20 0 EBADMM O=C(C[C@H]1Oc2ccccc2NC1=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC001363412761 1131939739 /nfs/dbraw/zinc/93/97/39/1131939739.db2.gz VZPAWFPRBBGPKL-CMPLNLGQSA-N -1 1 344.331 -0.111 20 0 EBADMM CNS(=O)(=O)c1csc(C(=O)N[C@H](COC)c2nn[n-]n2)c1 ZINC001363429022 1131945601 /nfs/dbraw/zinc/94/56/01/1131945601.db2.gz CVOUHRKULBVDAW-SSDOTTSWSA-N -1 1 346.394 -0.713 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H](CO)C[C@H]2CCCO2)co1 ZINC001363441811 1131951573 /nfs/dbraw/zinc/95/15/73/1131951573.db2.gz UJAKPYVUPHFQHF-GHMZBOCLSA-N -1 1 332.378 -0.153 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NC1CN(c2ccncn2)C1 ZINC001363521730 1131989876 /nfs/dbraw/zinc/98/98/76/1131989876.db2.gz CZIAYNSOQXFCJT-UHFFFAOYSA-N -1 1 328.332 -0.688 20 0 EBADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@@H](O)[C@@H](O)C2)o1 ZINC001363530904 1131997510 /nfs/dbraw/zinc/99/75/10/1131997510.db2.gz WTRNZYLFQMTSGY-ZJUUUORDSA-N -1 1 346.405 -0.076 20 0 EBADMM CC[C@@H](O)Cn1cc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)nn1 ZINC001363533232 1131999801 /nfs/dbraw/zinc/99/98/01/1131999801.db2.gz YCDDMGKMOFKNOU-SECBINFHSA-N -1 1 349.313 -0.201 20 0 EBADMM COC[C@H](NC(=O)c1cc(Br)n(C)n1)c1nn[n-]n1 ZINC001363584504 1132023672 /nfs/dbraw/zinc/02/36/72/1132023672.db2.gz FYTIOQPDTYCRCG-LURJTMIESA-N -1 1 330.146 -0.187 20 0 EBADMM COc1cnc(C2(NC(=O)CN3CCNC(=O)C3)CCCC2)[n-]c1=O ZINC001363663365 1132048633 /nfs/dbraw/zinc/04/86/33/1132048633.db2.gz ZUNCKSOLEZGXRQ-UHFFFAOYSA-N -1 1 349.391 -0.492 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)c1cccnc1OCCO ZINC001363694299 1132059357 /nfs/dbraw/zinc/05/93/57/1132059357.db2.gz YVVHPLZDIZMCPI-UHFFFAOYSA-N -1 1 346.343 -0.049 20 0 EBADMM NS(=O)(=O)c1ccc([O-])c(C(=O)N[C@H]2CN3CCC2CC3)c1 ZINC001363796934 1132091101 /nfs/dbraw/zinc/09/11/01/1132091101.db2.gz SHSZZCNUHAHMMI-LBPRGKRZSA-N -1 1 325.390 -0.136 20 0 EBADMM COc1cnc([C@@H]2CCCN2C(=O)[C@H]2CC[C@@H](C(N)=O)O2)[n-]c1=O ZINC001363852604 1132111839 /nfs/dbraw/zinc/11/18/39/1132111839.db2.gz ZAEXKPSACZULIG-LPEHRKFASA-N -1 1 336.348 -0.113 20 0 EBADMM CCOC(=O)c1nc([C@H](C)NC(=O)CN(C)S(C)(=O)=O)n[n-]1 ZINC001363886218 1132120093 /nfs/dbraw/zinc/12/00/93/1132120093.db2.gz MNTJYZVSBHJCNB-ZETCQYMHSA-N -1 1 333.370 -0.950 20 0 EBADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CN(C)S(C)(=O)=O)[n-]1 ZINC001363886218 1132120096 /nfs/dbraw/zinc/12/00/96/1132120096.db2.gz MNTJYZVSBHJCNB-ZETCQYMHSA-N -1 1 333.370 -0.950 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CN(C)S(C)(=O)=O)n1 ZINC001363886218 1132120098 /nfs/dbraw/zinc/12/00/98/1132120098.db2.gz MNTJYZVSBHJCNB-ZETCQYMHSA-N -1 1 333.370 -0.950 20 0 EBADMM COC(=O)[C@H](Cc1ccccc1)[N-]S(=O)(=O)[C@@H]1COC[C@H]1O ZINC001363926368 1132131559 /nfs/dbraw/zinc/13/15/59/1132131559.db2.gz JQBKDWGTPURKHO-YNEHKIRRSA-N -1 1 329.374 -0.550 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)NCCS(=O)(=O)N(C)C)c1 ZINC001363939184 1132136034 /nfs/dbraw/zinc/13/60/34/1132136034.db2.gz WUFIBBYYOODIRQ-UHFFFAOYSA-N -1 1 338.407 -0.430 20 0 EBADMM CCn1cccc(C[N-]S(=O)(=O)c2n[nH]cc2C(=O)OC)c1=O ZINC001363987979 1132155358 /nfs/dbraw/zinc/15/53/58/1132155358.db2.gz ZOXKOLOARLLBKS-UHFFFAOYSA-N -1 1 340.361 -0.144 20 0 EBADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@@H]1C(=O)OCC1(C)C ZINC001364002126 1132160781 /nfs/dbraw/zinc/16/07/81/1132160781.db2.gz MLJIPRSZJMDFBM-MRVPVSSYSA-N -1 1 331.350 -0.265 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H](C2CC2)C(C)(C)CO)c(=O)[nH]c1=O ZINC001364013320 1132166279 /nfs/dbraw/zinc/16/62/79/1132166279.db2.gz YNRMVGSHTMCDQD-SNVBAGLBSA-N -1 1 331.394 -0.439 20 0 EBADMM Cc1cc(S(=O)(=O)[N-]Cc2nnc3n2CCNC3=O)sn1 ZINC001364026335 1132172401 /nfs/dbraw/zinc/17/24/01/1132172401.db2.gz QEIIKCWOZIPIHA-UHFFFAOYSA-N -1 1 328.379 -0.735 20 0 EBADMM COC[C@H](NC(=O)CN1CCN(c2ccccn2)CC1)c1nn[n-]n1 ZINC001364032476 1132175227 /nfs/dbraw/zinc/17/52/27/1132175227.db2.gz NRPFIPIGOQTEDP-LBPRGKRZSA-N -1 1 346.395 -0.779 20 0 EBADMM COC(=O)[C@H](Cc1ccc(F)cc1)[N-]S(=O)(=O)[C@@H]1COC[C@H]1O ZINC001364037908 1132177572 /nfs/dbraw/zinc/17/75/72/1132177572.db2.gz XBNXQBHNNCWBQW-YNEHKIRRSA-N -1 1 347.364 -0.411 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[S@@](=O)C[C@@H](C)C2)[n-]n1 ZINC001364041946 1132179530 /nfs/dbraw/zinc/17/95/30/1132179530.db2.gz CSXGPTSSHDASLU-FFVOIRBGSA-N -1 1 335.407 -0.415 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[S@@](=O)C[C@@H](C)C2)n[n-]1 ZINC001364041946 1132179534 /nfs/dbraw/zinc/17/95/34/1132179534.db2.gz CSXGPTSSHDASLU-FFVOIRBGSA-N -1 1 335.407 -0.415 20 0 EBADMM O=S(=O)([N-][C@H](CO)c1ncc[nH]1)c1nc[nH]c1Br ZINC001364041673 1132179579 /nfs/dbraw/zinc/17/95/79/1132179579.db2.gz GYRLBYDYJMJSJZ-RXMQYKEDSA-N -1 1 336.171 -0.093 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2CCC23CCOCC3)c(=O)[nH]c1=O ZINC001364051171 1132185122 /nfs/dbraw/zinc/18/51/22/1132185122.db2.gz BEQDQSVFEOORCX-JTQLQIEISA-N -1 1 343.405 -0.029 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@]12COC(=O)N1CCOC2 ZINC001364054530 1132186607 /nfs/dbraw/zinc/18/66/07/1132186607.db2.gz MVPWDIDIMRFPQC-LLVKDONJSA-N -1 1 332.338 -0.935 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@@H](C(=O)N(C)C)C2)[n-]n1 ZINC001364055325 1132187519 /nfs/dbraw/zinc/18/75/19/1132187519.db2.gz QWLAELCNAWQSOV-SECBINFHSA-N -1 1 344.393 -0.315 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@@H](C(=O)N(C)C)C2)n[n-]1 ZINC001364055325 1132187522 /nfs/dbraw/zinc/18/75/22/1132187522.db2.gz QWLAELCNAWQSOV-SECBINFHSA-N -1 1 344.393 -0.315 20 0 EBADMM COc1cn(C)nc1[C@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001364128716 1132215597 /nfs/dbraw/zinc/21/55/97/1132215597.db2.gz YHDASASOVPSDFR-ZETCQYMHSA-N -1 1 343.365 -0.733 20 0 EBADMM Cc1cccnc1[C@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC001364162612 1132223564 /nfs/dbraw/zinc/22/35/64/1132223564.db2.gz VLKNPUUKGLJJGC-JTQLQIEISA-N -1 1 338.389 -0.173 20 0 EBADMM COC(=O)[C@]1(C[N-]S(=O)(=O)c2ncn(C)c2Cl)CCOC1 ZINC001364180299 1132227817 /nfs/dbraw/zinc/22/78/17/1132227817.db2.gz NUUXAXMEFOPYAF-NSHDSACASA-N -1 1 337.785 -0.069 20 0 EBADMM COC(=O)[C@H](CC1OCCO1)[N-]S(=O)(=O)CCn1ccnc1 ZINC001364182917 1132228667 /nfs/dbraw/zinc/22/86/67/1132228667.db2.gz YKNXIQMXGKNLPD-JTQLQIEISA-N -1 1 333.366 -0.893 20 0 EBADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@H]1CC12CCS(=O)(=O)CC2 ZINC001364204241 1132235208 /nfs/dbraw/zinc/23/52/08/1132235208.db2.gz KCWUQPIWCARVQI-MRVPVSSYSA-N -1 1 327.362 -0.281 20 0 EBADMM COc1cnc(C(C)(C)NC(=O)[C@H]2CCS(=O)(=O)N2)[n-]c1=O ZINC001364237249 1132249469 /nfs/dbraw/zinc/24/94/69/1132249469.db2.gz XRTBAOSKJMPFIU-SSDOTTSWSA-N -1 1 330.366 -0.766 20 0 EBADMM CSc1ncc(C(=O)NC2CCN(CC(N)=O)CC2)c(=O)[n-]1 ZINC001364312229 1132272329 /nfs/dbraw/zinc/27/23/29/1132272329.db2.gz UZXNFELFXHQHDC-UHFFFAOYSA-N -1 1 325.394 -0.416 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](C(=O)N[C@@H]3CCC[C@@H](O)C3)C2)nc1=O ZINC001364491638 1132337791 /nfs/dbraw/zinc/33/77/91/1132337791.db2.gz ICNDTEGCQSWODR-JHJVBQTASA-N -1 1 337.424 -0.260 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](C(=O)N[C@@H]3CCC[C@H](O)C3)C2)nc1=O ZINC001364491640 1132338110 /nfs/dbraw/zinc/33/81/10/1132338110.db2.gz ICNDTEGCQSWODR-UPJWGTAASA-N -1 1 337.424 -0.260 20 0 EBADMM Cc1sc(S(N)(=O)=O)cc1C(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001364568068 1132363479 /nfs/dbraw/zinc/36/34/79/1132363479.db2.gz OXQRYJMFTAEYGL-SSDOTTSWSA-N -1 1 342.406 -0.153 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N[C@H]1CC(=O)N(C2CC2)C1 ZINC001364565097 1132363648 /nfs/dbraw/zinc/36/36/48/1132363648.db2.gz TZNSSQIELXJANW-VIFPVBQESA-N -1 1 347.375 -0.183 20 0 EBADMM O=C(NCC[C@H]1CNC(=O)C1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001364729156 1132405848 /nfs/dbraw/zinc/40/58/48/1132405848.db2.gz QNTBHTMWQYSHCS-SECBINFHSA-N -1 1 335.364 -0.420 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCCN(C(=O)OC)C1 ZINC001364796213 1132429555 /nfs/dbraw/zinc/42/95/55/1132429555.db2.gz JESJGEZXORUQRY-QMMMGPOBSA-N -1 1 346.365 -0.295 20 0 EBADMM COC[C@H](NC(=O)[C@H]1C[C@]12c1ccccc1NC2=O)c1nn[n-]n1 ZINC001364814800 1132435935 /nfs/dbraw/zinc/43/59/35/1132435935.db2.gz NGXPOEKMKAYUJV-VPJDZLOFSA-N -1 1 328.332 -0.087 20 0 EBADMM COC[C@H](NC(=O)C1CCN(Cc2nccn2C)CC1)c1nn[n-]n1 ZINC001364816188 1132437596 /nfs/dbraw/zinc/43/75/96/1132437596.db2.gz TYRGPIFJAIWRFZ-LBPRGKRZSA-N -1 1 348.411 -0.351 20 0 EBADMM C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001364820117 1132439311 /nfs/dbraw/zinc/43/93/11/1132439311.db2.gz VBYSAGYTFWRJRB-OPQQBVKSSA-N -1 1 336.396 -0.744 20 0 EBADMM C[C@]1(CC(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)CCS(=O)(=O)N1 ZINC001364819582 1132439424 /nfs/dbraw/zinc/43/94/24/1132439424.db2.gz SDYMUEJCKABQNA-ZWNOBZJWSA-N -1 1 342.425 -0.547 20 0 EBADMM COC[C@@](C)([N-]S(=O)(=O)[C@H]1CC[C@@H](C(=O)OC)C1)C(=O)OC ZINC001364831631 1132446868 /nfs/dbraw/zinc/44/68/68/1132446868.db2.gz VAZPUTKJQGKVPR-GBIKHYSHSA-N -1 1 337.394 -0.174 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCS(=O)(=O)C[C@H]2C)[n-]n1 ZINC001364845859 1132450618 /nfs/dbraw/zinc/45/06/18/1132450618.db2.gz XQDBUWUSNZVRQX-SSDOTTSWSA-N -1 1 337.379 -0.996 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCS(=O)(=O)C[C@H]2C)n[n-]1 ZINC001364845859 1132450623 /nfs/dbraw/zinc/45/06/23/1132450623.db2.gz XQDBUWUSNZVRQX-SSDOTTSWSA-N -1 1 337.379 -0.996 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC(CO)(OC)CC2)[n-]n1 ZINC001364871297 1132461995 /nfs/dbraw/zinc/46/19/95/1132461995.db2.gz VTAILMXZWWQKOD-UHFFFAOYSA-N -1 1 333.366 -0.642 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC(CO)(OC)CC2)n[n-]1 ZINC001364871297 1132462012 /nfs/dbraw/zinc/46/20/12/1132462012.db2.gz VTAILMXZWWQKOD-UHFFFAOYSA-N -1 1 333.366 -0.642 20 0 EBADMM C[C@@H]([N-]S(=O)(=O)[C@@H]1COC[C@H]1O)c1noc(C(F)(F)F)n1 ZINC001364874237 1132463614 /nfs/dbraw/zinc/46/36/14/1132463614.db2.gz SYASDMRGHBCOFI-HSUXUTPPSA-N -1 1 331.272 -0.172 20 0 EBADMM COC(=O)c1ccc(CS(=O)(=O)[N-][C@@](C)(CO)C(=O)OC)cc1 ZINC001364886100 1132470084 /nfs/dbraw/zinc/47/00/84/1132470084.db2.gz YCVSDBBPEMNOMT-AWEZNQCLSA-N -1 1 345.373 -0.183 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCCN(CCF)C1 ZINC001364903030 1132476837 /nfs/dbraw/zinc/47/68/37/1132476837.db2.gz PRPYSKLBLDFKMS-SECBINFHSA-N -1 1 334.373 -0.092 20 0 EBADMM CC[C@@H](COCC1CC1)[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001364907979 1132479769 /nfs/dbraw/zinc/47/97/69/1132479769.db2.gz MRBAANJDSRRDBS-JTQLQIEISA-N -1 1 331.394 -0.031 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCCN(CC)C1=O ZINC001364952664 1132500396 /nfs/dbraw/zinc/50/03/96/1132500396.db2.gz NUZDWJJPNISXDW-SNVBAGLBSA-N -1 1 344.393 -0.124 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H](C(=O)OC)[C@@H](C)O)cc1C ZINC001364958939 1132502944 /nfs/dbraw/zinc/50/29/44/1132502944.db2.gz DDRHQXMDQPJCCD-APPZFPTMSA-N -1 1 335.334 -0.425 20 0 EBADMM Cc1nn(C)c(C)c1[C@H](O)C[N-]S(=O)(=O)c1c(C)onc1N ZINC001365000497 1132517080 /nfs/dbraw/zinc/51/70/80/1132517080.db2.gz DJVAQCAQENWIGK-SECBINFHSA-N -1 1 329.382 -0.073 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)NCc3nnc4c(=O)[nH]ccn34)ccnc1-2 ZINC001365052267 1132530189 /nfs/dbraw/zinc/53/01/89/1132530189.db2.gz XIKHLFNFBANCSL-UHFFFAOYSA-N -1 1 339.319 -0.606 20 0 EBADMM COC[C@@](C)(CC(=O)OC)NS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001365132543 1132554906 /nfs/dbraw/zinc/55/49/06/1132554906.db2.gz DUZBMVIMKNVBDA-GFCCVEGCSA-N -1 1 349.365 -0.557 20 0 EBADMM COC[C@@](C)(CC(=O)OC)NS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001365132543 1132554908 /nfs/dbraw/zinc/55/49/08/1132554908.db2.gz DUZBMVIMKNVBDA-GFCCVEGCSA-N -1 1 349.365 -0.557 20 0 EBADMM CO[C@@H]1CCn2cc(C(=O)Nc3nc(S(C)(=O)=O)n[n-]3)nc2C1 ZINC001365182763 1132572664 /nfs/dbraw/zinc/57/26/64/1132572664.db2.gz HGAAXIOPGPTRFM-SSDOTTSWSA-N -1 1 340.365 -0.382 20 0 EBADMM CO[C@@H]1CCn2cc(C(=O)Nc3nnc(S(C)(=O)=O)[n-]3)nc2C1 ZINC001365182763 1132572670 /nfs/dbraw/zinc/57/26/70/1132572670.db2.gz HGAAXIOPGPTRFM-SSDOTTSWSA-N -1 1 340.365 -0.382 20 0 EBADMM C[C@@H](CC(=O)N1CC[C@H]1CN(C)C(=O)c1ncccc1[O-])NC(N)=O ZINC001414110508 1132604836 /nfs/dbraw/zinc/60/48/36/1132604836.db2.gz BGQMQVVQPMCHMI-QWRGUYRKSA-N -1 1 349.391 -0.093 20 0 EBADMM COC[C@H]([N-]S(=O)(=O)C[C@@H]1COc2ccccc2O1)C(N)=O ZINC001365377127 1132640816 /nfs/dbraw/zinc/64/08/16/1132640816.db2.gz XJCFIFRAWUCHGM-UWVGGRQHSA-N -1 1 330.362 -0.754 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H](C)C[C@H]2C(N)=O)[n-]n1 ZINC001365381609 1132643885 /nfs/dbraw/zinc/64/38/85/1132643885.db2.gz SFDCMEGVLQRCTB-CBAPKCEASA-N -1 1 330.366 -0.529 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H](C)C[C@H]2C(N)=O)n[n-]1 ZINC001365381609 1132643889 /nfs/dbraw/zinc/64/38/89/1132643889.db2.gz SFDCMEGVLQRCTB-CBAPKCEASA-N -1 1 330.366 -0.529 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@@]2(C)C(=O)OC)[n-]n1 ZINC001365383251 1132645123 /nfs/dbraw/zinc/64/51/23/1132645123.db2.gz QRESZHFUVHWZSN-LBPRGKRZSA-N -1 1 331.350 -0.088 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@@]2(C)C(=O)OC)n[n-]1 ZINC001365383251 1132645142 /nfs/dbraw/zinc/64/51/42/1132645142.db2.gz QRESZHFUVHWZSN-LBPRGKRZSA-N -1 1 331.350 -0.088 20 0 EBADMM COCC[C@]1([N-]S(=O)(=O)c2n[nH]c(C)c2C(=O)OC)CCOC1 ZINC001365405592 1132655274 /nfs/dbraw/zinc/65/52/74/1132655274.db2.gz MYPWGLGWRDTEBD-ZDUSSCGKSA-N -1 1 347.393 -0.021 20 0 EBADMM O=C(NCC[C@]1(O)CCOC1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001365413752 1132657812 /nfs/dbraw/zinc/65/78/12/1132657812.db2.gz RBMZFJPEIPTJPT-HNNXBMFYSA-N -1 1 338.364 -0.404 20 0 EBADMM CCCN1C[C@@H](C[N-]S(=O)(=O)c2n[nH]cc2C(=O)OC)CC1=O ZINC001365422576 1132664000 /nfs/dbraw/zinc/66/40/00/1132664000.db2.gz LKUABFDNBZGPBZ-SECBINFHSA-N -1 1 344.393 -0.267 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2C[C@H](C)n3ncnc32)[n-]n1 ZINC001365434511 1132672593 /nfs/dbraw/zinc/67/25/93/1132672593.db2.gz GOVRDZBMWPCTPN-BQBZGAKWSA-N -1 1 326.338 -0.228 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2C[C@H](C)n3ncnc32)n[n-]1 ZINC001365434511 1132672600 /nfs/dbraw/zinc/67/26/00/1132672600.db2.gz GOVRDZBMWPCTPN-BQBZGAKWSA-N -1 1 326.338 -0.228 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@@H]3CCNC(=O)[C@@H]32)[n-]n1 ZINC001365457753 1132689703 /nfs/dbraw/zinc/68/97/03/1132689703.db2.gz SQHQXTJLPDPTMD-LDYMZIIASA-N -1 1 342.377 -0.515 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@@H]3CCNC(=O)[C@@H]32)n[n-]1 ZINC001365457753 1132689706 /nfs/dbraw/zinc/68/97/06/1132689706.db2.gz SQHQXTJLPDPTMD-LDYMZIIASA-N -1 1 342.377 -0.515 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC3(C2)CCNC(=O)O3)[n-]n1 ZINC001365467493 1132696805 /nfs/dbraw/zinc/69/68/05/1132696805.db2.gz HAHIZDIGEDMTEU-UHFFFAOYSA-N -1 1 330.322 -0.931 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC3(C2)CCNC(=O)O3)n[n-]1 ZINC001365467493 1132696811 /nfs/dbraw/zinc/69/68/11/1132696811.db2.gz HAHIZDIGEDMTEU-UHFFFAOYSA-N -1 1 330.322 -0.931 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@H](CCC(N)=O)C2)[n-]n1 ZINC001365640456 1132743032 /nfs/dbraw/zinc/74/30/32/1132743032.db2.gz IBHSQQDZWJHBAY-SECBINFHSA-N -1 1 344.393 -0.138 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@H](CCC(N)=O)C2)n[n-]1 ZINC001365640456 1132743035 /nfs/dbraw/zinc/74/30/35/1132743035.db2.gz IBHSQQDZWJHBAY-SECBINFHSA-N -1 1 344.393 -0.138 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCO[C@H]([C@H]3CCCO3)C2)[n-]n1 ZINC001365681866 1132761030 /nfs/dbraw/zinc/76/10/30/1132761030.db2.gz YZAYKPBAUCANIF-MNOVXSKESA-N -1 1 345.377 -0.235 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCO[C@H]([C@H]3CCCO3)C2)n[n-]1 ZINC001365681866 1132761035 /nfs/dbraw/zinc/76/10/35/1132761035.db2.gz YZAYKPBAUCANIF-MNOVXSKESA-N -1 1 345.377 -0.235 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCO[C@H]([C@@H]3CCCO3)C2)[n-]n1 ZINC001365681867 1132761441 /nfs/dbraw/zinc/76/14/41/1132761441.db2.gz YZAYKPBAUCANIF-QWRGUYRKSA-N -1 1 345.377 -0.235 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCO[C@H]([C@@H]3CCCO3)C2)n[n-]1 ZINC001365681867 1132761445 /nfs/dbraw/zinc/76/14/45/1132761445.db2.gz YZAYKPBAUCANIF-QWRGUYRKSA-N -1 1 345.377 -0.235 20 0 EBADMM COC[C@H](NS(=O)(=O)c1cc(C(=O)OC)n[n-]1)[C@H]1CCCO1 ZINC001365703619 1132768943 /nfs/dbraw/zinc/76/89/43/1132768943.db2.gz IIOGMADEQSXGDO-VHSXEESVSA-N -1 1 333.366 -0.331 20 0 EBADMM COC[C@H](NS(=O)(=O)c1cc(C(=O)OC)[n-]n1)[C@H]1CCCO1 ZINC001365703619 1132768947 /nfs/dbraw/zinc/76/89/47/1132768947.db2.gz IIOGMADEQSXGDO-VHSXEESVSA-N -1 1 333.366 -0.331 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H](C)Cc2cnn(C)c2)[n-]n1 ZINC001365706826 1132770571 /nfs/dbraw/zinc/77/05/71/1132770571.db2.gz GQXVPGBYOFPQMH-MRVPVSSYSA-N -1 1 327.366 -0.161 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H](C)Cc2cnn(C)c2)n[n-]1 ZINC001365706826 1132770580 /nfs/dbraw/zinc/77/05/80/1132770580.db2.gz GQXVPGBYOFPQMH-MRVPVSSYSA-N -1 1 327.366 -0.161 20 0 EBADMM COc1nscc1S(=O)(=O)[N-][C@@H](CO)Cc1cnn(C)c1 ZINC001365715937 1132773482 /nfs/dbraw/zinc/77/34/82/1132773482.db2.gz LBMJTOGBWCPTGI-SECBINFHSA-N -1 1 332.407 -0.233 20 0 EBADMM COC(=O)[C@@H]([N-]S(=O)(=O)CC1(C(=O)OC)CC1)c1ccnn1C ZINC001365716936 1132774424 /nfs/dbraw/zinc/77/44/24/1132774424.db2.gz OMKOTCHUUUPBPS-JTQLQIEISA-N -1 1 345.377 -0.493 20 0 EBADMM C[C@@H]1CC2(CC([N-]S(=O)(=O)c3cn(C)c(=O)[nH]c3=O)C2)CO1 ZINC001365741962 1132787142 /nfs/dbraw/zinc/78/71/42/1132787142.db2.gz AYOCSMKPGFFOPO-BGQFSCJGSA-N -1 1 329.378 -0.278 20 0 EBADMM Cn1[n-]c(CNCc2cc(=O)[nH]c(CNC(=O)C3(C)CC3)n2)nc1=O ZINC001365863373 1132839732 /nfs/dbraw/zinc/83/97/32/1132839732.db2.gz PBEUAXAQQKSMBN-UHFFFAOYSA-N -1 1 347.379 -0.690 20 0 EBADMM Cn1ccnc1CCC(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001365938690 1132900217 /nfs/dbraw/zinc/90/02/17/1132900217.db2.gz AGFXYCXABNIAGK-GFCCVEGCSA-N -1 1 347.423 -0.445 20 0 EBADMM CCOCC(=O)N(C)C[C@@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001414111274 1133002565 /nfs/dbraw/zinc/00/25/65/1133002565.db2.gz WQTVUCKMFNVYJC-JTQLQIEISA-N -1 1 348.363 -0.873 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](CNC(=O)CCn3cncn3)C2)nc1=O ZINC001366175600 1133040498 /nfs/dbraw/zinc/04/04/98/1133040498.db2.gz WDEDKGWFCUGAIV-LBPRGKRZSA-N -1 1 348.411 -0.882 20 0 EBADMM Cc1cnn(CC(=O)NC[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001366180075 1133043167 /nfs/dbraw/zinc/04/31/67/1133043167.db2.gz IZGDVIGUFLXINI-CYBMUJFWSA-N -1 1 347.423 -0.358 20 0 EBADMM Cc1cnn(CC(=O)NC[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001366180080 1133043651 /nfs/dbraw/zinc/04/36/51/1133043651.db2.gz IZGDVIGUFLXINI-ZDUSSCGKSA-N -1 1 347.423 -0.358 20 0 EBADMM CC[C@H](NC(C)=O)C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001366223786 1133064005 /nfs/dbraw/zinc/06/40/05/1133064005.db2.gz FWNJQKXLACIEEH-NEPJUHHUSA-N -1 1 338.412 -0.104 20 0 EBADMM CC[C@H](NC(C)=O)C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001366223788 1133064312 /nfs/dbraw/zinc/06/43/12/1133064312.db2.gz FWNJQKXLACIEEH-RYUDHWBXSA-N -1 1 338.412 -0.104 20 0 EBADMM Cc1ncc(C(=O)NCCN(Cc2nc(=O)n(C)[n-]2)C2CC2)n1C ZINC001366752197 1133283222 /nfs/dbraw/zinc/28/32/22/1133283222.db2.gz FQZISHYYDQUUBN-UHFFFAOYSA-N -1 1 333.396 -0.455 20 0 EBADMM COc1cc(C(=O)NCC[C@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)ncn1 ZINC001366828730 1133307488 /nfs/dbraw/zinc/30/74/88/1133307488.db2.gz CUDJGEKGIUPWGN-JTQLQIEISA-N -1 1 347.379 -0.049 20 0 EBADMM CC[C@H](C)C(=O)N(C)CCN1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001366853081 1133321982 /nfs/dbraw/zinc/32/19/82/1133321982.db2.gz AIOQVEJGLMUEHI-ZDUSSCGKSA-N -1 1 338.456 -0.270 20 0 EBADMM Cc1nccc(CCC(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001366975172 1133397391 /nfs/dbraw/zinc/39/73/91/1133397391.db2.gz XPKJRHFJWPJCKN-UHFFFAOYSA-N -1 1 345.407 -0.612 20 0 EBADMM C[C@H](O)CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cn1c(=O)[n-][nH]c1=O ZINC001367000670 1133414633 /nfs/dbraw/zinc/41/46/33/1133414633.db2.gz UVGAWNHFDZZNLY-DCAQKATOSA-N -1 1 325.369 -0.865 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)CCc3cccnc3)C2)nc1=O ZINC001367009922 1133422404 /nfs/dbraw/zinc/42/24/04/1133422404.db2.gz YBZYYQKATYZXKZ-ZDUSSCGKSA-N -1 1 330.392 -0.173 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)C3(C4CC4)CC3)C2)nc1=O ZINC001367300852 1133534155 /nfs/dbraw/zinc/53/41/55/1133534155.db2.gz MFKPDAQWLSNSDP-LBPRGKRZSA-N -1 1 335.408 -0.384 20 0 EBADMM CC(C)[C@H](CNC(=O)[C@@H](C)S(C)(=O)=O)NCc1n[nH]c(=O)[n-]1 ZINC001367470855 1133596440 /nfs/dbraw/zinc/59/64/40/1133596440.db2.gz CCKJNXPADAVSNF-BDAKNGLRSA-N -1 1 333.414 -0.826 20 0 EBADMM CC(C)[C@@H](CNC(=O)CS(=O)(=O)C(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001367494076 1133602832 /nfs/dbraw/zinc/60/28/32/1133602832.db2.gz QPGPRAIKORQLLC-SNVBAGLBSA-N -1 1 347.441 -0.436 20 0 EBADMM CO[C@@H](C(=O)N(C)CCN(C)Cc1nc(=O)n(C)[n-]1)[C@H]1CCOC1 ZINC001367527580 1133615569 /nfs/dbraw/zinc/61/55/69/1133615569.db2.gz DTLAXBQFVLSPGU-WCQYABFASA-N -1 1 341.412 -0.950 20 0 EBADMM Cc1nccc(CCC(=O)N(C)CCN(C)Cc2nc(=O)n(C)[n-]2)n1 ZINC001367528761 1133616696 /nfs/dbraw/zinc/61/66/96/1133616696.db2.gz NOYWRRLTOQPXBR-UHFFFAOYSA-N -1 1 347.423 -0.270 20 0 EBADMM O=C(NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1)c1cc(F)ccc1F ZINC001367591378 1133663595 /nfs/dbraw/zinc/66/35/95/1133663595.db2.gz FBEYIXHUDXJUGQ-QMMMGPOBSA-N -1 1 327.291 -0.331 20 0 EBADMM O=C(NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1)c1ccnc(C2CC2)c1 ZINC001367600725 1133677815 /nfs/dbraw/zinc/67/78/15/1133677815.db2.gz COBBLZGMSSLEBA-NSHDSACASA-N -1 1 332.364 -0.337 20 0 EBADMM CCOc1ccc(C(=O)NC[C@@H](O)CNCc2n[nH]c(=O)[n-]2)cc1 ZINC001367616296 1133697718 /nfs/dbraw/zinc/69/77/18/1133697718.db2.gz JIVDFGLJMUIDLL-NSHDSACASA-N -1 1 335.364 -0.211 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)Cc1[nH]nc2c1CCCC2 ZINC001367734661 1133765289 /nfs/dbraw/zinc/76/52/89/1133765289.db2.gz MXHQTIJBNWPODV-VIFPVBQESA-N -1 1 333.396 -0.051 20 0 EBADMM CC[C@@H]1OCC[C@H]1C(=O)N[C@H](C)CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001367823010 1133801101 /nfs/dbraw/zinc/80/11/01/1133801101.db2.gz GYAMRUZHBNKXEP-UTUOFQBUSA-N -1 1 325.413 -0.140 20 0 EBADMM CC(C)(C(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)S(C)(=O)=O ZINC001367910736 1133835624 /nfs/dbraw/zinc/83/56/24/1133835624.db2.gz JPAQLCOJOJQKPF-SECBINFHSA-N -1 1 345.425 -0.682 20 0 EBADMM CC(C)[C@@H](O)C(=O)NCC1(NCc2cc(=O)n3[n-]ccc3n2)CC1 ZINC001367995190 1133883997 /nfs/dbraw/zinc/88/39/97/1133883997.db2.gz BWWDERIUZGZZTE-CQSZACIVSA-N -1 1 333.392 -0.222 20 0 EBADMM Cn1ccc(C(=O)NC[C@]2(C)CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001368218270 1133994633 /nfs/dbraw/zinc/99/46/33/1133994633.db2.gz DKUZIMMJAZDWNL-INIZCTEOSA-N -1 1 347.423 -0.126 20 0 EBADMM CCO[C@@H]1C[C@H]1C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCOCC1 ZINC001368222507 1133995889 /nfs/dbraw/zinc/99/58/89/1133995889.db2.gz JXWOSRSANIFJIR-GHMZBOCLSA-N -1 1 339.396 -0.310 20 0 EBADMM O=C(CCn1ccnn1)N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001368326942 1134150870 /nfs/dbraw/zinc/15/08/70/1134150870.db2.gz PIHHCVFIGDGLGN-TUAOUCFPSA-N -1 1 346.395 -0.339 20 0 EBADMM COc1c(C(=O)NCC2(NCc3n[nH]c(=O)[n-]3)CCC2)cnn1C ZINC001368482590 1134248833 /nfs/dbraw/zinc/24/88/33/1134248833.db2.gz CVGVZFOGLMYZOY-UHFFFAOYSA-N -1 1 335.368 -0.305 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)CCCF)CO3)nc1=O ZINC001368598212 1134315649 /nfs/dbraw/zinc/31/56/49/1134315649.db2.gz OBKPKUNAVCFGMO-SNVBAGLBSA-N -1 1 327.360 -0.682 20 0 EBADMM Cc1[nH]ccc1C(=O)NC1(CO)CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001368769412 1134430367 /nfs/dbraw/zinc/43/03/67/1134430367.db2.gz YYFZTUCCJIXDKS-UHFFFAOYSA-N -1 1 348.407 -0.498 20 0 EBADMM Cc1nonc1CN1CC(CCO)(NC(=O)c2ncccc2[O-])C1 ZINC001369018288 1134522933 /nfs/dbraw/zinc/52/29/33/1134522933.db2.gz QEMLDOHXOZQPJW-UHFFFAOYSA-N -1 1 333.348 -0.155 20 0 EBADMM Cc1cc(C(=O)NC2(CCO)CN(Cc3nc(=O)n(C)[n-]3)C2)oc1C ZINC001369021083 1134526881 /nfs/dbraw/zinc/52/68/81/1134526881.db2.gz FPFIFRSDSNSVGP-UHFFFAOYSA-N -1 1 349.391 -0.315 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)CCn3cncn3)C(C)(C)C2)nc1=O ZINC001369115123 1134571767 /nfs/dbraw/zinc/57/17/67/1134571767.db2.gz WTUPAYUPHXWNBX-LLVKDONJSA-N -1 1 348.411 -0.883 20 0 EBADMM Cn1[n-]c(CN[C@@H](CO)CNC(=O)c2ccncc2Cl)nc1=O ZINC001369358294 1134709356 /nfs/dbraw/zinc/70/93/56/1134709356.db2.gz JGWAVNPFPSYXKK-MRVPVSSYSA-N -1 1 340.771 -0.963 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)COCc1ccnn1C ZINC001369393119 1134753636 /nfs/dbraw/zinc/75/36/36/1134753636.db2.gz FBPIERRXQBQVMG-WDEREUQCSA-N -1 1 349.395 -0.460 20 0 EBADMM CCCS(=O)(=O)CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC001369397294 1134757240 /nfs/dbraw/zinc/75/72/40/1134757240.db2.gz CEAFKDJBYDYAPX-ZJUUUORDSA-N -1 1 345.425 -0.586 20 0 EBADMM CN(C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)Cn1cc(C2CC2)cn1 ZINC001369473697 1134829739 /nfs/dbraw/zinc/82/97/39/1134829739.db2.gz MDPHBHPQADQRMJ-LBPRGKRZSA-N -1 1 349.395 -0.807 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H](O)CN(C)C(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001369494622 1134870792 /nfs/dbraw/zinc/87/07/92/1134870792.db2.gz GBSYFMDRYQBFKW-CIQGVGRVSA-N -1 1 337.424 -0.594 20 0 EBADMM CC(C)(C)[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CCNC(=O)C1 ZINC001369536035 1134926314 /nfs/dbraw/zinc/92/63/14/1134926314.db2.gz AINUDAJKJIZOSP-UWVGGRQHSA-N -1 1 338.412 -0.343 20 0 EBADMM CN1C(=O)CC[C@@H]1C(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001369559665 1134940446 /nfs/dbraw/zinc/94/04/46/1134940446.db2.gz CPSXCTMHTUEQHV-NXEZZACHSA-N -1 1 338.412 -0.248 20 0 EBADMM CCC(=O)NCC(=O)N1CCCC[C@H]1[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001369743864 1135004195 /nfs/dbraw/zinc/00/41/95/1135004195.db2.gz CPCGCEKLIXDEIJ-MNOVXSKESA-N -1 1 338.412 -0.104 20 0 EBADMM CCC(=O)NCC(=O)N1CCCC[C@H]1[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001369743870 1135004300 /nfs/dbraw/zinc/00/43/00/1135004300.db2.gz CPCGCEKLIXDEIJ-QWRGUYRKSA-N -1 1 338.412 -0.104 20 0 EBADMM CCCC[C@@H](CNC(=O)[C@@H]1CCC(=O)N1C)NC(=O)c1cnn[nH]1 ZINC001370052396 1135185835 /nfs/dbraw/zinc/18/58/35/1135185835.db2.gz BPRKDAPWHJHYRM-JQWIXIFHSA-N -1 1 336.396 -0.170 20 0 EBADMM CC(C)(C)C(=O)NCCC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001370390837 1135329516 /nfs/dbraw/zinc/32/95/16/1135329516.db2.gz GURANZQELFZJAR-JTQLQIEISA-N -1 1 338.412 -0.247 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H](C)CN(C)C(=O)CCc2nc[nH]n2)c1[O-] ZINC001370483449 1135369829 /nfs/dbraw/zinc/36/98/29/1135369829.db2.gz VXPJIMAAOJPHBZ-QMMMGPOBSA-N -1 1 335.368 -0.249 20 0 EBADMM C[C@@H](CN(C)C(=O)Cc1c[nH]cn1)NC(=O)c1cnc([O-])n(C)c1=O ZINC001370635470 1135438807 /nfs/dbraw/zinc/43/88/07/1135438807.db2.gz XXFIUGQMOQQVLK-VIFPVBQESA-N -1 1 348.363 -0.972 20 0 EBADMM Cc1ncncc1C(=O)NC[C@@H](CO)NC(=O)c1ncccc1[O-] ZINC001370673972 1135480255 /nfs/dbraw/zinc/48/02/55/1135480255.db2.gz ZHIKUTNYRUXJTK-JTQLQIEISA-N -1 1 331.332 -0.594 20 0 EBADMM O=C(CCCn1cccn1)NC[C@@H](CO)NC(=O)c1ncccc1[O-] ZINC001370702335 1135519081 /nfs/dbraw/zinc/51/90/81/1135519081.db2.gz BZKLWRMVADLUIO-LBPRGKRZSA-N -1 1 347.375 -0.329 20 0 EBADMM Cc1ncoc1C(=O)N(C)C[C@H](O)CNC(=O)c1ncccc1[O-] ZINC001370976254 1135725380 /nfs/dbraw/zinc/72/53/80/1135725380.db2.gz GSKSTLZMFVNOFF-SNVBAGLBSA-N -1 1 334.332 -0.053 20 0 EBADMM C[C@H](C[C@H](C)NC(=O)CS(C)(=O)=O)NC(=O)c1ncccc1[O-] ZINC001371265662 1135874882 /nfs/dbraw/zinc/87/48/82/1135874882.db2.gz SCUONYNCLKZJMY-VHSXEESVSA-N -1 1 343.405 -0.155 20 0 EBADMM CCC(=O)NC[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)CCCO1 ZINC001371308580 1135884500 /nfs/dbraw/zinc/88/45/00/1135884500.db2.gz XLOONRNTCBSWHH-JTQLQIEISA-N -1 1 348.363 -0.825 20 0 EBADMM C[C@@H](NC(=O)C(C)(C)C)C(=O)NC[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001371316742 1135886933 /nfs/dbraw/zinc/88/69/33/1135886933.db2.gz FZQFDUCGNZKZCY-DTWKUNHWSA-N -1 1 326.401 -0.345 20 0 EBADMM CC(=O)NCC(=O)NCCC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001371385858 1135900382 /nfs/dbraw/zinc/90/03/82/1135900382.db2.gz SJAZBWHMMBCBNI-UHFFFAOYSA-N -1 1 334.376 -0.060 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)Cc1nnc[nH]1 ZINC001371643613 1135990827 /nfs/dbraw/zinc/99/08/27/1135990827.db2.gz FLLGUQGZXAYEGI-JTQLQIEISA-N -1 1 330.348 -0.179 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCN(C)Cc1ncccn1 ZINC001372183180 1136130447 /nfs/dbraw/zinc/13/04/47/1136130447.db2.gz MREJCSXHRLSGQK-UHFFFAOYSA-N -1 1 334.336 -0.452 20 0 EBADMM O=C(NCCN1CCNC1=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001372185949 1136130691 /nfs/dbraw/zinc/13/06/91/1136130691.db2.gz FQDGBYBETQCACT-UHFFFAOYSA-N -1 1 336.352 -0.921 20 0 EBADMM COC(=O)[C@H]1CCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC001372188291 1136131230 /nfs/dbraw/zinc/13/12/30/1136131230.db2.gz ULWDDMWDRUHYOH-VIFPVBQESA-N -1 1 336.348 -0.041 20 0 EBADMM CC(=O)NC(C)(C)C(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001372720593 1136278411 /nfs/dbraw/zinc/27/84/11/1136278411.db2.gz MQQUXOARMQGKCG-PJXYFTJBSA-N -1 1 346.387 -0.112 20 0 EBADMM CC[C@@H](F)C(=O)NC[C@@H](C)NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001373022635 1136355413 /nfs/dbraw/zinc/35/54/13/1136355413.db2.gz QXOJSUJQJIBMHT-RNFRBKRXSA-N -1 1 330.316 -0.121 20 0 EBADMM Cn1nc(C(=O)NCC[C@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)ccc1=O ZINC001373229443 1136403656 /nfs/dbraw/zinc/40/36/56/1136403656.db2.gz ALWUWIQLYOTBNN-JTQLQIEISA-N -1 1 347.379 -0.754 20 0 EBADMM CN(CCN1CCN(Cc2nc(=O)n(C)[n-]2)CC1)C(=O)C(C)(C)F ZINC001373245823 1136406619 /nfs/dbraw/zinc/40/66/19/1136406619.db2.gz IVLATTVOYDZMHF-UHFFFAOYSA-N -1 1 342.419 -0.568 20 0 EBADMM C[C@@H](C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1)c1cccnc1 ZINC001373549049 1136492958 /nfs/dbraw/zinc/49/29/58/1136492958.db2.gz DCUSYWHLPGPDAY-LLVKDONJSA-N -1 1 330.392 -0.145 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCN(C)C(=O)[C@H]1CC12CC2 ZINC001373572468 1136499461 /nfs/dbraw/zinc/49/94/61/1136499461.db2.gz GSZLSXGEHXDXQN-MRVPVSSYSA-N -1 1 336.348 -0.115 20 0 EBADMM C[C@@H](O)CCCN1CC[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001373609281 1136513331 /nfs/dbraw/zinc/51/33/31/1136513331.db2.gz FZHGSZJDQYGLIA-NEPJUHHUSA-N -1 1 348.407 -0.577 20 0 EBADMM CCN(C(=O)Cn1[n-]cc2c(=O)ncnc1-2)[C@@H]1CCN(CCOC)C1 ZINC001373709565 1136544151 /nfs/dbraw/zinc/54/41/51/1136544151.db2.gz IAOHREDAFAXBOF-GFCCVEGCSA-N -1 1 348.407 -0.360 20 0 EBADMM O=C(C[C@@H]1CCNC1=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001373846683 1136572359 /nfs/dbraw/zinc/57/23/59/1136572359.db2.gz CLMHLWRADMODRH-JTQLQIEISA-N -1 1 336.396 -0.445 20 0 EBADMM CCC[C@@H](C)C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001374127136 1136629792 /nfs/dbraw/zinc/62/97/92/1136629792.db2.gz YHNJHZPJTXSWOZ-VXGBXAGGSA-N -1 1 325.413 -0.138 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)C1CCS(=O)(=O)CC1 ZINC001374294997 1136668371 /nfs/dbraw/zinc/66/83/71/1136668371.db2.gz UOYWUJGEKVIOBM-SECBINFHSA-N -1 1 345.425 -0.728 20 0 EBADMM CC(C)[C@@H](CNC(=O)CC1CS(=O)(=O)C1)NCc1n[nH]c(=O)[n-]1 ZINC001374447951 1136690232 /nfs/dbraw/zinc/69/02/32/1136690232.db2.gz DAVVPYSYLIVOFK-SNVBAGLBSA-N -1 1 345.425 -0.825 20 0 EBADMM CC[C@@H]1CC[C@@H](C(=O)N(C)CCN(C)Cc2nc(=O)n(C)[n-]2)O1 ZINC001374499760 1136702857 /nfs/dbraw/zinc/70/28/57/1136702857.db2.gz GDWOSFZUWRCOHO-NEPJUHHUSA-N -1 1 325.413 -0.044 20 0 EBADMM CN(CCN(C)C(=O)[C@H]1CCn2cncc2C1)Cc1nc(=O)n(C)[n-]1 ZINC001374505334 1136704856 /nfs/dbraw/zinc/70/48/56/1136704856.db2.gz NTKWPWTXRSIANR-LBPRGKRZSA-N -1 1 347.423 -0.542 20 0 EBADMM C[C@@H](CC(=O)N(C)CCN(C)Cc1nc(=O)n(C)[n-]1)c1cnn(C)c1 ZINC001374522759 1136708700 /nfs/dbraw/zinc/70/87/00/1136708700.db2.gz UYZFPFZZVWMQAT-LBPRGKRZSA-N -1 1 349.439 -0.074 20 0 EBADMM CN(CCN(C)C(=O)[C@H]1CCCc2nn[nH]c21)Cc1nc(=O)n(C)[n-]1 ZINC001374531610 1136711946 /nfs/dbraw/zinc/71/19/46/1136711946.db2.gz SJISJDYWMVUYBP-JTQLQIEISA-N -1 1 348.411 -0.763 20 0 EBADMM COc1cc(CNC[C@@H](O)CNC(=O)c2[nH]nc(C)c2[O-])sn1 ZINC001374595950 1136735508 /nfs/dbraw/zinc/73/55/08/1136735508.db2.gz XMSRSNNMVFPKFW-MRVPVSSYSA-N -1 1 341.393 -0.231 20 0 EBADMM CC(C)[C@H](F)C(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001374734249 1136818017 /nfs/dbraw/zinc/81/80/17/1136818017.db2.gz ONYFLCYPHYLLLY-NSHDSACASA-N -1 1 336.327 -0.648 20 0 EBADMM C[C@H](CN(C)CCN(C)S(C)(=O)=O)NC(=O)c1ncccc1[O-] ZINC001375033987 1136897671 /nfs/dbraw/zinc/89/76/71/1136897671.db2.gz TWBNYYQKVNMCPI-LLVKDONJSA-N -1 1 344.437 -0.271 20 0 EBADMM C[C@@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)CCc1nccn1C ZINC001375075349 1136908074 /nfs/dbraw/zinc/90/80/74/1136908074.db2.gz BJOMJMMHSDYFJM-NSHDSACASA-N -1 1 335.412 -0.589 20 0 EBADMM COC(=O)CC[C@@H]([N-]S(=O)(=O)C[C@H]1CCCCO1)C(=O)OC ZINC000345632319 291047706 /nfs/dbraw/zinc/04/77/06/291047706.db2.gz ARIVADMQIOAORE-GHMZBOCLSA-N -1 1 337.394 -0.030 20 0 EBADMM O=C(CCNC(=O)N1CCc2ccccc2C1)NCc1nn[n-]n1 ZINC000605306061 375897609 /nfs/dbraw/zinc/89/76/09/375897609.db2.gz YUAJZCMWINYOHG-UHFFFAOYSA-N -1 1 329.364 -0.026 20 0 EBADMM CNC(=O)[C@H](CO)[N-]S(=O)(=O)C[C@H](OC)c1ccc(F)cc1 ZINC000625120191 375979072 /nfs/dbraw/zinc/97/90/72/375979072.db2.gz DHEQVRZFNHWVMF-RYUDHWBXSA-N -1 1 334.369 -0.461 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@@](OC)(C(=O)OC)C2)o1 ZINC000600286260 375942852 /nfs/dbraw/zinc/94/28/52/375942852.db2.gz DHINLNYMZLWYKR-ZDUSSCGKSA-N -1 1 346.361 -0.408 20 0 EBADMM CCOC1(CS(=O)(=O)[N-][C@H]2CC(=O)N(C)C2=O)CCOCC1 ZINC000625196284 375995498 /nfs/dbraw/zinc/99/54/98/375995498.db2.gz ZPQCKZMBRNZUGH-JTQLQIEISA-N -1 1 334.394 -0.751 20 0 EBADMM O=C(C1CCCC1)N1CC(S(=O)(=O)[N-][C@H]2COCCC2=O)C1 ZINC000625493261 376242496 /nfs/dbraw/zinc/24/24/96/376242496.db2.gz QVDSSACJLAZIHJ-LBPRGKRZSA-N -1 1 330.406 -0.335 20 0 EBADMM CO[C@H]1COC[C@H]1S(=O)(=O)[N-][C@@H]1Cc2ccccc2NC1=O ZINC000625521631 376261259 /nfs/dbraw/zinc/26/12/59/376261259.db2.gz KWMVVENYSBDTCS-FRRDWIJNSA-N -1 1 326.374 -0.117 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@H]3C[C@H]3C2)o1 ZINC000619357269 376267910 /nfs/dbraw/zinc/26/79/10/376267910.db2.gz SAFVUWMXMMQKCM-IUCAKERBSA-N -1 1 327.362 -0.475 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1CC(=O)N(C)[C@@H]1c1ccnn1C)c1nn[n-]n1 ZINC000560370968 376688003 /nfs/dbraw/zinc/68/80/03/376688003.db2.gz FEFIYRRFOVMTAI-NRUUGDAUSA-N -1 1 346.395 -0.285 20 0 EBADMM O=C(CN1CCN(C(=O)CCCc2nn[n-]n2)CC1)N1CCCC1 ZINC000635122060 376888400 /nfs/dbraw/zinc/88/84/00/376888400.db2.gz JXUIEKOONPTTIV-UHFFFAOYSA-N -1 1 335.412 -0.711 20 0 EBADMM CCOC(=O)c1c[n-]c(NC(=O)CNS(=O)(=O)CCCOC)n1 ZINC000590355187 377479163 /nfs/dbraw/zinc/47/91/63/377479163.db2.gz JCSLSHBECJSYJS-UHFFFAOYSA-N -1 1 348.381 -0.519 20 0 EBADMM COC(=O)[C@@]1([N-]S(=O)(=O)C[C@@H]2CN(C)CCO2)CCSC1 ZINC000601379536 377552786 /nfs/dbraw/zinc/55/27/86/377552786.db2.gz KXPDJMUIKBCXQS-CMPLNLGQSA-N -1 1 338.451 -0.715 20 0 EBADMM CCCCCS(=O)(=O)CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000364527930 377621826 /nfs/dbraw/zinc/62/18/26/377621826.db2.gz CTBDIGACPWHVSK-SNVBAGLBSA-N -1 1 331.398 -0.295 20 0 EBADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CCNC2=O)o1 ZINC000616967624 377674204 /nfs/dbraw/zinc/67/42/04/377674204.db2.gz HGTHWQUCZRRNHV-QMMMGPOBSA-N -1 1 329.378 -0.025 20 0 EBADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1c(C)nn(C)c1C)c1ccnn1C ZINC000592092423 377797425 /nfs/dbraw/zinc/79/74/25/377797425.db2.gz OUYGQTWZBCVERF-NSHDSACASA-N -1 1 341.393 -0.037 20 0 EBADMM CCOC(=O)c1nc([C@H](CC(C)C)NC(=O)CNC(N)=O)n[n-]1 ZINC000593895886 377916851 /nfs/dbraw/zinc/91/68/51/377916851.db2.gz SZQRXUHLFQHUAE-QMMMGPOBSA-N -1 1 326.357 -0.147 20 0 EBADMM CCOC(=O)c1nnc([C@H](CC(C)C)NC(=O)CNC(N)=O)[n-]1 ZINC000593895886 377916855 /nfs/dbraw/zinc/91/68/55/377916855.db2.gz SZQRXUHLFQHUAE-QMMMGPOBSA-N -1 1 326.357 -0.147 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](CC(C)C)NC(=O)CNC(N)=O)n1 ZINC000593895886 377916858 /nfs/dbraw/zinc/91/68/58/377916858.db2.gz SZQRXUHLFQHUAE-QMMMGPOBSA-N -1 1 326.357 -0.147 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC[C@@]1(O)CCCOC1 ZINC000593662600 377904352 /nfs/dbraw/zinc/90/43/52/377904352.db2.gz FVSGPIHDUJRGGO-ZDUSSCGKSA-N -1 1 347.393 -0.204 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H](OC)[C@H]1CCOC1 ZINC000594790720 377954312 /nfs/dbraw/zinc/95/43/12/377954312.db2.gz GQSHEVVVGCJGHQ-GXSJLCMTSA-N -1 1 347.393 -0.084 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2CCS(=O)(=O)C2)cc1C ZINC000595305138 377995644 /nfs/dbraw/zinc/99/56/44/377995644.db2.gz WOHFSQJYXPOFPV-MRVPVSSYSA-N -1 1 337.375 -0.160 20 0 EBADMM COCCNC(=O)C[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595303460 377995748 /nfs/dbraw/zinc/99/57/48/377995748.db2.gz IGIYTUGUPUTMPW-UHFFFAOYSA-N -1 1 334.350 -0.584 20 0 EBADMM COCC[C@H]([N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1)C(N)=O ZINC000595317482 377996938 /nfs/dbraw/zinc/99/69/38/377996938.db2.gz BSKACSVDRBCQHT-QMMMGPOBSA-N -1 1 334.350 -0.457 20 0 EBADMM COC(=O)c1cc(F)cc(S(=O)(=O)[N-][C@@H]2CNC(=O)C2)c1F ZINC000599278079 378219189 /nfs/dbraw/zinc/21/91/89/378219189.db2.gz WYTQILRLURPLOM-ZETCQYMHSA-N -1 1 334.300 -0.082 20 0 EBADMM COCCn1cc(C[N-]S(=O)(=O)c2cc(C(=O)OC)no2)cn1 ZINC000599298926 378220794 /nfs/dbraw/zinc/22/07/94/378220794.db2.gz BEFCTQBBQXJBPM-UHFFFAOYSA-N -1 1 344.349 -0.217 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](CCSC)C(N)=O)o1 ZINC000599402037 378239238 /nfs/dbraw/zinc/23/92/38/378239238.db2.gz GNESSDUJUNQCBM-ZETCQYMHSA-N -1 1 336.391 -0.049 20 0 EBADMM COCCOc1ccc(NC(=O)C(=O)NCc2nn[n-]n2)c(C)c1 ZINC000606117685 378531479 /nfs/dbraw/zinc/53/14/79/378531479.db2.gz RLUFQFSAGDWYKB-UHFFFAOYSA-N -1 1 334.336 -0.212 20 0 EBADMM CN(C)S(=O)(=O)N1CCCN(C(=O)c2cncc([O-])c2)CC1 ZINC000365707816 378647312 /nfs/dbraw/zinc/64/73/12/378647312.db2.gz OAQPFKZBBCDDLI-UHFFFAOYSA-N -1 1 328.394 -0.259 20 0 EBADMM CN(C)C(=O)Cn1cc(NC(=O)CNC(=O)c2ncccc2[O-])cn1 ZINC000337896266 378704661 /nfs/dbraw/zinc/70/46/61/378704661.db2.gz DJAQHPLXBZCOSB-UHFFFAOYSA-N -1 1 346.347 -0.560 20 0 EBADMM CN(C)c1nc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)cc(=O)[nH]1 ZINC000338729748 378810275 /nfs/dbraw/zinc/81/02/75/378810275.db2.gz PPQSPXNNYJLQNS-UHFFFAOYSA-N -1 1 327.326 -0.978 20 0 EBADMM CN(C)c1nc(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)cc(=O)[nH]1 ZINC000338729748 378810282 /nfs/dbraw/zinc/81/02/82/378810282.db2.gz PPQSPXNNYJLQNS-UHFFFAOYSA-N -1 1 327.326 -0.978 20 0 EBADMM CC(C)NS(=O)(=O)NCC[N-]S(=O)(=O)c1sccc1F ZINC000338976429 378838323 /nfs/dbraw/zinc/83/83/23/378838323.db2.gz ZHKXKDPRUMYGNY-UHFFFAOYSA-N -1 1 345.443 -0.002 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N(CCOC)CC(=O)OC)co1 ZINC000339369562 378909281 /nfs/dbraw/zinc/90/92/81/378909281.db2.gz JMZWRXWNNVNICJ-UHFFFAOYSA-N -1 1 334.350 -0.551 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC(=O)NCc2ccco2)co1 ZINC000339416395 378918252 /nfs/dbraw/zinc/91/82/52/378918252.db2.gz DZPHVIQRGGGMQV-UHFFFAOYSA-N -1 1 341.345 -0.173 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H](O)COCC2CC2)co1 ZINC000339440367 378921591 /nfs/dbraw/zinc/92/15/91/378921591.db2.gz QLLOAIMKZNQZJY-LLVKDONJSA-N -1 1 332.378 -0.295 20 0 EBADMM CN(C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)[C@H]1CCSC1 ZINC000339581653 378955985 /nfs/dbraw/zinc/95/59/85/378955985.db2.gz PCXZPXPTIKAAES-QMMMGPOBSA-N -1 1 347.418 -0.379 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CCCC[C@@H]2O)o1 ZINC000339803327 379039859 /nfs/dbraw/zinc/03/98/59/379039859.db2.gz JTSXMAMYNMBZJA-IUCAKERBSA-N -1 1 345.377 -0.924 20 0 EBADMM COc1cc(OC)cc(C(=O)NCC(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000061715095 379031716 /nfs/dbraw/zinc/03/17/16/379031716.db2.gz TUSUGINLVROCEX-UHFFFAOYSA-N -1 1 336.304 -0.983 20 0 EBADMM COC(=O)CN(CCN1CCN(C)CC1)C(=O)c1n[nH]c(C)c1[O-] ZINC000619618053 379209803 /nfs/dbraw/zinc/20/98/03/379209803.db2.gz PBAVJHFZSIXWPO-UHFFFAOYSA-N -1 1 339.396 -0.714 20 0 EBADMM CCC[C@H](NC(=O)CN(C)c1ncnc2nc[nH]c21)c1nn[n-]n1 ZINC000268124901 379280014 /nfs/dbraw/zinc/28/00/14/379280014.db2.gz AKIZXEMXMSCYII-QMMMGPOBSA-N -1 1 330.356 -0.040 20 0 EBADMM CC(C)(C)OC(=O)N1CCO[C@@H](CC(=O)NN2CC(=O)[N-]C2=O)C1 ZINC000268983423 379336046 /nfs/dbraw/zinc/33/60/46/379336046.db2.gz RZGKQUPGSBVQRV-VIFPVBQESA-N -1 1 342.352 -0.405 20 0 EBADMM COCCCS(=O)(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000269378892 379366724 /nfs/dbraw/zinc/36/67/24/379366724.db2.gz UTPWKBOPUHZWSG-UHFFFAOYSA-N -1 1 343.405 -0.089 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CSC[C@@H]2C(=O)N(C)C)co1 ZINC000270139925 379434049 /nfs/dbraw/zinc/43/40/49/379434049.db2.gz ZSRPNLXOFSXPIV-SECBINFHSA-N -1 1 347.418 -0.209 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)CS(C)(=O)=O)o1 ZINC000270193027 379436370 /nfs/dbraw/zinc/43/63/70/379436370.db2.gz LECXCXVSTQOSJA-ZETCQYMHSA-N -1 1 325.364 -0.222 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCOC[C@H]2C(=O)OC)co1 ZINC000270177555 379437210 /nfs/dbraw/zinc/43/72/10/379437210.db2.gz MIQHWKHNGZEGQC-VIFPVBQESA-N -1 1 332.334 -0.798 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@](F)(C(=O)OC)C2)co1 ZINC000270252147 379442489 /nfs/dbraw/zinc/44/24/89/379442489.db2.gz YHUVDDFIIUPUEC-GFCCVEGCSA-N -1 1 334.325 -0.085 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H]2CN3CCN2CC3)c1 ZINC000269882943 379415314 /nfs/dbraw/zinc/41/53/14/379415314.db2.gz ANLOEWGCOFLUBX-NSHDSACASA-N -1 1 329.378 -0.656 20 0 EBADMM COC[C@@](C)([N-]S(=O)(=O)c1cc(C(=O)OC)co1)C(=O)OC ZINC000270045633 379426937 /nfs/dbraw/zinc/42/69/37/379426937.db2.gz SYVQYSWYGPIFDF-GFCCVEGCSA-N -1 1 335.334 -0.077 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC(=O)N2CCCCC2)co1 ZINC000270045013 379428274 /nfs/dbraw/zinc/42/82/74/379428274.db2.gz KMYIGEDFNMQUNX-UHFFFAOYSA-N -1 1 329.378 -0.070 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@H]1[N-]S(=O)(=O)C[C@@]1(C)CC1(F)F ZINC000641605736 379450719 /nfs/dbraw/zinc/45/07/19/379450719.db2.gz FMICKUYNKIDZTI-IWSPIJDZSA-N -1 1 333.378 -0.237 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@]2(C(=O)OC)CCSC2)o1 ZINC000270705617 379468330 /nfs/dbraw/zinc/46/83/30/379468330.db2.gz WZNRVFYSXFSHLC-GFCCVEGCSA-N -1 1 348.402 -0.034 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1C[C@H]2OCCN(C)[C@@H]2C1 ZINC000152053943 379477609 /nfs/dbraw/zinc/47/76/09/379477609.db2.gz ZXPGBRSEVNOCCG-ZIAGYGMSSA-N -1 1 345.403 -0.277 20 0 EBADMM O=C([C@@H]1CN(Cc2nc(=O)[n-][nH]2)c2ccccc2O1)N1CCOCC1 ZINC000271213187 379508335 /nfs/dbraw/zinc/50/83/35/379508335.db2.gz WCGZZRNFLFTJKN-ZDUSSCGKSA-N -1 1 345.359 -0.276 20 0 EBADMM CN(CC(=O)[N-]OCc1ccccc1)C[C@@H](O)CN1CCOCC1 ZINC000271407217 379523588 /nfs/dbraw/zinc/52/35/88/379523588.db2.gz VICBSCXHFBLUQG-MRXNPFEDSA-N -1 1 337.420 -0.141 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@]2(C)CCO[C@@H]2C2CC2)c(=O)n(C)c1=O ZINC000273074807 379626419 /nfs/dbraw/zinc/62/64/19/379626419.db2.gz JZQGENCIVLOIFA-RISCZKNCSA-N -1 1 343.405 -0.680 20 0 EBADMM CCCC[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C(=O)OC ZINC000273960643 379666048 /nfs/dbraw/zinc/66/60/48/379666048.db2.gz NTSMLONYFGGKJS-SECBINFHSA-N -1 1 347.393 -0.906 20 0 EBADMM CC(C)CN1C(=O)C(=CN[C@H]2CCS(=O)(=O)C2)C(=O)[N-]C1=S ZINC000031433821 379676441 /nfs/dbraw/zinc/67/64/41/379676441.db2.gz MWBZSWKCIQDROH-QFQXNISHSA-N -1 1 345.446 -0.454 20 0 EBADMM Cc1cnc(C(=O)NCCC(=O)N[C@@H]2CCS(=O)(=O)C2)c([O-])c1 ZINC000495015962 379700725 /nfs/dbraw/zinc/70/07/25/379700725.db2.gz IBWJLBSUXIGDNZ-SNVBAGLBSA-N -1 1 341.389 -0.481 20 0 EBADMM CSCCCCC[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000274763457 379713069 /nfs/dbraw/zinc/71/30/69/379713069.db2.gz TXWWXVDALMKWNU-UHFFFAOYSA-N -1 1 335.451 -0.104 20 0 EBADMM CO[C@H](CS(=O)(=O)[N-][C@H]1CS(=O)(=O)C1(C)C)[C@H]1CCOC1 ZINC000632495505 379870099 /nfs/dbraw/zinc/87/00/99/379870099.db2.gz WAOBJDGHINQIOJ-AXFHLTTASA-N -1 1 341.451 -0.467 20 0 EBADMM NS(=O)(=O)c1ccc([O-])c(C(=O)NCCCc2nc[nH]n2)c1 ZINC000614361434 379924075 /nfs/dbraw/zinc/92/40/75/379924075.db2.gz LKESLKIGXAKIHV-UHFFFAOYSA-N -1 1 325.350 -0.480 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@@H]1[N-]S(=O)(=O)C[C@@H]1CCC1(F)F ZINC000632551590 379880310 /nfs/dbraw/zinc/88/03/10/379880310.db2.gz FFNDYSVGXYLDDR-XHNCKOQMSA-N -1 1 333.378 -0.237 20 0 EBADMM CO[C@H]1CS(=O)(=O)C[C@@H]1[N-]S(=O)(=O)CC1(OC)CCCC1 ZINC000632552617 379880370 /nfs/dbraw/zinc/88/03/70/379880370.db2.gz WAEQPAZFKYLDHD-QWRGUYRKSA-N -1 1 341.451 -0.323 20 0 EBADMM CN(C)S(=O)(=O)N(C)CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614473119 379932888 /nfs/dbraw/zinc/93/28/88/379932888.db2.gz HOFKMOXZUYYZEP-UHFFFAOYSA-N -1 1 347.397 -0.781 20 0 EBADMM COCCN1C(=O)C(=C(C)NCCCC(=O)OC)C(=O)[N-]C1=S ZINC000281140529 380010240 /nfs/dbraw/zinc/01/02/40/380010240.db2.gz AQFIYRNTBZSSFQ-LUAWRHEFSA-N -1 1 343.405 -0.307 20 0 EBADMM CC(C)(C)n1nnc(CC(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)n1 ZINC000630227885 380012921 /nfs/dbraw/zinc/01/29/21/380012921.db2.gz WBPYAKNBTVIWBZ-UHFFFAOYSA-N -1 1 338.412 -0.022 20 0 EBADMM CN(C)CCN(CC(=O)[O-])S(=O)(=O)[C@H]1CC(=O)N(C2CC2)C1 ZINC000630442224 380070160 /nfs/dbraw/zinc/07/01/60/380070160.db2.gz WVNWSIMVDDBVTD-NSHDSACASA-N -1 1 333.410 -0.972 20 0 EBADMM C[C@@H](NC(=O)c1ccccc1)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000354694795 380083860 /nfs/dbraw/zinc/08/38/60/380083860.db2.gz ASKJFNWIOWBXTQ-MRVPVSSYSA-N -1 1 337.361 -0.035 20 0 EBADMM C[C@@H](NC(=O)c1ccccc1)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000354694795 380083865 /nfs/dbraw/zinc/08/38/65/380083865.db2.gz ASKJFNWIOWBXTQ-MRVPVSSYSA-N -1 1 337.361 -0.035 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@](O)(C(N)=O)C1 ZINC000355062051 380136305 /nfs/dbraw/zinc/13/63/05/380136305.db2.gz WMNLKUSQQQCSKY-OAHLLOKOSA-N -1 1 333.348 -0.957 20 0 EBADMM CC(C)(NC(=O)CN1C(=O)NC2(CCCCC2)C1=O)c1nn[n-]n1 ZINC000354792355 380098106 /nfs/dbraw/zinc/09/81/06/380098106.db2.gz QPFBBQTURYYWPC-UHFFFAOYSA-N -1 1 335.368 -0.194 20 0 EBADMM CC[N@H+]1CC[C@@H]2OCCN(C(=O)CNC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000355376244 380191336 /nfs/dbraw/zinc/19/13/36/380191336.db2.gz XECYDJGZPOQCLQ-OCCSQVGLSA-N -1 1 348.403 -0.161 20 0 EBADMM COC(=O)c1c[n-]c(S(=O)(=O)CC(=O)N2C[C@@H](C)O[C@@H](C)C2)n1 ZINC000285009556 380217986 /nfs/dbraw/zinc/21/79/86/380217986.db2.gz DAXSISIUJRRGRG-DTORHVGOSA-N -1 1 345.377 -0.394 20 0 EBADMM CCC[C@@H](NC(=O)c1cc(S(N)(=O)=O)cn1C)c1nn[n-]n1 ZINC000285188191 380230719 /nfs/dbraw/zinc/23/07/19/380230719.db2.gz IOQBRSPOBHTEKL-MRVPVSSYSA-N -1 1 327.370 -0.543 20 0 EBADMM CCOCCOC[C@@H](O)CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000361617266 380326995 /nfs/dbraw/zinc/32/69/95/380326995.db2.gz BLBSZAVHIPBBTH-JTQLQIEISA-N -1 1 338.364 -0.182 20 0 EBADMM Cn1[n-]cc2/c(=N\C(=O)N3CCN(C(C)(C)C(N)=O)CC3)ccnc1-2 ZINC000287654848 380368107 /nfs/dbraw/zinc/36/81/07/380368107.db2.gz PHLIJPNHZMSAAT-NDENLUEZSA-N -1 1 345.407 -0.245 20 0 EBADMM CCC[C@H]1C(=O)NCCN1C(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287877697 380378682 /nfs/dbraw/zinc/37/86/82/380378682.db2.gz SNGGQFNFFNYKIX-OEKFUPINSA-N -1 1 344.375 -0.593 20 0 EBADMM C[C@H](CN(C)C(=O)CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)c1nn[n-]n1 ZINC000566025076 380444352 /nfs/dbraw/zinc/44/43/52/380444352.db2.gz STRODXGXLCKVRB-MXWKQRLJSA-N -1 1 332.364 -0.287 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H]2CCCS2)o1 ZINC000362558443 380409820 /nfs/dbraw/zinc/40/98/20/380409820.db2.gz SHGUBPCYQQCLQL-QMMMGPOBSA-N -1 1 347.418 -0.331 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](CO)c2ccnn2C)o1 ZINC000571658236 380517714 /nfs/dbraw/zinc/51/77/14/380517714.db2.gz VZSWMFRJTNEEAR-QMMMGPOBSA-N -1 1 329.334 -0.188 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC2(O)CCCCCC2)c(=O)n(C)c1=O ZINC000269757315 380523761 /nfs/dbraw/zinc/52/37/61/380523761.db2.gz BRGSRDSQSRGWJM-UHFFFAOYSA-N -1 1 345.421 -0.552 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CC[C@H]2C(N)=O)c1 ZINC000568210100 380582748 /nfs/dbraw/zinc/58/27/48/380582748.db2.gz OQECAHNLLKYSOK-BDAKNGLRSA-N -1 1 327.362 -0.250 20 0 EBADMM CCn1cc(S(=O)(=O)N[C@H](Cc2cnc[nH]2)C(=O)[O-])nc1C ZINC000315244754 380578065 /nfs/dbraw/zinc/57/80/65/380578065.db2.gz MVRDMDPZOREKKE-SNVBAGLBSA-N -1 1 327.366 -0.091 20 0 EBADMM O=C([C@H]1CC(c2cccnc2)=NO1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370103104 380590557 /nfs/dbraw/zinc/59/05/57/380590557.db2.gz QDMWSWHEVDXAJL-VXGBXAGGSA-N -1 1 329.320 -0.312 20 0 EBADMM CCC[C@H](C(=O)[O-])N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000573617078 380679684 /nfs/dbraw/zinc/67/96/84/380679684.db2.gz PYLDWYNNPSZWPU-GFCCVEGCSA-N -1 1 335.426 -0.566 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@@H](NC(=O)NC)C2)o1 ZINC000341482126 380702998 /nfs/dbraw/zinc/70/29/98/380702998.db2.gz GYAVPHGGOSDHMX-MRVPVSSYSA-N -1 1 330.366 -0.669 20 0 EBADMM CNC(=O)Cn1nc([N-]S(=O)(=O)N2C[C@@H](C)OC[C@H]2C)cc1C ZINC000340939964 380649179 /nfs/dbraw/zinc/64/91/79/380649179.db2.gz OFJNQOMDETZMHP-GHMZBOCLSA-N -1 1 345.425 -0.297 20 0 EBADMM CN(C)C(=O)[C@@H]1CCC[N@H+]1CCCNS(=O)(=O)c1c[nH]cn1 ZINC000121884237 380781579 /nfs/dbraw/zinc/78/15/79/380781579.db2.gz NIDWKSCBZBXQMZ-NSHDSACASA-N -1 1 329.426 -0.369 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2C[C@H]2c2ccco2)c(=O)n(C)c1=O ZINC000416659783 380791614 /nfs/dbraw/zinc/79/16/14/380791614.db2.gz PBMKNPYJQODLKU-RKDXNWHRSA-N -1 1 325.346 -0.489 20 0 EBADMM CO[C@@H](CS(=O)(=O)[N-][C@]1(C(N)=O)CCOC1)c1ccccc1 ZINC000577291982 380816340 /nfs/dbraw/zinc/81/63/40/380816340.db2.gz FRZKFIVMSKJOJO-GXTWGEPZSA-N -1 1 328.390 -0.062 20 0 EBADMM CO[C@H]1C[C@H](C(=O)NN2CC(=O)[N-]C2=O)N(C(=O)OC(C)(C)C)C1 ZINC000376410975 380909817 /nfs/dbraw/zinc/90/98/17/380909817.db2.gz CIDMAIXGJUGXOE-DTWKUNHWSA-N -1 1 342.352 -0.406 20 0 EBADMM COc1c2cnn(C)c2ncc1C(=O)NCCCc1nc(=O)[n-][nH]1 ZINC000182729770 381004506 /nfs/dbraw/zinc/00/45/06/381004506.db2.gz VIYGMPPOCKMWLU-UHFFFAOYSA-N -1 1 331.336 -0.249 20 0 EBADMM CN(C)S(=O)(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000346445170 381068621 /nfs/dbraw/zinc/06/86/21/381068621.db2.gz HBMWFYSKRNMGGR-JTQLQIEISA-N -1 1 328.394 -0.212 20 0 EBADMM CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000346445177 381069611 /nfs/dbraw/zinc/06/96/11/381069611.db2.gz HBMWFYSKRNMGGR-SNVBAGLBSA-N -1 1 328.394 -0.212 20 0 EBADMM NC(=O)c1ccc(S(=O)(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])cc1 ZINC000013239464 381122991 /nfs/dbraw/zinc/12/29/91/381122991.db2.gz FJAOHBMCBPDMSN-NSHDSACASA-N -1 1 338.345 -0.517 20 0 EBADMM Cn1ncc2c1[n-]cc(C(=O)N[C@H]1COC[C@@H]1n1ccnn1)c2=O ZINC000346712465 381113707 /nfs/dbraw/zinc/11/37/07/381113707.db2.gz NJTMOBMPFQKOFO-QWRGUYRKSA-N -1 1 329.320 -0.365 20 0 EBADMM NS(=O)(=O)c1ccc([O-])c(C(=O)NCc2n[nH]c(C3CC3)n2)c1 ZINC000615668569 381160540 /nfs/dbraw/zinc/16/05/40/381160540.db2.gz IFTUAFNVRZORMX-UHFFFAOYSA-N -1 1 337.361 -0.035 20 0 EBADMM O=C(CC[S@@](=O)c1ccc2c(c1)OCCO2)NCc1nn[n-]n1 ZINC000610427377 381133815 /nfs/dbraw/zinc/13/38/15/381133815.db2.gz LQQBEMHDRWBGKU-HSZRJFAPSA-N -1 1 337.361 -0.215 20 0 EBADMM Cc1cc(C(=O)NCC[N-]S(=O)(=O)c2sc(=O)[nH]c2C)[nH]n1 ZINC000347665707 381242591 /nfs/dbraw/zinc/24/25/91/381242591.db2.gz AVERCFJAPLVFEY-UHFFFAOYSA-N -1 1 345.406 -0.103 20 0 EBADMM COCCCC(=O)NCC[N-]S(=O)(=O)c1sc(=O)[nH]c1C ZINC000347683989 381247622 /nfs/dbraw/zinc/24/76/22/381247622.db2.gz QRYZSEBDIRXDLZ-UHFFFAOYSA-N -1 1 337.423 -0.022 20 0 EBADMM C[C@H](NS(=O)(=O)c1cccs1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348275261 381353039 /nfs/dbraw/zinc/35/30/39/381353039.db2.gz JGIMVWWHLHFINX-ZETCQYMHSA-N -1 1 342.406 -0.267 20 0 EBADMM C[C@@H](NS(=O)(=O)c1c[nH]c2nc(=O)[n-]c(=O)c-2c1)c1nnc[nH]1 ZINC000349118208 381435528 /nfs/dbraw/zinc/43/55/28/381435528.db2.gz DYYLSYLBPRVBBU-RXMQYKEDSA-N -1 1 337.321 -0.406 20 0 EBADMM Cn1c(=O)ccn(CC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)c1=O ZINC000351853193 381684599 /nfs/dbraw/zinc/68/45/99/381684599.db2.gz LTWJMZYYNIEBBY-UHFFFAOYSA-N -1 1 335.320 -0.958 20 0 EBADMM CCC[C@@H](NC(=O)[C@@H]1CCCN(S(N)(=O)=O)C1)c1nn[n-]n1 ZINC000612059694 381776352 /nfs/dbraw/zinc/77/63/52/381776352.db2.gz DWWMSQCESLBLGM-RKDXNWHRSA-N -1 1 331.402 -0.927 20 0 EBADMM CO[C@@H]1C[C@@H]([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)C1(C)C ZINC000332486874 381802234 /nfs/dbraw/zinc/80/22/34/381802234.db2.gz WUCTTYVOUNODEX-NXEZZACHSA-N -1 1 331.394 -0.824 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NCc1nnn(C)n1 ZINC000428830734 381967042 /nfs/dbraw/zinc/96/70/42/381967042.db2.gz PHFOGXRVAQRYRS-UHFFFAOYSA-N -1 1 330.352 -0.176 20 0 EBADMM CCN(C[C@@H](C)OC)C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000428921906 381971262 /nfs/dbraw/zinc/97/12/62/381971262.db2.gz AOBXGNLVUNDCCQ-SECBINFHSA-N -1 1 347.393 -0.460 20 0 EBADMM O=C(CN1CCN(C(=O)CNC(=O)c2ncccc2[O-])CC1)C1CC1 ZINC000352544582 382000266 /nfs/dbraw/zinc/00/02/66/382000266.db2.gz SHWWBRQSWIOXCX-UHFFFAOYSA-N -1 1 346.387 -0.360 20 0 EBADMM COC[C@](C)(CC(=O)OC)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000352564736 382001984 /nfs/dbraw/zinc/00/19/84/382001984.db2.gz WNUCBZAVZGEPTO-HNNXBMFYSA-N -1 1 339.348 -0.399 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H](NS(C)(=O)=O)C(C)(C)C)c1nn[n-]n1 ZINC000352455084 381987061 /nfs/dbraw/zinc/98/70/61/381987061.db2.gz XDZSKPRZKZVZBF-IUCAKERBSA-N -1 1 332.430 -0.275 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCOC[C@H]1c1ncon1 ZINC000352667330 382018364 /nfs/dbraw/zinc/01/83/64/382018364.db2.gz ZMQURPRPGQDTGY-VIFPVBQESA-N -1 1 333.304 -0.500 20 0 EBADMM Cc1cc(C(=O)Nc2cnn(CC(N)=O)c2)[nH]c2nc(=O)[n-]c(=O)c1-2 ZINC000353837186 382179221 /nfs/dbraw/zinc/17/92/21/382179221.db2.gz SFCWZJOCBSXFFI-UHFFFAOYSA-N -1 1 343.303 -0.321 20 0 EBADMM CN1C(=O)CC[C@@H]1CC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000613205285 382163324 /nfs/dbraw/zinc/16/33/24/382163324.db2.gz AKPORNPUOOIJGR-MRVPVSSYSA-N -1 1 327.362 -0.011 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@H]2C(=O)N(C)C)o1 ZINC000299221941 155148619 /nfs/dbraw/zinc/14/86/19/155148619.db2.gz MLCSTPDBTVOSCM-VIFPVBQESA-N -1 1 329.378 -0.120 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C2CC2)[C@H]2CC(=O)NC2=O)o1 ZINC000299511088 155158179 /nfs/dbraw/zinc/15/81/79/155158179.db2.gz JNMIXMAZSBIUEZ-QMMMGPOBSA-N -1 1 341.345 -0.793 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2CCCSC2)c(=O)n(C)c1=O ZINC000329240841 155211964 /nfs/dbraw/zinc/21/19/64/155211964.db2.gz RGLCODQXJTXXNG-VIFPVBQESA-N -1 1 333.435 -0.495 20 0 EBADMM C[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)[C@H]1CCCOC1 ZINC000329496382 155229706 /nfs/dbraw/zinc/22/97/06/155229706.db2.gz IZCKUSNBLQBWLA-ZJUUUORDSA-N -1 1 331.394 -0.823 20 0 EBADMM CCNS(=O)(=O)c1ccc2c(c1)N(Cc1nc(=O)n(C)[n-]1)CC2 ZINC000329561515 155231247 /nfs/dbraw/zinc/23/12/47/155231247.db2.gz GELUZPFWTJEIPL-UHFFFAOYSA-N -1 1 337.405 -0.031 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1C[C@H](F)CN1Cc1nccn1C ZINC000329975367 155247589 /nfs/dbraw/zinc/24/75/89/155247589.db2.gz ZRBNXZHBAPABQG-RYUDHWBXSA-N -1 1 337.403 -0.114 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2CCCS(=O)(=O)C2)c1 ZINC000330446075 155270202 /nfs/dbraw/zinc/27/02/02/155270202.db2.gz VNWGTQVZQNNESM-SECBINFHSA-N -1 1 337.375 -0.078 20 0 EBADMM CN1C(=O)C[C@@H](C(=O)NCCCc2nc(=O)[n-][nH]2)[C@H]1c1cccnc1 ZINC000176269559 154110932 /nfs/dbraw/zinc/11/09/32/154110932.db2.gz WOJUSMYQIUTHOK-BXUZGUMPSA-N -1 1 344.375 -0.239 20 0 EBADMM C[C@H](CN(C)C(=O)CN1CCN(c2ccccn2)CC1)c1nn[n-]n1 ZINC000183414286 154135943 /nfs/dbraw/zinc/13/59/43/154135943.db2.gz JFTNEBHHMHYDGZ-CYBMUJFWSA-N -1 1 344.423 -0.021 20 0 EBADMM CNC(=O)[C@@H](CO)[N-]S(=O)(=O)c1sccc1Br ZINC000185179592 154140081 /nfs/dbraw/zinc/14/00/81/154140081.db2.gz ORRQKKMNERKIDB-ZCFIWIBFSA-N -1 1 343.224 -0.104 20 0 EBADMM COCCNS(=O)(=O)c1ccc(C(=O)Nc2nnn[n-]2)cc1 ZINC000264005827 154270848 /nfs/dbraw/zinc/27/08/48/154270848.db2.gz IYAYWZQATVRBNQ-UHFFFAOYSA-N -1 1 326.338 -0.623 20 0 EBADMM COCCNS(=O)(=O)c1ccc(C(=O)Nc2nn[n-]n2)cc1 ZINC000264005827 154270852 /nfs/dbraw/zinc/27/08/52/154270852.db2.gz IYAYWZQATVRBNQ-UHFFFAOYSA-N -1 1 326.338 -0.623 20 0 EBADMM COc1ccc([C@@]2(C)NC(=O)N(CC(=O)Nc3nnn[n-]3)C2=O)cc1 ZINC000264054085 154270997 /nfs/dbraw/zinc/27/09/97/154270997.db2.gz YXTMRCWAHQEAAZ-CQSZACIVSA-N -1 1 345.319 -0.386 20 0 EBADMM COc1ccc([C@@]2(C)NC(=O)N(CC(=O)Nc3nn[n-]n3)C2=O)cc1 ZINC000264054085 154271000 /nfs/dbraw/zinc/27/10/00/154271000.db2.gz YXTMRCWAHQEAAZ-CQSZACIVSA-N -1 1 345.319 -0.386 20 0 EBADMM O=C(Nc1nnn[n-]1)[C@@H]1CC(=O)N(c2ccc3c(c2)OCCO3)C1 ZINC000263977553 154271818 /nfs/dbraw/zinc/27/18/18/154271818.db2.gz AEEZXPARNZZBEG-MRVPVSSYSA-N -1 1 330.304 -0.038 20 0 EBADMM O=C(Nc1nn[n-]n1)[C@@H]1CC(=O)N(c2ccc3c(c2)OCCO3)C1 ZINC000263977553 154271820 /nfs/dbraw/zinc/27/18/20/154271820.db2.gz AEEZXPARNZZBEG-MRVPVSSYSA-N -1 1 330.304 -0.038 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCCN1C(=O)CCC1=O ZINC000265072882 154304091 /nfs/dbraw/zinc/30/40/91/154304091.db2.gz SYTACTRZSWXTFK-UHFFFAOYSA-N -1 1 345.359 -0.553 20 0 EBADMM COC(=O)[C@H](Cc1ccccc1)[N-]S(=O)(=O)CCS(C)(=O)=O ZINC000266235557 154341538 /nfs/dbraw/zinc/34/15/38/154341538.db2.gz SSVCJZGHZMNHRE-LBPRGKRZSA-N -1 1 349.430 -0.265 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CCN(C(C)C)C2=O)co1 ZINC000270031824 154474712 /nfs/dbraw/zinc/47/47/12/154474712.db2.gz GZXHCMAHADYWMJ-JTQLQIEISA-N -1 1 329.378 -0.073 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCN(c2cnn(C)c2)C1=O ZINC000290645726 155005733 /nfs/dbraw/zinc/00/57/33/155005733.db2.gz DPIFINCBBRAPDY-SECBINFHSA-N -1 1 340.365 -0.617 20 0 EBADMM CCCc1nnc(SCC(=O)N(C)C[C@H](C)c2nn[n-]n2)n1N ZINC000291765676 155025614 /nfs/dbraw/zinc/02/56/14/155025614.db2.gz VWWPNLLPSTUNOQ-QMMMGPOBSA-N -1 1 339.429 -0.188 20 0 EBADMM CNC(=O)[C@H](C)[N-]S(=O)(=O)c1nc(C)n(C)c1Br ZINC000292004549 155026246 /nfs/dbraw/zinc/02/62/46/155026246.db2.gz VFTNGGUAUTWAGF-YFKPBYRVSA-N -1 1 339.215 -0.096 20 0 EBADMM CCc1nc(C)c(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)o1 ZINC000291957131 155027517 /nfs/dbraw/zinc/02/75/17/155027517.db2.gz OYRGTQYZJCMHGR-UHFFFAOYSA-N -1 1 342.377 -0.579 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCCC(=O)N2)c1 ZINC000292232843 155030319 /nfs/dbraw/zinc/03/03/19/155030319.db2.gz NDQBNHBERWEERO-VIFPVBQESA-N -1 1 327.362 -0.093 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CCn2ccnn2)c1 ZINC000292275393 155030678 /nfs/dbraw/zinc/03/06/78/155030678.db2.gz QJZRRLSOIMVBLO-UHFFFAOYSA-N -1 1 325.350 -0.079 20 0 EBADMM COC(=O)[C@H](F)C(NCCC(C)C)=C1C(=O)[N-]C(=S)NC1=O ZINC000293014190 155040161 /nfs/dbraw/zinc/04/01/61/155040161.db2.gz KEEQDVVGFLLCNC-MRVPVSSYSA-N -1 1 331.369 -0.082 20 0 EBADMM CNS(=O)(=O)N1CCN(C(=O)c2c(F)ccc([O-])c2F)CC1 ZINC000294378112 155058462 /nfs/dbraw/zinc/05/84/62/155058462.db2.gz LXPOKHCKIFUKNB-UHFFFAOYSA-N -1 1 335.332 -0.108 20 0 EBADMM NS(=O)(=O)CCCCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000294594565 155063293 /nfs/dbraw/zinc/06/32/93/155063293.db2.gz YZUBGUXSDYKTPX-UHFFFAOYSA-N -1 1 329.407 -0.184 20 0 EBADMM CC[C@H](C)[C@H](C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)OC ZINC000295394325 155067981 /nfs/dbraw/zinc/06/79/81/155067981.db2.gz VBDWGQZGJNJBCZ-UWVGGRQHSA-N -1 1 333.410 -0.577 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCNC(=O)C1CC1 ZINC000298126024 155101321 /nfs/dbraw/zinc/10/13/21/155101321.db2.gz VZTITNYUYXPSQC-UHFFFAOYSA-N -1 1 330.366 -0.609 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H](CCOC)C(N)=O)o1 ZINC000342991970 156081766 /nfs/dbraw/zinc/08/17/66/156081766.db2.gz VDGPABNCNLBFRX-MRVPVSSYSA-N -1 1 334.350 -0.375 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1C[C@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000343129903 156102608 /nfs/dbraw/zinc/10/26/08/156102608.db2.gz MRZXYOYAGGWMKO-MWLCHTKSSA-N -1 1 339.373 -0.838 20 0 EBADMM C[C@H](CCN1CCOCC1)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000343488030 156138908 /nfs/dbraw/zinc/13/89/08/156138908.db2.gz STGAIXGJUJJTDC-GFCCVEGCSA-N -1 1 336.392 -0.256 20 0 EBADMM O=c1nc(N[C@H]2CCCN(S(=O)(=O)C3CC3)C2)nc2[nH][n-]cc1-2 ZINC000343876355 156175021 /nfs/dbraw/zinc/17/50/21/156175021.db2.gz VRWPFUGOZGMPHI-QMMMGPOBSA-N -1 1 338.393 -0.200 20 0 EBADMM NC(=O)[C@@H]1CCCN(C(=O)CS(=O)(=O)c2ccc([O-])cc2)C1 ZINC000343909047 156184894 /nfs/dbraw/zinc/18/48/94/156184894.db2.gz BAYSNOYYDXBEBE-SNVBAGLBSA-N -1 1 326.374 -0.110 20 0 EBADMM CS(=O)(=O)N1CCCC[C@H]1CNc1nc2[nH][n-]cc-2c(=O)n1 ZINC000343985801 156193698 /nfs/dbraw/zinc/19/36/98/156193698.db2.gz MXKLRJVBCTZSLS-QMMMGPOBSA-N -1 1 326.382 -0.342 20 0 EBADMM COC(=O)[C@@H](O)CNC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000345281830 156319296 /nfs/dbraw/zinc/31/92/96/156319296.db2.gz HFCNJTIBKNNMMR-QMMMGPOBSA-N -1 1 348.377 -0.380 20 0 EBADMM CO[C@@H]1C[C@@H](c2nc[nH]n2)N(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000345513634 156338881 /nfs/dbraw/zinc/33/88/81/156338881.db2.gz QXEIDIJFGNJNNT-ZJUUUORDSA-N -1 1 346.347 -0.376 20 0 EBADMM CO[C@@H]1C[C@@H](c2nnc[nH]2)N(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000345513634 156338891 /nfs/dbraw/zinc/33/88/91/156338891.db2.gz QXEIDIJFGNJNNT-ZJUUUORDSA-N -1 1 346.347 -0.376 20 0 EBADMM C[C@@H]1OCC[C@@]1(C)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000345556840 156345489 /nfs/dbraw/zinc/34/54/89/156345489.db2.gz NSACJCMBUXPIJD-ISVAXAHUSA-N -1 1 345.377 -0.660 20 0 EBADMM Cn1ccnc1[C@@H]1OCC[C@@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000346094952 156383926 /nfs/dbraw/zinc/38/39/26/156383926.db2.gz RRNCTEVQJPXFSP-IINYFYTJSA-N -1 1 345.359 -0.103 20 0 EBADMM CN(C)S(=O)(=O)N1CCC[C@H](NC(=O)c2cncc([O-])c2)C1 ZINC000346404822 156402040 /nfs/dbraw/zinc/40/20/40/156402040.db2.gz NCTFLWKBTFIHHB-NSHDSACASA-N -1 1 328.394 -0.212 20 0 EBADMM C[C@H](NC(=O)CS(=O)(=O)c1n[n-]c(C2CC2)n1)C(=O)N(C)C ZINC000356115650 157048286 /nfs/dbraw/zinc/04/82/86/157048286.db2.gz KSEGARGWBLSINP-ZETCQYMHSA-N -1 1 329.382 -0.951 20 0 EBADMM C[C@@H]1[C@@H](N2CCOCC2)CCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000356765504 157091706 /nfs/dbraw/zinc/09/17/06/157091706.db2.gz KPMQNBFZYYBZJH-OLZOCXBDSA-N -1 1 348.403 -0.161 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NC[C@H]1CC(=O)N(C2CC2)C1 ZINC000357082205 157119059 /nfs/dbraw/zinc/11/90/59/157119059.db2.gz WCXSLMGKKOXLGJ-SNVBAGLBSA-N -1 1 332.360 -0.356 20 0 EBADMM CN1C(=O)C[C@@H](C(=O)NC2(c3nn[n-]n3)CC2)[C@@H]1c1cccnc1 ZINC000357098622 157119921 /nfs/dbraw/zinc/11/99/21/157119921.db2.gz UCGXRRUKAHEHNT-PWSUYJOCSA-N -1 1 327.348 -0.080 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2c[nH]nc2C(=O)OC)o1 ZINC000357199166 157125941 /nfs/dbraw/zinc/12/59/41/157125941.db2.gz MIOAICDTBSFJCT-UHFFFAOYSA-N -1 1 328.306 -0.050 20 0 EBADMM C[C@H](CN(C)C(=O)CN(C)C(=O)[C@H](C)n1cccn1)c1nn[n-]n1 ZINC000357986225 157196490 /nfs/dbraw/zinc/19/64/90/157196490.db2.gz HPLWLVFLXVONEZ-MNOVXSKESA-N -1 1 334.384 -0.322 20 0 EBADMM NC(=O)NCC(=O)Nc1cc(S(=O)(=O)N2CCCC2)ccc1[O-] ZINC000358020046 157199915 /nfs/dbraw/zinc/19/99/15/157199915.db2.gz SKHVGYPLYRRSJM-UHFFFAOYSA-N -1 1 342.377 -0.217 20 0 EBADMM COC(=O)C[C@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)C(=O)OC ZINC000358324922 157232204 /nfs/dbraw/zinc/23/22/04/157232204.db2.gz OXABEDPWFPETHQ-VIFPVBQESA-N -1 1 336.304 -0.492 20 0 EBADMM C[C@@H](CN(C)C(=O)Cn1nnc2ccccc2c1=O)c1nn[n-]n1 ZINC000358389849 157241498 /nfs/dbraw/zinc/24/14/98/157241498.db2.gz LXKFRMUOPJNZHW-VIFPVBQESA-N -1 1 328.336 -0.433 20 0 EBADMM Cc1noc([C@H]2C[C@@H](O)CN2C(=O)CNC(=O)c2ncccc2[O-])n1 ZINC000359426718 157321317 /nfs/dbraw/zinc/32/13/17/157321317.db2.gz KRWUWCBWVPNEGI-NXEZZACHSA-N -1 1 347.331 -0.457 20 0 EBADMM C[C@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])C[C@H](C)S1(=O)=O ZINC000359572812 157333025 /nfs/dbraw/zinc/33/30/25/157333025.db2.gz SVLNKXLIGFARCY-UWVGGRQHSA-N -1 1 341.389 -0.449 20 0 EBADMM CCN1CCO[C@H](C(=O)Nc2cc(S(=O)(=O)NC)ccc2[O-])C1 ZINC000359680588 157336830 /nfs/dbraw/zinc/33/68/30/157336830.db2.gz KOUWCNJNRITXBX-ZDUSSCGKSA-N -1 1 343.405 -0.041 20 0 EBADMM NC(=O)N1CCC[C@@H](CNC(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000360266609 157369694 /nfs/dbraw/zinc/36/96/94/157369694.db2.gz RFQVZBAWSSUKPS-JTQLQIEISA-N -1 1 335.364 -0.576 20 0 EBADMM C[C@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])C[C@H](C)S1=O ZINC000360725659 157394043 /nfs/dbraw/zinc/39/40/43/157394043.db2.gz MIYAEWBBXAQREF-UWVGGRQHSA-N -1 1 325.390 -0.115 20 0 EBADMM COC(=O)[C@](C)(O)CNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000362399814 157453754 /nfs/dbraw/zinc/45/37/54/157453754.db2.gz CAWWXZBSJQBTDQ-OAHLLOKOSA-N -1 1 336.348 -0.366 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCN(C)C(=O)C2(C)C)co1 ZINC000407999471 157702546 /nfs/dbraw/zinc/70/25/46/157702546.db2.gz WGVKJASDZJMZBF-UHFFFAOYSA-N -1 1 329.378 -0.120 20 0 EBADMM Cc1nn(CC(=O)N[C@H](c2nn[n-]n2)c2ccccc2)c(=O)n1C ZINC000655391389 419048712 /nfs/dbraw/zinc/04/87/12/419048712.db2.gz KASJHRFBWBHKGW-LBPRGKRZSA-N -1 1 328.336 -0.691 20 0 EBADMM CS(=O)(=O)[N-]c1ccccc1C(=O)N1CCN2C[C@H](O)C[C@H]2C1 ZINC000655299569 418997623 /nfs/dbraw/zinc/99/76/23/418997623.db2.gz SKJGLPACEPHHQO-NWDGAFQWSA-N -1 1 339.417 -0.051 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC[C@H]2CCCOC2)c(=O)n(C)c1=O ZINC000528453046 419198945 /nfs/dbraw/zinc/19/89/45/419198945.db2.gz YIRYPFYFTSFKRI-SNVBAGLBSA-N -1 1 331.394 -0.821 20 0 EBADMM O=C(Cn1nc2n(c1=O)CCCC2)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000651561212 419210171 /nfs/dbraw/zinc/21/01/71/419210171.db2.gz CYVIDNQOLSNHKW-JTQLQIEISA-N -1 1 332.368 -0.700 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H]1CC[C@@H](C(=O)N(C)C)O1 ZINC000574002863 419240673 /nfs/dbraw/zinc/24/06/73/419240673.db2.gz JIZLXVANIJXMNO-IUCAKERBSA-N -1 1 332.382 -0.521 20 0 EBADMM CNC(=O)N1CCN(CC(=O)[N-]C(=O)c2ccc(OC)cc2)CC1 ZINC000267814469 419318695 /nfs/dbraw/zinc/31/86/95/419318695.db2.gz FEOXAJUFJNCEPC-UHFFFAOYSA-N -1 1 334.376 -0.091 20 0 EBADMM CC(C)(CCNC(=O)CNC(=O)c1ncccc1[O-])S(C)(=O)=O ZINC000412635676 419300180 /nfs/dbraw/zinc/30/01/80/419300180.db2.gz DAHDUIVWADVQOV-UHFFFAOYSA-N -1 1 343.405 -0.154 20 0 EBADMM COCCNS(=O)(=O)c1ccc(C)c(C(=O)Nc2nnn[n-]2)c1 ZINC000265692856 419316773 /nfs/dbraw/zinc/31/67/73/419316773.db2.gz WRDHYJBHKRGLSR-UHFFFAOYSA-N -1 1 340.365 -0.315 20 0 EBADMM COCCNS(=O)(=O)c1ccc(C)c(C(=O)Nc2nn[n-]n2)c1 ZINC000265692856 419316775 /nfs/dbraw/zinc/31/67/75/419316775.db2.gz WRDHYJBHKRGLSR-UHFFFAOYSA-N -1 1 340.365 -0.315 20 0 EBADMM O=C([O-])[C@@H](Cc1cnc[nH]1)NS(=O)(=O)CCOC(F)(F)F ZINC000520298070 419558955 /nfs/dbraw/zinc/55/89/55/419558955.db2.gz LSEXYQCZUPGPJQ-SSDOTTSWSA-N -1 1 331.272 -0.139 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC[C@@]2(O)CCCOC2)co1 ZINC000652950671 419728907 /nfs/dbraw/zinc/72/89/07/419728907.db2.gz FELABWWFAUPTTC-ZDUSSCGKSA-N -1 1 332.378 -0.151 20 0 EBADMM CC(C)(C)OC(=O)N1CCN(CC(=O)Nc2nnn[n-]2)C(=O)C1 ZINC000491825207 420563828 /nfs/dbraw/zinc/56/38/28/420563828.db2.gz MJRIPQVGEBNEKT-UHFFFAOYSA-N -1 1 325.329 -0.783 20 0 EBADMM CC(C)(C)OC(=O)N1CCN(CC(=O)Nc2nn[n-]n2)C(=O)C1 ZINC000491825207 420563833 /nfs/dbraw/zinc/56/38/33/420563833.db2.gz MJRIPQVGEBNEKT-UHFFFAOYSA-N -1 1 325.329 -0.783 20 0 EBADMM COCCO[C@H]1COCC[C@H]1[N-]S(=O)(=O)c1c(C)onc1N ZINC000349583230 420627330 /nfs/dbraw/zinc/62/73/30/420627330.db2.gz QFMQVDZMGKUINP-ZJUUUORDSA-N -1 1 335.382 -0.336 20 0 EBADMM Cn1nc(C2CC2)nc1CC(=O)N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000648817705 420740165 /nfs/dbraw/zinc/74/01/65/420740165.db2.gz CUTJIGSGDLGTQC-UHFFFAOYSA-N -1 1 348.436 -0.152 20 0 EBADMM Cc1nn(CC(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)c(=O)n1C ZINC000654788648 420839531 /nfs/dbraw/zinc/83/95/31/420839531.db2.gz NMTLLXORUVTLMT-UHFFFAOYSA-N -1 1 345.363 -0.428 20 0 EBADMM CO[C@H](CS(=O)(=O)[N-][C@@H]1CS(=O)(=O)C[C@@H]1OC)C(C)C ZINC000657100446 420915232 /nfs/dbraw/zinc/91/52/32/420915232.db2.gz LWHFSBHACFCABI-MXWKQRLJSA-N -1 1 329.440 -0.611 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@H]1[N-]S(=O)(=O)Cc1csc(C)n1 ZINC000657100915 420917354 /nfs/dbraw/zinc/91/73/54/420917354.db2.gz OVOLYJZRBOEVDC-NXEZZACHSA-N -1 1 340.448 -0.317 20 0 EBADMM CCC(C)(C)N1CCN(C(=O)C(=O)N2CCO[C@@H](C(=O)[O-])C2)CC1 ZINC000656103295 420881381 /nfs/dbraw/zinc/88/13/81/420881381.db2.gz ZSZWMPXDAAJOIH-GFCCVEGCSA-N -1 1 341.408 -0.369 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-]CCCN2C(=O)CCC2=O)c1Cl ZINC000264394399 264608693 /nfs/dbraw/zinc/60/86/93/264608693.db2.gz OPERVOKDLKYORQ-UHFFFAOYSA-N -1 1 334.785 -0.109 20 0 EBADMM Cc1cc(C[C@@H](C)NC(=O)N2CCS(=O)(=O)[C@@H](C(=O)[O-])C2)n[nH]1 ZINC000424403904 265060911 /nfs/dbraw/zinc/06/09/11/265060911.db2.gz FTWBPMASOXCFOD-LDYMZIIASA-N -1 1 344.393 -0.458 20 0 EBADMM CC[C@H](CNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)OC ZINC000427911075 265112195 /nfs/dbraw/zinc/11/21/95/265112195.db2.gz CWAKTXUYGBAWGH-MRVPVSSYSA-N -1 1 333.366 -0.802 20 0 EBADMM Cc1nnc([C@H]2COCCN2C(=O)CNC(=O)c2ncccc2[O-])o1 ZINC000431442228 265165771 /nfs/dbraw/zinc/16/57/71/265165771.db2.gz ZGSQTUQXCMBZID-SNVBAGLBSA-N -1 1 347.331 -0.191 20 0 EBADMM C[C@H]1CC[S@](=O)CCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000432470974 265177366 /nfs/dbraw/zinc/17/73/66/265177366.db2.gz WFQKVIZNZWYBTI-MGUXCZDKSA-N -1 1 325.390 -0.114 20 0 EBADMM C[C@@](O)(CNC(=O)c1nc2ccccc2c(=O)[n-]1)CN1CCOCC1 ZINC000440791017 265295906 /nfs/dbraw/zinc/29/59/06/265295906.db2.gz HPPWBGPCRGRZNM-QGZVFWFLSA-N -1 1 346.387 -0.264 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H](C)S(C)(=O)=O)o1 ZINC000532829181 272907167 /nfs/dbraw/zinc/90/71/67/272907167.db2.gz RTXNLXGSYRAPTJ-ZETCQYMHSA-N -1 1 325.364 -0.222 20 0 EBADMM CCCn1nccc1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051641991 735282681 /nfs/dbraw/zinc/28/26/81/735282681.db2.gz MOWQZBPFYVQOTH-LLVKDONJSA-N -1 1 349.395 -0.252 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)CN1CCOCC1 ZINC000298514146 521568175 /nfs/dbraw/zinc/56/81/75/521568175.db2.gz YJNQFBUWTBVTQJ-JTQLQIEISA-N -1 1 346.409 -0.415 20 0 EBADMM C[C@@H](NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)C1CCC1 ZINC000677343185 546229207 /nfs/dbraw/zinc/22/92/07/546229207.db2.gz LYSFKMOOGPIYPU-MRVPVSSYSA-N -1 1 329.378 -0.038 20 0 EBADMM C[C@H]1C[C@H](C)CC2(C1)NC(=O)N(CC(=O)NCc1nn[n-]n1)C2=O ZINC000677424994 546229681 /nfs/dbraw/zinc/22/96/81/546229681.db2.gz RXSODHUPAIWQHN-IUCAKERBSA-N -1 1 335.368 -0.437 20 0 EBADMM CC1(C)CN(C(=O)c2c[nH]c3nc(=O)[n-]c(=O)c-3c2)CCN1CCO ZINC000682009617 546321303 /nfs/dbraw/zinc/32/13/03/546321303.db2.gz CBNKDUNMPIJNSW-UHFFFAOYSA-N -1 1 347.375 -0.035 20 0 EBADMM COc1ccc(S(=O)(=O)NCC(=O)Nc2nnn[n-]2)cc1OC ZINC000685620460 546407415 /nfs/dbraw/zinc/40/74/15/546407415.db2.gz YSTZVGUMWICEQH-UHFFFAOYSA-N -1 1 342.337 -0.866 20 0 EBADMM COc1ccc(S(=O)(=O)NCC(=O)Nc2nn[n-]n2)cc1OC ZINC000685620460 546407417 /nfs/dbraw/zinc/40/74/17/546407417.db2.gz YSTZVGUMWICEQH-UHFFFAOYSA-N -1 1 342.337 -0.866 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCc1ccc(-n2cncn2)cc1 ZINC000726870834 582623190 /nfs/dbraw/zinc/62/31/90/582623190.db2.gz BYTBGGFPAHUUIZ-UHFFFAOYSA-N -1 1 340.343 -0.176 20 0 EBADMM COC(=O)Cc1csc(NC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC000726870866 582623696 /nfs/dbraw/zinc/62/36/96/582623696.db2.gz DERHXWYDFOGWEP-UHFFFAOYSA-N -1 1 338.345 -0.263 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1cnn(C[C@@H]2CCCO2)c1 ZINC000726871669 582623707 /nfs/dbraw/zinc/62/37/07/582623707.db2.gz PZKPSCCCIRQSMY-LBPRGKRZSA-N -1 1 333.348 -0.059 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCC(=O)N1CCCCCC1 ZINC000727853291 582661344 /nfs/dbraw/zinc/66/13/44/582661344.db2.gz INTCPMUVBWLDPM-UHFFFAOYSA-N -1 1 336.392 -0.164 20 0 EBADMM CCOC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)C[C@@H]1CN(C)CCO1 ZINC000866912581 582675697 /nfs/dbraw/zinc/67/56/97/582675697.db2.gz KHNKQRSOKHYCCW-STQMWFEESA-N -1 1 334.438 -0.032 20 0 EBADMM CC(=O)N1CCN(CCNC(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000900202656 582764961 /nfs/dbraw/zinc/76/49/61/582764961.db2.gz NOPOGSBKGNKPDD-UHFFFAOYSA-N -1 1 333.392 -0.047 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCc1n[nH]cc1Br ZINC000731810234 582840761 /nfs/dbraw/zinc/84/07/61/582840761.db2.gz FKZZMVTWUGUFIM-UHFFFAOYSA-N -1 1 342.153 -0.271 20 0 EBADMM O=C(C1CC1)N1CCC[C@H](C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)C1 ZINC000731853604 582841086 /nfs/dbraw/zinc/84/10/86/582841086.db2.gz MKYCMUZAMANUTB-NWDGAFQWSA-N -1 1 334.380 -0.252 20 0 EBADMM O=C(C[C@H]1Oc2ccccc2NC1=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000732091492 582844532 /nfs/dbraw/zinc/84/45/32/582844532.db2.gz GMMNCBCOVFEJMY-VXGBXAGGSA-N -1 1 344.331 -0.111 20 0 EBADMM O=C(c1cnn(-c2ccccc2)n1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000732091129 582844688 /nfs/dbraw/zinc/84/46/88/582844688.db2.gz SJMUUPYFEMNQQC-GFCCVEGCSA-N -1 1 326.320 -0.006 20 0 EBADMM CCN(C(=O)CCn1cc[n-]c(=O)c1=O)[C@@H](C)CS(C)(=O)=O ZINC000733001074 582855448 /nfs/dbraw/zinc/85/54/48/582855448.db2.gz JEESLPRMLKNNKM-JTQLQIEISA-N -1 1 331.394 -0.792 20 0 EBADMM CCN(C(=O)CCn1cc[n-]c(=O)c1=O)[C@@H](C)CS(=O)(=O)CC ZINC000733184308 582857775 /nfs/dbraw/zinc/85/77/75/582857775.db2.gz PHEZABFMWJMMQZ-NSHDSACASA-N -1 1 345.421 -0.402 20 0 EBADMM Cc1n[n-]cc1S(=O)(=O)Oc1ccc(C(=O)NCC(N)=O)cc1 ZINC000734497173 582874073 /nfs/dbraw/zinc/87/40/73/582874073.db2.gz BWCRTAOHIKTYNR-UHFFFAOYSA-N -1 1 338.345 -0.299 20 0 EBADMM C[C@@H](NC(=O)COC(=O)c1ccc(-c2nnn[n-]2)nc1)C(=O)N(C)C ZINC000736105242 582916703 /nfs/dbraw/zinc/91/67/03/582916703.db2.gz NCYDGGPNRRITNF-MRVPVSSYSA-N -1 1 347.335 -0.989 20 0 EBADMM C[C@@H](NC(=O)COC(=O)c1ccc(-c2nn[n-]n2)nc1)C(=O)N(C)C ZINC000736105242 582916706 /nfs/dbraw/zinc/91/67/06/582916706.db2.gz NCYDGGPNRRITNF-MRVPVSSYSA-N -1 1 347.335 -0.989 20 0 EBADMM CC[C@@H](C)[C@](C)(O)CNc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000736328832 582930425 /nfs/dbraw/zinc/93/04/25/582930425.db2.gz IFXMJYDRIACWKW-XLKFXECMSA-N -1 1 337.384 -0.527 20 0 EBADMM CC(C)[C@H](NC(=O)[O-])C(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC000736367245 582931798 /nfs/dbraw/zinc/93/17/98/582931798.db2.gz ZEIJRZRHBKJDHE-KBPBESRZSA-N -1 1 342.440 -0.199 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)N2CCO[C@H](C(=O)[O-])C2)C1 ZINC000736444027 582934302 /nfs/dbraw/zinc/93/43/02/582934302.db2.gz VMFWFZVEMQOTBB-OLZOCXBDSA-N -1 1 329.397 -0.162 20 0 EBADMM CC(C)N(CCCNC(=O)CNC(C)(C)C(=O)[O-])S(C)(=O)=O ZINC000736467674 582935480 /nfs/dbraw/zinc/93/54/80/582935480.db2.gz AQCQWNXNOKJEAX-UHFFFAOYSA-N -1 1 337.442 -0.385 20 0 EBADMM CCNC(=O)CN(C)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736574603 582943542 /nfs/dbraw/zinc/94/35/42/582943542.db2.gz RYABBCGLGZGJJR-UHFFFAOYSA-N -1 1 325.354 -0.982 20 0 EBADMM CCNC(=O)CN(C)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736574603 582943545 /nfs/dbraw/zinc/94/35/45/582943545.db2.gz RYABBCGLGZGJJR-UHFFFAOYSA-N -1 1 325.354 -0.982 20 0 EBADMM CC[S@](=O)CCNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736663690 582948712 /nfs/dbraw/zinc/94/87/12/582948712.db2.gz FVZOFSBBNWYNSN-FQEVSTJZSA-N -1 1 330.395 -0.691 20 0 EBADMM CC[S@](=O)CCNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736663690 582948714 /nfs/dbraw/zinc/94/87/14/582948714.db2.gz FVZOFSBBNWYNSN-FQEVSTJZSA-N -1 1 330.395 -0.691 20 0 EBADMM C[C@](O)(CN1CCN(CC(=O)NCc2ccccc2)CC1)C(=O)[O-] ZINC000736669589 582949408 /nfs/dbraw/zinc/94/94/08/582949408.db2.gz FHQKGQFNNJUUEB-KRWDZBQOSA-N -1 1 335.404 -0.244 20 0 EBADMM CNC(=O)CN1CCN(c2snc(Cl)c2-c2nnn[n-]2)CC1 ZINC000736874667 582966969 /nfs/dbraw/zinc/96/69/69/582966969.db2.gz SNYMRRWZPHZSSG-UHFFFAOYSA-N -1 1 342.816 -0.155 20 0 EBADMM CNC(=O)CN1CCN(c2snc(Cl)c2-c2nn[n-]n2)CC1 ZINC000736874667 582966971 /nfs/dbraw/zinc/96/69/71/582966971.db2.gz SNYMRRWZPHZSSG-UHFFFAOYSA-N -1 1 342.816 -0.155 20 0 EBADMM COC(=O)CC(C)(C)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736911292 582970725 /nfs/dbraw/zinc/97/07/25/582970725.db2.gz FPXNWQWLXPHMDK-UHFFFAOYSA-N -1 1 340.365 -0.118 20 0 EBADMM COC(=O)CC(C)(C)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736911292 582970727 /nfs/dbraw/zinc/97/07/27/582970727.db2.gz FPXNWQWLXPHMDK-UHFFFAOYSA-N -1 1 340.365 -0.118 20 0 EBADMM COC(=O)CN(CC(=O)OC)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736923467 582974257 /nfs/dbraw/zinc/97/42/57/582974257.db2.gz RYJYKJLPJAUNEF-UHFFFAOYSA-N -1 1 334.292 -0.950 20 0 EBADMM COC(=O)CN(CC(=O)OC)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736923467 582974261 /nfs/dbraw/zinc/97/42/61/582974261.db2.gz RYJYKJLPJAUNEF-UHFFFAOYSA-N -1 1 334.292 -0.950 20 0 EBADMM CCCc1nnc([C@H]2CN([C@H](C)C(=O)NCC(=O)[O-])CCO2)[nH]1 ZINC000737051529 582985960 /nfs/dbraw/zinc/98/59/60/582985960.db2.gz UILKZTLJYWKSIV-NXEZZACHSA-N -1 1 325.369 -0.280 20 0 EBADMM CCCc1n[nH]c([C@H]2CN([C@H](C)C(=O)NCC(=O)[O-])CCO2)n1 ZINC000737051529 582985961 /nfs/dbraw/zinc/98/59/61/582985961.db2.gz UILKZTLJYWKSIV-NXEZZACHSA-N -1 1 325.369 -0.280 20 0 EBADMM C[S@@](=O)CCN1CCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000737174992 582995453 /nfs/dbraw/zinc/99/54/53/582995453.db2.gz ZAQYYUIFKJBHTA-XMMPIXPASA-N -1 1 349.420 -0.602 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N2Cc3ccccc3C2)n(C)c1=O ZINC000737595061 583018522 /nfs/dbraw/zinc/01/85/22/583018522.db2.gz DUXYKMTTXXKGKV-UHFFFAOYSA-N -1 1 325.332 -0.216 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NCCNc2ccccc2)n(C)c1=O ZINC000737598086 583019523 /nfs/dbraw/zinc/01/95/23/583019523.db2.gz YJVVPCYTDLBZLP-UHFFFAOYSA-N -1 1 342.363 -0.212 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCN(c2ccccn2)C1 ZINC000753182654 583159455 /nfs/dbraw/zinc/15/94/55/583159455.db2.gz GDJLXEOOPAVWJG-GFCCVEGCSA-N -1 1 329.360 -0.283 20 0 EBADMM CCOC(=O)[C@@H](CO)[N-]S(=O)(=O)c1c(C)nn(C)c1Cl ZINC000758501361 583204479 /nfs/dbraw/zinc/20/44/79/583204479.db2.gz DEMICEJTSLIJDJ-SSDOTTSWSA-N -1 1 325.774 -0.416 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(c2ncc(F)cn2)CC1 ZINC000759300964 583211903 /nfs/dbraw/zinc/21/19/03/583211903.db2.gz PBVRNSLLVBWZDQ-UHFFFAOYSA-N -1 1 348.338 -0.795 20 0 EBADMM CNS(=O)(=O)c1ccc(C(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765414412 583281859 /nfs/dbraw/zinc/28/18/59/583281859.db2.gz VINZVFQRGCWKRM-UHFFFAOYSA-N -1 1 326.334 -0.627 20 0 EBADMM Cn1[n-]c(COC(=O)CCn2nnc3ccccc3c2=O)nc1=O ZINC000765421000 583281982 /nfs/dbraw/zinc/28/19/82/583281982.db2.gz MEERVGZUQXEGBZ-UHFFFAOYSA-N -1 1 330.304 -0.653 20 0 EBADMM COC(=O)[C@H](COC(C)C)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000766807104 583297100 /nfs/dbraw/zinc/29/71/00/583297100.db2.gz DFEHPNGEWPSRSP-NSHDSACASA-N -1 1 341.364 -0.648 20 0 EBADMM CC[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H](C)S1(=O)=O ZINC000805396090 583329410 /nfs/dbraw/zinc/32/94/10/583329410.db2.gz IIWNAGCEQWHYFM-GHMZBOCLSA-N -1 1 343.405 -0.649 20 0 EBADMM CN(C)S(=O)(=O)c1cccc(NC(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000770059886 583332275 /nfs/dbraw/zinc/33/22/75/583332275.db2.gz NXVJDEVDNXYMAP-UHFFFAOYSA-N -1 1 341.349 -0.475 20 0 EBADMM CN(C)S(=O)(=O)CCC(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000772274887 583356690 /nfs/dbraw/zinc/35/66/90/583356690.db2.gz MFBHKRSHEHEDKX-UHFFFAOYSA-N -1 1 334.316 -0.120 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NN2CCCCC2)o1 ZINC000772846208 583365981 /nfs/dbraw/zinc/36/59/81/583365981.db2.gz NJDLDXVZGKGTCX-UHFFFAOYSA-N -1 1 330.366 -0.826 20 0 EBADMM C[C@H](C(=O)[N-]OCC(N)=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000772932353 583367535 /nfs/dbraw/zinc/36/75/35/583367535.db2.gz TWJVKEROMLLNKM-VIFPVBQESA-N -1 1 329.378 -0.427 20 0 EBADMM O=C(NCCNC(=O)c1ccc2n[n-]c(=S)n2c1)c1ccn[nH]1 ZINC000774091585 583379729 /nfs/dbraw/zinc/37/97/29/583379729.db2.gz IXMSMOLOHBBZDW-UHFFFAOYSA-N -1 1 331.361 -0.099 20 0 EBADMM COC(=O)/C=C1/SCC(=O)N1CCCOC(=O)c1cn[n-]n1 ZINC000805602953 583418604 /nfs/dbraw/zinc/41/86/04/583418604.db2.gz ASWBXVJZIDEZBV-BJMVGYQFSA-N -1 1 326.334 -0.059 20 0 EBADMM CN1C(=O)CN([N-]C(=O)c2cccc(N3CCN(C)CC3)n2)C1=O ZINC000779540440 583435778 /nfs/dbraw/zinc/43/57/78/583435778.db2.gz GFLVGLPNJJKCOA-UHFFFAOYSA-N -1 1 332.364 -0.628 20 0 EBADMM CCO[C@@H]1C[C@](CO)(NC(=O)CCn2cc[n-]c(=O)c2=O)C1(C)C ZINC000781875024 583462210 /nfs/dbraw/zinc/46/22/10/583462210.db2.gz QBTWXPFZGMOLSI-BDJLRTHQSA-N -1 1 339.392 -0.391 20 0 EBADMM CN1CCN(CC(=O)N[C@H](c2nn[n-]n2)c2ccccc2)CC1=O ZINC000781913783 583462682 /nfs/dbraw/zinc/46/26/82/583462682.db2.gz QHDKPHHMIBPKCK-AWEZNQCLSA-N -1 1 329.364 -0.821 20 0 EBADMM O=C(Nc1ccc(CN2C(=O)CNC2=O)cc1)NN1CC(=O)[N-]C1=O ZINC000783592306 583479655 /nfs/dbraw/zinc/47/96/55/583479655.db2.gz BTIDZZFUWKDVHI-UHFFFAOYSA-N -1 1 346.303 -0.673 20 0 EBADMM Cn1cc(COC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)cn1 ZINC000786196931 583506971 /nfs/dbraw/zinc/50/69/71/583506971.db2.gz ILMGWIBVHAUXPW-UHFFFAOYSA-N -1 1 342.333 -0.866 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@H]2CCCO2)o1 ZINC000786199415 583507172 /nfs/dbraw/zinc/50/71/72/583507172.db2.gz ZSRYLHYZFLOGDL-QMMMGPOBSA-N -1 1 332.334 -0.621 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)OCc2ccccn2)o1 ZINC000786197892 583507203 /nfs/dbraw/zinc/50/72/03/583507203.db2.gz PFMYVLNXBAHTLF-UHFFFAOYSA-N -1 1 339.329 -0.205 20 0 EBADMM CN(C)c1nc(C(=O)OCC(=O)N(C)Cc2cnn(C)c2)cc(=O)[n-]1 ZINC000786498288 583510422 /nfs/dbraw/zinc/51/04/22/583510422.db2.gz XHSJFTLYQMHDEA-UHFFFAOYSA-N -1 1 348.363 -0.203 20 0 EBADMM NC(=O)CC(=O)OCC(=O)[N-]C(=O)c1ccc(OC(F)F)cc1 ZINC000787944645 583525756 /nfs/dbraw/zinc/52/57/56/583525756.db2.gz IXIQKVHAUIDVTC-UHFFFAOYSA-N -1 1 330.243 -0.037 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NC2(CO)COC(C)(C)OC2)co1 ZINC000791239664 583550141 /nfs/dbraw/zinc/55/01/41/583550141.db2.gz HHMCMYSSSVGUDO-UHFFFAOYSA-N -1 1 348.377 -0.569 20 0 EBADMM O=C([C@H]1CCN(CC(F)(F)F)C1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000792151018 583557069 /nfs/dbraw/zinc/55/70/69/583557069.db2.gz TWFZKFNWXLAJPC-IUCAKERBSA-N -1 1 334.302 -0.016 20 0 EBADMM C[C@H](O)CCCOC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000795634827 583592499 /nfs/dbraw/zinc/59/24/99/583592499.db2.gz HKONMLDHYVODRW-QMMMGPOBSA-N -1 1 334.350 -0.639 20 0 EBADMM O=C(CN1CCCCCCC1=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000798148943 583602532 /nfs/dbraw/zinc/60/25/32/583602532.db2.gz ZFTATUAZKLZPRX-UHFFFAOYSA-N -1 1 336.396 -0.198 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC2(S(C)(=O)=O)CC2)o1 ZINC000799704474 583612831 /nfs/dbraw/zinc/61/28/31/583612831.db2.gz XIEUXGUXROVAJT-UHFFFAOYSA-N -1 1 337.375 -0.078 20 0 EBADMM CC(C)(C)[C@@H](O)C[C@@H](CO)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000803570308 583630700 /nfs/dbraw/zinc/63/07/00/583630700.db2.gz XLDBBGHPVODYII-QWRGUYRKSA-N -1 1 327.381 -0.799 20 0 EBADMM CCNC(=O)C[C@H]1CCCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000803645790 583631187 /nfs/dbraw/zinc/63/11/87/583631187.db2.gz QNKHOZQVVHSPIF-GFCCVEGCSA-N -1 1 336.392 -0.166 20 0 EBADMM C[C@@H]1C[C@@H](N2CCOCC2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000804439939 583644776 /nfs/dbraw/zinc/64/47/76/583644776.db2.gz NZYZMZIMDSRFKH-CHWSQXEVSA-N -1 1 336.392 -0.752 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCCOc3cccnc3)ccnc1-2 ZINC000806899000 583655210 /nfs/dbraw/zinc/65/52/10/583655210.db2.gz SVSIRBARZRRCLF-UHFFFAOYSA-N -1 1 340.343 -0.130 20 0 EBADMM CNC(=O)[C@H]1CCCN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000806892504 583655215 /nfs/dbraw/zinc/65/52/15/583655215.db2.gz LYYFAXQKIURVFF-JTQLQIEISA-N -1 1 344.375 -0.735 20 0 EBADMM CO[C@H](CNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)C(F)(F)F ZINC000806898091 583655282 /nfs/dbraw/zinc/65/52/82/583655282.db2.gz UVDIWSFFTYRVHF-SECBINFHSA-N -1 1 345.281 -0.026 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCC[C@H](OCCO)C3)ccnc1-2 ZINC000806900293 583655363 /nfs/dbraw/zinc/65/53/63/583655363.db2.gz VDOYKBAFFUADEC-NSHDSACASA-N -1 1 347.375 -0.720 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCO[C@@H](C4CC4)C3)ccnc1-2 ZINC000806985187 583656419 /nfs/dbraw/zinc/65/64/19/583656419.db2.gz CKORLABHLHUYBC-CYBMUJFWSA-N -1 1 329.360 -0.082 20 0 EBADMM CNC(=O)[C@H](CC(C)C)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000807015952 583656685 /nfs/dbraw/zinc/65/66/85/583656685.db2.gz KDPIBJJSSJXYCJ-LBPRGKRZSA-N -1 1 346.391 -0.443 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@H](O)c2cnn(C)c2)cc1 ZINC000809556656 583679443 /nfs/dbraw/zinc/67/94/43/583679443.db2.gz STELWXKBLHQOSH-CQSZACIVSA-N -1 1 347.327 -0.038 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCS(=O)(=O)C(C)C)co1 ZINC000811553183 583692143 /nfs/dbraw/zinc/69/21/43/583692143.db2.gz HPPLNRBPIPMKJU-UHFFFAOYSA-N -1 1 338.407 -0.259 20 0 EBADMM COC(=O)CC[C@@H](NC(=O)OC(C)(C)C)C(=O)NCc1nn[n-]n1 ZINC000813449615 583698907 /nfs/dbraw/zinc/69/89/07/583698907.db2.gz VFOBZZHACBKPLN-MRVPVSSYSA-N -1 1 342.356 -0.338 20 0 EBADMM CC[C@@H]1CN(C)CCN1C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000815871487 583712709 /nfs/dbraw/zinc/71/27/09/583712709.db2.gz NKZYNCOTNQYTNL-LLVKDONJSA-N -1 1 330.392 -0.167 20 0 EBADMM C[C@H](NC(=O)C(=O)Nc1cccc(CN2CCN(C)CC2)c1)C(=O)[O-] ZINC000818022227 583733585 /nfs/dbraw/zinc/73/35/85/583733585.db2.gz GFHYCEDBYYRANB-LBPRGKRZSA-N -1 1 348.403 -0.038 20 0 EBADMM Cc1ccc(NC(=O)CN(C)CCC(=O)[O-])cc1S(N)(=O)=O ZINC000818905066 583752955 /nfs/dbraw/zinc/75/29/55/583752955.db2.gz KSQMJDZQSADJKU-UHFFFAOYSA-N -1 1 329.378 -0.013 20 0 EBADMM CC(C)CO[C@@H]1CCN(c2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)C1 ZINC000820096666 583766637 /nfs/dbraw/zinc/76/66/37/583766637.db2.gz DYBUDMBQIDHXEV-SNVBAGLBSA-N -1 1 349.395 -0.485 20 0 EBADMM CC(C)N1C(=O)C[C@H](NC(=O)c2ccc(-c3nnn[n-]3)nc2)C1=O ZINC000820120957 583766873 /nfs/dbraw/zinc/76/68/73/583766873.db2.gz MYWFQLCZHYWAOW-JTQLQIEISA-N -1 1 329.320 -0.473 20 0 EBADMM CC(C)N1C(=O)C[C@H](NC(=O)c2ccc(-c3nn[n-]n3)nc2)C1=O ZINC000820120957 583766875 /nfs/dbraw/zinc/76/68/75/583766875.db2.gz MYWFQLCZHYWAOW-JTQLQIEISA-N -1 1 329.320 -0.473 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nnn[n-]2)CCN1C(=O)[C@@H]1CCOC1 ZINC000820775433 583779346 /nfs/dbraw/zinc/77/93/46/583779346.db2.gz IATUITQQICBITH-GHMZBOCLSA-N -1 1 344.379 -0.270 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1C(=O)[C@@H]1CCOC1 ZINC000820775433 583779349 /nfs/dbraw/zinc/77/93/49/583779349.db2.gz IATUITQQICBITH-GHMZBOCLSA-N -1 1 344.379 -0.270 20 0 EBADMM CC(C)[C@H](C[N-]S(=O)(=O)N=S(C)(C)=O)N1CCOCC1 ZINC000820783321 583779810 /nfs/dbraw/zinc/77/98/10/583779810.db2.gz UMZJMAOOANDCHR-NSHDSACASA-N -1 1 327.472 -0.095 20 0 EBADMM CS(C)(=O)=NS(=O)(=O)[N-]CCC(=O)NCc1ccccn1 ZINC000820795738 583781069 /nfs/dbraw/zinc/78/10/69/583781069.db2.gz OFGSDABVKKHVGF-UHFFFAOYSA-N -1 1 334.423 -0.350 20 0 EBADMM CS(C)(=O)=NS(=O)(=O)Nc1ccc(C(=O)NCC(=O)[O-])cc1 ZINC000821126942 583785214 /nfs/dbraw/zinc/78/52/14/583785214.db2.gz AONLUXQNGGHGSQ-UHFFFAOYSA-N -1 1 349.390 -0.115 20 0 EBADMM CCOC(=O)/C=C/Cn1cc(C(=O)OC)cc(-c2nn[n-]n2)c1=O ZINC000821325804 583788752 /nfs/dbraw/zinc/78/87/52/583788752.db2.gz NPCBOHSDJSVCAW-SNAWJCMRSA-N -1 1 333.304 -0.066 20 0 EBADMM CCOC(=O)C[C@H](C)Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000821326434 583788952 /nfs/dbraw/zinc/78/89/52/583788952.db2.gz XJSQURXHQNPRBZ-ZETCQYMHSA-N -1 1 337.340 -0.982 20 0 EBADMM COc1cccc(S([O-])=CC(=O)O[C@@H](C)C(=O)NC(N)=O)c1 ZINC000821359380 583789653 /nfs/dbraw/zinc/78/96/53/583789653.db2.gz OKZRHJHXSKKHLI-ZCAPAMDOSA-N -1 1 328.346 -0.071 20 0 EBADMM CCOc1ncccc1CNC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821436995 583791203 /nfs/dbraw/zinc/79/12/03/583791203.db2.gz IXMSIEKBYZAQDH-UHFFFAOYSA-N -1 1 329.324 -0.432 20 0 EBADMM CCS(=O)(=O)NCCNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821442901 583791486 /nfs/dbraw/zinc/79/14/86/583791486.db2.gz XAXSXHZSUFEYGE-UHFFFAOYSA-N -1 1 330.395 -0.403 20 0 EBADMM CCS(=O)(=O)NCCNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821442901 583791487 /nfs/dbraw/zinc/79/14/87/583791487.db2.gz XAXSXHZSUFEYGE-UHFFFAOYSA-N -1 1 330.395 -0.403 20 0 EBADMM CCn1ncc2c1CCC[C@H]2NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821568437 583793434 /nfs/dbraw/zinc/79/34/34/583793434.db2.gz UEJHRHIDLIHUDR-SNVBAGLBSA-N -1 1 342.367 -0.132 20 0 EBADMM CN1C(=O)N[C@@]2(CCC[C@H]2CNc2nccnc2-c2nnn[n-]2)C1=O ZINC000821620549 583795110 /nfs/dbraw/zinc/79/51/10/583795110.db2.gz OOYVVUDTDFVQPU-RMLUDKJBSA-N -1 1 343.351 -0.211 20 0 EBADMM CN1C(=O)N[C@@]2(CCC[C@H]2CNc2nccnc2-c2nn[n-]n2)C1=O ZINC000821620549 583795111 /nfs/dbraw/zinc/79/51/11/583795111.db2.gz OOYVVUDTDFVQPU-RMLUDKJBSA-N -1 1 343.351 -0.211 20 0 EBADMM CN1CCC[C@@H](NS(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)C1=O ZINC000821623966 583796169 /nfs/dbraw/zinc/79/61/69/583796169.db2.gz WJKXCWMQCWHLHF-SNVBAGLBSA-N -1 1 337.365 -0.839 20 0 EBADMM CN1CCC[C@@H](NS(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)C1=O ZINC000821623966 583796171 /nfs/dbraw/zinc/79/61/71/583796171.db2.gz WJKXCWMQCWHLHF-SNVBAGLBSA-N -1 1 337.365 -0.839 20 0 EBADMM COC(=O)[C@H](C)CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821638524 583798488 /nfs/dbraw/zinc/79/84/88/583798488.db2.gz AKDNALFQWXRSFN-SSDOTTSWSA-N -1 1 326.338 -0.651 20 0 EBADMM COC(=O)[C@H](C)CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821638524 583798489 /nfs/dbraw/zinc/79/84/89/583798489.db2.gz AKDNALFQWXRSFN-SSDOTTSWSA-N -1 1 326.338 -0.651 20 0 EBADMM COC(=O)c1csc(NC(=O)Cn2cnc(-c3nn[n-]n3)n2)c1 ZINC000821671254 583800369 /nfs/dbraw/zinc/80/03/69/583800369.db2.gz OLOKVTSHCJZHDG-UHFFFAOYSA-N -1 1 334.321 -0.055 20 0 EBADMM COC[C@H](C)C(=O)N1CCN(c2nccnc2-c2nnn[n-]2)C[C@@H]1C ZINC000821682074 583801250 /nfs/dbraw/zinc/80/12/50/583801250.db2.gz ZERRDEWMZFETIF-QWRGUYRKSA-N -1 1 346.395 -0.024 20 0 EBADMM COC[C@H](C)C(=O)N1CCN(c2nccnc2-c2nn[n-]n2)C[C@@H]1C ZINC000821682074 583801251 /nfs/dbraw/zinc/80/12/51/583801251.db2.gz ZERRDEWMZFETIF-QWRGUYRKSA-N -1 1 346.395 -0.024 20 0 EBADMM COC[C@H](Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C)c1ccco1 ZINC000821684040 583801271 /nfs/dbraw/zinc/80/12/71/583801271.db2.gz QOOPPTWAESHGIS-QMMMGPOBSA-N -1 1 347.335 -0.343 20 0 EBADMM COc1ccc(CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)c(F)c1 ZINC000821799089 583804366 /nfs/dbraw/zinc/80/43/66/583804366.db2.gz ZROJPORMKKVIPG-UHFFFAOYSA-N -1 1 332.299 -0.078 20 0 EBADMM Cc1[nH]c(=O)c(-c2nn[n-]n2)c(C)c1CCC(=O)O[C@H]1CNC(=O)C1 ZINC000822010188 583806917 /nfs/dbraw/zinc/80/69/17/583806917.db2.gz RMXZDFNSZBEYCT-SECBINFHSA-N -1 1 346.347 -0.052 20 0 EBADMM Cc1nc(CNc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)sc1C ZINC000822444271 583810956 /nfs/dbraw/zinc/81/09/56/583810956.db2.gz JWTAPYUCOVYJTJ-UHFFFAOYSA-N -1 1 348.392 -0.050 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N2CC(CC(F)(F)F)C2)n(C)c1=O ZINC000822599578 583813352 /nfs/dbraw/zinc/81/33/52/583813352.db2.gz FXUBFWFKBYGLSH-UHFFFAOYSA-N -1 1 345.285 -0.347 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CC(=O)N[C@H]3CCCC[C@H]32)n1 ZINC000822605019 583813776 /nfs/dbraw/zinc/81/37/76/583813776.db2.gz UZYWLJWGMZTHMR-VHSXEESVSA-N -1 1 345.367 -0.125 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CC(=O)N[C@H]3CCCC[C@H]32)n1 ZINC000822605019 583813777 /nfs/dbraw/zinc/81/37/77/583813777.db2.gz UZYWLJWGMZTHMR-VHSXEESVSA-N -1 1 345.367 -0.125 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N2CCO[C@@H]3CCCC[C@@H]32)n(C)c1=O ZINC000822601418 583813807 /nfs/dbraw/zinc/81/38/07/583813807.db2.gz ZJGROLPXMVXJHF-VHSXEESVSA-N -1 1 347.379 -0.588 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCC(=O)N2CCCCC2)n1 ZINC000822614589 583814094 /nfs/dbraw/zinc/81/40/94/583814094.db2.gz OBDMFKUWFQTIIW-UHFFFAOYSA-N -1 1 333.356 -0.266 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCC(=O)N2CCCCC2)n1 ZINC000822614589 583814095 /nfs/dbraw/zinc/81/40/95/583814095.db2.gz OBDMFKUWFQTIIW-UHFFFAOYSA-N -1 1 333.356 -0.266 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NC[C@H]2CCCS2(=O)=O)n1 ZINC000822614013 583814325 /nfs/dbraw/zinc/81/43/25/583814325.db2.gz IEAOQJYWXGZDJK-SSDOTTSWSA-N -1 1 340.369 -0.701 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NC[C@H]2CCCS2(=O)=O)n1 ZINC000822614013 583814327 /nfs/dbraw/zinc/81/43/27/583814327.db2.gz IEAOQJYWXGZDJK-SSDOTTSWSA-N -1 1 340.369 -0.701 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCN(c2cccs2)CC1 ZINC000823127105 583825071 /nfs/dbraw/zinc/82/50/71/583825071.db2.gz SYUIYIWQBHTYSW-UHFFFAOYSA-N -1 1 345.392 -0.132 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)Nc1ccc(-n2nccn2)nc1 ZINC000823131993 583825398 /nfs/dbraw/zinc/82/53/98/583825398.db2.gz UTLQSIPHYVVGEM-UHFFFAOYSA-N -1 1 338.295 -0.927 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCCn1cnc2ccccc21 ZINC000823131378 583825559 /nfs/dbraw/zinc/82/55/59/583825559.db2.gz GUZOMPNQBKICOZ-UHFFFAOYSA-N -1 1 338.335 -0.376 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)Nc1cccnc1-n1cccn1 ZINC000823136238 583826062 /nfs/dbraw/zinc/82/60/62/583826062.db2.gz QCURLKUMCQAJSB-UHFFFAOYSA-N -1 1 337.307 -0.322 20 0 EBADMM O=C1C[C@H]2CC[C@@H](CN1)N2S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000823525780 583830728 /nfs/dbraw/zinc/83/07/28/583830728.db2.gz SVGGKMMWXNCOFL-BDAKNGLRSA-N -1 1 349.376 -0.697 20 0 EBADMM O=C1C[C@H]2CC[C@@H](CN1)N2S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000823525780 583830731 /nfs/dbraw/zinc/83/07/31/583830731.db2.gz SVGGKMMWXNCOFL-BDAKNGLRSA-N -1 1 349.376 -0.697 20 0 EBADMM O=C1CN(S(=O)(=O)c2ccc(F)cc2-c2nn[n-]n2)CCN1 ZINC000823536287 583831823 /nfs/dbraw/zinc/83/18/23/583831823.db2.gz ZHCZQBMLGMQJLV-UHFFFAOYSA-N -1 1 326.313 -0.874 20 0 EBADMM O=S(=O)(NCc1nnnn1C1CC1)c1ccc(-c2nnn[n-]2)nc1 ZINC000823582882 583834494 /nfs/dbraw/zinc/83/44/94/583834494.db2.gz COXASOKKSPPRFF-UHFFFAOYSA-N -1 1 348.352 -0.938 20 0 EBADMM O=S(=O)(NCc1nnnn1C1CC1)c1ccc(-c2nn[n-]n2)nc1 ZINC000823582882 583834495 /nfs/dbraw/zinc/83/44/95/583834495.db2.gz COXASOKKSPPRFF-UHFFFAOYSA-N -1 1 348.352 -0.938 20 0 EBADMM C[C@H](C(=O)N1CCC(C(N)=O)CC1)N1CC[C@H](N(C)C(=O)[O-])C1 ZINC000823664501 583835343 /nfs/dbraw/zinc/83/53/43/583835343.db2.gz XNGQQUTXMDGIHV-PWSUYJOCSA-N -1 1 326.397 -0.217 20 0 EBADMM CC(C)(CNC(=O)[O-])C(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC000823956556 583839167 /nfs/dbraw/zinc/83/91/67/583839167.db2.gz PYCBFEIEADWPQF-CYBMUJFWSA-N -1 1 342.440 -0.197 20 0 EBADMM CC(=O)N1CC[C@@H](CN(C)C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000823975116 583839608 /nfs/dbraw/zinc/83/96/08/583839608.db2.gz UVLLBWOOBZTAQW-JTQLQIEISA-N -1 1 347.383 -0.068 20 0 EBADMM CC(=O)N1CC[C@@H](CN(C)C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000823975116 583839609 /nfs/dbraw/zinc/83/96/09/583839609.db2.gz UVLLBWOOBZTAQW-JTQLQIEISA-N -1 1 347.383 -0.068 20 0 EBADMM C[C@@H](C(=O)OCc1ccnc(-c2nnn[n-]2)c1)N1C(=O)CCC1=O ZINC000824051884 583840704 /nfs/dbraw/zinc/84/07/04/583840704.db2.gz HNEHVFRKGFKDIN-QMMMGPOBSA-N -1 1 330.304 -0.158 20 0 EBADMM C[C@@H](C(=O)OCc1ccnc(-c2nn[n-]n2)c1)N1C(=O)CCC1=O ZINC000824051884 583840705 /nfs/dbraw/zinc/84/07/05/583840705.db2.gz HNEHVFRKGFKDIN-QMMMGPOBSA-N -1 1 330.304 -0.158 20 0 EBADMM CC(C)N1C(=O)N[C@]2(CCN(c3nccnc3-c3nnn[n-]3)C2)C1=O ZINC000824297100 583843341 /nfs/dbraw/zinc/84/33/41/583843341.db2.gz QDLURGIQOBICKZ-AWEZNQCLSA-N -1 1 343.351 -0.434 20 0 EBADMM CC(C)N1C(=O)N[C@]2(CCN(c3nccnc3-c3nn[n-]n3)C2)C1=O ZINC000824297100 583843343 /nfs/dbraw/zinc/84/33/43/583843343.db2.gz QDLURGIQOBICKZ-AWEZNQCLSA-N -1 1 343.351 -0.434 20 0 EBADMM CC(C)N(C)CC(=O)Nc1nc(N2CCN(C(=O)[O-])CC2)n[nH]1 ZINC000824330206 583843884 /nfs/dbraw/zinc/84/38/84/583843884.db2.gz VQQKGBMDAHAKIO-UHFFFAOYSA-N -1 1 325.373 -0.117 20 0 EBADMM CC(C)n1nnnc1CN(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000824377296 583844679 /nfs/dbraw/zinc/84/46/79/583844679.db2.gz BDEKQVGRTXBTMB-UHFFFAOYSA-N -1 1 346.403 -0.196 20 0 EBADMM C[C@@H](CC(=O)N[C@@H]1CCN(CCN2CCOCC2)C1)NC(=O)[O-] ZINC000824624122 583848081 /nfs/dbraw/zinc/84/80/81/583848081.db2.gz XIGFFOWCDSRCSZ-QWHCGFSZSA-N -1 1 328.413 -0.445 20 0 EBADMM C[C@H](Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C)[C@H]1CCCOC1 ZINC000824648414 583848320 /nfs/dbraw/zinc/84/83/20/583848320.db2.gz FSZRNCNDPUGTNG-IUCAKERBSA-N -1 1 335.368 -0.509 20 0 EBADMM C[C@@H](CN(C)C(=O)[O-])C(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC000824849491 583851089 /nfs/dbraw/zinc/85/10/89/583851089.db2.gz SSYXPLLMEPPGPD-KBPBESRZSA-N -1 1 342.440 -0.245 20 0 EBADMM C[C@@H](CNC(=O)CO[C@@H]1CCCN(C(=O)[O-])C1)N1CCN(C)CC1 ZINC000824920966 583852313 /nfs/dbraw/zinc/85/23/13/583852313.db2.gz ABMUUCSELPUOOW-UONOGXRCSA-N -1 1 342.440 -0.103 20 0 EBADMM C[C@H](CNC(=O)CO[C@H]1CCCN(C(=O)[O-])C1)N1CCN(C)CC1 ZINC000824920965 583852482 /nfs/dbraw/zinc/85/24/82/583852482.db2.gz ABMUUCSELPUOOW-KGLIPLIRSA-N -1 1 342.440 -0.103 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nnn[n-]2)CCN1C(=O)C1(C)COC1 ZINC000824999134 583853694 /nfs/dbraw/zinc/85/36/94/583853694.db2.gz CQHDJNXBEXNQRO-SNVBAGLBSA-N -1 1 344.379 -0.270 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1C(=O)C1(C)COC1 ZINC000824999134 583853695 /nfs/dbraw/zinc/85/36/95/583853695.db2.gz CQHDJNXBEXNQRO-SNVBAGLBSA-N -1 1 344.379 -0.270 20 0 EBADMM CC[C@@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)[C@@H](O)C(F)(F)F ZINC000825133629 583855307 /nfs/dbraw/zinc/85/53/07/583855307.db2.gz OPNCFRRLHGUUIN-IYSWYEEDSA-N -1 1 334.262 -0.724 20 0 EBADMM CCC[C@@H]1C(=O)NCCN1C(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825248579 583856763 /nfs/dbraw/zinc/85/67/63/583856763.db2.gz WHQDKESWADTPQK-SNVBAGLBSA-N -1 1 333.352 -0.167 20 0 EBADMM CCC[C@@H]1C(=O)NCCN1C(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825248579 583856764 /nfs/dbraw/zinc/85/67/64/583856764.db2.gz WHQDKESWADTPQK-SNVBAGLBSA-N -1 1 333.352 -0.167 20 0 EBADMM CC(=O)N1CSC[C@H]1C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000825847631 583868490 /nfs/dbraw/zinc/86/84/90/583868490.db2.gz VSGUFQHAHPGQBX-PWSUYJOCSA-N -1 1 329.422 -0.085 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nnn[n-]1)C(=O)[C@@H]1CCCOC1 ZINC000825923062 583870878 /nfs/dbraw/zinc/87/08/78/583870878.db2.gz AMLGMMBZFRBCQT-SECBINFHSA-N -1 1 334.384 -0.010 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nn[n-]n1)C(=O)[C@@H]1CCCOC1 ZINC000825923062 583870879 /nfs/dbraw/zinc/87/08/79/583870879.db2.gz AMLGMMBZFRBCQT-SECBINFHSA-N -1 1 334.384 -0.010 20 0 EBADMM CN(Cc1ccc(O)cc1)c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000825965728 583872088 /nfs/dbraw/zinc/87/20/88/583872088.db2.gz FFYNAFJOQKROGI-UHFFFAOYSA-N -1 1 343.347 -0.394 20 0 EBADMM C[C@@H](C(=O)N1CCCC1)N1CCN(CCC(=O)NCC(=O)[O-])CC1 ZINC000825983259 583872986 /nfs/dbraw/zinc/87/29/86/583872986.db2.gz UDDJMLGKYLVGDT-ZDUSSCGKSA-N -1 1 340.424 -0.794 20 0 EBADMM CNC(=O)[C@@H]1CCCCN1C(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000826060923 583876724 /nfs/dbraw/zinc/87/67/24/583876724.db2.gz WSIAPYPNZRRSMC-JTQLQIEISA-N -1 1 333.352 -0.167 20 0 EBADMM CNC(=O)[C@@H]1CCCCN1C(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000826060923 583876726 /nfs/dbraw/zinc/87/67/26/583876726.db2.gz WSIAPYPNZRRSMC-JTQLQIEISA-N -1 1 333.352 -0.167 20 0 EBADMM CNS(=O)(=O)[C@H]1CCN(C(=O)c2ccc(-c3nnn[n-]3)s2)C1 ZINC000826084305 583877610 /nfs/dbraw/zinc/87/76/10/583877610.db2.gz YLICHMMNOVQXME-ZETCQYMHSA-N -1 1 342.406 -0.308 20 0 EBADMM CNS(=O)(=O)[C@H]1CCN(C(=O)c2ccc(-c3nn[n-]n3)s2)C1 ZINC000826084305 583877611 /nfs/dbraw/zinc/87/76/11/583877611.db2.gz YLICHMMNOVQXME-ZETCQYMHSA-N -1 1 342.406 -0.308 20 0 EBADMM CO[C@@H]1[C@H](C)[C@H](Nc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)C1(C)C ZINC000826144965 583883106 /nfs/dbraw/zinc/88/31/06/583883106.db2.gz WUYHAPKGLXKSDU-FKTZTGRPSA-N -1 1 349.395 -0.265 20 0 EBADMM CO[C@@H]1[C@@H](C)[C@H](Nc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)C1(C)C ZINC000826144968 583883260 /nfs/dbraw/zinc/88/32/60/583883260.db2.gz WUYHAPKGLXKSDU-UJNFCWOMSA-N -1 1 349.395 -0.265 20 0 EBADMM COC[C@@](C)(NC(=O)Cc1noc(C)c1-c1nnn[n-]1)C(=O)OC ZINC000826148616 583883362 /nfs/dbraw/zinc/88/33/62/583883362.db2.gz MMUKARGTHYNNIM-CYBMUJFWSA-N -1 1 338.324 -0.600 20 0 EBADMM COC[C@@](C)(NC(=O)Cc1noc(C)c1-c1nn[n-]n1)C(=O)OC ZINC000826148616 583883365 /nfs/dbraw/zinc/88/33/65/583883365.db2.gz MMUKARGTHYNNIM-CYBMUJFWSA-N -1 1 338.324 -0.600 20 0 EBADMM COC[C@](C)(NC(=O)Cc1noc(C)c1-c1nnn[n-]1)C(=O)OC ZINC000826148617 583883556 /nfs/dbraw/zinc/88/35/56/583883556.db2.gz MMUKARGTHYNNIM-ZDUSSCGKSA-N -1 1 338.324 -0.600 20 0 EBADMM COC[C@](C)(NC(=O)Cc1noc(C)c1-c1nn[n-]n1)C(=O)OC ZINC000826148617 583883558 /nfs/dbraw/zinc/88/35/58/583883558.db2.gz MMUKARGTHYNNIM-ZDUSSCGKSA-N -1 1 338.324 -0.600 20 0 EBADMM COC[C@H](O)C(=O)OCC(=O)Nc1oc(C)c(C)c1-c1nn[n-]n1 ZINC000826151607 583884748 /nfs/dbraw/zinc/88/47/48/583884748.db2.gz FSCYHDAWQIJTFE-QMMMGPOBSA-N -1 1 339.308 -0.434 20 0 EBADMM COCCNC(=O)Cn1cc(Nc2ccc(-c3nnn[n-]3)nn2)cn1 ZINC000826160368 583886431 /nfs/dbraw/zinc/88/64/31/583886431.db2.gz PHTNRFOMUWQDBK-UHFFFAOYSA-N -1 1 344.339 -0.641 20 0 EBADMM COCCNC(=O)Cn1cc(Nc2ccc(-c3nn[n-]n3)nn2)cn1 ZINC000826160368 583886433 /nfs/dbraw/zinc/88/64/33/583886433.db2.gz PHTNRFOMUWQDBK-UHFFFAOYSA-N -1 1 344.339 -0.641 20 0 EBADMM CS(=O)(=O)N[C@@H]1CCC[C@H]1CNc1ccc(-c2nnn[n-]2)nn1 ZINC000826223443 583888636 /nfs/dbraw/zinc/88/86/36/583888636.db2.gz MZLPDQWFKLJMJS-DTWKUNHWSA-N -1 1 338.397 -0.214 20 0 EBADMM CS(=O)(=O)N[C@@H]1CCC[C@H]1CNc1ccc(-c2nn[n-]n2)nn1 ZINC000826223443 583888637 /nfs/dbraw/zinc/88/86/37/583888637.db2.gz MZLPDQWFKLJMJS-DTWKUNHWSA-N -1 1 338.397 -0.214 20 0 EBADMM CSc1cc(CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)ccn1 ZINC000826230139 583888826 /nfs/dbraw/zinc/88/88/26/583888826.db2.gz GGAQDDIPPIZGDZ-UHFFFAOYSA-N -1 1 331.365 -0.109 20 0 EBADMM Cc1[nH]c(=O)c(-c2nn[n-]n2)c(C)c1CCC(=O)N(C)[C@@H](C)C(N)=O ZINC000826232929 583888978 /nfs/dbraw/zinc/88/89/78/583888978.db2.gz NMHPTVRFRLWZER-VIFPVBQESA-N -1 1 347.379 -0.151 20 0 EBADMM Cc1cn2c(n1)CN(S(=O)(=O)c1ccc(-c3nnn[n-]3)nc1)CC2 ZINC000826316847 583891344 /nfs/dbraw/zinc/89/13/44/583891344.db2.gz BAKASBHEBXVODI-UHFFFAOYSA-N -1 1 346.376 -0.029 20 0 EBADMM Cc1cn2c(n1)CN(S(=O)(=O)c1ccc(-c3nn[n-]n3)nc1)CC2 ZINC000826316847 583891347 /nfs/dbraw/zinc/89/13/47/583891347.db2.gz BAKASBHEBXVODI-UHFFFAOYSA-N -1 1 346.376 -0.029 20 0 EBADMM Cc1onc(CC(=O)N(C)CC(=O)N2CCOCC2)c1-c1nnn[n-]1 ZINC000826343330 583892118 /nfs/dbraw/zinc/89/21/18/583892118.db2.gz WFCRFBBBMXTHHN-UHFFFAOYSA-N -1 1 349.351 -0.977 20 0 EBADMM Cc1onc(CC(=O)N(C)CC(=O)N2CCOCC2)c1-c1nn[n-]n1 ZINC000826343330 583892120 /nfs/dbraw/zinc/89/21/20/583892120.db2.gz WFCRFBBBMXTHHN-UHFFFAOYSA-N -1 1 349.351 -0.977 20 0 EBADMM Cc1onc(CC(=O)N2CCS(=O)(=O)[C@@H](C)C2)c1-c1nnn[n-]1 ZINC000826345257 583892635 /nfs/dbraw/zinc/89/26/35/583892635.db2.gz HOCQCSMQKDLMHP-ZETCQYMHSA-N -1 1 340.365 -0.649 20 0 EBADMM Cc1onc(CC(=O)N2CCS(=O)(=O)[C@@H](C)C2)c1-c1nn[n-]n1 ZINC000826345257 583892636 /nfs/dbraw/zinc/89/26/36/583892636.db2.gz HOCQCSMQKDLMHP-ZETCQYMHSA-N -1 1 340.365 -0.649 20 0 EBADMM Cc1onc(CC(=O)N[C@@H](C)CN2CCCC2=O)c1-c1nnn[n-]1 ZINC000826345648 583892702 /nfs/dbraw/zinc/89/27/02/583892702.db2.gz PZLCBMXGDHUURL-QMMMGPOBSA-N -1 1 333.352 -0.167 20 0 EBADMM Cc1onc(CC(=O)N[C@@H](C)CN2CCCC2=O)c1-c1nn[n-]n1 ZINC000826345648 583892703 /nfs/dbraw/zinc/89/27/03/583892703.db2.gz PZLCBMXGDHUURL-QMMMGPOBSA-N -1 1 333.352 -0.167 20 0 EBADMM Cc1onc(CC(=O)N[C@H]2CCC[C@@H](C(N)=O)C2)c1-c1nnn[n-]1 ZINC000826346658 583892864 /nfs/dbraw/zinc/89/28/64/583892864.db2.gz SILRUMAIEQVOCH-BDAKNGLRSA-N -1 1 333.352 -0.134 20 0 EBADMM Cc1onc(CC(=O)N[C@H]2CCC[C@@H](C(N)=O)C2)c1-c1nn[n-]n1 ZINC000826346658 583892865 /nfs/dbraw/zinc/89/28/65/583892865.db2.gz SILRUMAIEQVOCH-BDAKNGLRSA-N -1 1 333.352 -0.134 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NCc2cc(Cl)ccn2)n(C)c1=O ZINC000826355862 583893473 /nfs/dbraw/zinc/89/34/73/583893473.db2.gz QBMHMMJVLYUITC-UHFFFAOYSA-N -1 1 348.754 -0.075 20 0 EBADMM Cn1c(Cn2c(=O)c(-c3nn[n-]n3)cn(C)c2=O)nc2ccccc21 ZINC000826356943 583893969 /nfs/dbraw/zinc/89/39/69/583893969.db2.gz LQESTZXCPIXXGM-UHFFFAOYSA-N -1 1 338.331 -0.338 20 0 EBADMM Cn1cc(-c2nn[nH]n2)cc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000826357489 583894151 /nfs/dbraw/zinc/89/41/51/583894151.db2.gz HPPIMNUAECVXPS-MRVPVSSYSA-N -1 1 328.340 -0.262 20 0 EBADMM NC(=O)C1(NS(=O)(=O)c2cccc(F)c2-c2nnn[n-]2)CC1 ZINC000826389783 583896094 /nfs/dbraw/zinc/89/60/94/583896094.db2.gz IFLSEXKZERTTIG-UHFFFAOYSA-N -1 1 326.313 -0.698 20 0 EBADMM NC(=O)C1(NS(=O)(=O)c2cccc(F)c2-c2nn[n-]n2)CC1 ZINC000826389783 583896095 /nfs/dbraw/zinc/89/60/95/583896095.db2.gz IFLSEXKZERTTIG-UHFFFAOYSA-N -1 1 326.313 -0.698 20 0 EBADMM NC(=O)c1cc([C@@H]2CCCN(c3nccnc3-c3nnn[n-]3)C2)n[nH]1 ZINC000826392526 583898058 /nfs/dbraw/zinc/89/80/58/583898058.db2.gz BNHHPYAZTIEXAV-MRVPVSSYSA-N -1 1 340.351 -0.137 20 0 EBADMM NC(=O)c1cc([C@@H]2CCCN(c3nccnc3-c3nn[n-]n3)C2)n[nH]1 ZINC000826392526 583898060 /nfs/dbraw/zinc/89/80/60/583898060.db2.gz BNHHPYAZTIEXAV-MRVPVSSYSA-N -1 1 340.351 -0.137 20 0 EBADMM NS(=O)(=O)c1cccc(NC(=O)Cn2ccnc2-c2nnn[n-]2)c1 ZINC000826395194 583898617 /nfs/dbraw/zinc/89/86/17/583898617.db2.gz QSGJONKUBLFRQR-UHFFFAOYSA-N -1 1 348.348 -0.651 20 0 EBADMM NS(=O)(=O)c1cccc(NC(=O)Cn2ccnc2-c2nn[n-]n2)c1 ZINC000826395194 583898618 /nfs/dbraw/zinc/89/86/18/583898618.db2.gz QSGJONKUBLFRQR-UHFFFAOYSA-N -1 1 348.348 -0.651 20 0 EBADMM O=C([C@H]1CCCO1)N1CCN(c2ccc(-c3nnn[n-]3)nn2)CC1 ZINC000826399285 583899129 /nfs/dbraw/zinc/89/91/29/583899129.db2.gz RWOFKRGGHRBRMJ-LLVKDONJSA-N -1 1 330.352 -0.516 20 0 EBADMM O=C([C@H]1CCCO1)N1CCN(c2ccc(-c3nn[n-]n3)nn2)CC1 ZINC000826399285 583899132 /nfs/dbraw/zinc/89/91/32/583899132.db2.gz RWOFKRGGHRBRMJ-LLVKDONJSA-N -1 1 330.352 -0.516 20 0 EBADMM O=C(CN1CCN(Cc2ccnc(-c3nn[n-]n3)c2)CC1)NC1CC1 ZINC000826411305 583899318 /nfs/dbraw/zinc/89/93/18/583899318.db2.gz TWEDCXAEMQXVSH-UHFFFAOYSA-N -1 1 342.407 -0.342 20 0 EBADMM O=C(N1CCCC1)N1CCN(c2ccc(-c3nnn[n-]3)nn2)CC1 ZINC000826425577 583901060 /nfs/dbraw/zinc/90/10/60/583901060.db2.gz KAEFTUCOCWBRHF-UHFFFAOYSA-N -1 1 329.368 -0.006 20 0 EBADMM O=C(N1CCCC1)N1CCN(c2ccc(-c3nn[n-]n3)nn2)CC1 ZINC000826425577 583901062 /nfs/dbraw/zinc/90/10/62/583901062.db2.gz KAEFTUCOCWBRHF-UHFFFAOYSA-N -1 1 329.368 -0.006 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)Nn1cc(Br)cn1 ZINC000826425457 583901215 /nfs/dbraw/zinc/90/12/15/583901215.db2.gz FFJIVOBACBEGKJ-UHFFFAOYSA-N -1 1 339.117 -0.813 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@@H](CCO)c1cccs1 ZINC000826425180 583901329 /nfs/dbraw/zinc/90/13/29/583901329.db2.gz SLLKWGZTFVKIFD-QMMMGPOBSA-N -1 1 334.365 -0.240 20 0 EBADMM O=C1CCN(S(=O)(=O)c2cccc(F)c2-c2nnn[n-]2)CCN1 ZINC000826480481 583903868 /nfs/dbraw/zinc/90/38/68/583903868.db2.gz CXCJQXSHRIFGIG-UHFFFAOYSA-N -1 1 340.340 -0.484 20 0 EBADMM O=C1CCN(S(=O)(=O)c2cccc(F)c2-c2nn[n-]n2)CCN1 ZINC000826480481 583903869 /nfs/dbraw/zinc/90/38/69/583903869.db2.gz CXCJQXSHRIFGIG-UHFFFAOYSA-N -1 1 340.340 -0.484 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCC[C@H]1[C@@H]1CCCC1=O ZINC000826479803 583903980 /nfs/dbraw/zinc/90/39/80/583903980.db2.gz IUMUQXLVZVTZTC-UWVGGRQHSA-N -1 1 330.352 -0.182 20 0 EBADMM O=S(=O)(CCNc1ccc(-c2nnn[n-]2)nn1)NCC1CCC1 ZINC000826485147 583905051 /nfs/dbraw/zinc/90/50/51/583905051.db2.gz DSYJZWLHNRLLSL-UHFFFAOYSA-N -1 1 338.397 -0.212 20 0 EBADMM O=S(=O)(CCNc1ccc(-c2nn[n-]n2)nn1)NCC1CCC1 ZINC000826485147 583905052 /nfs/dbraw/zinc/90/50/52/583905052.db2.gz DSYJZWLHNRLLSL-UHFFFAOYSA-N -1 1 338.397 -0.212 20 0 EBADMM O=S(=O)(c1ccc(F)cc1-c1nn[n-]n1)N1C[C@H](O)C[C@H](O)C1 ZINC000826495416 583905984 /nfs/dbraw/zinc/90/59/84/583905984.db2.gz OPBVTKUHDMGYCU-DTORHVGOSA-N -1 1 343.340 -0.878 20 0 EBADMM O=S(=O)(NCCn1cncn1)c1cccc(F)c1-c1nnn[n-]1 ZINC000826492255 583905997 /nfs/dbraw/zinc/90/59/97/583905997.db2.gz ZUFPQXUKOCSTRY-UHFFFAOYSA-N -1 1 338.328 -0.424 20 0 EBADMM O=S(=O)(NCCn1cncn1)c1cccc(F)c1-c1nn[n-]n1 ZINC000826492255 583905998 /nfs/dbraw/zinc/90/59/98/583905998.db2.gz ZUFPQXUKOCSTRY-UHFFFAOYSA-N -1 1 338.328 -0.424 20 0 EBADMM O=S(=O)(c1cccc(Cl)c1-c1nnn[n-]1)N(CCO)CCO ZINC000826495351 583906012 /nfs/dbraw/zinc/90/60/12/583906012.db2.gz MUJACNUUBORBKE-UHFFFAOYSA-N -1 1 347.784 -0.505 20 0 EBADMM O=S(=O)(c1cccc(Cl)c1-c1nn[n-]n1)N(CCO)CCO ZINC000826495351 583906013 /nfs/dbraw/zinc/90/60/13/583906013.db2.gz MUJACNUUBORBKE-UHFFFAOYSA-N -1 1 347.784 -0.505 20 0 EBADMM CCCc1nc([C@H]2CN(C(=O)COCCNC(=O)[O-])CCO2)n[nH]1 ZINC000826954690 583912830 /nfs/dbraw/zinc/91/28/30/583912830.db2.gz UOLOTZFSIYMFEC-SNVBAGLBSA-N -1 1 341.368 -0.059 20 0 EBADMM CCCc1n[nH]c([C@H]2CN(C(=O)COCCNC(=O)[O-])CCO2)n1 ZINC000826954690 583912832 /nfs/dbraw/zinc/91/28/32/583912832.db2.gz UOLOTZFSIYMFEC-SNVBAGLBSA-N -1 1 341.368 -0.059 20 0 EBADMM CCN(CC(=O)N(CCCN(C)C)[C@H]1CCS(=O)(=O)C1)C(=O)[O-] ZINC000826996923 583913759 /nfs/dbraw/zinc/91/37/59/583913759.db2.gz OOQCUUUEBLIKNZ-LBPRGKRZSA-N -1 1 349.453 -0.046 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)CCCN2C(=O)CNC2=O)CC1 ZINC000827362740 583919939 /nfs/dbraw/zinc/91/99/39/583919939.db2.gz TZYTZHKYVHTMIY-LLVKDONJSA-N -1 1 340.380 -0.674 20 0 EBADMM C[C@H](CNS(=O)(=O)CC1(CC(=O)[O-])CC1)N1CCN(C)CC1 ZINC000827501734 583922220 /nfs/dbraw/zinc/92/22/20/583922220.db2.gz BVPMGSSZIXROQB-GFCCVEGCSA-N -1 1 333.454 -0.203 20 0 EBADMM CNC(=O)NC(=O)[C@@H](C)N1CCC(OCCCNC(=O)[O-])CC1 ZINC000828552049 583942479 /nfs/dbraw/zinc/94/24/79/583942479.db2.gz LQJXBJOCZVVTJF-SNVBAGLBSA-N -1 1 330.385 -0.031 20 0 EBADMM CC[C@H](C)[C@@H](NC(=O)CN1CCN([C@@H](CC)CO)CC1)C(=O)[O-] ZINC000828717690 583946278 /nfs/dbraw/zinc/94/62/78/583946278.db2.gz KEXRGUPZTQOPFZ-KCQAQPDRSA-N -1 1 329.441 -0.010 20 0 EBADMM COCCN(CC(=O)N1CCN(C[C@@H]2CCOC2)CC1)C(=O)[O-] ZINC000828971017 583949186 /nfs/dbraw/zinc/94/91/86/583949186.db2.gz LWBJCFDQEUXRDG-ZDUSSCGKSA-N -1 1 329.397 -0.207 20 0 EBADMM CC[C@H](C)[C@@H](NC(=O)CN1CCN(C[C@@H](O)CC)CC1)C(=O)[O-] ZINC000829004298 583949917 /nfs/dbraw/zinc/94/99/17/583949917.db2.gz DYURLWQHTDMRBM-KCQAQPDRSA-N -1 1 329.441 -0.010 20 0 EBADMM Cc1nc([C@H]2CN(C(=O)COC3CN(C(=O)[O-])C3)CCO2)n[nH]1 ZINC000830678486 583969494 /nfs/dbraw/zinc/96/94/94/583969494.db2.gz OZLJMJNJEZYZPO-SNVBAGLBSA-N -1 1 325.325 -0.608 20 0 EBADMM Cc1nc(CN2CCN(C(=O)[C@H]3CN(C(=O)[O-])CCO3)CC2)n[nH]1 ZINC000830751849 583970521 /nfs/dbraw/zinc/97/05/21/583970521.db2.gz QLZRTGOXOFZITP-LLVKDONJSA-N -1 1 338.368 -0.864 20 0 EBADMM CCS(=O)(=O)N[C@H](C)C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831125024 583976782 /nfs/dbraw/zinc/97/67/82/583976782.db2.gz HPCFEISFLFMSFO-SSDOTTSWSA-N -1 1 345.343 -0.549 20 0 EBADMM COCC(=O)N(C)CC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831134306 583976992 /nfs/dbraw/zinc/97/69/92/583976992.db2.gz JQIRPMUJMIKIRC-UHFFFAOYSA-N -1 1 325.287 -0.772 20 0 EBADMM COC(=O)C[C@@H]1CN(CCCN2CCO[C@H](CC(=O)[O-])C2)CCO1 ZINC000831148146 583977192 /nfs/dbraw/zinc/97/71/92/583977192.db2.gz PQMOQNAYGDUSNS-ZIAGYGMSSA-N -1 1 344.408 -0.184 20 0 EBADMM COC[C@@](C)(CC(=O)[O-])NC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000831549434 583984526 /nfs/dbraw/zinc/98/45/26/583984526.db2.gz ZYFBIWITJSASER-CQSZACIVSA-N -1 1 336.352 -0.215 20 0 EBADMM O=C([O-])N1CCO[C@@H](C(=O)N[C@@H](c2nn[nH]n2)c2ccccc2)C1 ZINC000831574171 583986229 /nfs/dbraw/zinc/98/62/29/583986229.db2.gz RPWYIOOQZLGRJU-GHMZBOCLSA-N -1 1 332.320 -0.216 20 0 EBADMM O=C([O-])N1CCO[C@H](C(=O)N2CCC(CN3CCOCC3)CC2)C1 ZINC000832050465 583995262 /nfs/dbraw/zinc/99/52/62/583995262.db2.gz PYVAZSIYYLSBML-AWEZNQCLSA-N -1 1 341.408 -0.064 20 0 EBADMM O=C([O-])NCCCOC1CCN(CC(=O)N2CCNC2=O)CC1 ZINC000832634015 583999934 /nfs/dbraw/zinc/99/99/34/583999934.db2.gz YXMPSYADCZIRTQ-UHFFFAOYSA-N -1 1 328.369 -0.323 20 0 EBADMM CC(=O)N1CCOC[C@@H]1C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000833391098 584009676 /nfs/dbraw/zinc/00/96/76/584009676.db2.gz HGQIHEPYQVTLFO-WCQYABFASA-N -1 1 327.381 -0.759 20 0 EBADMM C[C@@H](C(=O)N1CCC[C@@H](C(N)=O)C1)N1CCC(O)(C(=O)[O-])CC1 ZINC000833404338 584010238 /nfs/dbraw/zinc/01/02/38/584010238.db2.gz OYUPZWDPUYEWHH-WDEREUQCSA-N -1 1 327.381 -0.990 20 0 EBADMM CC(C)NC(=O)CN(C)CC(=O)N1CCN(CCC(=O)[O-])C[C@H]1C ZINC000833502083 584011204 /nfs/dbraw/zinc/01/12/04/584011204.db2.gz NIWONOGHBIFOQH-CYBMUJFWSA-N -1 1 342.440 -0.550 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000833625632 584012503 /nfs/dbraw/zinc/01/25/03/584012503.db2.gz WYXOAFMZPFCMNG-NEPJUHHUSA-N -1 1 349.391 -0.230 20 0 EBADMM C[C@@H]1CN(CCC(=O)NCC(=O)[O-])CCN1c1nccn2cnnc12 ZINC000833624451 584012544 /nfs/dbraw/zinc/01/25/44/584012544.db2.gz UZCXILZBATYAOZ-LLVKDONJSA-N -1 1 347.379 -0.774 20 0 EBADMM C[C@@H]1C[C@@H](CNC(=O)[O-])CN1CCNS(=O)(=O)c1cnn(C)c1 ZINC000833835422 584017233 /nfs/dbraw/zinc/01/72/33/584017233.db2.gz ZAVOHBLODITATK-MNOVXSKESA-N -1 1 345.425 -0.324 20 0 EBADMM NC(=O)C[C@H](NC(=O)[O-])C(=O)NCCc1nc2ccc(F)cc2[nH]1 ZINC000833984014 584022516 /nfs/dbraw/zinc/02/25/16/584022516.db2.gz ZNBDOLRLLXDETK-JTQLQIEISA-N -1 1 337.311 -0.128 20 0 EBADMM NC(=O)c1n[nH]c2ccc(NC(=O)C(=O)NCCCNC(=O)[O-])cc21 ZINC000833986116 584022952 /nfs/dbraw/zinc/02/29/52/584022952.db2.gz IJENVMVOVQWJJM-UHFFFAOYSA-N -1 1 348.319 -0.626 20 0 EBADMM O=C([O-])N1CC(CNC(=O)C(=O)Nc2cnn(-c3ccncc3)c2)C1 ZINC000834029335 584024793 /nfs/dbraw/zinc/02/47/93/584024793.db2.gz PCMTZXYGHKGKJA-UHFFFAOYSA-N -1 1 344.331 -0.068 20 0 EBADMM O=C([O-])N1CC(OCCC(=O)N2CC[C@H](CN3CCOCC3)C2)C1 ZINC000834070461 584025842 /nfs/dbraw/zinc/02/58/42/584025842.db2.gz ZOOFPURJHNSIGK-CYBMUJFWSA-N -1 1 341.408 -0.064 20 0 EBADMM O=C([O-])NCC(=O)NCC(=O)NCCc1nc2ccc(F)cc2[nH]1 ZINC000834196630 584028625 /nfs/dbraw/zinc/02/86/25/584028625.db2.gz ONRSPQGQEYLTMI-UHFFFAOYSA-N -1 1 337.311 -0.256 20 0 EBADMM O=C([O-])N1CCC[C@H]1C(=O)NC[C@H]1CCC[N@H+]([C@H]2CCNC2=O)C1 ZINC000834290967 584030980 /nfs/dbraw/zinc/03/09/80/584030980.db2.gz VDGGPNSGEJKHML-AGIUHOORSA-N -1 1 338.408 -0.155 20 0 EBADMM O=C([O-])N1CCC[C@H]1C(=O)NC[C@H]1CCCN([C@H]2CCNC2=O)C1 ZINC000834290967 584030982 /nfs/dbraw/zinc/03/09/82/584030982.db2.gz VDGGPNSGEJKHML-AGIUHOORSA-N -1 1 338.408 -0.155 20 0 EBADMM COC(=O)CN1CCN(S(=O)(=O)c2cc(OC)ccc2[O-])CC1 ZINC000867171621 584044951 /nfs/dbraw/zinc/04/49/51/584044951.db2.gz PKIJFGZMEAAPDH-UHFFFAOYSA-N -1 1 344.389 -0.120 20 0 EBADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)c2coc(C(N)=O)c2)CCC1 ZINC000843016535 584069661 /nfs/dbraw/zinc/06/96/61/584069661.db2.gz UFZVMOGIDWGBBM-UHFFFAOYSA-N -1 1 329.378 -0.078 20 0 EBADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)COCc2ccnn2C)CCC1 ZINC000843015839 584069713 /nfs/dbraw/zinc/06/97/13/584069713.db2.gz YHWIFMDFWJMAHM-UHFFFAOYSA-N -1 1 344.437 -0.133 20 0 EBADMM O=C(COC(=O)[C@@H]1CCS(=O)(=O)N1)[N-]C(=O)c1ccccc1 ZINC000845369758 584105397 /nfs/dbraw/zinc/10/53/97/584105397.db2.gz UMAIOTWBIPIUNN-JTQLQIEISA-N -1 1 326.330 -0.822 20 0 EBADMM CCO[N-]C(=O)CNC(=O)N1CCC[C@@H](CN2CCOCC2)C1 ZINC000845534876 584110001 /nfs/dbraw/zinc/11/00/01/584110001.db2.gz HFNWQCYTGBZABB-ZDUSSCGKSA-N -1 1 328.413 -0.192 20 0 EBADMM CCO[N-]C(=O)CNc1nc(NCC(=O)[N-]OCC)c(C)c[nH+]1 ZINC000845713502 584115112 /nfs/dbraw/zinc/11/51/12/584115112.db2.gz LXTUMYCVWVZBLP-UHFFFAOYSA-N -1 1 326.357 -0.256 20 0 EBADMM CCO[N-]C(=O)CNC(=O)[C@@H](Cc1cnc[nH]1)NC(=O)CC(C)C ZINC000846492057 584126547 /nfs/dbraw/zinc/12/65/47/584126547.db2.gz VNMNYNMYSIVGJW-GFCCVEGCSA-N -1 1 339.396 -0.333 20 0 EBADMM CCO[N-]C(=O)CNC(=O)[C@H](C)NC(=O)c1n[nH]c2ccccc21 ZINC000846498726 584127254 /nfs/dbraw/zinc/12/72/54/584127254.db2.gz QUKBQBPYMSXLJL-VIFPVBQESA-N -1 1 333.348 -0.135 20 0 EBADMM CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC000849233073 584167088 /nfs/dbraw/zinc/16/70/88/584167088.db2.gz WGUHPBCCMRIKDG-RQJHMYQMSA-N -1 1 347.315 -0.611 20 0 EBADMM CO[C@@H](CS(=O)(=O)[N-]Cc1nc(N(C)C)no1)[C@H]1CCOC1 ZINC000849387520 584168791 /nfs/dbraw/zinc/16/87/91/584168791.db2.gz NLJOCDGZSQRAIP-UWVGGRQHSA-N -1 1 334.398 -0.394 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@H]2CC(C(N)=O)=NO2)cc1C ZINC000851786525 584202465 /nfs/dbraw/zinc/20/24/65/584202465.db2.gz XPTRAITVTCEBBH-SSDOTTSWSA-N -1 1 345.333 -0.717 20 0 EBADMM CS(=O)(=O)CCN1CCC[C@@H](NC(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC000852736777 584215294 /nfs/dbraw/zinc/21/52/94/584215294.db2.gz OJRYSHZEZPWHIL-HTQZYQBOSA-N -1 1 346.327 -0.373 20 0 EBADMM COCCCNC(=O)CSc1nc([O-])cc(=O)n1CCOC ZINC000853784540 584231678 /nfs/dbraw/zinc/23/16/78/584231678.db2.gz RSCZKYJRWFHYOT-UHFFFAOYSA-N -1 1 331.394 -0.160 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1ccc2c(c1)CCNC2=O ZINC000855248391 584257001 /nfs/dbraw/zinc/25/70/01/584257001.db2.gz FSSXFJFERQNWNM-UHFFFAOYSA-N -1 1 328.328 -0.149 20 0 EBADMM CN1c2ccccc2C[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1=O ZINC000855345085 584257852 /nfs/dbraw/zinc/25/78/52/584257852.db2.gz MINXXJOHKKJCGB-GFCCVEGCSA-N -1 1 342.355 -0.369 20 0 EBADMM COC[C@H]([N-]S(=O)(=O)C[C@H](OC)c1ccc(F)cc1)C(N)=O ZINC000859083566 584295491 /nfs/dbraw/zinc/29/54/91/584295491.db2.gz OCGYLHKDGGMMHK-RYUDHWBXSA-N -1 1 334.369 -0.067 20 0 EBADMM O=C([C@@H]1CNC(=O)c2ccccc21)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000859278191 584299031 /nfs/dbraw/zinc/29/90/31/584299031.db2.gz MJEOXHLXDNRIFQ-VXGBXAGGSA-N -1 1 328.332 -0.373 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N[C@@H]3CO[C@H](C4CC4)C3)ccnc1-2 ZINC000862117632 584347486 /nfs/dbraw/zinc/34/74/86/584347486.db2.gz CEMUNLQJOUKJNL-GWCFXTLKSA-N -1 1 329.360 -0.036 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CC[C@@H]4CC[C@@H](O)[C@@H]43)ccnc1-2 ZINC000862177356 584348837 /nfs/dbraw/zinc/34/88/37/584348837.db2.gz ULEVMVZPHSMSCY-ZWKOPEQDSA-N -1 1 329.360 -0.348 20 0 EBADMM COC[C@]1(C)CN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)CCO1 ZINC000862203008 584349547 /nfs/dbraw/zinc/34/95/47/584349547.db2.gz HXCBYOZGAXLEGB-INIZCTEOSA-N -1 1 347.375 -0.456 20 0 EBADMM Cn1ncc(C(F)(F)F)c1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC000862423685 584351319 /nfs/dbraw/zinc/35/13/19/584351319.db2.gz ZBQLXFBOADQKHC-UHFFFAOYSA-N -1 1 345.281 -0.005 20 0 EBADMM CNC(=O)c1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)c(OC)c1 ZINC000862612321 584352472 /nfs/dbraw/zinc/35/24/72/584352472.db2.gz NPLIIRKMAWSPSY-UHFFFAOYSA-N -1 1 346.343 -0.066 20 0 EBADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C[C@H](O)CN1CCCCC1 ZINC000866834050 584408072 /nfs/dbraw/zinc/40/80/72/584408072.db2.gz WKZONNPYBOBIQY-WLRWDXFRSA-N -1 1 327.472 -0.215 20 0 EBADMM O=S(=O)([N-]C[C@@]1(OCCO)CCOC1)c1ccc(F)nc1F ZINC000867505093 584409540 /nfs/dbraw/zinc/40/95/40/584409540.db2.gz XWHUBKHFCCVJBF-LBPRGKRZSA-N -1 1 338.332 -0.194 20 0 EBADMM O=C([N-]C[C@H]1CCCN(C(=O)C2CS(=O)(=O)C2)C1)C(F)(F)F ZINC000868444264 584430295 /nfs/dbraw/zinc/43/02/95/584430295.db2.gz GPWWUSFHYBRSTO-MRVPVSSYSA-N -1 1 342.339 -0.052 20 0 EBADMM CN1CCC[C@H](NC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)C1=O ZINC000875342138 584497693 /nfs/dbraw/zinc/49/76/93/584497693.db2.gz DLQMCMXSFCYRNT-VIFPVBQESA-N -1 1 336.314 -0.073 20 0 EBADMM C[C@H](CNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)S(C)(=O)=O ZINC000875422897 584498849 /nfs/dbraw/zinc/49/88/49/584498849.db2.gz XHJPMTCVKIMZLK-SSDOTTSWSA-N -1 1 345.343 -0.261 20 0 EBADMM CN1CCOC[C@@H]1CNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875865000 584507721 /nfs/dbraw/zinc/50/77/21/584507721.db2.gz XLFIKTBDNKUDOW-JTQLQIEISA-N -1 1 338.330 -0.363 20 0 EBADMM CCO[C@@H]1COCC[C@H]1[N-]S(=O)(=O)N=[S@](C)(=O)N(C)C ZINC000882066600 584582962 /nfs/dbraw/zinc/58/29/62/584582962.db2.gz NZPUDKQOQIHZJV-HQVAUKCESA-N -1 1 329.444 -0.411 20 0 EBADMM CO[C@@]1(C(=O)OCCC[N-]C(=O)C(F)(F)F)CCS(=O)(=O)C1 ZINC000885763225 584652206 /nfs/dbraw/zinc/65/22/06/584652206.db2.gz AWPZWHLUOSUDAV-JTQLQIEISA-N -1 1 347.311 -0.198 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CN1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000739274512 599779531 /nfs/dbraw/zinc/77/95/31/599779531.db2.gz OXJVMAAHPZHXGR-TUAOUCFPSA-N -1 1 348.359 -0.303 20 0 EBADMM O=C([O-])C1CCN(S(=O)(=O)NC[C@@H]2CN3CCC[C@H]3CO2)CC1 ZINC000320757245 599785252 /nfs/dbraw/zinc/78/52/52/599785252.db2.gz JAFMLEYXWANGNB-QWHCGFSZSA-N -1 1 347.437 -0.519 20 0 EBADMM Cc1cc(N2CCN(CCC(=O)NCC(=O)[O-])CC2)n2ncnc2n1 ZINC000738405313 600289365 /nfs/dbraw/zinc/28/93/65/600289365.db2.gz HDZAPTYHEFLISY-UHFFFAOYSA-N -1 1 347.379 -0.854 20 0 EBADMM Cc1nnc(CN2CCN(CCC(=O)N(C)CC(=O)[O-])CC2)n1C ZINC000738932650 600303550 /nfs/dbraw/zinc/30/35/50/600303550.db2.gz WHYOXYOMHRXWNZ-UHFFFAOYSA-N -1 1 338.412 -0.826 20 0 EBADMM O=C([O-])CSCCC(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC000739846163 600622504 /nfs/dbraw/zinc/62/25/04/600622504.db2.gz PBBKFQMOFWKEPP-ZDUSSCGKSA-N -1 1 345.465 -0.283 20 0 EBADMM C[C@@H]1CN2CCC[C@H]2CN1S(=O)(=O)c1cnn(CC(=O)[O-])c1 ZINC000316448670 600748357 /nfs/dbraw/zinc/74/83/57/600748357.db2.gz NVOGGFPOYJQYCV-MNOVXSKESA-N -1 1 328.394 -0.175 20 0 EBADMM C[C@@H](C(=O)N(C)CC(=O)NC1CC1)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000738602914 601941458 /nfs/dbraw/zinc/94/14/58/601941458.db2.gz OBAYXNZQSBYUBK-QWRGUYRKSA-N -1 1 326.397 -0.299 20 0 EBADMM O=C([O-])NC[C@@H]1CCN(CC(=O)NC(=O)NCC(F)(F)F)C1 ZINC000740640345 601954184 /nfs/dbraw/zinc/95/41/84/601954184.db2.gz ADZCYMYGOHFKBP-ZETCQYMHSA-N -1 1 326.275 -0.036 20 0 EBADMM COC(=O)C1CCN(C(=O)CN2CC[C@H](CNC(=O)[O-])C2)CC1 ZINC000739757294 601962200 /nfs/dbraw/zinc/96/22/00/601962200.db2.gz WJOBFBQRNWADAC-LLVKDONJSA-N -1 1 327.381 -0.013 20 0 EBADMM CN1CCN(C)[C@@H](CNC(=O)NCC[C@H]2CCN(C(=O)[O-])C2)C1 ZINC000739735443 602575181 /nfs/dbraw/zinc/57/51/81/602575181.db2.gz QMCLWXIBVDJAQW-STQMWFEESA-N -1 1 327.429 -0.079 20 0 EBADMM CN1CCN(C[C@@H]2CN(C(=O)NCCCNC(=O)[O-])CCO2)CC1 ZINC000739737380 602627977 /nfs/dbraw/zinc/62/79/77/602627977.db2.gz NVOXHNNUDWGLQG-CYBMUJFWSA-N -1 1 343.428 -0.698 20 0 EBADMM CCC[C@@H](NC(=O)[O-])C(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC000739311348 602770982 /nfs/dbraw/zinc/77/09/82/602770982.db2.gz JVZXMCWBQFBQTB-ZIAGYGMSSA-N -1 1 342.440 -0.055 20 0 EBADMM CN(C)CC(=O)N1CCN(C(=O)[C@@H]2CCC[C@H]2NC(=O)[O-])CC1 ZINC000739604047 603020265 /nfs/dbraw/zinc/02/02/65/603020265.db2.gz NUGXGPHAGDTXCX-VXGBXAGGSA-N -1 1 326.397 -0.345 20 0 EBADMM C[C@H](CN1CCN(C)CC1)NC(=O)N[C@@H](CNC(=O)[O-])C1CC1 ZINC000738911139 603088281 /nfs/dbraw/zinc/08/82/81/603088281.db2.gz LBWCZROJBZBMAU-YPMHNXCESA-N -1 1 327.429 -0.032 20 0 EBADMM CCc1cc(CNC(=O)NCC(=O)N2CCN(C(=O)[O-])CC2)n[nH]1 ZINC000739540196 603306957 /nfs/dbraw/zinc/30/69/57/603306957.db2.gz UVJMYKFDQWLKHX-UHFFFAOYSA-N -1 1 338.368 -0.407 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NN=c2nc(OC)cc[nH]2)o1 ZINC000025124510 649935495 /nfs/dbraw/zinc/93/54/95/649935495.db2.gz CBXBKXFVAOQPMT-UHFFFAOYSA-N -1 1 327.322 -0.257 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@H](C)S(C)(=O)=O)cc1 ZINC000051604579 649952257 /nfs/dbraw/zinc/95/22/57/649952257.db2.gz SPKQCSUSIXSQRX-VIFPVBQESA-N -1 1 343.357 -0.072 20 0 EBADMM C[C@@H](C(=O)OCC(=O)N[N-]C(=O)c1cccs1)S(C)(=O)=O ZINC000051607800 649952332 /nfs/dbraw/zinc/95/23/32/649952332.db2.gz SEORKSISFQZJKU-ZETCQYMHSA-N -1 1 334.375 -0.515 20 0 EBADMM CCNS(=O)(=O)CC[N-]S(=O)(=O)c1ccc([N+](=O)[O-])s1 ZINC000068922378 649966804 /nfs/dbraw/zinc/96/68/04/649966804.db2.gz NBQKWCVTMZKKGN-UHFFFAOYSA-N -1 1 343.408 -0.126 20 0 EBADMM C[C@@](O)(CNC(=O)Cn1cnc(-c2nn[n-]n2)n1)c1ccc(F)cc1 ZINC000824669207 608007720 /nfs/dbraw/zinc/00/77/20/608007720.db2.gz AZFHLUBTQMNIJD-CQSZACIVSA-N -1 1 346.326 -0.379 20 0 EBADMM CN(C(=O)CNC(=O)c1ncccc1[O-])[C@H]1CCN2CCO[C@H]1C2 ZINC000452222747 650011493 /nfs/dbraw/zinc/01/14/93/650011493.db2.gz LBOACZSMJBYXGC-AAEUAGOBSA-N -1 1 334.376 -0.552 20 0 EBADMM CCN1CCCN(C(=O)C(=O)N(CCOC)CCC(=O)[O-])CC1 ZINC000904936130 650050003 /nfs/dbraw/zinc/05/00/03/650050003.db2.gz HTIQIENCVNCDAO-UHFFFAOYSA-N -1 1 329.397 -0.510 20 0 EBADMM CC(=O)N1CSC[C@@H]1C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907476715 650083770 /nfs/dbraw/zinc/08/37/70/650083770.db2.gz ROWGSBONZNRDIR-DTWKUNHWSA-N -1 1 328.423 -0.262 20 0 EBADMM CN(C[C@@H]1COc2ccccc2O1)C(=O)[C@@]1(C(=O)[O-])CNCCO1 ZINC000912021674 650199327 /nfs/dbraw/zinc/19/93/27/650199327.db2.gz GHYNLHOKJDFYGI-BDJLRTHQSA-N -1 1 336.344 -0.272 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCCC[C@@H]1C(N)=O ZINC000912540775 650206997 /nfs/dbraw/zinc/20/69/97/650206997.db2.gz CMFCYOMOLAUTTF-IONNQARKSA-N -1 1 330.366 -0.481 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)CO[C@H]1CCOC1 ZINC000912543410 650207652 /nfs/dbraw/zinc/20/76/52/650207652.db2.gz WKLUFRRIXYYFRP-IUCAKERBSA-N -1 1 333.366 -0.331 20 0 EBADMM O=C1[C@H]([N-]S(=O)(=O)[C@@H]2COC[C@H]2O)CN1c1ccc(F)cc1 ZINC000914348605 650258586 /nfs/dbraw/zinc/25/85/86/650258586.db2.gz VZHMRVZKZYHGJL-IJLUTSLNSA-N -1 1 330.337 -0.780 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCOCC2)o1 ZINC000915240216 650266964 /nfs/dbraw/zinc/26/69/64/650266964.db2.gz QSBTZBXUFGDLCR-UHFFFAOYSA-N -1 1 332.334 -0.797 20 0 EBADMM COC1(OC)CCCC[C@H]1[N-]S(=O)(=O)CCS(C)(=O)=O ZINC000918055130 650286519 /nfs/dbraw/zinc/28/65/19/650286519.db2.gz BOQHKGAEWAJQKV-SNVBAGLBSA-N -1 1 329.440 -0.118 20 0 EBADMM C[C@@H]([N-]S(=O)(=O)N=S1(=O)CCCC1)[C@@H]1CN(C)CCN1C ZINC000919982540 650306884 /nfs/dbraw/zinc/30/68/84/650306884.db2.gz SUGFBPYKQYQOGV-NEPJUHHUSA-N -1 1 338.499 -0.283 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-]C[C@@]2(CO)COCCN2C)c1Cl ZINC000921340238 650318101 /nfs/dbraw/zinc/31/81/01/650318101.db2.gz YSWHIZYALQNJFC-LLVKDONJSA-N -1 1 338.817 -0.955 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@@H]2CN(C)CCO2)C1 ZINC000937001230 651529657 /nfs/dbraw/zinc/52/96/57/651529657.db2.gz KBKXIEKHJACLAI-JSGCOSHPSA-N -1 1 348.403 -0.209 20 0 EBADMM C[S@@](=O)CCNC(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000930024367 651578280 /nfs/dbraw/zinc/57/82/80/651578280.db2.gz SWWHWOKWHSTMIF-JOCHJYFZSA-N -1 1 334.344 -0.176 20 0 EBADMM CN(C(=O)CN1CCOCC1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937210902 651679259 /nfs/dbraw/zinc/67/92/59/651679259.db2.gz GUYXSNYZEMZWMQ-CYBMUJFWSA-N -1 1 348.403 -0.208 20 0 EBADMM CN(C(=O)c1nnn(C)n1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216373 651684691 /nfs/dbraw/zinc/68/46/91/651684691.db2.gz AWHOLKQSTKISDZ-SECBINFHSA-N -1 1 331.336 -0.703 20 0 EBADMM CN(C(=O)C[C@@H]1CCNC1=O)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937217873 651686087 /nfs/dbraw/zinc/68/60/87/651686087.db2.gz YTVAILXNJGLQIW-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)[C@@H]1COC(=O)N1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937221117 651686775 /nfs/dbraw/zinc/68/67/75/651686775.db2.gz XYPMLTBBJBRQEF-UWVGGRQHSA-N -1 1 334.332 -0.432 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@H]2COC(=O)N2)C1 ZINC000937288543 651718659 /nfs/dbraw/zinc/71/86/59/651718659.db2.gz ZNJRQMKCIOMBOX-NXEZZACHSA-N -1 1 334.332 -0.432 20 0 EBADMM COCC(=O)N1CC[C@@H](N(C)C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC000936409468 651785233 /nfs/dbraw/zinc/78/52/33/651785233.db2.gz VQCRXHHHPGEYJA-MRVPVSSYSA-N -1 1 340.336 -0.784 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H](NC(=O)c2ccc[nH]2)C1 ZINC000937672676 651874582 /nfs/dbraw/zinc/87/45/82/651874582.db2.gz NACJHBQMPCJLBX-NSHDSACASA-N -1 1 345.359 -0.714 20 0 EBADMM O=C(CC1CCC1)N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000937782278 651924569 /nfs/dbraw/zinc/92/45/69/651924569.db2.gz SKNBHFYNJMTCCF-NSHDSACASA-N -1 1 344.375 -0.062 20 0 EBADMM CN1CC[C@H](C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)C1=O ZINC000937863550 651958681 /nfs/dbraw/zinc/95/86/81/651958681.db2.gz JKAYOJXVFLIGDA-QWRGUYRKSA-N -1 1 332.360 -0.404 20 0 EBADMM O=C(C[C@@H]1CC(=O)NC1=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937864563 651959997 /nfs/dbraw/zinc/95/99/97/651959997.db2.gz SKVKZTINTNYDCT-UWVGGRQHSA-N -1 1 346.343 -0.829 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)[C@H]2CCCNC2=O)C1)c1ncccc1[O-] ZINC000937866088 651960178 /nfs/dbraw/zinc/96/01/78/651960178.db2.gz SSYXEKDCRZVDPT-QWRGUYRKSA-N -1 1 332.360 -0.356 20 0 EBADMM CC1(C(=O)N[C@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)CC1 ZINC000938075163 652068864 /nfs/dbraw/zinc/06/88/64/652068864.db2.gz HLJLEJBXPCPNDB-NSHDSACASA-N -1 1 334.376 -0.556 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCN(C(=O)c2cc[nH]c2)C1 ZINC000938311729 652189862 /nfs/dbraw/zinc/18/98/62/652189862.db2.gz OAYXXVHNQIGYEA-VIFPVBQESA-N -1 1 347.331 -0.131 20 0 EBADMM Cn1[n-]c(CN2CC(N3CCN(C(=O)c4ccoc4)CC3)C2)nc1=O ZINC000941314557 652658293 /nfs/dbraw/zinc/65/82/93/652658293.db2.gz SFFVOYWTQIUSJI-UHFFFAOYSA-N -1 1 346.391 -0.656 20 0 EBADMM CCn1cnc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)c1 ZINC000944193675 652709641 /nfs/dbraw/zinc/70/96/41/652709641.db2.gz ZJBBGJIBLQZHSE-NEPJUHHUSA-N -1 1 347.423 -0.035 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)c2cnn(C)n2)C1 ZINC000938865871 652749056 /nfs/dbraw/zinc/74/90/56/652749056.db2.gz LEPKXOSWAHRXAR-JTQLQIEISA-N -1 1 330.348 -0.098 20 0 EBADMM CN(C(=O)C(F)F)[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000938871820 652751178 /nfs/dbraw/zinc/75/11/78/652751178.db2.gz JVJPMCMOMVQWJL-VIFPVBQESA-N -1 1 344.318 -0.749 20 0 EBADMM CN(C(=O)C1CC1)[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000938872545 652751895 /nfs/dbraw/zinc/75/18/95/652751895.db2.gz ZPZFUCUEIKODRN-GFCCVEGCSA-N -1 1 334.376 -0.604 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1)C1=COCCO1 ZINC000944456826 652770615 /nfs/dbraw/zinc/77/06/15/652770615.db2.gz MGVFAGYCTUCCSW-NSHDSACASA-N -1 1 349.343 -0.367 20 0 EBADMM CC(C)N1CC(N2CCN(C(=O)c3cnc([O-])n(C)c3=O)CC2)C1 ZINC000941513526 652780515 /nfs/dbraw/zinc/78/05/15/652780515.db2.gz HJPCBDRXTIQTMC-UHFFFAOYSA-N -1 1 335.408 -0.664 20 0 EBADMM CC[C@H](F)C(=O)N1CCN(C2CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC000941520025 652783493 /nfs/dbraw/zinc/78/34/93/652783493.db2.gz JQIYOOKGXDNVKH-LBPRGKRZSA-N -1 1 340.403 -0.815 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1)c1cn[nH]c1 ZINC000944491665 652795137 /nfs/dbraw/zinc/79/51/37/652795137.db2.gz MRJMRPOPZISFKO-LLVKDONJSA-N -1 1 331.332 -0.219 20 0 EBADMM CO[C@@H]1CN(C(=O)[C@H]2CCOC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941601546 652825898 /nfs/dbraw/zinc/82/58/98/652825898.db2.gz XAQDSUYIVWBGSC-DMDPSCGWSA-N -1 1 335.360 -0.221 20 0 EBADMM O=C(Cc1cnoc1)N1CCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944560103 652860057 /nfs/dbraw/zinc/86/00/57/652860057.db2.gz IAZSIMQYRHMADF-GFCCVEGCSA-N -1 1 346.343 -0.025 20 0 EBADMM Cc1ccn(CC(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)c(=O)c1 ZINC000939221242 652860475 /nfs/dbraw/zinc/86/04/75/652860475.db2.gz NBCGNDJAAQIENZ-VXGBXAGGSA-N -1 1 346.391 -0.383 20 0 EBADMM O=C(Cc1cc[nH]n1)N1CCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944561832 652861390 /nfs/dbraw/zinc/86/13/90/652861390.db2.gz UTMLNNZHWMVFIC-LBPRGKRZSA-N -1 1 345.359 -0.290 20 0 EBADMM O=C(Cc1ccn[nH]1)N1CCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944561832 652861392 /nfs/dbraw/zinc/86/13/92/652861392.db2.gz UTMLNNZHWMVFIC-LBPRGKRZSA-N -1 1 345.359 -0.290 20 0 EBADMM CCC1(C(=O)N2CC[C@@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)CC1 ZINC000941663900 652865763 /nfs/dbraw/zinc/86/57/63/652865763.db2.gz JRJDZMIYIYFXNS-SNVBAGLBSA-N -1 1 344.375 -0.062 20 0 EBADMM C/C=C(/C)C(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000941664531 652866676 /nfs/dbraw/zinc/86/66/76/652866676.db2.gz WUNFVYYASLEUGO-KSXVILFYSA-N -1 1 330.348 -0.286 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ccon2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000941664352 652866954 /nfs/dbraw/zinc/86/69/54/652866954.db2.gz UVWNYAJYLHLVSZ-MRVPVSSYSA-N -1 1 343.303 -0.950 20 0 EBADMM C[C@H]1CN(C(=O)c2ccc3nncn3c2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939305349 652887954 /nfs/dbraw/zinc/88/79/54/652887954.db2.gz ZEZHHRAFNAJNIP-GXSJLCMTSA-N -1 1 342.363 -0.197 20 0 EBADMM Cc1cnc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)cn1 ZINC000941773095 652905484 /nfs/dbraw/zinc/90/54/84/652905484.db2.gz UCAVMOSLZYQXTJ-CMPLNLGQSA-N -1 1 345.407 -0.153 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1ccc(=O)[nH]n1 ZINC000941789585 652909817 /nfs/dbraw/zinc/90/98/17/652909817.db2.gz MAELBYRPUJYQTI-UWVGGRQHSA-N -1 1 347.379 -0.756 20 0 EBADMM Cc1nonc1C(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC000944652453 652937370 /nfs/dbraw/zinc/93/73/70/652937370.db2.gz GUGZOZFXPXTQSZ-SNVBAGLBSA-N -1 1 347.331 -0.250 20 0 EBADMM Cc1c(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)nnn1C ZINC000941914841 652950560 /nfs/dbraw/zinc/95/05/60/652950560.db2.gz ZPLACPKRBGZCKR-MWLCHTKSSA-N -1 1 348.411 -0.814 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H](NC(=O)c2ccon2)C1 ZINC000939594655 653008950 /nfs/dbraw/zinc/00/89/50/653008950.db2.gz SRVAZUIXRZRDEF-SSDOTTSWSA-N -1 1 349.303 -0.471 20 0 EBADMM CN1CC[C@@H](C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)C1=O ZINC000942221341 653060878 /nfs/dbraw/zinc/06/08/78/653060878.db2.gz MDMFAXMZMMKGGQ-MNOVXSKESA-N -1 1 332.360 -0.404 20 0 EBADMM O=C(CN1CCCC1=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940125009 653084242 /nfs/dbraw/zinc/08/42/42/653084242.db2.gz BTIDSQZQCMEZGX-LLVKDONJSA-N -1 1 332.360 -0.260 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)C2CC(=O)NC(=O)C2)C1)c1ncccc1[O-] ZINC000940132154 653084899 /nfs/dbraw/zinc/08/48/99/653084899.db2.gz OTXGAQOZMFTIPX-SNVBAGLBSA-N -1 1 346.343 -0.829 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)[C@H]2CCCNC2=O)C1)c1ncccc1[O-] ZINC000940139156 653085434 /nfs/dbraw/zinc/08/54/34/653085434.db2.gz SSYXEKDCRZVDPT-MNOVXSKESA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(CN1CN=NC1=O)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000946533262 653145067 /nfs/dbraw/zinc/14/50/67/653145067.db2.gz OPUJIQYEGHBJCH-UHFFFAOYSA-N -1 1 346.347 -0.495 20 0 EBADMM Cc1ncc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)n1C ZINC000942579883 653153275 /nfs/dbraw/zinc/15/32/75/653153275.db2.gz OZBRWQXNXCENGL-JQWIXIFHSA-N -1 1 347.423 -0.209 20 0 EBADMM O=C(Cn1ncnn1)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000946832993 653242945 /nfs/dbraw/zinc/24/29/45/653242945.db2.gz LGIKZROKVCGHJL-UHFFFAOYSA-N -1 1 331.336 -0.805 20 0 EBADMM CCN(C(=O)c1cc(=O)n2[n-]cnc2n1)[C@H]1CCN(C(=O)C2CC2)C1 ZINC000942877248 653247454 /nfs/dbraw/zinc/24/74/54/653247454.db2.gz RZSOTGYWBPXVLK-NSHDSACASA-N -1 1 344.375 -0.109 20 0 EBADMM CCN(C(=O)c1n[nH]c(=O)[n-]c1=O)[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC000942880593 653248444 /nfs/dbraw/zinc/24/84/44/653248444.db2.gz AHNANRXHQHYHQQ-MRVPVSSYSA-N -1 1 347.335 -0.617 20 0 EBADMM CCN(C(=O)[C@H]1CCNC1=O)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000942902154 653254919 /nfs/dbraw/zinc/25/49/19/653254919.db2.gz PWZMKEBDQNJRDR-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(c1ncccc1[O-])N1CCCN(C(=O)[C@@H]2CCC(=O)NC2)CC1 ZINC000940831684 653297520 /nfs/dbraw/zinc/29/75/20/653297520.db2.gz JUCIKGDUYOOKIE-GFCCVEGCSA-N -1 1 346.387 -0.012 20 0 EBADMM O=C(c1ncccc1[O-])N1CCCN(C(=O)[C@@H]2CCCNC2=O)CC1 ZINC000940833215 653298145 /nfs/dbraw/zinc/29/81/45/653298145.db2.gz XQFXHDPKWDDQOB-GFCCVEGCSA-N -1 1 346.387 -0.012 20 0 EBADMM O=C(Cn1cnnn1)N1CCCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000943213823 653311712 /nfs/dbraw/zinc/31/17/12/653311712.db2.gz PSIHETZLIOUJRJ-NSHDSACASA-N -1 1 345.363 -0.415 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2cnns2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947098818 653312865 /nfs/dbraw/zinc/31/28/65/653312865.db2.gz KAMIODLYUNAIDR-RKDXNWHRSA-N -1 1 337.409 -0.257 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H](C)C2)ncn1 ZINC000947210815 653335616 /nfs/dbraw/zinc/33/56/16/653335616.db2.gz JURJWIXTMAULNJ-NEPJUHHUSA-N -1 1 345.407 -0.010 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)C2=CCOCC2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947236692 653344909 /nfs/dbraw/zinc/34/49/09/653344909.db2.gz WFAFEQTZSXLECT-AAEUAGOBSA-N -1 1 335.408 -0.076 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2ncn(C)n2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947277822 653354296 /nfs/dbraw/zinc/35/42/96/653354296.db2.gz UJUFFLIYTUZZIA-ZJUUUORDSA-N -1 1 334.384 -0.980 20 0 EBADMM Cc1cn(C)nc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@H](C)C1 ZINC000947306882 653362643 /nfs/dbraw/zinc/36/26/43/653362643.db2.gz QVOBOGVEKDPFDW-VXGBXAGGSA-N -1 1 347.423 -0.067 20 0 EBADMM Cn1nnc(C(=O)N[C@H]2CCCCN(C(=O)c3ncccc3[O-])C2)n1 ZINC000943446427 653392622 /nfs/dbraw/zinc/39/26/22/653392622.db2.gz APUKSYAZYRDTPX-JTQLQIEISA-N -1 1 345.363 -0.265 20 0 EBADMM Cn1ncc(C(=O)N2CCC(NC(=O)c3ncccc3[O-])CC2)n1 ZINC000947432082 653400627 /nfs/dbraw/zinc/40/06/27/653400627.db2.gz XXUKDMTZLZVPLM-UHFFFAOYSA-N -1 1 330.348 -0.050 20 0 EBADMM O=C(N[C@H]1CC[C@H](NC(=O)C2CC2)CC1)c1cc(=O)n2[n-]cnc2n1 ZINC000943588490 653433355 /nfs/dbraw/zinc/43/33/55/653433355.db2.gz QXQBJFSCSOONNP-XYPYZODXSA-N -1 1 344.375 -0.015 20 0 EBADMM C[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC[C@H]1NC(=O)C1CC1 ZINC000945415912 653444065 /nfs/dbraw/zinc/44/40/65/653444065.db2.gz WPZFLIQKHCYQHA-MWLCHTKSSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(N[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])CC1)[C@H]1CNC(=O)N1 ZINC000943684349 653449405 /nfs/dbraw/zinc/44/94/05/653449405.db2.gz FHMBTWGGXNWVLH-GMTAPVOTSA-N -1 1 347.375 -0.374 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1csnn1 ZINC000943732878 653461702 /nfs/dbraw/zinc/46/17/02/653461702.db2.gz KILMOIPZVHMZGO-LDYMZIIASA-N -1 1 349.372 -0.092 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1cccnn1 ZINC000943748609 653467641 /nfs/dbraw/zinc/46/76/41/653467641.db2.gz CABPZTWCQRIMAP-GHMZBOCLSA-N -1 1 331.380 -0.461 20 0 EBADMM Cn1[n-]c(CN2CC(N3CCN(C(=O)C(C)(C)F)CC3)C2)nc1=O ZINC000941277012 653481001 /nfs/dbraw/zinc/48/10/01/653481001.db2.gz VERORHRAKJLOCG-UHFFFAOYSA-N -1 1 340.403 -0.815 20 0 EBADMM CNC(=O)CN1CC[C@@H](NC(=O)c2c[n-]n3c2nccc3=O)C[C@@H]1C ZINC000947789415 653483362 /nfs/dbraw/zinc/48/33/62/653483362.db2.gz UTFRDAXXZTYSLL-WDEREUQCSA-N -1 1 346.391 -0.649 20 0 EBADMM Cc1cc(C(=O)N2CCC[C@@H]2CNC(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC000943890623 653487662 /nfs/dbraw/zinc/48/76/62/653487662.db2.gz IUVZPSIZDXBTQQ-MRVPVSSYSA-N -1 1 347.335 -0.651 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2ccncn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947824867 653489792 /nfs/dbraw/zinc/48/97/92/653489792.db2.gz LOVOFVSETJHIFE-QWRGUYRKSA-N -1 1 331.380 -0.319 20 0 EBADMM O=C(NC[C@H]1CCCN1C(=O)[C@H]1CCC(=O)N1)c1ncccc1[O-] ZINC000943909773 653491200 /nfs/dbraw/zinc/49/12/00/653491200.db2.gz KVFPOHDYDJTIPX-GHMZBOCLSA-N -1 1 332.360 -0.213 20 0 EBADMM Cc1nonc1CC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@H](C)C1 ZINC000947872419 653495744 /nfs/dbraw/zinc/49/57/44/653495744.db2.gz NEEKTKLVPAMYPK-MWLCHTKSSA-N -1 1 349.395 -0.488 20 0 EBADMM CN1CC[C@H](C(=O)N2CCC(NC(=O)c3ncccc3[O-])CC2)C1=O ZINC000947879582 653497008 /nfs/dbraw/zinc/49/70/08/653497008.db2.gz GRCQRBRMWWAXIQ-LBPRGKRZSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(NC[C@H]1CCCN1C(=O)c1ncccc1[O-])[C@@H]1CNC(=O)N1 ZINC000943976497 653500589 /nfs/dbraw/zinc/50/05/89/653500589.db2.gz LSVHYASXSTZGDK-ZJUUUORDSA-N -1 1 333.348 -0.811 20 0 EBADMM CN1C[C@H](C(=O)NC[C@H]2CCCN2C(=O)c2ncccc2[O-])CC1=O ZINC000943980258 653501043 /nfs/dbraw/zinc/50/10/43/653501043.db2.gz QVFKKPPCMVSHGL-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)Cn2c(=O)[n-][nH]c2=O)CCN1Cc1ccnn1C ZINC000947980975 653508186 /nfs/dbraw/zinc/50/81/86/653508186.db2.gz SLTJZTDBNCLQDS-GHMZBOCLSA-N -1 1 349.395 -0.408 20 0 EBADMM CC(=O)N1CC[C@@H]2[C@@H](CCN2C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000945819518 653509883 /nfs/dbraw/zinc/50/98/83/653509883.db2.gz GKLHNWACQWZHHF-QWHCGFSZSA-N -1 1 334.376 -0.604 20 0 EBADMM CC(=O)N1CC[C@@H]2[C@@H](CCN2C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000945820542 653509912 /nfs/dbraw/zinc/50/99/12/653509912.db2.gz KTMCQTKEMMTWPT-CMPLNLGQSA-N -1 1 330.348 -0.500 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2ccc(=O)[nH]c2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947998727 653510777 /nfs/dbraw/zinc/51/07/77/653510777.db2.gz NWZPSCFPGPZBOQ-PWSUYJOCSA-N -1 1 346.391 -0.008 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2CN(C)CCO2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000948113772 653523833 /nfs/dbraw/zinc/52/38/33/653523833.db2.gz KYHNSHMOTWSRGY-BZPMIXESSA-N -1 1 348.403 -0.306 20 0 EBADMM CCC(=O)N1CC[C@@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)CC[C@@H]21 ZINC000945990568 653529188 /nfs/dbraw/zinc/52/91/88/653529188.db2.gz UNEOHZITFDUBCU-OLZOCXBDSA-N -1 1 348.403 -0.214 20 0 EBADMM O=C(Cn1cnnn1)N[C@H]1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000946113770 653542502 /nfs/dbraw/zinc/54/25/02/653542502.db2.gz KDBISERVVDFWIA-QWRGUYRKSA-N -1 1 345.363 -0.511 20 0 EBADMM CC[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)CC[C@H]1NC(C)=O ZINC000948480946 653548438 /nfs/dbraw/zinc/54/84/38/653548438.db2.gz NIIMFODTHLWLAQ-QWHCGFSZSA-N -1 1 336.392 -0.310 20 0 EBADMM CC(C)Cn1nccc1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000948587712 653561354 /nfs/dbraw/zinc/56/13/54/653561354.db2.gz QYZSSQGLNONBFI-UHFFFAOYSA-N -1 1 347.423 -0.081 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@@H]1COCCN1C ZINC000948618760 653562920 /nfs/dbraw/zinc/56/29/20/653562920.db2.gz GIBYPIJVHSYQBO-UPJWGTAASA-N -1 1 348.403 -0.306 20 0 EBADMM NC(=O)C(=O)N[C@@H]1CCC[C@@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000946302220 653563342 /nfs/dbraw/zinc/56/33/42/653563342.db2.gz DJLIGKYCSWRGGV-MWLCHTKSSA-N -1 1 347.375 -0.440 20 0 EBADMM O=C(NCC1CCN(C(=O)[C@@H]2COC(=O)N2)CC1)c1ncccc1[O-] ZINC000948888783 653579677 /nfs/dbraw/zinc/57/96/77/653579677.db2.gz OFHQAGZURRJWRP-NSHDSACASA-N -1 1 348.359 -0.136 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)[C@H]3CCn4ccnc4C3)CC2)nc1=O ZINC000948948438 653584283 /nfs/dbraw/zinc/58/42/83/653584283.db2.gz INHGQEGCUJVIKC-LBPRGKRZSA-N -1 1 345.407 -0.788 20 0 EBADMM Cn1[n-]c(CN2CCC3(CC2)CN(C(=O)C(F)F)CCO3)nc1=O ZINC000948999034 653587489 /nfs/dbraw/zinc/58/74/89/653587489.db2.gz ZQOPPUQZNUXWHW-UHFFFAOYSA-N -1 1 345.350 -0.433 20 0 EBADMM CN(C)Cc1cc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)no1 ZINC000949019746 653589061 /nfs/dbraw/zinc/58/90/61/653589061.db2.gz XAWZBQIRGQVCDJ-UHFFFAOYSA-N -1 1 349.395 -0.884 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cn4cccnc4n3)CC2)nc1=O ZINC000949087143 653593949 /nfs/dbraw/zinc/59/39/49/653593949.db2.gz DSLKKFCSCVBHQC-UHFFFAOYSA-N -1 1 342.363 -0.891 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H]1CNC(=O)c1cocn1 ZINC000949104295 653594903 /nfs/dbraw/zinc/59/49/03/653594903.db2.gz GKEFVDNHYFMICU-SSDOTTSWSA-N -1 1 349.303 -0.471 20 0 EBADMM COCc1ccc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)o1 ZINC000949193481 653827505 /nfs/dbraw/zinc/82/75/05/653827505.db2.gz YDDWZEAQNIATAT-UHFFFAOYSA-N -1 1 335.364 -0.194 20 0 EBADMM C[C@H](C(N)=O)N1CCOC2(CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC000949349089 653868382 /nfs/dbraw/zinc/86/83/82/653868382.db2.gz RNOPYJMQIYATTM-GFCCVEGCSA-N -1 1 348.403 -0.032 20 0 EBADMM C[C@@H]1CN(C(=O)Cn2nccn2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000949386661 653882174 /nfs/dbraw/zinc/88/21/74/653882174.db2.gz QXRCPACMOHBBQT-GHMZBOCLSA-N -1 1 330.348 -0.344 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1ccc(=O)[nH]n1)c1ncccc1[O-] ZINC000949531121 653921535 /nfs/dbraw/zinc/92/15/35/653921535.db2.gz NBRWZVSMPLPNFE-SECBINFHSA-N -1 1 329.316 -0.073 20 0 EBADMM O=C(C[C@@H]1CCC(=O)N1)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949534676 653922193 /nfs/dbraw/zinc/92/21/93/653922193.db2.gz CPRKADMZUDPLMM-WDEREUQCSA-N -1 1 332.360 -0.213 20 0 EBADMM C[C@@H](C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-])n1cncn1 ZINC000949535159 653922203 /nfs/dbraw/zinc/92/22/03/653922203.db2.gz ORJOWXJKJQZAPT-QWRGUYRKSA-N -1 1 330.348 -0.029 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)[C@H]1CCNC(=O)C1)c1ncccc1[O-] ZINC000949535708 653922849 /nfs/dbraw/zinc/92/28/49/653922849.db2.gz YQEFGIJMKVFLOV-WDEREUQCSA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1cn[nH]c(=O)c1)c1ncccc1[O-] ZINC000949538335 653923136 /nfs/dbraw/zinc/92/31/36/653923136.db2.gz IAPBIQRQFMUFLT-JTQLQIEISA-N -1 1 329.316 -0.073 20 0 EBADMM CN1CC[C@@H](CC(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])C1=O ZINC000949539050 653923632 /nfs/dbraw/zinc/92/36/32/653923632.db2.gz WXKBYZHNCDEYSS-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@H]1CCN(C(=O)C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])C1 ZINC000949538913 653923751 /nfs/dbraw/zinc/92/37/51/653923751.db2.gz UBLZYMGNGTXFFK-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM CCN(C(=O)c1ccncc1F)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000949813037 653978928 /nfs/dbraw/zinc/97/89/28/653978928.db2.gz UDQNPMPEKWCMLT-UHFFFAOYSA-N -1 1 334.355 -0.011 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN([C@H]2CCC(=O)NC2=O)C1 ZINC000949952155 654008562 /nfs/dbraw/zinc/00/85/62/654008562.db2.gz JCJVAJCKVQBTHZ-NSHDSACASA-N -1 1 332.360 -0.261 20 0 EBADMM CCN(C(=O)c1ccnn1CC)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000949956747 654009570 /nfs/dbraw/zinc/00/95/70/654009570.db2.gz YTYRPLRIFQWCEN-UHFFFAOYSA-N -1 1 333.396 -0.329 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1ccn[nH]1)c1c[n-]n2c1nccc2=O ZINC000950042542 654024435 /nfs/dbraw/zinc/02/44/35/654024435.db2.gz LBZMTYFSZGSLOQ-VIFPVBQESA-N -1 1 341.331 -0.610 20 0 EBADMM CC1(C(=O)N[C@@H]2CCCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)CC1 ZINC000951362050 654104067 /nfs/dbraw/zinc/10/40/67/654104067.db2.gz IJCAGWDBJMPBDP-GFCCVEGCSA-N -1 1 348.403 -0.166 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H]1CNC(=O)c1cc[nH]c1 ZINC000950382474 654112938 /nfs/dbraw/zinc/11/29/38/654112938.db2.gz MYYUFGBNNPDUGF-GFCCVEGCSA-N -1 1 345.359 -0.714 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@@H](NC(=O)C(F)F)C1 ZINC000951409033 654118683 /nfs/dbraw/zinc/11/86/83/654118683.db2.gz YWVKUCVDMYSILQ-ZCFIWIBFSA-N -1 1 346.290 -0.118 20 0 EBADMM Cc1cc(CCC(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)no1 ZINC000950437245 654132756 /nfs/dbraw/zinc/13/27/56/654132756.db2.gz NMNVSWDZPHXZST-UHFFFAOYSA-N -1 1 334.380 -0.318 20 0 EBADMM Cc1nc(C(=O)N[C@H]2CCCN(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)c[nH]1 ZINC000951510772 654165397 /nfs/dbraw/zinc/16/53/97/654165397.db2.gz SRTFRJLLSFWNPC-VIFPVBQESA-N -1 1 349.351 -0.858 20 0 EBADMM Cc1nc(CN2CCN(C(=O)c3c[n-]n4c3nccc4=O)CC2)n[nH]1 ZINC000951549668 654190439 /nfs/dbraw/zinc/19/04/39/654190439.db2.gz BVBKXOOWRDXUOH-UHFFFAOYSA-N -1 1 342.363 -0.593 20 0 EBADMM CC(C)c1cc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)[nH]n1 ZINC000950638035 654205342 /nfs/dbraw/zinc/20/53/42/654205342.db2.gz JPGNAPASMJATSK-UHFFFAOYSA-N -1 1 333.396 -0.087 20 0 EBADMM Cn1nncc1C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000950652092 654209438 /nfs/dbraw/zinc/20/94/38/654209438.db2.gz LDHNMIWDVXDNES-JTQLQIEISA-N -1 1 330.348 -0.050 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cc(C4CC4)n[nH]3)CC2)nc1=O ZINC000950671893 654216542 /nfs/dbraw/zinc/21/65/42/654216542.db2.gz UAMSORSMDULDQB-UHFFFAOYSA-N -1 1 331.380 -0.333 20 0 EBADMM CCN(C(=O)c1c[nH]c(=O)cc1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000951730690 654260916 /nfs/dbraw/zinc/26/09/16/654260916.db2.gz YEJFUSGYDVMMAQ-UHFFFAOYSA-N -1 1 346.391 -0.136 20 0 EBADMM Cc1oncc1CN1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000951777183 654279305 /nfs/dbraw/zinc/27/93/05/654279305.db2.gz AQWAQKBCAXCIGN-UHFFFAOYSA-N -1 1 347.375 -0.432 20 0 EBADMM O=C(N[C@H]1CCCN(C(=O)[C@@H]2CNC(=O)N2)C1)c1ncccc1[O-] ZINC000951836433 654304227 /nfs/dbraw/zinc/30/42/27/654304227.db2.gz GRSMRMBQLAZFIQ-UWVGGRQHSA-N -1 1 333.348 -0.811 20 0 EBADMM O=C(Cn1nccn1)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000950936604 654317233 /nfs/dbraw/zinc/31/72/33/654317233.db2.gz VHXOCXJYTIRYQH-LLVKDONJSA-N -1 1 330.348 -0.200 20 0 EBADMM C[C@H](CC(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1)n1ccnc1 ZINC000950962635 654327365 /nfs/dbraw/zinc/32/73/65/654327365.db2.gz UEAZFAATDCEASW-GFCCVEGCSA-N -1 1 333.396 -0.400 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)NC[C@@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000952202691 654424352 /nfs/dbraw/zinc/42/43/52/654424352.db2.gz RMZMWUPUZFANDY-OUAUKWLOSA-N -1 1 344.375 -0.206 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCN1C(=O)[C@H]1C[C@@H]1C ZINC000951223115 654424604 /nfs/dbraw/zinc/42/46/04/654424604.db2.gz YTQVNNZFUWOZHX-CIUDSAMLSA-N -1 1 336.348 -0.117 20 0 EBADMM CC1(C)C[C@@H]1C(=O)N1CC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951224533 654425783 /nfs/dbraw/zinc/42/57/83/654425783.db2.gz HTDSCGDPZHXCTD-NXEZZACHSA-N -1 1 344.375 -0.206 20 0 EBADMM CC1CC(C(=O)N2CC[C@@H]2CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000951225092 654426241 /nfs/dbraw/zinc/42/62/41/654426241.db2.gz VISNQHNRKDGNJP-VQXHTEKXSA-N -1 1 344.375 -0.206 20 0 EBADMM CCn1ncc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c1C ZINC000952379100 654450568 /nfs/dbraw/zinc/45/05/68/654450568.db2.gz CAFUVMKNOAFEQU-UHFFFAOYSA-N -1 1 333.396 -0.409 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H]1CNC(=O)c1cnco1 ZINC000951279318 654450664 /nfs/dbraw/zinc/45/06/64/654450664.db2.gz GYWWHCICASFQNI-ZETCQYMHSA-N -1 1 349.303 -0.471 20 0 EBADMM CC(=O)N1CC[C@]2(CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)C1 ZINC000953017608 654515699 /nfs/dbraw/zinc/51/56/99/654515699.db2.gz OZHJOMOPCSJGNR-INIZCTEOSA-N -1 1 334.376 -0.602 20 0 EBADMM CCC(=O)N1CC[C@@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)[C@@H]2C1 ZINC000953222709 654545210 /nfs/dbraw/zinc/54/52/10/654545210.db2.gz DCRLMWYLZYAPBD-ZYHUDNBSSA-N -1 1 344.375 -0.109 20 0 EBADMM CC(=O)N[C@H](C)[C@H]1CCCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000953629774 654600561 /nfs/dbraw/zinc/60/05/61/654600561.db2.gz CSHMEQVQMPDVLY-DGCLKSJQSA-N -1 1 336.392 -0.168 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(N(C)C(=O)c2ccc[nH]2)C1 ZINC000953716246 654615525 /nfs/dbraw/zinc/61/55/25/654615525.db2.gz OIKAWJORYDHSNI-UHFFFAOYSA-N -1 1 347.331 -0.179 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CCN(C(=O)Cn2cnnn2)CC1 ZINC000953887726 654648293 /nfs/dbraw/zinc/64/82/93/654648293.db2.gz CDVWIJSNQFHFDI-UHFFFAOYSA-N -1 1 345.363 -0.463 20 0 EBADMM CN(C(=O)[C@H]1COC(=O)N1)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000953905623 654651959 /nfs/dbraw/zinc/65/19/59/654651959.db2.gz DURCUWCGPFDYRO-LLVKDONJSA-N -1 1 348.359 -0.041 20 0 EBADMM CN(C(=O)C1CC=CC1)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000953932432 654659408 /nfs/dbraw/zinc/65/94/08/654659408.db2.gz NLQCTLLCHNJNMM-UHFFFAOYSA-N -1 1 346.387 -0.438 20 0 EBADMM C[C@H](C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1)n1cncn1 ZINC000954100543 654685882 /nfs/dbraw/zinc/68/58/82/654685882.db2.gz UWJWEUZVBADCOS-SNVBAGLBSA-N -1 1 330.348 -0.077 20 0 EBADMM Cc1ccc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)nn1 ZINC000966049809 724561970 /nfs/dbraw/zinc/56/19/70/724561970.db2.gz SXVGXEIYMOYHAF-GWCFXTLKSA-N -1 1 345.407 -0.153 20 0 EBADMM Cc1nc(CC(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)CC[C@H]2C)n[nH]1 ZINC000966045566 724561535 /nfs/dbraw/zinc/56/15/35/724561535.db2.gz IJNUZODTRBVPCZ-PSASIEDQSA-N -1 1 334.384 -0.494 20 0 EBADMM Cc1ncc(C(=O)N2C[C@H](C)[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC000966236309 724594402 /nfs/dbraw/zinc/59/44/02/724594402.db2.gz BCUOEBULZRLNKN-IMTBSYHQSA-N -1 1 347.335 -0.795 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC000954127967 719570463 /nfs/dbraw/zinc/57/04/63/719570463.db2.gz NBRJJLRHLOHVOF-MNOVXSKESA-N -1 1 346.387 -0.028 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC000954127887 719571136 /nfs/dbraw/zinc/57/11/36/719571136.db2.gz KNXOOLOAWZRWPW-NSHDSACASA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)c2cc(=O)n(C)cn2)C1 ZINC000954127978 719571512 /nfs/dbraw/zinc/57/15/12/719571512.db2.gz NRHOTHDKDRQBDX-UHFFFAOYSA-N -1 1 343.343 -0.523 20 0 EBADMM CN(C(=O)C1CS(=O)(=O)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954367766 719695860 /nfs/dbraw/zinc/69/58/60/719695860.db2.gz KGIWMXJZTLELOD-UHFFFAOYSA-N -1 1 339.373 -0.885 20 0 EBADMM CN(C(=O)[C@H]1CC(=O)N(C)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954489277 719743559 /nfs/dbraw/zinc/74/35/59/719743559.db2.gz LQJDMCXITALPRH-JTQLQIEISA-N -1 1 332.360 -0.452 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C)C1 ZINC000966343863 724618550 /nfs/dbraw/zinc/61/85/50/724618550.db2.gz DBNDETYWIDFWKY-GWCFXTLKSA-N -1 1 346.387 -0.158 20 0 EBADMM CCC(=O)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1C ZINC000955448246 720178645 /nfs/dbraw/zinc/17/86/45/720178645.db2.gz NGTIPGXKBPHWLK-UWVGGRQHSA-N -1 1 332.364 -0.063 20 0 EBADMM O=C(NC[C@@H]1CCCN1C(=O)C1CC1)c1cc(=O)n2[n-]cnc2n1 ZINC000955843883 720279474 /nfs/dbraw/zinc/27/94/74/720279474.db2.gz XHUWKQJGRXWRDG-JTQLQIEISA-N -1 1 330.348 -0.452 20 0 EBADMM O=C(NC[C@@H]1CCCN1C(=O)c1ncccc1[O-])[C@H]1CNC(=O)N1 ZINC000955978614 720314590 /nfs/dbraw/zinc/31/45/90/720314590.db2.gz LSVHYASXSTZGDK-VHSXEESVSA-N -1 1 333.348 -0.811 20 0 EBADMM Cn1nncc1C(=O)NC[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000955979382 720315296 /nfs/dbraw/zinc/31/52/96/720315296.db2.gz HZHKERLSXTTWEE-JTQLQIEISA-N -1 1 330.348 -0.050 20 0 EBADMM CN1CC[C@@H](C(=O)NC[C@@H]2CCCN2C(=O)c2ncccc2[O-])C1=O ZINC000955979456 720315367 /nfs/dbraw/zinc/31/53/67/720315367.db2.gz KDVFFPNLXXHTJK-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(NC[C@@H]1CCCN1C(=O)c1ncccc1[O-])[C@H]1COC(=O)N1 ZINC000955979576 720315608 /nfs/dbraw/zinc/31/56/08/720315608.db2.gz PFQDYZSEQCEHJP-VHSXEESVSA-N -1 1 334.332 -0.384 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000956227507 720401799 /nfs/dbraw/zinc/40/17/99/720401799.db2.gz PPYGZSLAJDNITP-AXFHLTTASA-N -1 1 332.360 -0.371 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCCN2C(=O)CCc2c[nH]nn2)c1[O-] ZINC000956234586 720403807 /nfs/dbraw/zinc/40/38/07/720403807.db2.gz WHVDZXBETQEXOS-NSHDSACASA-N -1 1 347.379 -0.105 20 0 EBADMM CN1CCO[C@@H](C(=O)N[C@@]2(C)CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000956793199 720497113 /nfs/dbraw/zinc/49/71/13/720497113.db2.gz YVHKYSPBZUSZEN-DYVFJYSZSA-N -1 1 348.403 -0.161 20 0 EBADMM CN1CCO[C@@H](C(=O)N[C@]2(C)CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000956793198 720497219 /nfs/dbraw/zinc/49/72/19/720497219.db2.gz YVHKYSPBZUSZEN-CXAGYDPISA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1NC(=O)C1CCC1 ZINC000966613442 724698511 /nfs/dbraw/zinc/69/85/11/724698511.db2.gz TUTCDKQGDYDSNF-SKDRFNHKSA-N -1 1 344.375 -0.206 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H](NC(=O)C(F)F)[C@@H](C)C1 ZINC000966611380 724698322 /nfs/dbraw/zinc/69/83/22/724698322.db2.gz ORQZZEQZIKLEEZ-WDSKDSINSA-N -1 1 346.290 -0.262 20 0 EBADMM C[C@H]1CN(C(=O)c2c[nH]c(=O)cn2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966742380 724735267 /nfs/dbraw/zinc/73/52/67/724735267.db2.gz QWHZWEPLRYDGJR-ONGXEEELSA-N -1 1 343.343 -0.239 20 0 EBADMM C[C@H]1CN(C(=O)Cc2nnc[nH]2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966856955 724767057 /nfs/dbraw/zinc/76/70/57/724767057.db2.gz SLBFDBQMQDKSOH-VHSXEESVSA-N -1 1 330.348 -0.275 20 0 EBADMM C[C@H]1CN(C(=O)Cn2cncn2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966851235 724764594 /nfs/dbraw/zinc/76/45/94/724764594.db2.gz JVKXAGWYTAAYGY-QWRGUYRKSA-N -1 1 330.348 -0.344 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cnc[nH]c1=O ZINC000966896587 724778456 /nfs/dbraw/zinc/77/84/56/724778456.db2.gz UGPSXDDAQUSBJM-MWLCHTKSSA-N -1 1 347.379 -0.756 20 0 EBADMM Cn1cc(C(=O)N2CC[C@@](C)(NC(=O)c3ncccc3[O-])C2)nn1 ZINC000956848700 722119046 /nfs/dbraw/zinc/11/90/46/722119046.db2.gz DTXHQRUIPWFVQI-OAHLLOKOSA-N -1 1 330.348 -0.050 20 0 EBADMM Cn1nncc1C(=O)N1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956848863 722119115 /nfs/dbraw/zinc/11/91/15/722119115.db2.gz GUBNZPDIPVWNEM-OAHLLOKOSA-N -1 1 330.348 -0.050 20 0 EBADMM Cn1cc(C(=O)N[C@@]2(C)CCN(C(=O)c3ncccc3[O-])C2)nn1 ZINC000956897600 722131606 /nfs/dbraw/zinc/13/16/06/722131606.db2.gz LMTIDIDJQMAFNQ-HNNXBMFYSA-N -1 1 330.348 -0.050 20 0 EBADMM CCN(C(=O)c1ncccc1F)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000957039192 722147107 /nfs/dbraw/zinc/14/71/07/722147107.db2.gz UQOPZLXLKRLBDZ-UHFFFAOYSA-N -1 1 334.355 -0.011 20 0 EBADMM CCN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C[C@@H](C)OC)C1 ZINC000957134433 722162079 /nfs/dbraw/zinc/16/20/79/722162079.db2.gz NFPNJBYLAXBJNP-SNVBAGLBSA-N -1 1 334.380 -0.401 20 0 EBADMM C[C@@]1(NC(=O)[C@@H]2CCNC2=O)CCN(C(=O)c2ncccc2[O-])C1 ZINC000957269603 722182040 /nfs/dbraw/zinc/18/20/40/722182040.db2.gz JKFRTFATZLKIOP-QLJPJBMISA-N -1 1 332.360 -0.356 20 0 EBADMM CCn1cc(CC(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cn1 ZINC000957289208 722189906 /nfs/dbraw/zinc/18/99/06/722189906.db2.gz SNDFUKARFWCHHV-UHFFFAOYSA-N -1 1 333.396 -0.788 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCN(C[C@@H]2CCCCO2)CC1 ZINC000957319558 722195468 /nfs/dbraw/zinc/19/54/68/722195468.db2.gz SEGOTNCXLSIUCS-LBPRGKRZSA-N -1 1 346.391 -0.256 20 0 EBADMM CCc1ncncc1C(=O)N(CC)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000957399623 722205663 /nfs/dbraw/zinc/20/56/63/722205663.db2.gz PIUDUANYPXSLQZ-UHFFFAOYSA-N -1 1 345.407 -0.193 20 0 EBADMM CCN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)Cn2ncnn2)CC1 ZINC000957713166 722235469 /nfs/dbraw/zinc/23/54/69/722235469.db2.gz PUQPJPFFXMYWIZ-UHFFFAOYSA-N -1 1 349.399 -0.743 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3noc4c3COCC4)CC2)nc1=O ZINC000957719139 722235986 /nfs/dbraw/zinc/23/59/86/722235986.db2.gz JMKIYNNULMMPNF-UHFFFAOYSA-N -1 1 348.363 -0.873 20 0 EBADMM Cn1[n-]c(CN2C[C@H](O)[C@H](CNC(=O)c3ccc(F)cc3)C2)nc1=O ZINC000957813136 722244801 /nfs/dbraw/zinc/24/48/01/722244801.db2.gz XFLZRMHJCFONPP-YPMHNXCESA-N -1 1 349.366 -0.530 20 0 EBADMM Cc1ccc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)cn1 ZINC000957856000 722253145 /nfs/dbraw/zinc/25/31/45/722253145.db2.gz VXEUKNZAUMMEKY-STQMWFEESA-N -1 1 346.391 -0.965 20 0 EBADMM Cc1cccnc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC000957970817 722279362 /nfs/dbraw/zinc/27/93/62/722279362.db2.gz OETXSQRVDVBFSC-NEPJUHHUSA-N -1 1 346.391 -0.965 20 0 EBADMM Cn1nncc1CN1C[C@@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957990418 722283941 /nfs/dbraw/zinc/28/39/41/722283941.db2.gz MPPHMGBFRXRMSE-GXFFZTMASA-N -1 1 332.364 -0.862 20 0 EBADMM Cc1oncc1C[N@H+]1C[C@@H](O)[C@@H](CNC(=O)c2cnc[nH]c2=O)C1 ZINC000958168054 722317396 /nfs/dbraw/zinc/31/73/96/722317396.db2.gz SGVNEGHEGGSWAA-WCQYABFASA-N -1 1 333.348 -0.299 20 0 EBADMM Cc1cc(C[N@H+]2C[C@@H](CNC(=O)c3cnc[nH]c3=O)[C@H](O)C2)ncn1 ZINC000958167988 722317421 /nfs/dbraw/zinc/31/74/21/722317421.db2.gz RSRJZEWYKAYNIJ-BXUZGUMPSA-N -1 1 344.375 -0.497 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](CNC(=O)C34CCC(CC3)C4)[C@H](O)C2)nc1=O ZINC000958184264 722320441 /nfs/dbraw/zinc/32/04/41/722320441.db2.gz XRLGLWXNBJKCEN-SBUZTJRASA-N -1 1 349.435 -0.402 20 0 EBADMM O=C(C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccccc1O)N1CCOCC1 ZINC000958278967 722336575 /nfs/dbraw/zinc/33/65/75/722336575.db2.gz HSEZFUSXPAKAPD-HALDLXJZSA-N -1 1 345.399 -0.089 20 0 EBADMM Cc1coc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c1 ZINC000958323408 722346127 /nfs/dbraw/zinc/34/61/27/722346127.db2.gz BEDFMRREZAHOOR-QWRGUYRKSA-N -1 1 335.364 -0.767 20 0 EBADMM Cc1coc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c1 ZINC000958323406 722346149 /nfs/dbraw/zinc/34/61/49/722346149.db2.gz BEDFMRREZAHOOR-GHMZBOCLSA-N -1 1 335.364 -0.767 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2[C@H]3CN([C@H](C)C(=O)NC4CC4)C[C@H]32)c1[O-] ZINC000958585996 722395970 /nfs/dbraw/zinc/39/59/70/722395970.db2.gz BZDCEIAHINCOEQ-YJQGPUDQSA-N -1 1 333.392 -0.249 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)n1cccc1 ZINC000958586955 722396189 /nfs/dbraw/zinc/39/61/89/722396189.db2.gz MXFCBADYWOPPHW-CIQGVGRVSA-N -1 1 330.392 -0.283 20 0 EBADMM CN(C(=O)Cn1cccn1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958596441 722398988 /nfs/dbraw/zinc/39/89/88/722398988.db2.gz GVQVXAWYHDRNBV-AAEUAGOBSA-N -1 1 345.359 -0.672 20 0 EBADMM C[C@H](c1nnnn1C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000958641942 722408609 /nfs/dbraw/zinc/40/86/09/722408609.db2.gz CNMSNSSFGJRTAU-SVDPJWKOSA-N -1 1 329.364 -0.268 20 0 EBADMM CN(C(=O)c1cc[nH]n1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958642221 722408810 /nfs/dbraw/zinc/40/88/10/722408810.db2.gz YECGSUHGIHURLN-JQWIXIFHSA-N -1 1 331.332 -0.532 20 0 EBADMM CN(C(=O)c1ccn[nH]1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958642221 722408811 /nfs/dbraw/zinc/40/88/11/722408811.db2.gz YECGSUHGIHURLN-JQWIXIFHSA-N -1 1 331.332 -0.532 20 0 EBADMM CN(C(=O)[C@@H]1CCCCO1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958825071 722600196 /nfs/dbraw/zinc/60/01/96/722600196.db2.gz SQHHZHYRUSNDOI-UBHSHLNASA-N -1 1 349.387 0.000 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)C[C@@H]1O ZINC000958830161 722603956 /nfs/dbraw/zinc/60/39/56/722603956.db2.gz HWZULKFPYXBJFI-GGFUIZRSSA-N -1 1 347.371 -0.677 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)[C@@H]2CCCOC2)C[C@@H]1O ZINC000958830315 722604169 /nfs/dbraw/zinc/60/41/69/722604169.db2.gz PMCUPAAHJZKEQZ-DYEKYZERSA-N -1 1 349.387 -0.143 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)c2cocn2)C[C@@H]1O ZINC000958830500 722604500 /nfs/dbraw/zinc/60/45/00/722604500.db2.gz XDQNBLMATFZSNP-JQWIXIFHSA-N -1 1 332.316 -0.267 20 0 EBADMM Cc1c(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)nn2c1OCCC2 ZINC001018943065 728751941 /nfs/dbraw/zinc/75/19/41/728751941.db2.gz GRCROIQWSKIVHA-JTQLQIEISA-N -1 1 347.379 -0.198 20 0 EBADMM CN(C(=O)[C@]12C[C@H]1COC2)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958864649 722625887 /nfs/dbraw/zinc/62/58/87/722625887.db2.gz RTRKBEDRBMVNEQ-BTIUKSQHSA-N -1 1 347.371 -0.533 20 0 EBADMM C[C@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)[C@H]1CCN(C(=O)C(N)=O)C1 ZINC000959268420 722707052 /nfs/dbraw/zinc/70/70/52/722707052.db2.gz QSUGRTIIFMGSQS-WPRPVWTQSA-N -1 1 347.375 -0.488 20 0 EBADMM CO[C@H](C)C(=O)N1CCO[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC000960090317 722791473 /nfs/dbraw/zinc/79/14/73/722791473.db2.gz HIAPJNSOZFBNRG-NTZNESFSSA-N -1 1 335.360 -0.126 20 0 EBADMM CN1CC[C@@H](C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)C1=O ZINC000960100579 722792866 /nfs/dbraw/zinc/79/28/66/722792866.db2.gz LWQVCLVUOPOZQV-WDEREUQCSA-N -1 1 336.396 -0.541 20 0 EBADMM CO[C@H](C)C(=O)N1C[C@H]2OCCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC000960276886 722813336 /nfs/dbraw/zinc/81/33/36/722813336.db2.gz KNEKLBJTCOUYHM-NTZNESFSSA-N -1 1 335.360 -0.126 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)C1CCOCC1 ZINC000960359266 722822922 /nfs/dbraw/zinc/82/29/22/722822922.db2.gz YLRUFJOGQVDPCO-ZDUSSCGKSA-N -1 1 337.424 -0.042 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)Cn1cccn1 ZINC000960417043 722828178 /nfs/dbraw/zinc/82/81/78/722828178.db2.gz NSWPDXABBYGSMS-GFCCVEGCSA-N -1 1 333.396 -0.572 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)CCn1cncn1 ZINC000960437026 722829404 /nfs/dbraw/zinc/82/94/04/722829404.db2.gz VUUSWMIOJKINHO-GFCCVEGCSA-N -1 1 348.411 -0.787 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)CCn1ccnn1 ZINC000960595385 722842645 /nfs/dbraw/zinc/84/26/45/722842645.db2.gz FRXJDVIUTKSLIG-GFCCVEGCSA-N -1 1 348.411 -0.787 20 0 EBADMM CCc1cnccc1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000960671089 722851512 /nfs/dbraw/zinc/85/15/12/722851512.db2.gz CXMCJLCTLIKZDN-NHAGDIPZSA-N -1 1 342.403 -0.074 20 0 EBADMM Cc1cnc2nc(C(=O)N3CC[C@H](NCc4n[nH]c(=O)[n-]4)C3)nn2c1 ZINC001019015566 728774089 /nfs/dbraw/zinc/77/40/89/728774089.db2.gz CSUZYFYDOSWCNH-VIFPVBQESA-N -1 1 343.351 -0.739 20 0 EBADMM Cc1nc[nH]c1CC(=O)N1CCC[C@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC000960993602 722885967 /nfs/dbraw/zinc/88/59/67/722885967.db2.gz XLUOLUYEIIPGPZ-LBPRGKRZSA-N -1 1 347.423 -0.195 20 0 EBADMM Cc1nccc(C(=O)N2CCC[C@H]2CN(C)Cc2nc(=O)n(C)[n-]2)n1 ZINC000961025210 722888528 /nfs/dbraw/zinc/88/85/28/722888528.db2.gz LPWBEBAMGCVCHH-LBPRGKRZSA-N -1 1 345.407 -0.057 20 0 EBADMM CC(C)C(=O)N1C[C@@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@@H]2C1 ZINC000961034689 722889252 /nfs/dbraw/zinc/88/92/52/722889252.db2.gz JYQFPJICUDSINR-PHIMTYICSA-N -1 1 344.375 -0.396 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)C1CCOCC1 ZINC000961120546 722902274 /nfs/dbraw/zinc/90/22/74/722902274.db2.gz QKYXCJQMBGTBSD-YPMHNXCESA-N -1 1 335.360 -0.485 20 0 EBADMM NC(=O)CC(=O)N1CCC[C@@H]([C@@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC000961125512 722903332 /nfs/dbraw/zinc/90/33/32/722903332.db2.gz WLKVDXNPSDGWHF-GHMZBOCLSA-N -1 1 336.396 -0.554 20 0 EBADMM NC(=O)CC(=O)N1CCC[C@H]([C@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC000961125515 722903388 /nfs/dbraw/zinc/90/33/88/722903388.db2.gz WLKVDXNPSDGWHF-QWRGUYRKSA-N -1 1 336.396 -0.554 20 0 EBADMM Cc1cnc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)cn1 ZINC000961320787 722929574 /nfs/dbraw/zinc/92/95/74/722929574.db2.gz MUWNDBCNBLMJEW-YPMHNXCESA-N -1 1 343.343 -0.499 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@H]2CN(C(=O)[C@@H]3COC(=O)N3)C[C@H]2C1 ZINC000961421979 723016662 /nfs/dbraw/zinc/01/66/62/723016662.db2.gz OKZAMTTVRLSHKE-AXFHLTTASA-N -1 1 346.343 -0.574 20 0 EBADMM O=C(c1nc[nH]n1)N1C[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C1 ZINC000961427166 723017547 /nfs/dbraw/zinc/01/75/47/723017547.db2.gz LHLQBZLIDUSNIE-AOOOYVTPSA-N -1 1 328.332 -0.251 20 0 EBADMM COc1ccnc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)c1 ZINC000961469049 723023987 /nfs/dbraw/zinc/02/39/87/723023987.db2.gz PKWQAPPHTSVJNE-YABSGUDNSA-N -1 1 344.375 -0.628 20 0 EBADMM Cc1nnsc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000961488500 723027747 /nfs/dbraw/zinc/02/77/47/723027747.db2.gz WJTDWPXBEXBTKB-SCZZXKLOSA-N -1 1 349.372 -0.438 20 0 EBADMM O=C(C[C@H]1CCOC1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000961998141 723143298 /nfs/dbraw/zinc/14/32/98/723143298.db2.gz XUNASHUCQKXPQS-WZRBSPASSA-N -1 1 335.360 -0.485 20 0 EBADMM Cc1cnc(CNCC2CC(NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC000962038024 723155373 /nfs/dbraw/zinc/15/53/73/723155373.db2.gz FMWGCDRBTLNIOS-UHFFFAOYSA-N -1 1 334.336 -0.123 20 0 EBADMM O=C(NC1CC(CNCc2nncs2)C1)c1n[nH]c(=O)[n-]c1=O ZINC000962040542 723156250 /nfs/dbraw/zinc/15/62/50/723156250.db2.gz SOAOFRSMUSVARU-UHFFFAOYSA-N -1 1 337.365 -0.568 20 0 EBADMM Cc1ncc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)c(C)n1 ZINC000962069058 723164690 /nfs/dbraw/zinc/16/46/90/723164690.db2.gz UDMRGXRBYZURLU-IMRBUKKESA-N -1 1 343.391 -0.625 20 0 EBADMM CCc1nocc1CN[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001019094647 728794630 /nfs/dbraw/zinc/79/46/30/728794630.db2.gz FRHGZGASUGTDOR-VIFPVBQESA-N -1 1 334.336 -0.163 20 0 EBADMM C[C@@H](N[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1)c1cnccn1 ZINC001019094669 728794759 /nfs/dbraw/zinc/79/47/59/728794759.db2.gz GWFCRFPEFSEYOL-BDAKNGLRSA-N -1 1 331.336 -0.362 20 0 EBADMM Cc1nnc(CNCC2CC(NC(=O)c3cnc([O-])n(C)c3=O)C2)[nH]1 ZINC000962159136 723183376 /nfs/dbraw/zinc/18/33/76/723183376.db2.gz XMFUEDLPHBSNJB-UHFFFAOYSA-N -1 1 347.379 -0.789 20 0 EBADMM Cn1cnc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)c1 ZINC000962298609 723220840 /nfs/dbraw/zinc/22/08/40/723220840.db2.gz FIXKFKUPFQWOBW-SKDRFNHKSA-N -1 1 331.332 -0.864 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)ncn1 ZINC000962324066 723227232 /nfs/dbraw/zinc/22/72/32/723227232.db2.gz QVJUQTVPSAXYNZ-YPMHNXCESA-N -1 1 343.343 -0.499 20 0 EBADMM COc1cccnc1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000962407615 723242674 /nfs/dbraw/zinc/24/26/74/723242674.db2.gz BJTRIMCEJRHBFP-IWIIMEHWSA-N -1 1 344.375 -0.628 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ccc(F)nc2)C[C@@H]1O)c1ncccc1[O-] ZINC000962434895 723251818 /nfs/dbraw/zinc/25/18/18/723251818.db2.gz MGTWARSVDZUVTN-PWSUYJOCSA-N -1 1 346.318 -0.063 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2cnns2)C[C@@H]1O)c1ncccc1[O-] ZINC000962435270 723251921 /nfs/dbraw/zinc/25/19/21/723251921.db2.gz OBTBHVLAABVSDM-APPZFPTMSA-N -1 1 335.345 -0.746 20 0 EBADMM Cc1nn(C)cc1C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962434765 723251925 /nfs/dbraw/zinc/25/19/25/723251925.db2.gz KPWZPXXOYXTYKP-YPMHNXCESA-N -1 1 345.359 -0.556 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)C2=COCCC2)C[C@@H]1O)c1ncccc1[O-] ZINC000962435711 723252218 /nfs/dbraw/zinc/25/22/18/723252218.db2.gz SCCZEIYNRKIXIN-YPMHNXCESA-N -1 1 333.344 -0.217 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2n[nH]cc2F)C[C@@H]1O)c1ncccc1[O-] ZINC000962436270 723252728 /nfs/dbraw/zinc/25/27/28/723252728.db2.gz DVWSGEWOHAXEOA-SCZZXKLOSA-N -1 1 335.295 -0.735 20 0 EBADMM O=C(Cc1cnoc1)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962436497 723253087 /nfs/dbraw/zinc/25/30/87/723253087.db2.gz GUMUEMZDRXPHMC-PWSUYJOCSA-N -1 1 332.316 -0.681 20 0 EBADMM Cc1ncc(CC(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)o1 ZINC000962436583 723253261 /nfs/dbraw/zinc/25/32/61/723253261.db2.gz IDNYEHBVLCEROJ-YPMHNXCESA-N -1 1 346.343 -0.372 20 0 EBADMM Cc1cn(C)nc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000962878716 723345057 /nfs/dbraw/zinc/34/50/57/723345057.db2.gz IHAFIUXMBLHNEX-PWSUYJOCSA-N -1 1 345.359 -0.556 20 0 EBADMM Cc1ccnn1CC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000963168477 723388340 /nfs/dbraw/zinc/38/83/40/723388340.db2.gz BZJXEUVKXXHYQM-YPMHNXCESA-N -1 1 345.359 -0.706 20 0 EBADMM C[C@H](C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)c1cnn(C)c1 ZINC000963193438 723391354 /nfs/dbraw/zinc/39/13/54/723391354.db2.gz ODHJMZRYVBZIEO-PQFRYHKHSA-N -1 1 345.407 -0.808 20 0 EBADMM CN(C(=O)c1n[nH]c(=O)[n-]c1=O)C1CCC(NCc2cnon2)CC1 ZINC000963632681 723458649 /nfs/dbraw/zinc/45/86/49/723458649.db2.gz GAWAPDHEVAOLCX-UHFFFAOYSA-N -1 1 349.351 -0.161 20 0 EBADMM Cn1nccc1C(=O)NC[C@H]1COCCN1C(=O)c1ncccc1[O-] ZINC000964113481 723812559 /nfs/dbraw/zinc/81/25/59/723812559.db2.gz VRSUOURNEMHTGV-NSHDSACASA-N -1 1 345.359 -0.208 20 0 EBADMM CC(C)N1C(=O)CC[C@H]1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019282225 728846812 /nfs/dbraw/zinc/84/68/12/728846812.db2.gz SCIQSOLTIIUPEJ-QWRGUYRKSA-N -1 1 336.396 -0.400 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1ccnnc1)c1ncccc1[O-] ZINC000964155902 723841705 /nfs/dbraw/zinc/84/17/05/723841705.db2.gz SQDZJZPQUCPKIG-LBPRGKRZSA-N -1 1 343.343 -0.152 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1ncccc1[O-])c1cncnc1 ZINC000964165284 723849113 /nfs/dbraw/zinc/84/91/13/723849113.db2.gz PNOGLYSBODRVRF-GFCCVEGCSA-N -1 1 343.343 -0.152 20 0 EBADMM Cn1nnc2c1nccc2C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019295055 728850954 /nfs/dbraw/zinc/85/09/54/728850954.db2.gz NYPMNINEIUVFLK-QMMMGPOBSA-N -1 1 343.351 -0.809 20 0 EBADMM CCC(=O)N1CCC2(C[C@@H]2NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000964412989 724006280 /nfs/dbraw/zinc/00/62/80/724006280.db2.gz YAHPBIYTKDVWRI-NSHDSACASA-N -1 1 344.375 -0.062 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C1=COCCO1 ZINC000964711681 724049939 /nfs/dbraw/zinc/04/99/39/724049939.db2.gz KCEZKZVMKOGEOJ-WDEREUQCSA-N -1 1 337.380 -0.677 20 0 EBADMM CO[C@H](C)C(=O)N1CCOC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000965318002 724173067 /nfs/dbraw/zinc/17/30/67/724173067.db2.gz DPRGKKCTQAJZRF-LLVKDONJSA-N -1 1 335.360 -0.125 20 0 EBADMM O=C(N[C@@H]1COC2(CN(C(=O)c3ncccc3[O-])C2)C1)c1cn[nH]c1 ZINC000965641944 724334097 /nfs/dbraw/zinc/33/40/97/724334097.db2.gz DCMNMMIFZKTWID-NSHDSACASA-N -1 1 343.343 -0.076 20 0 EBADMM CCn1cc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)cn1 ZINC000965649936 724342384 /nfs/dbraw/zinc/34/23/84/724342384.db2.gz CVCOIVJGFKHLKK-AAEUAGOBSA-N -1 1 347.423 -0.035 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1nccnc1N ZINC000965685815 724365600 /nfs/dbraw/zinc/36/56/00/724365600.db2.gz CBNLZNUIBOORCB-ZJUUUORDSA-N -1 1 346.395 -0.879 20 0 EBADMM CCN(C(=O)[C@H]1CCC(=O)N1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000967840128 724916336 /nfs/dbraw/zinc/91/63/36/724916336.db2.gz LPOYGPCUUAFGRM-LLVKDONJSA-N -1 1 332.360 -0.261 20 0 EBADMM CCN(C(=O)[C@H]1CCNC(=O)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000967872122 724922494 /nfs/dbraw/zinc/92/24/94/724922494.db2.gz VPJFLSACXZLTLP-NSHDSACASA-N -1 1 346.387 -0.014 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC000967905233 724928275 /nfs/dbraw/zinc/92/82/75/724928275.db2.gz PYASQBURQTXSDX-MNOVXSKESA-N -1 1 332.360 -0.419 20 0 EBADMM CCN(C(=O)C1CN(C(C)=O)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000967907115 724928585 /nfs/dbraw/zinc/92/85/85/724928585.db2.gz AZWHYYBZRBFJQC-UHFFFAOYSA-N -1 1 346.387 -0.062 20 0 EBADMM CCN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)C(F)F)C1 ZINC000968155565 724971071 /nfs/dbraw/zinc/97/10/71/724971071.db2.gz XMVFDVIAXGJJHA-UHFFFAOYSA-N -1 1 340.290 -0.644 20 0 EBADMM CCN(C(=O)c1[nH]c(=O)[n-]c(=O)c1OC)C1CN(C(=O)C2CC2)C1 ZINC000968163000 724971734 /nfs/dbraw/zinc/97/17/34/724971734.db2.gz OVKJZDHZWKISOA-UHFFFAOYSA-N -1 1 336.348 -0.021 20 0 EBADMM CCN(C(=O)[C@H]1CC[C@H]1C(N)=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000968204672 724978467 /nfs/dbraw/zinc/97/84/67/724978467.db2.gz IALOXPVXCXVHDR-NEPJUHHUSA-N -1 1 346.387 -0.028 20 0 EBADMM CCN(C(=O)c1nnn(C)n1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000968248137 724990665 /nfs/dbraw/zinc/99/06/65/724990665.db2.gz KWHNIPGRTHOQBV-UHFFFAOYSA-N -1 1 331.336 -0.703 20 0 EBADMM CCN(C(=O)[C@@H]1CNC(=O)N1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000968299196 725046912 /nfs/dbraw/zinc/04/69/12/725046912.db2.gz GJUFAUNEXGNLHN-JTQLQIEISA-N -1 1 333.348 -0.859 20 0 EBADMM Cc1ccn(CC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)n1 ZINC000968339807 725052714 /nfs/dbraw/zinc/05/27/14/725052714.db2.gz KCUYURVZRQNPPY-DGCLKSJQSA-N -1 1 347.423 -0.360 20 0 EBADMM C[C@H]1CCN(CCCO)C[C@@H]1NC(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC000968431964 725062976 /nfs/dbraw/zinc/06/29/76/725062976.db2.gz SQASXOYWRSDFLC-AAEUAGOBSA-N -1 1 348.407 -0.720 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1ccncn1 ZINC000968436950 725063877 /nfs/dbraw/zinc/06/38/77/725063877.db2.gz YWCYIBQQJAPVOQ-PWSUYJOCSA-N -1 1 331.380 -0.461 20 0 EBADMM CCn1cc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)nn1 ZINC000968480589 725071929 /nfs/dbraw/zinc/07/19/29/725071929.db2.gz OJPLXHMYGKCCGI-MNOVXSKESA-N -1 1 348.411 -0.640 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)Cc1cncn1C ZINC000968535465 725078088 /nfs/dbraw/zinc/07/80/88/725078088.db2.gz ZSBNDFRBRFRATO-AAEUAGOBSA-N -1 1 347.423 -0.589 20 0 EBADMM O=C(c1cc2c([nH]1)CCOC2)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000968886828 725125556 /nfs/dbraw/zinc/12/55/56/725125556.db2.gz BTMMTMSHYXWYPP-SNVBAGLBSA-N -1 1 332.364 -0.085 20 0 EBADMM Cn1nnc(C(=O)N2C[C@H]3CC[C@@H](C2)N3C(=O)c2ncccc2[O-])n1 ZINC000968886034 725125761 /nfs/dbraw/zinc/12/57/61/725125761.db2.gz BDUGPTJFKFGOHI-AOOOYVTPSA-N -1 1 343.347 -0.560 20 0 EBADMM O=C(c1cn(CC2CC2)nn1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019576121 728926246 /nfs/dbraw/zinc/92/62/46/728926246.db2.gz DZVKPKRJBYYDLC-JTQLQIEISA-N -1 1 332.368 -0.484 20 0 EBADMM Cn1ncc(C(=O)NC[C@H]2CCCCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC000969069638 725147042 /nfs/dbraw/zinc/14/70/42/725147042.db2.gz ALHHBLOIXYBKLE-LLVKDONJSA-N -1 1 348.411 -0.589 20 0 EBADMM Cc1cn2c(n1)C[C@@H](C(=O)N1CC[C@@H](NCc3n[nH]c(=O)[n-]3)C1)CC2 ZINC000969086643 725149869 /nfs/dbraw/zinc/14/98/69/725149869.db2.gz YDFZTTIZKPGOMI-NWDGAFQWSA-N -1 1 345.407 -0.032 20 0 EBADMM COc1nc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)co1 ZINC000969138582 725155641 /nfs/dbraw/zinc/15/56/41/725155641.db2.gz WXOPHNUWJPXUFS-KCJUWKMLSA-N -1 1 348.315 -0.601 20 0 EBADMM CCn1ccc(CN[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC000969209969 725161680 /nfs/dbraw/zinc/16/16/80/725161680.db2.gz JJPBCMIDJAGHRV-SNVBAGLBSA-N -1 1 333.352 -0.497 20 0 EBADMM CC(C)C(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000969422741 725179205 /nfs/dbraw/zinc/17/92/05/725179205.db2.gz JHASXNGEQDVQKE-PHIMTYICSA-N -1 1 344.375 -0.111 20 0 EBADMM C[C@H](NC(=O)c1cccc(=O)[nH]1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969448214 725181900 /nfs/dbraw/zinc/18/19/00/725181900.db2.gz GZHRYAKMSAOQLV-VIFPVBQESA-N -1 1 332.364 -0.541 20 0 EBADMM COc1ccc(CC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)nc1 ZINC000969711399 725205452 /nfs/dbraw/zinc/20/54/52/725205452.db2.gz PNKAGUOHJHGSEG-LLVKDONJSA-N -1 1 332.364 -0.153 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969906061 725227099 /nfs/dbraw/zinc/22/70/99/725227099.db2.gz AQJXTIDMVRXQLT-SSDOTTSWSA-N -1 1 335.368 -0.904 20 0 EBADMM Cc1nnc(CN[C@@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)o1 ZINC000970277972 725272668 /nfs/dbraw/zinc/27/26/68/725272668.db2.gz KPZREIJJBBFOCD-LLVKDONJSA-N -1 1 348.363 -0.991 20 0 EBADMM CC(=O)Nc1cc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)ccn1 ZINC000970306508 725276111 /nfs/dbraw/zinc/27/61/11/725276111.db2.gz QMGMDYRATCDWQD-LLVKDONJSA-N -1 1 345.363 -0.132 20 0 EBADMM C[C@H](Cc1cnn(C)c1)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970365113 725284507 /nfs/dbraw/zinc/28/45/07/725284507.db2.gz BOBOIQSNOLGGFT-ZYHUDNBSSA-N -1 1 333.396 -0.187 20 0 EBADMM CCc1ncoc1C(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970395900 725285978 /nfs/dbraw/zinc/28/59/78/725285978.db2.gz REWBQNOGTATEAR-SECBINFHSA-N -1 1 334.380 -0.091 20 0 EBADMM NC(=O)N1CCCC[C@H]1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970450587 725292637 /nfs/dbraw/zinc/29/26/37/725292637.db2.gz GABVVMGFQHCAKN-ZJUUUORDSA-N -1 1 337.384 -0.866 20 0 EBADMM C[C@H](NC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970458782 725293064 /nfs/dbraw/zinc/29/30/64/725293064.db2.gz ZZNFDKNAVQYPAW-SQNXGDPESA-N -1 1 335.408 -0.388 20 0 EBADMM O=C([C@@H]1CCc2n[nH]cc2C1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970471511 725294206 /nfs/dbraw/zinc/29/42/06/725294206.db2.gz AJGVWYZVGLVLMD-MWLCHTKSSA-N -1 1 331.380 -0.271 20 0 EBADMM Cc1cnc(CN[C@@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)o1 ZINC000970475787 725294825 /nfs/dbraw/zinc/29/48/25/725294825.db2.gz YDSFVGDWSXVSJN-SNVBAGLBSA-N -1 1 343.347 -0.282 20 0 EBADMM CNC(=O)c1ccc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC000970507001 725296778 /nfs/dbraw/zinc/29/67/78/725296778.db2.gz OZFIZXNNGJLFQR-SNVBAGLBSA-N -1 1 345.363 -0.731 20 0 EBADMM CCn1ccc(CN[C@@H]2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)n1 ZINC000970581308 725301971 /nfs/dbraw/zinc/30/19/71/725301971.db2.gz IGGPIQNANZDHIT-LLVKDONJSA-N -1 1 335.368 -0.704 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970596679 725303649 /nfs/dbraw/zinc/30/36/49/725303649.db2.gz MVNWRJPLUYRZOT-QMMMGPOBSA-N -1 1 333.396 -0.301 20 0 EBADMM CCC(=O)N1CCCO[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001019625815 728947918 /nfs/dbraw/zinc/94/79/18/728947918.db2.gz CEDPOGLIWIRVJJ-SNVBAGLBSA-N -1 1 348.363 -0.825 20 0 EBADMM C[C@H](NC(=O)c1c[nH]nc1C1CC1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970674871 725315414 /nfs/dbraw/zinc/31/54/14/725315414.db2.gz AQXCVOBYRSMFMP-VIFPVBQESA-N -1 1 345.407 -0.041 20 0 EBADMM O=C(c1ccc2n[nH]nc2c1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970689337 725317702 /nfs/dbraw/zinc/31/77/02/725317702.db2.gz PKAXYRJMENTVGZ-SECBINFHSA-N -1 1 328.336 -0.214 20 0 EBADMM NC(=O)c1cncc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC000970742365 725322804 /nfs/dbraw/zinc/32/28/04/725322804.db2.gz XJTZTCCXENFWGH-SNVBAGLBSA-N -1 1 331.336 -0.992 20 0 EBADMM C[C@@H](NC(=O)c1cccn(C)c1=O)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970754315 725324350 /nfs/dbraw/zinc/32/43/50/725324350.db2.gz SLLDHVNAQKRZKF-SNVBAGLBSA-N -1 1 346.391 -0.943 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2ncccc2[O-])CCCO1)c1cn[nH]n1 ZINC001019618976 728943067 /nfs/dbraw/zinc/94/30/67/728943067.db2.gz JLBLMCGLHDOCDJ-JTQLQIEISA-N -1 1 346.347 -0.434 20 0 EBADMM CN(C)[C@@H](C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)c1cccnc1 ZINC000970815170 725331487 /nfs/dbraw/zinc/33/14/87/725331487.db2.gz BGXMQQCDXGLJJR-TZMCWYRMSA-N -1 1 345.407 -0.101 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)nc1 ZINC000970852158 725334670 /nfs/dbraw/zinc/33/46/70/725334670.db2.gz QTPZVLDXLTWVJY-SECBINFHSA-N -1 1 331.336 -0.992 20 0 EBADMM CCn1nccc1C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000971399382 725363085 /nfs/dbraw/zinc/36/30/85/725363085.db2.gz FQNGVCHFSUBSFL-LLVKDONJSA-N -1 1 333.396 -0.329 20 0 EBADMM O=C(c1cn([C@@H]2CCOC2)nn1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019621589 728946164 /nfs/dbraw/zinc/94/61/64/728946164.db2.gz WJSDZJGQKWTSQD-VHSXEESVSA-N -1 1 348.367 -0.933 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)c2ccc(=O)n(C)c2)C1 ZINC000971853799 725442336 /nfs/dbraw/zinc/44/23/36/725442336.db2.gz JGHZLWTYDLHJFD-LBPRGKRZSA-N -1 1 346.391 -0.846 20 0 EBADMM Cc1nnccc1C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000971866295 725443650 /nfs/dbraw/zinc/44/36/50/725443650.db2.gz BXTNZSNFQUFSEP-LLVKDONJSA-N -1 1 331.380 -0.447 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)c2ccc(C(N)=O)o2)C1 ZINC000971901966 725447091 /nfs/dbraw/zinc/44/70/91/725447091.db2.gz RNIJMRNOBMWOSA-SECBINFHSA-N -1 1 348.363 -0.853 20 0 EBADMM Cc1cc(CC(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC000971932319 725449191 /nfs/dbraw/zinc/44/91/91/725449191.db2.gz XWBOKTWNVUACEA-GFCCVEGCSA-N -1 1 347.423 -0.574 20 0 EBADMM Cn1nncc1C(=O)N1C[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000971988652 725452506 /nfs/dbraw/zinc/45/25/06/725452506.db2.gz HDNUQUOQKSESLI-ZYHUDNBSSA-N -1 1 342.359 -0.098 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)CCc2nccn2C)C1 ZINC000971997214 725454049 /nfs/dbraw/zinc/45/40/49/725454049.db2.gz JQAIQGHWWTZLCG-GFCCVEGCSA-N -1 1 347.423 -0.493 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)CCc2nccn2C)C1 ZINC000971997216 725454056 /nfs/dbraw/zinc/45/40/56/725454056.db2.gz JQAIQGHWWTZLCG-LBPRGKRZSA-N -1 1 347.423 -0.493 20 0 EBADMM Cn1cnc(C(=O)N2C[C@H]3CCN(C(=O)c4ncccc4[O-])C[C@H]32)n1 ZINC000972029660 725457064 /nfs/dbraw/zinc/45/70/64/725457064.db2.gz QNXRZIWMWGNFJG-GHMZBOCLSA-N -1 1 342.359 -0.098 20 0 EBADMM Cc1cnn(C)c1C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000972125151 725470286 /nfs/dbraw/zinc/47/02/86/725470286.db2.gz PKJGTZBMCUSJRH-LLVKDONJSA-N -1 1 333.396 -0.503 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)CC2=CCOCC2)C1 ZINC000972251338 725486166 /nfs/dbraw/zinc/48/61/66/725486166.db2.gz LZEHGAULCMULLP-ZDUSSCGKSA-N -1 1 335.408 -0.122 20 0 EBADMM Cc1cc(C(=O)N2CC[C@]3(C2)CN(Cc2n[nH]c(=O)[n-]2)CCO3)n[nH]1 ZINC000972281239 725490127 /nfs/dbraw/zinc/49/01/27/725490127.db2.gz GTDHULULQXWQDA-OAHLLOKOSA-N -1 1 347.379 -0.341 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CC[C@@]2(C1)CN(CCCF)CCO2 ZINC000972450617 725526561 /nfs/dbraw/zinc/52/65/61/725526561.db2.gz XBDAOCVBOZDMKM-AWEZNQCLSA-N -1 1 341.343 -0.441 20 0 EBADMM C[C@H](C(N)=O)N(C)[C@@H]1CCN(C(=O)c2c[n-]n3c2nccc3=O)C1 ZINC000972494903 725535882 /nfs/dbraw/zinc/53/58/82/725535882.db2.gz IHLXUHMCGHFESI-NXEZZACHSA-N -1 1 332.364 -0.957 20 0 EBADMM CN(Cc1ccon1)[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000972553861 725548540 /nfs/dbraw/zinc/54/85/40/725548540.db2.gz DRVCJVRPFYRZJB-CYBMUJFWSA-N -1 1 347.375 -0.352 20 0 EBADMM CCCn1cc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC000972630521 725566112 /nfs/dbraw/zinc/56/61/12/725566112.db2.gz AYXUMXFXQZMUEL-LLVKDONJSA-N -1 1 348.411 -0.544 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)c3ccc(=O)[nH]n3)C(C)(C)C2)nc1=O ZINC000972772590 725592144 /nfs/dbraw/zinc/59/21/44/725592144.db2.gz ZTHVNWLGSASMMK-JTQLQIEISA-N -1 1 347.379 -0.756 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C)n1cccn1 ZINC000972827693 725596057 /nfs/dbraw/zinc/59/60/57/725596057.db2.gz SOKADDHVAAEEGP-NWDGAFQWSA-N -1 1 347.423 -0.107 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)Cc3ccon3)C(C)(C)C2)nc1=O ZINC000972861699 725598624 /nfs/dbraw/zinc/59/86/24/725598624.db2.gz BJXUDPCNEWPIQK-NSHDSACASA-N -1 1 334.380 -0.334 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000972920970 725603075 /nfs/dbraw/zinc/60/30/75/725603075.db2.gz AWKTUWFVFQYYQI-ZYUZMQFOSA-N -1 1 330.348 -0.549 20 0 EBADMM C[C@@H](C(=O)N[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC000973138576 725651630 /nfs/dbraw/zinc/65/16/30/725651630.db2.gz QYIRTPXTJHQUAM-FBIMIBRVSA-N -1 1 344.375 -0.159 20 0 EBADMM CC1CC(C(=O)N[C@H]2C[C@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)C1 ZINC000973151895 725652661 /nfs/dbraw/zinc/65/26/61/725652661.db2.gz XFQPZRBADJLUQV-VAHUJWDWSA-N -1 1 344.375 -0.159 20 0 EBADMM NC(=O)[C@H]1CC[C@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973198857 725667872 /nfs/dbraw/zinc/66/78/72/725667872.db2.gz LDFHCFZTYNCRCJ-XWLWVQCSSA-N -1 1 332.360 -0.324 20 0 EBADMM O=C(Cc1cncs1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051666950 735307438 /nfs/dbraw/zinc/30/74/38/735307438.db2.gz IZOSBYDZOSDENI-VIFPVBQESA-N -1 1 338.393 -0.474 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@H](NC(=O)c2ncc[nH]2)C1 ZINC000973242788 725684542 /nfs/dbraw/zinc/68/45/42/725684542.db2.gz QXBBSWDLNRSRKS-LJGSYFOKSA-N -1 1 348.319 -0.690 20 0 EBADMM O=C(NC1CC(CNC(=O)[C@H]2CCNC(=O)C2)C1)c1ncccc1[O-] ZINC000992020435 725702840 /nfs/dbraw/zinc/70/28/40/725702840.db2.gz MJRAWOBSWCOIPC-CXQJBGSLSA-N -1 1 346.387 -0.062 20 0 EBADMM CN1C[C@H](C(=O)NC2CC(CNC(=O)c3ncccc3[O-])C2)NC1=O ZINC000992055184 725710724 /nfs/dbraw/zinc/71/07/24/725710724.db2.gz WXTPGXAJMYDUFM-VQXHTEKXSA-N -1 1 347.375 -0.565 20 0 EBADMM CN1CC[C@@H](C(=O)NC2CC(CNC(=O)c3ncccc3[O-])C2)C1=O ZINC000992055151 725710840 /nfs/dbraw/zinc/71/08/40/725710840.db2.gz WLSJJDSAFPARLO-MCIGGMRASA-N -1 1 346.387 -0.110 20 0 EBADMM CN1CC[C@@H](C(=O)NCC2CC(NC(=O)c3ncccc3[O-])C2)C1=O ZINC000992329294 725779688 /nfs/dbraw/zinc/77/96/88/725779688.db2.gz QDVIDAYNYCECIG-MCIGGMRASA-N -1 1 346.387 -0.110 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)c4ccncn4)CCC[C@@H]23)nc1=O ZINC000992341854 725783028 /nfs/dbraw/zinc/78/30/28/725783028.db2.gz GFELXYFRRXJSRH-MLGOLLRUSA-N -1 1 343.391 -0.175 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)c4cnon4)CCC[C@H]23)nc1=O ZINC000992344485 725783390 /nfs/dbraw/zinc/78/33/90/725783390.db2.gz IOXBJOZGRCSLRA-IINYFYTJSA-N -1 1 333.352 -0.582 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)[C@@H]4CCC(=O)N4)CCC[C@@H]23)nc1=O ZINC000992439854 725797445 /nfs/dbraw/zinc/79/74/45/725797445.db2.gz OUEPAQIXAYRDQM-USBNGQNGSA-N -1 1 348.407 -1.000 20 0 EBADMM CC(F)(F)C(=O)N[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000973794854 725803752 /nfs/dbraw/zinc/80/37/52/725803752.db2.gz YAHCBRYGXARZHP-KNVOCYPGSA-N -1 1 340.290 -0.550 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)[C@H]4CCCO4)CCC[C@@H]23)nc1=O ZINC000992512479 725806381 /nfs/dbraw/zinc/80/63/81/725806381.db2.gz SJOYQWVWCUXDNK-HSMVNMDESA-N -1 1 335.408 -0.099 20 0 EBADMM O=C([C@H]1CCC1(F)F)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051676617 735318301 /nfs/dbraw/zinc/31/83/01/735318301.db2.gz BFWXFBYSECKVDI-RKDXNWHRSA-N -1 1 331.323 -0.127 20 0 EBADMM NC(=O)c1ncccc1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000992708535 725828031 /nfs/dbraw/zinc/82/80/31/725828031.db2.gz OLKGMBJTQZSMTH-UHFFFAOYSA-N -1 1 341.327 -0.465 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2C[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)c1C ZINC000973974015 725839068 /nfs/dbraw/zinc/83/90/68/725839068.db2.gz HJYYFFUCPPEYFC-OCAPTIKFSA-N -1 1 347.335 -0.686 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cnn(C)c2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993021923 725851562 /nfs/dbraw/zinc/85/15/62/725851562.db2.gz LBVQSUFJXLMGPB-PWSUYJOCSA-N -1 1 333.396 -0.375 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cc2cnn(C)c2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993107179 725855436 /nfs/dbraw/zinc/85/54/36/725855436.db2.gz QRAJOYXZQPMBJY-YPMHNXCESA-N -1 1 347.423 -0.446 20 0 EBADMM CCN1CCOC[C@@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974056050 725858736 /nfs/dbraw/zinc/85/87/36/725858736.db2.gz DUZIVXVAAQNTLO-FRRDWIJNSA-N -1 1 348.403 -0.115 20 0 EBADMM CCN1CCOC[C@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974056058 725858783 /nfs/dbraw/zinc/85/87/83/725858783.db2.gz DUZIVXVAAQNTLO-XQQFMLRXSA-N -1 1 348.403 -0.115 20 0 EBADMM O=C(N[C@H]1C[C@@H](NC(=O)C2CS(=O)(=O)C2)C1)c1ncccc1[O-] ZINC000974057958 725859825 /nfs/dbraw/zinc/85/98/25/725859825.db2.gz USJZIQLMDSOBDY-AOOOYVTPSA-N -1 1 339.373 -0.791 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cc2ccnn2C)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993261823 725866434 /nfs/dbraw/zinc/86/64/34/725866434.db2.gz SEYRWFNSRQWVAS-YPMHNXCESA-N -1 1 347.423 -0.446 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)cnn1 ZINC000993264025 725866643 /nfs/dbraw/zinc/86/66/43/725866643.db2.gz WLRXUMKXBKOGEJ-WCQYABFASA-N -1 1 345.407 -0.010 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2cn(C)nn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993295388 725870049 /nfs/dbraw/zinc/87/00/49/725870049.db2.gz HSPSCTMXZRJOPM-NXEZZACHSA-N -1 1 334.384 -0.980 20 0 EBADMM CCn1nnc(C)c1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000993418523 725885599 /nfs/dbraw/zinc/88/55/99/725885599.db2.gz UDWQKWOOFYJKMG-UHFFFAOYSA-N -1 1 330.348 -0.039 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC000993456711 725890455 /nfs/dbraw/zinc/89/04/55/725890455.db2.gz HFUSPTGUYAIBBU-ZJUUUORDSA-N -1 1 349.395 -0.372 20 0 EBADMM Cc1cnn(C)c1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC000993699091 725929390 /nfs/dbraw/zinc/92/93/90/725929390.db2.gz BSHXKVUDRIXKDV-VXGBXAGGSA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2CCC(=O)N2)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000993922806 725962841 /nfs/dbraw/zinc/96/28/41/725962841.db2.gz KRLLYUAKWUTQCI-IJLUTSLNSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000994073311 725977006 /nfs/dbraw/zinc/97/70/06/725977006.db2.gz TUACORBEBUZAEI-MNOVXSKESA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)[C@@H]1CCNC1=O ZINC000994418088 726000818 /nfs/dbraw/zinc/00/08/18/726000818.db2.gz KXTYSNVRHCCZKS-IJLUTSLNSA-N -1 1 346.387 -0.252 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(NC(=O)c2ccsn2)C1 ZINC000994453522 726003623 /nfs/dbraw/zinc/00/36/23/726003623.db2.gz DLCNZLYJHGYODP-UHFFFAOYSA-N -1 1 349.372 -0.976 20 0 EBADMM O=C(CCc1cccnc1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051702892 735337532 /nfs/dbraw/zinc/33/75/32/735337532.db2.gz KBJSQSBLJYMJKP-CYBMUJFWSA-N -1 1 346.391 -0.145 20 0 EBADMM Cc1nc(CN2C[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C(C)(C)C2)n[nH]1 ZINC000974771564 726064097 /nfs/dbraw/zinc/06/40/97/726064097.db2.gz DZCPSJIPJWLNGY-QMMMGPOBSA-N -1 1 348.367 -0.650 20 0 EBADMM COc1ccc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001051708897 735342645 /nfs/dbraw/zinc/34/26/45/735342645.db2.gz QIYGSBLLTCTVHT-NSHDSACASA-N -1 1 348.363 -0.455 20 0 EBADMM O=C(NC[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1)[C@@H]1COC(=O)N1 ZINC000975259260 726124599 /nfs/dbraw/zinc/12/45/99/726124599.db2.gz VNBHQUPCIZXTET-QWRGUYRKSA-N -1 1 348.359 -0.136 20 0 EBADMM Cc1nonc1CNC[C@@H]1CC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000995007802 726142118 /nfs/dbraw/zinc/14/21/18/726142118.db2.gz QBPZOZSGNNXDKC-BDAKNGLRSA-N -1 1 349.351 -0.337 20 0 EBADMM C[C@H]1C[C@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)CC(=O)N1 ZINC000995015124 726144226 /nfs/dbraw/zinc/14/42/26/726144226.db2.gz VWIWNHAKFHNFEI-UWVGGRQHSA-N -1 1 332.360 -0.358 20 0 EBADMM CCC(=O)N1CCC[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000975411063 726147626 /nfs/dbraw/zinc/14/76/26/726147626.db2.gz SBMURYSQTYWDIA-JTQLQIEISA-N -1 1 332.364 -0.204 20 0 EBADMM O=C(CC1CC1)N[C@@H]1[C@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@H]21 ZINC000976038688 726195444 /nfs/dbraw/zinc/19/54/44/726195444.db2.gz DYTAEOODNWDFAR-JYAVWHMHSA-N -1 1 346.387 -0.700 20 0 EBADMM CC1(C)CN(C(=O)c2cc[n+]([O-])cc2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995437147 726222461 /nfs/dbraw/zinc/22/24/61/726222461.db2.gz PSNAYRQZFPOSKS-NSHDSACASA-N -1 1 332.364 -0.216 20 0 EBADMM CCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000995564526 726275657 /nfs/dbraw/zinc/27/56/57/726275657.db2.gz IJTGXBYCKMAOIY-YWVKMMECSA-N -1 1 330.348 -0.311 20 0 EBADMM CC1(C)CN(C(=O)[C@@H]2CCNC(=O)C2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995659445 726284262 /nfs/dbraw/zinc/28/42/62/726284262.db2.gz KTJUGBUKLCYDQY-ZJUUUORDSA-N -1 1 336.396 -0.637 20 0 EBADMM Cn1ccnc1CCC(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051732625 735358729 /nfs/dbraw/zinc/35/87/29/735358729.db2.gz SVAUCRNZTIWZJY-LLVKDONJSA-N -1 1 349.395 -0.806 20 0 EBADMM CN1C(=O)CC[C@@H]1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976394167 726290471 /nfs/dbraw/zinc/29/04/71/726290471.db2.gz LGRPMRIWDMBYTK-DEKYYXRVSA-N -1 1 344.371 -0.405 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)C3=COCCO3)C[C@H]21)c1ncccc1[O-] ZINC000976395613 726291288 /nfs/dbraw/zinc/29/12/88/726291288.db2.gz DOBSZAYJYWAJNI-IWIIMEHWSA-N -1 1 331.328 -0.138 20 0 EBADMM O=C(C[C@@H]1COC(=O)C1)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976396717 726291754 /nfs/dbraw/zinc/29/17/54/726291754.db2.gz GVVKFUXBRWCIHX-KIGUWFBYSA-N -1 1 345.355 -0.073 20 0 EBADMM COC(=O)[C@@H]1C[C@H]1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976396768 726291898 /nfs/dbraw/zinc/29/18/98/726291898.db2.gz HVMNIJPGZYOZDG-YFVNTRNASA-N -1 1 345.355 -0.217 20 0 EBADMM Cn1nccc1CC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000995723833 726294774 /nfs/dbraw/zinc/29/47/74/726294774.db2.gz WFXZNICASIVHFT-NSHDSACASA-N -1 1 333.396 -0.187 20 0 EBADMM CCn1cc(C(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ncccc2[O-])nn1 ZINC000976406542 726294869 /nfs/dbraw/zinc/29/48/69/726294869.db2.gz WVZDQFOMJXJPKU-IWIIMEHWSA-N -1 1 342.359 -0.101 20 0 EBADMM CC1(C)CN(C(=O)[C@H]2CCCNC2=O)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995922540 726313916 /nfs/dbraw/zinc/31/39/16/726313916.db2.gz FFILHGJSHNKDBT-VHSXEESVSA-N -1 1 336.396 -0.637 20 0 EBADMM C[C@@H]1OCCO[C@@H]1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000995925304 726314425 /nfs/dbraw/zinc/31/44/25/726314425.db2.gz RGKSVYYYHXOMKH-UMNHJUIQSA-N -1 1 339.396 -0.359 20 0 EBADMM Cn1ccnc1CN[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC1(C)C ZINC000996007567 726323298 /nfs/dbraw/zinc/32/32/98/726323298.db2.gz KCGDRAHZTBMZJT-SECBINFHSA-N -1 1 347.379 -0.343 20 0 EBADMM COc1nccc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)n1 ZINC000996041678 726325262 /nfs/dbraw/zinc/32/52/62/726325262.db2.gz PJWBYTKNUGZTST-SNVBAGLBSA-N -1 1 347.379 -0.051 20 0 EBADMM CC1(C)CN(C(=O)c2coc(C(N)=O)c2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996099574 726332037 /nfs/dbraw/zinc/33/20/37/726332037.db2.gz MYFXYICQCSTBKT-JTQLQIEISA-N -1 1 348.363 -0.157 20 0 EBADMM Cn1c(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)c[nH]c1=O ZINC000996124897 726335535 /nfs/dbraw/zinc/33/55/35/726335535.db2.gz KYCXXTZKZHFCEJ-VIFPVBQESA-N -1 1 335.368 -0.410 20 0 EBADMM CC1(C)CN(C(=O)[C@@]2(F)CCOC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996193202 726343076 /nfs/dbraw/zinc/34/30/76/726343076.db2.gz ZWGDBMPOJBVWPM-LKFCYVNXSA-N -1 1 327.360 -0.035 20 0 EBADMM CC1(C)CN(C(=O)c2c[nH]c(=O)cn2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996198686 726343820 /nfs/dbraw/zinc/34/38/20/726343820.db2.gz PQLRCLSLRPHXOJ-SECBINFHSA-N -1 1 333.352 -0.766 20 0 EBADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCc2n[nH]nc2C1 ZINC000996392868 726383278 /nfs/dbraw/zinc/38/32/78/726383278.db2.gz UMBMMIXTXOYAFG-SECBINFHSA-N -1 1 342.359 -0.349 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)C2=CCCC2)C1 ZINC000996395701 726384494 /nfs/dbraw/zinc/38/44/94/726384494.db2.gz DVNCWRYWQYKHRW-UHFFFAOYSA-N -1 1 334.332 -0.053 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)c2ccncc2)C1 ZINC000996396156 726384751 /nfs/dbraw/zinc/38/47/51/726384751.db2.gz HMTRJOADGAOUGM-UHFFFAOYSA-N -1 1 345.315 -0.454 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)c2[nH]ccc2C)C1 ZINC000996396123 726384784 /nfs/dbraw/zinc/38/47/84/726384784.db2.gz HIFGCJQSRMXPLY-UHFFFAOYSA-N -1 1 347.331 -0.213 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)[C@H](C)SC)C1 ZINC000996398285 726386544 /nfs/dbraw/zinc/38/65/44/726386544.db2.gz SFKXUMPCOYFHQX-LURJTMIESA-N -1 1 342.377 -0.412 20 0 EBADMM O=C(NC1CN(C(=O)C2=CCCC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996403934 726387761 /nfs/dbraw/zinc/38/77/61/726387761.db2.gz DBFWGVSBCJSDQR-UHFFFAOYSA-N -1 1 328.332 -0.532 20 0 EBADMM O=C(NC1CN(C(=O)c2cscn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996403919 726387960 /nfs/dbraw/zinc/38/79/60/726387960.db2.gz CSSURNONWWHHQL-UHFFFAOYSA-N -1 1 345.344 -0.872 20 0 EBADMM O=C(Cc1ccoc1)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996405508 726388508 /nfs/dbraw/zinc/38/85/08/726388508.db2.gz IWGGEKWXTMABMA-UHFFFAOYSA-N -1 1 342.315 -0.806 20 0 EBADMM O=C(NC1CN(C(=O)[C@@H]2CC=CCC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996409679 726389337 /nfs/dbraw/zinc/38/93/37/726389337.db2.gz XJNIHBVCAUPNJU-SNVBAGLBSA-N -1 1 342.359 -0.286 20 0 EBADMM CC1(C)CN(C(=O)c2cc(C(N)=O)c[nH]2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996460554 726400510 /nfs/dbraw/zinc/40/05/10/726400510.db2.gz HPLIVTCGRIHXRW-JTQLQIEISA-N -1 1 347.379 -0.422 20 0 EBADMM CCn1cc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)nn1 ZINC000996474543 726402584 /nfs/dbraw/zinc/40/25/84/726402584.db2.gz ZAHHKCJRIRJAAE-SNVBAGLBSA-N -1 1 334.384 -0.238 20 0 EBADMM Cn1nc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)ccc1=O ZINC000996580355 726419052 /nfs/dbraw/zinc/41/90/52/726419052.db2.gz CUJSGYIVNRGVQQ-SNVBAGLBSA-N -1 1 347.379 -0.756 20 0 EBADMM CC1(C(=O)NC2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)CCCC1 ZINC000996616070 726420168 /nfs/dbraw/zinc/42/01/68/726420168.db2.gz DLRFQVMWIPCCDY-UHFFFAOYSA-N -1 1 348.403 -0.166 20 0 EBADMM Cc1nnc([C@@H](C)NC2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)CC2)o1 ZINC000996764488 726425981 /nfs/dbraw/zinc/42/59/81/726425981.db2.gz YDBCKYGFZXJPMQ-SSDOTTSWSA-N -1 1 349.351 -0.070 20 0 EBADMM CCCc1cc(C(=O)N2CC(NC(=O)Cn3c(=O)[n-][nH]c3=O)C2)n[nH]1 ZINC000997258441 726443509 /nfs/dbraw/zinc/44/35/09/726443509.db2.gz PFKKHZYADYWTHC-UHFFFAOYSA-N -1 1 349.351 -0.994 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000998026141 726473217 /nfs/dbraw/zinc/47/32/17/726473217.db2.gz PWVAPPFJTXLYRH-UHFFFAOYSA-N -1 1 329.316 -0.154 20 0 EBADMM O=C(Cc1ccco1)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000998241326 726481821 /nfs/dbraw/zinc/48/18/21/726481821.db2.gz ADLGCUVQVFXKJM-UHFFFAOYSA-N -1 1 346.343 -0.911 20 0 EBADMM O=C(N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ccn[nH]1)c1n[nH]c(=O)[n-]c1=O ZINC000998807957 726498861 /nfs/dbraw/zinc/49/88/61/726498861.db2.gz ZFFIABRWJJRBBJ-YEPSODPASA-N -1 1 345.319 -0.819 20 0 EBADMM Cc1ncc(CNC2CC(N(C)C(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC000999057613 726505892 /nfs/dbraw/zinc/50/58/92/726505892.db2.gz MRMHAYZBRVIMTQ-UHFFFAOYSA-N -1 1 334.336 -0.028 20 0 EBADMM C[C@@H]1C[C@@H]1CC(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000999094553 726507653 /nfs/dbraw/zinc/50/76/53/726507653.db2.gz ZMCMFKMLAWPSNT-GHMZBOCLSA-N -1 1 334.376 -0.700 20 0 EBADMM O=C(CO[C@@H]1CCOC1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999148846 726509003 /nfs/dbraw/zinc/50/90/03/726509003.db2.gz OIWRULCCCSYDLU-WDEREUQCSA-N -1 1 325.369 -0.604 20 0 EBADMM Cn1nccc1CCC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999286074 726513102 /nfs/dbraw/zinc/51/31/02/726513102.db2.gz FKSBCPACIYYYKA-LLVKDONJSA-N -1 1 333.396 -0.043 20 0 EBADMM CCN1C[C@@H](C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CC1=O ZINC000999323884 726514279 /nfs/dbraw/zinc/51/42/79/726514279.db2.gz ZGVJGGKEBDSBMD-QWRGUYRKSA-N -1 1 336.396 -0.541 20 0 EBADMM Cc1cc(OCC(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)no1 ZINC000999422658 726519491 /nfs/dbraw/zinc/51/94/91/726519491.db2.gz RGPWXDPWHCKLIV-JTQLQIEISA-N -1 1 336.352 -0.034 20 0 EBADMM O=C(CN1CCCNC1=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999601485 726524184 /nfs/dbraw/zinc/52/41/84/726524184.db2.gz JILMVZHZRPVQJF-JTQLQIEISA-N -1 1 337.384 -0.994 20 0 EBADMM O=C([C@@H]1CCS(=O)(=O)C1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999642215 726525744 /nfs/dbraw/zinc/52/57/44/726525744.db2.gz ZOHLUCPJTMAEDR-NXEZZACHSA-N -1 1 343.409 -0.974 20 0 EBADMM C[C@]1(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CCC(=O)NC1 ZINC000999967933 726545482 /nfs/dbraw/zinc/54/54/82/726545482.db2.gz GSUITALREUHMOO-BONVTDFDSA-N -1 1 336.396 -0.493 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999968408 726545487 /nfs/dbraw/zinc/54/54/87/726545487.db2.gz IADZSTBIXCIEKI-SECBINFHSA-N -1 1 333.352 -0.291 20 0 EBADMM NC(=O)[C@@H]1CC[C@H](C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001000205717 726559571 /nfs/dbraw/zinc/55/95/71/726559571.db2.gz NNLDVHPKTDHVOF-OUAUKWLOSA-N -1 1 336.396 -0.508 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)[C@@H]3CCCOC3)CC2)nc1=O ZINC001000507903 726569095 /nfs/dbraw/zinc/56/90/95/726569095.db2.gz SUHAKNNNNDZFHK-CYBMUJFWSA-N -1 1 335.408 -0.217 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)[C@@H]3CCCCO3)CC2)nc1=O ZINC001000584204 726572098 /nfs/dbraw/zinc/57/20/98/726572098.db2.gz QHSJIMOYRJSIFI-ZDUSSCGKSA-N -1 1 335.408 -0.074 20 0 EBADMM Cn1cccc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c1=O ZINC001000725988 726576103 /nfs/dbraw/zinc/57/61/03/726576103.db2.gz RWTFWEJDJZEXSB-SNVBAGLBSA-N -1 1 332.364 -0.397 20 0 EBADMM Cc1ccc(=O)n(CC(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001000749429 726576529 /nfs/dbraw/zinc/57/65/29/726576529.db2.gz WOEZOXOBPXNDLK-NSHDSACASA-N -1 1 347.379 -0.844 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N1CCC[C@H](NCc2nccs2)C1 ZINC001000787848 726577564 /nfs/dbraw/zinc/57/75/64/726577564.db2.gz CCXIYAYMSHNQBD-VIFPVBQESA-N -1 1 338.393 -0.073 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(CNC(=O)c2ccoc2)C1 ZINC001000935447 726584304 /nfs/dbraw/zinc/58/43/04/726584304.db2.gz OQHDPNDGGMSXFH-UHFFFAOYSA-N -1 1 346.343 -0.592 20 0 EBADMM O=C([C@H]1CCc2nncn2C1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000991194 726586333 /nfs/dbraw/zinc/58/63/33/726586333.db2.gz IKCTYHUFDNSAOO-QWRGUYRKSA-N -1 1 346.395 -0.555 20 0 EBADMM COC(=O)[C@H]1C[C@H]1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001001168514 726595074 /nfs/dbraw/zinc/59/50/74/726595074.db2.gz KFWCFRCGDKZVBK-MNOVXSKESA-N -1 1 333.344 -0.216 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)c3ccncn3)CC2)nc1=O ZINC001001278120 726600266 /nfs/dbraw/zinc/60/02/66/726600266.db2.gz AYPOZSWTIGCBEP-UHFFFAOYSA-N -1 1 329.364 -0.540 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(CNC(=O)C2=CCCC2)C1 ZINC001001409332 726604973 /nfs/dbraw/zinc/60/49/73/726604973.db2.gz BGSVPFBVOPLFEF-UHFFFAOYSA-N -1 1 346.387 -0.388 20 0 EBADMM O=C(CCn1ccnn1)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001540366 726611103 /nfs/dbraw/zinc/61/11/03/726611103.db2.gz AMVGWFXWKHGINZ-UHFFFAOYSA-N -1 1 330.348 -0.343 20 0 EBADMM Cn1ncc(CCC(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)n1 ZINC001001543392 726611348 /nfs/dbraw/zinc/61/13/48/726611348.db2.gz BNSGCZRYGVKZSS-UHFFFAOYSA-N -1 1 344.375 -0.263 20 0 EBADMM C[C@H](C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1)[C@H]1CCC(=O)N1 ZINC001001546672 726611463 /nfs/dbraw/zinc/61/14/63/726611463.db2.gz UXRREWJPXCKYRJ-CMPLNLGQSA-N -1 1 346.387 -0.110 20 0 EBADMM Cn1ccc(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)cc1=O ZINC001001553569 726611690 /nfs/dbraw/zinc/61/16/90/726611690.db2.gz XDAWCIHPPPLKMQ-UHFFFAOYSA-N -1 1 342.355 -0.012 20 0 EBADMM CNC(=O)CN1CCC(CNC(=O)c2c[n-]n3c2nccc3=O)CC1 ZINC001001918784 726627016 /nfs/dbraw/zinc/62/70/16/726627016.db2.gz VFJHCXBKNBHHHF-UHFFFAOYSA-N -1 1 346.391 -0.790 20 0 EBADMM NC(=O)C(=O)N[C@@H]1Cc2ccccc2[C@H]1NC(=O)c1ncccc1[O-] ZINC001001955441 726628187 /nfs/dbraw/zinc/62/81/87/726628187.db2.gz JKFTVDMUDLNQQI-DGCLKSJQSA-N -1 1 340.339 -0.216 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)[C@@H]3C[C@]34CCOC4)CC2)nc1=O ZINC001002027627 726630379 /nfs/dbraw/zinc/63/03/79/726630379.db2.gz VOECBELXXVFNHT-GUYCJALGSA-N -1 1 349.435 -0.137 20 0 EBADMM O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)[C@H]1CCC(=O)N1)c1ncccc1[O-] ZINC001002189106 726635149 /nfs/dbraw/zinc/63/51/49/726635149.db2.gz RMQHGHRWBAEVRS-KKOKHZNYSA-N -1 1 344.371 -0.073 20 0 EBADMM CN(C[C@H]1CCCN1C(=O)c1ccn[nH]1)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001002197112 726635355 /nfs/dbraw/zinc/63/53/55/726635355.db2.gz LLHIQQDDQXOJRP-MRVPVSSYSA-N -1 1 347.335 -0.617 20 0 EBADMM C[C@H](C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1)n1cncn1 ZINC001002634243 726644181 /nfs/dbraw/zinc/64/41/81/726644181.db2.gz DKECMVWFKOMWDJ-SNVBAGLBSA-N -1 1 334.384 -0.958 20 0 EBADMM CN(C[C@@H]1CCCN1C(=O)[C@H]1CNC(=O)N1)C(=O)c1ncccc1[O-] ZINC001002905791 726653496 /nfs/dbraw/zinc/65/34/96/726653496.db2.gz ZEKGVDOSRJLZAL-WDEREUQCSA-N -1 1 347.375 -0.468 20 0 EBADMM CN(C[C@H]1CCCN1C(=O)[C@H]1C[C@H]1C(N)=O)C(=O)c1ncccc1[O-] ZINC001003013583 726658605 /nfs/dbraw/zinc/65/86/05/726658605.db2.gz ZMTJKDBYJOTRKO-UTUOFQBUSA-N -1 1 346.387 -0.028 20 0 EBADMM CN1CC[C@@H](C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC001003063408 726660753 /nfs/dbraw/zinc/66/07/53/726660753.db2.gz OAGXENGPFLCRGC-GFCCVEGCSA-N -1 1 346.387 -0.156 20 0 EBADMM NC(=O)C(=O)N1CCC[C@@H]([C@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC001003176324 726663260 /nfs/dbraw/zinc/66/32/60/726663260.db2.gz WBBRBZQLFNJALO-NEPJUHHUSA-N -1 1 346.387 -0.027 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CN(C(=O)c2cc[nH]n2)C1 ZINC001003317086 726669326 /nfs/dbraw/zinc/66/93/26/726669326.db2.gz KVKBDZLMSMBPDR-UHFFFAOYSA-N -1 1 348.319 -0.879 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CN(C(=O)c2ccon2)C1 ZINC001003317554 726669509 /nfs/dbraw/zinc/66/95/09/726669509.db2.gz RFDZJABNYAHDBH-UHFFFAOYSA-N -1 1 349.303 -0.614 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CN(C(=O)[C@H](C)OC)C1 ZINC001003318283 726669550 /nfs/dbraw/zinc/66/95/50/726669550.db2.gz ZOGFCYCUIRQACS-ZETCQYMHSA-N -1 1 340.336 -0.881 20 0 EBADMM O=C(CCC1CC1)N1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001003319353 726669606 /nfs/dbraw/zinc/66/96/06/726669606.db2.gz NIRLCPGFRAOJFK-UHFFFAOYSA-N -1 1 344.375 -0.204 20 0 EBADMM O=C(NCC1CN(C(=O)[C@H]2CC23CC3)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001003320802 726669692 /nfs/dbraw/zinc/66/96/92/726669692.db2.gz ZORZIRHPEYNGDQ-SNVBAGLBSA-N -1 1 342.359 -0.594 20 0 EBADMM O=C(NCC1CN(C(=O)c2ccco2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001003320363 726669735 /nfs/dbraw/zinc/66/97/35/726669735.db2.gz UWGHALOBGZUEBS-UHFFFAOYSA-N -1 1 342.315 -0.487 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)NC1CC[NH+](CC(=O)NC2CC2)CC1 ZINC001003475159 726676107 /nfs/dbraw/zinc/67/61/07/726676107.db2.gz MPHYZRLHVXWXNZ-UHFFFAOYSA-N -1 1 333.392 -0.037 20 0 EBADMM CCc1ncncc1C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001004160088 726701822 /nfs/dbraw/zinc/70/18/22/726701822.db2.gz LWLWZQZDYYSJSI-UHFFFAOYSA-N -1 1 345.407 -0.145 20 0 EBADMM CCC(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(=O)n3[n-]cnc3n1)C2 ZINC001004345812 726705656 /nfs/dbraw/zinc/70/56/56/726705656.db2.gz BBMHNBMJILFZKH-KKZNHRDASA-N -1 1 330.348 -0.311 20 0 EBADMM CN(C(=O)C1=COCCO1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005073827 726724123 /nfs/dbraw/zinc/72/41/23/726724123.db2.gz BCEILCFFNYVPAY-UHFFFAOYSA-N -1 1 337.380 -0.581 20 0 EBADMM CN(C(=O)c1cccc(=O)[nH]1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005216782 726729551 /nfs/dbraw/zinc/72/95/51/726729551.db2.gz SFBZORGTEWPMSY-UHFFFAOYSA-N -1 1 346.391 -0.054 20 0 EBADMM CN(C(=O)[C@]12C[C@H]1COC2)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005417981 726732645 /nfs/dbraw/zinc/73/26/45/726732645.db2.gz JKEKWYZONFRAIH-ZBEGNZNMSA-N -1 1 335.408 -0.432 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H](C)C2CN(C(=O)CCc3nc[nH]n3)C2)c1[O-] ZINC001005910200 726747764 /nfs/dbraw/zinc/74/77/64/726747764.db2.gz CTYCHQFRQKSAKO-QMMMGPOBSA-N -1 1 347.379 -0.249 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)c2cc(=O)n(C)o2)C1 ZINC001005949441 726749475 /nfs/dbraw/zinc/74/94/75/726749475.db2.gz GCTCUVCBFFJLDI-VIFPVBQESA-N -1 1 346.343 -0.031 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)CS(C)(=O)=O)C1 ZINC001005950054 726749550 /nfs/dbraw/zinc/74/95/50/726749550.db2.gz HTEOKSSLKVURCX-SECBINFHSA-N -1 1 341.389 -0.592 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2COCCN2C)C1 ZINC001005950291 726749563 /nfs/dbraw/zinc/74/95/63/726749563.db2.gz IWQYAXLXWFUXAU-AAEUAGOBSA-N -1 1 348.403 -0.306 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)Cc2nnc[nH]2)C1 ZINC001005951604 726749703 /nfs/dbraw/zinc/74/97/03/726749703.db2.gz OCUDNEZUBJRUNG-SECBINFHSA-N -1 1 330.348 -0.275 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CCC(=O)N2C)C1 ZINC001005952373 726749769 /nfs/dbraw/zinc/74/97/69/726749769.db2.gz BPPFYIPBZNOFAG-JQWIXIFHSA-N -1 1 346.387 -0.015 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CNC(=O)N2)C1 ZINC001005954169 726749819 /nfs/dbraw/zinc/74/98/19/726749819.db2.gz WJPBOHFGGPVAAO-PSASIEDQSA-N -1 1 333.348 -0.955 20 0 EBADMM C[C@H](NC(=O)c1cc(=O)n(C)o1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005987149 726750692 /nfs/dbraw/zinc/75/06/92/726750692.db2.gz HVPUMROTSFQOLH-VIFPVBQESA-N -1 1 346.343 -0.031 20 0 EBADMM COCC(=O)N1CC([C@@H](C)NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC001006459220 726770100 /nfs/dbraw/zinc/77/01/00/726770100.db2.gz VJODJAWYQZUYSA-SSDOTTSWSA-N -1 1 340.336 -0.881 20 0 EBADMM CO[C@H](C)C(=O)N1CC([C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001006468108 726770365 /nfs/dbraw/zinc/77/03/65/726770365.db2.gz ORHRLBRKMDNXPM-DTWKUNHWSA-N -1 1 348.363 -0.971 20 0 EBADMM CCCC(=O)N1CC([C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001006469512 726770474 /nfs/dbraw/zinc/77/04/74/726770474.db2.gz UTMBYFDYGJZVCF-VIFPVBQESA-N -1 1 332.364 -0.206 20 0 EBADMM CCc1nn(C)cc1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001007562861 726797191 /nfs/dbraw/zinc/79/71/91/726797191.db2.gz SDHRVGHGPHISSN-LLVKDONJSA-N -1 1 347.423 -0.201 20 0 EBADMM NC(=O)CC(=O)N1CC[C@@]2(C1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC001007615599 726799322 /nfs/dbraw/zinc/79/93/22/726799322.db2.gz XMPLYCDRFODEAJ-MRXNPFEDSA-N -1 1 348.359 -0.894 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)nc(C)n1 ZINC001008862910 726817683 /nfs/dbraw/zinc/81/76/83/726817683.db2.gz PPNYGYSQNSVLSC-GFCCVEGCSA-N -1 1 345.407 -0.090 20 0 EBADMM O=C(NC[C@@H]1[C@H]2CN(C(=O)[C@@H]3COCCO3)C[C@H]21)c1ncccc1[O-] ZINC001008983006 726838485 /nfs/dbraw/zinc/83/84/85/726838485.db2.gz YVRWJUXWYATOMS-FMSGJZPZSA-N -1 1 347.371 -0.363 20 0 EBADMM Cc1n[nH]c(C)c1CC(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001009477618 726922401 /nfs/dbraw/zinc/92/24/01/726922401.db2.gz AJHAQSCZFULBOY-GFCCVEGCSA-N -1 1 347.423 -0.228 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)[C@H]1CN(C(C)=O)CCO1 ZINC001009583195 726934591 /nfs/dbraw/zinc/93/45/91/726934591.db2.gz HJJKEMBIFBRUKX-VXNVDRBHSA-N -1 1 340.336 -0.738 20 0 EBADMM C[C@@H](NC(=O)c1nnc[nH]1)[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001009674767 726958403 /nfs/dbraw/zinc/95/84/03/726958403.db2.gz AMLAUNISODHLAJ-MWLCHTKSSA-N -1 1 346.347 -0.435 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H]1CN(C(=O)c2cnon2)CCO1 ZINC001009732119 726984360 /nfs/dbraw/zinc/98/43/60/726984360.db2.gz DEYRHKMRLUQGNI-BXKDBHETSA-N -1 1 347.331 -0.170 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(C(=O)C2CCC2)CC1 ZINC001009734023 726985683 /nfs/dbraw/zinc/98/56/83/726985683.db2.gz CYOWYSOVVHLOJJ-UHFFFAOYSA-N -1 1 336.348 -0.019 20 0 EBADMM NC(=O)C(=O)N1C[C@@H]2CC[C@H](NC(=O)c3ncccc3[O-])C[C@H]2C1 ZINC001009831981 727003064 /nfs/dbraw/zinc/00/30/64/727003064.db2.gz PIDGJBBPEREUKZ-DCAQKATOSA-N -1 1 332.360 -0.371 20 0 EBADMM Cc1ncccc1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051791991 735398230 /nfs/dbraw/zinc/39/82/30/735398230.db2.gz HNJRDNLBOWCBSD-LLVKDONJSA-N -1 1 332.364 -0.155 20 0 EBADMM O=C(N[C@@H]1CCN(Cc2nnc3n2CCOC3)C1)c1ncccc1[O-] ZINC001010729920 727135462 /nfs/dbraw/zinc/13/54/62/727135462.db2.gz DNPGFIFRMCRWAN-LLVKDONJSA-N -1 1 344.375 -0.087 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCN(C(=O)C2CC=CC2)CC1 ZINC001010823425 727152298 /nfs/dbraw/zinc/15/22/98/727152298.db2.gz UUWINTIFWHPNJN-UHFFFAOYSA-N -1 1 342.359 -0.332 20 0 EBADMM C[C@H](C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1)S(C)(=O)=O ZINC001011036208 727186155 /nfs/dbraw/zinc/18/61/55/727186155.db2.gz GNJDQEHBTNJIAI-SNVBAGLBSA-N -1 1 341.389 -0.495 20 0 EBADMM C[C@@]1(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)CCNC1=O ZINC001011035901 727186168 /nfs/dbraw/zinc/18/61/68/727186168.db2.gz IKACSZPGLPPBSO-MRXNPFEDSA-N -1 1 332.360 -0.402 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)[nH]1 ZINC001011036009 727186244 /nfs/dbraw/zinc/18/62/44/727186244.db2.gz KHFUYLNEBKWMKH-UHFFFAOYSA-N -1 1 343.343 -0.188 20 0 EBADMM O=C(c1cc(C2CC2)n[nH]1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051840819 735423623 /nfs/dbraw/zinc/42/36/23/735423623.db2.gz BVRKKKFAUNJVDX-SNVBAGLBSA-N -1 1 347.379 -0.258 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCN(C(=O)C(F)F)[C@H]1C ZINC001011346624 727517885 /nfs/dbraw/zinc/51/78/85/727517885.db2.gz IHRJOFSPERMWDX-NTSWFWBYSA-N -1 1 346.290 -0.119 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCN(C(=O)C(F)F)[C@@H]1C ZINC001011346628 727517978 /nfs/dbraw/zinc/51/79/78/727517978.db2.gz IHRJOFSPERMWDX-PHDIDXHHSA-N -1 1 346.290 -0.119 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN1C(=O)C(F)F ZINC001011350202 727518431 /nfs/dbraw/zinc/51/84/31/727518431.db2.gz VBLMZMDRJJAJDU-NKWVEPMBSA-N -1 1 340.290 -0.598 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN1C(=O)C1CC1 ZINC001011355748 727518745 /nfs/dbraw/zinc/51/87/45/727518745.db2.gz RXVKFUXOAQEMQC-PSASIEDQSA-N -1 1 330.348 -0.453 20 0 EBADMM C[C@@]1(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)CCOC1 ZINC001051887817 735446704 /nfs/dbraw/zinc/44/67/04/735446704.db2.gz IZBNIKYTLMZTLB-QMTHXVAHSA-N -1 1 325.369 -0.746 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1c[nH]c(=O)cn1 ZINC001012019566 727624293 /nfs/dbraw/zinc/62/42/93/727624293.db2.gz HVICFDZSNZEAPU-NXEZZACHSA-N -1 1 343.343 -0.097 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CN1C(=O)C(F)F ZINC001012122901 727639224 /nfs/dbraw/zinc/63/92/24/727639224.db2.gz VINCIDFYQKTYGI-BQBZGAKWSA-N -1 1 340.290 -0.598 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)Cn1cnnn1 ZINC001012258586 727646398 /nfs/dbraw/zinc/64/63/98/727646398.db2.gz AOHLCWGMNHVUQT-NXEZZACHSA-N -1 1 331.336 -0.807 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)CN1CCOCC1 ZINC001012498556 727670563 /nfs/dbraw/zinc/67/05/63/727670563.db2.gz WHKUAUMDYBCMFV-STQMWFEESA-N -1 1 348.403 -0.161 20 0 EBADMM CC(C)(C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1)c1cnc[nH]1 ZINC001051902778 735455338 /nfs/dbraw/zinc/45/53/38/735455338.db2.gz LTGHRCKNWMKUGN-SNVBAGLBSA-N -1 1 349.395 -0.472 20 0 EBADMM CCc1nc[nH]c1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051917483 735460545 /nfs/dbraw/zinc/46/05/45/735460545.db2.gz ATVJSTNGTMFMDL-VIFPVBQESA-N -1 1 335.368 -0.573 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)C(F)F)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001013238574 727786393 /nfs/dbraw/zinc/78/63/93/727786393.db2.gz FABNKGFYPPNTAM-RKDXNWHRSA-N -1 1 344.318 -0.703 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)CC2CC2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001013325088 727793798 /nfs/dbraw/zinc/79/37/98/727793798.db2.gz IKELOQSHSSEIEP-MWLCHTKSSA-N -1 1 344.375 -0.063 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1nnn(C)n1 ZINC001013661302 727827355 /nfs/dbraw/zinc/82/73/55/727827355.db2.gz ZSIMMSZWJJYNSJ-RKDXNWHRSA-N -1 1 331.336 -0.656 20 0 EBADMM CC(=O)N[C@@]12CCC[C@@H]1N(C(=O)c1cc(=O)n3[n-]cnc3n1)CC2 ZINC001014111933 727901944 /nfs/dbraw/zinc/90/19/44/727901944.db2.gz AKKYPDUHKYUPKB-XHDPSFHLSA-N -1 1 330.348 -0.309 20 0 EBADMM NC(=O)CC(=O)N1CC[C@]2(NC(=O)c3ncccc3[O-])CCC[C@H]12 ZINC001014254160 727913443 /nfs/dbraw/zinc/91/34/43/727913443.db2.gz YIGPVNCLSNKDSH-MEDUHNTESA-N -1 1 332.360 -0.084 20 0 EBADMM Cc1cnccc1CC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001014445630 727955399 /nfs/dbraw/zinc/95/53/99/727955399.db2.gz XCRYDHIJQFQGKH-CYBMUJFWSA-N -1 1 330.392 -0.255 20 0 EBADMM NC(=O)C(=O)N[C@@H]1CCC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001014574255 727972279 /nfs/dbraw/zinc/97/22/79/727972279.db2.gz SEGWJHWTWDPJNB-HBNTYKKESA-N -1 1 332.360 -0.371 20 0 EBADMM CCOc1ccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001014609067 727977386 /nfs/dbraw/zinc/97/73/86/727977386.db2.gz CEHVLWMXLJXXKH-LBPRGKRZSA-N -1 1 346.391 -0.094 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cnn4ccncc34)C2)nc1=O ZINC001014745922 728001225 /nfs/dbraw/zinc/00/12/25/728001225.db2.gz HENJOWGWNWEHQD-SNVBAGLBSA-N -1 1 342.363 -0.845 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CCCN1C(=O)C(N)=O ZINC001014958276 728040837 /nfs/dbraw/zinc/04/08/37/728040837.db2.gz XCGJSLFVKKGFGB-LDYMZIIASA-N -1 1 347.375 -0.346 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@@H]3CCCn4nccc43)C2)nc1=O ZINC001014987273 728050335 /nfs/dbraw/zinc/05/03/35/728050335.db2.gz KIHDLPOXJIZNAW-VXGBXAGGSA-N -1 1 345.407 -0.427 20 0 EBADMM C[C@@H]1[C@H](NC(=O)Cn2c(=O)[n-][nH]c2=O)CCCN1C(=O)c1ccn[nH]1 ZINC001015112674 728082494 /nfs/dbraw/zinc/08/24/94/728082494.db2.gz KDJZDCYGRIGSQZ-RKDXNWHRSA-N -1 1 349.351 -0.778 20 0 EBADMM O=C(N[C@@H]1CCN(CCc2ccns2)C1)c1n[nH]c(=O)[n-]c1=O ZINC001015116290 728083567 /nfs/dbraw/zinc/08/35/67/728083567.db2.gz AXSPLSHUONQJLF-MRVPVSSYSA-N -1 1 336.377 -0.214 20 0 EBADMM CCc1nc([C@H](C)N2CC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001015117749 728084034 /nfs/dbraw/zinc/08/40/34/728084034.db2.gz FRVXHFAJPLNDDN-YUMQZZPRSA-N -1 1 348.367 -0.471 20 0 EBADMM CCc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c(C)nn1 ZINC001015392330 728409710 /nfs/dbraw/zinc/40/97/10/728409710.db2.gz OWTQGIOHLGZESK-LBPRGKRZSA-N -1 1 345.407 -0.226 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3occ4c3CCOC4)C2)nc1=O ZINC001015398738 728410436 /nfs/dbraw/zinc/41/04/36/728410436.db2.gz RMVRYRYVNJJYBW-NSHDSACASA-N -1 1 347.375 -0.222 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cc(C4CC4)on3)C2)nc1=O ZINC001015428462 728413953 /nfs/dbraw/zinc/41/39/53/728413953.db2.gz BSPMFFUVIUPQMG-JTQLQIEISA-N -1 1 332.364 -0.022 20 0 EBADMM Cc1n[nH]c(C)c1[C@@H](C)C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001015866608 728436983 /nfs/dbraw/zinc/43/69/83/728436983.db2.gz UPHIMELABPWNSH-SKDRFNHKSA-N -1 1 347.423 -0.057 20 0 EBADMM Cc1noc(CN2CC[C@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)n1 ZINC001015988699 728440593 /nfs/dbraw/zinc/44/05/93/728440593.db2.gz FGTPWJPCFBFAGP-VIFPVBQESA-N -1 1 344.335 -0.887 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@H]3CCc4c[nH]nc4C3)C2)nc1=O ZINC001016306188 728454249 /nfs/dbraw/zinc/45/42/49/728454249.db2.gz NZOJAWIPMWIQEZ-CMPLNLGQSA-N -1 1 345.407 -0.673 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)[C@@H]2CCN(C)C2=O)C1 ZINC001016427342 728471602 /nfs/dbraw/zinc/47/16/02/728471602.db2.gz GRBVISCHXNNATJ-MCIGGMRASA-N -1 1 346.387 -0.015 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)[C@@H]2COCCN2C)C1 ZINC001016430165 728472743 /nfs/dbraw/zinc/47/27/43/728472743.db2.gz FGJLZDSPRKMEKW-BPCQOVAHSA-N -1 1 348.403 -0.163 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)[C@@H]2CCC(=O)N2)C1 ZINC001016430766 728472835 /nfs/dbraw/zinc/47/28/35/728472835.db2.gz LYBHEMGMRVMADW-ILDUYXDCSA-N -1 1 332.360 -0.215 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N(C)C1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001016833765 728514846 /nfs/dbraw/zinc/51/48/46/728514846.db2.gz BQMLRVWGMUYNLO-AGVGLQIMSA-N -1 1 344.375 -0.207 20 0 EBADMM NC(=O)CN1CCC2(C[C@@H]2NC(=O)c2c[n-]n3c2nccc3=O)CC1 ZINC001016864627 728518400 /nfs/dbraw/zinc/51/84/00/728518400.db2.gz HOAHDHXWAAPXDE-NSHDSACASA-N -1 1 344.375 -0.908 20 0 EBADMM Cn1c(C(=O)N2CCC[C@@H](C[N@@H+](C)Cc3n[nH]c(=O)[n-]3)C2)c[nH]c1=O ZINC001017176910 728552090 /nfs/dbraw/zinc/55/20/90/728552090.db2.gz BWKGUKRYISAGLJ-JTQLQIEISA-N -1 1 349.395 -0.066 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)c2c[nH]c(=O)n2C)C1 ZINC001017176910 728552093 /nfs/dbraw/zinc/55/20/93/728552093.db2.gz BWKGUKRYISAGLJ-JTQLQIEISA-N -1 1 349.395 -0.066 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)CCn2cccn2)nc1=O ZINC001017364344 728568911 /nfs/dbraw/zinc/56/89/11/728568911.db2.gz DDPLNZVOXVLDLC-BETUJISGSA-N -1 1 345.407 -0.430 20 0 EBADMM O=C(Cn1cncn1)N1CC=C(CNC(=O)c2ncccc2[O-])CC1 ZINC001017400411 728571900 /nfs/dbraw/zinc/57/19/00/728571900.db2.gz MVEOAIGNOPJRRN-UHFFFAOYSA-N -1 1 342.359 -0.033 20 0 EBADMM CCC(=O)N[C@H]1CC[C@@H](C)N(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001017861776 728620948 /nfs/dbraw/zinc/62/09/48/728620948.db2.gz NPZNVZNYZUKWPA-NEPJUHHUSA-N -1 1 336.392 -0.168 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)Cn2ncnn2)CN1C(=O)c1ncccc1[O-] ZINC001018176137 728643296 /nfs/dbraw/zinc/64/32/96/728643296.db2.gz ZOWREWQKTPYMSB-MNOVXSKESA-N -1 1 345.363 -0.417 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)c2ccc(=O)[nH]c2)nc1=O ZINC001018237862 728648436 /nfs/dbraw/zinc/64/84/36/728648436.db2.gz QLBSSFQILUUKAJ-TXEJJXNPSA-N -1 1 344.375 -0.302 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CN1C(=O)c1ccn[nH]1 ZINC001018292069 728653988 /nfs/dbraw/zinc/65/39/88/728653988.db2.gz UVZUKYKWQOIXDB-JGVFFNPUSA-N -1 1 347.335 -0.571 20 0 EBADMM CC(=O)NC[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)CC[C@@H]1C ZINC001018589779 728677966 /nfs/dbraw/zinc/67/79/66/728677966.db2.gz KHULUVKTGFSTNN-AAEUAGOBSA-N -1 1 336.392 -0.453 20 0 EBADMM CN(C)c1cnc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001018846900 728694475 /nfs/dbraw/zinc/69/44/75/728694475.db2.gz PVRSDFZXSIKWBN-VIFPVBQESA-N -1 1 332.368 -0.629 20 0 EBADMM O=C([C@@H]1CCc2n[nH]cc2C1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019645058 728957731 /nfs/dbraw/zinc/95/77/31/728957731.db2.gz AJGVWYZVGLVLMD-KOLCDFICSA-N -1 1 331.380 -0.271 20 0 EBADMM CNC(=O)c1ccc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001019668259 728970072 /nfs/dbraw/zinc/97/00/72/728970072.db2.gz OZFIZXNNGJLFQR-JTQLQIEISA-N -1 1 345.363 -0.731 20 0 EBADMM CN(C)c1ccnc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001019860118 729013918 /nfs/dbraw/zinc/01/39/18/729013918.db2.gz YOUPQOJCMYEITK-JTQLQIEISA-N -1 1 331.380 -0.024 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)nc1 ZINC001019863934 729016783 /nfs/dbraw/zinc/01/67/83/729016783.db2.gz QTPZVLDXLTWVJY-VIFPVBQESA-N -1 1 331.336 -0.992 20 0 EBADMM COCC(=O)N1CCO[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001019875401 729021663 /nfs/dbraw/zinc/02/16/63/729021663.db2.gz UWDHSEVNHXAOAC-YPMHNXCESA-N -1 1 335.360 -0.125 20 0 EBADMM NC(=O)c1ccnc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001019901187 729036020 /nfs/dbraw/zinc/03/60/20/729036020.db2.gz NORRBQRHXVDPHL-VIFPVBQESA-N -1 1 331.336 -0.992 20 0 EBADMM O=C(N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCCCS1(=O)=O ZINC001020236944 729110130 /nfs/dbraw/zinc/11/01/30/729110130.db2.gz OKMJTVPIDSMPGP-GUBZILKMSA-N -1 1 343.409 -0.786 20 0 EBADMM Cc1cc(=O)c(C(=O)N[C@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C2)cn1C ZINC001020264633 729118547 /nfs/dbraw/zinc/11/85/47/729118547.db2.gz YLFGCPVWAWMNRK-MGCOHNPYSA-N -1 1 332.364 -0.432 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@@H]1CC(=O)N(C)C1 ZINC001020326201 729133684 /nfs/dbraw/zinc/13/36/84/729133684.db2.gz DZEKMTREBIMXAM-TUAOUCFPSA-N -1 1 346.387 -0.015 20 0 EBADMM CN1C[C@@H](C(=O)NC2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[n-]2)CC1=O ZINC001021275987 729301665 /nfs/dbraw/zinc/30/16/65/729301665.db2.gz RNCHYZFHHFIVMN-YEJSDXFRSA-N -1 1 348.407 -0.400 20 0 EBADMM C[C@]1(C(=O)NC2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[n-]2)CCNC1=O ZINC001021378747 729309453 /nfs/dbraw/zinc/30/94/53/729309453.db2.gz QIYKRXHNVLNCTN-MNVHQWBDSA-N -1 1 348.407 -0.352 20 0 EBADMM O=C(C[C@H]1CCC(=O)N1)NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001021407161 729311133 /nfs/dbraw/zinc/31/11/33/729311133.db2.gz HBJZAFRDWWGPHT-WAAKLRNESA-N -1 1 348.407 -0.209 20 0 EBADMM O=C(Cn1ncnn1)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001021444147 729314432 /nfs/dbraw/zinc/31/44/32/729314432.db2.gz JNRZUEWJFGJQCV-WDEREUQCSA-N -1 1 343.347 -0.853 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@@H](CCCN3C(=O)c3cnon3)C2)nc1=O ZINC001021694665 729332035 /nfs/dbraw/zinc/33/20/35/729332035.db2.gz XZFUPYQXZRCNSW-JQWIXIFHSA-N -1 1 347.379 -0.382 20 0 EBADMM O=C(c1cn[nH]n1)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001022095451 729454052 /nfs/dbraw/zinc/45/40/52/729454052.db2.gz LVBRWUMFKSSALN-GXSJLCMTSA-N -1 1 328.332 -0.108 20 0 EBADMM CCCC(=O)N1C[C@@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)[C@@H]2C1 ZINC001022206899 729466085 /nfs/dbraw/zinc/46/60/85/729466085.db2.gz LHLBNNJYKYIXMM-CMPLNLGQSA-N -1 1 344.375 -0.109 20 0 EBADMM Cc1cc(CN[C@H]2C[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)nn1C ZINC001022369734 729485943 /nfs/dbraw/zinc/48/59/43/729485943.db2.gz NWNOKMYOBZAMFO-DTORHVGOSA-N -1 1 333.352 -0.625 20 0 EBADMM COc1nccc(CN[C@H]2C[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001022370201 729486421 /nfs/dbraw/zinc/48/64/21/729486421.db2.gz ZHDMNMGOCPIOTM-DTORHVGOSA-N -1 1 347.335 -0.868 20 0 EBADMM Cn1ccnc1CN[C@H]1C[C@@H](NC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001022821294 729535496 /nfs/dbraw/zinc/53/54/96/729535496.db2.gz NUEUJZIJBDGFHP-PHIMTYICSA-N -1 1 341.375 -0.193 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)c1ccccn1 ZINC001022908537 729552196 /nfs/dbraw/zinc/55/21/96/729552196.db2.gz SFTMIPZXGVVSQR-DGCLKSJQSA-N -1 1 328.328 -0.203 20 0 EBADMM Cc1ncncc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001023122232 729612532 /nfs/dbraw/zinc/61/25/32/729612532.db2.gz KQQKAXLURFAWQB-DGCLKSJQSA-N -1 1 343.343 -0.499 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](CNC(=O)c3cnsn3)C2)nc1=O ZINC001023251300 729649571 /nfs/dbraw/zinc/64/95/71/729649571.db2.gz PUPGFNOXGHHHOD-SECBINFHSA-N -1 1 337.409 -0.398 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)c1cncc(F)c1 ZINC001023368922 729672623 /nfs/dbraw/zinc/67/26/23/729672623.db2.gz AIXWXWFELYVRRZ-DGCLKSJQSA-N -1 1 346.318 -0.063 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](CNC(=O)[C@]34C[C@H]3COC4)C2)nc1=O ZINC001023383373 729675629 /nfs/dbraw/zinc/67/56/29/729675629.db2.gz SZQARXUUHWAAMI-WQGACYEGSA-N -1 1 335.408 -0.527 20 0 EBADMM Cc1nc(CN2CCC[C@@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001023467793 729695985 /nfs/dbraw/zinc/69/59/85/729695985.db2.gz ADWBGGXUFSIWKY-VIFPVBQESA-N -1 1 348.367 -0.649 20 0 EBADMM Cc1nc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)co1 ZINC001023488309 729702003 /nfs/dbraw/zinc/70/20/03/729702003.db2.gz BMBWCERWBGZNHG-BXKDBHETSA-N -1 1 332.316 -0.301 20 0 EBADMM Cn1cnc(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)c1 ZINC001023488723 729702764 /nfs/dbraw/zinc/70/27/64/729702764.db2.gz UBCLMGWDZWUUOO-BXKDBHETSA-N -1 1 331.332 -0.864 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2cncc(F)c2)C[C@H]1O)c1ncccc1[O-] ZINC001023488744 729702851 /nfs/dbraw/zinc/70/28/51/729702851.db2.gz UYFWPGVIJWWTOA-DGCLKSJQSA-N -1 1 346.318 -0.063 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ccns2)C[C@H]1O)c1ncccc1[O-] ZINC001023490628 729703669 /nfs/dbraw/zinc/70/36/69/729703669.db2.gz KWGWAQFOCWBFCY-PSASIEDQSA-N -1 1 334.357 -0.141 20 0 EBADMM O=C(Cc1ccco1)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023491150 729703714 /nfs/dbraw/zinc/70/37/14/729703714.db2.gz XMKPEJJAFVDQPI-DGCLKSJQSA-N -1 1 331.328 -0.076 20 0 EBADMM Cc1nccc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)n1 ZINC001023826906 729805516 /nfs/dbraw/zinc/80/55/16/729805516.db2.gz ORIBQUJEJRMZGN-DGCLKSJQSA-N -1 1 343.343 -0.499 20 0 EBADMM Cn1nnc(CNC[C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001023950110 729819594 /nfs/dbraw/zinc/81/95/94/729819594.db2.gz NZGQZOYQUXJFDC-NSHDSACASA-N -1 1 331.380 -0.047 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)c1ccns1 ZINC001024041594 729833267 /nfs/dbraw/zinc/83/32/67/729833267.db2.gz DXSMBBWBERMZSI-PSASIEDQSA-N -1 1 334.357 -0.141 20 0 EBADMM Cn1cc(C(=O)NC[C@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001024322434 729873516 /nfs/dbraw/zinc/87/35/16/729873516.db2.gz VBYPIIMMHDDJIH-GFCCVEGCSA-N -1 1 333.396 -0.374 20 0 EBADMM Cn1cc(C(=O)NC[C@@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001024322435 729873668 /nfs/dbraw/zinc/87/36/68/729873668.db2.gz VBYPIIMMHDDJIH-LBPRGKRZSA-N -1 1 333.396 -0.374 20 0 EBADMM Cn1[nH]c(CN2CCCC[C@@H]2CNC(=O)c2ncccc2[O-])nc1=O ZINC001024408053 729882483 /nfs/dbraw/zinc/88/24/83/729882483.db2.gz WDXMVIWRQSHXSP-LLVKDONJSA-N -1 1 346.391 -0.007 20 0 EBADMM CCn1nncc1C(=O)NC[C@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001024423843 729885983 /nfs/dbraw/zinc/88/59/83/729885983.db2.gz MWJJPHHDVGXWFQ-LLVKDONJSA-N -1 1 348.411 -0.496 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)NC[C@@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001024494316 729893173 /nfs/dbraw/zinc/89/31/73/729893173.db2.gz LXHVUYPLBGXCLL-JTQLQIEISA-N -1 1 349.395 -0.370 20 0 EBADMM C[C@H](C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)n1cncn1 ZINC001024933636 729943739 /nfs/dbraw/zinc/94/37/39/729943739.db2.gz YWWZHZLDVNHXPZ-GHMZBOCLSA-N -1 1 334.384 -0.166 20 0 EBADMM CN1CCOC[C@@H]1C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024934977 729943944 /nfs/dbraw/zinc/94/39/44/729943944.db2.gz IWHFHYVFVCOCBV-VXGBXAGGSA-N -1 1 338.412 -0.688 20 0 EBADMM Cc1n[nH]cc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001024968584 729947235 /nfs/dbraw/zinc/94/72/35/729947235.db2.gz JXWGNZXIRLJBAS-ZYHUDNBSSA-N -1 1 331.332 -0.566 20 0 EBADMM CN1C[C@H](C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)NC1=O ZINC001025040832 729989627 /nfs/dbraw/zinc/98/96/27/729989627.db2.gz NCBYNCQMUKUOFG-NXEZZACHSA-N -1 1 337.384 -0.995 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)[C@]1(F)CCOC1 ZINC001025206656 730014906 /nfs/dbraw/zinc/01/49/06/730014906.db2.gz WLANTKOFCVLBAF-OSQNNJELSA-N -1 1 339.323 -0.783 20 0 EBADMM C[C@@]1(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)CCOC1 ZINC001025308757 730029120 /nfs/dbraw/zinc/02/91/20/730029120.db2.gz CXTUWRCPFHSATN-NSODJVPESA-N -1 1 335.360 -0.485 20 0 EBADMM C[C@H](C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)[C@@H]1CCCO1 ZINC001026027354 730116385 /nfs/dbraw/zinc/11/63/85/730116385.db2.gz ZUXBVFJDOPIEMS-BYNIDDHOSA-N -1 1 335.408 -0.530 20 0 EBADMM Cc1noc([C@@H](C)NC[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001026940951 730173902 /nfs/dbraw/zinc/17/39/02/730173902.db2.gz WACOIRSIHPXVRM-APPZFPTMSA-N -1 1 349.351 -0.213 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)[C@@H]1CCCN(C(=O)CCc2c[nH]nn2)C1 ZINC001027583514 730227233 /nfs/dbraw/zinc/22/72/33/730227233.db2.gz YUOUHVICEFCHRX-LLVKDONJSA-N -1 1 334.384 -0.316 20 0 EBADMM Cc1cnc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001027731856 730236708 /nfs/dbraw/zinc/23/67/08/730236708.db2.gz HGXDSZFKHOXNJR-LLVKDONJSA-N -1 1 331.380 -0.399 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)ncn1 ZINC001027828325 730241219 /nfs/dbraw/zinc/24/12/19/730241219.db2.gz JHNBVPWVAJGZNL-LLVKDONJSA-N -1 1 331.380 -0.399 20 0 EBADMM Cn1[nH]c(CN2CCC[C@H]2CNC(=O)c2ncccc2[O-])nc1=O ZINC001027844697 730242357 /nfs/dbraw/zinc/24/23/57/730242357.db2.gz WAGFFFYCBWBALY-JTQLQIEISA-N -1 1 332.364 -0.397 20 0 EBADMM O=C(CN1CCC[C@H]1CNC(=O)c1ncccc1[O-])N1CCOCC1 ZINC001027844443 730242528 /nfs/dbraw/zinc/24/25/28/730242528.db2.gz RKNUVQFBIUNIPN-ZDUSSCGKSA-N -1 1 348.403 -0.160 20 0 EBADMM CCc1nn(C)cc1C(=O)NC[C@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001027956723 730251810 /nfs/dbraw/zinc/25/18/10/730251810.db2.gz UJAPABYTLILCGA-LLVKDONJSA-N -1 1 347.423 -0.201 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)C3CCC(O)CC3)C2)nc1=O ZINC001028510714 730303648 /nfs/dbraw/zinc/30/36/48/730303648.db2.gz LCYVARLFPRCMEX-PNESKVBLSA-N -1 1 337.424 -0.402 20 0 EBADMM CCc1oncc1C(=O)NC[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001028840847 730362212 /nfs/dbraw/zinc/36/22/12/730362212.db2.gz NKBFLMDRBSEQGB-JTQLQIEISA-N -1 1 334.380 -0.089 20 0 EBADMM Cc1c(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)nnn1C ZINC001029253042 730395168 /nfs/dbraw/zinc/39/51/68/730395168.db2.gz ADZYUZHXUIOMIK-PHIMTYICSA-N -1 1 346.395 -0.966 20 0 EBADMM Cc1nc(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)co1 ZINC001029280518 730396628 /nfs/dbraw/zinc/39/66/28/730396628.db2.gz RRCZCOYSOPRZMZ-PHIMTYICSA-N -1 1 332.364 -0.106 20 0 EBADMM C[C@@H]1COCC[C@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029463547 730406415 /nfs/dbraw/zinc/40/64/15/730406415.db2.gz XAHREUVBMJHGAS-YIYPIFLZSA-N -1 1 349.435 -0.044 20 0 EBADMM CCn1cnc(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)c1 ZINC001029554630 730410408 /nfs/dbraw/zinc/41/04/08/730410408.db2.gz JMFWEFOIHVVGDH-TXEJJXNPSA-N -1 1 345.407 -0.186 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)[C@@H]2COCCN2C)C1 ZINC001029709851 730485906 /nfs/dbraw/zinc/48/59/06/730485906.db2.gz KZQDDEVBCMBQFN-RYUDHWBXSA-N -1 1 338.412 -0.879 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001029750224 730493998 /nfs/dbraw/zinc/49/39/98/730493998.db2.gz DDLNHZSCPNMJQW-GHMZBOCLSA-N -1 1 336.396 -0.731 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)[C@H]2CCCNC2=O)C1 ZINC001029761535 730496589 /nfs/dbraw/zinc/49/65/89/730496589.db2.gz UICSJOUVVUUVQW-QWRGUYRKSA-N -1 1 336.396 -0.683 20 0 EBADMM CCn1cc(CC(=O)N2CC[C@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)nn1 ZINC001029790171 730501329 /nfs/dbraw/zinc/50/13/29/730501329.db2.gz LLWHOFGLUGOFLC-LLVKDONJSA-N -1 1 348.411 -0.355 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)[C@@]2(C)CNC(=O)C2)C1 ZINC001029792580 730502267 /nfs/dbraw/zinc/50/22/67/730502267.db2.gz KFFNUNGOKQTXHR-ZUZCIYMTSA-N -1 1 336.396 -0.683 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)[C@@]2(F)CCOC2)C1 ZINC001029820263 730508141 /nfs/dbraw/zinc/50/81/41/730508141.db2.gz SWEBUVMRKLWLCQ-QMTHXVAHSA-N -1 1 327.360 -0.081 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)[C@]2(C)CCC(=O)N2)C1 ZINC001029830564 730510649 /nfs/dbraw/zinc/51/06/49/730510649.db2.gz FASNGANHWFMXTL-BONVTDFDSA-N -1 1 336.396 -0.541 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)c2cc(C(N)=O)co2)C1 ZINC001029838235 730511079 /nfs/dbraw/zinc/51/10/79/730511079.db2.gz DRJILGAFRGGFJZ-SECBINFHSA-N -1 1 348.363 -0.204 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)c2cc(C(N)=O)co2)C1 ZINC001029838236 730511342 /nfs/dbraw/zinc/51/13/42/730511342.db2.gz DRJILGAFRGGFJZ-VIFPVBQESA-N -1 1 348.363 -0.204 20 0 EBADMM O=C(NC1CN(CCCN2C(=O)CNC2=O)C1)c1ncccc1[O-] ZINC001030241771 730557945 /nfs/dbraw/zinc/55/79/45/730557945.db2.gz LNUDWJQFAQTTEO-UHFFFAOYSA-N -1 1 333.348 -0.857 20 0 EBADMM O=C(NC1CN(C[C@@H]2CCS(=O)(=O)C2)C1)c1ncccc1[O-] ZINC001030242904 730558283 /nfs/dbraw/zinc/55/82/83/730558283.db2.gz ZFGRFUGRHZAESZ-JTQLQIEISA-N -1 1 325.390 -0.364 20 0 EBADMM CNC(=O)Cc1noc(CN2CC(NC(=O)c3ncccc3[O-])C2)n1 ZINC001030243696 730558335 /nfs/dbraw/zinc/55/83/35/730558335.db2.gz MQLLWRXBAHMRDY-UHFFFAOYSA-N -1 1 346.347 -0.927 20 0 EBADMM CC(C)c1cc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC001030291101 730563823 /nfs/dbraw/zinc/56/38/23/730563823.db2.gz LFOFZBFJOVGPDC-UHFFFAOYSA-N -1 1 333.396 -0.421 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ccnn3C(F)F)C2)nc1=O ZINC001030371896 730575386 /nfs/dbraw/zinc/57/53/86/730575386.db2.gz MTXUDKUUOKJIAO-UHFFFAOYSA-N -1 1 327.295 -0.686 20 0 EBADMM Cc1cn(C(C)(C)C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001030513485 730597529 /nfs/dbraw/zinc/59/75/29/730597529.db2.gz KCLXKEVWIXBRHL-UHFFFAOYSA-N -1 1 333.396 -0.651 20 0 EBADMM C[C@H]1CCc2nc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)cn2C1 ZINC001030521474 730598703 /nfs/dbraw/zinc/59/87/03/730598703.db2.gz IDBHFMKBFYSSMS-JTQLQIEISA-N -1 1 345.407 -0.499 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cc4cnccc4o3)C2)nc1=O ZINC001030618981 730614606 /nfs/dbraw/zinc/61/46/06/730614606.db2.gz YRXFHQBNYMKZBK-UHFFFAOYSA-N -1 1 328.332 -0.136 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@@H]3Cc4ccccc4O3)C2)nc1=O ZINC001030636615 730618321 /nfs/dbraw/zinc/61/83/21/730618321.db2.gz NBEZMJLOVPNHHA-ZDUSSCGKSA-N -1 1 329.360 -0.588 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cnc4cnccc4c3)C2)nc1=O ZINC001030678815 730622717 /nfs/dbraw/zinc/62/27/17/730622717.db2.gz MHHQYCZYZAMQBS-UHFFFAOYSA-N -1 1 339.359 -0.334 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3occ4c3CCOC4)C2)nc1=O ZINC001030746725 730632608 /nfs/dbraw/zinc/63/26/08/730632608.db2.gz FRSXEWBQHANJLU-UHFFFAOYSA-N -1 1 333.348 -0.612 20 0 EBADMM Cn1cc(CC(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c(Cl)n1 ZINC001030950660 730657597 /nfs/dbraw/zinc/65/75/97/730657597.db2.gz AARPFFKUENANEV-UHFFFAOYSA-N -1 1 339.787 -0.962 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@@H]3Cc4cc(F)ccc4O3)C2)nc1=O ZINC001031037451 730667276 /nfs/dbraw/zinc/66/72/76/730667276.db2.gz FMTTWOPOLDDRSM-ZDUSSCGKSA-N -1 1 347.350 -0.448 20 0 EBADMM O=C(NC1CN([C@H]2CCC(=O)NC2=O)C1)c1cnc(C2CC2)[n-]c1=O ZINC001031061312 730670100 /nfs/dbraw/zinc/67/01/00/730670100.db2.gz VIYYZVULPMBTBL-NSHDSACASA-N -1 1 345.359 -0.721 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@H]3CCOc4ccccc43)C2)nc1=O ZINC001031110519 730676806 /nfs/dbraw/zinc/67/68/06/730676806.db2.gz PCPGPTSBNGMSIS-ZDUSSCGKSA-N -1 1 343.387 -0.025 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@H]3CCc4ccccc4O3)C2)nc1=O ZINC001031118392 730678193 /nfs/dbraw/zinc/67/81/93/730678193.db2.gz APWXYHHGFIEJKW-CQSZACIVSA-N -1 1 343.387 -0.197 20 0 EBADMM Cc1nc2cc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)ccc2[nH]1 ZINC001031158777 730682381 /nfs/dbraw/zinc/68/23/81/730682381.db2.gz FHSJFBNTTQEVLN-UHFFFAOYSA-N -1 1 341.375 -0.093 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(Cc2cnsn2)C1 ZINC001031249555 730692866 /nfs/dbraw/zinc/69/28/66/730692866.db2.gz BRJHKSVIFZPDRU-UHFFFAOYSA-N -1 1 338.349 -0.638 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(Cc2cncn2C)C1 ZINC001031250120 730693807 /nfs/dbraw/zinc/69/38/07/730693807.db2.gz UOCZBSILXCPBEM-UHFFFAOYSA-N -1 1 334.336 -0.756 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(Cc2ccnn2C)C1 ZINC001031250130 730693849 /nfs/dbraw/zinc/69/38/49/730693849.db2.gz UYAYGGKFADKMLW-UHFFFAOYSA-N -1 1 334.336 -0.756 20 0 EBADMM CCn1ccnc1CN1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001031250187 730693952 /nfs/dbraw/zinc/69/39/52/730693952.db2.gz XCLOSESSNHBJRU-UHFFFAOYSA-N -1 1 342.363 -0.752 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(Cc2nnc(C)o2)C1 ZINC001031250236 730693957 /nfs/dbraw/zinc/69/39/57/730693957.db2.gz ZHPMLNGWMPCWLX-UHFFFAOYSA-N -1 1 336.308 -0.798 20 0 EBADMM Cc1nc(C)c(CN2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)o1 ZINC001031251692 730695018 /nfs/dbraw/zinc/69/50/18/730695018.db2.gz VAHHCUIXRSVXKJ-UHFFFAOYSA-N -1 1 343.347 -0.363 20 0 EBADMM O=C(NC1CN(Cc2ccns2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001031252115 730695133 /nfs/dbraw/zinc/69/51/33/730695133.db2.gz ANCHKWQFBDFVMK-UHFFFAOYSA-N -1 1 331.361 -0.512 20 0 EBADMM C[C@@H](c1ncccn1)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001031253537 730695621 /nfs/dbraw/zinc/69/56/21/730695621.db2.gz QMMJMXWLNOGHCT-VIFPVBQESA-N -1 1 340.347 -0.617 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3scnc3Cl)C2)nc1=O ZINC001031396781 730715279 /nfs/dbraw/zinc/71/52/79/730715279.db2.gz ZJWROHCLIKHCBL-UHFFFAOYSA-N -1 1 328.785 -0.168 20 0 EBADMM Cn1ncc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1C1CC1 ZINC001031480392 730728028 /nfs/dbraw/zinc/72/80/28/730728028.db2.gz LUUNNCWSFUBXIV-UHFFFAOYSA-N -1 1 331.380 -0.667 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cnc4n3CCC4)C2)nc1=O ZINC001031742120 730760721 /nfs/dbraw/zinc/76/07/21/730760721.db2.gz UOZYYQYUKVSVJN-UHFFFAOYSA-N -1 1 331.380 -0.887 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cnc4[nH]ccc4c3)C2)nc1=O ZINC001031751351 730762787 /nfs/dbraw/zinc/76/27/87/730762787.db2.gz DBFUJPUBQMXQGX-UHFFFAOYSA-N -1 1 341.375 -0.202 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@H]3Cc4ccccc4O3)C2)nc1=O ZINC001031825329 730775609 /nfs/dbraw/zinc/77/56/09/730775609.db2.gz ITRKNJSWCQVXJS-CQSZACIVSA-N -1 1 343.387 -0.340 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3ncc4ccccn43)C2)nc1=O ZINC001031845347 730779107 /nfs/dbraw/zinc/77/91/07/730779107.db2.gz RQMGEMMZUYPFEA-UHFFFAOYSA-N -1 1 341.375 -0.382 20 0 EBADMM Cn1nccc1[C@@H]1C[C@H]1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031865630 730782598 /nfs/dbraw/zinc/78/25/98/730782598.db2.gz AQFPLGOEGJPCQC-VXGBXAGGSA-N -1 1 345.407 -0.806 20 0 EBADMM CCc1c(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)ccn1C ZINC001031979837 730799838 /nfs/dbraw/zinc/79/98/38/730799838.db2.gz PLXGNMOMYFSLLS-UHFFFAOYSA-N -1 1 332.408 -0.129 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3ncoc3C3CC3)C2)nc1=O ZINC001032103744 730812811 /nfs/dbraw/zinc/81/28/11/730812811.db2.gz MQZKCGPUKMYXKF-UHFFFAOYSA-N -1 1 332.364 -0.164 20 0 EBADMM Cn1cncc1CN1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001032127764 730816398 /nfs/dbraw/zinc/81/63/98/730816398.db2.gz FIPCSYXMLPKIHK-UHFFFAOYSA-N -1 1 342.363 -0.987 20 0 EBADMM Cc1noc(C)c1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032272948 730836453 /nfs/dbraw/zinc/83/64/53/730836453.db2.gz OXMZBUQTZTYTRT-QWRGUYRKSA-N -1 1 332.364 -0.188 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)c2cnn(CCF)c2)nc1=O ZINC001032488650 730872950 /nfs/dbraw/zinc/87/29/50/730872950.db2.gz DYISWQICJWRIFA-RYUDHWBXSA-N -1 1 349.370 -0.627 20 0 EBADMM O=C(CCc1n[nH]c(=O)[n-]c1=O)N1C[C@@H]2C[C@H]1CN2CCCF ZINC001032495272 730874865 /nfs/dbraw/zinc/87/48/65/730874865.db2.gz KMHFIVULAWPOIW-UWVGGRQHSA-N -1 1 325.344 -0.140 20 0 EBADMM Cc1nnccc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032500461 730877324 /nfs/dbraw/zinc/87/73/24/730877324.db2.gz WIVPKKRRVCCNLT-QWRGUYRKSA-N -1 1 329.364 -0.694 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)nc1=O ZINC001032528296 730882832 /nfs/dbraw/zinc/88/28/32/730882832.db2.gz NMNZRYDQTWAAAP-UZZUBGQESA-N -1 1 345.403 -0.683 20 0 EBADMM CCn1cnc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)c1 ZINC001032735141 730921389 /nfs/dbraw/zinc/92/13/89/730921389.db2.gz PIUZHSPUPVLBGJ-QWRGUYRKSA-N -1 1 331.380 -0.576 20 0 EBADMM C[C@@H](C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1)C1CCOCC1 ZINC001032821820 730938563 /nfs/dbraw/zinc/93/85/63/730938563.db2.gz YOVDEZBNLCPCLM-XBFCOCLRSA-N -1 1 349.435 -0.044 20 0 EBADMM CCn1cc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001032959579 730952458 /nfs/dbraw/zinc/95/24/58/730952458.db2.gz ZFQABSHTGOQIGN-LBPRGKRZSA-N -1 1 333.396 -0.329 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN([C@H]2CCC(=O)NC2=O)C1 ZINC001033036710 730960520 /nfs/dbraw/zinc/96/05/20/730960520.db2.gz UIQDRCMNIIWYND-QWRGUYRKSA-N -1 1 332.360 -0.261 20 0 EBADMM Cc1cc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1 ZINC001033045961 730962609 /nfs/dbraw/zinc/96/26/09/730962609.db2.gz HGAWXMPBPZKUQT-GFCCVEGCSA-N -1 1 331.380 -0.447 20 0 EBADMM CN(C(=O)c1ccc(=O)n(C)c1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033218066 730989954 /nfs/dbraw/zinc/98/99/54/730989954.db2.gz VMFOPIIHUHJMMI-LBPRGKRZSA-N -1 1 346.391 -0.846 20 0 EBADMM Cc1nc([C@H](C)N2CC[C@H](N(C)C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001033238443 730993688 /nfs/dbraw/zinc/99/36/88/730993688.db2.gz PBBGFITXUYOXSC-CBAPKCEASA-N -1 1 348.367 -0.383 20 0 EBADMM Cc1ccnn1CC(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033480645 731027443 /nfs/dbraw/zinc/02/74/43/731027443.db2.gz SMEPULPLSMIUSL-GFCCVEGCSA-N -1 1 333.396 -0.654 20 0 EBADMM Cc1cc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nc(C)n1 ZINC001033498470 731030008 /nfs/dbraw/zinc/03/00/08/731030008.db2.gz LENBDMUQIYYQLE-GFCCVEGCSA-N -1 1 345.407 -0.138 20 0 EBADMM CCn1cc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001033550003 731038041 /nfs/dbraw/zinc/03/80/41/731038041.db2.gz SBIBWNZFFVGNCC-JTQLQIEISA-N -1 1 334.384 -0.934 20 0 EBADMM CN(C(=O)[C@H]1CC12CCOCC2)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033717519 731069878 /nfs/dbraw/zinc/06/98/78/731069878.db2.gz DJEKWLJWCFBARH-CHWSQXEVSA-N -1 1 349.435 -0.042 20 0 EBADMM CN(C(=O)[C@@H]1CC12CCOCC2)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033717522 731070028 /nfs/dbraw/zinc/07/00/28/731070028.db2.gz DJEKWLJWCFBARH-OLZOCXBDSA-N -1 1 349.435 -0.042 20 0 EBADMM CCN(C(=O)c1cn(C)cn1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033793514 731074202 /nfs/dbraw/zinc/07/42/02/731074202.db2.gz BBLOZDONOCIXDW-LLVKDONJSA-N -1 1 333.396 -0.422 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H](NC(=O)C3=COCCO3)C2)nc1=O ZINC001034079275 731101986 /nfs/dbraw/zinc/10/19/86/731101986.db2.gz DAAHLNFUZTZLJI-LLVKDONJSA-N -1 1 337.380 -0.533 20 0 EBADMM Cn1cnc(C(=O)N[C@@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001034169785 731106822 /nfs/dbraw/zinc/10/68/22/731106822.db2.gz SIFWAFXKTOMIAC-LLVKDONJSA-N -1 1 333.396 -0.374 20 0 EBADMM CCn1nncc1C(=O)N[C@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034197345 731110229 /nfs/dbraw/zinc/11/02/29/731110229.db2.gz RZKIYBTYPMRTNB-NSHDSACASA-N -1 1 348.411 -0.496 20 0 EBADMM CNC(=O)[C@@H](C)N1CCCC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001034290128 731117821 /nfs/dbraw/zinc/11/78/21/731117821.db2.gz DYYDVDADWFHBPL-RKDXNWHRSA-N -1 1 338.368 -0.998 20 0 EBADMM CN1CC(C(=O)N[C@H]2CCCCN(Cc3n[nH]c(=O)[n-]3)C2)=NC1=O ZINC001034383609 731127874 /nfs/dbraw/zinc/12/78/74/731127874.db2.gz YTICYQLQEOSMOY-VIFPVBQESA-N -1 1 335.368 -0.266 20 0 EBADMM Cc1ccnn1CC(=O)N[C@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034425826 731130386 /nfs/dbraw/zinc/13/03/86/731130386.db2.gz IAXVXGXTHWGJHX-ZDUSSCGKSA-N -1 1 347.423 -0.216 20 0 EBADMM COc1cc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)ncn1 ZINC001034942618 731166101 /nfs/dbraw/zinc/16/61/01/731166101.db2.gz ZWBMGTPFNVKCJV-SECBINFHSA-N -1 1 333.352 -0.297 20 0 EBADMM Cc1c(CC(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)cnn1C ZINC001034952911 731167151 /nfs/dbraw/zinc/16/71/51/731167151.db2.gz ROHDROGLVDVYBC-GFCCVEGCSA-N -1 1 333.396 -0.124 20 0 EBADMM Cc1cc(OCC(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)no1 ZINC001034963312 731169334 /nfs/dbraw/zinc/16/93/34/731169334.db2.gz RWSFALIHXCTEBB-SNVBAGLBSA-N -1 1 336.352 -0.034 20 0 EBADMM Cc1cc(CC(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)n(C)n1 ZINC001034993600 731174545 /nfs/dbraw/zinc/17/45/45/731174545.db2.gz YDCNJRQATNBUQI-LLVKDONJSA-N -1 1 333.396 -0.124 20 0 EBADMM CCCn1cc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)nn1 ZINC001035086673 731184433 /nfs/dbraw/zinc/18/44/33/731184433.db2.gz CCUFUZNGZUXKCN-SNVBAGLBSA-N -1 1 334.384 -0.094 20 0 EBADMM COc1ncc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)cn1 ZINC001035092592 731184739 /nfs/dbraw/zinc/18/47/39/731184739.db2.gz GIWJTDSGSXNEPV-SNVBAGLBSA-N -1 1 333.352 -0.297 20 0 EBADMM COc1ccc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)nn1 ZINC001035096419 731185086 /nfs/dbraw/zinc/18/50/86/731185086.db2.gz UXSJSWHUMINEAV-SECBINFHSA-N -1 1 333.352 -0.297 20 0 EBADMM CN1C(=O)CCC[C@H]1C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035116371 731187936 /nfs/dbraw/zinc/18/79/36/731187936.db2.gz WSDZIWYLFGWUGY-MNOVXSKESA-N -1 1 336.396 -0.398 20 0 EBADMM Cc1occc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035283999 731205164 /nfs/dbraw/zinc/20/51/64/731205164.db2.gz PURREOFSAYXXGK-LLVKDONJSA-N -1 1 335.364 -0.359 20 0 EBADMM Cc1ocnc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035303016 731208915 /nfs/dbraw/zinc/20/89/15/731208915.db2.gz NFPSUACQVNRNSK-JTQLQIEISA-N -1 1 336.352 -0.964 20 0 EBADMM Cc1[nH]ccc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035327673 731216651 /nfs/dbraw/zinc/21/66/51/731216651.db2.gz OJWFTICMFMQFHC-NSHDSACASA-N -1 1 334.380 -0.624 20 0 EBADMM Cc1cncc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)c1 ZINC001035328798 731217080 /nfs/dbraw/zinc/21/70/80/731217080.db2.gz WAJCRQAWIRDCGU-CYBMUJFWSA-N -1 1 346.391 -0.557 20 0 EBADMM Cn1ccc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)c1 ZINC001035332929 731218083 /nfs/dbraw/zinc/21/80/83/731218083.db2.gz HNDCYVMIYJEDFX-LBPRGKRZSA-N -1 1 334.380 -0.922 20 0 EBADMM CN1CC[C@H](N2CCO[C@@H](CNC(=O)c3ncccc3[O-])C2)C1=O ZINC001035345067 731222396 /nfs/dbraw/zinc/22/23/96/731222396.db2.gz VLPAXJMERXOJOY-RYUDHWBXSA-N -1 1 334.376 -0.552 20 0 EBADMM CCc1[nH]ccc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035361796 731230184 /nfs/dbraw/zinc/23/01/84/731230184.db2.gz LUZQRFSCUTZNOM-LLVKDONJSA-N -1 1 348.407 -0.370 20 0 EBADMM CCc1n[nH]cc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035427872 731252297 /nfs/dbraw/zinc/25/22/97/731252297.db2.gz SZRUSQXCMSERQC-SNVBAGLBSA-N -1 1 349.395 -0.975 20 0 EBADMM O=C(NC[C@H]1CCN1CCN1C(=O)CNC1=O)c1ncccc1[O-] ZINC001038184230 731291608 /nfs/dbraw/zinc/29/16/08/731291608.db2.gz QZOUPPZJPOZSOE-SNVBAGLBSA-N -1 1 333.348 -0.857 20 0 EBADMM CC(C)Cn1ccc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001038307137 731304728 /nfs/dbraw/zinc/30/47/28/731304728.db2.gz NMPGNYMWIOUUQA-LBPRGKRZSA-N -1 1 347.423 -0.035 20 0 EBADMM Cc1cc(=O)c(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)cn1C ZINC001038372260 731314500 /nfs/dbraw/zinc/31/45/00/731314500.db2.gz UOYVCUQHEYBLSJ-LLVKDONJSA-N -1 1 346.391 -0.880 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cc3n(n2)CCCC3)nc1=O ZINC001038378464 731315532 /nfs/dbraw/zinc/31/55/32/731315532.db2.gz BYAAGUHFXGCPEP-LBPRGKRZSA-N -1 1 345.407 -0.355 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cnc3cc[nH]c3c2)nc1=O ZINC001038387409 731317487 /nfs/dbraw/zinc/31/74/87/731317487.db2.gz VVHJIYQMWUUQNG-NSHDSACASA-N -1 1 341.375 -0.011 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@@H]2CCc3cncn3C2)nc1=O ZINC001038423843 731322998 /nfs/dbraw/zinc/32/29/98/731322998.db2.gz GDTPIYWWGFIKMG-YPMHNXCESA-N -1 1 345.407 -0.742 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@H]2CCn3ccnc3C2)nc1=O ZINC001038424874 731323447 /nfs/dbraw/zinc/32/34/47/731323447.db2.gz WDTANAGZXQITST-NWDGAFQWSA-N -1 1 345.407 -0.742 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@@H]2CCc3nccn3C2)nc1=O ZINC001038427028 731323729 /nfs/dbraw/zinc/32/37/29/731323729.db2.gz NCFWFQNULCCGQM-VXGBXAGGSA-N -1 1 345.407 -0.742 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cccc3ncnn32)nc1=O ZINC001038475450 731332676 /nfs/dbraw/zinc/33/26/76/731332676.db2.gz DJTYPPFBNDHHSU-SNVBAGLBSA-N -1 1 342.363 -0.845 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cccc3nccn32)nc1=O ZINC001038511310 731337395 /nfs/dbraw/zinc/33/73/95/731337395.db2.gz UXQNWQUJFHMVBE-LLVKDONJSA-N -1 1 341.375 -0.240 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cccc3c[nH]nc32)nc1=O ZINC001038578775 731345740 /nfs/dbraw/zinc/34/57/40/731345740.db2.gz OKXRKMKKNCBLNP-LLVKDONJSA-N -1 1 341.375 -0.011 20 0 EBADMM Cn1nccc1CCN1CC[C@@H]1CNC(=O)c1cnc([O-])n(C)c1=O ZINC001038582263 731346527 /nfs/dbraw/zinc/34/65/27/731346527.db2.gz DVOMDASYZLWYEM-GFCCVEGCSA-N -1 1 346.391 -0.734 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2nccc3[nH]ccc32)nc1=O ZINC001038715443 731369489 /nfs/dbraw/zinc/36/94/89/731369489.db2.gz GPXMNZOYPCIUNV-JTQLQIEISA-N -1 1 341.375 -0.011 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cc(C3CC3)n[nH]2)nc1=O ZINC001038739562 731371047 /nfs/dbraw/zinc/37/10/47/731371047.db2.gz MOGFORNZQDHKCF-SNVBAGLBSA-N -1 1 331.380 -0.287 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2csc3cncn32)nc1=O ZINC001038765627 731373736 /nfs/dbraw/zinc/37/37/36/731373736.db2.gz AOGSDOYIZUTUMX-VIFPVBQESA-N -1 1 347.404 -0.178 20 0 EBADMM Cc1nc(CN2CC[C@H]2CNC(=O)c2c[n-]n3c2nccc3=O)n[nH]1 ZINC001038776891 731374997 /nfs/dbraw/zinc/37/49/97/731374997.db2.gz BMMRJMGSWBLUEC-JTQLQIEISA-N -1 1 342.363 -0.547 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2ccc3cccnn32)nc1=O ZINC001038784423 731376276 /nfs/dbraw/zinc/37/62/76/731376276.db2.gz FDLCERDTGQDETB-GFCCVEGCSA-N -1 1 341.375 -0.240 20 0 EBADMM CCn1nc(C)c(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)c1C ZINC001038827026 731382765 /nfs/dbraw/zinc/38/27/65/731382765.db2.gz QIRBYSRBPLMEQT-LBPRGKRZSA-N -1 1 347.423 -0.054 20 0 EBADMM CCCn1cc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)nn1 ZINC001038851970 731384651 /nfs/dbraw/zinc/38/46/51/731384651.db2.gz BHQWAXDYFRDFFG-JTQLQIEISA-N -1 1 334.384 -0.886 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cn(CC3CC3)nn2)nc1=O ZINC001038866454 731386408 /nfs/dbraw/zinc/38/64/08/731386408.db2.gz OQKUOIVAVLAZTD-LLVKDONJSA-N -1 1 346.395 -0.886 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2ncoc2C2CC2)nc1=O ZINC001038883461 731388209 /nfs/dbraw/zinc/38/82/09/731388209.db2.gz XHRMMSQBWDJUES-SNVBAGLBSA-N -1 1 332.364 -0.022 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@@H]2CCc3n[nH]cc3C2)nc1=O ZINC001038913939 731390969 /nfs/dbraw/zinc/39/09/69/731390969.db2.gz NYDFRIPEODOBPJ-PWSUYJOCSA-N -1 1 345.407 -0.673 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCN1Cc1nnc(C)[nH]1 ZINC001038916757 731391819 /nfs/dbraw/zinc/39/18/19/731391819.db2.gz IBPNJAASZYZJFT-QMMMGPOBSA-N -1 1 349.351 -0.673 20 0 EBADMM O=C(NC[C@@H]1CCN1Cc1cscn1)c1cc(=O)n2[n-]cnc2n1 ZINC001038921436 731393200 /nfs/dbraw/zinc/39/32/00/731393200.db2.gz LTEWKPXRXTWHSZ-JTQLQIEISA-N -1 1 345.388 -0.122 20 0 EBADMM Cn1nccc1CN1CC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001038922850 731393649 /nfs/dbraw/zinc/39/36/49/731393649.db2.gz QTVQCIUSPMWAMP-SNVBAGLBSA-N -1 1 342.363 -0.845 20 0 EBADMM O=C(NC[C@H]1CCN1Cc1ncccn1)c1cc(=O)n2[n-]cnc2n1 ZINC001038923314 731393788 /nfs/dbraw/zinc/39/37/88/731393788.db2.gz RKEPNBFARYBMST-SNVBAGLBSA-N -1 1 340.347 -0.788 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@@H]2CC23CCOCC3)nc1=O ZINC001039105771 731418697 /nfs/dbraw/zinc/41/86/97/731418697.db2.gz TXANFVFRJZHFGR-RYUDHWBXSA-N -1 1 335.408 -0.384 20 0 EBADMM Cc1c[nH]nc1C(=O)NC[C@]1(O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001039669961 731484243 /nfs/dbraw/zinc/48/42/43/731484243.db2.gz ODAYBUGPOZHOMI-MRXNPFEDSA-N -1 1 345.359 -0.174 20 0 EBADMM Cc1c[nH]c(C(=O)NC[C@]2(O)CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001039832324 731548765 /nfs/dbraw/zinc/54/87/65/731548765.db2.gz KUJTTWWXEXNCDW-MRXNPFEDSA-N -1 1 345.359 -0.174 20 0 EBADMM Cc1cnn(CC(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)c1 ZINC001041776604 732223101 /nfs/dbraw/zinc/22/31/01/732223101.db2.gz XTNAYKUNUQSLSR-UHFFFAOYSA-N -1 1 345.359 -0.705 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)C4CCOCC4)C[C@H]32)nc1=O ZINC001041890088 732248898 /nfs/dbraw/zinc/24/88/98/732248898.db2.gz CFPSHOHEYZEWGX-GXTWGEPZSA-N -1 1 349.435 -0.042 20 0 EBADMM O=C(Cc1ccn[nH]1)N1CC[C@H]2CCN(Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001041994674 732272374 /nfs/dbraw/zinc/27/23/74/732272374.db2.gz DUZGTUGJPDCQSB-PWSUYJOCSA-N -1 1 331.380 -0.101 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)c4ncc[nH]4)C[C@H]32)nc1=O ZINC001042051682 732287923 /nfs/dbraw/zinc/28/79/23/732287923.db2.gz PGZMXWADYHOOIQ-GHMZBOCLSA-N -1 1 331.380 -0.432 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)c4csnn4)C[C@H]32)nc1=O ZINC001042168193 732341168 /nfs/dbraw/zinc/34/11/68/732341168.db2.gz SKYCJPNDEQELSP-MWLCHTKSSA-N -1 1 349.420 -0.304 20 0 EBADMM O=C(CC1CCOCC1)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001042201422 732355266 /nfs/dbraw/zinc/35/52/66/732355266.db2.gz RKFCDYFMHIBRSI-UHFFFAOYSA-N -1 1 349.387 -0.093 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)c4cnon4)C[C@@H]32)nc1=O ZINC001042275290 732386695 /nfs/dbraw/zinc/38/66/95/732386695.db2.gz AKZIAGZFKORGLJ-KOLCDFICSA-N -1 1 333.352 -0.772 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)c4ncccn4)C[C@@H]32)nc1=O ZINC001042279272 732388377 /nfs/dbraw/zinc/38/83/77/732388377.db2.gz ICBOXGZWUHVMJU-RYUDHWBXSA-N -1 1 343.391 -0.365 20 0 EBADMM Cc1nonc1C(=O)N1CC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1 ZINC001042378832 732421422 /nfs/dbraw/zinc/42/14/22/732421422.db2.gz BAEYKQMJTRAZAK-MNOVXSKESA-N -1 1 347.379 -0.464 20 0 EBADMM Cc1cc(C(=O)N2CC(O)(CNC(=O)c3[nH]nc(C)c3[O-])C2)n[nH]1 ZINC001042573043 732484188 /nfs/dbraw/zinc/48/41/88/732484188.db2.gz UGWBRWRKLZNUBL-UHFFFAOYSA-N -1 1 334.336 -0.928 20 0 EBADMM Cn1cc(CC(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)cn1 ZINC001042712482 732545880 /nfs/dbraw/zinc/54/58/80/732545880.db2.gz ANJYMBPRIMKNDR-UHFFFAOYSA-N -1 1 345.359 -0.934 20 0 EBADMM Cc1ocnc1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042712775 732545966 /nfs/dbraw/zinc/54/59/66/732545966.db2.gz IDPIPJUCZNHISZ-UHFFFAOYSA-N -1 1 332.316 -0.299 20 0 EBADMM O=C(NCC1(O)CN(C(=O)C2=COCCC2)C1)c1ncccc1[O-] ZINC001042712474 732546075 /nfs/dbraw/zinc/54/60/75/732546075.db2.gz AJRACDIOSZDWCX-UHFFFAOYSA-N -1 1 333.344 -0.215 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2cncc(F)c2)C1)c1ncccc1[O-] ZINC001042712787 732546235 /nfs/dbraw/zinc/54/62/35/732546235.db2.gz IKPZQBWVNXAKSY-UHFFFAOYSA-N -1 1 346.318 -0.062 20 0 EBADMM Cc1cc(CC(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)no1 ZINC001042712925 732546652 /nfs/dbraw/zinc/54/66/52/732546652.db2.gz KWIOSGJGBMYRFN-UHFFFAOYSA-N -1 1 346.343 -0.371 20 0 EBADMM O=C(NCC1(O)CN(C(=O)C2=CCOCC2)C1)c1ncccc1[O-] ZINC001042713172 732546763 /nfs/dbraw/zinc/54/67/63/732546763.db2.gz POTCPLKLUHYJPZ-UHFFFAOYSA-N -1 1 333.344 -0.563 20 0 EBADMM Cc1nc(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)c[nH]1 ZINC001042713450 732547236 /nfs/dbraw/zinc/54/72/36/732547236.db2.gz YGUIYAGQUSNQPE-UHFFFAOYSA-N -1 1 331.332 -0.564 20 0 EBADMM Cc1cc(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)cnn1 ZINC001042713399 732547331 /nfs/dbraw/zinc/54/73/31/732547331.db2.gz WWFVYUHISFKHJY-UHFFFAOYSA-N -1 1 343.343 -0.497 20 0 EBADMM CS[C@@H](C)C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042713391 732547362 /nfs/dbraw/zinc/54/73/62/732547362.db2.gz WKNWBRYUCYUPJI-VIFPVBQESA-N -1 1 325.390 -0.158 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)C1=CCOCC1 ZINC001042716466 732548216 /nfs/dbraw/zinc/54/82/16/732548216.db2.gz OHKWKWHSLOAEIJ-UHFFFAOYSA-N -1 1 333.344 -0.563 20 0 EBADMM C[C@H]1C[C@@H](C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)CCO1 ZINC001042716587 732549008 /nfs/dbraw/zinc/54/90/08/732549008.db2.gz TVGRRDXAXOVEQH-RYUDHWBXSA-N -1 1 349.387 -0.095 20 0 EBADMM COC1CC(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)C1 ZINC001042720312 732551243 /nfs/dbraw/zinc/55/12/43/732551243.db2.gz URIHMYSYKAXFJX-UHFFFAOYSA-N -1 1 335.360 -0.485 20 0 EBADMM C[C@H]1COCC[C@H]1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042720145 732551366 /nfs/dbraw/zinc/55/13/66/732551366.db2.gz RUNHHPWJFDEPLX-NWDGAFQWSA-N -1 1 349.387 -0.237 20 0 EBADMM CN(C(=O)c1cn2c(n1)CCCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042833116 732614814 /nfs/dbraw/zinc/61/48/14/732614814.db2.gz QSPABNWKLDHHEY-UHFFFAOYSA-N -1 1 345.407 -0.402 20 0 EBADMM CN(C(=O)c1cn(C(C)(C)C)nn1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042867465 732635049 /nfs/dbraw/zinc/63/50/49/732635049.db2.gz GTNPTZONUUNONZ-UHFFFAOYSA-N -1 1 348.411 -0.589 20 0 EBADMM CC[C@@H]1OCCC[C@@H]1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042884453 732642345 /nfs/dbraw/zinc/64/23/45/732642345.db2.gz KSRFPFPWJGNDGG-STQMWFEESA-N -1 1 337.424 -0.044 20 0 EBADMM CN(C(=O)c1cc2cccn2cn1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043049311 732733653 /nfs/dbraw/zinc/73/36/53/732733653.db2.gz PCWLPMOOQQHLLN-UHFFFAOYSA-N -1 1 341.375 -0.288 20 0 EBADMM C[C@@H]1C[C@H](CN2CC(N(C)C(=O)c3n[nH]c(=O)[n-]c3=O)C2)CCO1 ZINC001043231216 732837408 /nfs/dbraw/zinc/83/74/08/732837408.db2.gz WXACILCLCGGVRG-NXEZZACHSA-N -1 1 337.380 -0.146 20 0 EBADMM O=C(Cn1nccn1)NC[C@@H]1COCCN1C(=O)c1ncccc1[O-] ZINC001061616996 738651764 /nfs/dbraw/zinc/65/17/64/738651764.db2.gz XEFASMCJSYWCPX-LLVKDONJSA-N -1 1 346.347 -0.964 20 0 EBADMM CN(C(=O)[C@@H]1C[C@H]1c1ccnn1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043433542 732938313 /nfs/dbraw/zinc/93/83/13/732938313.db2.gz KMQJNARAIQNSBE-VXGBXAGGSA-N -1 1 345.407 -0.712 20 0 EBADMM CN(C(=O)c1cnc([O-])n(C)c1=O)C1CN(CC[C@H]2CCOC2)C1 ZINC001043509281 732977551 /nfs/dbraw/zinc/97/75/51/732977551.db2.gz BMQUKFUCVRMMKA-NSHDSACASA-N -1 1 336.392 -0.331 20 0 EBADMM CN(C(=O)c1cnc([O-])n(C)c1=O)C1CN(C[C@H]2CCCOC2)C1 ZINC001043510992 732978425 /nfs/dbraw/zinc/97/84/25/732978425.db2.gz RAIOWTQDXUFUDX-LLVKDONJSA-N -1 1 336.392 -0.331 20 0 EBADMM C[C@H](C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1)c1cncnc1 ZINC001043618430 733026241 /nfs/dbraw/zinc/02/62/41/733026241.db2.gz ZATVDKIFGBVFCR-JTQLQIEISA-N -1 1 331.380 -0.655 20 0 EBADMM C[C@@H]1C[C@H](C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)CO1 ZINC001043626077 733030398 /nfs/dbraw/zinc/03/03/98/733030398.db2.gz PDBBHNBJDJVYAH-MNOVXSKESA-N -1 1 335.360 -0.485 20 0 EBADMM CCOc1cc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)ccn1 ZINC001043820237 733134407 /nfs/dbraw/zinc/13/44/07/733134407.db2.gz LVERZDGJAMEFEI-UHFFFAOYSA-N -1 1 346.391 -0.142 20 0 EBADMM CCOC1CC2(C[C@H]2C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001043857847 733156169 /nfs/dbraw/zinc/15/61/69/733156169.db2.gz ZCZJHKZJOICDSM-JZLYGMAVSA-N -1 1 349.435 -0.044 20 0 EBADMM CN(C(=O)c1ocnc1C1CC1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043860342 733157817 /nfs/dbraw/zinc/15/78/17/733157817.db2.gz CLEJLFFAXDCQOM-UHFFFAOYSA-N -1 1 332.364 -0.070 20 0 EBADMM CCc1ccc(CC(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)nc1 ZINC001043930158 733205832 /nfs/dbraw/zinc/20/58/32/733205832.db2.gz UXKNQQHIZPVMGV-UHFFFAOYSA-N -1 1 344.419 -0.049 20 0 EBADMM CN(C(=O)Cn1cc(Cl)cn1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043983914 733231182 /nfs/dbraw/zinc/23/11/82/733231182.db2.gz VTITVHBIOHLZTI-UHFFFAOYSA-N -1 1 339.787 -0.699 20 0 EBADMM CN(C)C(=O)CN1CC(N(C)C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001044014458 733247322 /nfs/dbraw/zinc/24/73/22/733247322.db2.gz VJWPHDXPHSELTE-UHFFFAOYSA-N -1 1 333.392 -0.096 20 0 EBADMM CN(C(=O)[C@@H]1CCc2[nH]cnc2C1)C1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001044157053 733306577 /nfs/dbraw/zinc/30/65/77/733306577.db2.gz LOSXXXWIRBIOHM-SECBINFHSA-N -1 1 331.380 -0.319 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C1CN(Cc2ccn(C)n2)C1 ZINC001044181255 733320994 /nfs/dbraw/zinc/32/09/94/733320994.db2.gz SWMNMYRAILWRLD-UHFFFAOYSA-N -1 1 348.363 -0.414 20 0 EBADMM CN(C(=O)Cn1c(=O)[n-][nH]c1=O)C1CN(Cc2n[nH]c(C3CC3)n2)C1 ZINC001044236044 733332772 /nfs/dbraw/zinc/33/27/72/733332772.db2.gz HZHJXFYDKOZCAR-UHFFFAOYSA-N -1 1 348.367 -0.972 20 0 EBADMM CN(C(=O)Cn1c(=O)[n-][nH]c1=O)C1CN(CC[C@H]2CCCO2)C1 ZINC001044236333 733333616 /nfs/dbraw/zinc/33/36/16/733333616.db2.gz KSOPJIVKJUNDOZ-LLVKDONJSA-N -1 1 325.369 -0.599 20 0 EBADMM CN(C(=O)c1ccc2n[nH]nc2c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044284264 733355987 /nfs/dbraw/zinc/35/59/87/733355987.db2.gz QLOBWXAODVWVLX-UHFFFAOYSA-N -1 1 342.363 -0.664 20 0 EBADMM C[C@H]1C[C@@H](NCc2cn(C)nn2)CCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001044663299 733474654 /nfs/dbraw/zinc/47/46/54/733474654.db2.gz JSTGCSKKPMCZBX-IUCAKERBSA-N -1 1 348.367 -0.806 20 0 EBADMM O=C(c1cccn2nnnc12)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045053968 733567477 /nfs/dbraw/zinc/56/74/77/733567477.db2.gz QJECUDOFYOLWMD-VIFPVBQESA-N -1 1 343.351 -0.658 20 0 EBADMM CN1CC[C@H](CC(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)C1=O ZINC001045081873 733575177 /nfs/dbraw/zinc/57/51/77/733575177.db2.gz JWOMEKKVWANEAI-MNOVXSKESA-N -1 1 336.396 -0.541 20 0 EBADMM Cc1oncc1CNC[C@@H]1CCCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001045106477 733583216 /nfs/dbraw/zinc/58/32/16/733583216.db2.gz NXCOCUAPTROHTK-JTQLQIEISA-N -1 1 334.336 -0.026 20 0 EBADMM Cc1ncc(CNC[C@@H]2CCCN2C(=O)c2n[nH]c(=O)[n-]c2=O)o1 ZINC001045106395 733583591 /nfs/dbraw/zinc/58/35/91/733583591.db2.gz MLGKCEVVBGMLRX-VIFPVBQESA-N -1 1 334.336 -0.026 20 0 EBADMM Cc1cc(CC(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)n(C)n1 ZINC001045119814 733590114 /nfs/dbraw/zinc/59/01/14/733590114.db2.gz YDCNJRQATNBUQI-NSHDSACASA-N -1 1 333.396 -0.124 20 0 EBADMM O=C([C@H]1CCNC(=O)CC1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045136689 733595833 /nfs/dbraw/zinc/59/58/33/733595833.db2.gz LHJDSNDCAZHPAY-MNOVXSKESA-N -1 1 336.396 -0.493 20 0 EBADMM CC(C)c1nnnn1CC(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045233756 733630880 /nfs/dbraw/zinc/63/08/80/733630880.db2.gz SEWYRPSONRMTBW-JTQLQIEISA-N -1 1 349.399 -0.599 20 0 EBADMM C[C@H]1OCC[C@@H]1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001045293855 733654886 /nfs/dbraw/zinc/65/48/86/733654886.db2.gz SAUXJABRPPEKCR-MNOVXSKESA-N -1 1 335.360 -0.485 20 0 EBADMM CN1C(=O)CCC[C@H]1C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045294875 733656064 /nfs/dbraw/zinc/65/60/64/733656064.db2.gz WSDZIWYLFGWUGY-QWRGUYRKSA-N -1 1 336.396 -0.398 20 0 EBADMM O=C(c1noc2c1COCC2)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045317250 733667875 /nfs/dbraw/zinc/66/78/75/733667875.db2.gz PROKTHKQTRYORC-VIFPVBQESA-N -1 1 348.363 -0.035 20 0 EBADMM CC[C@H](C(N)=O)N1CCC(C)(NC(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001045492349 733705231 /nfs/dbraw/zinc/70/52/31/733705231.db2.gz GUNOXCWMRFGVDO-MRVPVSSYSA-N -1 1 338.368 -0.869 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](N3CCN(C(=O)C4(C)CC4)CC3)C2)nc1=O ZINC001046032288 733817401 /nfs/dbraw/zinc/81/74/01/733817401.db2.gz IKAARFPJCQRWIK-CYBMUJFWSA-N -1 1 348.451 -0.373 20 0 EBADMM Cn1[n-]c(CN2CC[C@](C)(NC(=O)c3cc[n+]([O-])cc3)C2)nc1=O ZINC001046118261 733862694 /nfs/dbraw/zinc/86/26/94/733862694.db2.gz CYOLCKRQGXMEEO-HNNXBMFYSA-N -1 1 332.364 -0.864 20 0 EBADMM Cc1c(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001046146559 733867096 /nfs/dbraw/zinc/86/70/96/733867096.db2.gz HNAXEBRFGDXESJ-OAHLLOKOSA-N -1 1 333.396 -0.455 20 0 EBADMM Cc1ncncc1C(=O)N[C@@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001046167718 733871155 /nfs/dbraw/zinc/87/11/55/733871155.db2.gz FDQNBKNSPCKRIA-HNNXBMFYSA-N -1 1 331.380 -0.399 20 0 EBADMM O=C(NC[C@H]1C[C@@H](Nc2ncccn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001046419062 733931308 /nfs/dbraw/zinc/93/13/08/733931308.db2.gz ZGHFZMVKTFZWAV-AOOOYVTPSA-N -1 1 340.347 -0.172 20 0 EBADMM Cc1nnccc1C(=O)N[C@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001046454049 733939403 /nfs/dbraw/zinc/93/94/03/733939403.db2.gz KWCXMKKDYUPGNJ-OAHLLOKOSA-N -1 1 331.380 -0.399 20 0 EBADMM COc1ccnc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001046533656 733957000 /nfs/dbraw/zinc/95/70/00/733957000.db2.gz UEBUCVMRUQIXCN-MRXNPFEDSA-N -1 1 346.391 -0.094 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)[C@@H]1C[C@@]12CCOC2 ZINC001046585214 733974136 /nfs/dbraw/zinc/97/41/36/733974136.db2.gz SIUYUYTUNHFSES-MEDUHNTESA-N -1 1 347.371 -0.483 20 0 EBADMM COc1cccc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001046743230 734017564 /nfs/dbraw/zinc/01/75/64/734017564.db2.gz YOUGKIXWQXBWEQ-INIZCTEOSA-N -1 1 346.391 -0.094 20 0 EBADMM Cc1ccn(CC(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)n1 ZINC001046768424 734022942 /nfs/dbraw/zinc/02/29/42/734022942.db2.gz YADRDMFGSZSBCG-UHFFFAOYSA-N -1 1 345.359 -0.705 20 0 EBADMM O=C(NC[C@H]1CN(Cc2n[nH]c(=O)[n-]2)CCC1(F)F)c1cnn[nH]1 ZINC001046951945 734070177 /nfs/dbraw/zinc/07/01/77/734070177.db2.gz UOMRUDXSVVWMOK-ZETCQYMHSA-N -1 1 342.310 -0.484 20 0 EBADMM COCCN1CCC(F)(F)[C@@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001046954473 734070616 /nfs/dbraw/zinc/07/06/16/734070616.db2.gz SGNHOAFTGOKXBU-QMMMGPOBSA-N -1 1 347.322 -0.384 20 0 EBADMM O=C(CN1CCCC1=O)N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047021348 734082773 /nfs/dbraw/zinc/08/27/73/734082773.db2.gz VYMXNYUUALUURC-SRVKXCTJSA-N -1 1 348.407 -0.398 20 0 EBADMM Cc1cncc(C(=O)N(C)[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c1 ZINC001047334664 734141030 /nfs/dbraw/zinc/14/10/30/734141030.db2.gz LZUJMONDIPUXTI-STQMWFEESA-N -1 1 346.391 -0.871 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN([C@@H]2CCN(C)C2=O)C[C@@H]1O ZINC001047359381 734152559 /nfs/dbraw/zinc/15/25/59/734152559.db2.gz ULUZAHNWTLFLAA-MDZLAQPJSA-N -1 1 334.376 -0.865 20 0 EBADMM CN(C(=O)C1CC(C)(C)C1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047369046 734158285 /nfs/dbraw/zinc/15/82/85/734158285.db2.gz GSLZORIZOMLGQO-RYUDHWBXSA-N -1 1 337.424 -0.452 20 0 EBADMM CCC(=O)N[C@@H]1CC[C@@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@@H]12 ZINC000979866762 805591756 /nfs/dbraw/zinc/59/17/56/805591756.db2.gz JNDIKTKTRUECLS-GMTAPVOTSA-N -1 1 344.375 -0.206 20 0 EBADMM Cc1noc(CNC[C@H]2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@H]2C)n1 ZINC001048305449 734386546 /nfs/dbraw/zinc/38/65/46/734386546.db2.gz UJJAAISNBKIBSM-APPZFPTMSA-N -1 1 349.351 -0.528 20 0 EBADMM C[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@H]1CNCc1ccon1 ZINC001048306636 734387077 /nfs/dbraw/zinc/38/70/77/734387077.db2.gz ZMLSJGOPVWMWQE-IUCAKERBSA-N -1 1 334.336 -0.231 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4cscn4)C[C@@H]3C2)nc1=O ZINC001048722748 734483424 /nfs/dbraw/zinc/48/34/24/734483424.db2.gz NZLPRRIEUMOPKG-AOOOYVTPSA-N -1 1 334.405 -0.231 20 0 EBADMM Cn1cc(C(=O)N2CCC[C@H]3[C@H]2CCN3Cc2nc(=O)n(C)[n-]2)cn1 ZINC001049319377 734709237 /nfs/dbraw/zinc/70/92/37/734709237.db2.gz VVWCRUWMOFPRED-QWHCGFSZSA-N -1 1 345.407 -0.279 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@@H]2CCCN3C(=O)CC2(O)CCC2)nc1=O ZINC001049472361 734738229 /nfs/dbraw/zinc/73/82/29/734738229.db2.gz SFHHUKIRAYSCNG-QWHCGFSZSA-N -1 1 349.435 -0.021 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@H]2CCCN3C(=O)[C@H]2[C@@H]3COC[C@@H]32)nc1=O ZINC001049654041 734773539 /nfs/dbraw/zinc/77/35/39/734773539.db2.gz FURLTZVPABEYAF-JYKNGBAOSA-N -1 1 347.419 -0.434 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@@H]2CCCN3C(=O)Cc2cnoc2)nc1=O ZINC001049666282 734774029 /nfs/dbraw/zinc/77/40/29/734774029.db2.gz YEFGORPLGTUEQZ-STQMWFEESA-N -1 1 346.391 -0.096 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@@H]2CCCN3C(=O)Cc2cnoc2)nc1=O ZINC001049666280 734774069 /nfs/dbraw/zinc/77/40/69/734774069.db2.gz YEFGORPLGTUEQZ-QWHCGFSZSA-N -1 1 346.391 -0.096 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@@H]2CCCN3C(=O)c2ncccn2)nc1=O ZINC001049799627 734791530 /nfs/dbraw/zinc/79/15/30/734791530.db2.gz XVIWGTRCQVARTB-NWDGAFQWSA-N -1 1 343.391 -0.223 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCCN(C(=O)c4cnccn4)[C@@H]3C2)nc1=O ZINC001049961424 734811737 /nfs/dbraw/zinc/81/17/37/734811737.db2.gz PRCJKGSIUUZIRZ-WCQYABFASA-N -1 1 343.391 -0.365 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCCN(C(=O)CC4(O)CCC4)[C@@H]3C2)nc1=O ZINC001050045280 734830859 /nfs/dbraw/zinc/83/08/59/734830859.db2.gz AHSMTOYIKGXTCS-QWHCGFSZSA-N -1 1 349.435 -0.164 20 0 EBADMM CNC(=O)CC(=O)N[C@H](C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050529593 734919403 /nfs/dbraw/zinc/91/94/03/734919403.db2.gz IWMBIYTYBBSWHX-MNOVXSKESA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@@H](NC(=O)Cn1ncnn1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050532012 734920038 /nfs/dbraw/zinc/92/00/38/734920038.db2.gz VZJBYSXSZOZOCV-MNOVXSKESA-N -1 1 345.363 -0.559 20 0 EBADMM O=C(Cn1ncnn1)NC[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001062149988 738866382 /nfs/dbraw/zinc/86/63/82/738866382.db2.gz PXCLJSGHPFWESD-LLVKDONJSA-N -1 1 345.363 -0.415 20 0 EBADMM CCc1occc1C(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001050888849 734989838 /nfs/dbraw/zinc/98/98/38/734989838.db2.gz GSJOELIKVOODQO-LLVKDONJSA-N -1 1 349.391 -0.105 20 0 EBADMM O=C(NC[C@@H]1COCCN1Cc1n[nH]c(=O)[n-]1)c1[nH]nc2c1CCC2 ZINC001050998768 735031681 /nfs/dbraw/zinc/03/16/81/735031681.db2.gz RHHOTBZQEQUMIA-SECBINFHSA-N -1 1 347.379 -0.647 20 0 EBADMM Cc1c(C(=O)NC[C@H]2COCCN2Cc2nc(=O)n(C)[n-]2)ccn1C ZINC001051101015 735066535 /nfs/dbraw/zinc/06/65/35/735066535.db2.gz INPBDYIFZMAYBC-LBPRGKRZSA-N -1 1 348.407 -0.614 20 0 EBADMM CC[C@H](C)CN1CCOC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001051209339 735099895 /nfs/dbraw/zinc/09/98/95/735099895.db2.gz IZDFHQCWOQYNAL-NWDGAFQWSA-N -1 1 348.407 -0.106 20 0 EBADMM Cc1cccc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001051444541 735153726 /nfs/dbraw/zinc/15/37/26/735153726.db2.gz INGABTBQBGBXIY-NSHDSACASA-N -1 1 332.364 -0.155 20 0 EBADMM Cc1ccc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001051445342 735154548 /nfs/dbraw/zinc/15/45/48/735154548.db2.gz UUNIDGMQXNNGAR-GFCCVEGCSA-N -1 1 332.364 -0.155 20 0 EBADMM CCc1cc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC001051452132 735156347 /nfs/dbraw/zinc/15/63/47/735156347.db2.gz WFFZGOKRZRJKME-SNVBAGLBSA-N -1 1 335.368 -0.573 20 0 EBADMM Cc1[nH]nc(C(=O)N2CCO[C@@H](CNCc3cnns3)C2)c1[O-] ZINC001051550058 735227855 /nfs/dbraw/zinc/22/78/55/735227855.db2.gz MZYONJJWOXOPPC-VIFPVBQESA-N -1 1 338.393 -0.094 20 0 EBADMM Cc1[nH]nc(C(=O)N2CCO[C@@H](CNCc3ccn(C)n3)C2)c1[O-] ZINC001051550314 735228046 /nfs/dbraw/zinc/22/80/46/735228046.db2.gz ODYILQPBUCZURE-LBPRGKRZSA-N -1 1 334.380 -0.212 20 0 EBADMM CCn1ccnc1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051578249 735245662 /nfs/dbraw/zinc/24/56/62/735245662.db2.gz LRAXGUIEJDUSJX-SNVBAGLBSA-N -1 1 335.368 -0.643 20 0 EBADMM Cc1cnccc1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051595944 735256877 /nfs/dbraw/zinc/25/68/77/735256877.db2.gz GDVWPYSMBMOWPY-NSHDSACASA-N -1 1 332.364 -0.155 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNC(=O)Cn1ncnn1 ZINC001052420675 735611033 /nfs/dbraw/zinc/61/10/33/735611033.db2.gz GVKRPDFTOUSLHO-MNOVXSKESA-N -1 1 345.363 -0.702 20 0 EBADMM Cc1ccoc1C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053157541 735762150 /nfs/dbraw/zinc/76/21/50/735762150.db2.gz NZMKJSXEMGAFFX-UHFFFAOYSA-N -1 1 347.375 -0.263 20 0 EBADMM C/C(=C/C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1)C1CC1 ZINC001053169741 735766737 /nfs/dbraw/zinc/76/67/37/735766737.db2.gz NKCAJFPSMXRBID-GHXNOFRVSA-N -1 1 347.419 -0.122 20 0 EBADMM C[C@@H](O)CN1CC2(C1)CN(C(=O)c1cnc(C3CC3)[n-]c1=O)CCO2 ZINC001053461441 735882408 /nfs/dbraw/zinc/88/24/08/735882408.db2.gz RBQUYWRDMUJNCY-LLVKDONJSA-N -1 1 348.403 -0.033 20 0 EBADMM C[C@@H](CC(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1)C1CC1 ZINC001053544056 735922682 /nfs/dbraw/zinc/92/26/82/735922682.db2.gz UETHXCFINNNUAS-LBPRGKRZSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1cccc1C(=O)N[C@@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053742538 735987642 /nfs/dbraw/zinc/98/76/42/735987642.db2.gz YSLBORBRYOMOGG-NSHDSACASA-N -1 1 346.391 -0.780 20 0 EBADMM Cc1cc(CC(=O)N[C@@H]2COC3(CN(Cc4n[nH]c(=O)[n-]4)C3)C2)[nH]n1 ZINC001053772364 736006135 /nfs/dbraw/zinc/00/61/35/736006135.db2.gz MFHWSWNRROKKOU-NSHDSACASA-N -1 1 347.379 -0.756 20 0 EBADMM CCCN1CC2(C1)C[C@H](NC(=O)c1cc(=O)n3[n-]cnc3n1)CO2 ZINC001053981645 736132215 /nfs/dbraw/zinc/13/22/15/736132215.db2.gz QVQBHMNXFTUCKK-JTQLQIEISA-N -1 1 332.364 -0.599 20 0 EBADMM CCn1ccc(CC(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H](C)C2)n1 ZINC001054672731 736229304 /nfs/dbraw/zinc/22/93/04/736229304.db2.gz PCHVDKFVPIAALK-JQWIXIFHSA-N -1 1 333.396 -0.094 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnn3ccncc23)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054740645 736237511 /nfs/dbraw/zinc/23/75/11/736237511.db2.gz XKVCONROYCLASP-KOLCDFICSA-N -1 1 342.363 -0.197 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnn3ccncc23)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054740646 736237911 /nfs/dbraw/zinc/23/79/11/736237911.db2.gz XKVCONROYCLASP-MWLCHTKSSA-N -1 1 342.363 -0.197 20 0 EBADMM CCc1c[nH]c(CC(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H](C)C2)n1 ZINC001054752293 736240130 /nfs/dbraw/zinc/24/01/30/736240130.db2.gz JRLDCTNYXKMMRR-ONGXEEELSA-N -1 1 333.396 -0.025 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnc3n2CCOC3)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054778536 736245305 /nfs/dbraw/zinc/24/53/05/736245305.db2.gz WPHIYKVNTODLIS-NXEZZACHSA-N -1 1 347.379 -0.513 20 0 EBADMM C[C@H]1CN(C(=O)c2ccn(C)c(=O)c2)C[C@H]1[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001054811773 736248544 /nfs/dbraw/zinc/24/85/44/736248544.db2.gz DBAWBOXVNKKWLA-CMPLNLGQSA-N -1 1 346.391 -0.943 20 0 EBADMM CCn1ccc(CN[C@H]2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@H]2C)n1 ZINC001054859004 736256704 /nfs/dbraw/zinc/25/67/04/736256704.db2.gz FXOBITZLORZIOB-KOLCDFICSA-N -1 1 347.379 -0.251 20 0 EBADMM Cc1cc(CN[C@H]2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@H]2C)nn1C ZINC001054858836 736256958 /nfs/dbraw/zinc/25/69/58/736256958.db2.gz BYUOPLVIOBGZDX-KCJUWKMLSA-N -1 1 347.379 -0.425 20 0 EBADMM Cc1cc(CN[C@H]2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@H]2C)on1 ZINC001054862699 736258061 /nfs/dbraw/zinc/25/80/61/736258061.db2.gz JDHSIRBMRSUKQO-XCBNKYQSSA-N -1 1 334.336 -0.170 20 0 EBADMM C[C@@H]1CN(C(=O)c2cc(C(N)=O)cn2C)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054867558 736259193 /nfs/dbraw/zinc/25/91/93/736259193.db2.gz YVYTXCAIVYJWSG-SCZZXKLOSA-N -1 1 347.379 -0.802 20 0 EBADMM Cc1cc(C)n(CC(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H](C)C2)n1 ZINC001054883018 736262042 /nfs/dbraw/zinc/26/20/42/736262042.db2.gz UGOCMADZNGLNQR-CABZTGNLSA-N -1 1 333.396 -0.040 20 0 EBADMM C[C@H]1CN(C(=O)c2cn(C)ccc2=O)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054885452 736262510 /nfs/dbraw/zinc/26/25/10/736262510.db2.gz MEYFZDZXTBTSDJ-GXSJLCMTSA-N -1 1 332.364 -0.541 20 0 EBADMM C[C@H]1CN(C(=O)c2cn(C)ccc2=O)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054885455 736262718 /nfs/dbraw/zinc/26/27/18/736262718.db2.gz MEYFZDZXTBTSDJ-ONGXEEELSA-N -1 1 332.364 -0.541 20 0 EBADMM COc1coc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H](C)C2)cc1=O ZINC001054907378 736266500 /nfs/dbraw/zinc/26/65/00/736266500.db2.gz VWZQIBOONPCEHP-IUCAKERBSA-N -1 1 349.347 -0.278 20 0 EBADMM Cc1noc(CCC(=O)N2C[C@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001054953397 736272278 /nfs/dbraw/zinc/27/22/78/736272278.db2.gz DJWSUTSHMINWNC-WCBMZHEXSA-N -1 1 335.368 -0.229 20 0 EBADMM Cc1noc(CCC(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H](C)C2)n1 ZINC001054953398 736272470 /nfs/dbraw/zinc/27/24/70/736272470.db2.gz DJWSUTSHMINWNC-WPRPVWTQSA-N -1 1 335.368 -0.229 20 0 EBADMM Cc1n[nH]c(=O)c(C(=O)N2C[C@@H](C)[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c1C ZINC001054960681 736272693 /nfs/dbraw/zinc/27/26/93/736272693.db2.gz VGBOIJIWPVBGDO-XCBNKYQSSA-N -1 1 347.379 -0.127 20 0 EBADMM COc1nn(C)cc1C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001054968485 736274491 /nfs/dbraw/zinc/27/44/91/736274491.db2.gz IZJKNBGDNPWGNR-PSASIEDQSA-N -1 1 335.368 -0.497 20 0 EBADMM C[C@H](NC(N)=O)C(=O)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC001055297569 736326779 /nfs/dbraw/zinc/32/67/79/736326779.db2.gz FYOGTQXRLJTXCO-GARJFASQSA-N -1 1 347.375 -0.626 20 0 EBADMM C[C@H](CC(N)=O)C(=O)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC001055299777 736327128 /nfs/dbraw/zinc/32/71/28/736327128.db2.gz QESUGZKAXCKMHD-UTUOFQBUSA-N -1 1 346.387 -0.171 20 0 EBADMM CC(=O)NCCN1CCC(NC(=O)c2c[n-]n3c2nccc3=O)CC1 ZINC001055483735 736352806 /nfs/dbraw/zinc/35/28/06/736352806.db2.gz NKMFHZVIUAMVBH-UHFFFAOYSA-N -1 1 346.391 -0.647 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCN(c2ncc(F)cn2)CC1 ZINC001055856872 736524115 /nfs/dbraw/zinc/52/41/15/736524115.db2.gz KMSNUDNNJJSMPC-UHFFFAOYSA-N -1 1 344.310 -0.691 20 0 EBADMM O=C(N[C@]1(CO)CCCN(C(=O)c2cnon2)C1)c1ncccc1[O-] ZINC001055900900 736541948 /nfs/dbraw/zinc/54/19/48/736541948.db2.gz VZCOLRVRKWAMLX-OAHLLOKOSA-N -1 1 347.331 -0.433 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H](Nc2cnc(F)cn2)C1 ZINC001056665777 736764941 /nfs/dbraw/zinc/76/49/41/736764941.db2.gz OIBWKQMALKJQQO-SNVBAGLBSA-N -1 1 348.338 -0.431 20 0 EBADMM CCO[C@@H]1C[C@H]1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057089175 736978552 /nfs/dbraw/zinc/97/85/52/736978552.db2.gz OQPYNCBWEZYRJP-DGCLKSJQSA-N -1 1 337.376 -0.237 20 0 EBADMM COC[C@H](C)C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057189100 737061346 /nfs/dbraw/zinc/06/13/46/737061346.db2.gz KBILKAPSKUZRDA-NSHDSACASA-N -1 1 325.365 -0.380 20 0 EBADMM Cc1ncc(C(=O)N(CCO)CCNC(=O)c2[nH]nc(C)c2[O-])[nH]1 ZINC001057206659 737076445 /nfs/dbraw/zinc/07/64/45/737076445.db2.gz SUKCBFXAOAZTFQ-UHFFFAOYSA-N -1 1 336.352 -0.680 20 0 EBADMM C[C@H]1OCC[C@@H]1C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410071 737229488 /nfs/dbraw/zinc/22/94/88/737229488.db2.gz BPMRWGCNUHEHSB-NEPJUHHUSA-N -1 1 337.376 -0.237 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccc1[O-])c1ccnnc1 ZINC001057413441 737234021 /nfs/dbraw/zinc/23/40/21/737234021.db2.gz WFVNPHBEVSFRAC-UHFFFAOYSA-N -1 1 331.332 -0.558 20 0 EBADMM Cn1nnc2c1ncnc2N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001058343570 737786016 /nfs/dbraw/zinc/78/60/16/737786016.db2.gz CEQFCCIRFNPYJX-VIFPVBQESA-N -1 1 340.347 -0.132 20 0 EBADMM Cc1cc(N2CC[C@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)ncn1 ZINC001058403530 737815282 /nfs/dbraw/zinc/81/52/82/737815282.db2.gz RFCMPXFFCPGQRZ-JTQLQIEISA-N -1 1 340.347 -0.475 20 0 EBADMM Cc1ccnc(N2CC[C@@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)n1 ZINC001058406111 737816464 /nfs/dbraw/zinc/81/64/64/737816464.db2.gz KVMTVSXXIDXSJT-SNVBAGLBSA-N -1 1 340.347 -0.475 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ccc(=O)[nH]c1)c1ncccc1[O-] ZINC001058808210 738000272 /nfs/dbraw/zinc/00/02/72/738000272.db2.gz BGQUMRIOIDZFEQ-UHFFFAOYSA-N -1 1 346.343 -0.248 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CC[C@H](Nc2ncc(F)cn2)C1 ZINC001058928003 738077612 /nfs/dbraw/zinc/07/76/12/738077612.db2.gz IXWKXYYYIMJQIV-VIFPVBQESA-N -1 1 344.310 -0.327 20 0 EBADMM O=C(NCC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1COC(=O)N1 ZINC001059516938 738188134 /nfs/dbraw/zinc/18/81/34/738188134.db2.gz XZSDTJJVXLVYNG-GHMZBOCLSA-N -1 1 348.359 -0.136 20 0 EBADMM O=C(Cn1ncnn1)N1CC[C@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059695746 738231361 /nfs/dbraw/zinc/23/13/61/738231361.db2.gz RIMZAZRHSMQHLR-NSHDSACASA-N -1 1 345.363 -0.558 20 0 EBADMM O=C(NC1(CNC(=O)[C@H]2CCC(=O)N2)CCC1)c1ncccc1[O-] ZINC001062707313 738968499 /nfs/dbraw/zinc/96/84/99/738968499.db2.gz XHHVESWOJLXLHV-SNVBAGLBSA-N -1 1 332.360 -0.166 20 0 EBADMM Cc1n[nH]c(C(=O)NCC2(NC(=O)Cc3nnc[nH]3)CCC2)c1[O-] ZINC001062807894 738990504 /nfs/dbraw/zinc/99/05/04/738990504.db2.gz VYMKNKMGLHVIBZ-UHFFFAOYSA-N -1 1 333.352 -0.447 20 0 EBADMM CN1CCOC[C@@H]1C(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839117 739002478 /nfs/dbraw/zinc/00/24/78/739002478.db2.gz IKQMXOFEXPSOOG-GFCCVEGCSA-N -1 1 348.403 -0.114 20 0 EBADMM Cn1ncc(C(=O)NC2(CNC(=O)c3ncccc3[O-])CCC2)n1 ZINC001062839545 739002564 /nfs/dbraw/zinc/00/25/64/739002564.db2.gz VEMACEXDIIMVAT-UHFFFAOYSA-N -1 1 330.348 -0.002 20 0 EBADMM O=C(NCC1(NC(=O)[C@H]2CNC(=O)N2)CCC1)c1ncccc1[O-] ZINC001062840199 739002649 /nfs/dbraw/zinc/00/26/49/739002649.db2.gz ATDXAVHLELXNIB-SECBINFHSA-N -1 1 333.348 -0.763 20 0 EBADMM CN1C[C@H](C(=O)NCC2(NC(=O)c3ncccc3[O-])CCC2)NC1=O ZINC001063915153 739217118 /nfs/dbraw/zinc/21/71/18/739217118.db2.gz NYNKILUKCRAXJF-SNVBAGLBSA-N -1 1 347.375 -0.421 20 0 EBADMM Cn1nnc(C(=O)NCC2(NC(=O)c3ncccc3[O-])CCC2)n1 ZINC001064047963 739235838 /nfs/dbraw/zinc/23/58/38/739235838.db2.gz XCMMFWORJGJFBG-UHFFFAOYSA-N -1 1 331.336 -0.607 20 0 EBADMM O=C(Cn1cncn1)NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001065072556 739510073 /nfs/dbraw/zinc/51/00/73/739510073.db2.gz CZMPXINYDZKTBR-NSHDSACASA-N -1 1 346.347 -0.964 20 0 EBADMM CN(CCCN(C)C(=O)c1ccn[nH]1)C(=O)Cn1c(=O)[n-][nH]c1=O ZINC001066893007 740060512 /nfs/dbraw/zinc/06/05/12/740060512.db2.gz OHDCRKOZSIBMBW-UHFFFAOYSA-N -1 1 337.340 -0.967 20 0 EBADMM C[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1Nc1ncccn1 ZINC001067121313 740098185 /nfs/dbraw/zinc/09/81/85/740098185.db2.gz ZRFFZKFTUBJXQI-NWDGAFQWSA-N -1 1 344.375 -0.324 20 0 EBADMM CN(CCCN(C)C(=O)[C@H]1CCNC1=O)C(=O)c1ncccc1[O-] ZINC001067254975 740113592 /nfs/dbraw/zinc/11/35/92/740113592.db2.gz YLZQNLOGTNVZAQ-NSHDSACASA-N -1 1 334.376 -0.156 20 0 EBADMM CN(CCCN(C)C(=O)c1ncccc1[O-])C(=O)Cn1nccn1 ZINC001067254796 740113684 /nfs/dbraw/zinc/11/36/84/740113684.db2.gz OBNVCWIDDRWDCK-UHFFFAOYSA-N -1 1 332.364 -0.001 20 0 EBADMM COc1ccnc(N2C[C@H](O)[C@@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC001067665121 740177747 /nfs/dbraw/zinc/17/77/47/740177747.db2.gz JTABPTBHWUBONE-JQWIXIFHSA-N -1 1 345.359 -0.187 20 0 EBADMM COCCC(=O)N[C@H]1COC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001068647092 740391551 /nfs/dbraw/zinc/39/15/51/740391551.db2.gz QQKUPFWYHDPYHN-LLVKDONJSA-N -1 1 335.360 -0.077 20 0 EBADMM CC(=O)NCC(=O)N[C@@H]1COC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001068666774 740401916 /nfs/dbraw/zinc/40/19/16/740401916.db2.gz ZAFHZHHYFLERIO-NSHDSACASA-N -1 1 348.359 -0.977 20 0 EBADMM C[C@@H]1C[C@@H](Nc2ncccn2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001069119139 740537165 /nfs/dbraw/zinc/53/71/65/740537165.db2.gz OIIBQKNMDNWQMM-VXGBXAGGSA-N -1 1 344.375 -0.182 20 0 EBADMM O=C(NCC1(NC(=O)c2ncccc2[O-])CCOCC1)c1nnc[nH]1 ZINC001070085964 740690508 /nfs/dbraw/zinc/69/05/08/740690508.db2.gz AHBBACZAGVFDAS-UHFFFAOYSA-N -1 1 346.347 -0.386 20 0 EBADMM O=C(NC1(CNC(=O)c2ncccc2[O-])CCOCC1)c1cn[nH]n1 ZINC001070163290 740727430 /nfs/dbraw/zinc/72/74/30/740727430.db2.gz GRKSENWEEKRICA-UHFFFAOYSA-N -1 1 346.347 -0.386 20 0 EBADMM CCOCC(=O)N[C@@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001071013958 741036942 /nfs/dbraw/zinc/03/69/42/741036942.db2.gz SROXSSMDBVCKLK-SNVBAGLBSA-N -1 1 348.363 -0.825 20 0 EBADMM CC(=O)N(C)CCCC(=O)N1CCC[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001071059417 741051833 /nfs/dbraw/zinc/05/18/33/741051833.db2.gz LZAIXPPVYGKTKT-GFCCVEGCSA-N -1 1 336.396 -0.216 20 0 EBADMM C[C@H]1CN(C(=O)C(F)F)C[C@@]2(CCN(Cc3nc(=O)n(C)[n-]3)C2)O1 ZINC001071117248 741062626 /nfs/dbraw/zinc/06/26/26/741062626.db2.gz SHMAYUREKGIEBZ-XPTSAGLGSA-N -1 1 345.350 -0.435 20 0 EBADMM C[C@H]1CN(C(=O)c2ccn[nH]2)C[C@]2(CCN(Cc3n[nH]c(=O)[n-]3)C2)O1 ZINC001071139667 741065175 /nfs/dbraw/zinc/06/51/75/741065175.db2.gz YYBFQJXGXGRYKD-ZUZCIYMTSA-N -1 1 347.379 -0.261 20 0 EBADMM C[C@@H]1CN(C(=O)C2CC2)C[C@@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001071429161 741135591 /nfs/dbraw/zinc/13/55/91/741135591.db2.gz KSYDBCDUVWTMPC-PWSUYJOCSA-N -1 1 334.376 -0.700 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)Cc2ccnn2C)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071466525 741142035 /nfs/dbraw/zinc/14/20/35/741142035.db2.gz DFTWRQMTSMYAGF-RYUDHWBXSA-N -1 1 347.423 -0.446 20 0 EBADMM CCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001071476937 741143666 /nfs/dbraw/zinc/14/36/66/741143666.db2.gz OJPCYWRPHDPJQE-NEPJUHHUSA-N -1 1 336.392 -0.310 20 0 EBADMM Cc1ccnc(C(=O)N[C@@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001071476360 741143849 /nfs/dbraw/zinc/14/38/49/741143849.db2.gz FSKBYEMYAYJHSW-NWDGAFQWSA-N -1 1 345.407 -0.010 20 0 EBADMM Cc1cn(C)nc1C(=O)N[C@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071504479 741148618 /nfs/dbraw/zinc/14/86/18/741148618.db2.gz GBCZKXGVAQFTLR-NEPJUHHUSA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2cn[nH]c(=O)c2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071594681 741174059 /nfs/dbraw/zinc/17/40/59/741174059.db2.gz WKIWLGPXQHJACD-MWLCHTKSSA-N -1 1 347.379 -0.613 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2cn(C)c(=O)[nH]2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071802702 741219395 /nfs/dbraw/zinc/21/93/95/741219395.db2.gz ATSOASNLXKUMTF-ZJUUUORDSA-N -1 1 349.395 -0.670 20 0 EBADMM COCCN1C[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)CC[C@@H]1C ZINC001071907608 741242750 /nfs/dbraw/zinc/24/27/50/741242750.db2.gz CYOAVSBFEABEMH-NWDGAFQWSA-N -1 1 348.407 -0.313 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C(C)(C)C(N)=O ZINC001071952228 741263227 /nfs/dbraw/zinc/26/32/27/741263227.db2.gz JMICIOSENDBAFO-UWVGGRQHSA-N -1 1 334.376 -0.125 20 0 EBADMM COC(=O)NCC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001071952655 741263810 /nfs/dbraw/zinc/26/38/10/741263810.db2.gz PKXSQHPUQUVPEJ-NXEZZACHSA-N -1 1 336.348 -0.280 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)CCNC(N)=O ZINC001071953185 741264371 /nfs/dbraw/zinc/26/43/71/741264371.db2.gz WGZRBMJHECBPLV-VHSXEESVSA-N -1 1 335.364 -0.578 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)Cc2cncn2C)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071987732 741276352 /nfs/dbraw/zinc/27/63/52/741276352.db2.gz FNWJGDJHCZBJIS-RYUDHWBXSA-N -1 1 347.423 -0.446 20 0 EBADMM CCCC(=O)N[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1C ZINC001072393124 741388211 /nfs/dbraw/zinc/38/82/11/741388211.db2.gz KFOJPMPGRDETDM-VXGBXAGGSA-N -1 1 336.392 -0.310 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)CCc4ncc[nH]4)C3)C2)nc1=O ZINC001072675921 741458784 /nfs/dbraw/zinc/45/87/84/741458784.db2.gz GFRYSRZPTVOWMK-UHFFFAOYSA-N -1 1 345.407 -0.501 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)c4ccsn4)C3)C2)nc1=O ZINC001072843697 741501893 /nfs/dbraw/zinc/50/18/93/741501893.db2.gz NTIWWMRQPAQYMV-UHFFFAOYSA-N -1 1 334.405 -0.087 20 0 EBADMM CO[C@H]1CC[C@@H](C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)C1 ZINC001072868000 741508778 /nfs/dbraw/zinc/50/87/78/741508778.db2.gz WZKFVUFGAPDSQD-OLZOCXBDSA-N -1 1 349.435 -0.042 20 0 EBADMM CO[C@H]1CC[C@H](C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)C1 ZINC001072868002 741508943 /nfs/dbraw/zinc/50/89/43/741508943.db2.gz WZKFVUFGAPDSQD-STQMWFEESA-N -1 1 349.435 -0.042 20 0 EBADMM CO[C@H]1CCC[C@H]1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072872542 741509239 /nfs/dbraw/zinc/50/92/39/741509239.db2.gz ZYVAGTKPWRCJHA-OLZOCXBDSA-N -1 1 349.435 -0.042 20 0 EBADMM CO[C@@H]1CCC[C@@H]1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072872543 741509432 /nfs/dbraw/zinc/50/94/32/741509432.db2.gz ZYVAGTKPWRCJHA-QWHCGFSZSA-N -1 1 349.435 -0.042 20 0 EBADMM CCc1n[nH]cc1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072888760 741513094 /nfs/dbraw/zinc/51/30/94/741513094.db2.gz YQBPOQGJEKWULU-UHFFFAOYSA-N -1 1 345.407 -0.258 20 0 EBADMM CC1(NC(=O)Cn2cnnn2)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001072969404 741529097 /nfs/dbraw/zinc/52/90/97/741529097.db2.gz YBCYSEHYQAFHFX-UHFFFAOYSA-N -1 1 345.363 -0.415 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)[C@@H]4C[C@H]5CC[C@@H]4O5)C3)C2)nc1=O ZINC001073002736 741540313 /nfs/dbraw/zinc/54/03/13/741540313.db2.gz SEMOGUDYMSCOJQ-UPJWGTAASA-N -1 1 347.419 -0.290 20 0 EBADMM CCn1cnc(C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)c1 ZINC001073131330 741567479 /nfs/dbraw/zinc/56/74/79/741567479.db2.gz KREAQOSKDUPCOQ-UHFFFAOYSA-N -1 1 345.407 -0.327 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@@H](CNC(=O)c3ccco3)C2)nc1=O ZINC001073516887 741625599 /nfs/dbraw/zinc/62/55/99/741625599.db2.gz AIZXXXPXLFYOHP-NSHDSACASA-N -1 1 335.364 -0.278 20 0 EBADMM O=C(NC[C@@H]1CN([C@@H]2CCNC2=O)CCCO1)c1ncccc1[O-] ZINC001073584468 741669298 /nfs/dbraw/zinc/66/92/98/741669298.db2.gz URNZSGPNGIITEH-VXGBXAGGSA-N -1 1 334.376 -0.504 20 0 EBADMM O=C(NC[C@@H]1CN([C@H]2CCNC2=O)CCCO1)c1ncccc1[O-] ZINC001073584465 741669433 /nfs/dbraw/zinc/66/94/33/741669433.db2.gz URNZSGPNGIITEH-NEPJUHHUSA-N -1 1 334.376 -0.504 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@@H](CNC(=O)c3cnco3)C2)nc1=O ZINC001073716858 741721085 /nfs/dbraw/zinc/72/10/85/741721085.db2.gz NYLCUNXRVJMPBC-JTQLQIEISA-N -1 1 336.352 -0.883 20 0 EBADMM C[C@@H]1CN(C(=O)CNC(N)=O)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC001073900774 741782098 /nfs/dbraw/zinc/78/20/98/741782098.db2.gz NXCHQJJSIBFZDF-NXEZZACHSA-N -1 1 335.364 -0.578 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H]3CCN(C(=O)C(C)(F)F)C[C@H]32)nc1=O ZINC001074130984 741837689 /nfs/dbraw/zinc/83/76/89/741837689.db2.gz JNPROHASNMGHFB-ZJUUUORDSA-N -1 1 345.350 -0.435 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H]3CCN(C(=O)C(C)(C)F)C[C@@H]32)nc1=O ZINC001074131652 741837887 /nfs/dbraw/zinc/83/78/87/741837887.db2.gz OSCFDTCTTSEDBA-WDEREUQCSA-N -1 1 341.387 -0.342 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H]3CCN(C(=O)c4cc[nH]c4)C[C@@H]32)nc1=O ZINC001074248405 741872447 /nfs/dbraw/zinc/87/24/47/741872447.db2.gz FMZHVZYUDQJJPS-QWHCGFSZSA-N -1 1 346.391 -0.448 20 0 EBADMM O=C(NCC1(O)CCN(C(=O)c2cn[nH]n2)CC1)c1ncccc1[O-] ZINC001074637826 742039016 /nfs/dbraw/zinc/03/90/16/742039016.db2.gz NSOHTDPMJVWBCL-UHFFFAOYSA-N -1 1 346.347 -0.698 20 0 EBADMM CCC(=O)N1CC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H]1C ZINC001075067806 742172885 /nfs/dbraw/zinc/17/28/85/742172885.db2.gz VJSYNWWVQVIRMD-NWDGAFQWSA-N -1 1 336.392 -0.168 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@H]1CCCC(=O)N1C ZINC001075076119 742173830 /nfs/dbraw/zinc/17/38/30/742173830.db2.gz SLKLFMWPVZCOBZ-GARJFASQSA-N -1 1 336.396 -0.400 20 0 EBADMM C[C@@H](C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C)N1CCOCC1 ZINC001075154457 742193119 /nfs/dbraw/zinc/19/31/19/742193119.db2.gz ADJLUYSZEUTRRQ-SRVKXCTJSA-N -1 1 338.412 -0.690 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)C[C@@H]4COC(=O)C4)[C@@H]3C2)nc1=O ZINC001075684950 742272513 /nfs/dbraw/zinc/27/25/13/742272513.db2.gz IBJZHXNCDBCLPB-SDDRHHMPSA-N -1 1 349.391 -0.906 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)c1 ZINC001075687421 742273175 /nfs/dbraw/zinc/27/31/75/742273175.db2.gz NSHOAJTWVDQKBI-CMPLNLGQSA-N -1 1 331.380 -0.812 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)C4CCC(O)CC4)[C@@H]3C2)nc1=O ZINC001075742063 742286979 /nfs/dbraw/zinc/28/69/79/742286979.db2.gz GGBLWOHERQGYMD-GNRIBBGQSA-N -1 1 349.435 -0.308 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)CCNC(=O)[C@H](C)OC ZINC001075794370 742299946 /nfs/dbraw/zinc/29/99/46/742299946.db2.gz IWQYNQDEMNOPNI-YUMQZZPRSA-N -1 1 342.352 -0.444 20 0 EBADMM Cc1nc(CN2C[C@@H]3CCN(C(=O)c4n[nH]c(=O)[n-]c4=O)[C@@H]3C2)n[nH]1 ZINC001075993158 742353216 /nfs/dbraw/zinc/35/32/16/742353216.db2.gz HEAYHTZDDXOJSR-DTWKUNHWSA-N -1 1 346.351 -0.944 20 0 EBADMM CO[C@H](C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)C1CCC1 ZINC001076093961 742376341 /nfs/dbraw/zinc/37/63/41/742376341.db2.gz GALHDRNIFITAIW-GUTXKFCHSA-N -1 1 349.435 -0.044 20 0 EBADMM C[C@H](CC(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-])NC(N)=O ZINC001076245224 742419109 /nfs/dbraw/zinc/41/91/09/742419109.db2.gz STMAPTJMKJTSSY-VHSXEESVSA-N -1 1 337.380 -0.141 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CC(=O)NC(=O)N1 ZINC001076247210 742419598 /nfs/dbraw/zinc/41/95/98/742419598.db2.gz DGZDUTJVIUNRNG-IUCAKERBSA-N -1 1 349.347 -0.990 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@@]1(C)CNC(=O)C1 ZINC001076248186 742419623 /nfs/dbraw/zinc/41/96/23/742419623.db2.gz CELMBEMTXIIDDE-MGPLVRAMSA-N -1 1 334.376 -0.062 20 0 EBADMM C[C@@H](CCNC(=O)C[C@H](C)NC(N)=O)NC(=O)c1ncccc1[O-] ZINC001076520036 742489381 /nfs/dbraw/zinc/48/93/81/742489381.db2.gz SRYGSQQOFCERPS-UWVGGRQHSA-N -1 1 337.380 -0.141 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)C[C@@H]4CCCOC4)[C@@H]3C2)nc1=O ZINC001076581208 742503631 /nfs/dbraw/zinc/50/36/31/742503631.db2.gz YRRNRYGBLNBFDR-MELADBBJSA-N -1 1 349.435 -0.042 20 0 EBADMM O=C(CN1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1)N1CCCCC1 ZINC001076916331 742641926 /nfs/dbraw/zinc/64/19/26/742641926.db2.gz GAEGVCNEDBTMRN-TZMCWYRMSA-N -1 1 348.403 -0.425 20 0 EBADMM CCn1nc(C)c(CN2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001076917354 742642865 /nfs/dbraw/zinc/64/28/65/742642865.db2.gz YRBSXKDSYFPHJF-TZMCWYRMSA-N -1 1 346.391 -0.318 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)C3(F)CCCC3)C2)nc1=O ZINC001077270077 742825971 /nfs/dbraw/zinc/82/59/71/742825971.db2.gz GNESHCQYYDMBOO-NXEZZACHSA-N -1 1 327.360 -0.948 20 0 EBADMM O=C(N[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1O)c1[nH]nc2c1CCCC2 ZINC001077290558 742845644 /nfs/dbraw/zinc/84/56/44/742845644.db2.gz QJXVPKOAQYFCLN-GHMZBOCLSA-N -1 1 347.379 -0.913 20 0 EBADMM COCCC(=O)N[C@@H](C)CCNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001077488508 743014307 /nfs/dbraw/zinc/01/43/07/743014307.db2.gz UGTFKFPXCMCXIO-QMMMGPOBSA-N -1 1 342.352 -0.443 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)[C@H]3Cc4ccccc43)C2)nc1=O ZINC001077600762 743113356 /nfs/dbraw/zinc/11/33/56/743113356.db2.gz WRWBOWCLZSSSKU-BFHYXJOUSA-N -1 1 343.387 -0.890 20 0 EBADMM C[C@@H](CCNC(=O)c1ncn[nH]1)NC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001077831523 743281275 /nfs/dbraw/zinc/28/12/75/743281275.db2.gz QBUFIOQICIEUTH-ZETCQYMHSA-N -1 1 345.319 -0.015 20 0 EBADMM C[C@@H](CCNC(=O)c1nc[nH]n1)NC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001077831523 743281280 /nfs/dbraw/zinc/28/12/80/743281280.db2.gz QBUFIOQICIEUTH-ZETCQYMHSA-N -1 1 345.319 -0.015 20 0 EBADMM O=C(c1cnccn1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078324216 743584806 /nfs/dbraw/zinc/58/48/06/743584806.db2.gz NNMBCIKVFAOQLD-JTQLQIEISA-N -1 1 333.352 -0.679 20 0 EBADMM Cc1cc(C(=O)N2CCCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)no1 ZINC001078329927 743586554 /nfs/dbraw/zinc/58/65/54/743586554.db2.gz WZYPXYNLBMZINA-SNVBAGLBSA-N -1 1 336.352 -0.172 20 0 EBADMM Cc1ocnc1C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078349515 743598182 /nfs/dbraw/zinc/59/81/82/743598182.db2.gz OBPYKEDFPUTLAU-JTQLQIEISA-N -1 1 336.352 -0.172 20 0 EBADMM O=C([C@@H]1CCOC1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078352214 743600297 /nfs/dbraw/zinc/60/02/97/743600297.db2.gz ANFLUZZDBWAFIV-MNOVXSKESA-N -1 1 325.369 -0.746 20 0 EBADMM CC(C)(O)CC(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078389312 743622164 /nfs/dbraw/zinc/62/21/64/743622164.db2.gz KGSIEQTVWIOKIN-SNVBAGLBSA-N -1 1 327.385 -0.622 20 0 EBADMM O=C([C@H]1CC1(F)F)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078397309 743629183 /nfs/dbraw/zinc/62/91/83/743629183.db2.gz YSVBKMSRQXBRFL-RKDXNWHRSA-N -1 1 331.323 -0.127 20 0 EBADMM Cn1ccc(CC(=O)N2CCCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001078400109 743630740 /nfs/dbraw/zinc/63/07/40/743630740.db2.gz IGIBDFORTXHRMR-LBPRGKRZSA-N -1 1 349.395 -0.806 20 0 EBADMM O=C(c1cccnn1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078491362 743674968 /nfs/dbraw/zinc/67/49/68/743674968.db2.gz ZXMBMABVFJXQQX-JTQLQIEISA-N -1 1 333.352 -0.679 20 0 EBADMM Cc1cnoc1C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078495599 743677436 /nfs/dbraw/zinc/67/74/36/743677436.db2.gz ZMLSXYWFMXTPOX-SNVBAGLBSA-N -1 1 336.352 -0.172 20 0 EBADMM Cc1conc1C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078496206 743677908 /nfs/dbraw/zinc/67/79/08/743677908.db2.gz ANNWQHUDBHAXFA-JTQLQIEISA-N -1 1 336.352 -0.172 20 0 EBADMM CCn1cnc(C(=O)N2CCCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001078498608 743680250 /nfs/dbraw/zinc/68/02/50/743680250.db2.gz OQKRNBHEIYSCGG-LLVKDONJSA-N -1 1 349.395 -0.252 20 0 EBADMM Cc1nc[nH]c1CC(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078502604 743681993 /nfs/dbraw/zinc/68/19/93/743681993.db2.gz KNLRBWOHPZFABI-LLVKDONJSA-N -1 1 349.395 -0.508 20 0 EBADMM Nc1nccnc1C(=O)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078580901 743698550 /nfs/dbraw/zinc/69/85/50/743698550.db2.gz NRAPWLHCQMEHRF-IUCAKERBSA-N -1 1 344.379 -0.287 20 0 EBADMM Cc1nnc(CC(=O)N[C@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)o1 ZINC001078619057 743708618 /nfs/dbraw/zinc/70/86/18/743708618.db2.gz BCYPPIOTMVPHFS-ZJUUUORDSA-N -1 1 347.379 -0.039 20 0 EBADMM CCn1nncc1C(=O)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078619296 743709202 /nfs/dbraw/zinc/70/92/02/743709202.db2.gz GURHNTLHEJPGQO-QWRGUYRKSA-N -1 1 346.395 -0.048 20 0 EBADMM Cn1cnc(C(=O)N[C@@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)n1 ZINC001078620121 743709563 /nfs/dbraw/zinc/70/95/63/743709563.db2.gz SZSLWZKEMFBHTR-RKDXNWHRSA-N -1 1 332.368 -0.530 20 0 EBADMM O=C(Cc1c[nH]cn1)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078736346 743725863 /nfs/dbraw/zinc/72/58/63/743725863.db2.gz IIWRXNFOQLMCDC-GHMZBOCLSA-N -1 1 331.380 -0.007 20 0 EBADMM CN(C(=O)CNC(N)=O)[C@@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001078816021 743739258 /nfs/dbraw/zinc/73/92/58/743739258.db2.gz YFHFIEGLTWXWNA-LLVKDONJSA-N -1 1 349.391 -0.091 20 0 EBADMM CN(Cc1cnn(C)c1)[C@@H]1CCN(C(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC000980265662 805823346 /nfs/dbraw/zinc/82/33/46/805823346.db2.gz WEESVJNHDMVUQX-LLVKDONJSA-N -1 1 335.368 -0.844 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)c3cc[n+]([O-])cc3)CC2)nc1=O ZINC000980663390 805978603 /nfs/dbraw/zinc/97/86/03/805978603.db2.gz GVFJOSKBTHNRDB-UHFFFAOYSA-N -1 1 332.364 -0.910 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)C[C@H]3COC(=O)C3)CC2)nc1=O ZINC000980973976 806050517 /nfs/dbraw/zinc/05/05/17/806050517.db2.gz MSTKKIHIZMBLOL-LLVKDONJSA-N -1 1 337.380 -0.904 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)Cc3cncnc3)CC2)nc1=O ZINC000981059617 806072755 /nfs/dbraw/zinc/07/27/55/806072755.db2.gz ISXOOAAXXKJYDX-UHFFFAOYSA-N -1 1 331.380 -0.825 20 0 EBADMM Cc1nonc1CN[C@H]1CCC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000981203824 806107878 /nfs/dbraw/zinc/10/78/78/806107878.db2.gz ZNGHXJLNOWMIJB-IUCAKERBSA-N -1 1 349.351 -0.195 20 0 EBADMM O=C(N[C@@H]1CCC[C@@H](NCc2cnon2)C1)c1n[nH]c(=O)[n-]c1=O ZINC000981201832 806108352 /nfs/dbraw/zinc/10/83/52/806108352.db2.gz PWTXZKOUWWXWTR-HTQZYQBOSA-N -1 1 335.324 -0.503 20 0 EBADMM CCc1c(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)cnn1C ZINC000981536757 806194531 /nfs/dbraw/zinc/19/45/31/806194531.db2.gz LKYIBPKGIJCDLE-UHFFFAOYSA-N -1 1 347.423 -0.248 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCCN(CCOC2CCC2)CC1 ZINC000981555121 806200066 /nfs/dbraw/zinc/20/00/66/806200066.db2.gz CIYHRGXZQNUMNC-UHFFFAOYSA-N -1 1 337.380 0.000 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)[C@H]4CCCOC4)C3)C2)nc1=O ZINC000981658505 806227208 /nfs/dbraw/zinc/22/72/08/806227208.db2.gz LJGPGGXXYLYUQR-ZDUSSCGKSA-N -1 1 349.435 -0.041 20 0 EBADMM C[C@@H]1OCC[C@]1(C)C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000981677183 806234653 /nfs/dbraw/zinc/23/46/53/806234653.db2.gz VWWSNFWDWBIVTK-LRDDRELGSA-N -1 1 337.424 -0.042 20 0 EBADMM CCC(=O)N[C@@H]1CC[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000981777014 806274585 /nfs/dbraw/zinc/27/45/85/806274585.db2.gz GIPSJZGGGQNWJD-VHSXEESVSA-N -1 1 332.364 -0.158 20 0 EBADMM C[C@H]1COCC[C@H]1C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000981904676 806320670 /nfs/dbraw/zinc/32/06/70/806320670.db2.gz GMAOGIAAZHONJA-QWHCGFSZSA-N -1 1 337.424 -0.185 20 0 EBADMM C[C@H]1CO[C@H](C(=O)N2CC3(C2)CCCN(Cc2nc(=O)n(C)[n-]2)C3)C1 ZINC000981902798 806321596 /nfs/dbraw/zinc/32/15/96/806321596.db2.gz GKUROEDGGZFRQZ-OLZOCXBDSA-N -1 1 349.435 -0.042 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCN(C(=O)C(F)F)C1 ZINC000982319943 806460625 /nfs/dbraw/zinc/46/06/25/806460625.db2.gz GUMLQPFPTWOFAE-LURJTMIESA-N -1 1 346.290 -0.260 20 0 EBADMM CS(=O)(=O)CC(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982519649 806539530 /nfs/dbraw/zinc/53/95/30/806539530.db2.gz QGIMDHSUJHEAFQ-JTQLQIEISA-N -1 1 341.389 -0.590 20 0 EBADMM CN1CCO[C@H](C(=O)N2CC[C@@H](CNC(=O)c3ncccc3[O-])C2)C1 ZINC000982576966 806577332 /nfs/dbraw/zinc/57/73/32/806577332.db2.gz DLHMMKBSGZGTBF-JSGCOSHPSA-N -1 1 348.403 -0.304 20 0 EBADMM C/C=C(\C)C(=O)N1CC[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000982626881 806594241 /nfs/dbraw/zinc/59/42/41/806594241.db2.gz VIFBNGSOLWAEMP-LFJXOHPOSA-N -1 1 344.375 -0.038 20 0 EBADMM O=C(C[C@H]1CCNC1=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982846581 806731735 /nfs/dbraw/zinc/73/17/35/806731735.db2.gz ATVCLBWDDZCXSQ-NWDGAFQWSA-N -1 1 346.387 -0.108 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CCC1 ZINC000983013462 806836714 /nfs/dbraw/zinc/83/67/14/806836714.db2.gz OGRZWWJPFCUIDN-JTQLQIEISA-N -1 1 344.375 -0.204 20 0 EBADMM CN1C(=O)CC[C@H]1C(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000983130418 806896215 /nfs/dbraw/zinc/89/62/15/806896215.db2.gz ZMCAOPAPNLKJSQ-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@H]1CCN(C(=O)C(N)=O)C1 ZINC000983205801 806947377 /nfs/dbraw/zinc/94/73/77/806947377.db2.gz FHSXXJBWVXSWQL-SNVBAGLBSA-N -1 1 349.391 -0.322 20 0 EBADMM CC1(C)CN(CCCO)C[C@@H]1NC(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC000977366260 804297065 /nfs/dbraw/zinc/29/70/65/804297065.db2.gz UUTCJIOONOVNFJ-LBPRGKRZSA-N -1 1 348.407 -0.720 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1CCC(=O)N1 ZINC000977465129 804337458 /nfs/dbraw/zinc/33/74/58/804337458.db2.gz IPAZSUXVDUUARU-WDEREUQCSA-N -1 1 332.360 -0.261 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)[C@@]1(C)CNC(=O)C1)C(=O)c1ncccc1[O-] ZINC000977597154 804405396 /nfs/dbraw/zinc/40/53/96/804405396.db2.gz FORJBEGTHFMRPH-APPDUMDISA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)CN1CCOCC1)C(=O)c1ncccc1[O-] ZINC000977607241 804411547 /nfs/dbraw/zinc/41/15/47/804411547.db2.gz FBDAKSLGXKFNFF-ZDUSSCGKSA-N -1 1 348.403 -0.208 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)CCn1cnnn1)C(=O)c1ncccc1[O-] ZINC000977623246 804420481 /nfs/dbraw/zinc/42/04/81/804420481.db2.gz XYATVDVCTAYVEX-NSHDSACASA-N -1 1 345.363 -0.463 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@@H]1CCCNC1=O ZINC000977863590 804532246 /nfs/dbraw/zinc/53/22/46/804532246.db2.gz LXPBWNWOOIDQBQ-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N(C)C[C@H]1CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000978189000 804712839 /nfs/dbraw/zinc/71/28/39/804712839.db2.gz YYGLXEPLBMJWMN-XQQFMLRXSA-N -1 1 348.403 -0.358 20 0 EBADMM Cc1oncc1CN1C[C@@H]2[C@@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)[C@@H]2C1 ZINC000978408420 804823754 /nfs/dbraw/zinc/82/37/54/804823754.db2.gz DELBFZIEVIAWMQ-RTCCRHLQSA-N -1 1 346.347 -0.313 20 0 EBADMM CN1CCO[C@@H](C(=O)NC[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000978685347 804924799 /nfs/dbraw/zinc/92/47/99/804924799.db2.gz IDCKJLFRXMVJAB-MBNYWOFBSA-N -1 1 348.403 -0.258 20 0 EBADMM O=C(CN1CCOCC1)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000978743005 804955243 /nfs/dbraw/zinc/95/52/43/804955243.db2.gz QBCDHBISFFBVJE-BETUJISGSA-N -1 1 348.403 -0.256 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2cnccn2)CCO1 ZINC000979168043 805186645 /nfs/dbraw/zinc/18/66/45/805186645.db2.gz AIZZALVHAFGVRD-MWLCHTKSSA-N -1 1 333.352 -0.680 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2cccnc2)CCO1 ZINC000979173194 805188908 /nfs/dbraw/zinc/18/89/08/805188908.db2.gz CTAVBQOJFTYTPT-ZYHUDNBSSA-N -1 1 332.364 -0.075 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)on1 ZINC000979221080 805216772 /nfs/dbraw/zinc/21/67/72/805216772.db2.gz WGNORIGKRLCAHJ-GXSJLCMTSA-N -1 1 336.352 -0.174 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2cccc(=O)[nH]2)CCO1 ZINC000979261898 805246244 /nfs/dbraw/zinc/24/62/44/805246244.db2.gz BTJAMJKUMFTKOR-GXSJLCMTSA-N -1 1 348.363 -0.370 20 0 EBADMM Cc1nc(C(=O)N2CCO[C@@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)co1 ZINC000979267531 805250676 /nfs/dbraw/zinc/25/06/76/805250676.db2.gz BRWTUGOJOROVJF-LDYMZIIASA-N -1 1 336.352 -0.174 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)Cc2ccnn2C)CCO1 ZINC000979277825 805258070 /nfs/dbraw/zinc/25/80/70/805258070.db2.gz MLDJLSQMTOUYIY-ZYHUDNBSSA-N -1 1 349.395 -0.808 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)CC(C)(C)O)CCO1 ZINC000979279857 805259873 /nfs/dbraw/zinc/25/98/73/805259873.db2.gz PCJNSGKYLDISFA-ZJUUUORDSA-N -1 1 327.385 -0.623 20 0 EBADMM CCn1ccnc1C(=O)N1CCO[C@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979287734 805265464 /nfs/dbraw/zinc/26/54/64/805265464.db2.gz YYELJVGKYUMMII-MNOVXSKESA-N -1 1 349.395 -0.254 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)ncn1 ZINC000979290672 805266038 /nfs/dbraw/zinc/26/60/38/805266038.db2.gz JLLOFHNSPIKKFL-JQWIXIFHSA-N -1 1 347.379 -0.372 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)Cc2cncnc2)CCO1 ZINC000979300109 805276835 /nfs/dbraw/zinc/27/68/35/805276835.db2.gz KVMAHNUTGABFFC-PWSUYJOCSA-N -1 1 347.379 -0.752 20 0 EBADMM Cc1cn(C)nc1C(=O)N1CCO[C@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979301328 805277669 /nfs/dbraw/zinc/27/76/69/805277669.db2.gz YIMZLEGRJKPGSW-QWRGUYRKSA-N -1 1 349.395 -0.428 20 0 EBADMM Cc1c[nH]nc1C(=O)N1CCO[C@@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979330691 805298993 /nfs/dbraw/zinc/29/89/93/805298993.db2.gz JTDJHJNKPZZCRL-VHSXEESVSA-N -1 1 335.368 -0.439 20 0 EBADMM Cc1cnn(C)c1C(=O)N1CCO[C@@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979344966 805309592 /nfs/dbraw/zinc/30/95/92/805309592.db2.gz OQEZRKCMBBOUDO-GHMZBOCLSA-N -1 1 349.395 -0.428 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)CCO1 ZINC000979347841 805310800 /nfs/dbraw/zinc/31/08/00/805310800.db2.gz SRIZJFOVZUGETM-XPORZQOISA-N -1 1 337.380 -0.892 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2ccnnc2)CCO1 ZINC000979394083 805347115 /nfs/dbraw/zinc/34/71/15/805347115.db2.gz TXMASEPWCUYOMH-ONGXEEELSA-N -1 1 333.352 -0.680 20 0 EBADMM Cc1conc1C(=O)N1CCO[C@@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979424615 805368539 /nfs/dbraw/zinc/36/85/39/805368539.db2.gz GZBHCCRXDGXWDF-NXEZZACHSA-N -1 1 336.352 -0.174 20 0 EBADMM CCc1nc[nH]c1C(=O)N1CCO[C@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979447433 805386009 /nfs/dbraw/zinc/38/60/09/805386009.db2.gz ONLUQPZGFIKSEI-ONGXEEELSA-N -1 1 349.395 -0.185 20 0 EBADMM CCC(=O)N1C[C@@H]2CC[C@@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)[C@@H]2C1 ZINC000979542943 805424166 /nfs/dbraw/zinc/42/41/66/805424166.db2.gz CMIOEMFTDMNHRD-HBNTYKKESA-N -1 1 344.375 -0.206 20 0 EBADMM CN1CCO[C@H](C(=O)NC[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000979595851 805446835 /nfs/dbraw/zinc/44/68/35/805446835.db2.gz IDCKJLFRXMVJAB-OBJOEFQTSA-N -1 1 348.403 -0.258 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@H]2C[C@H](CNC(=O)c3ncccc3[O-])C2)C1 ZINC000979665787 805476856 /nfs/dbraw/zinc/47/68/56/805476856.db2.gz SAHFLDSCXGKTHF-AULYBMBSSA-N -1 1 346.387 -0.110 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCN(C(=O)CCc3c[nH]nn3)C2)c1[O-] ZINC000983326574 806989877 /nfs/dbraw/zinc/98/98/77/806989877.db2.gz LXODOHRQSDZCRK-JTQLQIEISA-N -1 1 347.379 -0.247 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@H]1CNC(=O)N1 ZINC000984794212 807389225 /nfs/dbraw/zinc/38/92/25/807389225.db2.gz HWSBHFKWVZEUSN-HBNTYKKESA-N -1 1 347.375 -0.422 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)c2cc(=O)n(C)o2)CC1 ZINC000985398677 807505302 /nfs/dbraw/zinc/50/53/02/807505302.db2.gz REAJJRBUNZPZHU-UHFFFAOYSA-N -1 1 336.352 -0.461 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)C[C@@H]2CCNC2=O)CC1 ZINC000985466572 807515080 /nfs/dbraw/zinc/51/50/80/807515080.db2.gz OLVQRCPOSFIDFR-JTQLQIEISA-N -1 1 336.396 -0.541 20 0 EBADMM CC(=O)N1C[C@@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC[C@@H]2C1 ZINC000985589089 807545289 /nfs/dbraw/zinc/54/52/89/807545289.db2.gz XAMJOXXWCXSLHJ-MNOVXSKESA-N -1 1 330.348 -0.642 20 0 EBADMM Cc1cc(OCC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)no1 ZINC000986389539 807694266 /nfs/dbraw/zinc/69/42/66/807694266.db2.gz VRLYXQRLLBBRNN-NXEZZACHSA-N -1 1 336.352 -0.035 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CC1CS(=O)(=O)C1 ZINC000986448675 807706682 /nfs/dbraw/zinc/70/66/82/807706682.db2.gz PSCBQILEJXCFSU-PSASIEDQSA-N -1 1 343.409 -0.976 20 0 EBADMM COCc1nn(C)cc1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000986450727 807707589 /nfs/dbraw/zinc/70/75/89/807707589.db2.gz VWKWXIGAWKKDDA-MWLCHTKSSA-N -1 1 349.395 -0.217 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CN1CCCNC1=O ZINC000986482919 807715355 /nfs/dbraw/zinc/71/53/55/807715355.db2.gz PBBIMAGZUGEVFK-ZJUUUORDSA-N -1 1 337.384 -0.995 20 0 EBADMM C[C@H]1[C@H](NCc2ccn(C)n2)CCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000986485461 807716474 /nfs/dbraw/zinc/71/64/74/807716474.db2.gz CNMZAGOREUMSPV-WCBMZHEXSA-N -1 1 333.352 -0.591 20 0 EBADMM Cc1oncc1CN[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)[C@@H]1C ZINC000986487407 807717767 /nfs/dbraw/zinc/71/77/67/807717767.db2.gz JWGIXKBYOUXBEI-GMSGAONNSA-N -1 1 334.336 -0.028 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc(C(N)=O)o1 ZINC000986527898 807726551 /nfs/dbraw/zinc/72/65/51/807726551.db2.gz MIVANGPNFQEORO-HTQZYQBOSA-N -1 1 334.336 -0.405 20 0 EBADMM COc1coc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)cc1=O ZINC000986559392 807734594 /nfs/dbraw/zinc/73/45/94/807734594.db2.gz HYMFXYUHUPKRJR-BDAKNGLRSA-N -1 1 349.347 -0.135 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCc1nccn1C ZINC000986598184 807748938 /nfs/dbraw/zinc/74/89/38/807748938.db2.gz BPYHKDYZCSONIZ-MNOVXSKESA-N -1 1 333.396 -0.044 20 0 EBADMM COc1nn(C)cc1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000986662114 807758831 /nfs/dbraw/zinc/75/88/31/807758831.db2.gz HIPZHFIROJXWLD-SCZZXKLOSA-N -1 1 335.368 -0.355 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)COC1CCOCC1 ZINC000987103203 807839553 /nfs/dbraw/zinc/83/95/53/807839553.db2.gz FFQNDIRUVSBNJS-ZYHUDNBSSA-N -1 1 339.396 -0.215 20 0 EBADMM COc1cncc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)n1 ZINC000987124589 807845079 /nfs/dbraw/zinc/84/50/79/807845079.db2.gz PAZGSSMJXKBYLF-RKDXNWHRSA-N -1 1 333.352 -0.298 20 0 EBADMM COc1ccc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)nn1 ZINC000987316703 807892301 /nfs/dbraw/zinc/89/23/01/807892301.db2.gz JNVQZSHRAKQAGE-DTWKUNHWSA-N -1 1 333.352 -0.298 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C(=O)[C@@H]1CNC(=O)N1 ZINC000987934406 808062874 /nfs/dbraw/zinc/06/28/74/808062874.db2.gz ZGOKUWYLRFXKPR-QWRGUYRKSA-N -1 1 347.375 -0.611 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCc1ccn(C)n1 ZINC000988915091 808325411 /nfs/dbraw/zinc/32/54/11/808325411.db2.gz BYYDAFPZOIVPSA-ZYHUDNBSSA-N -1 1 333.396 -0.044 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCn1ccccc1=O ZINC000988926583 808327149 /nfs/dbraw/zinc/32/71/49/808327149.db2.gz HJCPAIZXEXEXBD-RYUDHWBXSA-N -1 1 346.391 -0.159 20 0 EBADMM Cc1nocc1CN[C@@H]1C[C@@H](C)N(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000989107843 808376006 /nfs/dbraw/zinc/37/60/06/808376006.db2.gz BECGVSBMPQHRIH-GMSGAONNSA-N -1 1 334.336 -0.028 20 0 EBADMM CCn1ccc(CN[C@@H]2C[C@@H](C)N(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC000989108805 808376424 /nfs/dbraw/zinc/37/64/24/808376424.db2.gz CLOHKHQZJVOQNJ-MWLCHTKSSA-N -1 1 347.379 -0.108 20 0 EBADMM C[C@H]1C[C@@H](NCc2cnns2)CN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000989109779 808376588 /nfs/dbraw/zinc/37/65/88/808376588.db2.gz GWKKVYHUVJPPPO-NKWVEPMBSA-N -1 1 337.365 -0.473 20 0 EBADMM Cc1ncoc1CN[C@H]1C[C@H](C)N(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000989114000 808378824 /nfs/dbraw/zinc/37/88/24/808378824.db2.gz WJSPDIXHLWQVLL-CBAPKCEASA-N -1 1 334.336 -0.028 20 0 EBADMM Cc1oncc1CN[C@H]1C[C@@H](C)N(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000989117464 808381284 /nfs/dbraw/zinc/38/12/84/808381284.db2.gz CDNPNXUMNKOTEO-XCBNKYQSSA-N -1 1 334.336 -0.028 20 0 EBADMM C[C@@H]1C[C@H](NCc2ccn(C)n2)CN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000989118886 808381479 /nfs/dbraw/zinc/38/14/79/808381479.db2.gz INXCITOPMRRPDV-SCZZXKLOSA-N -1 1 333.352 -0.591 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc(C(N)=O)o1 ZINC000989156332 808388089 /nfs/dbraw/zinc/38/80/89/808388089.db2.gz SLUUSTYDUGDYGI-SFYZADRCSA-N -1 1 334.336 -0.405 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)Cc1ccc(=O)[nH]c1 ZINC000989220273 808405832 /nfs/dbraw/zinc/40/58/32/808405832.db2.gz SXFLJPKFMLDJMS-GXSJLCMTSA-N -1 1 332.364 -0.067 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)COc1cnn(C)c1 ZINC000989359183 808456229 /nfs/dbraw/zinc/45/62/29/808456229.db2.gz XHUVODYWKGKZJU-VHSXEESVSA-N -1 1 335.368 -0.598 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)[C@H]3C[C@]34CCOC4)CC2)nc1=O ZINC000989438083 808473673 /nfs/dbraw/zinc/47/36/73/808473673.db2.gz AVWZOZWMSDPDII-WBMJQRKESA-N -1 1 335.408 -0.431 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc(C(N)=O)[nH]1 ZINC000989457080 808481145 /nfs/dbraw/zinc/48/11/45/808481145.db2.gz FQKZNFOHDXBLTO-JGVFFNPUSA-N -1 1 333.352 -0.670 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1nccn2ccnc12 ZINC000989462314 808482105 /nfs/dbraw/zinc/48/21/05/808482105.db2.gz QAIAHSPYTBGKGK-NXEZZACHSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc2nncn2c1 ZINC000989550155 808503883 /nfs/dbraw/zinc/50/38/83/808503883.db2.gz NOBLQURTBBXUFI-GXSJLCMTSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1nc2ncccn2n1 ZINC000989580223 808520508 /nfs/dbraw/zinc/52/05/08/808520508.db2.gz LJVAPWDAXWDETL-IUCAKERBSA-N -1 1 343.351 -0.659 20 0 EBADMM COc1ncc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)cn1 ZINC000989638811 808542822 /nfs/dbraw/zinc/54/28/22/808542822.db2.gz OVRASYZJYKLGCA-PSASIEDQSA-N -1 1 333.352 -0.298 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc(C(N)=O)cn1 ZINC000989851052 808611758 /nfs/dbraw/zinc/61/17/58/808611758.db2.gz ZGBWJCWXGBFTND-PSASIEDQSA-N -1 1 345.363 -0.603 20 0 EBADMM CCn1nc(C)c(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)n1 ZINC000989860626 808614160 /nfs/dbraw/zinc/61/41/60/808614160.db2.gz LHBYWPIGTBEYGL-WCBMZHEXSA-N -1 1 334.384 -0.177 20 0 EBADMM Cn1cc(C(=O)N[C@]23CCC[C@@H]2N(Cc2nc(=O)n(C)[n-]2)CC3)cn1 ZINC000989943546 808625830 /nfs/dbraw/zinc/62/58/30/808625830.db2.gz XTUIVTGBVSNNEE-LRDDRELGSA-N -1 1 345.407 -0.231 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)c4ccn[nH]4)CCC[C@@H]23)nc1=O ZINC000989968975 808628502 /nfs/dbraw/zinc/62/85/02/808628502.db2.gz FEMLCFYKAJXOTJ-ABAIWWIYSA-N -1 1 331.380 -0.242 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)c4cnns4)CCC[C@@H]23)nc1=O ZINC000989977445 808629845 /nfs/dbraw/zinc/62/98/45/808629845.db2.gz ZQMLVADFTNVNIE-YGRLFVJLSA-N -1 1 349.420 -0.113 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)c4cnns4)CCC[C@@H]23)nc1=O ZINC000989977444 808630219 /nfs/dbraw/zinc/63/02/19/808630219.db2.gz ZQMLVADFTNVNIE-QMTHXVAHSA-N -1 1 349.420 -0.113 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)[C@H]4CCC(=O)N4)CCC[C@H]23)nc1=O ZINC000990042036 808642939 /nfs/dbraw/zinc/64/29/39/808642939.db2.gz OUEPAQIXAYRDQM-OHUAYANFSA-N -1 1 348.407 -1.000 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1ccccc1 ZINC000990098106 808653477 /nfs/dbraw/zinc/65/34/77/808653477.db2.gz XDPFOBXFCMLHEW-UHFFFAOYSA-N -1 1 338.327 -0.328 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)c2cc(C)on2)C1 ZINC000990170419 808680243 /nfs/dbraw/zinc/68/02/43/808680243.db2.gz DHYKMESACLSELY-UHFFFAOYSA-N -1 1 349.303 -0.553 20 0 EBADMM Cc1occc1C(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000990179513 808682388 /nfs/dbraw/zinc/68/23/88/808682388.db2.gz MANVSRQRQYHCHY-UHFFFAOYSA-N -1 1 342.315 -0.427 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1ccoc1 ZINC000990230232 808705417 /nfs/dbraw/zinc/70/54/17/808705417.db2.gz IDRXJEQNDJEVIV-UHFFFAOYSA-N -1 1 328.288 -0.735 20 0 EBADMM Cn1cnc(C(=O)N[C@]23CCC[C@@H]2N(Cc2nc(=O)n(C)[n-]2)CC3)c1 ZINC000990236498 808707761 /nfs/dbraw/zinc/70/77/61/808707761.db2.gz LVGRUUHWTXIXKX-LRDDRELGSA-N -1 1 345.407 -0.231 20 0 EBADMM O=C(Cn1cccn1)NC1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000990240839 808708549 /nfs/dbraw/zinc/70/85/49/808708549.db2.gz CNXYWOKZQOMGCO-UHFFFAOYSA-N -1 1 342.359 -0.103 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)c4cncnc4)CCC[C@H]23)nc1=O ZINC000990371298 808764486 /nfs/dbraw/zinc/76/44/86/808764486.db2.gz BMZYWGKRUFJJQT-LRDDRELGSA-N -1 1 343.391 -0.175 20 0 EBADMM CC(=O)N(C)C[C@@H]1CCCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000990559685 808841565 /nfs/dbraw/zinc/84/15/65/808841565.db2.gz CNAKIWZHZGHEOB-NSHDSACASA-N -1 1 332.364 -0.109 20 0 EBADMM CC(=O)N(C)C[C@@H]1CCCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000990558780 808842028 /nfs/dbraw/zinc/84/20/28/808842028.db2.gz VPCAEDIHILTRFD-ZDUSSCGKSA-N -1 1 336.392 -0.214 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(NC(=O)C2CC=CC2)C1 ZINC000990573995 808848570 /nfs/dbraw/zinc/84/85/70/808848570.db2.gz UMCWESFNGQBTEW-UHFFFAOYSA-N -1 1 332.360 -0.780 20 0 EBADMM Cc1nc(CC(=O)N[C@]23CCC[C@H]2N(Cc2n[nH]c(=O)[n-]2)CC3)n[nH]1 ZINC000990640479 808882545 /nfs/dbraw/zinc/88/25/45/808882545.db2.gz VBLHXECJSKJGBE-BMIGLBTASA-N -1 1 346.395 -0.207 20 0 EBADMM O=C(C=C1CCC1)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000990779192 808913323 /nfs/dbraw/zinc/91/33/23/808913323.db2.gz HYYHCLAVOVFITD-UHFFFAOYSA-N -1 1 328.332 -0.532 20 0 EBADMM NC(=O)N1CCCC[C@@H]1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990971200 809019343 /nfs/dbraw/zinc/01/93/43/809019343.db2.gz HLWQUJKXHXFFKF-LLVKDONJSA-N -1 1 347.375 -0.339 20 0 EBADMM Cn1cccc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)c1=O ZINC000990971690 809019373 /nfs/dbraw/zinc/01/93/73/809019373.db2.gz IOBUTSSYGFICDO-UHFFFAOYSA-N -1 1 328.328 -0.260 20 0 EBADMM Cc1csc(=O)n1CC(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990976692 809023999 /nfs/dbraw/zinc/02/39/99/809023999.db2.gz HJWYSQMUWWPKCT-UHFFFAOYSA-N -1 1 348.384 -0.040 20 0 EBADMM NC(=O)c1cncc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)c1 ZINC000990977625 809024749 /nfs/dbraw/zinc/02/47/49/809024749.db2.gz JCWQVVOIPIIPSA-UHFFFAOYSA-N -1 1 341.327 -0.465 20 0 EBADMM CC(C(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)=C1CCC1 ZINC000991259418 809205777 /nfs/dbraw/zinc/20/57/77/809205777.db2.gz WZIDKUBNJQQOBY-UHFFFAOYSA-N -1 1 342.359 -0.141 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)c1cn[nH]c1 ZINC000991299613 809255802 /nfs/dbraw/zinc/25/58/02/809255802.db2.gz NOCMURQTDUBYTF-ZYHUDNBSSA-N -1 1 331.332 -0.484 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)C2=COCCO2)C[C@H]1O)c1ncccc1[O-] ZINC000991404213 809420012 /nfs/dbraw/zinc/42/00/12/809420012.db2.gz DSVXYCKEJQNRFY-ZYHUDNBSSA-N -1 1 349.343 -0.633 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)C[C@H]1O)c1ncccc1[O-] ZINC000991426462 809452418 /nfs/dbraw/zinc/45/24/18/809452418.db2.gz NBSNTMPYJGQLSD-VGYDOTAVSA-N -1 1 347.371 -0.629 20 0 EBADMM Cc1cc(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])[C@H](O)C2)[nH]n1 ZINC000991429766 809459032 /nfs/dbraw/zinc/45/90/32/809459032.db2.gz RTADNIBTVWTURF-ZWNOBZJWSA-N -1 1 345.359 -0.176 20 0 EBADMM Cc1cc(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])[C@H](O)C2)n[nH]1 ZINC000991429766 809459035 /nfs/dbraw/zinc/45/90/35/809459035.db2.gz RTADNIBTVWTURF-ZWNOBZJWSA-N -1 1 345.359 -0.176 20 0 EBADMM Cc1nonc1C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC000991561617 809643071 /nfs/dbraw/zinc/64/30/71/809643071.db2.gz DCYZNNBOFFIDGA-MWLCHTKSSA-N -1 1 347.331 -0.516 20 0 EBADMM CCN1C[C@H](C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC001002450066 809712546 /nfs/dbraw/zinc/71/25/46/809712546.db2.gz NIPZUMAKDXRXTK-GFCCVEGCSA-N -1 1 346.387 -0.156 20 0 EBADMM C[C@@H]([NH2+]Cc1nc(=O)n(C)[n-]1)[C@@H]1CCCCN1C(=O)c1cnnn1C ZINC001004851266 809827775 /nfs/dbraw/zinc/82/77/75/809827775.db2.gz XKEQAFTURSSVLR-MNOVXSKESA-N -1 1 348.411 -0.590 20 0 EBADMM Cc1ncncc1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001006790068 809921696 /nfs/dbraw/zinc/92/16/96/809921696.db2.gz PTNJYGXZIODPDF-LLVKDONJSA-N -1 1 331.380 -0.399 20 0 EBADMM NC(=O)CC(=O)N1CCO[C@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC001007637498 809958299 /nfs/dbraw/zinc/95/82/99/809958299.db2.gz DVTMYUAZFBJDFC-MRXNPFEDSA-N -1 1 348.359 -0.894 20 0 EBADMM COc1nccc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001007900321 810039886 /nfs/dbraw/zinc/03/98/86/810039886.db2.gz GACMEPIKJWACSN-JTQLQIEISA-N -1 1 347.379 -0.699 20 0 EBADMM CC1(C)CN(C(=O)[C@@H]2CCNC2=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001008064346 810070823 /nfs/dbraw/zinc/07/08/23/810070823.db2.gz OENAOECVNJJMPY-ZYHUDNBSSA-N -1 1 346.387 -0.110 20 0 EBADMM CCC(=O)N1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C(C)(C)C1 ZINC001008351526 810142254 /nfs/dbraw/zinc/14/22/54/810142254.db2.gz KLRWTTXNYZXTHJ-SNVBAGLBSA-N -1 1 332.364 -0.206 20 0 EBADMM Cc1nc(C)c(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)[nH]1 ZINC001008569263 810196248 /nfs/dbraw/zinc/19/62/48/810196248.db2.gz ODDFLISOJBTLEV-LLVKDONJSA-N -1 1 333.396 -0.157 20 0 EBADMM CCc1ncncc1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001009550041 810245878 /nfs/dbraw/zinc/24/58/78/810245878.db2.gz BXWWVBRKMSTRCP-NSHDSACASA-N -1 1 345.407 -0.145 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CN1CCOCC1 ZINC001011667441 810342832 /nfs/dbraw/zinc/34/28/32/810342832.db2.gz TXWAGSOFHLVJSP-CHWSQXEVSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@@H]1CN(C(=O)CCCS(C)(=O)=O)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001215896289 810348446 /nfs/dbraw/zinc/34/84/46/810348446.db2.gz CQPFTMXRIWQJJN-NXEZZACHSA-N -1 1 345.425 -0.728 20 0 EBADMM COc1ncc(-n2cc(N)c(C(N)=O)n2)cc1[N-]S(C)(=O)=O ZINC001216151987 810361424 /nfs/dbraw/zinc/36/14/24/810361424.db2.gz KVMJBCZKUIOASP-UHFFFAOYSA-N -1 1 326.338 -0.672 20 0 EBADMM CCOCCOCC(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001216857170 810395913 /nfs/dbraw/zinc/39/59/13/810395913.db2.gz GTMURXSYLGXIEE-GHMZBOCLSA-N -1 1 327.385 -0.500 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cccc4c[nH]nc43)C2)nc1=O ZINC001015471459 810485435 /nfs/dbraw/zinc/48/54/35/810485435.db2.gz NXCMKZCHBWHYRM-LLVKDONJSA-N -1 1 341.375 -0.011 20 0 EBADMM Cn1nnc(C(=O)N[C@@H]2CC[C@@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC001015526863 810515744 /nfs/dbraw/zinc/51/57/44/810515744.db2.gz UNSDDDMVDIGIRF-NXEZZACHSA-N -1 1 345.363 -0.361 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@@H]3CCCc4nn[nH]c43)C2)nc1=O ZINC001015621328 810545128 /nfs/dbraw/zinc/54/51/28/810545128.db2.gz WHJRLOGHEOWPJK-VHSXEESVSA-N -1 1 346.395 -0.963 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@@H]3CCc4cccnc43)C2)nc1=O ZINC001015624296 810548549 /nfs/dbraw/zinc/54/85/49/810548549.db2.gz HTHIDJILMQXXDP-CHWSQXEVSA-N -1 1 342.403 -0.076 20 0 EBADMM Cn1nnnc1CN1CC[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001015804408 810586396 /nfs/dbraw/zinc/58/63/96/810586396.db2.gz VLGVMFDZYVNFQN-SNVBAGLBSA-N -1 1 344.379 -0.413 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cnc4cccnn43)C2)nc1=O ZINC001015808504 810588714 /nfs/dbraw/zinc/58/87/14/810588714.db2.gz ZEBKUOYKWLTCSM-JTQLQIEISA-N -1 1 342.363 -0.845 20 0 EBADMM O=C(N[C@H]1CCN(CCn2cccn2)C1)c1c[n-]n2c1nccc2=O ZINC001015808489 810589055 /nfs/dbraw/zinc/58/90/55/810589055.db2.gz YJLCKFUJJVKNLJ-LBPRGKRZSA-N -1 1 341.375 -0.277 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)CCC3CCCC3)[C@@H](O)C2)nc1=O ZINC001219135764 810591683 /nfs/dbraw/zinc/59/16/83/810591683.db2.gz IGTZRJBRVYKHJW-OLZOCXBDSA-N -1 1 337.424 -0.260 20 0 EBADMM Cc1nocc1CN1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001015992155 810646013 /nfs/dbraw/zinc/64/60/13/810646013.db2.gz LJPGURFMNRQVLQ-LLVKDONJSA-N -1 1 343.347 -0.282 20 0 EBADMM O=C(N[C@@H]1CCN(Cc2ccns2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001015993714 810647855 /nfs/dbraw/zinc/64/78/55/810647855.db2.gz ZVUSJAXIDQSEFF-SECBINFHSA-N -1 1 345.388 -0.122 20 0 EBADMM COc1cc[nH]c(=O)c1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001016003752 810650494 /nfs/dbraw/zinc/65/04/94/810650494.db2.gz OKSFYUSIJBKJAY-SECBINFHSA-N -1 1 348.363 -0.778 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ccc4n[nH]nc4c3)C2)nc1=O ZINC001016230185 810709938 /nfs/dbraw/zinc/70/99/38/810709938.db2.gz RMTLBXNLLFSFNI-JTQLQIEISA-N -1 1 342.363 -0.616 20 0 EBADMM C[C@H](C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)n1cccn1 ZINC001032933515 810776311 /nfs/dbraw/zinc/77/63/11/810776311.db2.gz XOPUYVFPWPKUPG-VXGBXAGGSA-N -1 1 333.396 -0.401 20 0 EBADMM Cc1nonc1CC(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033544461 810777818 /nfs/dbraw/zinc/77/78/18/810777818.db2.gz MJUBSAGGDKBINY-SNVBAGLBSA-N -1 1 335.368 -0.925 20 0 EBADMM O=C(Nc1cnc(N2C[C@H]3CC[C@@H](C2)O3)nc1)NN1CC(=O)[N-]C1=O ZINC001219952748 810922263 /nfs/dbraw/zinc/92/22/63/810922263.db2.gz OYHKKXZOMDZDII-AOOOYVTPSA-N -1 1 347.335 -0.568 20 0 EBADMM CCO[C@H]1C[C@@H]1C(=O)N1CCC2(CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001035656819 810981288 /nfs/dbraw/zinc/98/12/88/810981288.db2.gz WHWJWLMIVJMZII-STQMWFEESA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)[C@@H]2CCCOC2)CC3)nc1=O ZINC001035665253 810982274 /nfs/dbraw/zinc/98/22/74/810982274.db2.gz CELDNXCNFCAPGW-CYBMUJFWSA-N -1 1 349.435 -0.041 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)c2ncc[nH]2)CC3)nc1=O ZINC001035704122 810996049 /nfs/dbraw/zinc/99/60/49/810996049.db2.gz WYBPKFHIFSLKQI-UHFFFAOYSA-N -1 1 331.380 -0.430 20 0 EBADMM C[C@H]1CO[C@H](C(=O)N2CCC3(CN(Cc4nc(=O)n(C)[n-]4)C3)CC2)C1 ZINC001035757098 811011936 /nfs/dbraw/zinc/01/19/36/811011936.db2.gz GAQNWSKSOZXLMX-OLZOCXBDSA-N -1 1 349.435 -0.042 20 0 EBADMM C[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC[C@@H]1NCc1ccon1 ZINC001036093959 811095502 /nfs/dbraw/zinc/09/55/02/811095502.db2.gz NQCBMVRBOLQYTD-SCZZXKLOSA-N -1 1 334.336 -0.089 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C3(C(F)(F)F)CC3)[C@@H](O)C2)nc1=O ZINC001220409829 811099596 /nfs/dbraw/zinc/09/95/96/811099596.db2.gz AEYBIEDSGJNQNQ-SFYZADRCSA-N -1 1 349.313 -0.888 20 0 EBADMM Cn1cc(CC(=O)N2CC[C@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001036664550 811200282 /nfs/dbraw/zinc/20/02/82/811200282.db2.gz DMCNWSURGFRXOJ-STQMWFEESA-N -1 1 345.407 -0.091 20 0 EBADMM O=C(Cc1cc[nH]n1)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036676541 811206920 /nfs/dbraw/zinc/20/69/20/811206920.db2.gz NZGPPAULOFZJBG-PWSUYJOCSA-N -1 1 331.380 -0.101 20 0 EBADMM Cn1nccc1CC(=O)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036700807 811213385 /nfs/dbraw/zinc/21/33/85/811213385.db2.gz LWVNCNCMFGHUBV-AAEUAGOBSA-N -1 1 345.407 -0.091 20 0 EBADMM Cn1nncc1C(=O)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036703998 811216642 /nfs/dbraw/zinc/21/66/42/811216642.db2.gz LYLIVSMNHLRKHM-ZJUUUORDSA-N -1 1 332.368 -0.625 20 0 EBADMM CCn1nncc1C(=O)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036710258 811223004 /nfs/dbraw/zinc/22/30/04/811223004.db2.gz INMGYAZEDZEFSG-WDEREUQCSA-N -1 1 346.395 -0.142 20 0 EBADMM O=C([C@H]1[C@@H]2COC[C@@H]21)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036795580 811261323 /nfs/dbraw/zinc/26/13/23/811261323.db2.gz OPOXMVYAGLFHJO-DIACKHNESA-N -1 1 333.392 -0.175 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)CCc3ccccc3)[C@@H](O)C2)nc1=O ZINC001220842093 811263761 /nfs/dbraw/zinc/26/37/61/811263761.db2.gz LZAVHXXWWLINBL-KGLIPLIRSA-N -1 1 345.403 -0.598 20 0 EBADMM O=C(N[C@@H]1CCC[C@@H]1CNCc1ncccn1)c1n[nH]c(=O)[n-]c1=O ZINC001037038607 811347899 /nfs/dbraw/zinc/34/78/99/811347899.db2.gz QNUWGUJHHGJECR-NXEZZACHSA-N -1 1 345.363 -0.239 20 0 EBADMM CO[C@H](C)CCC(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001221498803 811470747 /nfs/dbraw/zinc/47/07/47/811470747.db2.gz KWOQQQJTOSKDBL-JHJVBQTASA-N -1 1 337.424 -0.044 20 0 EBADMM CCN(C(=O)CC(N)=O)C1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001079531826 811577531 /nfs/dbraw/zinc/57/75/31/811577531.db2.gz CAXZBMBOJYOVCJ-UHFFFAOYSA-N -1 1 347.375 -0.392 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cc1ccnn1C ZINC001079638349 811624992 /nfs/dbraw/zinc/62/49/92/811624992.db2.gz LVFMOMUVEXAHCS-ZYHUDNBSSA-N -1 1 333.396 -0.979 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cc2n(n1)CCC2 ZINC001079814474 811738731 /nfs/dbraw/zinc/73/87/31/811738731.db2.gz YXAHWOKIAOOLHW-ZWNOBZJWSA-N -1 1 345.407 -0.499 20 0 EBADMM O=c1cc(OC2CCS(=O)(=O)CC2)nc(N2CCOCC2)[n-]1 ZINC001226722152 811881683 /nfs/dbraw/zinc/88/16/83/811881683.db2.gz KHOCIBBVALSZPI-UHFFFAOYSA-N -1 1 329.378 -0.025 20 0 EBADMM C[C@@H](C(=O)NC(N)=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC001226985650 811894939 /nfs/dbraw/zinc/89/49/39/811894939.db2.gz JATFPGFGYQGWGI-VIFPVBQESA-N -1 1 335.364 -0.435 20 0 EBADMM O=C(NCC(F)F)C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001227225756 811912530 /nfs/dbraw/zinc/91/25/30/811912530.db2.gz PCIDLNHJBKLCHA-HTQZYQBOSA-N -1 1 346.338 -0.591 20 0 EBADMM CC(=O)OC[C@@H](COc1nc2c([n-]1)[nH]c(=O)[nH]c2=O)OC(C)=O ZINC001227434321 811922043 /nfs/dbraw/zinc/92/20/43/811922043.db2.gz KOZOUGJIDXMSCB-ZETCQYMHSA-N -1 1 326.265 -0.362 20 0 EBADMM Cn1nccc1CCC(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001227606852 811929533 /nfs/dbraw/zinc/92/95/33/811929533.db2.gz BMTPVSJOZDVVGH-UHFFFAOYSA-N -1 1 347.423 -0.445 20 0 EBADMM Cn1cc(OCC(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)cn1 ZINC001228232871 811971096 /nfs/dbraw/zinc/97/10/96/811971096.db2.gz ZHKWAMLBGIWSND-VXGBXAGGSA-N -1 1 349.395 -0.160 20 0 EBADMM CC[C@@H](NC(C)=O)C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001229321645 812026240 /nfs/dbraw/zinc/02/62/40/812026240.db2.gz MIGVWKHVHVUSNM-GFCCVEGCSA-N -1 1 338.412 -0.896 20 0 EBADMM CCc1oncc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001080539818 812051861 /nfs/dbraw/zinc/05/18/61/812051861.db2.gz YNYUHHNVZOSPQK-MWLCHTKSSA-N -1 1 334.380 -0.091 20 0 EBADMM CCn1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)n1 ZINC001080557304 812059761 /nfs/dbraw/zinc/05/97/61/812059761.db2.gz ORNLDMOLIVMWDW-ZYHUDNBSSA-N -1 1 333.396 -0.425 20 0 EBADMM COCC(=O)NCCCN(Cc1nc(=O)n(C)[n-]1)Cc1cccnc1 ZINC001230632630 812206752 /nfs/dbraw/zinc/20/67/52/812206752.db2.gz LITJJWZOHMDRSZ-UHFFFAOYSA-N -1 1 348.407 -0.342 20 0 EBADMM C[C@@H](C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)n1cccn1 ZINC001230848167 812246440 /nfs/dbraw/zinc/24/64/40/812246440.db2.gz BJDQCGUTLYMNPG-RYUDHWBXSA-N -1 1 333.396 -0.401 20 0 EBADMM COc1c(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)cnn1C ZINC001080857151 812293194 /nfs/dbraw/zinc/29/31/94/812293194.db2.gz JHSMNPYSSMNWBX-MWLCHTKSSA-N -1 1 349.395 -0.899 20 0 EBADMM O=c1[nH]cc(CN2CCN(CCCCS(=O)(=O)[O-])CC2)c(=O)[nH]1 ZINC001231708963 812305115 /nfs/dbraw/zinc/30/51/15/812305115.db2.gz MQELNNJMIHFJNE-UHFFFAOYSA-N -1 1 346.409 -0.327 20 0 EBADMM C[C@H](C(=O)NC(N)=O)N1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001233837749 812355044 /nfs/dbraw/zinc/35/50/44/812355044.db2.gz QBAFXXDKIGLZQO-NXEZZACHSA-N -1 1 335.364 -0.483 20 0 EBADMM COC(=O)c1nc(C)[n-]c(=O)c1O[C@@H]1C[C@@H](C(=O)OC)N(C)C1 ZINC001234564926 812438440 /nfs/dbraw/zinc/43/84/40/812438440.db2.gz RLBDHIXYRCPRQF-BDAKNGLRSA-N -1 1 325.321 -0.098 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)CCc1ccncn1 ZINC001234624601 812443994 /nfs/dbraw/zinc/44/39/94/812443994.db2.gz FHPJIKXRLQEFLD-CYBMUJFWSA-N -1 1 345.407 -0.436 20 0 EBADMM O=C([N-]OC1CCOCC1)C(=O)NCCCCN1CCOCC1 ZINC001257074829 812748531 /nfs/dbraw/zinc/74/85/31/812748531.db2.gz BNDFPPDPSXNPMA-UHFFFAOYSA-N -1 1 329.397 -0.558 20 0 EBADMM COC(=O)CS(=O)(=O)[N-][C@](C)(Cc1ccc(O)cc1)C(=O)OC ZINC001259030092 812805076 /nfs/dbraw/zinc/80/50/76/812805076.db2.gz NWOQKIFWXKKREQ-CQSZACIVSA-N -1 1 345.373 -0.041 20 0 EBADMM NC(=O)C[C@H]([N-]S(=O)(=O)c1cc(F)c(F)cc1F)C(N)=O ZINC001259045185 812805918 /nfs/dbraw/zinc/80/59/18/812805918.db2.gz RHOKXKVUKZAJJQ-ZETCQYMHSA-N -1 1 325.268 -0.889 20 0 EBADMM C[C@H]([N-]S(=O)(=O)c1cc(F)c(F)cc1F)C(=O)NCC(N)=O ZINC001259044471 812806286 /nfs/dbraw/zinc/80/62/86/812806286.db2.gz KBXVBPNZUIWEJC-YFKPBYRVSA-N -1 1 339.295 -0.628 20 0 EBADMM O=C1[N-]C(=O)[C@@H](NS(=O)(=O)c2nc3nc(Cl)ccn3n2)S1 ZINC001260177931 812828524 /nfs/dbraw/zinc/82/85/24/812828524.db2.gz QFHPTRDGECVRMC-YFKPBYRVSA-N -1 1 348.753 -0.635 20 0 EBADMM CCOC(=O)C([N-]S(=O)(=O)c1cnc(NC(C)=O)s1)=C(C)O ZINC001260709641 812847445 /nfs/dbraw/zinc/84/74/45/812847445.db2.gz MOXSYUUIGKXPDA-SECBINFHSA-N -1 1 349.390 -0.100 20 0 EBADMM COc1cc(NC(=O)C(=O)NN2CC(=O)[N-]C2=O)cc2cccnc21 ZINC001261240431 812885138 /nfs/dbraw/zinc/88/51/38/812885138.db2.gz WUKDZZCUUDLKOW-UHFFFAOYSA-N -1 1 343.299 -0.235 20 0 EBADMM CCS(=O)(=O)C1(CNC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001261755505 812981020 /nfs/dbraw/zinc/98/10/20/812981020.db2.gz HIEOFTPLVXVQGV-UHFFFAOYSA-N -1 1 329.378 -0.990 20 0 EBADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H](C)N3C(=O)CCC3=O)nc2n1 ZINC001261781423 812983645 /nfs/dbraw/zinc/98/36/45/812983645.db2.gz UUYAVEIUMGFLSG-ZETCQYMHSA-N -1 1 332.320 -0.544 20 0 EBADMM CCCc1cc(=O)n2[n-]c(NC(=O)Cn3nc(C)n(C)c3=O)nc2n1 ZINC001261781504 812984161 /nfs/dbraw/zinc/98/41/61/812984161.db2.gz XLZHVXKSRJOOFU-UHFFFAOYSA-N -1 1 346.351 -0.788 20 0 EBADMM NC(=O)[C@@H]1CCCN1CCCNC(=O)c1c[n-]n2c1nccc2=O ZINC001261841910 812997322 /nfs/dbraw/zinc/99/73/22/812997322.db2.gz GWMSOQTZWQUCJM-NSHDSACASA-N -1 1 332.364 -0.908 20 0 EBADMM CN(CCCNC(=O)c1ccc2oc(=O)nc-2[n-]1)[C@H]1CCNC1=O ZINC001265057956 813229575 /nfs/dbraw/zinc/22/95/75/813229575.db2.gz BUOQHBQLKGMZPW-JTQLQIEISA-N -1 1 333.348 -0.131 20 0 EBADMM CCN(CCCNC(=O)[C@H]1CC(=O)N(CC)C1)Cc1n[nH]c(=O)[n-]1 ZINC001265115888 813239813 /nfs/dbraw/zinc/23/98/13/813239813.db2.gz KGOFHMUVAHOMNN-NSHDSACASA-N -1 1 338.412 -0.293 20 0 EBADMM COCC1(C(=O)NC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)CC1 ZINC001081596378 813263348 /nfs/dbraw/zinc/26/33/48/813263348.db2.gz XPRKTOFDUMAHCK-WCQYABFASA-N -1 1 349.387 -0.237 20 0 EBADMM O=C(Cc1ncc[nH]1)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001081692117 813413813 /nfs/dbraw/zinc/41/38/13/813413813.db2.gz BUXVTNCBKVSBAH-CMPLNLGQSA-N -1 1 345.359 -0.698 20 0 EBADMM CCN(CCCNC(=O)[C@@H]1C[C@@H]1C(=O)OC)Cc1n[nH]c(=O)[n-]1 ZINC001266025240 813442017 /nfs/dbraw/zinc/44/20/17/813442017.db2.gz FGFJGFMLDCQGFJ-ZJUUUORDSA-N -1 1 325.369 -0.352 20 0 EBADMM CC[C@@H](OC)C(=O)NCCCN1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001266257374 813493847 /nfs/dbraw/zinc/49/38/47/813493847.db2.gz FNBQVLXHNHFYIC-GFCCVEGCSA-N -1 1 340.428 -0.441 20 0 EBADMM O=C(NCCCN1CCN(Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1CCCO1 ZINC001266257132 813494461 /nfs/dbraw/zinc/49/44/61/813494461.db2.gz VUNMKODWGFDEDT-GFCCVEGCSA-N -1 1 338.412 -0.687 20 0 EBADMM CN(CCNC(=O)c1ccc2nc[nH]c2n1)Cc1nc(=O)n(C)[n-]1 ZINC001266286146 813514232 /nfs/dbraw/zinc/51/42/32/813514232.db2.gz AQXZWEOILCIJQQ-UHFFFAOYSA-N -1 1 330.352 -0.759 20 0 EBADMM COCCOCC(=O)NC1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001266645582 813631800 /nfs/dbraw/zinc/63/18/00/813631800.db2.gz BUZOBRBCNAIUGE-YOGCLGLASA-N -1 1 339.396 -0.215 20 0 EBADMM COCCOCC(=O)NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001266645582 813631802 /nfs/dbraw/zinc/63/18/02/813631802.db2.gz BUZOBRBCNAIUGE-YOGCLGLASA-N -1 1 339.396 -0.215 20 0 EBADMM CCO[C@H](C(=O)N(C)CCNCc1n[nH]c(=O)[n-]1)C1CCOCC1 ZINC001266789447 813671861 /nfs/dbraw/zinc/67/18/61/813671861.db2.gz YNGQMOLZHCDQIM-ZDUSSCGKSA-N -1 1 341.412 -0.110 20 0 EBADMM NC(=O)NCC(=O)NC[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001082022682 813720591 /nfs/dbraw/zinc/72/05/91/813720591.db2.gz LIWISYHTEQISMJ-JTQLQIEISA-N -1 1 335.364 -0.434 20 0 EBADMM CC(C)N(CCCNC(=O)[C@H]1CC(=O)N(C)C1)Cc1n[nH]c(=O)[n-]1 ZINC001267264428 813810250 /nfs/dbraw/zinc/81/02/50/813810250.db2.gz BDSSCZFASLGPNQ-NSHDSACASA-N -1 1 338.412 -0.295 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@H]1CCCN1Cc1ccon1 ZINC001267278887 813816289 /nfs/dbraw/zinc/81/62/89/813816289.db2.gz STESJINGHHTOLI-CYBMUJFWSA-N -1 1 347.375 -0.305 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1cnn(-c2ccccn2)c1 ZINC001267434977 813891856 /nfs/dbraw/zinc/89/18/56/813891856.db2.gz JPLCPSQERJEAGQ-UHFFFAOYSA-N -1 1 342.363 -0.047 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082652364 813984873 /nfs/dbraw/zinc/98/48/73/813984873.db2.gz VJFDPCWMLIECKZ-FXYHDGJGSA-N -1 1 333.392 -0.130 20 0 EBADMM Cc1nonc1CN1CC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001267731702 814013499 /nfs/dbraw/zinc/01/34/99/814013499.db2.gz OFPVKUAZWJIIRT-NSHDSACASA-N -1 1 348.363 -0.991 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)C[C@H]4C=CCC4)[C@H]3C2)nc1=O ZINC001082993964 814045336 /nfs/dbraw/zinc/04/53/36/814045336.db2.gz SRUMZWVTSFGIFG-MELADBBJSA-N -1 1 347.419 -0.124 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)/C=C/c3ccc[nH]3)C2)nc1=O ZINC001268020596 814095560 /nfs/dbraw/zinc/09/55/60/814095560.db2.gz JCQAJOGEOMHRIF-MUBLQREKSA-N -1 1 346.391 -0.533 20 0 EBADMM CCS(=O)(=O)NCCCN1CC(NC(=O)c2ncccc2[O-])C1 ZINC001268279330 814170940 /nfs/dbraw/zinc/17/09/40/814170940.db2.gz FTGUYECRGXCNKH-UHFFFAOYSA-N -1 1 342.421 -0.469 20 0 EBADMM CCC[C@@H]1C[C@H]1C(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21 ZINC001083186958 814190290 /nfs/dbraw/zinc/19/02/90/814190290.db2.gz SJBLYQMIRBCRTE-YIYPIFLZSA-N -1 1 349.435 -0.044 20 0 EBADMM O=C(Cn1ccc2ccccc21)NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001268336499 814216864 /nfs/dbraw/zinc/21/68/64/814216864.db2.gz UCOTWGXYQJCOER-LBPRGKRZSA-N -1 1 344.375 -0.268 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CN(Cc3n[nH]c(C4CC4)n3)C[C@@H]2O)c1[O-] ZINC001083410874 814385425 /nfs/dbraw/zinc/38/54/25/814385425.db2.gz YAVRCOYWYSXKMG-ZJUUUORDSA-N -1 1 347.379 -0.606 20 0 EBADMM O=C(CN1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1)NC1CCCC1 ZINC001083423337 814404803 /nfs/dbraw/zinc/40/48/03/814404803.db2.gz QBZCIRSSFWECRN-OCCSQVGLSA-N -1 1 348.403 -0.379 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)cc(C)n1 ZINC001083493320 814485531 /nfs/dbraw/zinc/48/55/31/814485531.db2.gz UGRZBZBCPNHKOV-OLZOCXBDSA-N -1 1 346.391 -0.905 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)cc1F ZINC001083620114 814629392 /nfs/dbraw/zinc/62/93/92/814629392.db2.gz VITMXUSGLWZCIF-OLZOCXBDSA-N -1 1 349.366 -0.469 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@@H]1CCN1Cc1ccon1 ZINC001269320645 814634920 /nfs/dbraw/zinc/63/49/20/814634920.db2.gz GFNLRAQQHKYAJK-LBPRGKRZSA-N -1 1 333.348 -0.695 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)c3occ4c3CCC4)[C@@H](O)C2)nc1=O ZINC001083673362 814682088 /nfs/dbraw/zinc/68/20/88/814682088.db2.gz YUIKFHWSWBRQTD-NEPJUHHUSA-N -1 1 347.375 -0.835 20 0 EBADMM CC(C)(C)C(=O)CN1CC[C@]2(CCCN2C(=O)Cc2nn[n-]n2)C1=O ZINC001269576572 814733759 /nfs/dbraw/zinc/73/37/59/814733759.db2.gz PJEMLEMERXCYOB-MRXNPFEDSA-N -1 1 348.407 -0.049 20 0 EBADMM CC(C)=CCN1C[C@@]2(CC1=O)COCCN(C(=O)Cc1nn[n-]n1)C2 ZINC001269576888 814734793 /nfs/dbraw/zinc/73/47/93/814734793.db2.gz ZXRFPNNQNGSICV-MRXNPFEDSA-N -1 1 348.407 -0.214 20 0 EBADMM Cc1noc(CN2CC[C@]3(CCCN3C(=O)Cc3nn[n-]n3)C2=O)n1 ZINC001269577802 814735601 /nfs/dbraw/zinc/73/56/01/814735601.db2.gz ODKBVCRLJNTPJV-CQSZACIVSA-N -1 1 346.351 -0.773 20 0 EBADMM Cn1[n-]nnc1=NC(=O)c1cc2c([nH]1)CN(C(=O)c1ccn[nH]1)CC2 ZINC001269598529 814741909 /nfs/dbraw/zinc/74/19/09/814741909.db2.gz GPJOSZAHRCHIAF-UHFFFAOYSA-N -1 1 341.335 -0.866 20 0 EBADMM COCCOCN1CCO[C@H]2CN(C(=O)c3ccc([O-])cn3)C[C@H]21 ZINC001269895092 815630541 /nfs/dbraw/zinc/63/05/41/815630541.db2.gz ISQUZWIVLLFSJZ-CABCVRRESA-N -1 1 337.376 -0.067 20 0 EBADMM CN(C)S(=O)(=O)N1CC2(CN(C(=O)c3ccc([O-])cn3)C2)C1 ZINC001269895010 815630812 /nfs/dbraw/zinc/63/08/12/815630812.db2.gz GPIMUWZTTFKHOP-UHFFFAOYSA-N -1 1 326.378 -0.649 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)N[C@@H]1CN(CC2CCC2)C[C@@H]1O ZINC001083870276 815663705 /nfs/dbraw/zinc/66/37/05/815663705.db2.gz YUNZTFXZURDCGE-OLZOCXBDSA-N -1 1 346.391 -0.967 20 0 EBADMM CN(C)C(=O)CNC(=O)C12CC(NC(=O)c3ccc([O-])cn3)(C1)C2 ZINC001270139140 815697656 /nfs/dbraw/zinc/69/76/56/815697656.db2.gz SCDABFUXKZMZPM-UHFFFAOYSA-N -1 1 332.360 -0.356 20 0 EBADMM COC1(CC(=O)N2C[C@@H]3CCN(C(=O)Cc4nn[n-]n4)[C@@H]3C2)CCC1 ZINC001270183005 815713961 /nfs/dbraw/zinc/71/39/61/815713961.db2.gz PQATYLKFPNADAF-NWDGAFQWSA-N -1 1 348.407 -0.239 20 0 EBADMM O=C(Cc1nn[n-]n1)N1C[C@H]2CC[C@@H](C1)N2C(=O)NCC(F)(F)F ZINC001270186197 815715724 /nfs/dbraw/zinc/71/57/24/815715724.db2.gz RCELVWYACDDTOI-OCAPTIKFSA-N -1 1 347.301 -0.311 20 0 EBADMM CCNC(=O)CC(=O)NC1(C)CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001270226242 815729328 /nfs/dbraw/zinc/72/93/28/815729328.db2.gz WMSAEMODPCXWLF-UHFFFAOYSA-N -1 1 338.412 -0.895 20 0 EBADMM CN(C)S(=O)(=O)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001270257841 815735996 /nfs/dbraw/zinc/73/59/96/815735996.db2.gz HFNDZUSNRSDGGK-WDEREUQCSA-N -1 1 340.405 -0.260 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@H]3CC4CCC3CC4)[C@@H](O)C2)nc1=O ZINC001084096310 815832156 /nfs/dbraw/zinc/83/21/56/815832156.db2.gz HAFSBICBEBLIRZ-LFGDDXOXSA-N -1 1 349.435 -0.404 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)[C@H]4CCCCO4)[C@@H]3C2)nc1=O ZINC001084281010 815880281 /nfs/dbraw/zinc/88/02/81/815880281.db2.gz ASOQWZZSZZCAMI-JHJVBQTASA-N -1 1 335.408 -0.290 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)[C@@H]4CCCOCC4)[C@@H]3C2)nc1=O ZINC001084311857 815889151 /nfs/dbraw/zinc/88/91/51/815889151.db2.gz ZRURVSUKJCCVEC-MGPQQGTHSA-N -1 1 349.435 -0.042 20 0 EBADMM O=C(C[C@H]1C[C@@H]2CN(C(=O)Cc3nn[n-]n3)C[C@@H]2O1)Nc1cn[nH]c1 ZINC001270785899 815890368 /nfs/dbraw/zinc/89/03/68/815890368.db2.gz YABONCGOTZWAIU-IEBDPFPHSA-N -1 1 346.351 -0.890 20 0 EBADMM O=C(Cc1nn[n-]n1)N1C[C@@H]2CC[C@H](C1)[C@H]2C(=O)NCc1c[nH]cn1 ZINC001270789978 815894809 /nfs/dbraw/zinc/89/48/09/815894809.db2.gz YUOYQBDNCOUFHN-WDAIWFPHSA-N -1 1 344.379 -0.734 20 0 EBADMM COc1nc(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)co1 ZINC001084551147 815936452 /nfs/dbraw/zinc/93/64/52/815936452.db2.gz BVRAZWYDSIZMPN-MWLCHTKSSA-N -1 1 348.363 -0.549 20 0 EBADMM CO[C@@H](C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)C(C)C ZINC001084633541 815953438 /nfs/dbraw/zinc/95/34/38/815953438.db2.gz MRRMKPVCBJQXGV-YRGRVCCFSA-N -1 1 337.424 -0.188 20 0 EBADMM CO[C@H](C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)C(C)C ZINC001084633540 815953662 /nfs/dbraw/zinc/95/36/62/815953662.db2.gz MRRMKPVCBJQXGV-BZPMIXESSA-N -1 1 337.424 -0.188 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)[C@@H]4C[C@H]5CC[C@@H]4O5)[C@@H]3C2)nc1=O ZINC001084675072 815961328 /nfs/dbraw/zinc/96/13/28/815961328.db2.gz MMTAAMFUZNPMSV-KSTCHIGDSA-N -1 1 347.419 -0.291 20 0 EBADMM Cc1nonc1CC(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001084749339 815974448 /nfs/dbraw/zinc/97/44/48/815974448.db2.gz LNQLMHIWLHTRMI-ZYHUDNBSSA-N -1 1 347.379 -0.925 20 0 EBADMM COC1CC(C(=O)N2CC[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)[C@H]3C2)C1 ZINC001084966674 816006659 /nfs/dbraw/zinc/00/66/59/816006659.db2.gz ZAZYWBKECWWKIZ-RYSNWHEDSA-N -1 1 349.435 -0.044 20 0 EBADMM O=C(CN1CN=NC1=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001085176732 816025615 /nfs/dbraw/zinc/02/56/15/816025615.db2.gz UMPXYKZVIMWYJU-RKDXNWHRSA-N -1 1 336.356 -0.975 20 0 EBADMM Cn1cc(C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)n(C)c1=O ZINC001085434440 816048187 /nfs/dbraw/zinc/04/81/87/816048187.db2.gz MGDRHDXQHRQACN-NXEZZACHSA-N -1 1 349.395 -0.622 20 0 EBADMM Cc1nn(C)cc1C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085519482 816062014 /nfs/dbraw/zinc/06/20/14/816062014.db2.gz QAJVYCMIYSDLAC-LLVKDONJSA-N -1 1 333.396 -0.503 20 0 EBADMM CC(C)C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@]1(C)CCNC1=O ZINC001271294562 816066412 /nfs/dbraw/zinc/06/64/12/816066412.db2.gz PRTWPTOANBZKND-BONVTDFDSA-N -1 1 338.412 -0.343 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)[C@H]1CCCOCC1 ZINC001085571586 816075979 /nfs/dbraw/zinc/07/59/79/816075979.db2.gz HBKSKDAIZJPAHE-QWHCGFSZSA-N -1 1 337.424 -0.042 20 0 EBADMM CCOC1CC(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)C1 ZINC001085573729 816076354 /nfs/dbraw/zinc/07/63/54/816076354.db2.gz DRBRCVGPRNAXKK-OTTFEQOBSA-N -1 1 337.424 -0.044 20 0 EBADMM CCOCCN1CC2(CN(C(=O)c3cncc([O-])c3)C2)OCC1=O ZINC001271384681 816094194 /nfs/dbraw/zinc/09/41/94/816094194.db2.gz AXUWLPIRQNONTC-UHFFFAOYSA-N -1 1 335.360 -0.123 20 0 EBADMM CN(C[C@@H]1CCN1C[C@H]1CCCCO1)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001085699948 816115221 /nfs/dbraw/zinc/11/52/21/816115221.db2.gz ABZWZIMRHXEVQC-WDEREUQCSA-N -1 1 337.380 -0.002 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1ccc(C(N)=O)o1 ZINC001085712692 816119862 /nfs/dbraw/zinc/11/98/62/816119862.db2.gz QRHVJBHRSCDIGT-VIFPVBQESA-N -1 1 348.363 -0.853 20 0 EBADMM COc1cc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)nn1C ZINC001085713968 816120803 /nfs/dbraw/zinc/12/08/03/816120803.db2.gz XRPXDZLUPAPJSI-SNVBAGLBSA-N -1 1 349.395 -0.803 20 0 EBADMM COc1cnc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)nc1 ZINC001085714466 816120929 /nfs/dbraw/zinc/12/09/29/816120929.db2.gz KYCQEAHPGPDBOL-SNVBAGLBSA-N -1 1 347.379 -0.747 20 0 EBADMM COc1nccc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001085716700 816123197 /nfs/dbraw/zinc/12/31/97/816123197.db2.gz RQOMOTILKMKGTC-SNVBAGLBSA-N -1 1 347.379 -0.747 20 0 EBADMM CN(C[C@H]1CCN1CC(N)=O)C(=O)c1n[n-]c2ccccc2c1=O ZINC001085849707 816162254 /nfs/dbraw/zinc/16/22/54/816162254.db2.gz DWOLIVMSDAWJBA-SNVBAGLBSA-N -1 1 329.360 -0.033 20 0 EBADMM CN(C[C@H]1CCN1Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CCc2[nH]nnc2C1 ZINC001085924199 816180030 /nfs/dbraw/zinc/18/00/30/816180030.db2.gz QIMKPYVHJFQUAX-VHSXEESVSA-N -1 1 346.395 -0.534 20 0 EBADMM CN(C[C@H]1CCN1Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CCc2nn[nH]c2C1 ZINC001085924199 816180034 /nfs/dbraw/zinc/18/00/34/816180034.db2.gz QIMKPYVHJFQUAX-VHSXEESVSA-N -1 1 346.395 -0.534 20 0 EBADMM O=C(Cc1ccsc1)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001272104197 816314394 /nfs/dbraw/zinc/31/43/94/816314394.db2.gz WCEXNNZIFYVUKN-UHFFFAOYSA-N -1 1 325.394 -0.275 20 0 EBADMM O=C(Cc1ccc(F)cn1)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001272196614 816347552 /nfs/dbraw/zinc/34/75/52/816347552.db2.gz NAWJOWKWNGLZCV-UHFFFAOYSA-N -1 1 338.343 -0.802 20 0 EBADMM Cc1ccc([O-])c(C(=O)N2CC[C@]3(C2)CN(C2COC2)C(=O)CO3)n1 ZINC001272386143 816416322 /nfs/dbraw/zinc/41/63/22/816416322.db2.gz AFLQSFWDYQEBCT-KRWDZBQOSA-N -1 1 347.371 -0.062 20 0 EBADMM C[C@@H]1CCN(C(=O)c2ncn(C)n2)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087160890 816469401 /nfs/dbraw/zinc/46/94/01/816469401.db2.gz IQDRTDJZBUHVNQ-ZJUUUORDSA-N -1 1 334.384 -0.331 20 0 EBADMM C[C@@]1(C(=O)N2CC[C@H](CCNCc3n[nH]c(=O)[n-]3)C2)CCNC1=O ZINC001272564207 816477072 /nfs/dbraw/zinc/47/70/72/816477072.db2.gz GCJLGGGCTQXBSK-ZUZCIYMTSA-N -1 1 336.396 -0.635 20 0 EBADMM CN(C)S(=O)(=O)N1C[C@@H]2CCN(C(=O)c3cncc([O-])c3)[C@@H]2C1 ZINC001272672119 816504523 /nfs/dbraw/zinc/50/45/23/816504523.db2.gz FOEFALKWLYAOCN-GXFFZTMASA-N -1 1 340.405 -0.260 20 0 EBADMM CN(CC(=O)N1CCCC2(CN(C)C2)C1)C(N)=[NH+]P(=O)([O-])[O-] ZINC001272724411 816511255 /nfs/dbraw/zinc/51/12/55/816511255.db2.gz HCAGNWVROWMJFV-UHFFFAOYSA-N -1 1 333.329 -0.911 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2cnn(C)c2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087504800 816526105 /nfs/dbraw/zinc/52/61/05/816526105.db2.gz ZPIQSFXZLKRUDW-QWHCGFSZSA-N -1 1 347.423 -0.067 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2cnsn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087510765 816526489 /nfs/dbraw/zinc/52/64/89/816526489.db2.gz FRWFNLCZTFBEJF-WCBMZHEXSA-N -1 1 337.409 -0.257 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2cnnn2CC)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087562251 816532842 /nfs/dbraw/zinc/53/28/42/816532842.db2.gz NPQYCHLGLRLOPH-WDEREUQCSA-N -1 1 348.411 -0.497 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CCN1Cc1cncn1C ZINC001087641807 816542431 /nfs/dbraw/zinc/54/24/31/816542431.db2.gz CWTWOCCIVZEHSJ-WDEREUQCSA-N -1 1 347.379 -0.201 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2c[nH]c(=O)n2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087686791 816548260 /nfs/dbraw/zinc/54/82/60/816548260.db2.gz ABABGBCHAPRLHN-VHSXEESVSA-N -1 1 349.395 -0.670 20 0 EBADMM Cc1ocnc1C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C1 ZINC001087903482 816575528 /nfs/dbraw/zinc/57/55/28/816575528.db2.gz VYSZHEKYTJHLFW-NEPJUHHUSA-N -1 1 346.391 -0.001 20 0 EBADMM Cc1[nH]nc(C(=O)N2C[C@H]3CCN(CC(=O)N(C)C)C[C@H]3C2)c1[O-] ZINC001087935949 816582573 /nfs/dbraw/zinc/58/25/73/816582573.db2.gz YBBPQUIAPBTRDD-NEPJUHHUSA-N -1 1 335.408 -0.094 20 0 EBADMM CCNC(=O)CN1CC2(CN(Cc3ncccc3[O-])C2)OCC1=O ZINC001273325024 816645322 /nfs/dbraw/zinc/64/53/22/816645322.db2.gz GRYRWGISTJJYDS-UHFFFAOYSA-N -1 1 334.376 -0.664 20 0 EBADMM O=C(Cn1cnnn1)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088259132 816656122 /nfs/dbraw/zinc/65/61/22/816656122.db2.gz AIXBDCMRLBWZLA-SNVBAGLBSA-N -1 1 335.372 -0.942 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cc2cncs2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088640968 816718915 /nfs/dbraw/zinc/71/89/15/816718915.db2.gz BGBDRNMVGBQYFC-KOLCDFICSA-N -1 1 336.421 -0.113 20 0 EBADMM CCc1n[nH]cc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001088692372 816726249 /nfs/dbraw/zinc/72/62/49/816726249.db2.gz NBATZVNLNLUDBX-SKDRFNHKSA-N -1 1 333.396 -0.213 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088871501 816757658 /nfs/dbraw/zinc/75/76/58/816757658.db2.gz RJLDRORWPKYIHY-KVSVUVNWSA-N -1 1 335.408 -0.245 20 0 EBADMM CCn1ccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)n1 ZINC001088898904 816760784 /nfs/dbraw/zinc/76/07/84/816760784.db2.gz AWXCPEPQMOXWII-MNOVXSKESA-N -1 1 333.396 -0.282 20 0 EBADMM COc1cccnc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001089003391 816776366 /nfs/dbraw/zinc/77/63/66/816776366.db2.gz NBEHLCVLRNKAFW-MNOVXSKESA-N -1 1 346.391 -0.095 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCCN1C(=O)[C@@H]1CCOC1 ZINC001089132752 816806424 /nfs/dbraw/zinc/80/64/24/816806424.db2.gz IZYQQXDUYPWMHV-OLZOCXBDSA-N -1 1 337.424 -0.042 20 0 EBADMM O=C(c1cc(=O)[nH][n-]1)N1CC2(C1)CN(C(=O)[C@H]1CC3CCN1CC3)C2 ZINC001274425421 816955597 /nfs/dbraw/zinc/95/55/97/816955597.db2.gz MNDJQUQFHWXLSQ-CYBMUJFWSA-N -1 1 345.403 -0.116 20 0 EBADMM Cc1cccc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)n1 ZINC001090094301 817019009 /nfs/dbraw/zinc/01/90/09/817019009.db2.gz UAKVWWIUNBRMJY-DGCLKSJQSA-N -1 1 346.391 -0.823 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C3CC(F)(F)C3)[C@H](O)C2)nc1=O ZINC001090183891 817100018 /nfs/dbraw/zinc/10/00/18/817100018.db2.gz YSENZVGQRHVZJX-NXEZZACHSA-N -1 1 345.350 -0.795 20 0 EBADMM O=C(N[C@H]1CCN(Cc2ncccn2)C[C@H]1O)c1ncccc1[O-] ZINC001090217835 817137444 /nfs/dbraw/zinc/13/74/44/817137444.db2.gz RXCQKYQPEKMGDQ-WCQYABFASA-N -1 1 329.360 -0.058 20 0 EBADMM Cn1cc(CN2CC[C@H](NC(=O)c3ncccc3[O-])[C@H](O)C2)nn1 ZINC001090218678 817138957 /nfs/dbraw/zinc/13/89/57/817138957.db2.gz VXVQFRRZVUDMIP-WCQYABFASA-N -1 1 332.364 -0.719 20 0 EBADMM C[C@H]1Cc2nnc(C(N)=O)n2CCN1C(=O)c1c[nH]c(=S)[n-]c1=O ZINC001275247240 817177672 /nfs/dbraw/zinc/17/76/72/817177672.db2.gz JQFQAEYUJHXVMM-LURJTMIESA-N -1 1 349.376 -0.752 20 0 EBADMM C[C@@H](NC(=O)c1cnc2nccn2c1)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001275453352 817221102 /nfs/dbraw/zinc/22/11/02/817221102.db2.gz XDZNEIISASVVLG-DTWKUNHWSA-N -1 1 330.352 -0.150 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C3C=CC=CC=C3)[C@@H](O)C2)nc1=O ZINC001090299635 817236623 /nfs/dbraw/zinc/23/66/23/817236623.db2.gz DAJHXFLSVHRGNK-KGLIPLIRSA-N -1 1 345.403 -0.542 20 0 EBADMM O=C(NC12CC(C(=O)NCCn3ccnn3)(C1)C2)c1cncc([O-])c1 ZINC001275622523 817263317 /nfs/dbraw/zinc/26/33/17/817263317.db2.gz BKZQSCNMKCUNFO-UHFFFAOYSA-N -1 1 342.359 -0.152 20 0 EBADMM CSc1ncc(C(=O)N2[C@H]3CC[C@H]([C@H](O)C3)[C@H]2C(N)=O)c(=O)[n-]1 ZINC001276545471 817422144 /nfs/dbraw/zinc/42/21/44/817422144.db2.gz WIQRMOOOYIXKFM-WDQPUEAGSA-N -1 1 338.389 -0.257 20 0 EBADMM O=C(Cn1cccnc1=O)N1CCC12CN(Cc1ncccc1[O-])C2 ZINC001276585444 817434257 /nfs/dbraw/zinc/43/42/57/817434257.db2.gz FSMZXAWQSAGUHX-UHFFFAOYSA-N -1 1 341.371 -0.169 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C3CC4(CCC4)C3)[C@@H](O)C2)nc1=O ZINC001090495814 817475703 /nfs/dbraw/zinc/47/57/03/817475703.db2.gz JLSGTDLGOZWYIF-OLZOCXBDSA-N -1 1 349.435 -0.260 20 0 EBADMM CCc1ccoc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001090544856 817527882 /nfs/dbraw/zinc/52/78/82/817527882.db2.gz FEXQCIBPVFQGBG-RYUDHWBXSA-N -1 1 349.391 -0.371 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C3(C)CC=CC3)[C@H](O)C2)nc1=O ZINC001090551397 817532103 /nfs/dbraw/zinc/53/21/03/817532103.db2.gz YXHHTVSVEBWNCE-VXGBXAGGSA-N -1 1 335.408 -0.484 20 0 EBADMM Cc1ccoc1CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001090562605 817543777 /nfs/dbraw/zinc/54/37/77/817543777.db2.gz KRTULUTUDZZAEK-NWDGAFQWSA-N -1 1 349.391 -0.696 20 0 EBADMM Cc1ccoc1CC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001090562607 817543924 /nfs/dbraw/zinc/54/39/24/817543924.db2.gz KRTULUTUDZZAEK-VXGBXAGGSA-N -1 1 349.391 -0.696 20 0 EBADMM Cc1cc(CN2CCc3onc(C(=O)N=c4nn[n-]n4C)c3C2)n[nH]1 ZINC001277348595 817556986 /nfs/dbraw/zinc/55/69/86/817556986.db2.gz GUYHIXWXYKFXDT-UHFFFAOYSA-N -1 1 343.351 -0.538 20 0 EBADMM CN(C(=O)[C@H]1CCCNC1=O)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001277661930 817619266 /nfs/dbraw/zinc/61/92/66/817619266.db2.gz CZSCQVIFDVXZTQ-WDEREUQCSA-N -1 1 336.396 -0.637 20 0 EBADMM Cn1[n-]nnc1=NC(=O)C1=NO[C@@H]2CN(CC3(C)CCOCC3)C[C@H]12 ZINC001278005644 817673009 /nfs/dbraw/zinc/67/30/09/817673009.db2.gz CYDHFSFEWWSCSL-WDEREUQCSA-N -1 1 349.395 -0.926 20 0 EBADMM CN(C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)c1ncc2ccccn21 ZINC001278779641 817824957 /nfs/dbraw/zinc/82/49/57/817824957.db2.gz MXSZJXJJQJHALR-NSHDSACASA-N -1 1 345.363 -0.619 20 0 EBADMM CC(C)(C)[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CCCNC1=O ZINC001278972668 817897646 /nfs/dbraw/zinc/89/76/46/817897646.db2.gz GUJHJBYDUNWCCM-UWVGGRQHSA-N -1 1 338.412 -0.343 20 0 EBADMM Cc1nnc(CNC2CC(CNC(=O)c3cnc([O-])n(C)c3=O)C2)[nH]1 ZINC001091177197 817921601 /nfs/dbraw/zinc/92/16/01/817921601.db2.gz CLXRZRQPLKQAFP-UHFFFAOYSA-N -1 1 347.379 -0.789 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@H](CCNCc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC001280072299 818017087 /nfs/dbraw/zinc/01/70/87/818017087.db2.gz CXZLJCHZGMEBCR-JTQLQIEISA-N -1 1 347.379 -0.754 20 0 EBADMM C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)[C@H](C)NC(=O)c1ccon1 ZINC001280203355 818025688 /nfs/dbraw/zinc/02/56/88/818025688.db2.gz QUEQJKUAJHZIHG-ZJUUUORDSA-N -1 1 349.347 -0.762 20 0 EBADMM CCc1nsc(NCCNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001093736431 818090629 /nfs/dbraw/zinc/09/06/29/818090629.db2.gz BBVDVCPQUZJZIZ-UHFFFAOYSA-N -1 1 338.393 -0.431 20 0 EBADMM CCc1nc(C)cc(NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001093746371 818094194 /nfs/dbraw/zinc/09/41/94/818094194.db2.gz HOKUMWGUOKDPSV-UHFFFAOYSA-N -1 1 342.363 -0.080 20 0 EBADMM O=C(CCCn1c(=O)[n-][nH]c1=O)NCCNc1ncnc2[nH]cnc21 ZINC001093962668 818130968 /nfs/dbraw/zinc/13/09/68/818130968.db2.gz SHYHDFFARATTRB-UHFFFAOYSA-N -1 1 347.339 -0.684 20 0 EBADMM O=C(NCCNc1nccn2nnnc12)c1cnc(C2CC2)[n-]c1=O ZINC001094157266 818164008 /nfs/dbraw/zinc/16/40/08/818164008.db2.gz MIJJYMAUZPOJDQ-UHFFFAOYSA-N -1 1 341.335 -0.266 20 0 EBADMM C/C=C(\C)C(=O)N1C[C@@H](C)[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001281782094 818277675 /nfs/dbraw/zinc/27/76/75/818277675.db2.gz WFIHXQGZNBRPCD-UDLQBDOPSA-N -1 1 348.403 -0.144 20 0 EBADMM O=C(NC[C@]12CCC[C@H]1N(Cc1n[nH]c(=O)[n-]1)CC2)[C@H]1CNC(=O)N1 ZINC001094501611 818278494 /nfs/dbraw/zinc/27/84/94/818278494.db2.gz OXXNNNAXVBCRJJ-IQMDTDKHSA-N -1 1 349.395 -0.948 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)C1CCC1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001282045981 818344981 /nfs/dbraw/zinc/34/49/81/818344981.db2.gz AVKHSDXJAWYQKZ-ZDUSSCGKSA-N -1 1 348.403 -0.214 20 0 EBADMM Cc1c(C(=O)NCCNC(=O)c2cnc(C3CC3)[n-]c2=O)nnn1C ZINC001282957325 818548928 /nfs/dbraw/zinc/54/89/28/818548928.db2.gz UNEJFYCLUFZEBY-UHFFFAOYSA-N -1 1 345.363 -0.344 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)C2=COCCO2)C3)nc1=O ZINC001095127341 818566460 /nfs/dbraw/zinc/56/64/60/818566460.db2.gz CDBPHACMXHMCAA-VWYCJHECSA-N -1 1 335.364 -0.782 20 0 EBADMM Cc1ocnc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001095220999 818586718 /nfs/dbraw/zinc/58/67/18/818586718.db2.gz JWGOVDDZXODRAV-VWYCJHECSA-N -1 1 332.364 -0.060 20 0 EBADMM Cc1ccc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)nn1 ZINC001095399466 818618044 /nfs/dbraw/zinc/61/80/44/818618044.db2.gz FJBDRIKXJPTPOZ-WXHSDQCUSA-N -1 1 343.391 -0.258 20 0 EBADMM Cc1nnccc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001095694869 818673136 /nfs/dbraw/zinc/67/31/36/818673136.db2.gz BWDFUZCHFYMBIF-WXHSDQCUSA-N -1 1 343.391 -0.258 20 0 EBADMM CCC(=O)NCC(=O)NCCCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001283206849 818698713 /nfs/dbraw/zinc/69/87/13/818698713.db2.gz FQCJENZZVMMKJO-UHFFFAOYSA-N -1 1 349.391 -0.178 20 0 EBADMM C[C@@H]1C[C@H](C(=O)NCCCNC(=O)c2cc(=O)n3[n-]cnc3n2)CO1 ZINC001283288840 818741753 /nfs/dbraw/zinc/74/17/53/818741753.db2.gz PYRUKBQXWFQLSS-ZJUUUORDSA-N -1 1 348.363 -0.921 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)NCCCNC(=O)c2cc(=O)n3[n-]cnc3n2)CO1 ZINC001283288837 818742249 /nfs/dbraw/zinc/74/22/49/818742249.db2.gz PYRUKBQXWFQLSS-NXEZZACHSA-N -1 1 348.363 -0.921 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCN(c2cnc(F)cn2)C1 ZINC001096402258 818818038 /nfs/dbraw/zinc/81/80/38/818818038.db2.gz BHIUCPATLWIXHJ-SNVBAGLBSA-N -1 1 348.338 -0.749 20 0 EBADMM Cc1nc(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)Cn2c(=O)[n-][nH]c2=O)C3)n[nH]1 ZINC001096438201 818824216 /nfs/dbraw/zinc/82/42/16/818824216.db2.gz QXVWHRDFUUWCPW-UTLUCORTSA-N -1 1 348.367 -0.962 20 0 EBADMM Cc1nnsc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001096787574 818887551 /nfs/dbraw/zinc/88/75/51/818887551.db2.gz QLUVDHQYXQDGFU-BBBLOLIVSA-N -1 1 349.420 -0.196 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)Cc2cncnc2)C3)nc1=O ZINC001097012779 818926291 /nfs/dbraw/zinc/92/62/91/818926291.db2.gz WTNWPCMPFVXJHX-UPJWGTAASA-N -1 1 343.391 -0.637 20 0 EBADMM CCCOCC(=O)N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001283840866 818995919 /nfs/dbraw/zinc/99/59/19/818995919.db2.gz FQQCKNIIYNEOQE-SNVBAGLBSA-N -1 1 348.363 -0.825 20 0 EBADMM Cn1cc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)[nH]c1=O ZINC001097786595 819032341 /nfs/dbraw/zinc/03/23/41/819032341.db2.gz CSNRBEREQBSPMI-KKZNHRDASA-N -1 1 347.379 -0.917 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)Nc1nccnc1F ZINC001097940378 819059551 /nfs/dbraw/zinc/05/95/51/819059551.db2.gz ZBWOUNMPXCPXQZ-VIFPVBQESA-N -1 1 336.327 -0.527 20 0 EBADMM O=C(c1ncc[nH]1)N1CCC(N(CCO)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001098361993 819149345 /nfs/dbraw/zinc/14/93/45/819149345.db2.gz OTVJHLIZDIQVFT-UHFFFAOYSA-N -1 1 335.368 -0.668 20 0 EBADMM Cn1ccc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)n1 ZINC001098391845 819166795 /nfs/dbraw/zinc/16/67/95/819166795.db2.gz SJDREANEMMBECL-JLLWLGSASA-N -1 1 331.380 -0.623 20 0 EBADMM Cn1cncc1C(=O)N1CCC(N(CCO)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001098419639 819180394 /nfs/dbraw/zinc/18/03/94/819180394.db2.gz BNGBXJCIVRSYRV-UHFFFAOYSA-N -1 1 349.395 -0.657 20 0 EBADMM C[C@@H](CNC(=O)Cc1cnc[nH]1)NC(=O)c1c[n-]n2c1nccc2=O ZINC001284344657 819192065 /nfs/dbraw/zinc/19/20/65/819192065.db2.gz SIPYIPPIIZLFGW-VIFPVBQESA-N -1 1 343.347 -0.777 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H](O)CN(C)C(=O)[C@H]1CC=CCC1 ZINC001284484022 819251828 /nfs/dbraw/zinc/25/18/28/819251828.db2.gz YKZMTSRSDXNHQA-STQMWFEESA-N -1 1 337.424 -0.284 20 0 EBADMM C[C@H]1C[C@@H]1CC(=O)N(C)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001284554154 819285527 /nfs/dbraw/zinc/28/55/27/819285527.db2.gz HELAHCOUVAPTAS-NWDGAFQWSA-N -1 1 336.392 -0.453 20 0 EBADMM CCN(CCNC(=O)C(C)(C)C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001284649121 819317066 /nfs/dbraw/zinc/31/70/66/819317066.db2.gz DHHWVTAHQMVOKV-UHFFFAOYSA-N -1 1 338.408 -0.063 20 0 EBADMM Cn1cnc(C(=O)N[C@]23CCC[C@H]2CN(Cc2nc(=O)n(C)[n-]2)C3)n1 ZINC001098824799 819376605 /nfs/dbraw/zinc/37/66/05/819376605.db2.gz VHCHXWGHKJWYJS-BONVTDFDSA-N -1 1 346.395 -0.979 20 0 EBADMM Cc1c[nH]c(C(=O)N[C@]23CCC[C@H]2CN(Cc2nc(=O)n(C)[n-]2)C3)n1 ZINC001099071371 819412273 /nfs/dbraw/zinc/41/22/73/819412273.db2.gz VNWFKULHPOKSLM-ZBEGNZNMSA-N -1 1 345.407 -0.076 20 0 EBADMM CC[C@H](CNC(=O)[C@H]1CCCNC1=O)NC(=O)c1ncccc1[O-] ZINC001285067831 819469887 /nfs/dbraw/zinc/46/98/87/819469887.db2.gz VGQSJIRVCIWUIK-MNOVXSKESA-N -1 1 334.376 -0.062 20 0 EBADMM C/C=C(\C)C(=O)N[C@H](C)[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285341618 819548843 /nfs/dbraw/zinc/54/88/43/819548843.db2.gz LLYNGDSXNZIJGM-RGEGJOEESA-N -1 1 336.392 -0.098 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)CC(C)(C)C)[C@H](O)C2)nc1=O ZINC001099682006 819568213 /nfs/dbraw/zinc/56/82/13/819568213.db2.gz YZNUTHLSGWLERC-GHMZBOCLSA-N -1 1 325.413 -0.404 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)CC(F)(F)F)[C@H](O)C2)nc1=O ZINC001099711491 819608511 /nfs/dbraw/zinc/60/85/11/819608511.db2.gz ADUMEIZZCNPAHW-HTQZYQBOSA-N -1 1 337.302 -0.888 20 0 EBADMM Cc1nnc(CC(=O)NCC=CCNC(=O)c2ncccc2[O-])[nH]1 ZINC001285529796 819645038 /nfs/dbraw/zinc/64/50/38/819645038.db2.gz IVZQFIYGIPDFNE-IHWYPQMZSA-N -1 1 330.348 -0.141 20 0 EBADMM Cc1nc(CC(=O)NCC=CCNC(=O)c2ncccc2[O-])n[nH]1 ZINC001285529796 819645045 /nfs/dbraw/zinc/64/50/45/819645045.db2.gz IVZQFIYGIPDFNE-IHWYPQMZSA-N -1 1 330.348 -0.141 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)/C=C/C(C)(C)C)[C@@H](O)C2)nc1=O ZINC001099750712 819655568 /nfs/dbraw/zinc/65/55/68/819655568.db2.gz SEYSPPMTQSGEIF-YILIYONBSA-N -1 1 337.424 -0.238 20 0 EBADMM CCC(=CC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O)CC ZINC001099781103 819690245 /nfs/dbraw/zinc/69/02/45/819690245.db2.gz RAXKYZKUUOGONZ-OLZOCXBDSA-N -1 1 337.424 -0.094 20 0 EBADMM C[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)c1ccn(C)c1 ZINC001285634663 819702115 /nfs/dbraw/zinc/70/21/15/819702115.db2.gz OSEZTNDZIFCAGN-SECBINFHSA-N -1 1 343.347 -0.696 20 0 EBADMM CNC(=O)C1(C(=O)N(C)[C@H](C)CNC(=O)c2ncccc2[O-])CC1 ZINC001285654261 819709007 /nfs/dbraw/zinc/70/90/07/819709007.db2.gz DWTOOPHZRAQHST-SNVBAGLBSA-N -1 1 334.376 -0.110 20 0 EBADMM COCCCC(=O)N[C@@H](C)CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001285710222 819733671 /nfs/dbraw/zinc/73/36/71/819733671.db2.gz IWWRXUHRUKVBNI-VIFPVBQESA-N -1 1 336.352 -0.921 20 0 EBADMM C[C@@H](CNC(=O)C1CC(=O)NC(=O)C1)NC(=O)c1ncccc1[O-] ZINC001285723107 819738725 /nfs/dbraw/zinc/73/87/25/819738725.db2.gz MHCHSDZBPQCEJL-QMMMGPOBSA-N -1 1 334.332 -0.926 20 0 EBADMM CC[C@@]1(C(=O)NC[C@H](C)NC(=O)c2ncccc2[O-])CCNC1=O ZINC001285723874 819739481 /nfs/dbraw/zinc/73/94/81/819739481.db2.gz ZLGUSJRTFGHUDG-MGPLVRAMSA-N -1 1 334.376 -0.062 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C3(C(F)F)CC3)[C@@H](O)C2)nc1=O ZINC001099835356 819763393 /nfs/dbraw/zinc/76/33/93/819763393.db2.gz GOTGHOIKFUXLTQ-IUCAKERBSA-N -1 1 345.350 -0.795 20 0 EBADMM CNC(=O)C1(C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])CC1 ZINC001285853172 819789609 /nfs/dbraw/zinc/78/96/09/819789609.db2.gz CCDGQXCSTQICJL-JTQLQIEISA-N -1 1 332.360 -0.356 20 0 EBADMM C[C@@H](NC(=O)CC(N)=O)[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001286286692 819978533 /nfs/dbraw/zinc/97/85/33/819978533.db2.gz JNZDYLFAMMVXNH-MWLCHTKSSA-N -1 1 336.348 -0.992 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C(C)(C)C(F)F)[C@H](O)C2)nc1=O ZINC001099998585 820008728 /nfs/dbraw/zinc/00/87/28/820008728.db2.gz IXXDNWGLOYNNAB-RKDXNWHRSA-N -1 1 347.366 -0.549 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H](CNC(=O)[C@@H]1CCNC1=O)C1CC1 ZINC001287514867 820066856 /nfs/dbraw/zinc/06/68/56/820066856.db2.gz RQGVNWFDKVAHAX-VXGBXAGGSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@@H](CN(C)C(=O)C(F)F)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001287549528 820072797 /nfs/dbraw/zinc/07/27/97/820072797.db2.gz ANOYVCSLHANRSD-QMMMGPOBSA-N -1 1 332.307 -0.845 20 0 EBADMM C[C@H](CN(C)C(=O)c1ccon1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001287570527 820075371 /nfs/dbraw/zinc/07/53/71/820075371.db2.gz QFTTZVZZBKGERG-MRVPVSSYSA-N -1 1 345.319 -0.704 20 0 EBADMM CN(C(=O)C1(C(N)=O)CC1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001288340931 820286199 /nfs/dbraw/zinc/28/61/99/820286199.db2.gz VIRQBCGQBOIBTG-UHFFFAOYSA-N -1 1 332.360 -0.228 20 0 EBADMM O=C(NCCNC(=O)[C@@H]1CCc2nnnn2CC1)c1ncccc1[O-] ZINC001292666917 820581540 /nfs/dbraw/zinc/58/15/40/820581540.db2.gz ZMRUBPSQAIRERE-SNVBAGLBSA-N -1 1 345.363 -0.728 20 0 EBADMM NC(=O)[C@H]1CCC[C@@H](C(=O)NCCNC(=O)c2ncccc2[O-])C1 ZINC001292665997 820581729 /nfs/dbraw/zinc/58/17/29/820581729.db2.gz DWHIPDHANPCBIU-WDEREUQCSA-N -1 1 334.376 -0.075 20 0 EBADMM CCCS(=O)(=O)CC(=O)NCC1CC(NCc2n[nH]c(=O)[n-]2)C1 ZINC001100997250 820643108 /nfs/dbraw/zinc/64/31/08/820643108.db2.gz XXUNKJMRZJHBSG-UHFFFAOYSA-N -1 1 345.425 -0.681 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCCNC(=O)[C@@H]1CC1(F)F ZINC001293293912 820738441 /nfs/dbraw/zinc/73/84/41/820738441.db2.gz UUYGOHSHKAPVCW-VIFPVBQESA-N -1 1 344.318 -0.796 20 0 EBADMM CN(CCCNC(=O)c1ccon1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001293973608 820848330 /nfs/dbraw/zinc/84/83/30/820848330.db2.gz QEHLVAQCTDISKK-UHFFFAOYSA-N -1 1 345.319 -0.702 20 0 EBADMM C[C@@H](CC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C)NC(N)=O ZINC001101968221 820977707 /nfs/dbraw/zinc/97/77/07/820977707.db2.gz RFOBKGXYILMVMC-KXUCPTDWSA-N -1 1 339.400 -0.859 20 0 EBADMM C[C@H](CC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C)NC(N)=O ZINC001101968222 820978355 /nfs/dbraw/zinc/97/83/55/820978355.db2.gz RFOBKGXYILMVMC-OPRDCNLKSA-N -1 1 339.400 -0.859 20 0 EBADMM CC1(C)C[C@@H]1C(=O)N1CC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001294772770 820995766 /nfs/dbraw/zinc/99/57/66/820995766.db2.gz GMATWSTZLDFAMA-NWDGAFQWSA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@H](CNC(=O)CCC(F)F)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001295566220 821125675 /nfs/dbraw/zinc/12/56/75/821125675.db2.gz FJAPTSUINYMZNB-SECBINFHSA-N -1 1 346.334 -0.407 20 0 EBADMM C[C@H](CCNC(=O)c1ncccc1[O-])NC(=O)C1CC(=O)NC(=O)C1 ZINC001295842198 821176966 /nfs/dbraw/zinc/17/69/66/821176966.db2.gz FDYCEDINWBQYSV-SECBINFHSA-N -1 1 348.359 -0.535 20 0 EBADMM C[C@H](CCNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)C(C)(F)F ZINC001295884366 821183205 /nfs/dbraw/zinc/18/32/05/821183205.db2.gz IKLPDPKMTTWEON-SECBINFHSA-N -1 1 346.334 -0.407 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)CCn1cncn1 ZINC001102671873 821187169 /nfs/dbraw/zinc/18/71/69/821187169.db2.gz FYRVWLPBDFGRCH-VXGBXAGGSA-N -1 1 348.411 -0.234 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)c1nccnc1N ZINC001102799849 821214115 /nfs/dbraw/zinc/21/41/15/821214115.db2.gz PYXDXXPLSWNSQU-NXEZZACHSA-N -1 1 346.395 -0.230 20 0 EBADMM C[C@H](NC(N)=O)C(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102905211 821229877 /nfs/dbraw/zinc/22/98/77/821229877.db2.gz QQWHMMJPHBPNQN-KXUCPTDWSA-N -1 1 339.400 -0.859 20 0 EBADMM CC(C)=CC(=O)N1CC(CNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001297232646 821343743 /nfs/dbraw/zinc/34/37/43/821343743.db2.gz KTGNMGSORKXTMP-UHFFFAOYSA-N -1 1 334.376 -0.533 20 0 EBADMM CC[C@H](CNC(=O)CC(C)C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001297349614 821361258 /nfs/dbraw/zinc/36/12/58/821361258.db2.gz RXYKSSNUUPKNDW-GFCCVEGCSA-N -1 1 338.408 -0.016 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2COCCO2)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001103912048 821368824 /nfs/dbraw/zinc/36/88/24/821368824.db2.gz PYSYRNUTKZIYEC-IJLUTSLNSA-N -1 1 339.396 -0.500 20 0 EBADMM CC[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)c1ccon1 ZINC001297444098 821374209 /nfs/dbraw/zinc/37/42/09/821374209.db2.gz CWYPGZBGWURFMS-JTQLQIEISA-N -1 1 349.347 -0.761 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)CNc1ncccn1 ZINC001104017277 821387239 /nfs/dbraw/zinc/38/72/39/821387239.db2.gz FBEYNZRHRUKLKB-LLVKDONJSA-N -1 1 332.364 -0.419 20 0 EBADMM Cc1ccnc(NC[C@H](C)CNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001104037242 821392008 /nfs/dbraw/zinc/39/20/08/821392008.db2.gz RDENTUPKUDCTMC-SECBINFHSA-N -1 1 342.363 -0.006 20 0 EBADMM C[C@@H]1CN(C(=O)CCn2ccnn2)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001104122119 821406729 /nfs/dbraw/zinc/40/67/29/821406729.db2.gz QWCWWHKLOIFEKQ-VXGBXAGGSA-N -1 1 348.411 -0.234 20 0 EBADMM Cc1ccc(NC[C@@H](C)N(C)C(=O)CCn2cc[n-]c(=O)c2=O)nn1 ZINC001104618610 821522847 /nfs/dbraw/zinc/52/28/47/821522847.db2.gz CRVQARPTBDTJQP-GFCCVEGCSA-N -1 1 346.391 -0.016 20 0 EBADMM CC/C=C(/C)C(=O)N[C@@H](C)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001298741538 821591715 /nfs/dbraw/zinc/59/17/15/821591715.db2.gz FMZHBTPFEDEWTJ-QJGQKNTRSA-N -1 1 336.392 -0.096 20 0 EBADMM CCCCC(=O)N1CC(n2cc(CNCc3nc(=O)n(C)[n-]3)nn2)C1 ZINC001105162685 821596172 /nfs/dbraw/zinc/59/61/72/821596172.db2.gz RHNQMOCGJPPCBN-UHFFFAOYSA-N -1 1 348.411 -0.437 20 0 EBADMM C[C@@H](CNC(=O)[C@@H]1CC12CCC2)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001298826095 821605270 /nfs/dbraw/zinc/60/52/70/821605270.db2.gz RWTWXTZMAXAOOQ-RYUDHWBXSA-N -1 1 348.403 -0.262 20 0 EBADMM O=C(NCC(F)F)C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001299011550 821625004 /nfs/dbraw/zinc/62/50/04/821625004.db2.gz AHQBFARILMAENY-MRVPVSSYSA-N -1 1 342.302 -0.501 20 0 EBADMM COC(=O)c1cc(CNC(=O)CCn2cc[n-]c(=O)c2=O)ccn1 ZINC001301607856 821749084 /nfs/dbraw/zinc/74/90/84/821749084.db2.gz NCLSUPCWYITLON-UHFFFAOYSA-N -1 1 332.316 -0.575 20 0 EBADMM Cc1cc(C=O)c([O-])c(C(=O)N2CCC(NS(N)(=O)=O)CC2)c1 ZINC001302245967 821750123 /nfs/dbraw/zinc/75/01/23/821750123.db2.gz VKSCNCFCXQJYDQ-UHFFFAOYSA-N -1 1 341.389 -0.089 20 0 EBADMM CNC(=O)[C@H](Cc1c[nH]cn1)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC001309857547 821782716 /nfs/dbraw/zinc/78/27/16/821782716.db2.gz OOFYYKSELCLADU-QMMMGPOBSA-N -1 1 345.331 -0.282 20 0 EBADMM O=C(N[C@H]1CCc2nnc(CNCCO)n2CC1)c1ncccc1[O-] ZINC001127977792 828374881 /nfs/dbraw/zinc/37/48/81/828374881.db2.gz RJYLMEPZLQQFQV-NSHDSACASA-N -1 1 346.391 -0.405 20 0 EBADMM Cc1nc(NC[C@H](O)CNC(=O)c2ncccc2[O-])nc(N(C)C)n1 ZINC001105801692 821873830 /nfs/dbraw/zinc/87/38/30/821873830.db2.gz BWMOXXYFYZTVCM-SNVBAGLBSA-N -1 1 347.379 -0.451 20 0 EBADMM CS[C@@H](C)C(=O)NCCCN1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001316952919 821931267 /nfs/dbraw/zinc/93/12/67/821931267.db2.gz KEALGPTZUXGYNS-NSHDSACASA-N -1 1 342.469 -0.114 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)CCCCC(N)=O)C2)nc1=O ZINC001316957142 821936076 /nfs/dbraw/zinc/93/60/76/821936076.db2.gz UWVPSGHURFAWBB-LLVKDONJSA-N -1 1 338.412 -0.765 20 0 EBADMM Cc1cc(CN(C)CCNC(=O)CCn2cc[n-]c(=O)c2=O)no1 ZINC001317465348 822119409 /nfs/dbraw/zinc/11/94/09/822119409.db2.gz PDMDAPRJCIVWKT-UHFFFAOYSA-N -1 1 335.364 -0.529 20 0 EBADMM CC(C)N(CCCNC(=O)[C@@H]1CCCNC1=O)Cc1n[nH]c(=O)[n-]1 ZINC001317688704 822202767 /nfs/dbraw/zinc/20/27/67/822202767.db2.gz IJWVMZFLBWYHOB-LLVKDONJSA-N -1 1 338.412 -0.247 20 0 EBADMM CS(=O)(=O)[C@@H]1CCC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001318664453 822349123 /nfs/dbraw/zinc/34/91/23/822349123.db2.gz FLNKEPQNKCCKFJ-GHMZBOCLSA-N -1 1 343.405 -0.601 20 0 EBADMM C/C=C(\C)C(=O)NCc1cnn2c1CN(Cc1nc(=O)n(C)[n-]1)CC2 ZINC001128295644 828441222 /nfs/dbraw/zinc/44/12/22/828441222.db2.gz TWHKVSLZLFGYFS-NYYWCZLTSA-N -1 1 345.407 -0.097 20 0 EBADMM CCc1n[nH]c(=O)c(C(=O)NCCNCc2n[nH]c(=O)[n-]2)c1CC ZINC001128558868 828474876 /nfs/dbraw/zinc/47/48/76/828474876.db2.gz TYVHXPZAPMSGDK-UHFFFAOYSA-N -1 1 335.368 -0.350 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC/C=C/CNc1ncccn1 ZINC001107257384 823830878 /nfs/dbraw/zinc/83/08/78/823830878.db2.gz KOBYKMNSPUCQGA-OWOJBTEDSA-N -1 1 330.348 -0.499 20 0 EBADMM Cn1[n-]c(CN2CCO[C@](C)(CNC(=O)c3ccccn3)C2)nc1=O ZINC001107686407 823905292 /nfs/dbraw/zinc/90/52/92/823905292.db2.gz ZOEMTCKURSAOQB-MRXNPFEDSA-N -1 1 346.391 -0.476 20 0 EBADMM Cc1cc(NC[C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)ncn1 ZINC001107844198 823997353 /nfs/dbraw/zinc/99/73/53/823997353.db2.gz XGSALLYYKASNCB-SECBINFHSA-N -1 1 328.336 -0.254 20 0 EBADMM CC1CC(C(=O)NC[C@]2(C)CN(Cc3nc(=O)n(C)[n-]3)CCO2)C1 ZINC001107857728 824001756 /nfs/dbraw/zinc/00/17/56/824001756.db2.gz BSTBPKHRFJYUJU-ZEPSKSRBSA-N -1 1 337.424 -0.138 20 0 EBADMM Cn1[n-]c(CN2CCO[C@](C)(CNC(=O)C=C3CCC3)C2)nc1=O ZINC001107862609 824003399 /nfs/dbraw/zinc/00/33/99/824003399.db2.gz UJVLFZOZABLHON-MRXNPFEDSA-N -1 1 335.408 -0.074 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@](C)(CNC(=O)[C@@H]3CC3(F)F)C2)nc1=O ZINC001107921570 824042385 /nfs/dbraw/zinc/04/23/85/824042385.db2.gz AGKUWERXSNHCBK-ZANVPECISA-N -1 1 345.350 -0.529 20 0 EBADMM C[C@]1(CNC(=O)CCc2cnc[nH]2)CN(Cc2n[nH]c(=O)[n-]2)CCO1 ZINC001107987167 824074879 /nfs/dbraw/zinc/07/48/79/824074879.db2.gz JGXOKLFWFCGBNE-HNNXBMFYSA-N -1 1 349.395 -0.427 20 0 EBADMM C[C@@H](F)CCN1CCO[C@@](C)(CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001108051836 824107536 /nfs/dbraw/zinc/10/75/36/824107536.db2.gz PYNKCEVLFXIFTQ-OTYXRUKQSA-N -1 1 343.359 -0.148 20 0 EBADMM Cc1csc(=O)n1CCC(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001129483637 828624812 /nfs/dbraw/zinc/62/48/12/828624812.db2.gz DJLPJEJMCOJSPQ-UHFFFAOYSA-N -1 1 326.382 -0.662 20 0 EBADMM C[C@@H](CNc1cc(F)ncn1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001108444665 824289466 /nfs/dbraw/zinc/28/94/66/824289466.db2.gz AOLHOEFIBXRBSD-VIFPVBQESA-N -1 1 336.327 -0.527 20 0 EBADMM Cc1cnc(C)nc1NC[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001108444723 824289493 /nfs/dbraw/zinc/28/94/93/824289493.db2.gz CJYMXLQHLVBTDN-NSHDSACASA-N -1 1 346.391 -0.050 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)CN(C)c1ncccn1 ZINC001109039218 824474985 /nfs/dbraw/zinc/47/49/85/824474985.db2.gz KKFHQCGBQPMTQM-QMMMGPOBSA-N -1 1 334.336 -0.059 20 0 EBADMM Cc1cc(NC2(CNC(=O)c3cc(=O)n4[n-]cnc4n3)CC2)ncn1 ZINC001110182464 824672727 /nfs/dbraw/zinc/67/27/27/824672727.db2.gz GVOWTGGTCPERIO-UHFFFAOYSA-N -1 1 340.347 -0.109 20 0 EBADMM Cc1nccc(NC2(CNC(=O)c3cc(=O)n4[n-]cnc4n3)CC2)n1 ZINC001110182403 824673112 /nfs/dbraw/zinc/67/31/12/824673112.db2.gz CNJSKULHKAUYHM-UHFFFAOYSA-N -1 1 340.347 -0.109 20 0 EBADMM C[C@H](C(=O)NC(N)=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncccc1[O-])C2 ZINC001110475614 824737600 /nfs/dbraw/zinc/73/76/00/824737600.db2.gz LDBVGSIPOPYPOY-DBIOUOCHSA-N -1 1 347.375 -0.294 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1ccc(-n2cncn2)cc1 ZINC001129911069 828692451 /nfs/dbraw/zinc/69/24/51/828692451.db2.gz YBZOZTKKVQQUJG-UHFFFAOYSA-N -1 1 328.336 -0.389 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cnc(C(F)(F)F)nc1 ZINC001130047390 828722430 /nfs/dbraw/zinc/72/24/30/828722430.db2.gz YYIYMGNLKYXBMS-UHFFFAOYSA-N -1 1 331.258 -0.161 20 0 EBADMM CCNC(=O)NC(=O)[C@H](C)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001112784206 825637802 /nfs/dbraw/zinc/63/78/02/825637802.db2.gz WKYDZMKUGHRZPP-NSHDSACASA-N -1 1 349.391 -0.221 20 0 EBADMM C[C@H](NC(=O)Cn1c(=O)[n-][nH]c1=O)[C@H](C)Nc1ncnc2[nH]cnc21 ZINC001113110511 825760419 /nfs/dbraw/zinc/76/04/19/825760419.db2.gz SRDGXJSNKMIHOB-BQBZGAKWSA-N -1 1 347.339 -0.639 20 0 EBADMM O=C(CCCCc1cn[nH]n1)N1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001113154065 825776519 /nfs/dbraw/zinc/77/65/19/825776519.db2.gz TUOQQWCSJLOKFO-UHFFFAOYSA-N -1 1 334.384 -0.314 20 0 EBADMM CCC[C@H](OCC)C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001113235096 825800201 /nfs/dbraw/zinc/80/02/01/825800201.db2.gz SHWHAIIPJZHQBL-LBPRGKRZSA-N -1 1 325.413 -0.042 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)c1ccc2nnnn2n1 ZINC001113575288 825926099 /nfs/dbraw/zinc/92/60/99/825926099.db2.gz RTXDTVLSBUVMGE-SECBINFHSA-N -1 1 328.336 -0.125 20 0 EBADMM CN(C)C(=O)CCCC(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001113552518 825917417 /nfs/dbraw/zinc/91/74/17/825917417.db2.gz KCTCJIDBBMKCCW-UHFFFAOYSA-N -1 1 338.412 -0.989 20 0 EBADMM CC[C@H](F)C(=O)N1CC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001352890950 832379043 /nfs/dbraw/zinc/37/90/43/832379043.db2.gz JCGKIFUYUDCEMX-MNOVXSKESA-N -1 1 340.355 -0.608 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C(NC(=O)/C=C/c4ccco4)[C@@H]3C2)nc1=O ZINC001113794678 826025311 /nfs/dbraw/zinc/02/53/11/826025311.db2.gz AHXBKXUGMTWGBH-FVGQLSLCSA-N -1 1 329.360 -0.039 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CCc2ccco2)nc1=O ZINC001113851729 826047518 /nfs/dbraw/zinc/04/75/18/826047518.db2.gz FRMVIZUJDTVDSS-JYAVWHMHSA-N -1 1 331.376 -0.119 20 0 EBADMM CO[C@@H](C)CC(=O)N[C@@H]1[C@H]2CN(Cc3cc(=O)n4[n-]ccc4n3)C[C@H]21 ZINC001114020632 826114413 /nfs/dbraw/zinc/11/44/13/826114413.db2.gz JVBJIGFSMVXUDE-JCKZUZKGSA-N -1 1 345.403 -0.006 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CC[C@@H]2CCOC2)nc1=O ZINC001114134696 826144367 /nfs/dbraw/zinc/14/43/67/826144367.db2.gz ONCFBDMMVSFGNN-FJJYHAOUSA-N -1 1 335.408 -0.529 20 0 EBADMM CCO[C@H](C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)C(C)C ZINC001114250587 826183991 /nfs/dbraw/zinc/18/39/91/826183991.db2.gz VRUMBYSLQHSBAY-UNJBNNCHSA-N -1 1 337.424 -0.284 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)COc2cccnc2)nc1=O ZINC001114978674 826389725 /nfs/dbraw/zinc/38/97/25/826389725.db2.gz YMXOTFWDMKBPIJ-JYAVWHMHSA-N -1 1 344.375 -0.871 20 0 EBADMM COCCC1(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)CCC1 ZINC001115172512 826438547 /nfs/dbraw/zinc/43/85/47/826438547.db2.gz SKQWCMIFVNIUCI-IMRBUKKESA-N -1 1 349.435 -0.138 20 0 EBADMM CN(C)C(=O)c1cccc(CNC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC001116056200 826596458 /nfs/dbraw/zinc/59/64/58/826596458.db2.gz WEAMPSKOTQMEFN-UHFFFAOYSA-N -1 1 344.371 -0.055 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N(C1CC1)[C@H]1CCS(=O)(=O)C1 ZINC001116054005 826596808 /nfs/dbraw/zinc/59/68/08/826596808.db2.gz FXEDRVNGYGGLNZ-NSHDSACASA-N -1 1 341.389 -0.895 20 0 EBADMM CN(Cc1ncnn1CC(F)F)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001116834549 826645276 /nfs/dbraw/zinc/64/52/76/826645276.db2.gz PGYVCWUJTJDBOC-UHFFFAOYSA-N -1 1 342.306 -0.558 20 0 EBADMM O=C(Cc1csc2nccn12)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001116895636 826649397 /nfs/dbraw/zinc/64/93/97/826649397.db2.gz GQPJKENEXJHPGR-UHFFFAOYSA-N -1 1 333.377 -0.038 20 0 EBADMM Cc1nc(N[C@H](CO)CNC(=O)c2ncccc2[O-])nc(N(C)C)n1 ZINC001121338706 827346080 /nfs/dbraw/zinc/34/60/80/827346080.db2.gz BEOHXUHUNJRIOS-JTQLQIEISA-N -1 1 347.379 -0.451 20 0 EBADMM C[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@]1(C)CNCc1cnon1 ZINC001182037554 833196546 /nfs/dbraw/zinc/19/65/46/833196546.db2.gz BLEDBPVQKYKACS-XLKFXECMSA-N -1 1 349.351 -0.446 20 0 EBADMM O=C(NC[C@@H](CO)Nc1cccc(F)n1)c1cc(=O)n2[n-]cnc2n1 ZINC001121793361 827451334 /nfs/dbraw/zinc/45/13/34/827451334.db2.gz VTVLXBHCJCFXTH-QMMMGPOBSA-N -1 1 347.310 -0.846 20 0 EBADMM O=C(CCn1c(=O)c2ccccc2[n-]c1=S)N1CCNC[C@H]1CO ZINC001122124859 827524104 /nfs/dbraw/zinc/52/41/04/827524104.db2.gz RFSWKKINXYJPKL-NSHDSACASA-N -1 1 348.428 -0.132 20 0 EBADMM O=C(CCn1c(=O)c2ccccc2[n-]c1=S)N1CCNC[C@@H]1CO ZINC001122124858 827524486 /nfs/dbraw/zinc/52/44/86/827524486.db2.gz RFSWKKINXYJPKL-LLVKDONJSA-N -1 1 348.428 -0.132 20 0 EBADMM O=C([O-])[C@@]1(C(=O)NC[C@@]2(C(F)(F)F)CCCN2)CNCCO1 ZINC001122198049 827543728 /nfs/dbraw/zinc/54/37/28/827543728.db2.gz BLKYPUNZMXUAOC-MNOVXSKESA-N -1 1 325.287 -0.770 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)COCC1CC1 ZINC001182485747 833236687 /nfs/dbraw/zinc/23/66/87/833236687.db2.gz VLMKKJIZWILNGU-LBPRGKRZSA-N -1 1 338.364 -0.546 20 0 EBADMM CSc1nc(NC(=O)Cn2cc(F)c(=O)[nH]c2=O)cc(=O)[n-]1 ZINC001183653963 833298560 /nfs/dbraw/zinc/29/85/60/833298560.db2.gz DOZUKRHFSMMMIN-UHFFFAOYSA-N -1 1 327.297 -0.056 20 0 EBADMM CN1CCC[C@H]1c1cc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)[nH]n1 ZINC001127773038 828323183 /nfs/dbraw/zinc/32/31/83/828323183.db2.gz ONIIGXQGKBIRSE-NSHDSACASA-N -1 1 334.384 -0.480 20 0 EBADMM C[C@H](NCCNC(=O)CCCn1c(=O)[n-][nH]c1=O)c1cnccn1 ZINC001130556584 828883198 /nfs/dbraw/zinc/88/31/98/828883198.db2.gz OGHADEQLOIKHBX-JTQLQIEISA-N -1 1 335.368 -0.274 20 0 EBADMM COc1ccc(F)cc1CNCCNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001130865313 828982350 /nfs/dbraw/zinc/98/23/50/828982350.db2.gz UMIHCJBWUCGPOF-UHFFFAOYSA-N -1 1 337.311 -0.050 20 0 EBADMM CCC(=O)NCc1n[nH]c([C@H]2C[C@H](F)CN2Cc2n[nH]c(=O)[n-]2)n1 ZINC001131139984 829051708 /nfs/dbraw/zinc/05/17/08/829051708.db2.gz GNZNXIXZIQLKLA-JGVFFNPUSA-N -1 1 338.347 -0.060 20 0 EBADMM CCC(=O)NCc1nnc([C@H]2C[C@H](F)CN2Cc2n[nH]c(=O)[n-]2)[nH]1 ZINC001131139984 829051715 /nfs/dbraw/zinc/05/17/15/829051715.db2.gz GNZNXIXZIQLKLA-JGVFFNPUSA-N -1 1 338.347 -0.060 20 0 EBADMM CCc1nc2c(nccc2C(=O)NCCNCc2n[nH]c(=O)[n-]2)[nH]1 ZINC001131665138 829178011 /nfs/dbraw/zinc/17/80/11/829178011.db2.gz SKDRYQYURLJBAU-UHFFFAOYSA-N -1 1 330.352 -0.136 20 0 EBADMM Cn1cc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)c([C@@H]2CCOC2)n1 ZINC001131912738 829273136 /nfs/dbraw/zinc/27/31/36/829273136.db2.gz CJKARMOMWBSLSV-SECBINFHSA-N -1 1 335.368 -0.733 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)CCn2ccnn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001131992194 829295225 /nfs/dbraw/zinc/29/52/25/829295225.db2.gz JQDCQCGAVXMNTM-VXGBXAGGSA-N -1 1 348.411 -0.741 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)CCc2ncc[nH]2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001132025563 829306864 /nfs/dbraw/zinc/30/68/64/829306864.db2.gz RHGKWZBAHXVZDN-RYUDHWBXSA-N -1 1 347.423 -0.067 20 0 EBADMM COc1cc(CNCCNC(=O)c2cnc([O-])n(C)c2=O)sn1 ZINC001132242564 829391884 /nfs/dbraw/zinc/39/18/84/829391884.db2.gz SXMUAZHFLGXWBR-UHFFFAOYSA-N -1 1 339.377 -0.529 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)CCc2c[nH]nn2)CN1Cc1n[nH]c(=O)[n-]1 ZINC001132315419 829410313 /nfs/dbraw/zinc/41/03/13/829410313.db2.gz SWXXZMFTMPEVNR-GXSJLCMTSA-N -1 1 334.384 -0.270 20 0 EBADMM COc1ccc(CNCCNC(=O)c2c[n-]n3c2nccc3=O)nn1 ZINC001134938674 829873590 /nfs/dbraw/zinc/87/35/90/829873590.db2.gz FMPFDRCNUWHTCR-UHFFFAOYSA-N -1 1 343.347 -0.659 20 0 EBADMM CC(C)c1nc(CNCCNC(=O)c2c[n-]n3c2nccc3=O)n[nH]1 ZINC001134939260 829874420 /nfs/dbraw/zinc/87/44/20/829874420.db2.gz TWNMMQUQMIVDPL-UHFFFAOYSA-N -1 1 344.379 -0.216 20 0 EBADMM Cc1cnc(CNCCNC(=O)c2c[n-]n3c2nccc3=O)cn1 ZINC001134941843 829874986 /nfs/dbraw/zinc/87/49/86/829874986.db2.gz QEPGKMBMIMFIDI-UHFFFAOYSA-N -1 1 327.348 -0.359 20 0 EBADMM Cc1nc([C@@H](C)NCCNC(=O)c2c[n-]n3c2nccc3=O)n[nH]1 ZINC001134942117 829876535 /nfs/dbraw/zinc/87/65/35/829876535.db2.gz ZVSLLAHEQDSPGF-MRVPVSSYSA-N -1 1 330.352 -0.470 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCCCc1nnnn1C ZINC001135000666 829886513 /nfs/dbraw/zinc/88/65/13/829886513.db2.gz KEEJRPSCEOGIRT-UHFFFAOYSA-N -1 1 344.379 -0.133 20 0 EBADMM C[C@H](C[C@@H](C)NC(=O)c1cnc([O-])n(C)c1=O)NCc1cnon1 ZINC001135165519 829912682 /nfs/dbraw/zinc/91/26/82/829912682.db2.gz GUFRHWQMKIMEIB-RKDXNWHRSA-N -1 1 336.352 -0.444 20 0 EBADMM Cc1ncc(CNCCNC(=O)Cn2[n-]cc3c(=O)ncnc2-3)cn1 ZINC001135465839 829972101 /nfs/dbraw/zinc/97/21/01/829972101.db2.gz QRIOXKCEHJKDCY-UHFFFAOYSA-N -1 1 342.363 -0.924 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)NCCNCc1ccc(F)cn1 ZINC001135465871 829972363 /nfs/dbraw/zinc/97/23/63/829972363.db2.gz SQOMOFHDUUPFCS-UHFFFAOYSA-N -1 1 345.338 -0.489 20 0 EBADMM O=C(CNC(=O)C1CCC1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001211257016 837417289 /nfs/dbraw/zinc/41/72/89/837417289.db2.gz OHMBOUGMMZJRLX-LLVKDONJSA-N -1 1 336.396 -0.493 20 0 EBADMM CCOCCCNC(=O)C1CCN(C(=O)CCc2nn[n-]n2)CC1 ZINC001136660886 830088319 /nfs/dbraw/zinc/08/83/19/830088319.db2.gz JLRWYIHLCRJUSH-UHFFFAOYSA-N -1 1 338.412 -0.086 20 0 EBADMM CN(C)C(=O)COCc1nnc2n1CCN(Cc1ncccc1[O-])C2 ZINC001138393031 830099806 /nfs/dbraw/zinc/09/98/06/830099806.db2.gz IDIDSFOVQYJQPB-UHFFFAOYSA-N -1 1 346.391 -0.001 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)CCn1cccn1 ZINC001141849399 830115569 /nfs/dbraw/zinc/11/55/69/830115569.db2.gz GVSNFWJHZATZOG-LBPRGKRZSA-N -1 1 348.363 -0.685 20 0 EBADMM Cc1cc(CC(=O)NCCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])on1 ZINC001142214128 830125370 /nfs/dbraw/zinc/12/53/70/830125370.db2.gz IFVZYEGNUPNVJU-LBPRGKRZSA-N -1 1 349.347 -0.433 20 0 EBADMM CCS(=O)(=O)NCCCNC(=O)c1cnc(SC)[n-]c1=O ZINC001142761604 830136032 /nfs/dbraw/zinc/13/60/32/830136032.db2.gz GMSZJMOAOHBVFI-UHFFFAOYSA-N -1 1 334.423 -0.037 20 0 EBADMM O=C([N-]CCNC(=O)[C@@H]1CNC(=O)C1)C(F)(F)Br ZINC001143305140 830150415 /nfs/dbraw/zinc/15/04/15/830150415.db2.gz NQVDYZKDXDDKCN-YFKPBYRVSA-N -1 1 328.113 -0.657 20 0 EBADMM Cn1c(C2CCN(C(=O)c3nnc(O)nc3O)CC2)n[n-]c1=S ZINC001144480552 830166115 /nfs/dbraw/zinc/16/61/15/830166115.db2.gz YHBWSFAQTMUJEJ-UHFFFAOYSA-N -1 1 337.365 -0.280 20 0 EBADMM CC(C)S(=O)(=O)CC(=O)N[C@@H](C)C[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001146440398 830200493 /nfs/dbraw/zinc/20/04/93/830200493.db2.gz GGBYEONORATNNN-UWVGGRQHSA-N -1 1 347.441 -0.294 20 0 EBADMM O=C(NCCNCc1nc(C2CC2)no1)c1cc(=O)n2[n-]cnc2n1 ZINC001147711689 830398254 /nfs/dbraw/zinc/39/82/54/830398254.db2.gz BBTAVLFNQZOANE-UHFFFAOYSA-N -1 1 344.335 -0.802 20 0 EBADMM CCCc1nc(CNCCNC(=O)c2cc(=O)n3[n-]cnc3n2)no1 ZINC001147705986 830398635 /nfs/dbraw/zinc/39/86/35/830398635.db2.gz RKQFDZPAMPFYAU-UHFFFAOYSA-N -1 1 346.351 -0.727 20 0 EBADMM C[C@H](NCCNC(=O)c1cc(=O)n2[n-]cnc2n1)c1ncccn1 ZINC001147711997 830400168 /nfs/dbraw/zinc/40/01/68/830400168.db2.gz JIMPQHLADWZPST-VIFPVBQESA-N -1 1 328.336 -0.712 20 0 EBADMM O=C(NCCNCc1coc(C2CC2)n1)c1cc(=O)n2[n-]cnc2n1 ZINC001147712630 830400197 /nfs/dbraw/zinc/40/01/97/830400197.db2.gz TXMBBGFYIZDRBH-UHFFFAOYSA-N -1 1 343.347 -0.197 20 0 EBADMM NC(=O)c1ccccc1CCC(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001147813801 830416587 /nfs/dbraw/zinc/41/65/87/830416587.db2.gz SQINHEJCNOHPEY-UHFFFAOYSA-N -1 1 332.364 -0.552 20 0 EBADMM Cc1ncc(CO)c(C(=O)N2CCc3[nH]c(=O)[nH]c(=O)c3C2)c1[O-] ZINC001147838352 830418944 /nfs/dbraw/zinc/41/89/44/830418944.db2.gz OUQAKKXQBUGFAH-UHFFFAOYSA-N -1 1 332.316 -0.012 20 0 EBADMM COCC1(C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)CC1 ZINC001147898424 830428668 /nfs/dbraw/zinc/42/86/68/830428668.db2.gz LHPRDASUTGMIMM-UHFFFAOYSA-N -1 1 335.408 -0.431 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@@H](CNC(=O)C(C)(F)F)C2)nc1=O ZINC001149057700 830575925 /nfs/dbraw/zinc/57/59/25/830575925.db2.gz COCVDNAHRQCZNR-VIFPVBQESA-N -1 1 333.339 -0.529 20 0 EBADMM Cc1nn(C)c(Cl)c1CNCCNC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001149142033 830602291 /nfs/dbraw/zinc/60/22/91/830602291.db2.gz YXEWAROJZJXPKC-UHFFFAOYSA-N -1 1 343.775 -0.709 20 0 EBADMM CS[C@H](C)C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149261702 830628508 /nfs/dbraw/zinc/62/85/08/830628508.db2.gz AUZNVETZCLLOBT-GHMZBOCLSA-N -1 1 343.453 -0.433 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2cn3c(n2)C=CCN3)c1 ZINC001149441924 830666781 /nfs/dbraw/zinc/66/67/81/830666781.db2.gz LUOKBXHKHLFWLF-UHFFFAOYSA-N -1 1 349.372 -0.141 20 0 EBADMM Cc1cc(CC(=O)NC[C@H]2CN(Cc3n[nH]c(=O)[n-]3)CCCO2)[nH]n1 ZINC001149529161 830687783 /nfs/dbraw/zinc/68/77/83/830687783.db2.gz UHVVDDZGDVACFG-LBPRGKRZSA-N -1 1 349.395 -0.508 20 0 EBADMM C[C@@H](NC(=O)c1ccccc1)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001150058297 830808531 /nfs/dbraw/zinc/80/85/31/830808531.db2.gz RBJWZRHVOMPKFD-SNVBAGLBSA-N -1 1 332.364 -0.465 20 0 EBADMM CC[C@@H](F)C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001150150332 830826853 /nfs/dbraw/zinc/82/68/53/830826853.db2.gz XJSBGBSULDAGMT-GHMZBOCLSA-N -1 1 329.376 -0.436 20 0 EBADMM CC(=O)N1CCC(CCC(=O)NCCNCc2n[nH]c(=O)[n-]2)CC1 ZINC001151749466 831109508 /nfs/dbraw/zinc/10/95/08/831109508.db2.gz ZAZOPUOTZTYEJL-UHFFFAOYSA-N -1 1 338.412 -0.245 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CC(F)(F)F ZINC001211974831 837510814 /nfs/dbraw/zinc/51/08/14/837510814.db2.gz GYVFJCZMOUVYRM-HTQZYQBOSA-N -1 1 337.302 -0.624 20 0 EBADMM C[C@@H](OC[C@@H]1CCCCO1)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001153179381 831215150 /nfs/dbraw/zinc/21/51/50/831215150.db2.gz WRWQVUWFPFLQEE-MNOVXSKESA-N -1 1 327.385 -0.310 20 0 EBADMM Cc1ccc2ccc(C(=O)N3CN[C@H](N)[C@@H]4NCN[C@H]43)c([O-])c2n1 ZINC001155661346 831420036 /nfs/dbraw/zinc/42/00/36/831420036.db2.gz RIARPJRQGMLMTO-QEJZJMRPSA-N -1 1 328.376 -0.618 20 0 EBADMM CSc1ncc(C(=O)N2CCC[C@]3(C2)NC(=O)NC3=O)c(=O)[n-]1 ZINC001156513645 831479200 /nfs/dbraw/zinc/47/92/00/831479200.db2.gz VCMQZYYBAYZHEK-CYBMUJFWSA-N -1 1 337.361 -0.282 20 0 EBADMM CC(=O)NCC(=O)N1CCCC[C@@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001157464622 831567901 /nfs/dbraw/zinc/56/79/01/831567901.db2.gz FJKPUBCPRRMXEJ-GFCCVEGCSA-N -1 1 338.412 -0.943 20 0 EBADMM C[C@H](C(N)=O)N1CC=C(CCNC(=O)c2cnc([O-])n(C)c2=O)CC1 ZINC001160845581 831894604 /nfs/dbraw/zinc/89/46/04/831894604.db2.gz MOFDMKSRXYJZRU-SNVBAGLBSA-N -1 1 349.391 -0.888 20 0 EBADMM C[C@@H]1CN(C(=O)c2cc3n(n2)CCCN(CC(=O)[O-])C3=O)CCN1 ZINC001161014420 831914418 /nfs/dbraw/zinc/91/44/18/831914418.db2.gz DFPQYLGIAGTDHV-SNVBAGLBSA-N -1 1 335.364 -0.753 20 0 EBADMM N[C@@H](Cc1nnn[n-]1)C(=O)N1CCNC(=O)[C@@H]1c1ccc(Cl)cc1 ZINC001161238277 831939769 /nfs/dbraw/zinc/93/97/69/831939769.db2.gz SEQKNROXAQTLLR-JQWIXIFHSA-N -1 1 349.782 -0.577 20 0 EBADMM CCc1nnc(CNCCCNC(=O)c2n[nH]c(=O)[n-]c2=O)s1 ZINC001161863470 831996828 /nfs/dbraw/zinc/99/68/28/831996828.db2.gz KECQIMAFLLBYPD-UHFFFAOYSA-N -1 1 339.381 -0.394 20 0 EBADMM COc1nscc1CNCCCNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001161864035 831998488 /nfs/dbraw/zinc/99/84/88/831998488.db2.gz VMHFQJIUOPTHJX-UHFFFAOYSA-N -1 1 340.365 -0.342 20 0 EBADMM CC(C)n1ncnc1CNCCCNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001161879040 832000585 /nfs/dbraw/zinc/00/05/85/832000585.db2.gz CELGKOCCCMCIHB-UHFFFAOYSA-N -1 1 336.356 -0.635 20 0 EBADMM CCc1nnc([C@@H](C)NCCCNC(=O)c2cnc([O-])n(C)c2=O)[nH]1 ZINC001163781639 832138834 /nfs/dbraw/zinc/13/88/34/832138834.db2.gz ORNWIVDNJWOIJT-SECBINFHSA-N -1 1 349.395 -0.363 20 0 EBADMM O=C(C[C@H]1COC(=O)C1)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001164097233 832170606 /nfs/dbraw/zinc/17/06/06/832170606.db2.gz APBCELWPRZDXIN-SNVBAGLBSA-N -1 1 337.380 -0.160 20 0 EBADMM C[C@H](C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)n1cncn1 ZINC001164165887 832173959 /nfs/dbraw/zinc/17/39/59/832173959.db2.gz DECUZUQDQJETCO-SNVBAGLBSA-N -1 1 334.384 -0.261 20 0 EBADMM CN1C[C@@H](C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)CC1=O ZINC001165305971 832214296 /nfs/dbraw/zinc/21/42/96/832214296.db2.gz DRPXJXFOKUHZSU-JTQLQIEISA-N -1 1 336.396 -0.635 20 0 EBADMM COCCO[C@@H](C)C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167370273 832332435 /nfs/dbraw/zinc/33/24/35/832332435.db2.gz IOQSBVPJKQMZRH-NSHDSACASA-N -1 1 341.412 -0.062 20 0 EBADMM C[C@H](NCCCNC(=O)c1c[n-]n2c1nccc2=O)c1nncn1C ZINC001167784489 832372408 /nfs/dbraw/zinc/37/24/08/832372408.db2.gz XZHXGSVBMWMULE-JTQLQIEISA-N -1 1 344.379 -0.378 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CCN(C(=O)c2ccco2)C1 ZINC001353348499 832423366 /nfs/dbraw/zinc/42/33/66/832423366.db2.gz SOVMDUATDOWACK-NSHDSACASA-N -1 1 346.343 -0.449 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)N[C@H]1C[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001353703111 832441357 /nfs/dbraw/zinc/44/13/57/832441357.db2.gz HMJGPSXTWHVJCX-WYUUTHIRSA-N -1 1 334.376 -0.654 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H](CO)[C@H](O)C1CCCCC1 ZINC001169415729 832461454 /nfs/dbraw/zinc/46/14/54/832461454.db2.gz PHFNIBZPOIXIFG-TZMCWYRMSA-N -1 1 339.392 -0.655 20 0 EBADMM C[C@@H](CNC(=O)c1ccn(C)c1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001354333547 832478850 /nfs/dbraw/zinc/47/88/50/832478850.db2.gz GVUHQXRAVNXTAC-VIFPVBQESA-N -1 1 343.347 -0.696 20 0 EBADMM NS(=O)(=O)Cc1ccc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)nc1 ZINC001170218668 832493298 /nfs/dbraw/zinc/49/32/98/832493298.db2.gz ZENMBFYLIPQPPY-UHFFFAOYSA-N -1 1 337.321 -0.357 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)C1=CCCC1 ZINC001354553954 832501588 /nfs/dbraw/zinc/50/15/88/832501588.db2.gz DLCMCKLJZKIUGO-LLVKDONJSA-N -1 1 334.376 -0.342 20 0 EBADMM Cc1cnoc1C(=O)NC[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001354700012 832514051 /nfs/dbraw/zinc/51/40/51/832514051.db2.gz PUKQOKUGDDKIEU-JTQLQIEISA-N -1 1 349.347 -0.842 20 0 EBADMM C[C@H](CCNC(=O)c1ccoc1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001355071626 832546282 /nfs/dbraw/zinc/54/62/82/832546282.db2.gz IMRIKCAPAFFCRU-SECBINFHSA-N -1 1 344.331 -0.051 20 0 EBADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)[C@@]1(C)CCNC1=O ZINC001356032614 832595623 /nfs/dbraw/zinc/59/56/23/832595623.db2.gz KJNQTCHTQPTSMD-INIZCTEOSA-N -1 1 334.376 -0.108 20 0 EBADMM O=C(Cc1nn[n-]n1)N1C[C@@H]2C(=O)N(Cc3ccccc3)C(=O)[C@@H]2C1 ZINC001176839701 832693457 /nfs/dbraw/zinc/69/34/57/832693457.db2.gz BESPMCPMSQPLMO-TXEJJXNPSA-N -1 1 340.343 -0.614 20 0 EBADMM COCCO[C@H]1CCN(C(=O)Cc2nn[n-]n2)[C@H]1Cc1cnn(C)c1 ZINC001176839741 832693590 /nfs/dbraw/zinc/69/35/90/832693590.db2.gz BLTNFKQWWMBJEX-STQMWFEESA-N -1 1 349.395 -0.649 20 0 EBADMM O=C(Cn1nn[n-]c1=S)N[C@H]1CC[C@H](N2CCOCC2)CC1 ZINC001177742503 832801820 /nfs/dbraw/zinc/80/18/20/832801820.db2.gz FYWDTJKFSNLYLE-XYPYZODXSA-N -1 1 326.426 -0.279 20 0 EBADMM CS(=O)(=O)N1CCC(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)CC1 ZINC001178761590 832909582 /nfs/dbraw/zinc/90/95/82/832909582.db2.gz DJHFUEMLKAEHCW-UHFFFAOYSA-N -1 1 345.337 -0.104 20 0 EBADMM CC(C)(C)OC(=O)N1C[C@H](C(=O)NCc2nn[n-]n2)[C@H]2OCC[C@H]21 ZINC001179550077 832988540 /nfs/dbraw/zinc/98/85/40/832988540.db2.gz GEQRDEYFRUSSMH-IQJOONFLSA-N -1 1 338.368 -0.160 20 0 EBADMM NC(=O)C([N-]S(=O)(=O)Cc1cc(Cl)cc(Cl)c1)C(N)=O ZINC001187076065 833896006 /nfs/dbraw/zinc/89/60/06/833896006.db2.gz RRJDORKMCQBJET-UHFFFAOYSA-N -1 1 340.188 -0.248 20 0 EBADMM Cc1cc(C(=O)NCCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])cn1C ZINC001188077730 833999593 /nfs/dbraw/zinc/99/95/93/833999593.db2.gz IMVPLZZUQFJJOM-ZDUSSCGKSA-N -1 1 347.375 -0.011 20 0 EBADMM CC[C@H](C)OCC(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001189716273 834182049 /nfs/dbraw/zinc/18/20/49/834182049.db2.gz IEPGXXHDHNJSTA-NWDGAFQWSA-N -1 1 325.413 -0.044 20 0 EBADMM CNC(=O)NC(=O)CN(C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001189770158 834189963 /nfs/dbraw/zinc/18/99/63/834189963.db2.gz QBJOUNHCTUGHCE-JTQLQIEISA-N -1 1 335.364 -0.611 20 0 EBADMM COC(=O)[C@H](CC(C)C)NC(=O)Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001190833993 834351015 /nfs/dbraw/zinc/35/10/15/834351015.db2.gz FHKCKTZYLKOIDQ-NKWVEPMBSA-N -1 1 330.366 -0.591 20 0 EBADMM NS(=O)(=O)c1cc(C(=O)NCc2c[nH]nn2)c([O-])cc1Cl ZINC001191046229 834403934 /nfs/dbraw/zinc/40/39/34/834403934.db2.gz PTGVQGMRNFLNQN-UHFFFAOYSA-N -1 1 331.741 -0.259 20 0 EBADMM COc1cc(C(=O)N2CN[C@H](N)[C@H]3NCN[C@@H]32)cc(Cl)c1[O-] ZINC001191157736 834426136 /nfs/dbraw/zinc/42/61/36/834426136.db2.gz BJNLGFCZNOXAIK-ADEWGFFLSA-N -1 1 327.772 -0.813 20 0 EBADMM CN(CC1CC1)C(=O)CN1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001192375156 834653030 /nfs/dbraw/zinc/65/30/30/834653030.db2.gz SPWCAEBAQHLASI-TZMCWYRMSA-N -1 1 348.403 -0.570 20 0 EBADMM C[C@@H](NC(=O)CN1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC001192419215 834665291 /nfs/dbraw/zinc/66/52/91/834665291.db2.gz AJNUEORHDBZRTI-MPKXVKKWSA-N -1 1 348.403 -0.523 20 0 EBADMM CCOCCN(C)[C@@H]1CCN(C(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001192906558 834758156 /nfs/dbraw/zinc/75/81/56/834758156.db2.gz NIOQTCUDMXFOCM-GFCCVEGCSA-N -1 1 348.407 -0.360 20 0 EBADMM CNC(=O)C[N@H+](C)[C@H]1CCN(C(=O)c2ccc3c(c2)C(=O)NC3=O)C1 ZINC001193532340 834901435 /nfs/dbraw/zinc/90/14/35/834901435.db2.gz NJIBPGUCYPYRNK-NSHDSACASA-N -1 1 344.371 -0.538 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CCn1cccc1 ZINC001213619981 837822285 /nfs/dbraw/zinc/82/22/85/837822285.db2.gz CWBZZPQNGYVXNT-CHWSQXEVSA-N -1 1 348.407 -0.684 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCOC2CCOCC2)[n-]n1 ZINC001195233879 835200964 /nfs/dbraw/zinc/20/09/64/835200964.db2.gz ZMOOGHVBOULIAJ-UHFFFAOYSA-N -1 1 333.366 -0.330 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCOC2CCOCC2)n[n-]1 ZINC001195233879 835200968 /nfs/dbraw/zinc/20/09/68/835200968.db2.gz ZMOOGHVBOULIAJ-UHFFFAOYSA-N -1 1 333.366 -0.330 20 0 EBADMM COC(=O)[C@H](Cc1cncn1C)NC(=O)c1c[nH]c(=S)[n-]c1=O ZINC001196027149 835330354 /nfs/dbraw/zinc/33/03/54/835330354.db2.gz SBGLLQSJILAZRG-VIFPVBQESA-N -1 1 337.361 -0.282 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)C3(C(C)(F)F)CC3)C2)nc1=O ZINC001196243029 835378516 /nfs/dbraw/zinc/37/85/16/835378516.db2.gz MMKZELPVCCCEGL-RKDXNWHRSA-N -1 1 345.350 -0.795 20 0 EBADMM CCC[C@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O)C(C)C ZINC001196527345 835427829 /nfs/dbraw/zinc/42/78/29/835427829.db2.gz RMPPHFOUBPZLRF-YNEHKIRRSA-N -1 1 339.440 -0.158 20 0 EBADMM CC(C)OCCN1C[C@@H](O)[C@H](NC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001196726825 835454546 /nfs/dbraw/zinc/45/45/46/835454546.db2.gz ZNYAMDQQSRYEQT-CHWSQXEVSA-N -1 1 349.391 -0.778 20 0 EBADMM O=C(c1c[n-]n2c1nccc2=O)N1CCCN(CCOCCO)CC1 ZINC001197361452 835545441 /nfs/dbraw/zinc/54/54/41/835545441.db2.gz NWQTXIPUMJMEFL-UHFFFAOYSA-N -1 1 349.391 -0.821 20 0 EBADMM NC(=O)C([N-]S(=O)(=O)Cc1cc(Cl)ccc1Cl)C(N)=O ZINC001197538819 835574277 /nfs/dbraw/zinc/57/42/77/835574277.db2.gz GTUHFOVMEAMIRB-UHFFFAOYSA-N -1 1 340.188 -0.248 20 0 EBADMM COCCN1C[C@@H](O)[C@H](NC(=O)C(C)(C)[N-]C(=O)C(F)(F)F)C1 ZINC001198982534 835747011 /nfs/dbraw/zinc/74/70/11/835747011.db2.gz JIKBVEHTWVAOSI-RKDXNWHRSA-N -1 1 341.330 -0.749 20 0 EBADMM COCCOCC(=O)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202655808 836330978 /nfs/dbraw/zinc/33/09/78/836330978.db2.gz PJHVFTQOXDVSSI-MNOVXSKESA-N -1 1 339.396 -0.310 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)N3C[C@]4(C)C(=O)NC(=O)[C@@]4(C)C3)ccnc1-2 ZINC001204294738 836507676 /nfs/dbraw/zinc/50/76/76/836507676.db2.gz ODLBEFNYXODSRM-HZPDHXFCSA-N -1 1 342.359 -0.142 20 0 EBADMM C[C@@H]1CN(CCOCC(F)F)C[C@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001207868479 836882512 /nfs/dbraw/zinc/88/25/12/836882512.db2.gz ZFOBPWGSZJBSEX-HTQZYQBOSA-N -1 1 347.322 -0.385 20 0 EBADMM Cn1ccnc1COCC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001208392773 837016188 /nfs/dbraw/zinc/01/61/88/837016188.db2.gz FBDKLCHEQWKSJY-LLVKDONJSA-N -1 1 349.395 -0.459 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCCNc2ncccn2)c(=O)[nH]c1=O ZINC001208845740 837113812 /nfs/dbraw/zinc/11/38/12/837113812.db2.gz PZUWAPKYNISUEQ-UHFFFAOYSA-N -1 1 340.365 -0.944 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCOCc2ccccc2)c(=O)[nH]c1=O ZINC001210406331 837281542 /nfs/dbraw/zinc/28/15/42/837281542.db2.gz ZVPMMEJNOHUKIY-UHFFFAOYSA-N -1 1 339.373 -0.019 20 0 EBADMM COc1nscc1S(=O)(=O)[N-]CCCn1ccc(=O)[nH]c1=O ZINC001213975823 837912895 /nfs/dbraw/zinc/91/28/95/837912895.db2.gz DPYJDCSAQUVWTQ-UHFFFAOYSA-N -1 1 346.390 -0.217 20 0 EBADMM Nc1cn[n-]c(=NNC(=S)NCCN2CCOCC2)c1Cl ZINC001319277970 838097330 /nfs/dbraw/zinc/09/73/30/838097330.db2.gz NDECVKKPIJJHNF-UHFFFAOYSA-N -1 1 331.833 -0.165 20 0 EBADMM COC(=O)CCCNC(=S)NNc1nc(=O)c2cnn(C)c2[n-]1 ZINC001319471915 838135208 /nfs/dbraw/zinc/13/52/08/838135208.db2.gz MDSUSFWPYZOZPZ-UHFFFAOYSA-N -1 1 339.381 -0.187 20 0 EBADMM C[C@@]1(C2CCN(Cc3cc(=O)n4[n-]ccc4n3)CC2)NC(=O)NC1=O ZINC001319583347 838153095 /nfs/dbraw/zinc/15/30/95/838153095.db2.gz XVZNEJMAHZFYBK-INIZCTEOSA-N -1 1 344.375 -0.167 20 0 EBADMM CCc1ccc(C(=O)NN2CC(=O)[N-]C2=O)cc1S(C)(=O)=O ZINC001319636591 838161626 /nfs/dbraw/zinc/16/16/26/838161626.db2.gz ZJOGYGWDSRQFSQ-UHFFFAOYSA-N -1 1 325.346 -0.151 20 0 EBADMM Cc1cc(Br)ncc1NC(=O)C(=O)NCc1nn[n-]n1 ZINC001320598944 838798927 /nfs/dbraw/zinc/79/89/27/838798927.db2.gz TULWSZSVDNBIAR-UHFFFAOYSA-N -1 1 340.141 -0.079 20 0 EBADMM CCS(=O)(=O)[N-]c1ccc(N2C(=O)N[C@H](CO)C2=O)cc1OC ZINC001320983241 838874703 /nfs/dbraw/zinc/87/47/03/838874703.db2.gz YRVDFDWPQAUYMF-SNVBAGLBSA-N -1 1 343.361 -0.126 20 0 EBADMM O=C(Nc1cccc(Cn2cccn2)c1)C(=O)NN1CC(=O)[N-]C1=O ZINC001320978644 838874803 /nfs/dbraw/zinc/87/48/03/838874803.db2.gz QSPIBEBPIRBJLS-UHFFFAOYSA-N -1 1 342.315 -0.547 20 0 EBADMM Cc1ccc(C(=O)NN2CC(=O)[N-]C2=O)cc1NS(C)(=O)=O ZINC001321616239 839034480 /nfs/dbraw/zinc/03/44/80/839034480.db2.gz PZYVIEJWXBYYKO-UHFFFAOYSA-N -1 1 326.334 -0.437 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CC([C@@H]4CCOC4)C3)ccnc1-2 ZINC001323042121 839333074 /nfs/dbraw/zinc/33/30/74/839333074.db2.gz LKCKVDJENUUKKP-SNVBAGLBSA-N -1 1 329.360 -0.225 20 0 EBADMM C[C@@H](CCNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)[S@](C)=O ZINC001323224145 839379323 /nfs/dbraw/zinc/37/93/23/839379323.db2.gz AQBQAHIMJJXGMP-KLVQOETNSA-N -1 1 337.405 -0.446 20 0 EBADMM CCC(=O)N1CC[C@H](NC(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC001323231436 839381194 /nfs/dbraw/zinc/38/11/94/839381194.db2.gz XIKKYCWWJAKHNM-JTQLQIEISA-N -1 1 344.375 -0.593 20 0 EBADMM Cc1cc(-n2cccn2)ccc1NC(=O)C(=O)NN1CC(=O)[N-]C1=O ZINC001323273878 839399774 /nfs/dbraw/zinc/39/97/74/839399774.db2.gz PZIJXAYYFBZZBU-UHFFFAOYSA-N -1 1 342.315 -0.298 20 0 EBADMM Cn1c(CNC(=O)CCn2cc[n-]c(=O)c2=O)nnc1C1CCC1 ZINC001323944183 839562812 /nfs/dbraw/zinc/56/28/12/839562812.db2.gz TYKQTPAJLXQITP-UHFFFAOYSA-N -1 1 332.364 -0.361 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NC/C=C/C2CC2)o1 ZINC001324370931 839639798 /nfs/dbraw/zinc/63/97/98/839639798.db2.gz KGCMGCBCTFSCCK-OWOJBTEDSA-N -1 1 327.362 -0.261 20 0 EBADMM COCCO[C@H]1C[C@@H](CO)CN(Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC001324747090 839709306 /nfs/dbraw/zinc/70/93/06/839709306.db2.gz WXRVPCZNBDFFCE-OCCSQVGLSA-N -1 1 336.392 -0.132 20 0 EBADMM CCN(C)C(=O)[C@@H](C)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001324877926 839729480 /nfs/dbraw/zinc/72/94/80/839729480.db2.gz IODHBMFBSPSPBG-SECBINFHSA-N -1 1 332.364 -0.737 20 0 EBADMM CC[C@@H]1CCO[C@@H]1C(=O)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001326043039 840013779 /nfs/dbraw/zinc/01/37/79/840013779.db2.gz YICMZXSMDRUPRT-PWSUYJOCSA-N -1 1 327.385 -0.764 20 0 EBADMM Cn1cc(C(=O)N2CC[C@H](CCNCc3n[nH]c(=O)[n-]3)C2)[nH]c1=O ZINC001326353493 840090903 /nfs/dbraw/zinc/09/09/03/840090903.db2.gz QQDOGALXZKYFMO-VIFPVBQESA-N -1 1 335.368 -0.409 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)Cc1ccccc1CNC(=O)CC(N)=O ZINC001326449258 840109532 /nfs/dbraw/zinc/10/95/32/840109532.db2.gz ILPMNODKIFEIPZ-UHFFFAOYSA-N -1 1 346.391 -0.768 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CC4(C3)CCOCC4)ccnc1-2 ZINC001327838495 840529410 /nfs/dbraw/zinc/52/94/10/840529410.db2.gz NJUSVEFOFXZBCW-UHFFFAOYSA-N -1 1 329.360 -0.081 20 0 EBADMM C[C@H](CNC(=O)c1ccc2nc[nH]c2n1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001329471259 840933297 /nfs/dbraw/zinc/93/32/97/840933297.db2.gz LLRNZDVOVDFYJC-SECBINFHSA-N -1 1 344.379 -0.370 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCC4(CC3)OCCO4)ccnc1-2 ZINC001329981430 841080080 /nfs/dbraw/zinc/08/00/80/841080080.db2.gz PPPBLEFRBOJSJT-UHFFFAOYSA-N -1 1 345.359 -0.354 20 0 EBADMM COC(=O)[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1ccccn1 ZINC001330818046 841277389 /nfs/dbraw/zinc/27/73/89/841277389.db2.gz RHUQTNNXBXHFQP-GFCCVEGCSA-N -1 1 332.316 -0.648 20 0 EBADMM Cc1cnc2nc(C(=O)N(C)C[C@@H](C)NCc3n[nH]c(=O)[n-]3)nn2c1 ZINC001331476685 841414692 /nfs/dbraw/zinc/41/46/92/841414692.db2.gz WRRDYIOAKSHFNG-SECBINFHSA-N -1 1 345.367 -0.493 20 0 EBADMM COCCOc1ncccc1C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001334137542 842001464 /nfs/dbraw/zinc/00/14/64/842001464.db2.gz LITZNLMYOTXCCH-UHFFFAOYSA-N -1 1 348.363 -0.256 20 0 EBADMM C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C1CN(C(=O)[C@H]2C[C@@H]2C)C1 ZINC001335068698 842183209 /nfs/dbraw/zinc/18/32/09/842183209.db2.gz FXDJHGLDMVTFCM-LOWVWBTDSA-N -1 1 348.403 -0.454 20 0 EBADMM CO[N-]C(=O)CNC(=O)C(=O)N1CCC[N@@H+](Cc2ccccc2)CC1 ZINC001335141521 842206328 /nfs/dbraw/zinc/20/63/28/842206328.db2.gz BLNDEUUYGAWSJM-UHFFFAOYSA-N -1 1 348.403 -0.485 20 0 EBADMM CO[N-]C(=O)CNC(=O)C(=O)N1CCCN(Cc2ccccc2)CC1 ZINC001335141521 842206338 /nfs/dbraw/zinc/20/63/38/842206338.db2.gz BLNDEUUYGAWSJM-UHFFFAOYSA-N -1 1 348.403 -0.485 20 0 EBADMM COCC[C@@H]1CNCCN1C(=O)[C@@H]1CCCN(CC(=O)[O-])C1=O ZINC001335198319 842221891 /nfs/dbraw/zinc/22/18/91/842221891.db2.gz IQADXWUYTJWADR-VXGBXAGGSA-N -1 1 327.381 -0.854 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CCCc2c1cnn2CCO ZINC001335935996 842373990 /nfs/dbraw/zinc/37/39/90/842373990.db2.gz WRKGFRUXVFGCMH-LBPRGKRZSA-N -1 1 347.375 -0.691 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2CCO[C@@H](CC(F)(F)F)C2)CNCCO1 ZINC001342176070 843146527 /nfs/dbraw/zinc/14/65/27/843146527.db2.gz NHHOCRJYRLZFQN-KWQFWETISA-N -1 1 326.271 -0.391 20 0 EBADMM COc1cc(C(=O)OCCc2c(C)nc3nc[n-]n3c2=O)nn1C ZINC001342589748 843170863 /nfs/dbraw/zinc/17/08/63/843170863.db2.gz GAYKDGNMRNHFSY-UHFFFAOYSA-N -1 1 332.320 -0.132 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCC(CCO)CC3)ccnc1-2 ZINC001346509263 843524330 /nfs/dbraw/zinc/52/43/30/843524330.db2.gz VMEOZSQGKUUCAE-UHFFFAOYSA-N -1 1 331.376 -0.099 20 0 EBADMM O=C(NCCNC(=O)c1ncccc1[O-])c1cnn2ccncc12 ZINC001348838498 843755595 /nfs/dbraw/zinc/75/55/95/843755595.db2.gz GTUYJYUSERYFHY-UHFFFAOYSA-N -1 1 326.316 -0.010 20 0 EBADMM Cc1ccn(C)c1C(=O)NCCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001348858938 843758599 /nfs/dbraw/zinc/75/85/99/843758599.db2.gz JQVOYBKBRHEKNR-UHFFFAOYSA-N -1 1 343.347 -0.776 20 0 EBADMM Cc1cc(C(=O)NCCCNC(=O)CCn2cc[n-]c(=O)c2=O)no1 ZINC001349667150 843925792 /nfs/dbraw/zinc/92/57/92/843925792.db2.gz JPGJCZJGADHJIQ-UHFFFAOYSA-N -1 1 349.347 -0.841 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N1CC[C@H](Nc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001573472623 946066203 /nfs/dbraw/zinc/06/62/03/946066203.db2.gz WOANKWSWKSHOJZ-SCZZXKLOSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N1CC[C@H](Nc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001573472623 946066218 /nfs/dbraw/zinc/06/62/18/946066218.db2.gz WOANKWSWKSHOJZ-SCZZXKLOSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@@H](C)CCNc1cncc(-c2nnn[n-]2)n1 ZINC001573474861 946094640 /nfs/dbraw/zinc/09/46/40/946094640.db2.gz OMGUCHPWSCAXMX-IUCAKERBSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@@H](C)CCNc1cncc(-c2nn[n-]n2)n1 ZINC001573474861 946094652 /nfs/dbraw/zinc/09/46/52/946094652.db2.gz OMGUCHPWSCAXMX-IUCAKERBSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@@H](C)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001573477321 946132270 /nfs/dbraw/zinc/13/22/70/946132270.db2.gz OOZCJHJXEWOZIK-BDAKNGLRSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@@H](C)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001573477321 946132279 /nfs/dbraw/zinc/13/22/79/946132279.db2.gz OOZCJHJXEWOZIK-BDAKNGLRSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@@H](C)CN(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001573477613 946140922 /nfs/dbraw/zinc/14/09/22/946140922.db2.gz SSENPEQWQAMCGE-IUCAKERBSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@@H](C)CN(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001573477613 946140926 /nfs/dbraw/zinc/14/09/26/946140926.db2.gz SSENPEQWQAMCGE-IUCAKERBSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)NCC(=O)N(C)[C@H](C)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001573497551 946351757 /nfs/dbraw/zinc/35/17/57/946351757.db2.gz SDILNMPQZBACMC-MRVPVSSYSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)NCC(=O)N(C)[C@H](C)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001573497551 946351765 /nfs/dbraw/zinc/35/17/65/946351765.db2.gz SDILNMPQZBACMC-MRVPVSSYSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)NC1CCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)CC1 ZINC001573497669 946354546 /nfs/dbraw/zinc/35/45/46/946354546.db2.gz UVNJDSOSUTUFOJ-UHFFFAOYSA-N -1 1 333.356 -0.267 20 0 EBADMM CC(=O)NC1CCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)CC1 ZINC001573497669 946354552 /nfs/dbraw/zinc/35/45/52/946354552.db2.gz UVNJDSOSUTUFOJ-UHFFFAOYSA-N -1 1 333.356 -0.267 20 0 EBADMM CC(=O)NCC(=O)N(C)CCCN(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001573499964 946370763 /nfs/dbraw/zinc/37/07/63/946370763.db2.gz HNUWCPNYZMTCDT-UHFFFAOYSA-N -1 1 347.383 -0.923 20 0 EBADMM CC(=O)NCC(=O)N(C)CCCN(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001573499964 946370777 /nfs/dbraw/zinc/37/07/77/946370777.db2.gz HNUWCPNYZMTCDT-UHFFFAOYSA-N -1 1 347.383 -0.923 20 0 EBADMM CC(=O)NCC(=O)N1C[C@@H](Nc2cnc(-c3nnn[n-]3)cn2)C[C@@H]1C ZINC001573501024 946377607 /nfs/dbraw/zinc/37/76/07/946377607.db2.gz NGIWQTQOSUUAFT-WPRPVWTQSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N1C[C@@H](Nc2cnc(-c3nn[n-]n3)cn2)C[C@@H]1C ZINC001573501024 946377618 /nfs/dbraw/zinc/37/76/18/946377618.db2.gz NGIWQTQOSUUAFT-WPRPVWTQSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@H](Nc2cncc(-c3nnn[n-]3)n2)[C@H]1C ZINC001573503831 946398670 /nfs/dbraw/zinc/39/86/70/946398670.db2.gz BVXZNWZRJMBTQX-SCZZXKLOSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@H](Nc2cncc(-c3nn[n-]n3)n2)[C@H]1C ZINC001573503831 946398677 /nfs/dbraw/zinc/39/86/77/946398677.db2.gz BVXZNWZRJMBTQX-SCZZXKLOSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N1CCC[C@@H]1CNc1cnc(-c2nnn[n-]2)cn1 ZINC001573505622 946421078 /nfs/dbraw/zinc/42/10/78/946421078.db2.gz MAXVEEVQYTVQHG-SNVBAGLBSA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)NCC(=O)N1CCC[C@@H]1CNc1cnc(-c2nn[n-]n2)cn1 ZINC001573505622 946421083 /nfs/dbraw/zinc/42/10/83/946421083.db2.gz MAXVEEVQYTVQHG-SNVBAGLBSA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)N[C@H](C(=O)NCCn1cnc(-c2nn[n-]n2)n1)C(C)(C)C ZINC001573541557 946785234 /nfs/dbraw/zinc/78/52/34/946785234.db2.gz RMTQZMZICQRUFD-SECBINFHSA-N -1 1 335.372 -0.875 20 0 EBADMM CC(=O)c1cccc(CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)c1 ZINC001573555484 946911658 /nfs/dbraw/zinc/91/16/58/946911658.db2.gz NIVCHOZHCLYRLQ-UHFFFAOYSA-N -1 1 326.320 -0.023 20 0 EBADMM C[C@@H](C(=O)N(C)CCNc1nccnc1-c1nnn[n-]1)n1cccn1 ZINC001573603159 947479570 /nfs/dbraw/zinc/47/95/70/947479570.db2.gz CYHAZOOXCMEARF-JTQLQIEISA-N -1 1 342.367 -0.015 20 0 EBADMM C[C@@H](C(=O)N(C)CCNc1nccnc1-c1nn[n-]n1)n1cccn1 ZINC001573603159 947479579 /nfs/dbraw/zinc/47/95/79/947479579.db2.gz CYHAZOOXCMEARF-JTQLQIEISA-N -1 1 342.367 -0.015 20 0 EBADMM C[C@@H](C(=O)N(C)CCNc1nccnc1-c1nnn[n-]1)n1cncn1 ZINC001573605686 947531782 /nfs/dbraw/zinc/53/17/82/947531782.db2.gz YKCBDHKNMOFJRB-VIFPVBQESA-N -1 1 343.355 -0.620 20 0 EBADMM C[C@@H](C(=O)N(C)CCNc1nccnc1-c1nn[n-]n1)n1cncn1 ZINC001573605686 947531791 /nfs/dbraw/zinc/53/17/91/947531791.db2.gz YKCBDHKNMOFJRB-VIFPVBQESA-N -1 1 343.355 -0.620 20 0 EBADMM C[C@H](C(=O)N1CCC(F)(F)[C@@H](CO)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573618866 947656075 /nfs/dbraw/zinc/65/60/75/947656075.db2.gz SZIKPCABMZWMRG-HTQZYQBOSA-N -1 1 342.310 -0.505 20 0 EBADMM C[C@H](C(=O)N1CC[C@@H](c2nncn2C)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573624240 947695221 /nfs/dbraw/zinc/69/52/21/947695221.db2.gz JQSAFOMCLNRONL-RKDXNWHRSA-N -1 1 343.355 -0.836 20 0 EBADMM C[C@H](C(=O)N1CC[C@@]2(CCOC2=O)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573629270 947777292 /nfs/dbraw/zinc/77/72/92/947777292.db2.gz NEERUOXLWMASKM-AMIZOPFISA-N -1 1 332.324 -0.815 20 0 EBADMM C[C@H](C(=O)N1C[C@@H]2C[C@H](O)CC[C@@H]2C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573651725 947923918 /nfs/dbraw/zinc/92/39/18/947923918.db2.gz PYPUXNHRCJHGQF-CHWFTXMASA-N -1 1 332.368 -0.361 20 0 EBADMM CC(=O)N(C)CC(=O)N1CC[C@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001573392092 947942494 /nfs/dbraw/zinc/94/24/94/947942494.db2.gz RRXPIRHWKQXKJD-JTQLQIEISA-N -1 1 345.367 -0.852 20 0 EBADMM CC(=O)N(C)CC(=O)N1CC[C@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001573392092 947942501 /nfs/dbraw/zinc/94/25/01/947942501.db2.gz RRXPIRHWKQXKJD-JTQLQIEISA-N -1 1 345.367 -0.852 20 0 EBADMM CC(=O)N(C)CC(=O)NCC[C@H](C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001573405788 948048545 /nfs/dbraw/zinc/04/85/45/948048545.db2.gz XFSKBHRRBDJUDF-VIFPVBQESA-N -1 1 347.383 -0.558 20 0 EBADMM CC(=O)N(C)CC(=O)NCC[C@H](C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001573405788 948048549 /nfs/dbraw/zinc/04/85/49/948048549.db2.gz XFSKBHRRBDJUDF-VIFPVBQESA-N -1 1 347.383 -0.558 20 0 EBADMM CC(=O)N(C)C[C@@H](O)CN(C)c1snc(C)c1-c1nn[n-]n1 ZINC001573408191 948062973 /nfs/dbraw/zinc/06/29/73/948062973.db2.gz DEBYAYMYKHQIGZ-SECBINFHSA-N -1 1 325.398 -0.093 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CC[C@@H]([S@](C)=O)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573665101 948066902 /nfs/dbraw/zinc/06/69/02/948066902.db2.gz IVBWKKWMLTVSRZ-YNIYPNCHSA-N -1 1 338.397 -0.565 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CC[C@@H]([S@@](C)=O)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573665100 948067737 /nfs/dbraw/zinc/06/77/37/948067737.db2.gz IVBWKKWMLTVSRZ-WIXAHZIOSA-N -1 1 338.397 -0.565 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CCCn2nccc21)n1cnc(-c2nn[n-]n2)n1 ZINC001573666470 948086846 /nfs/dbraw/zinc/08/68/46/948086846.db2.gz TXZZRDWGKGRFPS-RKDXNWHRSA-N -1 1 328.340 -0.133 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@H](C)CNc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001573425791 948153379 /nfs/dbraw/zinc/15/33/79/948153379.db2.gz DCTBGVSZYVWMER-MRVPVSSYSA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@H](C)CNc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001573425791 948153387 /nfs/dbraw/zinc/15/33/87/948153387.db2.gz DCTBGVSZYVWMER-MRVPVSSYSA-N -1 1 345.367 -0.948 20 0 EBADMM C[C@@H](C(=O)NC[C@H](CO)Nc1ccc(-c2nnn[n-]2)nn1)C1CC1 ZINC001573681554 948207837 /nfs/dbraw/zinc/20/78/37/948207837.db2.gz WJCHIOMGYJNDQV-PSASIEDQSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@@H](C(=O)NC[C@H](CO)Nc1ccc(-c2nn[n-]n2)nn1)C1CC1 ZINC001573681554 948207845 /nfs/dbraw/zinc/20/78/45/948207845.db2.gz WJCHIOMGYJNDQV-PSASIEDQSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@@H](C(=O)NC[C@@H](O)CNc1cncc(-c2nnn[n-]2)n1)C1CC1 ZINC001573692366 948262184 /nfs/dbraw/zinc/26/21/84/948262184.db2.gz OFUBPTGLKQSROR-SCZZXKLOSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@@H](C(=O)NC[C@@H](O)CNc1cncc(-c2nn[n-]n2)n1)C1CC1 ZINC001573692366 948262189 /nfs/dbraw/zinc/26/21/89/948262189.db2.gz OFUBPTGLKQSROR-SCZZXKLOSA-N -1 1 332.368 -0.408 20 0 EBADMM CC(=O)N1CCC[C@](CO)(Nc2nc(C)cc(-c3nnn[n-]3)n2)C1 ZINC001573444645 948317276 /nfs/dbraw/zinc/31/72/76/948317276.db2.gz VEHHWCFDECWTEH-AWEZNQCLSA-N -1 1 332.368 -0.250 20 0 EBADMM CC(=O)N1CCC[C@](CO)(Nc2nc(C)cc(-c3nn[n-]n3)n2)C1 ZINC001573444645 948317285 /nfs/dbraw/zinc/31/72/85/948317285.db2.gz VEHHWCFDECWTEH-AWEZNQCLSA-N -1 1 332.368 -0.250 20 0 EBADMM C[C@@H](C(=O)NCC1CC2(C1)OCCO2)n1cnc(-c2nn[n-]n2)n1 ZINC001573703560 948354562 /nfs/dbraw/zinc/35/45/62/948354562.db2.gz KXGBLLVTIAIXEB-QMMMGPOBSA-N -1 1 334.340 -0.712 20 0 EBADMM C[C@@H](C(=O)NCCn1cnc(-c2nn[n-]n2)n1)C(=O)OC(C)(C)C ZINC001573719927 948528156 /nfs/dbraw/zinc/52/81/56/948528156.db2.gz YPAKVMFURSFWBW-QMMMGPOBSA-N -1 1 336.356 -0.448 20 0 EBADMM C[C@@H](C(=O)NCc1cc2n(n1)CCCC2)n1cnc(-c2nn[n-]n2)n1 ZINC001573730547 948582977 /nfs/dbraw/zinc/58/29/77/948582977.db2.gz RAAHBCGRTCTEKS-VIFPVBQESA-N -1 1 342.367 -0.132 20 0 EBADMM O=C(N[C@@H]1CCN(c2ccnc(-c3nn[n-]n3)n2)C1)c1ccn[nH]1 ZINC001570925765 948674909 /nfs/dbraw/zinc/67/49/09/948674909.db2.gz PVVCXDPFYSKQNT-MRVPVSSYSA-N -1 1 326.324 -0.612 20 0 EBADMM O=C(N[C@@H]1CCN(c2cncc(-c3nnn[n-]3)n2)C1)c1cn[nH]c1 ZINC001570926082 948682019 /nfs/dbraw/zinc/68/20/19/948682019.db2.gz UYVKQNANAFOIBU-SECBINFHSA-N -1 1 326.324 -0.612 20 0 EBADMM O=C(N[C@@H]1CCN(c2cncc(-c3nn[n-]n3)n2)C1)c1cn[nH]c1 ZINC001570926082 948682022 /nfs/dbraw/zinc/68/20/22/948682022.db2.gz UYVKQNANAFOIBU-SECBINFHSA-N -1 1 326.324 -0.612 20 0 EBADMM O=C(N[C@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C1)c1cc[nH]c1 ZINC001570926175 948682956 /nfs/dbraw/zinc/68/29/56/948682956.db2.gz XWLREYXWORRXOC-JTQLQIEISA-N -1 1 325.336 -0.007 20 0 EBADMM O=C(N[C@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C1)c1cc[nH]c1 ZINC001570926175 948682962 /nfs/dbraw/zinc/68/29/62/948682962.db2.gz XWLREYXWORRXOC-JTQLQIEISA-N -1 1 325.336 -0.007 20 0 EBADMM O=C([C@@H]1CCOC1)N1CCN(c2nccnc2-c2nnn[n-]2)CC1 ZINC001570927733 948718107 /nfs/dbraw/zinc/71/81/07/948718107.db2.gz KATUPBVUFNIROC-SNVBAGLBSA-N -1 1 330.352 -0.658 20 0 EBADMM O=C([C@@H]1CCOC1)N1CCN(c2nccnc2-c2nn[n-]n2)CC1 ZINC001570927733 948718111 /nfs/dbraw/zinc/71/81/11/948718111.db2.gz KATUPBVUFNIROC-SNVBAGLBSA-N -1 1 330.352 -0.658 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NCC2CC(F)(F)C2)n(C)c1=O ZINC001570927767 948718228 /nfs/dbraw/zinc/71/82/28/948718228.db2.gz RGKNYUWYIWRVSB-UHFFFAOYSA-N -1 1 327.295 -0.279 20 0 EBADMM C[C@H](C(=O)Nc1cnc(C(N)=O)s1)n1cnc(-c2nn[n-]n2)n1 ZINC001573753971 948722950 /nfs/dbraw/zinc/72/29/50/948722950.db2.gz AMBVWAWZIREBHL-SCSAIBSYSA-N -1 1 334.325 -0.787 20 0 EBADMM C[C@H](Cc1cnn(C)c1)NC(=O)[C@H](C)n1cnc(-c2nn[n-]n2)n1 ZINC001570928657 948737540 /nfs/dbraw/zinc/73/75/40/948737540.db2.gz UDVQSBQMGMFFFI-BDAKNGLRSA-N -1 1 330.356 -0.500 20 0 EBADMM NC(=O)CN(C(=O)c1cccc(-c2nnn[n-]2)n1)C1CCOCC1 ZINC001570929034 948749470 /nfs/dbraw/zinc/74/94/70/948749470.db2.gz FTCULIUOCKOZQL-UHFFFAOYSA-N -1 1 331.336 -0.632 20 0 EBADMM NC(=O)CN(C(=O)c1cccc(-c2nn[n-]n2)n1)C1CCOCC1 ZINC001570929034 948749480 /nfs/dbraw/zinc/74/94/80/948749480.db2.gz FTCULIUOCKOZQL-UHFFFAOYSA-N -1 1 331.336 -0.632 20 0 EBADMM COc1ccc(CNc2[nH]c(=O)n(C)c(=O)c2-c2nn[n-]n2)nn1 ZINC001570929095 948753945 /nfs/dbraw/zinc/75/39/45/948753945.db2.gz GUUOFLKFQUREMW-UHFFFAOYSA-N -1 1 331.296 -0.923 20 0 EBADMM CC(C)[C@@H](Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[n-]n1)c1nncn1C ZINC001574080350 948790452 /nfs/dbraw/zinc/79/04/52/948790452.db2.gz PQXRMXGZOMQVAX-MRVPVSSYSA-N -1 1 346.355 -0.396 20 0 EBADMM O=C(Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)N[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC001570930000 948793873 /nfs/dbraw/zinc/79/38/73/948793873.db2.gz XZVJIGKHLJSIHH-IWSPIJDZSA-N -1 1 331.336 -0.566 20 0 EBADMM C[C@@H]1CCCC[C@H]1NC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570930095 948797368 /nfs/dbraw/zinc/79/73/68/948797368.db2.gz BPUWVOUKNDJVCV-PSASIEDQSA-N -1 1 333.352 -0.176 20 0 EBADMM C[C@@H](C(=O)Nc1ccn2nccc2n1)n1cnc(-c2nn[n-]n2)n1 ZINC001573756307 948810783 /nfs/dbraw/zinc/81/07/83/948810783.db2.gz YVUWZXBKNICAFA-ZETCQYMHSA-N -1 1 325.296 -0.299 20 0 EBADMM CO[C@@H](C)C(=O)N1CC[C@H](N(C)c2cncc(-c3nnn[n-]3)n2)C1 ZINC001570930676 948823532 /nfs/dbraw/zinc/82/35/32/948823532.db2.gz OJOFICOEMDTUFJ-UWVGGRQHSA-N -1 1 332.368 -0.271 20 0 EBADMM CO[C@@H](C)C(=O)N1CC[C@H](N(C)c2cncc(-c3nn[n-]n3)n2)C1 ZINC001570930676 948823551 /nfs/dbraw/zinc/82/35/51/948823551.db2.gz OJOFICOEMDTUFJ-UWVGGRQHSA-N -1 1 332.368 -0.271 20 0 EBADMM CC(F)(F)CC(=O)NC[C@@H](CO)Nc1nccnc1-c1nnn[n-]1 ZINC001575234106 948896310 /nfs/dbraw/zinc/89/63/10/948896310.db2.gz ONWWXJCUWLUKBK-ZETCQYMHSA-N -1 1 342.310 -0.409 20 0 EBADMM CC(F)(F)CC(=O)NC[C@@H](CO)Nc1nccnc1-c1nn[n-]n1 ZINC001575234106 948896318 /nfs/dbraw/zinc/89/63/18/948896318.db2.gz ONWWXJCUWLUKBK-ZETCQYMHSA-N -1 1 342.310 -0.409 20 0 EBADMM CC(C)[C@]1(C)C[C@@H]1C(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001574087731 948900553 /nfs/dbraw/zinc/90/05/53/948900553.db2.gz AERVUMOEARNYLQ-BMIGLBTASA-N -1 1 347.379 -0.073 20 0 EBADMM O=C(C[C@H]1CCS(=O)(=O)C1)NCc1ccnc(-c2nnn[n-]2)c1 ZINC001570933903 948913148 /nfs/dbraw/zinc/91/31/48/948913148.db2.gz DWMBVFXUZRBGDF-SNVBAGLBSA-N -1 1 336.377 -0.297 20 0 EBADMM O=C(C[C@H]1CCS(=O)(=O)C1)NCc1ccnc(-c2nn[n-]n2)c1 ZINC001570933903 948913150 /nfs/dbraw/zinc/91/31/50/948913150.db2.gz DWMBVFXUZRBGDF-SNVBAGLBSA-N -1 1 336.377 -0.297 20 0 EBADMM COC(=O)[C@@H]1CC[C@H](NC(=O)[C@H](C)n2cnc(-c3nn[n-]n3)n2)C1 ZINC001570934770 948951266 /nfs/dbraw/zinc/95/12/66/948951266.db2.gz NKIZTRRONDYQSP-YIZRAAEISA-N -1 1 334.340 -0.523 20 0 EBADMM CCC[C@@H](OC)C(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570934881 948957763 /nfs/dbraw/zinc/95/77/63/948957763.db2.gz NGIQWZDAVBSUCU-SECBINFHSA-N -1 1 337.340 -0.940 20 0 EBADMM CC(C)(C(=O)NC[C@H](O)CNc1ccc(-c2nnn[n-]2)nn1)C1CC1 ZINC001573773726 948992121 /nfs/dbraw/zinc/99/21/21/948992121.db2.gz FTTSTQDDRDKIEM-SNVBAGLBSA-N -1 1 346.395 -0.018 20 0 EBADMM CC(C)(C(=O)NC[C@H](O)CNc1ccc(-c2nn[n-]n2)nn1)C1CC1 ZINC001573773726 948992133 /nfs/dbraw/zinc/99/21/33/948992133.db2.gz FTTSTQDDRDKIEM-SNVBAGLBSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](CNC(=O)CN1CCCC1=O)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574926378 948998298 /nfs/dbraw/zinc/99/82/98/948998298.db2.gz OVNPXJKBZZIWSJ-SECBINFHSA-N -1 1 345.367 -0.804 20 0 EBADMM C[C@H](CNC(=O)CN1CCCC1=O)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574926378 948998305 /nfs/dbraw/zinc/99/83/05/948998305.db2.gz OVNPXJKBZZIWSJ-SECBINFHSA-N -1 1 345.367 -0.804 20 0 EBADMM Cc1n[nH]cc1C(=O)N[C@@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C1 ZINC001570937515 949078742 /nfs/dbraw/zinc/07/87/42/949078742.db2.gz FIRUDBBZPKOLNR-SECBINFHSA-N -1 1 340.351 -0.303 20 0 EBADMM Cc1n[nH]cc1C(=O)N[C@@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C1 ZINC001570937515 949078762 /nfs/dbraw/zinc/07/87/62/949078762.db2.gz FIRUDBBZPKOLNR-SECBINFHSA-N -1 1 340.351 -0.303 20 0 EBADMM O=C(NC[C@H]1CCCN1c1cncc(-c2nnn[n-]2)n1)c1cn[nH]c1 ZINC001570937787 949083112 /nfs/dbraw/zinc/08/31/12/949083112.db2.gz JLVXQJZCEMGJAA-SNVBAGLBSA-N -1 1 340.351 -0.221 20 0 EBADMM O=C(NC[C@H]1CCCN1c1cncc(-c2nn[n-]n2)n1)c1cn[nH]c1 ZINC001570937787 949083129 /nfs/dbraw/zinc/08/31/29/949083129.db2.gz JLVXQJZCEMGJAA-SNVBAGLBSA-N -1 1 340.351 -0.221 20 0 EBADMM CN(C(=O)c1cn[nH]c1)[C@@H]1CCN(c2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570937636 949083792 /nfs/dbraw/zinc/08/37/92/949083792.db2.gz HPBQHCLMGBKYGJ-SNVBAGLBSA-N -1 1 340.351 -0.269 20 0 EBADMM O=C(Cc1cc[nH]n1)N1CC[C@@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570938377 949100384 /nfs/dbraw/zinc/10/03/84/949100384.db2.gz RKEOOBOUHKIFGK-SNVBAGLBSA-N -1 1 340.351 -0.943 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)c1cnnn1C ZINC001574696037 949122811 /nfs/dbraw/zinc/12/28/11/949122811.db2.gz MEMSTUIUBYCUHW-QMMMGPOBSA-N -1 1 343.355 -0.594 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)c1cnnn1C ZINC001574696037 949122825 /nfs/dbraw/zinc/12/28/25/949122825.db2.gz MEMSTUIUBYCUHW-QMMMGPOBSA-N -1 1 343.355 -0.594 20 0 EBADMM O=C(c1cnon1)N1CCC[C@@H]1CNc1cnc(-c2nnn[n-]2)cn1 ZINC001570939245 949135858 /nfs/dbraw/zinc/13/58/58/949135858.db2.gz LWWKBHPVODRPPI-MRVPVSSYSA-N -1 1 342.323 -0.244 20 0 EBADMM O=C(c1cnon1)N1CCC[C@@H]1CNc1cnc(-c2nn[n-]n2)cn1 ZINC001570939245 949135868 /nfs/dbraw/zinc/13/58/68/949135868.db2.gz LWWKBHPVODRPPI-MRVPVSSYSA-N -1 1 342.323 -0.244 20 0 EBADMM CC(=O)NCc1nc(CNc2ccc(-c3nn[n-]n3)nn2)cc(=O)[nH]1 ZINC001570939334 949139340 /nfs/dbraw/zinc/13/93/40/949139340.db2.gz NHSGWZSOUMYNFJ-UHFFFAOYSA-N -1 1 342.323 -0.600 20 0 EBADMM O=C(N[C@H]1C[C@H](Nc2ccnc(-c3nn[n-]n3)n2)C1)[C@]12C[C@H]1COC2 ZINC001570939625 949148833 /nfs/dbraw/zinc/14/88/33/949148833.db2.gz FAPMATBMFWGXNE-WSHGPQCASA-N -1 1 342.363 -0.826 20 0 EBADMM C[C@@H]1[C@@H](Nc2ccnc(-c3nn[n-]n3)n2)CCN1C(=O)c1cnon1 ZINC001570939979 949160835 /nfs/dbraw/zinc/16/08/35/949160835.db2.gz SHTCBNZAAMMXDD-SFYZADRCSA-N -1 1 342.323 -0.823 20 0 EBADMM O=C(N[C@H]1CCN(c2ccnc(-c3nn[n-]n3)n2)C1)C1=CCOCC1 ZINC001570940281 949173825 /nfs/dbraw/zinc/17/38/25/949173825.db2.gz LKFQTMVSSSPEKY-NSHDSACASA-N -1 1 342.363 -0.302 20 0 EBADMM CC(C)CC(=O)N(C)C[C@H](O)CN(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001574099898 949187329 /nfs/dbraw/zinc/18/73/29/949187329.db2.gz PXCWICJCVYYDHI-LLVKDONJSA-N -1 1 348.411 -0.042 20 0 EBADMM CC(C)CC(=O)N(C)C[C@H](O)CN(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001574099898 949187339 /nfs/dbraw/zinc/18/73/39/949187339.db2.gz PXCWICJCVYYDHI-LLVKDONJSA-N -1 1 348.411 -0.042 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H](C)CC(N)=O)Nc1nccnc1-c1nnn[n-]1 ZINC001574699459 949236997 /nfs/dbraw/zinc/23/69/97/949236997.db2.gz VBBGSFDEFPOFNW-IUCAKERBSA-N -1 1 347.383 -0.573 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H](C)CC(N)=O)Nc1nccnc1-c1nn[n-]n1 ZINC001574699459 949237025 /nfs/dbraw/zinc/23/70/25/949237025.db2.gz VBBGSFDEFPOFNW-IUCAKERBSA-N -1 1 347.383 -0.573 20 0 EBADMM C[C@H](CNC(=O)Cc1ccon1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001574941786 949319373 /nfs/dbraw/zinc/31/93/73/949319373.db2.gz HHZPRWPIOATMMZ-VIFPVBQESA-N -1 1 343.351 -0.527 20 0 EBADMM CC(C)(C)C(=O)N1CCO[C@@H](CNc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001573796923 949341937 /nfs/dbraw/zinc/34/19/37/949341937.db2.gz XFZGNQVIKMQNLL-JTQLQIEISA-N -1 1 346.395 -0.236 20 0 EBADMM C[C@@H](CNC(=O)Cc1cc[nH]n1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001574942450 949357767 /nfs/dbraw/zinc/35/77/67/949357767.db2.gz OPDNZIBSXBHAQP-VIFPVBQESA-N -1 1 342.367 -0.437 20 0 EBADMM C[C@@H](CNC(=O)Cc1cc[nH]n1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001574942450 949357784 /nfs/dbraw/zinc/35/77/84/949357784.db2.gz OPDNZIBSXBHAQP-VIFPVBQESA-N -1 1 342.367 -0.437 20 0 EBADMM C[C@@H](CNC(=O)Cc1ccon1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001574942490 949361887 /nfs/dbraw/zinc/36/18/87/949361887.db2.gz POZKIFGYJOTKBO-VIFPVBQESA-N -1 1 343.351 -0.172 20 0 EBADMM C[C@@H](CNC(=O)Cc1ccon1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001574942490 949361909 /nfs/dbraw/zinc/36/19/09/949361909.db2.gz POZKIFGYJOTKBO-VIFPVBQESA-N -1 1 343.351 -0.172 20 0 EBADMM C[C@@H](CNC(=O)Cc1ccon1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001574942693 949364512 /nfs/dbraw/zinc/36/45/12/949364512.db2.gz REJQFYPXOAQDDX-VIFPVBQESA-N -1 1 343.351 -0.172 20 0 EBADMM C[C@H](CNC(=O)Cc1cc[nH]n1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001574942689 949365032 /nfs/dbraw/zinc/36/50/32/949365032.db2.gz RCQJKADHPKZEHN-VIFPVBQESA-N -1 1 342.367 -0.791 20 0 EBADMM C[C@H](CN(C)C(=O)C1=COCCO1)Nc1nccnc1-c1nnn[n-]1 ZINC001574705124 949417925 /nfs/dbraw/zinc/41/79/25/949417925.db2.gz RLUYLJAPYSDPEA-SECBINFHSA-N -1 1 346.351 -0.196 20 0 EBADMM C[C@H](CN(C)C(=O)C1=COCCO1)Nc1nccnc1-c1nn[n-]n1 ZINC001574705124 949417937 /nfs/dbraw/zinc/41/79/37/949417937.db2.gz RLUYLJAPYSDPEA-SECBINFHSA-N -1 1 346.351 -0.196 20 0 EBADMM CC(C)(C)C(=O)NC[C@]1(O)CCN(c2ccnc(-c3nn[n-]n3)n2)C1 ZINC001573802557 949419651 /nfs/dbraw/zinc/41/96/51/949419651.db2.gz IINWLDGJZRJCQH-OAHLLOKOSA-N -1 1 346.395 -0.240 20 0 EBADMM O=C(NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)[C@@H]1C[C@H]1C1CCC1 ZINC001570944683 949436002 /nfs/dbraw/zinc/43/60/02/949436002.db2.gz CZJFVFRBEYFKQO-VHSXEESVSA-N -1 1 345.363 -0.319 20 0 EBADMM C[C@@H](NC(=O)C1CCOCC1)[C@H](C)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575266259 949471042 /nfs/dbraw/zinc/47/10/42/949471042.db2.gz YBRIUQXTLFHXOR-VHSXEESVSA-N -1 1 346.395 -0.190 20 0 EBADMM O=C(CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)N[C@H]1CCC[C@@H]2C[C@@H]21 ZINC001570945355 949475435 /nfs/dbraw/zinc/47/54/35/949475435.db2.gz WVUSPOIUFBVJCZ-YWVKMMECSA-N -1 1 345.363 -0.176 20 0 EBADMM NC(=O)N1CCC[C@@H](CNC(=O)Cc2ccc(-c3nnn[n-]3)nc2)C1 ZINC001570945522 949482390 /nfs/dbraw/zinc/48/23/90/949482390.db2.gz CHBGUJIYYQEZKV-NSHDSACASA-N -1 1 344.379 -0.289 20 0 EBADMM NC(=O)N1CCC[C@@H](CNC(=O)Cc2ccc(-c3nn[n-]n3)nc2)C1 ZINC001570945522 949482408 /nfs/dbraw/zinc/48/24/08/949482408.db2.gz CHBGUJIYYQEZKV-NSHDSACASA-N -1 1 344.379 -0.289 20 0 EBADMM C[C@H]1CCC[C@@H]1NC(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001576158464 949496446 /nfs/dbraw/zinc/49/64/46/949496446.db2.gz SUKHVYYOKKWOPZ-WPRPVWTQSA-N -1 1 333.352 -0.176 20 0 EBADMM CCn1cc(-c2nn[n-]n2)c(=O)n(Cc2nc(CC3CC3)no2)c1=O ZINC001570945869 949509180 /nfs/dbraw/zinc/50/91/80/949509180.db2.gz JWDNZFBLRYNTBF-UHFFFAOYSA-N -1 1 344.335 -0.406 20 0 EBADMM CC[C@H](OC)C(=O)N1CCC[C@@H]1CNc1ccnc(-c2nn[n-]n2)n1 ZINC001570946855 949547385 /nfs/dbraw/zinc/54/73/85/949547385.db2.gz RGKHRKUKUDRQGR-MNOVXSKESA-N -1 1 346.395 -0.094 20 0 EBADMM O=C(NC[C@H]1CCCN1c1nccnc1-c1nnn[n-]1)[C@H]1CCOC1 ZINC001570946851 949549270 /nfs/dbraw/zinc/54/92/70/949549270.db2.gz RQPRPTPGMVAUDP-WDEREUQCSA-N -1 1 344.379 -0.222 20 0 EBADMM O=C(NC[C@H]1CCCN1c1nccnc1-c1nn[n-]n1)[C@H]1CCOC1 ZINC001570946851 949549282 /nfs/dbraw/zinc/54/92/82/949549282.db2.gz RQPRPTPGMVAUDP-WDEREUQCSA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@H](CNC(=O)[C@@H]1CCC(=O)N1)CNc1nccnc1-c1nnn[n-]1 ZINC001574951063 949553516 /nfs/dbraw/zinc/55/35/16/949553516.db2.gz XOWDGMSSBULVGF-IUCAKERBSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@H](CNC(=O)[C@@H]1CCC(=O)N1)CNc1nccnc1-c1nn[n-]n1 ZINC001574951063 949553528 /nfs/dbraw/zinc/55/35/28/949553528.db2.gz XOWDGMSSBULVGF-IUCAKERBSA-N -1 1 345.367 -0.901 20 0 EBADMM Cc1cc(-c2nnn[n-]2)nc(N2C[C@@H](O)[C@H](NC(=O)C3(C)CC3)C2)n1 ZINC001570946923 949554415 /nfs/dbraw/zinc/55/44/15/949554415.db2.gz TVRIBQMODLZGDI-GHMZBOCLSA-N -1 1 344.379 -0.569 20 0 EBADMM Cc1cc(-c2nn[n-]n2)nc(N2C[C@@H](O)[C@H](NC(=O)C3(C)CC3)C2)n1 ZINC001570946923 949554434 /nfs/dbraw/zinc/55/44/34/949554434.db2.gz TVRIBQMODLZGDI-GHMZBOCLSA-N -1 1 344.379 -0.569 20 0 EBADMM C[C@@H]1CN(C(=O)CC(C)(C)O)C[C@@H]1Nc1ccnc(-c2nn[n-]n2)n1 ZINC001570947081 949558296 /nfs/dbraw/zinc/55/82/96/949558296.db2.gz SCQOYHXASYHHKN-ZJUUUORDSA-N -1 1 346.395 -0.502 20 0 EBADMM C[C@@H](CNC(=O)[C@@H]1CCC(=O)N1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001574951348 949559470 /nfs/dbraw/zinc/55/94/70/949559470.db2.gz ZKBCZYXQBVZILC-SCZZXKLOSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@@H](CNC(=O)[C@@H]1CCC(=O)N1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001574951348 949559489 /nfs/dbraw/zinc/55/94/89/949559489.db2.gz ZKBCZYXQBVZILC-SCZZXKLOSA-N -1 1 345.367 -0.901 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)N1CCN(CCO)[C@@H](C)C1 ZINC001570948171 949599263 /nfs/dbraw/zinc/59/92/63/949599263.db2.gz HZBLETFGAOTCQG-JTQLQIEISA-N -1 1 347.379 -0.586 20 0 EBADMM Cn1c(=O)[nH]c(N[C@H](CO)c2cccc(F)c2)c(-c2nn[n-]n2)c1=O ZINC001570948297 949601830 /nfs/dbraw/zinc/60/18/30/949601830.db2.gz KMIYKMBUHQFODX-SECBINFHSA-N -1 1 347.310 -0.049 20 0 EBADMM C[C@@H](CNC(=O)[C@]12C[C@H]1COC2)N(C)c1nccnc1-c1nnn[n-]1 ZINC001574957620 949622020 /nfs/dbraw/zinc/62/20/20/949622020.db2.gz CTOHKQGNTCINIS-YHAQOWFVSA-N -1 1 344.379 -0.366 20 0 EBADMM C[C@@H](CNC(=O)[C@]12C[C@H]1COC2)N(C)c1nccnc1-c1nn[n-]n1 ZINC001574957620 949622036 /nfs/dbraw/zinc/62/20/36/949622036.db2.gz CTOHKQGNTCINIS-YHAQOWFVSA-N -1 1 344.379 -0.366 20 0 EBADMM C[C@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001574957580 949624335 /nfs/dbraw/zinc/62/43/35/949624335.db2.gz BSLFVWYATRWYLL-QISWUMQESA-N -1 1 344.379 -0.287 20 0 EBADMM C[C@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001574957580 949624344 /nfs/dbraw/zinc/62/43/44/949624344.db2.gz BSLFVWYATRWYLL-QISWUMQESA-N -1 1 344.379 -0.287 20 0 EBADMM C[C@@H](NC(=O)CCCC(N)=O)[C@@H](C)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575273576 949667503 /nfs/dbraw/zinc/66/75/03/949667503.db2.gz WUWCDINQWFFIHZ-RKDXNWHRSA-N -1 1 347.383 -0.961 20 0 EBADMM C[C@H](CNC(=O)[C@]12C[C@H]1COC2)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001574959075 949702935 /nfs/dbraw/zinc/70/29/35/949702935.db2.gz YHCJBBXDGCSTHG-FTGAXOIBSA-N -1 1 344.379 -0.366 20 0 EBADMM C[C@@H](CNC(=O)[C@]12C[C@H]1COC2)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001574959185 949709043 /nfs/dbraw/zinc/70/90/43/949709043.db2.gz ZXHCGMURIDAIDL-YHAQOWFVSA-N -1 1 344.379 -0.366 20 0 EBADMM C[C@@H](CNC(=O)[C@]12C[C@H]1COC2)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001574959185 949709059 /nfs/dbraw/zinc/70/90/59/949709059.db2.gz ZXHCGMURIDAIDL-YHAQOWFVSA-N -1 1 344.379 -0.366 20 0 EBADMM C[C@H](CN(C)C(=O)Cc1cnoc1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574728561 949997688 /nfs/dbraw/zinc/99/76/88/949997688.db2.gz CYTXDADQWRYUGZ-SECBINFHSA-N -1 1 343.351 -0.432 20 0 EBADMM CC(C)(C)CC(=O)NC[C@@H](O)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001573836261 950079732 /nfs/dbraw/zinc/07/97/32/950079732.db2.gz INXIBXCOINQRKJ-VIFPVBQESA-N -1 1 334.384 -0.018 20 0 EBADMM CC(C)(C)CC(=O)NC[C@@H](O)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001573836261 950079753 /nfs/dbraw/zinc/07/97/53/950079753.db2.gz INXIBXCOINQRKJ-VIFPVBQESA-N -1 1 334.384 -0.018 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1CCC(=O)N1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574734703 950136837 /nfs/dbraw/zinc/13/68/37/950136837.db2.gz OMAGOBGUIFZBRR-PSASIEDQSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1CCC(=O)N1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574734703 950136855 /nfs/dbraw/zinc/13/68/55/950136855.db2.gz OMAGOBGUIFZBRR-PSASIEDQSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@H](CC(=O)NC[C@H](CO)Nc1ccc(-c2nnn[n-]2)nn1)C1CC1 ZINC001574497319 950225124 /nfs/dbraw/zinc/22/51/24/950225124.db2.gz ZFJPABIXULUFDO-GXSJLCMTSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](CC(=O)NC[C@H](CO)Nc1ccc(-c2nn[n-]n2)nn1)C1CC1 ZINC001574497319 950225139 /nfs/dbraw/zinc/22/51/39/950225139.db2.gz ZFJPABIXULUFDO-GXSJLCMTSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](CC(=O)NC[C@H](O)CNc1cncc(-c2nnn[n-]2)n1)C1CC1 ZINC001574497869 950248393 /nfs/dbraw/zinc/24/83/93/950248393.db2.gz FJXHZDJMCOBBLP-MWLCHTKSSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](CC(=O)NC[C@H](O)CNc1cncc(-c2nn[n-]n2)n1)C1CC1 ZINC001574497869 950248416 /nfs/dbraw/zinc/24/84/16/950248416.db2.gz FJXHZDJMCOBBLP-MWLCHTKSSA-N -1 1 346.395 -0.018 20 0 EBADMM CC1(C(=O)NC[C@@H]2CN(c3cncc(-c4nnn[n-]4)n3)CCO2)CC1 ZINC001575592401 950285812 /nfs/dbraw/zinc/28/58/12/950285812.db2.gz AIZKIHMHPUYCIM-SNVBAGLBSA-N -1 1 344.379 -0.222 20 0 EBADMM CC1(C(=O)NC[C@@H]2CN(c3cncc(-c4nn[n-]n4)n3)CCO2)CC1 ZINC001575592401 950285827 /nfs/dbraw/zinc/28/58/27/950285827.db2.gz AIZKIHMHPUYCIM-SNVBAGLBSA-N -1 1 344.379 -0.222 20 0 EBADMM CC1(C(=O)NC[C@H]2COCCN2c2cncc(-c3nnn[n-]3)n2)CC1 ZINC001575596669 950380912 /nfs/dbraw/zinc/38/09/12/950380912.db2.gz UKHXLQXGXQFABN-JTQLQIEISA-N -1 1 344.379 -0.222 20 0 EBADMM CC1(C(=O)NC[C@H]2COCCN2c2cncc(-c3nn[n-]n3)n2)CC1 ZINC001575596669 950380934 /nfs/dbraw/zinc/38/09/34/950380934.db2.gz UKHXLQXGXQFABN-JTQLQIEISA-N -1 1 344.379 -0.222 20 0 EBADMM CC1(C(=O)NC[C@H]2CN(c3ccc(-c4nnn[n-]4)nn3)CCO2)CC1 ZINC001575597217 950402729 /nfs/dbraw/zinc/40/27/29/950402729.db2.gz YWNZNHYJLXURRN-JTQLQIEISA-N -1 1 344.379 -0.222 20 0 EBADMM CC1(C(=O)NC[C@H]2CN(c3ccc(-c4nn[n-]n4)nn3)CCO2)CC1 ZINC001575597217 950402740 /nfs/dbraw/zinc/40/27/40/950402740.db2.gz YWNZNHYJLXURRN-JTQLQIEISA-N -1 1 344.379 -0.222 20 0 EBADMM CCN(CC)C(=O)CN1CCC(NC(=O)[C@](C)(OC)C(=O)[O-])CC1 ZINC001589721411 950428750 /nfs/dbraw/zinc/42/87/50/950428750.db2.gz SYPAARLKUBOHSF-INIZCTEOSA-N -1 1 343.424 -0.075 20 0 EBADMM CC1(C(=O)N[C@@H]2CN(c3cncc(-c4nnn[n-]4)n3)C[C@H]2O)CC1 ZINC001575604484 950443354 /nfs/dbraw/zinc/44/33/54/950443354.db2.gz BCCPHRSZKOSHEM-NXEZZACHSA-N -1 1 330.352 -0.878 20 0 EBADMM CC1(C(=O)N[C@@H]2CN(c3cncc(-c4nn[n-]n4)n3)C[C@H]2O)CC1 ZINC001575604484 950443369 /nfs/dbraw/zinc/44/33/69/950443369.db2.gz BCCPHRSZKOSHEM-NXEZZACHSA-N -1 1 330.352 -0.878 20 0 EBADMM C[C@@]1(C(N)=O)CCN(C(=O)NCc2nc(-c3nnn[n-]3)cs2)C1 ZINC001575614404 950527257 /nfs/dbraw/zinc/52/72/57/950527257.db2.gz AVIAWVLPCXMOBA-GFCCVEGCSA-N -1 1 336.381 -0.270 20 0 EBADMM C[C@@]1(C(N)=O)CCN(C(=O)NCc2nc(-c3nn[n-]n3)cs2)C1 ZINC001575614404 950527284 /nfs/dbraw/zinc/52/72/84/950527284.db2.gz AVIAWVLPCXMOBA-GFCCVEGCSA-N -1 1 336.381 -0.270 20 0 EBADMM C[C@@]1(C(=O)Nc2[nH]nc(N3CCOCC3)c2-c2nnn[n-]2)CCOC1 ZINC001575614447 950534520 /nfs/dbraw/zinc/53/45/20/950534520.db2.gz CKZFPHDUOFEJLI-CQSZACIVSA-N -1 1 348.367 -0.209 20 0 EBADMM C[C@@]1(C(=O)Nc2[nH]nc(N3CCOCC3)c2-c2nn[n-]n2)CCOC1 ZINC001575614447 950534554 /nfs/dbraw/zinc/53/45/54/950534554.db2.gz CKZFPHDUOFEJLI-CQSZACIVSA-N -1 1 348.367 -0.209 20 0 EBADMM C[C@@]1(C(N)=O)CC[N@@H+](Cc2cc(=O)n3[n-]cc(-c4nnn[n-]4)c3n2)C1 ZINC001575614559 950539361 /nfs/dbraw/zinc/53/93/61/950539361.db2.gz IBWCVMYMXJAASN-CQSZACIVSA-N -1 1 343.351 -0.688 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ccn(C)n1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574758755 950616171 /nfs/dbraw/zinc/61/61/71/950616171.db2.gz GHRXMDOIEAEVOZ-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ccn(C)n1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574758755 950616188 /nfs/dbraw/zinc/61/61/88/950616188.db2.gz GHRXMDOIEAEVOZ-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H]1[C@H](Nc2ccc(-c3nnn[n-]3)nn2)CCN1C(=O)c1cnon1 ZINC001575921486 950642953 /nfs/dbraw/zinc/64/29/53/950642953.db2.gz NZIWDGQSCGUNNA-JGVFFNPUSA-N -1 1 342.323 -0.245 20 0 EBADMM C[C@H]1[C@H](Nc2ccc(-c3nn[n-]n3)nn2)CCN1C(=O)c1cnon1 ZINC001575921486 950642965 /nfs/dbraw/zinc/64/29/65/950642965.db2.gz NZIWDGQSCGUNNA-JGVFFNPUSA-N -1 1 342.323 -0.245 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cn(C)nn1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574760192 950659547 /nfs/dbraw/zinc/65/95/47/950659547.db2.gz HDTRKWAENSORFT-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cn(C)nn1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574760192 950659557 /nfs/dbraw/zinc/65/95/57/950659557.db2.gz HDTRKWAENSORFT-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ccnn1C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574760991 950687005 /nfs/dbraw/zinc/68/70/05/950687005.db2.gz PVKHNWWYMMFAGZ-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ccnn1C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574760991 950687017 /nfs/dbraw/zinc/68/70/17/950687017.db2.gz PVKHNWWYMMFAGZ-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cn(C)cn1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574761113 950693289 /nfs/dbraw/zinc/69/32/89/950693289.db2.gz QORYZKKVRONRSY-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cn(C)cn1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574761113 950693302 /nfs/dbraw/zinc/69/33/02/950693302.db2.gz QORYZKKVRONRSY-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H]1[C@@H](Nc2cnc(-c3nn[n-]n3)cn2)CCN1C(=O)c1cn[nH]n1 ZINC001575926433 950711180 /nfs/dbraw/zinc/71/11/80/950711180.db2.gz KALVXQQAQZADHR-YUMQZZPRSA-N -1 1 341.339 -0.510 20 0 EBADMM C[C@H](CNC(=O)c1ccn[nH]1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001575006219 950714956 /nfs/dbraw/zinc/71/49/56/950714956.db2.gz SUBPLZAUODQRKH-QMMMGPOBSA-N -1 1 328.340 -0.142 20 0 EBADMM C[C@H](CN(C)C(=O)c1cncn1C)Nc1nccnc1-c1nnn[n-]1 ZINC001574764758 950760804 /nfs/dbraw/zinc/76/08/04/950760804.db2.gz XXDNENRSYBBHRT-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CN(C)C(=O)c1cncn1C)Nc1nccnc1-c1nn[n-]n1 ZINC001574764758 950760817 /nfs/dbraw/zinc/76/08/17/950760817.db2.gz XXDNENRSYBBHRT-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM CC1(C)C[C@@](C)(NC(=O)NCCn2cnc(-c3nn[n-]n3)n2)C(=O)O1 ZINC001575631739 950778749 /nfs/dbraw/zinc/77/87/49/950778749.db2.gz SDMCQTHVVIOSQK-CYBMUJFWSA-N -1 1 349.355 -0.758 20 0 EBADMM C[C@H](CN(C)C(=O)c1cnon1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574765120 950779272 /nfs/dbraw/zinc/77/92/72/950779272.db2.gz FHMVAGXDYDYUJY-SSDOTTSWSA-N -1 1 330.312 -0.388 20 0 EBADMM C[C@H](CN(C)C(=O)c1cnon1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574765120 950779288 /nfs/dbraw/zinc/77/92/88/950779288.db2.gz FHMVAGXDYDYUJY-SSDOTTSWSA-N -1 1 330.312 -0.388 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cnon1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574765655 950795077 /nfs/dbraw/zinc/79/50/77/950795077.db2.gz JCIFNDJNWPFUBA-ZETCQYMHSA-N -1 1 330.312 -0.966 20 0 EBADMM C[C@@H](CN(C)C(=O)c1nc[nH]n1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574768579 950896074 /nfs/dbraw/zinc/89/60/74/950896074.db2.gz ZIUZWPXKYKKXTC-ZETCQYMHSA-N -1 1 329.328 -0.653 20 0 EBADMM C[C@H](CNC(=O)c1ccc(=O)[nH]c1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575019041 951032574 /nfs/dbraw/zinc/03/25/74/951032574.db2.gz PVWUJDCCZGNPMT-MRVPVSSYSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@H](CNC(=O)c1ccc(=O)[nH]c1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575019041 951032591 /nfs/dbraw/zinc/03/25/91/951032591.db2.gz PVWUJDCCZGNPMT-MRVPVSSYSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@@H](CNC(=O)c1cccc(=O)[nH]1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575026745 951148289 /nfs/dbraw/zinc/14/82/89/951148289.db2.gz MWWHKZXRCAAYMG-QMMMGPOBSA-N -1 1 341.335 -0.590 20 0 EBADMM C[C@H](CNC(=O)c1ccccn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575032197 951219424 /nfs/dbraw/zinc/21/94/24/951219424.db2.gz TUGOJKWCLZBLSW-SECBINFHSA-N -1 1 325.336 -0.296 20 0 EBADMM C[C@H](CNC(=O)c1ccnn1C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575033756 951227861 /nfs/dbraw/zinc/22/78/61/951227861.db2.gz AAVSCYYHDHXWJE-MRVPVSSYSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@H](CNC(=O)c1ccnn1C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575033756 951227868 /nfs/dbraw/zinc/22/78/68/951227868.db2.gz AAVSCYYHDHXWJE-MRVPVSSYSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@@H](CNC(=O)c1ccnn1C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575034617 951249416 /nfs/dbraw/zinc/24/94/16/951249416.db2.gz OUAXRYWNUPCFKG-QMMMGPOBSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@@H](CNC(=O)c1ccnn1C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575034617 951249428 /nfs/dbraw/zinc/24/94/28/951249428.db2.gz OUAXRYWNUPCFKG-QMMMGPOBSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)nn1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001575042197 951358124 /nfs/dbraw/zinc/35/81/24/951358124.db2.gz HCDNCBYPJUPITE-MRVPVSSYSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)nn1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575042197 951358140 /nfs/dbraw/zinc/35/81/40/951358140.db2.gz HCDNCBYPJUPITE-MRVPVSSYSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)cn1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575043512 951397350 /nfs/dbraw/zinc/39/73/50/951397350.db2.gz SKIUTAVXFLGSFB-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)cn1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575043512 951397363 /nfs/dbraw/zinc/39/73/63/951397363.db2.gz SKIUTAVXFLGSFB-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM CCS(=O)(=O)C[C@@H](C)NC(=O)NC1CCN(CC(=O)[O-])CC1 ZINC001593277350 951417313 /nfs/dbraw/zinc/41/73/13/951417313.db2.gz IRIKQIWCXYRKGI-SNVBAGLBSA-N -1 1 335.426 -0.342 20 0 EBADMM C[C@H](CNC(=O)c1cncn1C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575045368 951426067 /nfs/dbraw/zinc/42/60/67/951426067.db2.gz AZVWLJIXNQUEIV-MRVPVSSYSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@H](CNC(=O)c1cncn1C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575045368 951426073 /nfs/dbraw/zinc/42/60/73/951426073.db2.gz AZVWLJIXNQUEIV-MRVPVSSYSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@H](CNC(=O)c1cncn1C)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575046659 951446172 /nfs/dbraw/zinc/44/61/72/951446172.db2.gz QOZRSJHSBHXJIM-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1cncn1C)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575046659 951446176 /nfs/dbraw/zinc/44/61/76/951446176.db2.gz QOZRSJHSBHXJIM-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1cnco1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575047207 951454381 /nfs/dbraw/zinc/45/43/81/951454381.db2.gz ZKEVUFHJFKPBES-MRVPVSSYSA-N -1 1 329.324 -0.101 20 0 EBADMM C[C@H](CNC(=O)c1cnco1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575047207 951454393 /nfs/dbraw/zinc/45/43/93/951454393.db2.gz ZKEVUFHJFKPBES-MRVPVSSYSA-N -1 1 329.324 -0.101 20 0 EBADMM C[C@H](CNC(=O)c1csnn1)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001575060737 951548136 /nfs/dbraw/zinc/54/81/36/951548136.db2.gz FEJUIGIPCRYZPD-ZETCQYMHSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@H](CNC(=O)c1csnn1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001575060737 951548146 /nfs/dbraw/zinc/54/81/46/951548146.db2.gz FEJUIGIPCRYZPD-ZETCQYMHSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@H](CNC(=O)c1n[nH]cc1F)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575061613 951558903 /nfs/dbraw/zinc/55/89/03/951558903.db2.gz OJLUTDZMQQOCNI-ZCFIWIBFSA-N -1 1 332.303 -0.251 20 0 EBADMM C[C@H](CNC(=O)c1n[nH]cc1F)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575061613 951558916 /nfs/dbraw/zinc/55/89/16/951558916.db2.gz OJLUTDZMQQOCNI-ZCFIWIBFSA-N -1 1 332.303 -0.251 20 0 EBADMM C[C@H](CNC(=O)c1n[nH]cc1F)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575061576 951559566 /nfs/dbraw/zinc/55/95/66/951559566.db2.gz NNXVNISIXXMESS-SSDOTTSWSA-N -1 1 346.330 -0.226 20 0 EBADMM C[C@@H](CNC(=O)c1csnn1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001575062793 951572155 /nfs/dbraw/zinc/57/21/55/951572155.db2.gz YMSLRPVRMHAVNP-ZETCQYMHSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@@H](CNC(=O)c1csnn1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575062793 951572162 /nfs/dbraw/zinc/57/21/62/951572162.db2.gz YMSLRPVRMHAVNP-ZETCQYMHSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1O)Nc1nccnc1-c1nnn[n-]1 ZINC001575067601 951615777 /nfs/dbraw/zinc/61/57/77/951615777.db2.gz MWBFQLZVRFPXSH-MRVPVSSYSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])Nc1nccnc1-c1nn[nH]n1 ZINC001575067601 951615785 /nfs/dbraw/zinc/61/57/85/951615785.db2.gz MWBFQLZVRFPXSH-MRVPVSSYSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)[C@@H]1COCCO1 ZINC001575132235 952093262 /nfs/dbraw/zinc/09/32/62/952093262.db2.gz DVZWJZLAFIXKJT-KOLCDFICSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)[C@@H]1COCCO1 ZINC001575132235 952093272 /nfs/dbraw/zinc/09/32/72/952093272.db2.gz DVZWJZLAFIXKJT-KOLCDFICSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)[C@H]1CCCCO1 ZINC001575140751 952143973 /nfs/dbraw/zinc/14/39/73/952143973.db2.gz FVOPHWXIFYEWCZ-WDEREUQCSA-N -1 1 346.395 -0.094 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)CC(C)(C)O ZINC001575144504 952168061 /nfs/dbraw/zinc/16/80/61/952168061.db2.gz WBUOEXBDKYQWHH-SECBINFHSA-N -1 1 334.384 -0.502 20 0 EBADMM C[C@@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)C1(C(N)=O)CC1 ZINC001575154430 952223312 /nfs/dbraw/zinc/22/33/12/952223312.db2.gz CZFXDDCNEOAJRC-QMMMGPOBSA-N -1 1 345.367 -0.819 20 0 EBADMM C[C@@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)C1(C(N)=O)CC1 ZINC001575154430 952223323 /nfs/dbraw/zinc/22/33/23/952223323.db2.gz CZFXDDCNEOAJRC-QMMMGPOBSA-N -1 1 345.367 -0.819 20 0 EBADMM C[C@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)[C@H]1CCOC1 ZINC001575174777 952380505 /nfs/dbraw/zinc/38/05/05/952380505.db2.gz SNFPFPGPPPUAPZ-ZJUUUORDSA-N -1 1 332.368 -0.048 20 0 EBADMM C[C@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)[C@H]1CCOC1 ZINC001575174777 952380515 /nfs/dbraw/zinc/38/05/15/952380515.db2.gz SNFPFPGPPPUAPZ-ZJUUUORDSA-N -1 1 332.368 -0.048 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)c1cnn(C)c1 ZINC001575180615 952420016 /nfs/dbraw/zinc/42/00/16/952420016.db2.gz WLHYNNIVVUXZRA-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)c1cnn(C)c1 ZINC001575180615 952420023 /nfs/dbraw/zinc/42/00/23/952420023.db2.gz WLHYNNIVVUXZRA-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@](Cn1cccn1)(NC(=O)c1coc(-c2nnn[n-]2)c1)C(N)=O ZINC001575206585 952529115 /nfs/dbraw/zinc/52/91/15/952529115.db2.gz KFRQRZMZUQMANE-CYBMUJFWSA-N -1 1 330.308 -0.670 20 0 EBADMM C[C@](Cn1cccn1)(NC(=O)c1coc(-c2nn[n-]n2)c1)C(N)=O ZINC001575206585 952529120 /nfs/dbraw/zinc/52/91/20/952529120.db2.gz KFRQRZMZUQMANE-CYBMUJFWSA-N -1 1 330.308 -0.670 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)C(=O)N2CCO[C@H](C(=O)[O-])C2)CN1C1CC1 ZINC001589288083 953581590 /nfs/dbraw/zinc/58/15/90/953581590.db2.gz AMVWKLNWIUQECS-FOGDFJRCSA-N -1 1 325.365 -0.960 20 0 EBADMM CCN1C(=O)N=NC1C1CCN([C@@H]2CCN(CC(=O)[O-])C2=O)CC1 ZINC001593659929 953787377 /nfs/dbraw/zinc/78/73/77/953787377.db2.gz HIDSOUGOPWFCBZ-LLVKDONJSA-N -1 1 337.380 -0.132 20 0 EBADMM O=C([O-])C(=O)N1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)CC1 ZINC001594828158 953954644 /nfs/dbraw/zinc/95/46/44/953954644.db2.gz CGJSKCFMEIGDER-UHFFFAOYSA-N -1 1 329.316 -0.159 20 0 EBADMM O=C([O-])[C@H](C(=O)N[C@H]1CCN(CCN2CCOCC2)C1)C1CC1 ZINC001594833342 953999975 /nfs/dbraw/zinc/99/99/75/953999975.db2.gz ZRYONFMASWCJFQ-KBPBESRZSA-N -1 1 325.409 -0.380 20 0 EBADMM C[C@H]1CCN(CCNS(=O)(=O)c2cnn(C)c2)C[C@@H]1C(=O)[O-] ZINC001589352954 954096110 /nfs/dbraw/zinc/09/61/10/954096110.db2.gz HPSMHESPRFIGPA-JQWIXIFHSA-N -1 1 330.410 -0.259 20 0 EBADMM CN(C)CCN(CC(=O)[O-])S(=O)(=O)c1cnn(CC(F)F)c1 ZINC001593700428 954108623 /nfs/dbraw/zinc/10/86/23/954108623.db2.gz RGQFMTDISMTVBY-UHFFFAOYSA-N -1 1 340.352 -0.215 20 0 EBADMM CC(C)[C@H](CNC(=O)NC[C@@]1(CO)COC[C@@H]2CCCN21)C(=O)[O-] ZINC001602985023 972013342 /nfs/dbraw/zinc/01/33/42/972013342.db2.gz OOERCKZEMPGAEV-HEHGZKQESA-N -1 1 343.424 -0.132 20 0 EBADMM C[C@H]1CN2CCN1C[C@H]2C(=O)N[C@@H](Cc1ccc(O)cc1)C(=O)[O-] ZINC001589405255 954459957 /nfs/dbraw/zinc/45/99/57/954459957.db2.gz BECKGFCOGAAPLL-CQDKDKBSSA-N -1 1 333.388 -0.108 20 0 EBADMM C[C@@H]1CN2CCN1C[C@@H]2C(=O)N[C@@H](Cc1ccc(O)cc1)C(=O)[O-] ZINC001589405254 954460251 /nfs/dbraw/zinc/46/02/51/954460251.db2.gz BECKGFCOGAAPLL-BYCMXARLSA-N -1 1 333.388 -0.108 20 0 EBADMM O=C([O-])C1CCN(S(=O)(=O)N[C@@H]2CCCN(CCCO)C2)CC1 ZINC001594935312 954853628 /nfs/dbraw/zinc/85/36/28/954853628.db2.gz NFJIRVQQNCCJSP-CYBMUJFWSA-N -1 1 349.453 -0.536 20 0 EBADMM O=C([O-])[C@@H]1CN(C(=O)NCc2n[nH]c(-c3ccncc3)n2)CCO1 ZINC001594947679 954927797 /nfs/dbraw/zinc/92/77/97/954927797.db2.gz LDKUBJOTOBVOON-JTQLQIEISA-N -1 1 332.320 -0.138 20 0 EBADMM O=C([O-])[C@@H]1CS(=O)(=O)CCN1C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC001594960091 955007099 /nfs/dbraw/zinc/00/70/99/955007099.db2.gz ORISPSKLXPGDCS-LURJTMIESA-N -1 1 341.267 -0.248 20 0 EBADMM CC(C)[C@@H](CNC(=O)C(=O)NC[C@H](C)C(=O)[O-])N1CCN(C)CC1 ZINC001589036833 955557067 /nfs/dbraw/zinc/55/70/67/955557067.db2.gz NFIODYCDEGSZCL-QWHCGFSZSA-N -1 1 342.440 -0.789 20 0 EBADMM C[C@H](CNC(=O)c1cccn(CC(=O)[O-])c1=O)N1CCN(C)CC1 ZINC001589063618 955735222 /nfs/dbraw/zinc/73/52/22/955735222.db2.gz LOEFFHRDNMVSDT-GFCCVEGCSA-N -1 1 336.392 -0.701 20 0 EBADMM Cn1nccc1[C@H](O)C1CCN([C@H]2CCN(CC(=O)[O-])C2=O)CC1 ZINC001594593747 956069535 /nfs/dbraw/zinc/06/95/35/956069535.db2.gz WDXNKFWFONEXJB-DZGCQCFKSA-N -1 1 336.392 -0.149 20 0 EBADMM CO[C@@](C)(C(=O)[O-])C(=O)NCc1ccc(N2CCN(C)CC2)nc1 ZINC001593926181 956129646 /nfs/dbraw/zinc/12/96/46/956129646.db2.gz YESARFQYCNEDJH-MRXNPFEDSA-N -1 1 336.392 -0.061 20 0 EBADMM COC[C@]1(C(=O)[O-])CCN(C(=O)C(=O)N[C@@H]2CCN(C3CC3)C2)C1 ZINC001593959088 956469375 /nfs/dbraw/zinc/46/93/75/956469375.db2.gz QZATYDKMSLFYDA-BZNIZROVSA-N -1 1 339.392 -0.711 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)N2CCC[C@@H](CC(=O)[O-])C2)C1 ZINC001594015005 957025446 /nfs/dbraw/zinc/02/54/46/957025446.db2.gz NHXSZXIUCRWKBJ-STQMWFEESA-N -1 1 341.408 -0.463 20 0 EBADMM CN1CCN(CCNS(=O)(=O)c2ccc(C(=O)[O-])s2)CC1 ZINC000038058931 957353007 /nfs/dbraw/zinc/35/30/07/957353007.db2.gz NTVAVMYWUWYIJO-UHFFFAOYSA-N -1 1 333.435 -0.028 20 0 EBADMM C[C@H](COC(=O)[C@@H]1CCCN(CC(=O)[O-])C1=O)CN1CCOCC1 ZINC001603201708 972309530 /nfs/dbraw/zinc/30/95/30/972309530.db2.gz HMWDBZOCUQBJNZ-QWHCGFSZSA-N -1 1 342.392 -0.179 20 0 EBADMM CC(=O)NCCN(C(=O)CN(C)[C@@H]1CCC[C@H]1O)[C@@H](C)C(=O)[O-] ZINC001588506925 958736085 /nfs/dbraw/zinc/73/60/85/958736085.db2.gz VPWVNZBAEMSTBM-CYZMBNFOSA-N -1 1 329.397 -0.731 20 0 EBADMM CC(=O)NCC[N@@H+](CCC[N-]C(=O)C(F)(F)F)[C@@H](C)C(=O)[O-] ZINC001588513432 958768225 /nfs/dbraw/zinc/76/82/25/958768225.db2.gz MQCWWNNNYCXOHD-QMMMGPOBSA-N -1 1 327.303 -0.034 20 0 EBADMM CC(=O)NCC[N@H+](CCC[N-]C(=O)C(F)(F)F)[C@@H](C)C(=O)[O-] ZINC001588513432 958768237 /nfs/dbraw/zinc/76/82/37/958768237.db2.gz MQCWWNNNYCXOHD-QMMMGPOBSA-N -1 1 327.303 -0.034 20 0 EBADMM CC(C)(NC(=O)C(F)(F)F)C(=O)NCCOCCOCC(=O)[O-] ZINC001588786832 961348998 /nfs/dbraw/zinc/34/89/98/961348998.db2.gz WFEHYHAVBTUAJQ-UHFFFAOYSA-N -1 1 344.286 -0.323 20 0 EBADMM CN(CC(=O)NCC(=O)[O-])[C@@H]1[C@H]2CN(C(=O)OC(C)(C)C)C[C@H]21 ZINC001573997878 961857217 /nfs/dbraw/zinc/85/72/17/961857217.db2.gz JULFNFXYNWTKBX-IWIIMEHWSA-N -1 1 327.381 -0.016 20 0 EBADMM C[C@H](CC(=O)[O-])[NH+]1CCN(C(=O)CSc2n[nH]c(=O)[n-]2)CC1 ZINC001571186192 962209729 /nfs/dbraw/zinc/20/97/29/962209729.db2.gz LSYXDQBVULCQDQ-MRVPVSSYSA-N -1 1 329.382 -0.390 20 0 EBADMM O=C([O-])[C@H]1C[C@@H](S(=O)(=O)NCc2nnc([C@H]3CCOC3)[nH]2)C1 ZINC001571190163 962237126 /nfs/dbraw/zinc/23/71/26/962237126.db2.gz ANHRIJBGNAOJBH-XHNCKOQMSA-N -1 1 330.366 -0.409 20 0 EBADMM O=C([O-])[C@H]1C[C@@H](S(=O)(=O)NCc2n[nH]c([C@H]3CCOC3)n2)C1 ZINC001571190163 962237140 /nfs/dbraw/zinc/23/71/40/962237140.db2.gz ANHRIJBGNAOJBH-XHNCKOQMSA-N -1 1 330.366 -0.409 20 0 EBADMM CCOC(=O)CN(C)C(=O)CN(CCC(=O)[O-])C[C@H]1CCCO1 ZINC001571192110 962272633 /nfs/dbraw/zinc/27/26/33/962272633.db2.gz ZXKKAPNSLPUBSG-GFCCVEGCSA-N -1 1 330.381 -0.036 20 0 EBADMM O=C([O-])c1nccc(NC[C@H]2CCN(C(=O)Cc3ncn[nH]3)C2)n1 ZINC001571196986 962326023 /nfs/dbraw/zinc/32/60/23/962326023.db2.gz TUZWWLVTBQFAGR-SECBINFHSA-N -1 1 331.336 -0.782 20 0 EBADMM O=C([O-])C1=NO[C@H](C(=O)N2CCCN(C[C@@H]3CCCO3)CC2)C1 ZINC001574640223 962339404 /nfs/dbraw/zinc/33/94/04/962339404.db2.gz LZEQCIYQIVOKMX-AAEUAGOBSA-N -1 1 325.365 -0.071 20 0 EBADMM Cc1nc(CC(=O)N[C@H]2C[C@H](CNC(=O)[C@H]3C[C@@H]3C(=O)[O-])C2)n[nH]1 ZINC001571224437 962574049 /nfs/dbraw/zinc/57/40/49/962574049.db2.gz WBBQZBXFGMEDNX-NAKRPEOUSA-N -1 1 335.364 -0.613 20 0 EBADMM CN(C(=O)Cc1ncn[nH]1)C1CCN(C(=O)[C@H]2C[C@H]2C(=O)[O-])CC1 ZINC001571224484 962575663 /nfs/dbraw/zinc/57/56/63/962575663.db2.gz XJPODBPUJBSCTL-WDEREUQCSA-N -1 1 335.364 -0.483 20 0 EBADMM CC(C)[C@H](NCC(=O)NC1CCN(S(C)(=O)=O)CC1)C(=O)[O-] ZINC001571225068 962584412 /nfs/dbraw/zinc/58/44/12/962584412.db2.gz ICIXMBVJIDCUKG-LBPRGKRZSA-N -1 1 335.426 -0.775 20 0 EBADMM COC[C@]1(C(=O)[O-])CN(S(=O)(=O)c2ccccc2O)CCO1 ZINC001574143278 962599542 /nfs/dbraw/zinc/59/95/42/962599542.db2.gz OZCNXLFWQMQKNV-ZDUSSCGKSA-N -1 1 331.346 -0.117 20 0 EBADMM CN1CCN(C)[C@H](CNC(=O)C(=O)Nc2cccnc2C(=O)[O-])C1 ZINC001604220650 972704145 /nfs/dbraw/zinc/70/41/45/972704145.db2.gz HCQASKPJTITXEW-SNVBAGLBSA-N -1 1 335.364 -0.920 20 0 EBADMM CO[C@@](C)(C(=O)[O-])C(=O)N1CCN(C(=O)c2cc(C)n[nH]2)C[C@H]1C ZINC001604451137 973280149 /nfs/dbraw/zinc/28/01/49/973280149.db2.gz QKFUMVDBODWHCG-MEBBXXQBSA-N -1 1 338.364 -0.119 20 0 EBADMM C[C@H]1CN(CCCOC(=O)[C@@H]2CCCN(CC(=O)[O-])C2=O)CCO1 ZINC001603461193 973298452 /nfs/dbraw/zinc/29/84/52/973298452.db2.gz OOHSUVBDBCXTQO-QWHCGFSZSA-N -1 1 342.392 -0.036 20 0 EBADMM O=C([O-])CN1CC[C@@H](N2CCCC[C@@H]2CN2CCOCC2)C1=O ZINC001606231287 973491588 /nfs/dbraw/zinc/49/15/88/973491588.db2.gz HNILBWYCKUTDEM-ZIAGYGMSSA-N -1 1 325.409 -0.141 20 0 EBADMM CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N1C[C@H](C(=O)[O-])C[C@H](O)C1 ZINC001591858657 976150786 /nfs/dbraw/zinc/15/07/86/976150786.db2.gz FNNLLGZVALBPOE-VGMNWLOBSA-N -1 1 340.298 -0.017 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)Cc2nnn(C(C)(C)C)n2)CC1 ZINC001592410349 978000549 /nfs/dbraw/zinc/00/05/49/978000549.db2.gz GSBSAHUBZFQEDD-NSHDSACASA-N -1 1 338.412 -0.022 20 0 EBADMM C[C@@](Cn1cccn1)(NCc1coc(S(N)(=O)=O)c1)C(=O)[O-] ZINC001592926637 979950100 /nfs/dbraw/zinc/95/01/00/979950100.db2.gz ULWKOHZGWLKJCK-LBPRGKRZSA-N -1 1 328.350 -0.243 20 0 EBADMM CC[C@@H](O)Cn1cc(C(=O)N2CCN(C)C[C@@H](C(=O)[O-])C2)nn1 ZINC001595332164 980578731 /nfs/dbraw/zinc/57/87/31/980578731.db2.gz SGYVDNMJGUCUNN-GHMZBOCLSA-N -1 1 325.369 -0.863 20 0 EBADMM CCN(c1ccnc(C(=O)[O-])n1)[C@H](C)CNC(=O)Cc1nnc[nH]1 ZINC001596388485 983918152 /nfs/dbraw/zinc/91/81/52/983918152.db2.gz VAMOYRYQICUENY-SECBINFHSA-N -1 1 333.352 -0.133 20 0 EBADMM CCN1C[C@@H](NC(=O)N2CCN(CCC(=O)[O-])C[C@H]2C)CC1=O ZINC001596398596 983951914 /nfs/dbraw/zinc/95/19/14/983951914.db2.gz ZSRKQEOTYHLJOH-NEPJUHHUSA-N -1 1 326.397 -0.202 20 0 EBADMM CCOC(=O)C1CCN(C(=O)CN2CC[C@](O)(C(=O)[O-])C2)CC1 ZINC001596575754 984479821 /nfs/dbraw/zinc/47/98/21/984479821.db2.gz AUHOJNLIHFNRRO-OAHLLOKOSA-N -1 1 328.365 -0.691 20 0 EBADMM CCOC(=O)CN(C)C(=O)CN1CCN(CCC(=O)[O-])C[C@@H]1C ZINC001596616217 984584640 /nfs/dbraw/zinc/58/46/40/984584640.db2.gz UAIRNJPFNKBIII-LBPRGKRZSA-N -1 1 329.397 -0.511 20 0 EBADMM CC(=O)N1CCN(C(=O)CN[C@H](Cc2ccccc2)C(=O)[O-])CC1 ZINC001589079360 985237567 /nfs/dbraw/zinc/23/75/67/985237567.db2.gz DXGCIHWCMJJBTJ-OAHLLOKOSA-N -1 1 333.388 -0.037 20 0 EBADMM C[C@H]1CN(CCC(=O)NC(=O)NCC(F)(F)F)C[C@@H](C(=O)[O-])O1 ZINC001599929217 985274664 /nfs/dbraw/zinc/27/46/64/985274664.db2.gz ZECDJRMOFNELFK-YUMQZZPRSA-N -1 1 341.286 -0.062 20 0 EBADMM C[C@H]1CN(C(=O)NC[C@H]2CCO[C@H]2C(=O)[O-])[C@@H](C)CN1CCO ZINC001594475736 985487692 /nfs/dbraw/zinc/48/76/92/985487692.db2.gz NJQJTKGACWDUFU-WUHRBBMRSA-N -1 1 329.397 -0.427 20 0 EBADMM CCOC[C@H](C(=O)[O-])N(C)CCNS(=O)(=O)c1cnn(C)c1 ZINC001596885509 985791212 /nfs/dbraw/zinc/79/12/12/985791212.db2.gz ZKCZVCKKYPEOAD-LLVKDONJSA-N -1 1 334.398 -0.880 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2[C@H]3CN(C(=O)[C@@H]4C[C@@H]4C(=O)[O-])C[C@H]32)c1C ZINC001599967051 985925065 /nfs/dbraw/zinc/92/50/65/985925065.db2.gz AOBSWBNTDISURH-OQEOFVATSA-N -1 1 332.360 -0.066 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NCC(=O)N1CCOCC1 ZINC001594517086 985926357 /nfs/dbraw/zinc/92/63/57/985926357.db2.gz ZHFKHPUDCNWRMW-LBPRGKRZSA-N -1 1 342.396 -0.964 20 0 EBADMM C[C@@H](C(=O)NC1CCCC1)N1CCN(C[C@@](C)(O)C(=O)[O-])CC1 ZINC001589398179 986547215 /nfs/dbraw/zinc/54/72/15/986547215.db2.gz JRNMBJHGBDLDOD-BLLLJJGKSA-N -1 1 327.425 -0.113 20 0 EBADMM C[C@@H]1OCCN(C(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)[C@H]1C ZINC001594648873 986858212 /nfs/dbraw/zinc/85/82/12/986858212.db2.gz OFSLGGZOPYIFFW-RYUDHWBXSA-N -1 1 341.408 -0.370 20 0 EBADMM CC(C)(C(=O)N1CC(CNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])C1)c1c[nH]cn1 ZINC001589560726 987316537 /nfs/dbraw/zinc/31/65/37/987316537.db2.gz OHZCZPVKSHFXAR-MNOVXSKESA-N -1 1 334.376 -0.017 20 0 EBADMM CC(C)(C(=O)[O-])N1CCN(C(=O)C(=O)NC[C@H]2CCOC2)CC1 ZINC001589621422 987503078 /nfs/dbraw/zinc/50/30/78/987503078.db2.gz WSROXDZBCCKMMO-LLVKDONJSA-N -1 1 327.381 -0.854 20 0 EBADMM CCc1cc(CNC(=O)C(=O)N2CCSC[C@H](C(=O)[O-])C2)[nH]n1 ZINC001597470540 987922586 /nfs/dbraw/zinc/92/25/86/987922586.db2.gz HIPYVXWGMBAFGF-SECBINFHSA-N -1 1 340.405 -0.136 20 0 EBADMM C[C@@H]1CN(C(=O)C(=O)N2CC[C@](C(=O)[O-])(C(F)(F)F)C2)CCN1 ZINC001599848822 988979256 /nfs/dbraw/zinc/97/92/56/988979256.db2.gz CAWTYTKQPGACSS-PELKAZGASA-N -1 1 337.298 -0.328 20 0 EBADMM CCc1nn(C)cc1NC(=O)C(=O)N1CCN(C)C[C@@H](C(=O)[O-])C1 ZINC001597722987 989004181 /nfs/dbraw/zinc/00/41/81/989004181.db2.gz SOIMGBHBMFOUHI-SNVBAGLBSA-N -1 1 337.380 -0.604 20 0 EBADMM C[C@@H]1CNCCN1C(=O)C(=O)N(C)Cc1ccc(OCC(=O)[O-])cc1 ZINC001599873116 989380579 /nfs/dbraw/zinc/38/05/79/989380579.db2.gz YQEHOFSTUPAYJS-GFCCVEGCSA-N -1 1 349.387 -0.071 20 0 EBADMM C[C@@H]1C[C@H](C(=O)N2CCN(C(=O)CN(C)C)CC2)O[C@H]1C(=O)[O-] ZINC001599874747 989403785 /nfs/dbraw/zinc/40/37/85/989403785.db2.gz PDQSUMYTUGZCOT-NQBHXWOUSA-N -1 1 327.381 -0.903 20 0 EBADMM CN(CC(=O)N[C@@]1(CC(=O)[O-])CCOC1)c1ncnc2[nH]cnc21 ZINC001598386395 991604758 /nfs/dbraw/zinc/60/47/58/991604758.db2.gz DCKPZUARNBXXJC-CQSZACIVSA-N -1 1 334.336 -0.461 20 0 EBADMM CC(C)[C@H]1CN(C)CCN1C(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)[O-] ZINC001591096714 991692842 /nfs/dbraw/zinc/69/28/42/991692842.db2.gz QEBMKZYAXZODIA-SDDRHHMPSA-N -1 1 328.413 -0.054 20 0 EBADMM CC(C)[C@@H]1CNCCN1C(=O)C(=O)N1C[C@@H](C)O[C@H](C(=O)[O-])C1 ZINC001591096830 991695459 /nfs/dbraw/zinc/69/54/59/991695459.db2.gz UYCFBGOYADHAEL-WOPDTQHZSA-N -1 1 327.381 -0.857 20 0 EBADMM COc1nsc(CNCCNS(=O)(=O)N(C)C)c1C(=O)[O-] ZINC001599742523 991879882 /nfs/dbraw/zinc/87/98/82/991879882.db2.gz PZFUEPOOVBUERF-UHFFFAOYSA-N -1 1 338.411 -0.665 20 0 EBADMM CS(=O)(=O)C1(C(=O)N[C@H](Cc2cnc[nH]2)C(=O)[O-])CCCC1 ZINC001599743083 991889096 /nfs/dbraw/zinc/88/90/96/991889096.db2.gz CDTQGHCRJFYTGL-SNVBAGLBSA-N -1 1 329.378 -0.121 20 0 EBADMM CC(C)C[C@@H](NC(=O)C[N@H+]1C[C@@H]2C(=O)N(C)C(=O)[C@@H]2C1)C(=O)[O-] ZINC001591169049 991950704 /nfs/dbraw/zinc/95/07/04/991950704.db2.gz CYKGDUUFAKMIKW-OUAUKWLOSA-N -1 1 325.365 -0.852 20 0 EBADMM CC(C)C[C@@H](NC(=O)CN1C[C@@H]2C(=O)N(C)C(=O)[C@@H]2C1)C(=O)[O-] ZINC001591169049 991950710 /nfs/dbraw/zinc/95/07/10/991950710.db2.gz CYKGDUUFAKMIKW-OUAUKWLOSA-N -1 1 325.365 -0.852 20 0 EBADMM CS(=O)(=O)CCCCCNS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC001599750238 991981165 /nfs/dbraw/zinc/98/11/65/991981165.db2.gz RCTASIMLFXTUFP-UHFFFAOYSA-N -1 1 340.379 -0.134 20 0 EBADMM CS(=O)(=O)Cc1n[n-]c(C[NH2+][C@@H]2C[C@@H]3C[C@H](C(=O)[O-])C[C@@H]23)n1 ZINC001599753415 992020968 /nfs/dbraw/zinc/02/09/68/992020968.db2.gz PPSWDVLJAWAZAF-AXTSPUMRSA-N -1 1 328.394 -0.062 20 0 EBADMM CS(=O)(=O)N(CCN1CC[C@@](O)(C(=O)[O-])C1)Cc1ccccc1 ZINC001599754240 992030683 /nfs/dbraw/zinc/03/06/83/992030683.db2.gz XSXPJIKVFKUSPE-HNNXBMFYSA-N -1 1 342.417 -0.030 20 0 EBADMM CN(CCNC(=O)c1nc(S(C)(=O)=O)ccc1Cl)CC(=O)[O-] ZINC001598494830 992346605 /nfs/dbraw/zinc/34/66/05/992346605.db2.gz BFXPFGXXRYVEMN-UHFFFAOYSA-N -1 1 349.796 -0.115 20 0 EBADMM CN(Cc1cnc[nH]1)C(=O)C(=O)N1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001598505276 992495178 /nfs/dbraw/zinc/49/51/78/992495178.db2.gz JYJUNPNYOJTQFN-JTQLQIEISA-N -1 1 347.335 -0.869 20 0 EBADMM CN1CC[C@](O)(CNS(=O)(=O)c2ccc(OCC(=O)[O-])cc2)C1 ZINC001598586593 993392514 /nfs/dbraw/zinc/39/25/14/993392514.db2.gz VAKFLCLNYYZCNF-AWEZNQCLSA-N -1 1 344.389 -0.505 20 0 EBADMM CN1CCC[C@H]1C(=O)N[C@H]1C[C@H](CNc2ccnc(C(=O)[O-])n2)C1 ZINC001598594705 993487182 /nfs/dbraw/zinc/48/71/82/993487182.db2.gz QQOQUFPPUFZPJH-SRVKXCTJSA-N -1 1 333.392 -0.002 20 0 EBADMM CN1CCC[C@@H]1C(=O)N[C@H](CNc1ccnc(C(=O)[O-])n1)C1CC1 ZINC001598602942 993591749 /nfs/dbraw/zinc/59/17/49/993591749.db2.gz VGVAQXFPNGVRRD-VXGBXAGGSA-N -1 1 333.392 -0.002 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)[C@@H]2CCc3c[nH]nc3C2)CC1 ZINC001598617726 993923560 /nfs/dbraw/zinc/92/35/60/993923560.db2.gz DVNYVRZEHGLLGK-CYBMUJFWSA-N -1 1 349.435 -0.325 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)[C@@H]2CCN(C)C(=O)C2)CC1 ZINC001598617735 993923876 /nfs/dbraw/zinc/92/38/76/993923876.db2.gz DYBNHDUHMQKHGI-CYBMUJFWSA-N -1 1 340.424 -0.985 20 0 EBADMM CN1CCN(Cc2cccc(C(=O)NC[C@@H](O)CC(=O)[O-])c2)CC1 ZINC001598619787 993980143 /nfs/dbraw/zinc/98/01/43/993980143.db2.gz SGIMMHQZSQELOI-HNNXBMFYSA-N -1 1 335.404 -0.001 20 0 EBADMM CN1CCN(S(=O)(=O)c2c[nH]c3ncncc23)C[C@H](C(=O)[O-])C1 ZINC001598621666 994015124 /nfs/dbraw/zinc/01/51/24/994015124.db2.gz UTSNTBUWRMWXCZ-SECBINFHSA-N -1 1 339.377 -0.454 20 0 EBADMM CN1CCN(c2cccc(C(=O)N3CCC[C@@H](O)[C@H]3C(=O)[O-])n2)CC1 ZINC001598624993 994074093 /nfs/dbraw/zinc/07/40/93/994074093.db2.gz WEEHFFSVHMASIC-HIFRSBDPSA-N -1 1 348.403 -0.117 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[NH2+]C[C@@H]2C(=O)[O-])co1 ZINC001598673279 994796415 /nfs/dbraw/zinc/79/64/15/994796415.db2.gz KYOKYCZRFLPMCR-SECBINFHSA-N -1 1 331.350 -0.924 20 0 EBADMM COC(=O)[C@H]1CN(C(=O)c2cn[nH]c2-c2cnn(C)c2)C[C@@H]1C(=O)[O-] ZINC001598814699 996260012 /nfs/dbraw/zinc/26/00/12/996260012.db2.gz CRNZBWLOYIAJIJ-QWRGUYRKSA-N -1 1 347.331 -0.244 20 0 EBADMM COC(=O)[C@H]1CN(C(=O)NCc2n[nH]c(C3CC3)n2)C[C@H]1C(=O)[O-] ZINC001598818283 996295251 /nfs/dbraw/zinc/29/52/51/996295251.db2.gz XOTJSIKJFIGPAU-BDAKNGLRSA-N -1 1 337.336 -0.303 20 0 EBADMM COC(=O)[C@@H]1CN(Cc2cccc(S(N)(=O)=O)c2)C[C@H]1C(=O)[O-] ZINC001598825335 996376013 /nfs/dbraw/zinc/37/60/13/996376013.db2.gz CJBOONNQFDTPLG-VXGBXAGGSA-N -1 1 342.373 -0.360 20 0 EBADMM COCC[C@@H](C)CNC(=O)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC001599210029 997482987 /nfs/dbraw/zinc/48/29/87/997482987.db2.gz YKOPMIMNMNLJKG-GFCCVEGCSA-N -1 1 343.424 -0.217 20 0 EBADMM COCC[C@H](C)CNC(=O)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC001599210030 997483041 /nfs/dbraw/zinc/48/30/41/997483041.db2.gz YKOPMIMNMNLJKG-LBPRGKRZSA-N -1 1 343.424 -0.217 20 0 EBADMM COC(=O)c1cc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)n(C)n1 ZINC001598920460 997553771 /nfs/dbraw/zinc/55/37/71/997553771.db2.gz SBYQGBNKYRSVOG-UHFFFAOYSA-N -1 1 338.364 -0.172 20 0 EBADMM COCCN(C)S(=O)(=O)[C@@H]1CCCN(C[C@@](C)(O)C(=O)[O-])C1 ZINC001599231955 997876973 /nfs/dbraw/zinc/87/69/73/997876973.db2.gz IQVSAPOTHPMISJ-DGCLKSJQSA-N -1 1 338.426 -0.806 20 0 EBADMM COCCN1CC[C@@H](NC(=O)C(=O)N2CCC[C@H]2CC(=O)[O-])C1 ZINC001599240944 998040655 /nfs/dbraw/zinc/04/06/55/998040655.db2.gz VENMCRIVFISONO-NEPJUHHUSA-N -1 1 327.381 -0.711 20 0 EBADMM COCCNC(=O)[C@@H]1CC[C@H](C)N(CC(=O)NCCC(=O)[O-])C1 ZINC001599243244 998087139 /nfs/dbraw/zinc/08/71/39/998087139.db2.gz ZMNMLMMUYBKYBP-NWDGAFQWSA-N -1 1 329.397 -0.560 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2C[C@@H](C(=O)[O-])[C@@H]3C[C@@H]32)on1 ZINC001598956090 998243640 /nfs/dbraw/zinc/24/36/40/998243640.db2.gz ZDVXAPVBMVHBNT-CWKFCGSDSA-N -1 1 330.318 -0.151 20 0 EBADMM COCCn1nnc2c1CCN(CCc1cn(CC(=O)[O-])nn1)C2 ZINC001599273195 998573154 /nfs/dbraw/zinc/57/31/54/998573154.db2.gz IGUDHQSYKHVBTK-UHFFFAOYSA-N -1 1 335.368 -0.799 20 0 EBADMM COC(CN(CCC(=O)[O-])C(=O)[C@H]1CN2CCN1C[C@@H]2C)OC ZINC001599047743 999163103 /nfs/dbraw/zinc/16/31/03/999163103.db2.gz XLOYKDMNTXZOJO-NWDGAFQWSA-N -1 1 329.397 -0.703 20 0 EBADMM C[C@H]1CCN(C(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)[C@@H]1CO ZINC001594392498 999479770 /nfs/dbraw/zinc/47/97/70/999479770.db2.gz GNORBKFCKRWQDI-NWDGAFQWSA-N -1 1 341.408 -0.777 20 0 EBADMM C[C@@H]1CCNC(=O)[C@H]1NC(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C ZINC001594439038 999619619 /nfs/dbraw/zinc/61/96/19/999619619.db2.gz KXFYYQYUAMMVGM-MDZLAQPJSA-N -1 1 326.397 -0.299 20 0 EBADMM C[C@@H]1CN(C(=O)C(=O)N2C[C@@H](C(=O)[O-])Oc3ccccc32)CCN1 ZINC001594456361 999659941 /nfs/dbraw/zinc/65/99/41/999659941.db2.gz YTJXLJIJNJSFQJ-MFKMUULPSA-N -1 1 333.344 -0.315 20 0 EBADMM C[C@H](C(=O)N1CCN(CCC(=O)[O-])[C@H](C)C1)N1C(=O)CCC1=O ZINC001594463588 999678796 /nfs/dbraw/zinc/67/87/96/999678796.db2.gz XCVOLGDYSPRRGY-GHMZBOCLSA-N -1 1 325.365 -0.469 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2CC(c3cnn(C)c3)=NO2)CCN1CCC(=O)[O-] ZINC001594463662 999678935 /nfs/dbraw/zinc/67/89/35/999678935.db2.gz XUDZTMCJIXFXFZ-RISCZKNCSA-N -1 1 349.391 -0.080 20 0 EBADMM C[C@@H]1CN(C(=O)CCCn2c(=O)[n-][nH]c2=O)CC[N@H+]1CCC(=O)[O-] ZINC001594464649 999680200 /nfs/dbraw/zinc/68/02/00/999680200.db2.gz BXWDZNGEYKTVPS-SNVBAGLBSA-N -1 1 341.368 -0.523 20 0 EBADMM C[C@H]1CN(C(=O)[C@@H]2COCCN2CC(F)F)CCN1CCC(=O)[O-] ZINC001594465816 999685260 /nfs/dbraw/zinc/68/52/60/999685260.db2.gz CADIWSLTVDOYOH-RYUDHWBXSA-N -1 1 349.378 -0.040 20 0 EBADMM Cc1nc([C@H](C)N(C)CCNC(=O)c2c[n-]n3c2nccc3=O)n[nH]1 ZINC001690981674 1125796179 /nfs/dbraw/zinc/79/61/79/1125796179.db2.gz ABUYJVNGSXIYRB-VIFPVBQESA-N -1 1 344.379 -0.128 20 0 EBADMM CNS(=O)(=O)[C@H]1CCN(C(=O)c2c(C)[n-]c(=O)nc2SC)C1 ZINC001649729168 1125802224 /nfs/dbraw/zinc/80/22/24/1125802224.db2.gz KCGFQLQEWNAFHA-QMMMGPOBSA-N -1 1 346.434 -0.024 20 0 EBADMM CC(C)OCCCN1CC[C@H]1CNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001670663155 1125812230 /nfs/dbraw/zinc/81/22/30/1125812230.db2.gz VXSXXGVTNKNOJY-JTQLQIEISA-N -1 1 325.369 -0.098 20 0 EBADMM Cc1csc(=O)n1CCC(=O)N(C)CCNCc1n[nH]c(=O)[n-]1 ZINC001691345636 1125852776 /nfs/dbraw/zinc/85/27/76/1125852776.db2.gz GJYQWIPPUVLFAI-UHFFFAOYSA-N -1 1 340.409 -0.320 20 0 EBADMM COCC(=O)N(C)CC(=O)NCCN(C)C(=O)c1ncccc1[O-] ZINC001408592157 1125876999 /nfs/dbraw/zinc/87/69/99/1125876999.db2.gz UYNGNUUCSUXOFO-UHFFFAOYSA-N -1 1 338.364 -0.920 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCN(C)Cc1nccc(C)n1 ZINC001480936165 1125884169 /nfs/dbraw/zinc/88/41/69/1125884169.db2.gz XMBDQQDWFRWNKS-UHFFFAOYSA-N -1 1 348.363 -0.143 20 0 EBADMM CN(CCNC(=O)[C@@]1(C)CCNC(=O)C1)C(=O)c1ncccc1[O-] ZINC001408610272 1125887917 /nfs/dbraw/zinc/88/79/17/1125887917.db2.gz HCHFMSAMFAABTN-INIZCTEOSA-N -1 1 334.376 -0.108 20 0 EBADMM CCN(CCNC(=O)[C@H]1CCCN1C(C)=O)Cc1nc(=O)n(C)[n-]1 ZINC001481019455 1125907979 /nfs/dbraw/zinc/90/79/79/1125907979.db2.gz SSUPIDQKWGEKFW-GFCCVEGCSA-N -1 1 338.412 -0.943 20 0 EBADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)[C@H]1CC(=O)N(C)C1 ZINC001408734634 1125938847 /nfs/dbraw/zinc/93/88/47/1125938847.db2.gz CGPHVGUMACQBQM-NSHDSACASA-N -1 1 334.376 -0.156 20 0 EBADMM CNC(=O)c1cccc(C(=O)N(C)CCNCc2n[nH]c(=O)[n-]2)n1 ZINC001481310284 1125969938 /nfs/dbraw/zinc/96/99/38/1125969938.db2.gz PODBQKJQWUQDCN-UHFFFAOYSA-N -1 1 333.352 -0.873 20 0 EBADMM CC[C@H](CNC(=O)c1c(C)nn(C)c1OC)NCc1n[nH]c(=O)[n-]1 ZINC001481855876 1126062209 /nfs/dbraw/zinc/06/22/09/1126062209.db2.gz VGKMTCRTTLQQBI-SECBINFHSA-N -1 1 337.384 -0.141 20 0 EBADMM COC[C@@H](OC)C(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001481905140 1126065711 /nfs/dbraw/zinc/06/57/11/1126065711.db2.gz WELSTZNMIKVENF-SNVBAGLBSA-N -1 1 327.385 -0.310 20 0 EBADMM CC1(C(=O)N2CC[C@@H]2CNC(=O)CCn2cc[n-]c(=O)c2=O)CCC1 ZINC001358622633 1131234108 /nfs/dbraw/zinc/23/41/08/1131234108.db2.gz NSTFIVXQSQGACU-GFCCVEGCSA-N -1 1 348.403 -0.166 20 0 EBADMM CNC(=O)[C@H](CCSC)[N-]S(=O)(=O)N=[S@](C)(=O)N(C)C ZINC001414275118 1126126644 /nfs/dbraw/zinc/12/66/44/1126126644.db2.gz GEWYPMNAQKVXIG-RLBGWGEZSA-N -1 1 346.500 -0.737 20 0 EBADMM CNC(=O)NCC(=O)N(C)C[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001408990745 1126128168 /nfs/dbraw/zinc/12/81/68/1126128168.db2.gz DRLBHJXDOKPERT-NSHDSACASA-N -1 1 349.391 -0.363 20 0 EBADMM COc1c[nH]c(C(=O)N(C)[C@H](C)CNCc2n[nH]c(=O)[n-]2)cc1=O ZINC001482309892 1126128355 /nfs/dbraw/zinc/12/83/55/1126128355.db2.gz JYSLFGZGAYBICI-MRVPVSSYSA-N -1 1 336.352 -0.543 20 0 EBADMM CN(C)S(=O)(=O)CCS(=O)(=O)[N-][C@]1(C)CC(C)(C)OC1=O ZINC001363986346 1126132689 /nfs/dbraw/zinc/13/26/89/1126132689.db2.gz OVGUXZLUYSSRGM-LLVKDONJSA-N -1 1 342.439 -0.719 20 0 EBADMM CC(C)C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CN1CCOCC1 ZINC001482380885 1126141986 /nfs/dbraw/zinc/14/19/86/1126141986.db2.gz HUOKMCDGBSHTQO-GFCCVEGCSA-N -1 1 340.428 -0.537 20 0 EBADMM CCCCC(=O)NCC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001409122464 1126207518 /nfs/dbraw/zinc/20/75/18/1126207518.db2.gz LZOSVPJGGHBVRX-UHFFFAOYSA-N -1 1 332.364 -0.204 20 0 EBADMM CCC(=O)N[C@@H](C)C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001409136561 1126211560 /nfs/dbraw/zinc/21/15/60/1126211560.db2.gz RAGZGSUCANOUJJ-JTQLQIEISA-N -1 1 334.376 -0.110 20 0 EBADMM COc1nscc1S(=O)(=O)[N-]CC(C)(C)CS(N)(=O)=O ZINC001414322799 1126212334 /nfs/dbraw/zinc/21/23/34/1126212334.db2.gz KSHJLXPUVXGDRN-UHFFFAOYSA-N -1 1 343.452 -0.255 20 0 EBADMM CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@H](C)C(=O)N(C)C ZINC001414232468 1126238247 /nfs/dbraw/zinc/23/82/47/1126238247.db2.gz WEJZKUWXBXHFDB-MRVPVSSYSA-N -1 1 332.382 -0.350 20 0 EBADMM CN(CCN(C)C(=O)c1ccc2n[nH]nc2c1)Cc1nc(=O)n(C)[n-]1 ZINC001482648280 1126244893 /nfs/dbraw/zinc/24/48/93/1126244893.db2.gz BKDWWIQDBUEXEM-UHFFFAOYSA-N -1 1 344.379 -0.416 20 0 EBADMM Cc1oncc1CN(C)CCN(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001482635477 1126243649 /nfs/dbraw/zinc/24/36/49/1126243649.db2.gz DCQNVDSULCVDJP-UHFFFAOYSA-N -1 1 349.391 -0.186 20 0 EBADMM CCOC[C@@H](C(=O)OC)N(C)S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001414232683 1126248678 /nfs/dbraw/zinc/24/86/78/1126248678.db2.gz YMDVPEFDSGKBHZ-VIFPVBQESA-N -1 1 349.365 -0.605 20 0 EBADMM CCOC[C@@H](C(=O)OC)N(C)S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001414232683 1126248683 /nfs/dbraw/zinc/24/86/83/1126248683.db2.gz YMDVPEFDSGKBHZ-VIFPVBQESA-N -1 1 349.365 -0.605 20 0 EBADMM CCCn1ncnc1CNC[C@H](O)CNC(=O)c1ncccc1[O-] ZINC001482720764 1126258067 /nfs/dbraw/zinc/25/80/67/1126258067.db2.gz FLTWXNPBGLLDPF-NSHDSACASA-N -1 1 334.380 -0.331 20 0 EBADMM CCc1onc(C)c1C[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001414347039 1126317140 /nfs/dbraw/zinc/31/71/40/1126317140.db2.gz LQTNQXWQNKIHHR-UHFFFAOYSA-N -1 1 328.350 -0.177 20 0 EBADMM Cc1cnc(CNC/C=C/CNC(=O)CCn2cc[n-]c(=O)c2=O)o1 ZINC001483071862 1126329093 /nfs/dbraw/zinc/32/90/93/1126329093.db2.gz PNOLEVIYHJJGKK-NSCUHMNNSA-N -1 1 347.375 -0.315 20 0 EBADMM C[C@H](CNCc1cnnn1C)NC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001483183828 1126351504 /nfs/dbraw/zinc/35/15/04/1126351504.db2.gz RAZQKZINLXRMIN-MRVPVSSYSA-N -1 1 331.336 -0.035 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)COCc1ccnn1C ZINC001409391736 1126362620 /nfs/dbraw/zinc/36/26/20/1126362620.db2.gz UACHQFOEHDYMHD-ZJUUUORDSA-N -1 1 337.384 -0.557 20 0 EBADMM C[C@@H](CNC(=O)[C@@H]1Cc2cccnc2C1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001409657012 1126389215 /nfs/dbraw/zinc/38/92/15/1126389215.db2.gz QJGSLYADTACRDX-WCQYABFASA-N -1 1 344.419 -0.145 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1ccnc(-n2ccnc2)c1 ZINC001483295225 1126414620 /nfs/dbraw/zinc/41/46/20/1126414620.db2.gz GOMSKVZAHPTMCZ-JTQLQIEISA-N -1 1 342.363 -0.001 20 0 EBADMM C[C@@H](CN(C)Cc1ccon1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001483395104 1126423359 /nfs/dbraw/zinc/42/33/59/1126423359.db2.gz FFRHDMQZUOVUNF-NSHDSACASA-N -1 1 335.364 -0.449 20 0 EBADMM C[C@@H](CN(C)Cc1cc(=O)n2[n-]ccc2n1)NC(=O)CCCC(N)=O ZINC001483426717 1126427489 /nfs/dbraw/zinc/42/74/89/1126427489.db2.gz FYOSFBKNIOOMLJ-NSHDSACASA-N -1 1 348.407 -0.385 20 0 EBADMM O=C(NCC1(NCc2n[nH]c(=O)[n-]2)CCOCC1)[C@H]1CC1(F)F ZINC001484182148 1126512471 /nfs/dbraw/zinc/51/24/71/1126512471.db2.gz WMWBHEMKCMFPTE-MRVPVSSYSA-N -1 1 331.323 -0.080 20 0 EBADMM COc1cc(S(=O)(=O)[N-]CCC2CS(=O)(=O)C2)sn1 ZINC001413332546 1130021523 /nfs/dbraw/zinc/02/15/23/1130021523.db2.gz WLLHIBCUPBWTSI-UHFFFAOYSA-N -1 1 326.421 -0.135 20 0 EBADMM O=C(CN1CCOCC1)N1CC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001484515416 1126600651 /nfs/dbraw/zinc/60/06/51/1126600651.db2.gz HGWOEHQDRXGORN-LBPRGKRZSA-N -1 1 338.412 -0.829 20 0 EBADMM O=C(C[C@H]1CCNC1=O)N1CC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001484523892 1126601719 /nfs/dbraw/zinc/60/17/19/1126601719.db2.gz WPXWSXJVXRQEJE-WDEREUQCSA-N -1 1 336.396 -0.635 20 0 EBADMM Cc1nn(C)c(=O)c(C(=O)N[C@H](C)[C@@H](C)NCc2n[nH]c(=O)[n-]2)c1C ZINC001485178678 1126679255 /nfs/dbraw/zinc/67/92/55/1126679255.db2.gz CLLDDNAKQNUTAO-NXEZZACHSA-N -1 1 349.395 -0.483 20 0 EBADMM C[C@H](CNC(=O)c1cc(C2CC2)n(C)n1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001485309554 1126687366 /nfs/dbraw/zinc/68/73/66/1126687366.db2.gz LOTTYYCIHWPKDD-SNVBAGLBSA-N -1 1 347.423 -0.030 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)CC3(O)CCC3)C(C)(C)C2)nc1=O ZINC001485567709 1126755029 /nfs/dbraw/zinc/75/50/29/1126755029.db2.gz OWPWPJQBJRRFQW-LLVKDONJSA-N -1 1 337.424 -0.260 20 0 EBADMM CN(CCOCCNC(=O)C1CC2(CC2)C1)Cc1nc(=O)n(C)[n-]1 ZINC001485777570 1126824003 /nfs/dbraw/zinc/82/40/03/1126824003.db2.gz VOJSYZVNFRIEHS-UHFFFAOYSA-N -1 1 337.424 -0.137 20 0 EBADMM C[C@H](CC(=O)NCCCN(C)C(=O)c1ncccc1[O-])NC(N)=O ZINC001687474901 1126875708 /nfs/dbraw/zinc/87/57/08/1126875708.db2.gz JMPDTQMKTKSFRU-SNVBAGLBSA-N -1 1 337.380 -0.188 20 0 EBADMM C[C@H](CN(C)C(=O)Cc1nc(C2CC2)nn1C)NCc1n[nH]c(=O)[n-]1 ZINC001485958531 1126938721 /nfs/dbraw/zinc/93/87/21/1126938721.db2.gz MESQPSZQGIIQLB-SECBINFHSA-N -1 1 348.411 -0.305 20 0 EBADMM Cn1[n-]c(CN[C@@H](CO)CNC(=O)c2ncc(Cl)s2)nc1=O ZINC001486016214 1126967596 /nfs/dbraw/zinc/96/75/96/1126967596.db2.gz ANBOEDDLABOOFP-ZCFIWIBFSA-N -1 1 346.800 -0.901 20 0 EBADMM Cn1[n-]c(CN[C@H](CO)CNC(=O)c2ccoc2C2CC2)nc1=O ZINC001486028243 1126999045 /nfs/dbraw/zinc/99/90/45/1126999045.db2.gz UKJWHOWLGADUFZ-JTQLQIEISA-N -1 1 335.364 -0.541 20 0 EBADMM C[C@H]1CC[C@H](C(=O)NC[C@@H](CO)NCc2nc(=O)n(C)[n-]2)CC1 ZINC001486054999 1127024567 /nfs/dbraw/zinc/02/45/67/1127024567.db2.gz HMBUXFPYLJHJRW-SRVKXCTJSA-N -1 1 325.413 -0.499 20 0 EBADMM C[C@@H]1[C@H](NC(=O)CCn2cccn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001486164206 1127052644 /nfs/dbraw/zinc/05/26/44/1127052644.db2.gz QNHFXWKGLAVSNQ-CHWSQXEVSA-N -1 1 347.423 -0.136 20 0 EBADMM CN(C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)[C@H]1Cc2cccnc2C1 ZINC001486314424 1127117109 /nfs/dbraw/zinc/11/71/09/1127117109.db2.gz WKMLUBDMTYGBOC-RYUDHWBXSA-N -1 1 346.391 -0.771 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H](O)CN(C)C(=O)c1cc(F)c[nH]1 ZINC001486344283 1127155975 /nfs/dbraw/zinc/15/59/75/1127155975.db2.gz VOVFSRMWKCGPRF-SNVBAGLBSA-N -1 1 340.359 -0.860 20 0 EBADMM CCC(=O)N1CCCC[C@@H]1CNC(=O)CCNCc1n[nH]c(=O)[n-]1 ZINC001486485086 1127185746 /nfs/dbraw/zinc/18/57/46/1127185746.db2.gz ZVWJSEFYWZZFPE-LLVKDONJSA-N -1 1 338.412 -0.103 20 0 EBADMM CNC(=O)C1(C(=O)N[C@H](C)[C@@H](C)NC(=O)c2ncccc2[O-])CC1 ZINC001486686413 1127236309 /nfs/dbraw/zinc/23/63/09/1127236309.db2.gz DILRHAGHPTVLME-NXEZZACHSA-N -1 1 334.376 -0.064 20 0 EBADMM C[C@@H](NC(=O)CCCF)[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001486722366 1127244635 /nfs/dbraw/zinc/24/46/35/1127244635.db2.gz MNOMCFASDOMLKZ-MNOVXSKESA-N -1 1 342.371 -0.314 20 0 EBADMM CNC(=O)NCC(=O)NC[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001487312456 1127462585 /nfs/dbraw/zinc/46/25/85/1127462585.db2.gz CMPJKNUQAXNWGP-RTCCRHLQSA-N -1 1 347.375 -0.850 20 0 EBADMM C[C@]1(C(=O)NC[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)CCNC1=O ZINC001487418036 1127495755 /nfs/dbraw/zinc/49/57/55/1127495755.db2.gz MSOGZRGZGXHRLE-DINDLPBHSA-N -1 1 346.387 -0.062 20 0 EBADMM C[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)[C@@H]1CCCCO1 ZINC001410174171 1127630453 /nfs/dbraw/zinc/63/04/53/1127630453.db2.gz AQBKCVAWOHPBMW-KOLCDFICSA-N -1 1 348.363 -0.779 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)CNC(=O)[C@@H]1CC12CC2 ZINC001410262315 1127662473 /nfs/dbraw/zinc/66/24/73/1127662473.db2.gz XXKZCBZZIXPZNR-SFYZADRCSA-N -1 1 336.348 -0.069 20 0 EBADMM C[C@@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)[C@]12C[C@H]1CCC2 ZINC001410447523 1127717206 /nfs/dbraw/zinc/71/72/06/1127717206.db2.gz ZTAQUJKXCLMRJK-YNHOBRIKSA-N -1 1 344.375 -0.158 20 0 EBADMM Cc1nn(C)c(=O)c(C(=O)N(C)C[C@@H](C)NCc2n[nH]c(=O)[n-]2)c1C ZINC001410449625 1127717650 /nfs/dbraw/zinc/71/76/50/1127717650.db2.gz UYGGCYASUPSIQN-MRVPVSSYSA-N -1 1 349.395 -0.529 20 0 EBADMM O=C(NC1(CNC(=O)c2ncccc2[O-])CC1)c1cn[nH]c(=O)c1 ZINC001410693925 1127820880 /nfs/dbraw/zinc/82/08/80/1127820880.db2.gz MLIMILSQFLXDPU-UHFFFAOYSA-N -1 1 329.316 -0.025 20 0 EBADMM C[C@H]1[C@H]([NH2+]Cc2nc(=O)n(C)[n-]2)CCN1C(=O)COCC(F)F ZINC001410851475 1127916385 /nfs/dbraw/zinc/91/63/85/1127916385.db2.gz NQSDBVUWPSTXQN-DTWKUNHWSA-N -1 1 333.339 -0.531 20 0 EBADMM CN(C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)Cc1ccsc1 ZINC001410972056 1127956231 /nfs/dbraw/zinc/95/62/31/1127956231.db2.gz GCHFLWKYNWBPNJ-JTQLQIEISA-N -1 1 325.394 -0.277 20 0 EBADMM CC[C@H](NC(C)=O)C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001410999641 1127966876 /nfs/dbraw/zinc/96/68/76/1127966876.db2.gz WYPYYXUCQXPJCA-WDEREUQCSA-N -1 1 338.412 -0.248 20 0 EBADMM CN(C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)Cc1cncs1 ZINC001411065873 1127997505 /nfs/dbraw/zinc/99/75/05/1127997505.db2.gz HDANDDDNUSYZMP-MRVPVSSYSA-N -1 1 326.382 -0.882 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)[C@H]1CCCC(=O)N1 ZINC001092707450 1128262746 /nfs/dbraw/zinc/26/27/46/1128262746.db2.gz IYQRLMDMCNQXAB-GMTAPVOTSA-N -1 1 336.396 -0.637 20 0 EBADMM CO[C@H](C(=O)N[C@@H](CO)CNC(=O)c1ncccc1[O-])C(C)C ZINC001487974991 1128470746 /nfs/dbraw/zinc/47/07/46/1128470746.db2.gz BKTWFMWXZPRZQC-MFKMUULPSA-N -1 1 325.365 -0.335 20 0 EBADMM O=C(N[C@@H](CO)CNC(=O)[C@H]1CCCOCC1)c1ncccc1[O-] ZINC001487985505 1128521221 /nfs/dbraw/zinc/52/12/21/1128521221.db2.gz KUTKAHZZHLSVAQ-NWDGAFQWSA-N -1 1 337.376 -0.189 20 0 EBADMM CN(C[C@@H](O)CNC(=O)CC(C)(C)O)C(=O)c1ncccc1[O-] ZINC001488350630 1128688316 /nfs/dbraw/zinc/68/83/16/1128688316.db2.gz KCLZZCQPXJMNPH-JTQLQIEISA-N -1 1 325.365 -0.503 20 0 EBADMM CN(C[C@@H](O)CNC(=O)c1ncccc1[O-])C(=O)Cc1cnn(C)c1 ZINC001488338209 1128724104 /nfs/dbraw/zinc/72/41/04/1128724104.db2.gz KKZGRTJAEGTBBV-LBPRGKRZSA-N -1 1 347.375 -0.688 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)CCc1cncn1C ZINC001488691165 1128826830 /nfs/dbraw/zinc/82/68/30/1128826830.db2.gz UXMOFBBXJYETFV-CYBMUJFWSA-N -1 1 347.423 -0.493 20 0 EBADMM C[C@H](CC(N)=O)C(=O)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001489264238 1128938604 /nfs/dbraw/zinc/93/86/04/1128938604.db2.gz KDZWPWZDCBFJNL-GRYCIOLGSA-N -1 1 346.387 -0.028 20 0 EBADMM CC(C)(C)OCC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001489571121 1129009251 /nfs/dbraw/zinc/00/92/51/1129009251.db2.gz VFIIBPVFPBLOSL-PWSUYJOCSA-N -1 1 337.376 -0.096 20 0 EBADMM COC(=O)NCC(=O)N1C[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001489637691 1129035613 /nfs/dbraw/zinc/03/56/13/1129035613.db2.gz NQXNIWYMACZEOF-GHMZBOCLSA-N -1 1 348.359 -0.184 20 0 EBADMM Cn1nnc(CNC[C@](C)(NC(=O)c2ncccc2[O-])C2CC2)n1 ZINC001495242668 1129040505 /nfs/dbraw/zinc/04/05/05/1129040505.db2.gz UCDJSEZRANRQMW-HNNXBMFYSA-N -1 1 331.380 -0.001 20 0 EBADMM CCNC(=O)CN(CC)CCCNC(=O)c1c[n-]n2c1nccc2=O ZINC001490348718 1129162526 /nfs/dbraw/zinc/16/25/26/1129162526.db2.gz GVAFRGIWVNUGJH-UHFFFAOYSA-N -1 1 348.407 -0.400 20 0 EBADMM O=C(Cc1c[nH]cn1)NCCCN1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001490471999 1129184212 /nfs/dbraw/zinc/18/42/12/1129184212.db2.gz BFCMVAZSBLPGTA-UHFFFAOYSA-N -1 1 348.411 -0.900 20 0 EBADMM O=C(NCCCN1CCN(Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1CC12CC2 ZINC001490472179 1129184265 /nfs/dbraw/zinc/18/42/65/1129184265.db2.gz FQDKWBZSSOVXHB-GFCCVEGCSA-N -1 1 334.424 -0.066 20 0 EBADMM Cn1[n-]c(CN2CCN(CCNC(=O)[C@@H]3CC3(C)C)CC2)nc1=O ZINC001490487668 1129190862 /nfs/dbraw/zinc/19/08/62/1129190862.db2.gz JZFLMTUXNFMLGJ-LBPRGKRZSA-N -1 1 336.440 -0.612 20 0 EBADMM C[C@]1(CNC(=O)c2nnc[nH]2)CN(C(=O)c2ncccc2[O-])CCO1 ZINC001490535000 1129202338 /nfs/dbraw/zinc/20/23/38/1129202338.db2.gz HAOKCBGYRHRZOB-HNNXBMFYSA-N -1 1 346.347 -0.434 20 0 EBADMM CCn1nc(C(=O)NC[C@@H](NCc2n[nH]c(=O)[n-]2)C2CC2)ccc1=O ZINC001490719810 1129244739 /nfs/dbraw/zinc/24/47/39/1129244739.db2.gz JQGRLGMSSKONBC-LLVKDONJSA-N -1 1 347.379 -0.615 20 0 EBADMM CCn1nc(C(=O)NC[C@H](NCc2n[nH]c(=O)[n-]2)C2CC2)ccc1=O ZINC001490719812 1129245113 /nfs/dbraw/zinc/24/51/13/1129245113.db2.gz JQGRLGMSSKONBC-NSHDSACASA-N -1 1 347.379 -0.615 20 0 EBADMM O=C(CCc1ncccn1)NC[C@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001490720463 1129245122 /nfs/dbraw/zinc/24/51/22/1129245122.db2.gz PJLONJIGQRLLJQ-NSHDSACASA-N -1 1 331.380 -0.083 20 0 EBADMM O=C(CN1CCN(C(=O)CCc2nn[n-]n2)CC1)Nc1ccccc1 ZINC001411665333 1129345920 /nfs/dbraw/zinc/34/59/20/1129345920.db2.gz MNTDRFCKEIZDNG-UHFFFAOYSA-N -1 1 343.391 -0.085 20 0 EBADMM CC1=C(C(=O)N2CC(CCO)(NC(=O)c3cnn[nH]3)C2)CCCO1 ZINC001411775129 1129378622 /nfs/dbraw/zinc/37/86/22/1129378622.db2.gz CJKZOLUBGCFAQN-UHFFFAOYSA-N -1 1 335.364 -0.418 20 0 EBADMM C[C@@]1(CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)CCS(=O)(=O)N1 ZINC001411938934 1129407027 /nfs/dbraw/zinc/40/70/27/1129407027.db2.gz QJOAYWHOIBKETF-CABZTGNLSA-N -1 1 328.398 -0.622 20 0 EBADMM C[C@]1(CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)CCS(=O)(=O)N1 ZINC001411938938 1129407169 /nfs/dbraw/zinc/40/71/69/1129407169.db2.gz QJOAYWHOIBKETF-JOYOIKCWSA-N -1 1 328.398 -0.622 20 0 EBADMM C[C@@]1(CC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)CCS(=O)(=O)N1 ZINC001412053513 1129436265 /nfs/dbraw/zinc/43/62/65/1129436265.db2.gz WJRHEIZMLCWVOC-ZANVPECISA-N -1 1 344.393 -0.047 20 0 EBADMM O=C(c1cc2c([nH]c1=O)CCC2)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001412053799 1129436328 /nfs/dbraw/zinc/43/63/28/1129436328.db2.gz BXUKSZYBSVGUBG-UHFFFAOYSA-N -1 1 330.348 -0.087 20 0 EBADMM CCC(CC)(NC(=O)C1=CN(C)S(=O)(=O)N=C1C)c1nn[n-]n1 ZINC001412114542 1129452709 /nfs/dbraw/zinc/45/27/09/1129452709.db2.gz YOTQEZXLDCFROB-UHFFFAOYSA-N -1 1 341.397 -0.134 20 0 EBADMM CN(CC(=O)N(C)c1nn[n-]n1)C1=NS(=O)(=O)c2ccccc21 ZINC001412226631 1129480324 /nfs/dbraw/zinc/48/03/24/1129480324.db2.gz KDADOOPSMUFPLU-UHFFFAOYSA-N -1 1 335.349 -0.757 20 0 EBADMM O=C(Nc1ccc(OCc2nn[n-]n2)cc1)[C@@H]1CSCC(=O)N1 ZINC001412569229 1129564574 /nfs/dbraw/zinc/56/45/74/1129564574.db2.gz CEYHGHBGAIRVAL-JTQLQIEISA-N -1 1 334.361 -0.051 20 0 EBADMM COCC(=O)N[C@H](C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1)C(C)C ZINC001412619679 1129578430 /nfs/dbraw/zinc/57/84/30/1129578430.db2.gz ZYCQDLRMBLQKLZ-FZMZJTMJSA-N -1 1 338.412 -0.232 20 0 EBADMM COC(=O)[C@H](Cn1cncn1)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001412702844 1129597216 /nfs/dbraw/zinc/59/72/16/1129597216.db2.gz IOSOIXQKMSQLHQ-JTQLQIEISA-N -1 1 332.320 -0.377 20 0 EBADMM O=C(Cc1ccc(F)cn1)N[C@H](CO)CNC(=O)c1ncccc1[O-] ZINC001412802734 1129658680 /nfs/dbraw/zinc/65/86/80/1129658680.db2.gz CXYOJFGRTUMXJH-LBPRGKRZSA-N -1 1 348.334 -0.229 20 0 EBADMM CCO[C@@H](CC)C(=O)N[C@@H](CO)CNC(=O)c1ncccc1[O-] ZINC001412808934 1129664970 /nfs/dbraw/zinc/66/49/70/1129664970.db2.gz LGCNXEDNTJFVHQ-PWSUYJOCSA-N -1 1 325.365 -0.191 20 0 EBADMM COC(=O)c1c(NC(=O)CCN2CCCC2=O)n[n-]c1OCCO ZINC001412851054 1129732472 /nfs/dbraw/zinc/73/24/72/1129732472.db2.gz LXQXXUWGQOTSNK-UHFFFAOYSA-N -1 1 340.336 -0.482 20 0 EBADMM COC(=O)c1c(OCCO)n[n-]c1NC(=O)CCN1CCCC1=O ZINC001412851054 1129732476 /nfs/dbraw/zinc/73/24/76/1129732476.db2.gz LXQXXUWGQOTSNK-UHFFFAOYSA-N -1 1 340.336 -0.482 20 0 EBADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)[C@H]3COC(=O)N3)CC2)n1 ZINC001412892088 1129762188 /nfs/dbraw/zinc/76/21/88/1129762188.db2.gz ANQBDKHSBOORHJ-SECBINFHSA-N -1 1 337.336 -0.204 20 0 EBADMM CNC(=O)NCCC(=O)N[C@H]1C[C@@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC001413070625 1129915483 /nfs/dbraw/zinc/91/54/83/1129915483.db2.gz FOOLYJOKRJNWOS-MNOVXSKESA-N -1 1 349.391 -0.175 20 0 EBADMM C[C@H](C(=O)N(C)C[C@@H](O)CNC(=O)c1ncccc1[O-])n1cncn1 ZINC001413239424 1129963828 /nfs/dbraw/zinc/96/38/28/1129963828.db2.gz CAWJOMIXZCDQHH-MNOVXSKESA-N -1 1 348.363 -0.811 20 0 EBADMM CN(C[C@H](O)CNC(=O)Cn1cccn1)C(=O)c1ncccc1[O-] ZINC001413248779 1129969724 /nfs/dbraw/zinc/96/97/24/1129969724.db2.gz OYMVWFGVJLLJKP-LLVKDONJSA-N -1 1 333.348 -0.767 20 0 EBADMM Cc1cc(C(=O)NC[C@@H](O)CN(C)C(=O)c2ncccc2[O-])[nH]n1 ZINC001413248744 1129969804 /nfs/dbraw/zinc/96/98/04/1129969804.db2.gz OMCMNOMLZDHIPH-SNVBAGLBSA-N -1 1 333.348 -0.318 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1C[C@H](O)C[C@]1(C)CO ZINC001413291202 1129991203 /nfs/dbraw/zinc/99/12/03/1129991203.db2.gz MHUZTLQWMJJBBB-BDJLRTHQSA-N -1 1 334.376 -0.062 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@]2(C)CCO[C@H]2C2CC2)c(=O)[nH]c1=O ZINC001413315934 1130010071 /nfs/dbraw/zinc/01/00/71/1130010071.db2.gz DCXGJWQWGPFPFE-GWCFXTLKSA-N -1 1 329.378 -0.278 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCN(C(C)C)C(=O)C2)[n-]n1 ZINC001413350750 1130037885 /nfs/dbraw/zinc/03/78/85/1130037885.db2.gz AFTBFGHKEZWZQY-UHFFFAOYSA-N -1 1 330.366 -0.562 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCN(C(C)C)C(=O)C2)n[n-]1 ZINC001413350750 1130037890 /nfs/dbraw/zinc/03/78/90/1130037890.db2.gz AFTBFGHKEZWZQY-UHFFFAOYSA-N -1 1 330.366 -0.562 20 0 EBADMM CCOCC(=O)N(C)C[C@@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001413368884 1130054544 /nfs/dbraw/zinc/05/45/44/1130054544.db2.gz XJSVQMIMMOPLAS-LLVKDONJSA-N -1 1 325.365 -0.285 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H]1CCCN(C(C)=O)C1 ZINC001413363742 1130048955 /nfs/dbraw/zinc/04/89/55/1130048955.db2.gz WNTUEFSWZRAJJO-SNVBAGLBSA-N -1 1 344.393 -0.267 20 0 EBADMM CN(C[C@H](O)CN(C)C(=O)c1ncccc1[O-])C(=O)Cc1cnoc1 ZINC001413370615 1130057358 /nfs/dbraw/zinc/05/73/58/1130057358.db2.gz FEMAVPFPXJXOGK-LBPRGKRZSA-N -1 1 348.359 -0.091 20 0 EBADMM O=C(C[C@@H]1CCCS(=O)(=O)C1)N1CCOC[C@H]1c1nn[n-]n1 ZINC001413385585 1130065411 /nfs/dbraw/zinc/06/54/11/1130065411.db2.gz HBJYXFHIJVTGMH-UWVGGRQHSA-N -1 1 329.382 -0.686 20 0 EBADMM Cn1ncc(S(=O)(=O)[N-][C@@]2(C(N)=O)CCOC2)c1C(F)(F)F ZINC001413386370 1130065672 /nfs/dbraw/zinc/06/56/72/1130065672.db2.gz IYZRXEQNRXYGJK-VIFPVBQESA-N -1 1 342.299 -0.638 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCN2C[C@@H](C)OC[C@H]2C)[n-]n1 ZINC001413407323 1130075191 /nfs/dbraw/zinc/07/51/91/1130075191.db2.gz VHSVDMHYUBVBJX-NXEZZACHSA-N -1 1 346.409 -0.416 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCN2C[C@@H](C)OC[C@H]2C)n[n-]1 ZINC001413407323 1130075199 /nfs/dbraw/zinc/07/51/99/1130075199.db2.gz VHSVDMHYUBVBJX-NXEZZACHSA-N -1 1 346.409 -0.416 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCO[C@@H](C(F)F)C2)[n-]n1 ZINC001413423599 1130082044 /nfs/dbraw/zinc/08/20/44/1130082044.db2.gz ATVNDXCJRRYAQV-SSDOTTSWSA-N -1 1 325.293 -0.149 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCO[C@@H](C(F)F)C2)n[n-]1 ZINC001413423599 1130082049 /nfs/dbraw/zinc/08/20/49/1130082049.db2.gz ATVNDXCJRRYAQV-SSDOTTSWSA-N -1 1 325.293 -0.149 20 0 EBADMM CN1CCn2nc(NC(=O)CNC(=O)c3ncccc3[O-])cc2C1 ZINC001413425324 1130084238 /nfs/dbraw/zinc/08/42/38/1130084238.db2.gz ILEHPTIMIZYJQW-UHFFFAOYSA-N -1 1 330.348 -0.202 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2nc3c(s2)CCC3)c(=O)[nH]c1=O ZINC001413435581 1130088438 /nfs/dbraw/zinc/08/84/38/1130088438.db2.gz HYMCBOAKQUITOH-UHFFFAOYSA-N -1 1 342.402 -0.090 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)[C@@H]1CCS(=O)(=O)C1 ZINC001413452708 1130092828 /nfs/dbraw/zinc/09/28/28/1130092828.db2.gz CIAURLUJXJHVFC-MRVPVSSYSA-N -1 1 327.362 -0.694 20 0 EBADMM CC(C)CN(Cc1nn[n-]n1)C(=O)CN(C)CC(=O)NC(C)C ZINC001413502445 1130112591 /nfs/dbraw/zinc/11/25/91/1130112591.db2.gz IBJQQPRAKSGTFC-UHFFFAOYSA-N -1 1 325.417 -0.359 20 0 EBADMM COc1cnc(C(C)(C)NC(=O)CCNS(C)(=O)=O)[n-]c1=O ZINC001413628335 1130203958 /nfs/dbraw/zinc/20/39/58/1130203958.db2.gz XHFGDGLXCVPAPK-UHFFFAOYSA-N -1 1 332.382 -0.519 20 0 EBADMM C[C@H]1CC[C@H](C(=O)NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001491298677 1130391272 /nfs/dbraw/zinc/39/12/72/1130391272.db2.gz WGGCBTMTIQCEPI-UWVGGRQHSA-N -1 1 332.364 -0.300 20 0 EBADMM CCc1nocc1C(=O)NCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001491460540 1130454624 /nfs/dbraw/zinc/45/46/24/1130454624.db2.gz OWWXMERGBUWRFQ-UHFFFAOYSA-N -1 1 349.347 -0.977 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)Cc1cccnc1 ZINC001142151488 1130511728 /nfs/dbraw/zinc/51/17/28/1130511728.db2.gz QRPPVCPABIFLRT-ZDUSSCGKSA-N -1 1 345.359 -0.334 20 0 EBADMM NC(=O)c1nc(C[N-]S(=O)(=O)c2cccc3nsnc32)no1 ZINC001260389943 1130545084 /nfs/dbraw/zinc/54/50/84/1130545084.db2.gz RFHFZXRWCFLJSO-UHFFFAOYSA-N -1 1 340.346 -0.348 20 0 EBADMM CNC(=O)C1(C(=O)N2CCCN(C(=O)c3ncccc3[O-])CC2)CC1 ZINC001492044584 1130577038 /nfs/dbraw/zinc/57/70/38/1130577038.db2.gz INCDRYYZKBMBKD-UHFFFAOYSA-N -1 1 346.387 -0.012 20 0 EBADMM C[C@H](C(=O)NCCCN(C)C(=O)c1ncccc1[O-])S(C)(=O)=O ZINC001492423223 1130664001 /nfs/dbraw/zinc/66/40/01/1130664001.db2.gz RJBVKDAAEXJNRN-SNVBAGLBSA-N -1 1 343.405 -0.201 20 0 EBADMM CC[C@H](F)C(=O)N(C)CCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001492434777 1130667707 /nfs/dbraw/zinc/66/77/07/1130667707.db2.gz WYWMYJXUQRDONR-NSHDSACASA-N -1 1 342.371 -0.361 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[S@](=O)[C@H](C)[C@H]2C)[n-]n1 ZINC001475247129 1130704914 /nfs/dbraw/zinc/70/49/14/1130704914.db2.gz LFBHNYYOLLNYPD-UWCLWIGYSA-N -1 1 335.407 -0.274 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[S@](=O)[C@H](C)[C@H]2C)n[n-]1 ZINC001475247129 1130704924 /nfs/dbraw/zinc/70/49/24/1130704924.db2.gz LFBHNYYOLLNYPD-UWCLWIGYSA-N -1 1 335.407 -0.274 20 0 EBADMM CO[C@H](C)CC(=O)NC[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001480247399 1130764238 /nfs/dbraw/zinc/76/42/38/1130764238.db2.gz UCPHDDKPSXOYJH-VXGBXAGGSA-N -1 1 325.413 -0.138 20 0 EBADMM C[C@H](CNC(=O)[C@@]1(C)CCNC(=O)C1)NC(=O)c1ncccc1[O-] ZINC001416804047 1130819472 /nfs/dbraw/zinc/81/94/72/1130819472.db2.gz QOHAGWIBFNVWFH-HWPZZCPQSA-N -1 1 334.376 -0.062 20 0 EBADMM CC(=O)NC[C@@H]1CCCC[C@@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001358553668 1131213143 /nfs/dbraw/zinc/21/31/43/1131213143.db2.gz ZTXLLLIAUILXEY-STQMWFEESA-N -1 1 336.392 -0.262 20 0 EBADMM CCOCCC(=O)NC[C@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001358593176 1131221583 /nfs/dbraw/zinc/22/15/83/1131221583.db2.gz WESCBGHYMFMMQV-SNVBAGLBSA-N -1 1 348.363 -0.825 20 0 EBADMM CCOCCC(=O)NC[C@@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001358593175 1131221671 /nfs/dbraw/zinc/22/16/71/1131221671.db2.gz WESCBGHYMFMMQV-JTQLQIEISA-N -1 1 348.363 -0.825 20 0 EBADMM CC(C)[C@H](NS(C)(=O)=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001361629103 1131430940 /nfs/dbraw/zinc/43/09/40/1131430940.db2.gz QIQMMFCXFDFRDZ-NSHDSACASA-N -1 1 325.394 -0.146 20 0 EBADMM COC(=O)c1cc(C(C)=O)c(NC(=O)c2cn(C)c(=O)n(C)c2=O)[n-]1 ZINC001361757899 1131450466 /nfs/dbraw/zinc/45/04/66/1131450466.db2.gz QUFVJHKKMINGFV-UHFFFAOYSA-N -1 1 348.315 -0.346 20 0 EBADMM O=C(CCCc1nn[n-]n1)N1CCN(C(=O)N2CCOCC2)CC1 ZINC001361769522 1131452413 /nfs/dbraw/zinc/45/24/13/1131452413.db2.gz ZQBWOPNHIQYGFP-UHFFFAOYSA-N -1 1 337.384 -0.881 20 0 EBADMM Cc1n[nH]c(=O)c(CNC(=O)CNC(=O)c2ncccc2[O-])c1C ZINC001362049361 1131504618 /nfs/dbraw/zinc/50/46/18/1131504618.db2.gz UIDLDAXCOANTHQ-UHFFFAOYSA-N -1 1 331.332 -0.054 20 0 EBADMM CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc([O-])cn1 ZINC001362088318 1131513501 /nfs/dbraw/zinc/51/35/01/1131513501.db2.gz LXNNXNVXQDQLHV-SECBINFHSA-N -1 1 327.362 -0.838 20 0 EBADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CCCN(CC(N)=O)CC1 ZINC001362100132 1131515301 /nfs/dbraw/zinc/51/53/01/1131515301.db2.gz NFKYZXRSKBGTOH-UHFFFAOYSA-N -1 1 339.421 -0.154 20 0 EBADMM O=C(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc([O-])cn1 ZINC001362113606 1131518088 /nfs/dbraw/zinc/51/80/88/1131518088.db2.gz NPZSQRHKFHDWKQ-STQMWFEESA-N -1 1 341.389 -0.985 20 0 EBADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@H](O)CN1CCCC1=O ZINC001362260430 1131547023 /nfs/dbraw/zinc/54/70/23/1131547023.db2.gz KNUJHPAATFPXHP-VIFPVBQESA-N -1 1 340.405 -0.074 20 0 EBADMM CSc1ncc(C(=O)N2CCN(C(=O)[C@@H](C)O)CC2)c(=O)[n-]1 ZINC001362276258 1131550215 /nfs/dbraw/zinc/55/02/15/1131550215.db2.gz VESWHONZOXHXRD-MRVPVSSYSA-N -1 1 326.378 -0.431 20 0 EBADMM CCOC(=O)N(C)CCCC(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362386492 1131573500 /nfs/dbraw/zinc/57/35/00/1131573500.db2.gz JGGKBXUDQPGBBD-UHFFFAOYSA-N -1 1 340.384 -0.122 20 0 EBADMM CN(CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1)[C@H]1CCS(=O)(=O)C1 ZINC001362407271 1131576747 /nfs/dbraw/zinc/57/67/47/1131576747.db2.gz PUVDHUHRDWDKHL-MNOVXSKESA-N -1 1 342.425 -0.975 20 0 EBADMM C[C@H](O)CN1CCN(C(=O)CCCn2c(=O)[n-][nH]c2=O)[C@H](C)C1 ZINC001362411520 1131578203 /nfs/dbraw/zinc/57/82/03/1131578203.db2.gz WRCXGTAQXVMJPN-MNOVXSKESA-N -1 1 327.385 -0.617 20 0 EBADMM O=C(C[C@H]1CCCS(=O)(=O)C1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362435296 1131582318 /nfs/dbraw/zinc/58/23/18/1131582318.db2.gz IYRLRVNHKMZFPE-SNVBAGLBSA-N -1 1 343.409 -0.775 20 0 EBADMM COC(=O)C1(NC(=O)c2cnncc2[O-])CCS(=O)(=O)CC1 ZINC001362560599 1131610505 /nfs/dbraw/zinc/61/05/05/1131610505.db2.gz JNCMRPSSKWKHGB-UHFFFAOYSA-N -1 1 329.334 -0.968 20 0 EBADMM O=C(CCc1nn[n-]n1)Nc1ccc(S(=O)(=O)CCO)cc1 ZINC001362684966 1131640988 /nfs/dbraw/zinc/64/09/88/1131640988.db2.gz GEOCPPYVTMQCIR-UHFFFAOYSA-N -1 1 325.350 -0.463 20 0 EBADMM O=C(Cn1nnc2c1CCCC2)N1CCSC[C@@H]1c1nn[n-]n1 ZINC001362689744 1131642764 /nfs/dbraw/zinc/64/27/64/1131642764.db2.gz ZCSNZIKQLYKUJC-LLVKDONJSA-N -1 1 334.409 -0.013 20 0 EBADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCC1CN(S(C)(=O)=O)C1 ZINC001362706938 1131647406 /nfs/dbraw/zinc/64/74/06/1131647406.db2.gz PVFSZTFZIKPZMI-UHFFFAOYSA-N -1 1 346.434 -0.166 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N2OC3CCC2CC3)o1 ZINC001362788722 1131674258 /nfs/dbraw/zinc/67/42/58/1131674258.db2.gz KLGXBDWYROKALS-UHFFFAOYSA-N -1 1 343.361 -0.258 20 0 EBADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001362798715 1131678163 /nfs/dbraw/zinc/67/81/63/1131678163.db2.gz XNIZVVJGGJVUQO-BDAKNGLRSA-N -1 1 342.377 -0.602 20 0 EBADMM Cn1ccc(NC(=O)CNC(=O)CNC(=O)c2ncccc2[O-])n1 ZINC001362805750 1131680522 /nfs/dbraw/zinc/68/05/22/1131680522.db2.gz LDZJJGTUZSAKKF-UHFFFAOYSA-N -1 1 332.320 -0.995 20 0 EBADMM COC(=O)c1cnn(CC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)c1 ZINC001362806326 1131680585 /nfs/dbraw/zinc/68/05/85/1131680585.db2.gz CYHHUVVXYYJIMR-UHFFFAOYSA-N -1 1 347.331 -0.446 20 0 EBADMM CCS(=O)(=O)C1CN(C(=O)c2ccc(S(=O)(=O)[N-]C)o2)C1 ZINC001362834213 1131689719 /nfs/dbraw/zinc/68/97/19/1131689719.db2.gz KMWKCVNZDAHVBK-UHFFFAOYSA-N -1 1 336.391 -0.553 20 0 EBADMM C[C@H]1CCCCN1NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC001362872510 1131702075 /nfs/dbraw/zinc/70/20/75/1131702075.db2.gz HXPMIBVVKIXNHG-VIFPVBQESA-N -1 1 344.393 -0.438 20 0 EBADMM COC[C@@H](NC(=O)CNC(=O)c1ccc(F)c(F)c1)c1nn[n-]n1 ZINC001362905803 1131709268 /nfs/dbraw/zinc/70/92/68/1131709268.db2.gz DFGCZBBNHRTISA-SNVBAGLBSA-N -1 1 340.290 -0.288 20 0 EBADMM COC[C@H](NC(=O)CN1C(=O)COc2ccccc21)c1nn[n-]n1 ZINC001362912428 1131711502 /nfs/dbraw/zinc/71/15/02/1131711502.db2.gz YBYQCBQVLIDLNL-VIFPVBQESA-N -1 1 332.320 -0.571 20 0 EBADMM COC[C@@H](NC(=O)Cn1cc(Br)cn1)c1nn[n-]n1 ZINC001362912431 1131711533 /nfs/dbraw/zinc/71/15/33/1131711533.db2.gz YFCZMFUBGVMGSW-SSDOTTSWSA-N -1 1 330.146 -0.337 20 0 EBADMM CSc1nc(C)c(CCC(=O)N2C[C@H](O)[C@H](CO)C2)c(=O)[n-]1 ZINC001363015333 1131746137 /nfs/dbraw/zinc/74/61/37/1131746137.db2.gz WBRLKRYOCCQENY-ONGXEEELSA-N -1 1 327.406 -0.043 20 0 EBADMM CC(C)(CNC(=O)CCc1nn[n-]n1)[C@@H]1COCC12OCCO2 ZINC001363022547 1131750630 /nfs/dbraw/zinc/75/06/30/1131750630.db2.gz UTPXEWMHQOCZTJ-JTQLQIEISA-N -1 1 325.369 -0.336 20 0 EBADMM CCC(=O)N1CCC[C@@H](C(=O)N2CCC(O)(c3nn[n-]n3)CC2)C1 ZINC001363031433 1131754907 /nfs/dbraw/zinc/75/49/07/1131754907.db2.gz YHRVTBDQBRSRGL-LLVKDONJSA-N -1 1 336.396 -0.342 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H](CO)[C@@H]2CCCOC2)o1 ZINC001363047245 1131761560 /nfs/dbraw/zinc/76/15/60/1131761560.db2.gz KCGDMZNAMVOMCZ-NXEZZACHSA-N -1 1 332.378 -0.295 20 0 EBADMM Cn1cc([C@@H]2CN(C(=O)CNC(=O)c3ncccc3[O-])CCN2)cn1 ZINC001363101246 1131784384 /nfs/dbraw/zinc/78/43/84/1131784384.db2.gz YWRNGKRSCVUXIJ-LBPRGKRZSA-N -1 1 344.375 -0.576 20 0 EBADMM CSCC[C@H](NC(N)=O)C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC001363100603 1131785089 /nfs/dbraw/zinc/78/50/89/1131785089.db2.gz TXISBRZQJACUBF-YUMQZZPRSA-N -1 1 347.470 -0.100 20 0 EBADMM CN(C(=O)CNS(=O)(=O)c1ccc(Cl)cc1)c1nn[n-]n1 ZINC001363145194 1131802915 /nfs/dbraw/zinc/80/29/15/1131802915.db2.gz SOQSPVJNMDZLMM-UHFFFAOYSA-N -1 1 330.757 -0.206 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(c2nnc(C)n2C)CC1 ZINC001363173077 1131814401 /nfs/dbraw/zinc/81/44/01/1131814401.db2.gz JTDHMIZTZSPCFF-UHFFFAOYSA-N -1 1 349.351 -0.704 20 0 EBADMM COc1ccc(COCC(=O)N2CCOC[C@@H]2c2nn[n-]n2)cn1 ZINC001363203011 1131829433 /nfs/dbraw/zinc/82/94/33/1131829433.db2.gz GLKPRPVUOJDVOW-LLVKDONJSA-N -1 1 334.336 -0.280 20 0 EBADMM O=C(CCCc1nn[n-]n1)NCC1(N2CCOCC2)CCOCC1 ZINC001363216618 1131836223 /nfs/dbraw/zinc/83/62/23/1131836223.db2.gz IHTPKLRUVYMYFZ-UHFFFAOYSA-N -1 1 338.412 -0.480 20 0 EBADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CC2CS(=O)(=O)C2)n[n-]1 ZINC001363230916 1131841464 /nfs/dbraw/zinc/84/14/64/1131841464.db2.gz KODPNKRFVGGISM-SSDOTTSWSA-N -1 1 330.366 -0.407 20 0 EBADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CC2CS(=O)(=O)C2)[n-]1 ZINC001363230916 1131841471 /nfs/dbraw/zinc/84/14/71/1131841471.db2.gz KODPNKRFVGGISM-SSDOTTSWSA-N -1 1 330.366 -0.407 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CC2CS(=O)(=O)C2)n1 ZINC001363230916 1131841487 /nfs/dbraw/zinc/84/14/87/1131841487.db2.gz KODPNKRFVGGISM-SSDOTTSWSA-N -1 1 330.366 -0.407 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCC(CCN2CCOCC2)CC1 ZINC001363241748 1131845576 /nfs/dbraw/zinc/84/55/76/1131845576.db2.gz SBJTZDXWFXFSDU-UHFFFAOYSA-N -1 1 337.380 -0.143 20 0 EBADMM O=C(NCCN1CCC(O)CC1)c1ccc(-n2[nH]c(=O)[n-]c2=O)cc1 ZINC001363253958 1131853369 /nfs/dbraw/zinc/85/33/69/1131853369.db2.gz OWZUWTFMVWEXBT-UHFFFAOYSA-N -1 1 347.375 -0.547 20 0 EBADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N1CC(C(N)=O)C1 ZINC001363287049 1131874234 /nfs/dbraw/zinc/87/42/34/1131874234.db2.gz YNFAOHJWPPEFRG-UHFFFAOYSA-N -1 1 327.344 -0.373 20 0 EBADMM Cn1nccc1[C@]1(O)C[C@H]2CC[C@@H](C1)N2C(=O)CCc1nn[n-]n1 ZINC001363404712 1131935235 /nfs/dbraw/zinc/93/52/35/1131935235.db2.gz TZKXUDIVHRVCIU-HBYGRHMLSA-N -1 1 331.380 -0.093 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC([C@H](F)C(N)=O)CC2)o1 ZINC001363411807 1131938447 /nfs/dbraw/zinc/93/84/47/1131938447.db2.gz PELRIRQARFUEFB-NSHDSACASA-N -1 1 347.368 -0.137 20 0 EBADMM COC[C@H](NC(=O)[C@H]1C[C@H]1NC(=O)OC(C)(C)C)c1nn[n-]n1 ZINC001363409460 1131938696 /nfs/dbraw/zinc/93/86/96/1131938696.db2.gz FCCKLPXOQYFNAQ-YIZRAAEISA-N -1 1 326.357 -0.083 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N(C)C1CS(=O)(=O)C1 ZINC001363414934 1131940606 /nfs/dbraw/zinc/94/06/06/1131940606.db2.gz SZENQAQVEADPKT-UHFFFAOYSA-N -1 1 338.389 -0.150 20 0 EBADMM COC[C@@H](NC(=O)CN1c2ccccc2O[C@H](C)C1=O)c1nn[n-]n1 ZINC001363414978 1131940799 /nfs/dbraw/zinc/94/07/99/1131940799.db2.gz MXQCXBPTTRZTCH-NXEZZACHSA-N -1 1 346.347 -0.183 20 0 EBADMM O=C(CCC(=O)N1CCC[C@H](Cc2nn[n-]n2)C1)N1CCOCC1 ZINC001363433381 1131947178 /nfs/dbraw/zinc/94/71/78/1131947178.db2.gz LKTLEWVLLBZBFN-GFCCVEGCSA-N -1 1 336.396 -0.380 20 0 EBADMM CSCC[C@H](NC(=O)[C@@H]1CCCN1S(C)(=O)=O)c1nn[n-]n1 ZINC001363493005 1131973861 /nfs/dbraw/zinc/97/38/61/1131973861.db2.gz SOTHYTZOHNXLFC-IUCAKERBSA-N -1 1 348.454 -0.466 20 0 EBADMM Cc1oc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)cc1S(C)(=O)=O ZINC001363510921 1131983714 /nfs/dbraw/zinc/98/37/14/1131983714.db2.gz HFLAGXSCDFSDID-QMMMGPOBSA-N -1 1 341.349 -0.282 20 0 EBADMM CSc1ncc(C(=O)NC[C@]23COC(=O)N2CCOC3)c(=O)[n-]1 ZINC001363541452 1132004373 /nfs/dbraw/zinc/00/43/73/1132004373.db2.gz WBEKONHGMDPQRK-ZDUSSCGKSA-N -1 1 340.361 -0.145 20 0 EBADMM COC(=O)c1ccn(CC(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)n1 ZINC001363584983 1132023296 /nfs/dbraw/zinc/02/32/96/1132023296.db2.gz PUSCSLSMUKMJIR-SNVBAGLBSA-N -1 1 333.352 -0.336 20 0 EBADMM COC[C@@H](NC(=O)C1CCC(S(C)(=O)=O)CC1)c1nn[n-]n1 ZINC001363593443 1132026876 /nfs/dbraw/zinc/02/68/76/1132026876.db2.gz WLZGMNFTVZXBOD-UDNWOFFPSA-N -1 1 331.398 -0.393 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(CC(F)(F)CO)CC1 ZINC001363715263 1132066790 /nfs/dbraw/zinc/06/67/90/1132066790.db2.gz FXOVHXSNBBQALD-UHFFFAOYSA-N -1 1 348.306 -0.718 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CC(=O)N(CC3CC3)C2)co1 ZINC001363749570 1132075117 /nfs/dbraw/zinc/07/51/17/1132075117.db2.gz FMWHKRAGIIVECB-LLVKDONJSA-N -1 1 341.389 -0.072 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CC[C@H](c2cc(=O)[nH][nH]2)C1 ZINC001363763313 1132079156 /nfs/dbraw/zinc/07/91/56/1132079156.db2.gz QGYCJDQQSXKVPM-VIFPVBQESA-N -1 1 331.332 -0.038 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2nc(C)cc(=O)[nH]2)co1 ZINC001363774736 1132083489 /nfs/dbraw/zinc/08/34/89/1132083489.db2.gz WVEFKIHUEMHIES-UHFFFAOYSA-N -1 1 326.334 -0.078 20 0 EBADMM CC(C)C(=O)NC[C@H]1CCCN(Cc2cc(=O)n3[n-]c(N)nc3n2)C1 ZINC001363781732 1132086713 /nfs/dbraw/zinc/08/67/13/1132086713.db2.gz URFDIDWRYHHGRH-LLVKDONJSA-N -1 1 347.423 -0.016 20 0 EBADMM CS(C)(=O)=NS(=O)(=O)[N-][C@H]1CCCc2c1cnn2CCO ZINC001363782571 1132086927 /nfs/dbraw/zinc/08/69/27/1132086927.db2.gz DRTVGAZDGPNWHL-JTQLQIEISA-N -1 1 336.439 -0.185 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@@H](O)COCC2CC2)[n-]n1 ZINC001363785208 1132087723 /nfs/dbraw/zinc/08/77/23/1132087723.db2.gz OUFDUSXBFBOSJD-SECBINFHSA-N -1 1 333.366 -0.738 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@@H](O)COCC2CC2)n[n-]1 ZINC001363785208 1132087732 /nfs/dbraw/zinc/08/77/32/1132087732.db2.gz OUFDUSXBFBOSJD-SECBINFHSA-N -1 1 333.366 -0.738 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CCO[C@@]3(CCOC3)C2)c(=O)[nH]c1=O ZINC001363844781 1132109897 /nfs/dbraw/zinc/10/98/97/1132109897.db2.gz ZIRKKRODWRFQJG-RNCFNFMXSA-N -1 1 345.377 -0.898 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H](CCO)c2ccco2)c(=O)[nH]c1=O ZINC001363855408 1132112875 /nfs/dbraw/zinc/11/28/75/1132112875.db2.gz AOFNNUIDTUEKSE-QMMMGPOBSA-N -1 1 329.334 -0.519 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CC[C@@H](N2CCOC2=O)C1 ZINC001363870671 1132116155 /nfs/dbraw/zinc/11/61/55/1132116155.db2.gz IFAPPKOOLXGXMR-SNVBAGLBSA-N -1 1 334.332 -0.430 20 0 EBADMM CCn1ccnc1[C@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC001363883846 1132118887 /nfs/dbraw/zinc/11/88/87/1132118887.db2.gz WKBKTQNTBKQTPG-VIFPVBQESA-N -1 1 341.393 -0.660 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC(n3nc(C)nc3C)C2)[n-]n1 ZINC001363883842 1132118934 /nfs/dbraw/zinc/11/89/34/1132118934.db2.gz WJJQLWLNKQXUTQ-UHFFFAOYSA-N -1 1 340.365 -0.350 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC(n3nc(C)nc3C)C2)n[n-]1 ZINC001363883842 1132118939 /nfs/dbraw/zinc/11/89/39/1132118939.db2.gz WJJQLWLNKQXUTQ-UHFFFAOYSA-N -1 1 340.365 -0.350 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H](CO)c2ccc(F)cc2)c(=O)[nH]c1=O ZINC001363921634 1132129644 /nfs/dbraw/zinc/12/96/44/1132129644.db2.gz UWGTZHCOSYZHGG-JTQLQIEISA-N -1 1 343.336 -0.363 20 0 EBADMM CCNC(=O)[C@@H]([N-]S(=O)(=O)c1n[nH]c(C)c1C(=O)OC)C(C)C ZINC001363921203 1132129657 /nfs/dbraw/zinc/12/96/57/1132129657.db2.gz RYUJPYNHYGXKAP-JTQLQIEISA-N -1 1 346.409 -0.056 20 0 EBADMM COc1cc(S(=O)(=O)[N-][C@@H](CO)Cc2cnn(C)c2)sn1 ZINC001363923357 1132130276 /nfs/dbraw/zinc/13/02/76/1132130276.db2.gz ABQKSNNDTJWOEC-SECBINFHSA-N -1 1 332.407 -0.233 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC(=O)OC2CCCCC2)c(=O)[nH]c1=O ZINC001363957265 1132142228 /nfs/dbraw/zinc/14/22/28/1132142228.db2.gz YKBBPBANKGJVNY-UHFFFAOYSA-N -1 1 345.377 -0.360 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]C1CCN([C@@H]2CCOC2=O)CC1 ZINC001363964956 1132145922 /nfs/dbraw/zinc/14/59/22/1132145922.db2.gz FLXQTIVTLBKMDM-SNVBAGLBSA-N -1 1 344.393 -0.377 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H](CC(F)F)C(=O)OC)[n-]n1 ZINC001363966266 1132147009 /nfs/dbraw/zinc/14/70/09/1132147009.db2.gz ATINZMYQKQSHSP-ZCFIWIBFSA-N -1 1 341.292 -0.329 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H](CC(F)F)C(=O)OC)n[n-]1 ZINC001363966266 1132147012 /nfs/dbraw/zinc/14/70/12/1132147012.db2.gz ATINZMYQKQSHSP-ZCFIWIBFSA-N -1 1 341.292 -0.329 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCC2SCCS2)c(=O)[nH]c1=O ZINC001364000305 1132159948 /nfs/dbraw/zinc/15/99/48/1132159948.db2.gz FSOSUDMPZPINMJ-UHFFFAOYSA-N -1 1 337.448 -0.040 20 0 EBADMM COC(=O)C1CCC(S(=O)(=O)[N-][C@@](C)(CO)C(=O)OC)CC1 ZINC001364007151 1132163241 /nfs/dbraw/zinc/16/32/41/1132163241.db2.gz XKIFKLGIRZPUQS-ZPPKWKGLSA-N -1 1 337.394 -0.438 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC(C)(C)[C@]1(O)CCOC1 ZINC001364014383 1132166042 /nfs/dbraw/zinc/16/60/42/1132166042.db2.gz YXTPUMKPBNCYEW-ZDUSSCGKSA-N -1 1 347.393 -0.348 20 0 EBADMM O=S(=O)([N-]C[C@@H](n1cncn1)C(F)(F)F)[C@@H]1COC[C@H]1O ZINC001364021473 1132169185 /nfs/dbraw/zinc/16/91/85/1132169185.db2.gz JIAJKYYVGRARRS-BWZBUEFSSA-N -1 1 330.288 -0.939 20 0 EBADMM CN(C)S(=O)(=O)CCC(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001364028618 1132173251 /nfs/dbraw/zinc/17/32/51/1132173251.db2.gz KUFQOCNFOMYSQA-JTQLQIEISA-N -1 1 330.414 -0.738 20 0 EBADMM COC(=O)[C@H](C)NC(=O)[C@H](C)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC001364040104 1132178527 /nfs/dbraw/zinc/17/85/27/1132178527.db2.gz MCHQVGMWYAILDN-WDSKDSINSA-N -1 1 338.773 -0.592 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2Cc3nc(C)[nH]c(=O)c3C2)[n-]n1 ZINC001364041267 1132179402 /nfs/dbraw/zinc/17/94/02/1132179402.db2.gz DBDAOAFDOFUILH-UHFFFAOYSA-N -1 1 339.333 -0.295 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2Cc3nc(C)[nH]c(=O)c3C2)n[n-]1 ZINC001364041267 1132179403 /nfs/dbraw/zinc/17/94/03/1132179403.db2.gz DBDAOAFDOFUILH-UHFFFAOYSA-N -1 1 339.333 -0.295 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@]2(OC)CCSC2)[n-]n1 ZINC001364045713 1132181150 /nfs/dbraw/zinc/18/11/50/1132181150.db2.gz JJIMPWSSQYJBGJ-LLVKDONJSA-N -1 1 335.407 -0.003 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@]2(OC)CCSC2)n[n-]1 ZINC001364045713 1132181153 /nfs/dbraw/zinc/18/11/53/1132181153.db2.gz JJIMPWSSQYJBGJ-LLVKDONJSA-N -1 1 335.407 -0.003 20 0 EBADMM C[C@@H]([N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C)c1nnnn1C1CC1 ZINC001364052296 1132185078 /nfs/dbraw/zinc/18/50/78/1132185078.db2.gz FPZAZPHLWDVOEJ-JLPJYYFKSA-N -1 1 337.431 -0.522 20 0 EBADMM COC(=O)[C@@H](CC1OCCO1)[N-]S(=O)(=O)CCn1cccn1 ZINC001364066790 1132193058 /nfs/dbraw/zinc/19/30/58/1132193058.db2.gz ACPDWGKQCNJAMQ-SNVBAGLBSA-N -1 1 333.366 -0.893 20 0 EBADMM COC(=O)[C@H](C)CS(=O)(=O)[N-][C@@H](CC1OCCO1)C(=O)OC ZINC001364067206 1132194002 /nfs/dbraw/zinc/19/40/02/1132194002.db2.gz BSFDAWGEFCTWLZ-BDAKNGLRSA-N -1 1 339.366 -0.981 20 0 EBADMM CCOC(=O)[C@H](CSC)[N-]S(=O)(=O)CCS(C)(=O)=O ZINC001364082902 1132201403 /nfs/dbraw/zinc/20/14/03/1132201403.db2.gz PXOOYLCYWDFHKK-QMMMGPOBSA-N -1 1 333.453 -0.755 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cc(C(=O)OC)n(C)n2)co1 ZINC001364309558 1132271099 /nfs/dbraw/zinc/27/10/99/1132271099.db2.gz XTXLVSFXKMVOIR-UHFFFAOYSA-N -1 1 342.333 -0.040 20 0 EBADMM CCO[C@@H](C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)C1CCOCC1 ZINC001364483647 1132334292 /nfs/dbraw/zinc/33/42/92/1132334292.db2.gz FREQDPQMFKHJFM-SECBINFHSA-N -1 1 332.382 -0.022 20 0 EBADMM CCO[C@@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)C1CCOCC1 ZINC001364483647 1132334299 /nfs/dbraw/zinc/33/42/99/1132334299.db2.gz FREQDPQMFKHJFM-SECBINFHSA-N -1 1 332.382 -0.022 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@@H]2CNC(=O)c3ccccc32)n1 ZINC001364483774 1132334863 /nfs/dbraw/zinc/33/48/63/1132334863.db2.gz GLQJAUFXRVEOPK-SECBINFHSA-N -1 1 335.345 -0.326 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)[C@@H]2CNC(=O)c3ccccc32)[n-]1 ZINC001364483774 1132334870 /nfs/dbraw/zinc/33/48/70/1132334870.db2.gz GLQJAUFXRVEOPK-SECBINFHSA-N -1 1 335.345 -0.326 20 0 EBADMM CCCCS(=O)(=O)CC(=O)NCc1nc(C(=O)OCC)n[n-]1 ZINC001364502450 1132342958 /nfs/dbraw/zinc/34/29/58/1132342958.db2.gz CGTBYMUEFOGYGF-UHFFFAOYSA-N -1 1 332.382 -0.188 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N[C@@H]1CC(=O)N(C2CC2)C1 ZINC001364565095 1132363983 /nfs/dbraw/zinc/36/39/83/1132363983.db2.gz TZNSSQIELXJANW-SECBINFHSA-N -1 1 347.375 -0.183 20 0 EBADMM COC(=O)[C@]1(O)CCN(C(=O)c2c(C)[n-]c(=O)nc2SC)C1 ZINC001364594442 1132369920 /nfs/dbraw/zinc/36/99/20/1132369920.db2.gz LJDOXLAQTGKAOP-ZDUSSCGKSA-N -1 1 327.362 -0.037 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CC(=O)N(CC3CC3)C2)o1 ZINC001364601973 1132372598 /nfs/dbraw/zinc/37/25/98/1132372598.db2.gz CHUYOEFDZOELJJ-SNVBAGLBSA-N -1 1 341.389 -0.072 20 0 EBADMM CNC(=O)[C@H]1CCCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC001364720343 1132403207 /nfs/dbraw/zinc/40/32/07/1132403207.db2.gz FHGFHKULTBZENB-JTQLQIEISA-N -1 1 349.391 -0.078 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@H](C(N)=O)CC[C@H]2C)[n-]n1 ZINC001364783263 1132425689 /nfs/dbraw/zinc/42/56/89/1132425689.db2.gz JILNUSXMASNQJX-HTQZYQBOSA-N -1 1 330.366 -0.529 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@H](C(N)=O)CC[C@H]2C)n[n-]1 ZINC001364783263 1132425694 /nfs/dbraw/zinc/42/56/94/1132425694.db2.gz JILNUSXMASNQJX-HTQZYQBOSA-N -1 1 330.366 -0.529 20 0 EBADMM COC[C@@H](NC(=O)c1ccc(F)c(S(C)(=O)=O)c1)c1nn[n-]n1 ZINC001364816447 1132437084 /nfs/dbraw/zinc/43/70/84/1132437084.db2.gz VTPIQEGWIFDHOO-SECBINFHSA-N -1 1 343.340 -0.140 20 0 EBADMM CC[C@H](O)Cn1cc(C(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)nn1 ZINC001364818005 1132438460 /nfs/dbraw/zinc/43/84/60/1132438460.db2.gz FZMFAWZJXBEOBO-QWRGUYRKSA-N -1 1 334.384 -0.343 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCN(C[C@H](C)O)C[C@H]2C)[n-]n1 ZINC001364819960 1132439450 /nfs/dbraw/zinc/43/94/50/1132439450.db2.gz BFUWHKVGDJESHN-ZJUUUORDSA-N -1 1 346.409 -0.728 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCN(C[C@H](C)O)C[C@H]2C)n[n-]1 ZINC001364819960 1132439455 /nfs/dbraw/zinc/43/94/55/1132439455.db2.gz BFUWHKVGDJESHN-ZJUUUORDSA-N -1 1 346.409 -0.728 20 0 EBADMM COC(=O)[C@@H]1O[C@@H](C(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)C[C@H]1C ZINC001364819575 1132439485 /nfs/dbraw/zinc/43/94/85/1132439485.db2.gz SCWGHLHXGLJGOL-PRULPYPASA-N -1 1 337.380 -0.053 20 0 EBADMM CCc1nncn1CCNS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001364823711 1132441503 /nfs/dbraw/zinc/44/15/03/1132441503.db2.gz ZIYNJCMVPWOBAM-UHFFFAOYSA-N -1 1 328.354 -0.671 20 0 EBADMM CCc1nncn1CCNS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001364823711 1132441522 /nfs/dbraw/zinc/44/15/22/1132441522.db2.gz ZIYNJCMVPWOBAM-UHFFFAOYSA-N -1 1 328.354 -0.671 20 0 EBADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]CCN1CCNC(=O)C1 ZINC001364833241 1132448035 /nfs/dbraw/zinc/44/80/35/1132448035.db2.gz ARNWFNPPLVDSPY-FQEVSTJZSA-N -1 1 340.471 -0.851 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCOC[C@H]2C[C@@H](C)O)[n-]n1 ZINC001364838862 1132449132 /nfs/dbraw/zinc/44/91/32/1132449132.db2.gz RWTFBGYXVXHOOO-RKDXNWHRSA-N -1 1 333.366 -0.643 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCOC[C@H]2C[C@@H](C)O)n[n-]1 ZINC001364838862 1132449135 /nfs/dbraw/zinc/44/91/35/1132449135.db2.gz RWTFBGYXVXHOOO-RKDXNWHRSA-N -1 1 333.366 -0.643 20 0 EBADMM CNC(=O)C[C@@H](C)[N-]S(=O)(=O)c1nc[nH]c1Br ZINC001364849336 1132452923 /nfs/dbraw/zinc/45/29/23/1132452923.db2.gz IUJNPWZZYGZOSW-RXMQYKEDSA-N -1 1 325.188 -0.025 20 0 EBADMM COCC1(C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)CCOCC1 ZINC001364875542 1132464619 /nfs/dbraw/zinc/46/46/19/1132464619.db2.gz UWOBONVIRUPROX-UHFFFAOYSA-N -1 1 347.393 -0.793 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@H](C)N(CCO)C[C@@H]2C)[n-]n1 ZINC001364887375 1132470424 /nfs/dbraw/zinc/47/04/24/1132470424.db2.gz KZGXGOWVFWJPQL-UWVGGRQHSA-N -1 1 346.409 -0.728 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@H](C)N(CCO)C[C@@H]2C)n[n-]1 ZINC001364887375 1132470428 /nfs/dbraw/zinc/47/04/28/1132470428.db2.gz KZGXGOWVFWJPQL-UWVGGRQHSA-N -1 1 346.409 -0.728 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@](OC)(C(=O)OC)C2)[n-]n1 ZINC001364914084 1132482766 /nfs/dbraw/zinc/48/27/66/1132482766.db2.gz XQYUUCVPDKWGRI-LBPRGKRZSA-N -1 1 347.349 -0.851 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@](OC)(C(=O)OC)C2)n[n-]1 ZINC001364914084 1132482769 /nfs/dbraw/zinc/48/27/69/1132482769.db2.gz XQYUUCVPDKWGRI-LBPRGKRZSA-N -1 1 347.349 -0.851 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCc2ccns2)c(=O)n(C)c1=O ZINC001364930022 1132490611 /nfs/dbraw/zinc/49/06/11/1132490611.db2.gz MWDGIGUGMJIJSJ-UHFFFAOYSA-N -1 1 330.391 -0.939 20 0 EBADMM COc1nscc1S(=O)(=O)[N-]CCN1CCC2(C1)OCCO2 ZINC001364974373 1132509030 /nfs/dbraw/zinc/50/90/30/1132509030.db2.gz DZGVRYSOPXULIJ-UHFFFAOYSA-N -1 1 349.434 -0.121 20 0 EBADMM COC(=O)C1([N-]S(=O)(=O)C2(C(=O)OC)CCC2)CC(CO)C1 ZINC001365002928 1132517735 /nfs/dbraw/zinc/51/77/35/1132517735.db2.gz PEFWURIUEGOZRT-UHFFFAOYSA-N -1 1 335.378 -0.684 20 0 EBADMM CN1CCC[C@@H]1c1cc(C(=O)Nc2n[n-]c(S(C)(=O)=O)n2)n[nH]1 ZINC001365183969 1132573999 /nfs/dbraw/zinc/57/39/99/1132573999.db2.gz KRXCYGBDQZXCMS-SECBINFHSA-N -1 1 339.381 -0.050 20 0 EBADMM CN1CCC[C@@H]1c1cc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)n[nH]1 ZINC001365183969 1132574002 /nfs/dbraw/zinc/57/40/02/1132574002.db2.gz KRXCYGBDQZXCMS-SECBINFHSA-N -1 1 339.381 -0.050 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2CCCCS2(=O)=O)n[n-]1 ZINC001365193421 1132575722 /nfs/dbraw/zinc/57/57/22/1132575722.db2.gz HAOCXVBNCQLNOD-QMMMGPOBSA-N -1 1 330.366 -0.435 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2CCCCS2(=O)=O)n1 ZINC001365193421 1132575727 /nfs/dbraw/zinc/57/57/27/1132575727.db2.gz HAOCXVBNCQLNOD-QMMMGPOBSA-N -1 1 330.366 -0.435 20 0 EBADMM COC(=O)[C@@H](CC(F)F)[N-]S(=O)(=O)CCN1CCOC1=O ZINC001365371165 1132637025 /nfs/dbraw/zinc/63/70/25/1132637025.db2.gz ALKBJLAOZNCGGE-SSDOTTSWSA-N -1 1 330.309 -0.445 20 0 EBADMM CCOC(=O)C[C@H](C)C[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001365391142 1132648995 /nfs/dbraw/zinc/64/89/95/1132648995.db2.gz VZKZNGWECSZSNT-QMMMGPOBSA-N -1 1 333.366 -0.647 20 0 EBADMM NC(=O)[C@@]1([N-]S(=O)(=O)C[C@@H]2COc3ccccc3O2)CCOC1 ZINC001365426718 1132666484 /nfs/dbraw/zinc/66/64/84/1132666484.db2.gz ANHCCEHHNJELBZ-IINYFYTJSA-N -1 1 342.373 -0.610 20 0 EBADMM CC(C)n1cc(C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)nn1 ZINC001365431691 1132670428 /nfs/dbraw/zinc/67/04/28/1132670428.db2.gz VLCTULVTQILJIT-UHFFFAOYSA-N -1 1 328.354 -0.863 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCCC[C@@H]2CNC(C)=O)[n-]n1 ZINC001365448603 1132682053 /nfs/dbraw/zinc/68/20/53/1132682053.db2.gz XRMLSAJATSJILT-SNVBAGLBSA-N -1 1 344.393 -0.124 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCCC[C@@H]2CNC(C)=O)n[n-]1 ZINC001365448603 1132682058 /nfs/dbraw/zinc/68/20/58/1132682058.db2.gz XRMLSAJATSJILT-SNVBAGLBSA-N -1 1 344.393 -0.124 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@]2(C(=O)OC)CCOC2)o1 ZINC001365475093 1132701394 /nfs/dbraw/zinc/70/13/94/1132701394.db2.gz OYVHBBGVKKOSDE-ZDUSSCGKSA-N -1 1 347.345 -0.076 20 0 EBADMM COc1nscc1S(=O)(=O)[N-]C[C@H]1CCS(=O)(=O)C1 ZINC001365633681 1132740738 /nfs/dbraw/zinc/74/07/38/1132740738.db2.gz GOGSHTCZLMYCOJ-SSDOTTSWSA-N -1 1 326.421 -0.135 20 0 EBADMM CN1C[C@@H](CCNC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CC1=O ZINC001365663567 1132751914 /nfs/dbraw/zinc/75/19/14/1132751914.db2.gz HQAVJTLCHQZRJI-JTQLQIEISA-N -1 1 349.391 -0.078 20 0 EBADMM CN(C)[S@@](C)(=O)=NS(=O)(=O)[N-][C@@](C)(C(N)=O)c1ccccc1 ZINC001365680920 1132760511 /nfs/dbraw/zinc/76/05/11/1132760511.db2.gz XQHCIQNYOVBLLA-GTJPDFRWSA-N -1 1 348.450 -0.204 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CC(=O)N(C(C)(C)C)C2)c(=O)[nH]c1=O ZINC001365680051 1132760600 /nfs/dbraw/zinc/76/06/00/1132760600.db2.gz WGLHVVJZEPACAP-MRVPVSSYSA-N -1 1 344.393 -0.836 20 0 EBADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-][C@](C)(C(N)=O)c1ccccc1 ZINC001365680921 1132760677 /nfs/dbraw/zinc/76/06/77/1132760677.db2.gz XQHCIQNYOVBLLA-LAJNKCICSA-N -1 1 348.450 -0.204 20 0 EBADMM CC[C@@](COC)([N-]S(=O)(=O)N(C)C1CCOCC1)C(=O)OC ZINC001365711747 1132771971 /nfs/dbraw/zinc/77/19/71/1132771971.db2.gz AZHBKCFBTOTPTH-ZDUSSCGKSA-N -1 1 338.426 -0.100 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCC[C@]2(O)CCCOC2)[n-]n1 ZINC001365718970 1132775105 /nfs/dbraw/zinc/77/51/05/1132775105.db2.gz XDSUDCPECBRLGD-GFCCVEGCSA-N -1 1 333.366 -0.594 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCC[C@]2(O)CCCOC2)n[n-]1 ZINC001365718970 1132775110 /nfs/dbraw/zinc/77/51/10/1132775110.db2.gz XDSUDCPECBRLGD-GFCCVEGCSA-N -1 1 333.366 -0.594 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCC[C@@]2(O)CCCOC2)[n-]n1 ZINC001365718971 1132775385 /nfs/dbraw/zinc/77/53/85/1132775385.db2.gz XDSUDCPECBRLGD-LBPRGKRZSA-N -1 1 333.366 -0.594 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCC[C@@]2(O)CCCOC2)n[n-]1 ZINC001365718971 1132775388 /nfs/dbraw/zinc/77/53/88/1132775388.db2.gz XDSUDCPECBRLGD-LBPRGKRZSA-N -1 1 333.366 -0.594 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC[C@@H](O)C2CCCC2)c(=O)[nH]c1=O ZINC001365727258 1132778346 /nfs/dbraw/zinc/77/83/46/1132778346.db2.gz MLUXNOSGBJHHON-SNVBAGLBSA-N -1 1 331.394 -0.295 20 0 EBADMM CC[C@@H](NC(C)=O)C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001365792849 1132801757 /nfs/dbraw/zinc/80/17/57/1132801757.db2.gz HJCHDWWYNCIMRK-VXGBXAGGSA-N -1 1 338.412 -0.944 20 0 EBADMM CCn1cc(CC(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001365806895 1132811271 /nfs/dbraw/zinc/81/12/71/1132811271.db2.gz QKDQSRJBQFQHAL-ZDUSSCGKSA-N -1 1 347.423 -0.400 20 0 EBADMM C[C@H](C(=O)NC[C@H]1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)S(C)(=O)=O ZINC001365853530 1132833022 /nfs/dbraw/zinc/83/30/22/1132833022.db2.gz WIILPYKUWKNONV-KXUCPTDWSA-N -1 1 345.425 -0.682 20 0 EBADMM C[C@@H](CC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)c1cnn(C)c1 ZINC001365991813 1132951417 /nfs/dbraw/zinc/95/14/17/1132951417.db2.gz WVUSIWQKOFTWLU-WCQYABFASA-N -1 1 347.423 -0.274 20 0 EBADMM CCn1cnc(C(=O)NCCN(Cc2nc(=O)n(C)[n-]2)C2CC2)c1 ZINC001366759956 1133286511 /nfs/dbraw/zinc/28/65/11/1133286511.db2.gz VKYJXWFTWWWRAK-UHFFFAOYSA-N -1 1 333.396 -0.281 20 0 EBADMM Cn1[n-]c(CN(CCNC(=O)[C@@H]2C[C@@]23CCOC3)C2CC2)nc1=O ZINC001366759644 1133286555 /nfs/dbraw/zinc/28/65/55/1133286555.db2.gz ILEDWULZNQPUKO-BLLLJJGKSA-N -1 1 335.408 -0.384 20 0 EBADMM Cn1cc(C(=O)NCC[C@@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)[nH]c1=O ZINC001366831457 1133308886 /nfs/dbraw/zinc/30/88/86/1133308886.db2.gz COHFMSAXNAXVKG-SECBINFHSA-N -1 1 335.368 -0.409 20 0 EBADMM CC[C@H](CNC(=O)[C@@H](OC)c1cnn(C)c1)NCc1n[nH]c(=O)[n-]1 ZINC001367122003 1133480974 /nfs/dbraw/zinc/48/09/74/1133480974.db2.gz QUGCVHVHABSUCL-PWSUYJOCSA-N -1 1 337.384 -0.384 20 0 EBADMM Cc1nc(CC(=O)NC[C@H](O)CNCc2n[nH]c(=O)[n-]2)c(C)s1 ZINC001367594813 1133668175 /nfs/dbraw/zinc/66/81/75/1133668175.db2.gz RZQDCMFWZPVHRL-SECBINFHSA-N -1 1 340.409 -0.607 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CNC(=O)c2ccccc21 ZINC001367677703 1133742230 /nfs/dbraw/zinc/74/22/30/1133742230.db2.gz YDEMKNUUFKUVMS-BXKDBHETSA-N -1 1 344.375 -0.368 20 0 EBADMM C[C@@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)CCCn1cncn1 ZINC001367844202 1133811071 /nfs/dbraw/zinc/81/10/71/1133811071.db2.gz JORWLZBLAGPAIN-NSHDSACASA-N -1 1 336.400 -0.883 20 0 EBADMM Cc1ccn([C@H](C)CC(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001367951167 1133858536 /nfs/dbraw/zinc/85/85/36/1133858536.db2.gz LTPPQVZMPAJMQA-OLZOCXBDSA-N -1 1 347.423 -0.045 20 0 EBADMM Cn1ccc(C(=O)NC[C@@]2(C)CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001368218273 1133994794 /nfs/dbraw/zinc/99/47/94/1133994794.db2.gz DKUZIMMJAZDWNL-MRXNPFEDSA-N -1 1 347.423 -0.126 20 0 EBADMM O=C(CN1CC(O)(CNC(=O)c2ncccc2[O-])C1)NCC1CC1 ZINC001368286331 1134102779 /nfs/dbraw/zinc/10/27/79/1134102779.db2.gz FATBHIMEOBBFOB-UHFFFAOYSA-N -1 1 334.376 -0.910 20 0 EBADMM O=C(Cn1cnnn1)NC[C@H]1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001368336636 1134154013 /nfs/dbraw/zinc/15/40/13/1134154013.db2.gz IBTLCYDQVQAAKA-JGPRNRPPSA-N -1 1 347.383 -0.944 20 0 EBADMM CC[C@@H](C(N)=O)N1CCC[C@](CO)(NC(=O)c2ncccc2[O-])C1 ZINC001368367635 1134171260 /nfs/dbraw/zinc/17/12/60/1134171260.db2.gz AOHZVKQSYSMHIJ-ZBEGNZNMSA-N -1 1 336.392 -0.392 20 0 EBADMM Cc1cc(=O)c(C(=O)NCC2(NCc3n[nH]c(=O)[n-]3)CCC2)cn1C ZINC001368476327 1134246042 /nfs/dbraw/zinc/24/60/42/1134246042.db2.gz XGGLTZHXKJNAFG-UHFFFAOYSA-N -1 1 346.391 -0.040 20 0 EBADMM CC[C@H](F)C(=O)N[C@@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001368589878 1134310772 /nfs/dbraw/zinc/31/07/72/1134310772.db2.gz AWUKCLNRIDLSKV-UWVGGRQHSA-N -1 1 327.360 -0.684 20 0 EBADMM O=C([C@H]1[C@@H]2CCC[C@@H]21)N1CCC(O)(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001368686409 1134385503 /nfs/dbraw/zinc/38/55/03/1134385503.db2.gz MVGMYIGNNFSXLT-PJXYFTJBSA-N -1 1 335.408 -0.001 20 0 EBADMM C[C@H](CNC(=O)[C@@H]1CCCOCC1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001368947618 1134492667 /nfs/dbraw/zinc/49/26/67/1134492667.db2.gz NPSYKEBMCOMAEO-VXGBXAGGSA-N -1 1 325.413 -0.138 20 0 EBADMM C[C@H](CN1CCOCC1)C(=O)NC[C@@H](C)N(C)Cc1n[nH]c(=O)[n-]1 ZINC001369010231 1134518391 /nfs/dbraw/zinc/51/83/91/1134518391.db2.gz TYIIRQZVACFDNE-VXGBXAGGSA-N -1 1 340.428 -0.585 20 0 EBADMM C[C@@H](C[S@@](C)=O)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001369042979 1134545176 /nfs/dbraw/zinc/54/51/76/1134545176.db2.gz IGLUROZACHRFJS-QSIZCVBASA-N -1 1 328.394 -0.179 20 0 EBADMM CO[C@@H](C(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1)C(C)C ZINC001369092188 1134560627 /nfs/dbraw/zinc/56/06/27/1134560627.db2.gz SMZSFOAYOHLJLR-ZWNOBZJWSA-N -1 1 325.413 -0.284 20 0 EBADMM CN(C(=O)[C@H]1CCNC(=O)C1)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001369207271 1134616405 /nfs/dbraw/zinc/61/64/05/1134616405.db2.gz WPXKDLDXPJDYBL-QWRGUYRKSA-N -1 1 336.396 -0.637 20 0 EBADMM CN(C(=O)[C@@H]1CC(=O)N(C)C1)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001369209130 1134617862 /nfs/dbraw/zinc/61/78/62/1134617862.db2.gz PXWUONYYVPRZFE-GHMZBOCLSA-N -1 1 336.396 -0.685 20 0 EBADMM CCN(CC)C(=O)[C@@H](C)N[C@H](CO)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001369323983 1134664558 /nfs/dbraw/zinc/66/45/58/1134664558.db2.gz RTRNZTZZFWGRCA-MNOVXSKESA-N -1 1 341.412 -0.639 20 0 EBADMM O=C(NC[C@@H](CO)NCc1noc(C2CC2)n1)c1ncccc1[O-] ZINC001369326469 1134667544 /nfs/dbraw/zinc/66/75/44/1134667544.db2.gz QGZPYYWPBLFSNX-JTQLQIEISA-N -1 1 333.348 -0.072 20 0 EBADMM CCCNC(=O)CCC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001369400838 1134761118 /nfs/dbraw/zinc/76/11/18/1134761118.db2.gz LUJBZYONNDUHNA-GHMZBOCLSA-N -1 1 338.412 -0.104 20 0 EBADMM O=C(CCc1c[nH]nn1)N[C@@]12CCC[C@H]1N(Cc1n[nH]c(=O)[n-]1)CC2 ZINC001369412019 1134768500 /nfs/dbraw/zinc/76/85/00/1134768500.db2.gz LZMBNEHBEUDHQI-IAQYHMDHSA-N -1 1 346.395 -0.126 20 0 EBADMM C[C@H](CC(=O)N(C)C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)n1ccnc1 ZINC001369470440 1134822450 /nfs/dbraw/zinc/82/24/50/1134822450.db2.gz HWCVSZNHPBXGJL-MNOVXSKESA-N -1 1 337.384 -0.733 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H](O)CN(C)C(=O)CCC1CCC1 ZINC001369498893 1134881881 /nfs/dbraw/zinc/88/18/81/1134881881.db2.gz WVANIPOZHAHQTB-CYBMUJFWSA-N -1 1 339.440 -0.060 20 0 EBADMM CC(C)N(CCN(C)Cc1nc(=O)n(C)[n-]1)C(=O)[C@H]1CCC(=O)N1 ZINC001369516953 1134914572 /nfs/dbraw/zinc/91/45/72/1134914572.db2.gz UTCAGJNSAKZYTP-LLVKDONJSA-N -1 1 338.412 -0.944 20 0 EBADMM C[C@@H](NC(=O)CN1CCOCC1)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001369682885 1134986441 /nfs/dbraw/zinc/98/64/41/1134986441.db2.gz HJGGXBSRIREDHB-VXGBXAGGSA-N -1 1 336.392 -0.258 20 0 EBADMM C[C@@H](NC(=O)CS(C)(=O)=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001370085547 1135194311 /nfs/dbraw/zinc/19/43/11/1135194311.db2.gz DSIJDNXZRFEECW-SECBINFHSA-N -1 1 341.389 -0.592 20 0 EBADMM CNC(=O)NCCC(=O)N1CC([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001370099012 1135200367 /nfs/dbraw/zinc/20/03/67/1135200367.db2.gz BTBRKIUBQGUZJQ-JTQLQIEISA-N -1 1 349.391 -0.317 20 0 EBADMM Cn1ccc(CCC(=O)NC[C@H](NCc2n[nH]c(=O)[n-]2)C2CC2)n1 ZINC001370176642 1135236881 /nfs/dbraw/zinc/23/68/81/1135236881.db2.gz LBVQSZPGJHRWBD-LBPRGKRZSA-N -1 1 333.396 -0.139 20 0 EBADMM O=C(CO[C@@H]1CCOC1)NC[C@@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001370182994 1135239182 /nfs/dbraw/zinc/23/91/82/1135239182.db2.gz XZYIZJMJPXUOKF-GHMZBOCLSA-N -1 1 325.369 -0.700 20 0 EBADMM O=C(CNC(=O)c1ccccn1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001370429323 1135347125 /nfs/dbraw/zinc/34/71/25/1135347125.db2.gz NNGJRBWSORORRK-JTQLQIEISA-N -1 1 345.363 -0.974 20 0 EBADMM O=C(NC[C@@H](CO)NC(=O)[C@H]1CCCOCC1)c1ncccc1[O-] ZINC001370670842 1135476542 /nfs/dbraw/zinc/47/65/42/1135476542.db2.gz BCZCAXYTEVHAKX-RYUDHWBXSA-N -1 1 337.376 -0.189 20 0 EBADMM CO[C@@H](C(=O)N[C@H](CO)CNC(=O)c1ncccc1[O-])C1CCC1 ZINC001370682720 1135492305 /nfs/dbraw/zinc/49/23/05/1135492305.db2.gz QZUOCRHQXGFKBJ-SMDDNHRTSA-N -1 1 337.376 -0.191 20 0 EBADMM O=C(CCCn1cccn1)NC[C@H](CO)NC(=O)c1ncccc1[O-] ZINC001370702333 1135518839 /nfs/dbraw/zinc/51/88/39/1135518839.db2.gz BZKLWRMVADLUIO-GFCCVEGCSA-N -1 1 347.375 -0.329 20 0 EBADMM O=C([N-][C@H](CO)CNC(=O)c1ccnn1CC(F)(F)F)C(F)F ZINC001370732276 1135560821 /nfs/dbraw/zinc/56/08/21/1135560821.db2.gz NOVZURVINNVMDE-LURJTMIESA-N -1 1 344.240 -0.083 20 0 EBADMM Cn1ccc(=O)c(C(=O)NC[C@@H](CO)NC(=O)c2ncccc2[O-])c1 ZINC001370759048 1135602074 /nfs/dbraw/zinc/60/20/74/1135602074.db2.gz RKMYQTJYICASHZ-JTQLQIEISA-N -1 1 346.343 -0.993 20 0 EBADMM C[C@@H](C(=O)NC[C@H](CO)NC(=O)c1ncccc1[O-])c1cnn(C)c1 ZINC001370795483 1135638851 /nfs/dbraw/zinc/63/88/51/1135638851.db2.gz DWFRJHLDVADQJN-ZYHUDNBSSA-N -1 1 347.375 -0.469 20 0 EBADMM CNC(=O)NCC(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])[C@@H]1C ZINC001370907067 1135691774 /nfs/dbraw/zinc/69/17/74/1135691774.db2.gz IXJYJOAMJISGKO-QWRGUYRKSA-N -1 1 349.391 -0.175 20 0 EBADMM CN(C[C@H](O)CNC(=O)c1cnc(C2CC2)[n-]c1=O)C(=O)C(F)F ZINC001370946340 1135705156 /nfs/dbraw/zinc/70/51/56/1135705156.db2.gz NFTRMIOFFGSHKS-MRVPVSSYSA-N -1 1 344.318 -0.126 20 0 EBADMM CCn1cc(C(=O)N(C)C[C@@H](O)CNC(=O)c2ncccc2[O-])cn1 ZINC001370969566 1135717917 /nfs/dbraw/zinc/71/79/17/1135717917.db2.gz FEJWWJZLJIULBZ-LBPRGKRZSA-N -1 1 347.375 -0.134 20 0 EBADMM C[C@H](CNC(=O)[C@@H](C)NC(=O)C(C)(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001371316747 1135886643 /nfs/dbraw/zinc/88/66/43/1135886643.db2.gz FZQFDUCGNZKZCY-RKDXNWHRSA-N -1 1 326.401 -0.345 20 0 EBADMM C[C@@H](CNC(=O)[C@H](C)NC(=O)C(C)(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001371316745 1135886824 /nfs/dbraw/zinc/88/68/24/1135886824.db2.gz FZQFDUCGNZKZCY-IUCAKERBSA-N -1 1 326.401 -0.345 20 0 EBADMM CCCC(=O)N(C)C[C@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001371572677 1135973387 /nfs/dbraw/zinc/97/33/87/1135973387.db2.gz GWFCLWPCLUPETM-SNVBAGLBSA-N -1 1 332.364 -0.109 20 0 EBADMM Cc1nn(C)c(C)c1[C@@H](C)C(=O)NCCN(C)Cc1nc(=O)n(C)[n-]1 ZINC001372250069 1136153431 /nfs/dbraw/zinc/15/34/31/1136153431.db2.gz AEIYYUSRSWIMIF-SNVBAGLBSA-N -1 1 349.439 -0.190 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001372354751 1136178737 /nfs/dbraw/zinc/17/87/37/1136178737.db2.gz HFZXSLSCDKUAAZ-LBPRGKRZSA-N -1 1 334.376 -0.110 20 0 EBADMM CN1C[C@@H](C(=O)NC[C@H](NC(=O)c2ncccc2[O-])C2CC2)NC1=O ZINC001372411672 1136195578 /nfs/dbraw/zinc/19/55/78/1136195578.db2.gz KXMJCLXQJGZFQG-QWRGUYRKSA-N -1 1 347.375 -0.565 20 0 EBADMM CNC(=O)CCCC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC001372709049 1136273852 /nfs/dbraw/zinc/27/38/52/1136273852.db2.gz RGMJMJRGRWZNAI-HBYGRHMLSA-N -1 1 346.387 -0.110 20 0 EBADMM COc1cc(C(=O)NCCN(Cc2nc(=O)n(C)[n-]2)C2CC2)ncn1 ZINC001372805808 1136294139 /nfs/dbraw/zinc/29/41/39/1136294139.db2.gz XDHDDSUGHRMJBB-UHFFFAOYSA-N -1 1 347.379 -0.699 20 0 EBADMM Cn1[n-]c(CN(CCNC(=O)c2cn(C)ccc2=O)C2CC2)nc1=O ZINC001372831695 1136300005 /nfs/dbraw/zinc/30/00/05/1136300005.db2.gz SNAGIBJGZXZLKQ-UHFFFAOYSA-N -1 1 346.391 -0.799 20 0 EBADMM NC(=O)NC1(C(=O)NC[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001373143855 1136380012 /nfs/dbraw/zinc/38/00/12/1136380012.db2.gz GRMLYVHALGIHCI-JTQLQIEISA-N -1 1 347.375 -0.434 20 0 EBADMM C[C@H](CCNC(=O)C(C)(F)F)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001373230442 1136404193 /nfs/dbraw/zinc/40/41/93/1136404193.db2.gz BATZUAZDXMGRCA-SSDOTTSWSA-N -1 1 342.306 -0.303 20 0 EBADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)[C@]1(C)CCNC(=O)C1 ZINC001373413417 1136449060 /nfs/dbraw/zinc/44/90/60/1136449060.db2.gz ROSDFQQIXCNJSF-MRXNPFEDSA-N -1 1 334.376 -0.108 20 0 EBADMM O=C(CCCn1c(=O)[n-][nH]c1=O)NC1CN(CC[C@@H]2CCOC2)C1 ZINC001373440874 1136457028 /nfs/dbraw/zinc/45/70/28/1136457028.db2.gz WIJADZQMIGENQC-LLVKDONJSA-N -1 1 339.396 -0.304 20 0 EBADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)c1cc(=O)n(C)o1 ZINC001373822724 1136567142 /nfs/dbraw/zinc/56/71/42/1136567142.db2.gz FUJYNNMEOSZXSI-UHFFFAOYSA-N -1 1 334.332 -0.029 20 0 EBADMM CNC(=O)CCCC(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001373842534 1136571156 /nfs/dbraw/zinc/57/11/56/1136571156.db2.gz DFKKQDNZMIVMJX-UHFFFAOYSA-N -1 1 338.412 -0.055 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)Cc3ccccc3)C2)nc1=O ZINC001374132816 1136633536 /nfs/dbraw/zinc/63/35/36/1136633536.db2.gz SVLLSBOYJAXTGI-CQSZACIVSA-N -1 1 345.403 -0.332 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)Cc1ccc(C(N)=O)cc1 ZINC001374326770 1136674686 /nfs/dbraw/zinc/67/46/86/1136674686.db2.gz HHQDBOJVRUDUEP-SNVBAGLBSA-N -1 1 346.391 -0.212 20 0 EBADMM CC(C)[C@H](CNC(=O)C[C@H]1CCCC(=O)N1)NCc1n[nH]c(=O)[n-]1 ZINC001374429943 1136686842 /nfs/dbraw/zinc/68/68/42/1136686842.db2.gz ZFXXRVCKTLWCQG-MNOVXSKESA-N -1 1 338.412 -0.201 20 0 EBADMM COc1nccc(C(=O)NC[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)C)n1 ZINC001374429931 1136687203 /nfs/dbraw/zinc/68/72/03/1136687203.db2.gz YYRUOPLIIIAANR-SNVBAGLBSA-N -1 1 335.368 -0.147 20 0 EBADMM CCC(=O)NCC(=O)N1CC(NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001374649108 1136773709 /nfs/dbraw/zinc/77/37/09/1136773709.db2.gz KYTQRWKFHPLTHW-UHFFFAOYSA-N -1 1 347.375 -0.474 20 0 EBADMM O=C(NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C1(c2ccccc2F)CC1 ZINC001374660649 1136783978 /nfs/dbraw/zinc/78/39/78/1136783978.db2.gz HNSYQLVFQYTMTA-JTQLQIEISA-N -1 1 349.366 -0.052 20 0 EBADMM Cc1cccc(O[C@@H](C)C(=O)NC[C@@H](O)CNCc2n[nH]c(=O)[n-]2)c1 ZINC001374676956 1136794770 /nfs/dbraw/zinc/79/47/70/1136794770.db2.gz CFGHYAJFQZSGJB-RYUDHWBXSA-N -1 1 349.391 -0.147 20 0 EBADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)c1cc(=O)n(C)cn1 ZINC001375062337 1136904610 /nfs/dbraw/zinc/90/46/10/1136904610.db2.gz YUJRXKLXPAWPDE-SNVBAGLBSA-N -1 1 345.359 -0.181 20 0 EBADMM COC(=O)CN(CC(=O)OC)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000355569611 291077408 /nfs/dbraw/zinc/07/74/08/291077408.db2.gz BOWDECJNOOJKSE-UHFFFAOYSA-N -1 1 336.304 -0.538 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCCS(C)(=O)=O ZINC000038047348 213197467 /nfs/dbraw/zinc/19/74/67/213197467.db2.gz XEPSRDJOFABRFU-UHFFFAOYSA-N -1 1 339.395 -0.701 20 0 EBADMM COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)c1cc(F)ccc1[O-] ZINC000275244985 291501142 /nfs/dbraw/zinc/50/11/42/291501142.db2.gz HJZDWXXYRLUXAD-JTQLQIEISA-N -1 1 331.321 -0.057 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@@H](C)O[C@H](C(=O)OC)C2)co1 ZINC000600378718 376207243 /nfs/dbraw/zinc/20/72/43/376207243.db2.gz QGXKITYYXBCBQD-SCZZXKLOSA-N -1 1 346.361 -0.410 20 0 EBADMM Cc1cc(C(=O)Nc2n[n-]c(S(C)(=O)=O)n2)ccc1-n1cnnn1 ZINC000624722496 375930181 /nfs/dbraw/zinc/93/01/81/375930181.db2.gz KFYOVASUVJWXOO-UHFFFAOYSA-N -1 1 348.348 -0.255 20 0 EBADMM Cc1cc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)ccc1-n1cnnn1 ZINC000624722496 375930188 /nfs/dbraw/zinc/93/01/88/375930188.db2.gz KFYOVASUVJWXOO-UHFFFAOYSA-N -1 1 348.348 -0.255 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@H]1[N-]S(=O)(=O)[C@@H]1COC(C)(C)C1 ZINC000625278089 376041111 /nfs/dbraw/zinc/04/11/11/376041111.db2.gz LLTJOUZSNCSBTI-IVZWLZJFSA-N -1 1 327.424 -0.715 20 0 EBADMM O=C(NCc1nn[n-]n1)[C@H]1CCCN1C(=O)c1ccc2c(c1)OCO2 ZINC000600496813 376421458 /nfs/dbraw/zinc/42/14/58/376421458.db2.gz WGUQPRRXSHNVSF-SNVBAGLBSA-N -1 1 344.331 -0.151 20 0 EBADMM O=C([C@@H]1CC(=O)N(CC(F)(F)F)C1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370863566 376592356 /nfs/dbraw/zinc/59/23/56/376592356.db2.gz WSIOPIYEVGVDDL-SFYZADRCSA-N -1 1 348.285 -0.490 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]c2nc3ccccc3[nH]2)c(=O)n(C)c1=O ZINC000105781354 377372754 /nfs/dbraw/zinc/37/27/54/377372754.db2.gz QVASYDLYFUOVLN-UHFFFAOYSA-N -1 1 335.345 -0.239 20 0 EBADMM CCN1C[C@@H](S(=O)(=O)[N-][C@]2(C(=O)OC)CCSC2)CC1=O ZINC000601380601 377551521 /nfs/dbraw/zinc/55/15/21/377551521.db2.gz XGAFBCHCMGNSIT-JOYOIKCWSA-N -1 1 336.435 -0.425 20 0 EBADMM C[C@]12CCC(=O)N1[C@@H](C(=O)N1CCO[C@@H](c3nn[n-]n3)C1)CS2 ZINC000364527886 377621732 /nfs/dbraw/zinc/62/17/32/377621732.db2.gz BYMWTYJKGCMCRC-KKFJDGPESA-N -1 1 338.393 -0.446 20 0 EBADMM COc1ccc(NC(=O)C(=O)N2CCO[C@H](c3nn[n-]n3)C2)cc1 ZINC000364586401 377625194 /nfs/dbraw/zinc/62/51/94/377625194.db2.gz XZXVCCJUDKYKCY-NSHDSACASA-N -1 1 332.320 -0.253 20 0 EBADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1cnc2n1CCC2)c1ccnn1C ZINC000592093655 377798508 /nfs/dbraw/zinc/79/85/08/377798508.db2.gz UJMUZNWFCGEZMK-LBPRGKRZSA-N -1 1 339.377 -0.245 20 0 EBADMM CCN(CCSC)C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000592922122 377858074 /nfs/dbraw/zinc/85/80/74/377858074.db2.gz PHYCMORNALDQHT-UHFFFAOYSA-N -1 1 349.434 -0.132 20 0 EBADMM COC(=O)c1nc[nH]c1NC(=O)CC1(n2cnnn2)CCOCC1 ZINC000598847957 378185110 /nfs/dbraw/zinc/18/51/10/378185110.db2.gz RZVOICPCXFWUHG-UHFFFAOYSA-N -1 1 335.324 -0.283 20 0 EBADMM CCC1CCC2(CC1)NC(=O)N(CC(=O)NCc1nn[n-]n1)C2=O ZINC000599334577 378231478 /nfs/dbraw/zinc/23/14/78/378231478.db2.gz NFHYSBXQGARXTQ-UHFFFAOYSA-N -1 1 335.368 -0.293 20 0 EBADMM Cn1cc(N2C[C@@H](C(=O)N3CCC[C@H](c4nn[n-]n4)C3)CC2=O)cn1 ZINC000626237843 378304015 /nfs/dbraw/zinc/30/40/15/378304015.db2.gz JNFSNBHEKGZGOS-QWRGUYRKSA-N -1 1 344.379 -0.308 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)[C@H]1C[C@@H]2CC[C@H]1O2)c1ccnn1C ZINC000603365777 378400234 /nfs/dbraw/zinc/40/02/34/378400234.db2.gz HOFLFBXVOVSJNW-ZDDJMSTPSA-N -1 1 329.378 -0.127 20 0 EBADMM Cc1ncc(S(=O)(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])cc1C#N ZINC000324574449 378537088 /nfs/dbraw/zinc/53/70/88/378537088.db2.gz VXUDLPCADOIXIC-LBPRGKRZSA-N -1 1 335.345 -0.041 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)CNC(=O)CC2CCCC2)n1 ZINC000338116392 378737164 /nfs/dbraw/zinc/73/71/64/378737164.db2.gz FPNLAYOQYJZXFN-UHFFFAOYSA-N -1 1 329.382 -0.157 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)CNC(=O)CC2CCCC2)[n-]1 ZINC000338116392 378737167 /nfs/dbraw/zinc/73/71/67/378737167.db2.gz FPNLAYOQYJZXFN-UHFFFAOYSA-N -1 1 329.382 -0.157 20 0 EBADMM C[C@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])C[C@@H]1N1CCOCC1 ZINC000338266021 378751703 /nfs/dbraw/zinc/75/17/03/378751703.db2.gz OONFXFHYCZLWIS-STQMWFEESA-N -1 1 348.403 -0.304 20 0 EBADMM C[C@@]1(NC(=O)CNC(=O)c2ncccc2[O-])CCS(=O)(=O)C1 ZINC000337953335 378718041 /nfs/dbraw/zinc/71/80/41/378718041.db2.gz YIZPYYVULDRQQK-CYBMUJFWSA-N -1 1 327.362 -0.790 20 0 EBADMM COCC[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C(C)(C)C ZINC000337984410 378723626 /nfs/dbraw/zinc/72/36/26/378723626.db2.gz GCLRYJYCGYCURJ-LLVKDONJSA-N -1 1 347.437 -0.187 20 0 EBADMM CS(=O)(=O)NC[C@@H]1COCCN1C(=O)c1ccc([O-])cc1F ZINC000629572432 379048102 /nfs/dbraw/zinc/04/81/02/379048102.db2.gz SLXRTPWRXPOLHP-SECBINFHSA-N -1 1 332.353 -0.079 20 0 EBADMM Cc1cnc(C(=O)N2CCCC[C@H]2CNS(N)(=O)=O)c([O-])c1 ZINC000615939326 379067501 /nfs/dbraw/zinc/06/75/01/379067501.db2.gz UXJIVWKDJGLUFN-JTQLQIEISA-N -1 1 328.394 -0.117 20 0 EBADMM C[C@@H](NC(=O)c1cncc([O-])c1)C(=O)N1CCS(=O)(=O)CC1 ZINC000339929298 379092325 /nfs/dbraw/zinc/09/23/25/379092325.db2.gz QFQVPKFDGLCXKL-SECBINFHSA-N -1 1 327.362 -0.838 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1C[C@H](O)C[C@@H]1[C@H]1CCCOC1 ZINC000453036589 379104258 /nfs/dbraw/zinc/10/42/58/379104258.db2.gz WUFKXNGNXLANKR-YNEHKIRRSA-N -1 1 349.387 -0.095 20 0 EBADMM Cc1ccc2c([O-])c(C(=O)N3CCN(CC(N)=O)C(=O)C3)cnc2n1 ZINC000412419710 379142600 /nfs/dbraw/zinc/14/26/00/379142600.db2.gz NRTMUOQLCJWNDM-UHFFFAOYSA-N -1 1 343.343 -0.586 20 0 EBADMM Cc1ccc2c(n1)[n-]cc(C(=O)N1CCN(CC(N)=O)C(=O)C1)c2=O ZINC000412419710 379142616 /nfs/dbraw/zinc/14/26/16/379142616.db2.gz NRTMUOQLCJWNDM-UHFFFAOYSA-N -1 1 343.343 -0.586 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@H]1C[C@@H]2CCCCN2C1=O ZINC000457274841 379185627 /nfs/dbraw/zinc/18/56/27/379185627.db2.gz MLYPIRZIORBEDT-QWRGUYRKSA-N -1 1 332.360 -0.213 20 0 EBADMM CN1CCC2(CCN(C(=O)CNC(=O)c3ncccc3[O-])CC2)C1=O ZINC000445257721 379186355 /nfs/dbraw/zinc/18/63/55/379186355.db2.gz GLIFLZKVBCRVGC-UHFFFAOYSA-N -1 1 346.387 -0.012 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCN(C)C(=O)C1 ZINC000619714351 379222703 /nfs/dbraw/zinc/22/27/03/379222703.db2.gz WERVCPZNJANFQK-QMMMGPOBSA-N -1 1 330.366 -0.515 20 0 EBADMM CN1C(=O)CN(CC(=O)N[C@H](c2nn[n-]n2)c2ccccc2)C1=O ZINC000629952080 379247483 /nfs/dbraw/zinc/24/74/83/379247483.db2.gz QHPQDNMZEOGNRF-LBPRGKRZSA-N -1 1 329.320 -0.701 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@]2(C3CCCC3)CCNC2=O)n1 ZINC000629953940 379248633 /nfs/dbraw/zinc/24/86/33/379248633.db2.gz WTTFMZBIRHZBSK-ZDUSSCGKSA-N -1 1 341.393 -0.157 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)[C@]2(C3CCCC3)CCNC2=O)[n-]1 ZINC000629953940 379248641 /nfs/dbraw/zinc/24/86/41/379248641.db2.gz WTTFMZBIRHZBSK-ZDUSSCGKSA-N -1 1 341.393 -0.157 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2CC(=O)N(C(C)C)C2=O)c1 ZINC000270053479 379431639 /nfs/dbraw/zinc/43/16/39/379431639.db2.gz VGLRHUKPVUZLIS-SECBINFHSA-N -1 1 344.345 -0.120 20 0 EBADMM CC(C)(CNC(=O)c1nc2ccccc2c(=O)[n-]1)NS(C)(=O)=O ZINC000069034886 379436188 /nfs/dbraw/zinc/43/61/88/379436188.db2.gz NILBZZCOKBPKOM-UHFFFAOYSA-N -1 1 338.389 -0.019 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CC[C@H](F)C2)o1 ZINC000488883517 379528026 /nfs/dbraw/zinc/52/80/26/379528026.db2.gz WPILPYBIYKJMJL-JGVFFNPUSA-N -1 1 333.341 -0.336 20 0 EBADMM C[C@H](CN(C)C(=O)CCCN1C(=O)NC(C)(C)C1=O)c1nn[n-]n1 ZINC000273492037 379648892 /nfs/dbraw/zinc/64/88/92/379648892.db2.gz KWTWUGMZFUNUOE-SECBINFHSA-N -1 1 337.384 -0.128 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCO[C@]3(CCOC3)C2)o1 ZINC000274404537 379687927 /nfs/dbraw/zinc/68/79/27/379687927.db2.gz FLIYMJBXOHYIGU-CYBMUJFWSA-N -1 1 330.362 -0.181 20 0 EBADMM COC(=O)CC1(CS(=O)(=O)N[C@H](Cc2cnc[nH]2)C(=O)[O-])CC1 ZINC000274886257 379720177 /nfs/dbraw/zinc/72/01/77/379720177.db2.gz DXRFNVMOHZRVPN-SNVBAGLBSA-N -1 1 345.377 -0.332 20 0 EBADMM Cc1cc(S(=O)(=O)[N-]CC2CN(S(C)(=O)=O)C2)sn1 ZINC000632243204 379832846 /nfs/dbraw/zinc/83/28/46/379832846.db2.gz JLUPPTRCESYSFI-UHFFFAOYSA-N -1 1 325.437 -0.379 20 0 EBADMM CCOC1CC(CC[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)C1 ZINC000276690484 379856928 /nfs/dbraw/zinc/85/69/28/379856928.db2.gz MUQGONDITRMMSI-UHFFFAOYSA-N -1 1 345.421 -0.433 20 0 EBADMM COC(=O)[C@H](O)C(C)(C)[N-]S(=O)(=O)c1c(C)nn(C)c1Cl ZINC000277051827 379872796 /nfs/dbraw/zinc/87/27/96/379872796.db2.gz NPVNJDBEEMSZBP-QMMMGPOBSA-N -1 1 339.801 -0.027 20 0 EBADMM CN(CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1)c1ncnc2nc[nH]c21 ZINC000538223845 379966418 /nfs/dbraw/zinc/96/64/18/379966418.db2.gz DCIBIELLVLCCEV-SECBINFHSA-N -1 1 342.367 -0.292 20 0 EBADMM O=C(CCNC(=O)c1n[nH]c2ccccc21)NCCc1nc(=O)o[n-]1 ZINC000280195717 379973639 /nfs/dbraw/zinc/97/36/39/379973639.db2.gz UTCMWANXOIQSHW-UHFFFAOYSA-N -1 1 344.331 -0.282 20 0 EBADMM CC[C@H](C)[C@H](NS(C)(=O)=O)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000539323956 379995491 /nfs/dbraw/zinc/99/54/91/379995491.db2.gz AGYLBKIRLWRBBJ-AXFHLTTASA-N -1 1 344.441 -0.130 20 0 EBADMM NC(=O)NCCC(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-] ZINC000540417748 380009668 /nfs/dbraw/zinc/00/96/68/380009668.db2.gz IQPWOCVBKIQZDK-UHFFFAOYSA-N -1 1 342.377 -0.170 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC(=O)NCC(F)F)o1 ZINC000281132823 380009759 /nfs/dbraw/zinc/00/97/59/380009759.db2.gz ZANPYLJRDBOAFZ-UHFFFAOYSA-N -1 1 326.277 -0.274 20 0 EBADMM CCS(=O)(=O)C[C@@H](C)NC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000354696593 380083839 /nfs/dbraw/zinc/08/38/39/380083839.db2.gz CRULPGCMWPPHPZ-MRVPVSSYSA-N -1 1 338.407 -0.259 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)[C@H](C)CO1 ZINC000355367079 380189325 /nfs/dbraw/zinc/18/93/25/380189325.db2.gz IMIXYHBRIONQDN-RKDXNWHRSA-N -1 1 345.377 -0.707 20 0 EBADMM C[C@](O)(Cn1ccccc1=O)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000547737061 380160489 /nfs/dbraw/zinc/16/04/89/380160489.db2.gz VKRFWHNZGYUZRQ-NHYWBVRUSA-N -1 1 332.364 -0.481 20 0 EBADMM CCc1nc(S(=O)(=O)CC(=O)N2CCOC[C@H]2C2CC2)n[n-]1 ZINC000367249152 380211557 /nfs/dbraw/zinc/21/15/57/380211557.db2.gz SRSCFVKJJLEMRL-JTQLQIEISA-N -1 1 328.394 -0.222 20 0 EBADMM COCCCNC(=O)[C@H](C)S(=O)(=O)c1nc(C(=O)OC)c[n-]1 ZINC000285034863 380218602 /nfs/dbraw/zinc/21/86/02/380218602.db2.gz GDTPPXAMLRTLQX-QMMMGPOBSA-N -1 1 333.366 -0.489 20 0 EBADMM CC(C)NS(=O)(=O)CCNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287768777 380372312 /nfs/dbraw/zinc/37/23/12/380372312.db2.gz VZXJTVNXGWVGSI-BOPFTXTBSA-N -1 1 340.409 -0.209 20 0 EBADMM Cn1ccc(S(=O)(=O)[N-]C[C@@H](N2CCOCC2)C(F)(F)F)n1 ZINC000564908799 380384726 /nfs/dbraw/zinc/38/47/26/380384726.db2.gz SRCFSNMXRSMXBR-SECBINFHSA-N -1 1 342.343 -0.039 20 0 EBADMM Cn1[n-]cc2/c(=N\C(=O)C(=O)N3CCN(C4CC4)CC3)ccnc1-2 ZINC000288102702 380392097 /nfs/dbraw/zinc/39/20/97/380392097.db2.gz RUOGUGQFDKGMIQ-UYRXBGFRSA-N -1 1 328.376 -0.413 20 0 EBADMM COC[C@@](C)([N-]S(=O)(=O)CCCN1CCOCC1)C(=O)OC ZINC000361816535 380341470 /nfs/dbraw/zinc/34/14/70/380341470.db2.gz VCEWVAKJLMFZII-CYBMUJFWSA-N -1 1 338.426 -0.794 20 0 EBADMM COCC[C@H]([N-]S(=O)(=O)c1ccc(C(=O)OC)o1)C(=O)OC ZINC000289744632 380448046 /nfs/dbraw/zinc/44/80/46/380448046.db2.gz FBEGCFHBFLZECI-QMMMGPOBSA-N -1 1 335.334 -0.077 20 0 EBADMM CC(C)(C(=O)[O-])N1CCN(c2ncccc2S(N)(=O)=O)CC1 ZINC000566297844 380461804 /nfs/dbraw/zinc/46/18/04/380461804.db2.gz MZUNCCAVNNIERX-UHFFFAOYSA-N -1 1 328.394 -0.286 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@H](O)CC(C)(C)C)c(=O)n(C)c1=O ZINC000269771421 380526062 /nfs/dbraw/zinc/52/60/62/380526062.db2.gz MSMIPGVPCIFTNZ-SECBINFHSA-N -1 1 333.410 -0.841 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C2(C(N)=O)CCC2)c1 ZINC000567667068 380535558 /nfs/dbraw/zinc/53/55/58/380535558.db2.gz LTASAYNXMPDDFD-UHFFFAOYSA-N -1 1 327.362 -0.106 20 0 EBADMM O=S(=O)([N-]C[C@@]1(O)CCS(=O)(=O)C1)c1cccc(F)c1F ZINC000574415093 380711343 /nfs/dbraw/zinc/71/13/43/380711343.db2.gz ZBHTXIFDLJLPAY-NSHDSACASA-N -1 1 341.357 -0.207 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CS(=O)(=O)C[C@@H]2C)o1 ZINC000341966788 380748203 /nfs/dbraw/zinc/74/82/03/380748203.db2.gz LYDBBDANHBRZTQ-YUMQZZPRSA-N -1 1 337.375 -0.222 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2nnc3n2CCOC3)co1 ZINC000375446121 380845231 /nfs/dbraw/zinc/84/52/31/380845231.db2.gz DJUXAPJNZHJSQU-UHFFFAOYSA-N -1 1 341.349 -0.761 20 0 EBADMM CN1CCN(S(=O)(=O)CCCS(=O)(=O)[N-]CC(F)F)CC1 ZINC000349532579 380885250 /nfs/dbraw/zinc/88/52/50/380885250.db2.gz BALOKOLFOWCZDS-UHFFFAOYSA-N -1 1 349.425 -0.862 20 0 EBADMM O=S(=O)(CCCS(=O)(=O)NCCO)[N-]c1ccc(F)cn1 ZINC000349597574 380893379 /nfs/dbraw/zinc/89/33/79/380893379.db2.gz CENCBCDCBRBXDN-UHFFFAOYSA-N -1 1 341.386 -0.736 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2ccccc2F)c(=O)n(C)c1=O ZINC000015327213 381115116 /nfs/dbraw/zinc/11/51/16/381115116.db2.gz VNRWOOKKMNLFLL-UHFFFAOYSA-N -1 1 327.337 -0.298 20 0 EBADMM CC[C@H]1COCCN1C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000346828507 381131279 /nfs/dbraw/zinc/13/12/79/381131279.db2.gz UOOXYCXRFCZEAA-VIFPVBQESA-N -1 1 345.377 -0.706 20 0 EBADMM CN1C(=O)N[C@@H]2CN(C(=O)CNC(=O)c3ncccc3[O-])CC[C@H]21 ZINC000347104929 381178621 /nfs/dbraw/zinc/17/86/21/381178621.db2.gz UCEIZWYRRIGWAQ-NXEZZACHSA-N -1 1 333.348 -0.859 20 0 EBADMM CCOC(=O)[C@@H]1CCCN(C(=O)CN(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000347386011 381213815 /nfs/dbraw/zinc/21/38/15/381213815.db2.gz AIMLQOYPMDHANG-LLVKDONJSA-N -1 1 339.396 -0.658 20 0 EBADMM C[C@H]1CN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C[C@@H]1C ZINC000347745310 381270316 /nfs/dbraw/zinc/27/03/16/381270316.db2.gz VKUVDCXRRKOTFB-IUCAKERBSA-N -1 1 329.378 -0.229 20 0 EBADMM CC(C)C[C@@H](CO)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000348019596 381312439 /nfs/dbraw/zinc/31/24/39/381312439.db2.gz JCMDBMMBRJUMKJ-VIFPVBQESA-N -1 1 347.393 -0.820 20 0 EBADMM O=C(CN1CCN(c2ccccn2)CC1)NC1(c2nn[n-]n2)CC1 ZINC000348294994 381356759 /nfs/dbraw/zinc/35/67/59/381356759.db2.gz UWDHYFSJOWGZHT-UHFFFAOYSA-N -1 1 328.380 -0.478 20 0 EBADMM CN(CC(=O)N1CCOCC1)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000348510622 381376238 /nfs/dbraw/zinc/37/62/38/381376238.db2.gz IXXRSBACHXFLJH-UHFFFAOYSA-N -1 1 330.344 -0.146 20 0 EBADMM CCCN1CCCN(C(=O)CNC(=O)c2ncccc2[O-])CC1=O ZINC000350327426 381516826 /nfs/dbraw/zinc/51/68/26/381516826.db2.gz LPZQHRZZLAEJQJ-UHFFFAOYSA-N -1 1 334.376 -0.012 20 0 EBADMM O=C(N[C@@H]1CCCN(CCO)C1=O)c1nc2ccccc2c(=O)[n-]1 ZINC000349852874 381487892 /nfs/dbraw/zinc/48/78/92/381487892.db2.gz QIEOBYWQRGZHTP-GFCCVEGCSA-N -1 1 330.344 -0.364 20 0 EBADMM COCCN1C[C@H](C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)CC1=O ZINC000350827659 381550426 /nfs/dbraw/zinc/55/04/26/381550426.db2.gz DSHQDHQFFKYPCN-WDEREUQCSA-N -1 1 338.364 -0.024 20 0 EBADMM O=S(=O)(CCCS(=O)(=O)N1CCCCO1)[N-]c1c[nH]cn1 ZINC000563898423 381574725 /nfs/dbraw/zinc/57/47/25/381574725.db2.gz JJTPLOOCWHPQDZ-UHFFFAOYSA-N -1 1 338.411 -0.101 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2nnnn2CC2CC2)c1 ZINC000351578416 381647938 /nfs/dbraw/zinc/64/79/38/381647938.db2.gz BGYQCWFKGRBDRU-UHFFFAOYSA-N -1 1 341.349 -0.059 20 0 EBADMM CO[C@H]1CC[C@H](NC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C1 ZINC000351760040 381671413 /nfs/dbraw/zinc/67/14/13/381671413.db2.gz RWSZBHRMGXTCNM-IUCAKERBSA-N -1 1 345.377 -0.660 20 0 EBADMM O=C(CN1C(=O)CCC1=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000332325536 381780549 /nfs/dbraw/zinc/78/05/49/381780549.db2.gz XGDGQKFUEGKPHS-UHFFFAOYSA-N -1 1 346.343 -0.779 20 0 EBADMM C[C@@H]1CCCC[C@H]1NC(=O)CN1Cc2n[nH]c(=O)n2C[C@H]1C(=O)[O-] ZINC000333655387 381948257 /nfs/dbraw/zinc/94/82/57/381948257.db2.gz ZTTYNGLLKMYDFA-MXWKQRLJSA-N -1 1 337.380 -0.053 20 0 EBADMM COC(=O)[C@H]1C[C@H](OC)CN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000352544805 382000295 /nfs/dbraw/zinc/00/02/95/382000295.db2.gz SYMNRMLWLMMXJW-VHSXEESVSA-N -1 1 337.332 -0.694 20 0 EBADMM COC(=O)[C@H](Cc1ccccc1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000352616746 382013523 /nfs/dbraw/zinc/01/35/23/382013523.db2.gz WBUMEYZGGOVZGG-LBPRGKRZSA-N -1 1 341.327 -0.068 20 0 EBADMM CN(C)S(=O)(=O)c1cc(C(=O)NC(C)(C)c2nn[n-]n2)n(C)c1 ZINC000352478329 381988496 /nfs/dbraw/zinc/98/84/96/381988496.db2.gz VRWYCZKHWUVRGV-UHFFFAOYSA-N -1 1 341.397 -0.546 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1CC(=O)N(c2cnn(C)c2)C1)c1nn[n-]n1 ZINC000626443492 382120369 /nfs/dbraw/zinc/12/03/69/382120369.db2.gz HFECALNOXQBXAB-ZJUUUORDSA-N -1 1 332.368 -0.452 20 0 EBADMM COc1ccc(-c2nnc(S(=O)(=O)C[C@H](O)CO)[n-]2)c(OC)c1 ZINC000447497018 382198178 /nfs/dbraw/zinc/19/81/78/382198178.db2.gz SEHWPHBCYNVXGN-MRVPVSSYSA-N -1 1 343.361 -0.384 20 0 EBADMM COc1ccc(-c2nc(S(=O)(=O)C[C@H](O)CO)n[n-]2)c(OC)c1 ZINC000447497018 382198186 /nfs/dbraw/zinc/19/81/86/382198186.db2.gz SEHWPHBCYNVXGN-MRVPVSSYSA-N -1 1 343.361 -0.384 20 0 EBADMM CN(C[C@@H](O)CO)C(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000353963090 382187722 /nfs/dbraw/zinc/18/77/22/382187722.db2.gz NOQMHKYDVAAKFT-SECBINFHSA-N -1 1 334.394 -0.218 20 0 EBADMM COC(=O)[C@]1([N-]S(=O)(=O)N2C[C@@H](C)OC[C@H]2C)CCSC1 ZINC000340066625 291984177 /nfs/dbraw/zinc/98/41/77/291984177.db2.gz GQDPTWMZZGEXIM-FOGDFJRCSA-N -1 1 338.451 -0.021 20 0 EBADMM CCOC(=O)[C@@H](CO)[N-]S(=O)(=O)c1csnc1C(=O)OC ZINC000351959893 285853763 /nfs/dbraw/zinc/85/37/63/285853763.db2.gz SKWMFPMGVHTVIS-ZCFIWIBFSA-N -1 1 338.363 -0.868 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2ccc(O)cc2)c(=O)n(C)c1=O ZINC000318291498 155176876 /nfs/dbraw/zinc/17/68/76/155176876.db2.gz MDSRXPWIXMSZJZ-UHFFFAOYSA-N -1 1 325.346 -0.732 20 0 EBADMM CCC[C@H](CCO)C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000320180293 155180753 /nfs/dbraw/zinc/18/07/53/155180753.db2.gz LCSUVICSFAISMY-SNVBAGLBSA-N -1 1 333.410 -0.839 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](C)C(=O)N2CCOCC2)c1 ZINC000330442186 155270342 /nfs/dbraw/zinc/27/03/42/155270342.db2.gz SSRVDGSUIFYMEG-SECBINFHSA-N -1 1 346.361 -0.408 20 0 EBADMM COC(=O)[C@H]1CCC[C@@H]1S(=O)(=O)[N-]Cc1nc(N(C)C)no1 ZINC000331103797 155340096 /nfs/dbraw/zinc/34/00/96/155340096.db2.gz PUUYXFBHFHKZHC-IUCAKERBSA-N -1 1 332.382 -0.103 20 0 EBADMM CC(C)NC(=O)CN(C)CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000331250690 155353423 /nfs/dbraw/zinc/35/34/23/155353423.db2.gz ZPLKZWNGPXTYDD-NSHDSACASA-N -1 1 339.396 -0.062 20 0 EBADMM CCNC(=O)N1CCN(C(=O)c2ccc(S(=O)(=O)[N-]C)o2)CC1 ZINC000264139269 154273539 /nfs/dbraw/zinc/27/35/39/154273539.db2.gz JPHCIGCMRLEELR-UHFFFAOYSA-N -1 1 344.393 -0.325 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CN(C)CCN2C)o1 ZINC000264745957 154293864 /nfs/dbraw/zinc/29/38/64/154293864.db2.gz LHNPDPPBELIQGI-JTQLQIEISA-N -1 1 331.394 -0.410 20 0 EBADMM COCCS(=O)(=O)[N-]c1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000267856970 154392143 /nfs/dbraw/zinc/39/21/43/154392143.db2.gz GUGZUQUHUINYFR-UHFFFAOYSA-N -1 1 328.350 -0.980 20 0 EBADMM C[C@@H](O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1[O-] ZINC000271534431 154528857 /nfs/dbraw/zinc/52/88/57/154528857.db2.gz NOSMGNIQSVALFI-SECBINFHSA-N -1 1 330.362 -0.268 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCNC(=O)c2ccn[nH]2)o1 ZINC000272795440 154567012 /nfs/dbraw/zinc/56/70/12/154567012.db2.gz IDOCJWVCULOGPE-UHFFFAOYSA-N -1 1 341.349 -0.929 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@@](C)(C(=O)NC)C2)o1 ZINC000280786511 154767434 /nfs/dbraw/zinc/76/74/34/154767434.db2.gz PPVOZLCEMDQBEU-CYBMUJFWSA-N -1 1 329.378 -0.214 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1C(=O)NCC[C@H]1C ZINC000282634371 154804328 /nfs/dbraw/zinc/80/43/28/154804328.db2.gz SXRIUPGBLPWMMG-APPZFPTMSA-N -1 1 330.366 -0.611 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CN2CCCC2=O)c1 ZINC000292281217 155030408 /nfs/dbraw/zinc/03/04/08/155030408.db2.gz QWNGJXDCIUMVJS-UHFFFAOYSA-N -1 1 327.362 -0.139 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CC(=O)N(C)C2)c(C(F)(F)F)n1 ZINC000293345492 155045120 /nfs/dbraw/zinc/04/51/20/155045120.db2.gz DIYQCLMYNNMXQF-ZCFIWIBFSA-N -1 1 326.300 -0.052 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2CCN(C)C2=O)c(C(F)(F)F)n1 ZINC000293563163 155048412 /nfs/dbraw/zinc/04/84/12/155048412.db2.gz SDUQURXBHLTGQP-LURJTMIESA-N -1 1 326.300 -0.052 20 0 EBADMM COCCNC(=O)C[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000293877787 155051306 /nfs/dbraw/zinc/05/13/06/155051306.db2.gz BGMJUEBRLOHXHP-UHFFFAOYSA-N -1 1 344.315 -0.520 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)CCn1cnccc1=O ZINC000294075798 155054751 /nfs/dbraw/zinc/05/47/51/155054751.db2.gz ICVNOFOOSZGHLG-UHFFFAOYSA-N -1 1 342.359 -0.097 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@H]1CC[C@@H](CO)O1 ZINC000294347663 155059584 /nfs/dbraw/zinc/05/95/84/155059584.db2.gz AZTQAIKWRJQUKS-NEPJUHHUSA-N -1 1 334.376 -0.162 20 0 EBADMM CC[C@@H](CNS(C)(=O)=O)[N-]S(=O)(=O)c1c(C)onc1N ZINC000295848554 155071465 /nfs/dbraw/zinc/07/14/65/155071465.db2.gz WZFKJXZBGXJMFA-ZETCQYMHSA-N -1 1 326.400 -0.829 20 0 EBADMM CCN(CC)C(=O)CSc1nnc(SCc2nn[n-]n2)n1N ZINC000298323849 155107856 /nfs/dbraw/zinc/10/78/56/155107856.db2.gz UWLBKQGVQBYCSF-UHFFFAOYSA-N -1 1 343.442 -0.242 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cnn(CC(=O)OC)c2)o1 ZINC000342152488 156010764 /nfs/dbraw/zinc/01/07/64/156010764.db2.gz TVXPLEJPRVRRKW-UHFFFAOYSA-N -1 1 342.333 -0.191 20 0 EBADMM O=C(CNC(=O)[C@H]1c2ccccc2C(=O)N1C1CC1)Nc1nnn[n-]1 ZINC000342850732 156065165 /nfs/dbraw/zinc/06/51/65/156065165.db2.gz AAQYCOJWHFYZOD-GFCCVEGCSA-N -1 1 341.331 -0.386 20 0 EBADMM O=C(CNC(=O)[C@H]1c2ccccc2C(=O)N1C1CC1)Nc1nn[n-]n1 ZINC000342850732 156065170 /nfs/dbraw/zinc/06/51/70/156065170.db2.gz AAQYCOJWHFYZOD-GFCCVEGCSA-N -1 1 341.331 -0.386 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCc2ccnc(N(C)C)n2)o1 ZINC000343038724 156087144 /nfs/dbraw/zinc/08/71/44/156087144.db2.gz NFLRHMUEOHOWRJ-UHFFFAOYSA-N -1 1 339.377 -0.026 20 0 EBADMM Cc1nc2n(n1)C[C@@H](NC(=O)CNC(=O)c1ncccc1[O-])CC2 ZINC000343308754 156121029 /nfs/dbraw/zinc/12/10/29/156121029.db2.gz UWVAXPFRAABQDO-JTQLQIEISA-N -1 1 330.348 -0.452 20 0 EBADMM COCC(C)(C)CNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000343490409 156139885 /nfs/dbraw/zinc/13/98/85/156139885.db2.gz FSSKTLTULFKXGA-UHFFFAOYSA-N -1 1 347.393 -0.554 20 0 EBADMM CN(C)C(=O)Cn1cc(C(=O)Nc2n[n-]c(C(F)(F)F)n2)nn1 ZINC000343577458 156143795 /nfs/dbraw/zinc/14/37/95/156143795.db2.gz CBOHPZLSUJVLME-UHFFFAOYSA-N -1 1 332.246 -0.245 20 0 EBADMM Cn1cc([C@@H]2CN(C(=O)CNC(=O)c3ncccc3[O-])CCO2)cn1 ZINC000343789546 156169221 /nfs/dbraw/zinc/16/92/21/156169221.db2.gz YYFYHVUFBOLNPH-ZDUSSCGKSA-N -1 1 345.359 -0.149 20 0 EBADMM CS(=O)(=O)CC1(CNC(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000343935034 156189666 /nfs/dbraw/zinc/18/96/66/156189666.db2.gz CGTYEIZZSULDTM-UHFFFAOYSA-N -1 1 341.389 -0.542 20 0 EBADMM CCOC(=O)[C@H](CCOC)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000343972967 156194237 /nfs/dbraw/zinc/19/42/37/156194237.db2.gz MZFDTNSQFIVFLF-JTQLQIEISA-N -1 1 339.348 -0.399 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCc2c(=O)[nH]cnc2C1 ZINC000345197839 156310989 /nfs/dbraw/zinc/31/09/89/156310989.db2.gz LGKSWWYTEDWZJU-UHFFFAOYSA-N -1 1 329.316 -0.403 20 0 EBADMM CC[C@H](OC)C(=O)NCC[N-]S(=O)(=O)c1sc(=O)[nH]c1C ZINC000345443803 156334169 /nfs/dbraw/zinc/33/41/69/156334169.db2.gz HWTJNMFNGUXCFL-QMMMGPOBSA-N -1 1 337.423 -0.023 20 0 EBADMM CC[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C(F)(F)F ZINC000345616931 156351188 /nfs/dbraw/zinc/35/11/88/156351188.db2.gz XMCISBMGDSMKHT-SSDOTTSWSA-N -1 1 329.300 -0.297 20 0 EBADMM CC(C)[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C(F)(F)F ZINC000345634016 156351278 /nfs/dbraw/zinc/35/12/78/156351278.db2.gz DJWDCGRJWVOPCX-QMMMGPOBSA-N -1 1 343.327 -0.051 20 0 EBADMM NS(=O)(=O)N1CCC(C(=O)NC2(c3nn[n-]n3)CCCC2)CC1 ZINC000346342837 156397937 /nfs/dbraw/zinc/39/79/37/156397937.db2.gz YGEWPKDSFSXMNN-UHFFFAOYSA-N -1 1 343.413 -0.999 20 0 EBADMM COC(=O)[C@H]1CN(C(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)CCO1 ZINC000355617636 157015787 /nfs/dbraw/zinc/01/57/87/157015787.db2.gz NDOKGAZNTBRZMR-GFCCVEGCSA-N -1 1 348.359 -0.005 20 0 EBADMM CC(C)(C)[C@@H](O)C[C@H](CO)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000356667746 157085159 /nfs/dbraw/zinc/08/51/59/157085159.db2.gz GYCBFXRCNKTDTO-PWSUYJOCSA-N -1 1 339.392 -0.209 20 0 EBADMM C[C@@]1(c2cccc(C(=O)NC3(c4nn[n-]n4)CC3)c2)NC(=O)NC1=O ZINC000357068388 157117414 /nfs/dbraw/zinc/11/74/14/157117414.db2.gz HJEIYCORMFUSIS-AWEZNQCLSA-N -1 1 341.331 -0.327 20 0 EBADMM CC[C@H](C)[C@H](NS(C)(=O)=O)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000357992304 157196230 /nfs/dbraw/zinc/19/62/30/157196230.db2.gz ICOAUYCZKPQNIO-GUBZILKMSA-N -1 1 332.430 -0.275 20 0 EBADMM COC(=O)C[C@H]1C(=O)NCCN1C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358322981 157230819 /nfs/dbraw/zinc/23/08/19/157230819.db2.gz MZWUKMOWEHYRBJ-JTQLQIEISA-N -1 1 347.331 -0.822 20 0 EBADMM CCNC(=O)CN1CCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CC1 ZINC000358326959 157231410 /nfs/dbraw/zinc/23/14/10/157231410.db2.gz RTTLZGVJIBYRKJ-UHFFFAOYSA-N -1 1 346.391 -0.432 20 0 EBADMM Cn1ncc2c1[n-]cc(C(=O)NCCN1C(=O)CCCC1=O)c2=O ZINC000358337768 157233335 /nfs/dbraw/zinc/23/33/35/157233335.db2.gz CCAZLUQICAAMFR-UHFFFAOYSA-N -1 1 331.332 -0.057 20 0 EBADMM Cn1ncc2c1[n-]cc(C(=O)N1CCc3nn(C)c(=O)cc3C1)c2=O ZINC000358346027 157233928 /nfs/dbraw/zinc/23/39/28/157233928.db2.gz JEHGIUSVTMOZHK-UHFFFAOYSA-N -1 1 340.343 -0.034 20 0 EBADMM C[C@H](CN(C)C(=O)Cn1nnc2ccccc2c1=O)c1nn[n-]n1 ZINC000358389848 157240445 /nfs/dbraw/zinc/24/04/45/157240445.db2.gz LXKFRMUOPJNZHW-SECBINFHSA-N -1 1 328.336 -0.433 20 0 EBADMM COCCNC(=O)C(=O)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC000359055464 157294642 /nfs/dbraw/zinc/29/46/42/157294642.db2.gz HZVTZAIVHGWNFK-UHFFFAOYSA-N -1 1 345.377 -0.232 20 0 EBADMM COc1ccc(CNC(=O)c2cc(=O)n3[n-]cnc3n2)c(OC)n1 ZINC000359719205 157338615 /nfs/dbraw/zinc/33/86/15/157338615.db2.gz WZDVKQPZDJIESN-UHFFFAOYSA-N -1 1 330.304 -0.240 20 0 EBADMM COCC[C@H](NC(=O)CNC(=O)c1ncccc1[O-])C(=O)OC ZINC000359782006 157341407 /nfs/dbraw/zinc/34/14/07/157341407.db2.gz FPZXGQMOKCMPIS-VIFPVBQESA-N -1 1 325.321 -0.789 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CC[C@@H](C(=O)N2CCCC2)C1 ZINC000360340729 157375279 /nfs/dbraw/zinc/37/52/79/157375279.db2.gz DAXQLQYKFJUDTF-GFCCVEGCSA-N -1 1 346.387 -0.012 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NC[C@@H](CO)c1cccnc1 ZINC000360456542 157381804 /nfs/dbraw/zinc/38/18/04/157381804.db2.gz BIUKYKJZODBMTD-LBPRGKRZSA-N -1 1 330.344 -0.196 20 0 EBADMM O=C(NC1(c2nn[n-]n2)CC1)C(=O)N1CCN(c2ccccc2)CC1 ZINC000360716107 157394796 /nfs/dbraw/zinc/39/47/96/157394796.db2.gz OMJQGDHUHAHTTJ-UHFFFAOYSA-N -1 1 341.375 -0.346 20 0 EBADMM COC(=O)c1ncsc1S(=O)(=O)NC[C@H](CC(=O)[O-])OC ZINC000530540953 418958217 /nfs/dbraw/zinc/95/82/17/418958217.db2.gz PTSVRKBVWBADKC-LURJTMIESA-N -1 1 338.363 -0.302 20 0 EBADMM CS(=O)(=O)c1nccc(C(=O)NC2(c3nn[n-]n3)CCCC2)n1 ZINC000518560825 419026109 /nfs/dbraw/zinc/02/61/09/419026109.db2.gz DTMJSHQZFMCYNR-UHFFFAOYSA-N -1 1 337.365 -0.408 20 0 EBADMM CS(=O)(=O)c1nc(NC(=O)c2ccc3c(n2)OCCO3)n[n-]1 ZINC000655390985 419050033 /nfs/dbraw/zinc/05/00/33/419050033.db2.gz XFTVXEPHPIGTMG-UHFFFAOYSA-N -1 1 325.306 -0.373 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2ccc3c(n2)OCCO3)n1 ZINC000655390985 419050036 /nfs/dbraw/zinc/05/00/36/419050036.db2.gz XFTVXEPHPIGTMG-UHFFFAOYSA-N -1 1 325.306 -0.373 20 0 EBADMM CC1(C)CNCCN1S(=O)(=O)c1c[nH]c2nc(=O)[n-]c(=O)c-2c1 ZINC000647228014 419043690 /nfs/dbraw/zinc/04/36/90/419043690.db2.gz CROJGMDOAIVVKX-UHFFFAOYSA-N -1 1 339.377 -0.192 20 0 EBADMM Cc1cc(C(=O)N2CC[N@@H+]3C[C@H](O)C[C@H]3C2)[n-]c2nc(=O)[n-]c(=O)c1-2 ZINC000655297539 418995090 /nfs/dbraw/zinc/99/50/90/418995090.db2.gz LLLUGRPOQGLVFZ-VHSXEESVSA-N -1 1 345.359 -0.365 20 0 EBADMM Cc1cc(C(=O)N2CC[N@H+]3C[C@H](O)C[C@H]3C2)[n-]c2nc(=O)[n-]c(=O)c1-2 ZINC000655297539 418995096 /nfs/dbraw/zinc/99/50/96/418995096.db2.gz LLLUGRPOQGLVFZ-VHSXEESVSA-N -1 1 345.359 -0.365 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N2CC(C3CC3)C2)o1 ZINC000644685411 419172350 /nfs/dbraw/zinc/17/23/50/419172350.db2.gz OAQZECVFRZDWHL-UHFFFAOYSA-N -1 1 327.362 -0.475 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCc2nnnn2C)c(C(F)(F)F)n1 ZINC000645080975 419292289 /nfs/dbraw/zinc/29/22/89/419292289.db2.gz COJXZCMMPBGOSI-UHFFFAOYSA-N -1 1 339.303 -0.517 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]CCS(=O)(=O)N(C)C)c1 ZINC000352547870 419598828 /nfs/dbraw/zinc/59/88/28/419598828.db2.gz DMWBWGXALMPCTP-UHFFFAOYSA-N -1 1 340.379 -0.764 20 0 EBADMM CNS(=O)(=O)c1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)o1 ZINC000533113569 419965252 /nfs/dbraw/zinc/96/52/52/419965252.db2.gz CNRXVWXCJYKJEF-MRVPVSSYSA-N -1 1 340.365 -0.279 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@@H]3NC(=O)OC[C@H]3C2)co1 ZINC000491148552 420555989 /nfs/dbraw/zinc/55/59/89/420555989.db2.gz ZEYKGBIQAICJJB-ZJUUUORDSA-N -1 1 343.361 -0.242 20 0 EBADMM Cc1[nH]c(=O)sc1S(=O)(=O)[N-]CCNC(=O)Nc1ccon1 ZINC000360276950 420572285 /nfs/dbraw/zinc/57/22/85/420572285.db2.gz ZRPHOULXTCSUQV-UHFFFAOYSA-N -1 1 347.378 0.245 20 0 EBADMM COC(=O)C1CCC([N-]S(=O)(=O)C2COC2)(C(=O)OC)CC1 ZINC000493969768 420578886 /nfs/dbraw/zinc/57/88/86/420578886.db2.gz OZZCLSQUUPTLCN-UHFFFAOYSA-N -1 1 335.378 -0.420 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCN3C(=O)OCC[C@@H]3C2)o1 ZINC000494033697 420580996 /nfs/dbraw/zinc/58/09/96/420580996.db2.gz NVRSAZROTUOYPK-SECBINFHSA-N -1 1 343.361 -0.146 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H]2COC(=O)N[C@@H]2CCOC2)nc1=O ZINC000496783041 420646685 /nfs/dbraw/zinc/64/66/85/420646685.db2.gz CRIFROIOFOMFIH-VXGBXAGGSA-N -1 1 339.396 -0.022 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](C1CC1)[C@@]1(CO)CCCO1 ZINC000339141089 420660382 /nfs/dbraw/zinc/66/03/82/420660382.db2.gz IBODGNOQJCOUTH-AAEUAGOBSA-N -1 1 331.394 0.164 20 0 EBADMM C[C@@H](CNC(=O)c1c[nH]c2nc(=O)[n-]c(=O)c-2c1)N1CCN(C)CC1 ZINC000524416867 420731839 /nfs/dbraw/zinc/73/18/39/420731839.db2.gz JDWFEXUPKYVOKX-JTQLQIEISA-N -1 1 346.391 -0.198 20 0 EBADMM C[C@H]1CN(S(=O)(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)C[C@H](C)O1 ZINC000530143449 420779778 /nfs/dbraw/zinc/77/97/78/420779778.db2.gz WHBWAUOXWARLJH-RYUDHWBXSA-N -1 1 349.453 -0.179 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@H]([C@@H](C)[NH3+])C2)[n-]c2nc(=O)[n-]c(=O)c1-2 ZINC000649274653 420794273 /nfs/dbraw/zinc/79/42/73/420794273.db2.gz SYLPUNMRYZGDPE-SCZZXKLOSA-N -1 1 333.348 -0.067 20 0 EBADMM C[C@H](C(=O)N(C)C)S(=O)(=O)[N-][C@@H]1Cc2ccccc2N(C)C1=O ZINC000657070122 420912891 /nfs/dbraw/zinc/91/28/91/420912891.db2.gz BPKJJXQIIROLOT-ZYHUDNBSSA-N -1 1 339.417 -0.030 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@H]1[N-]S(=O)(=O)CCC(F)(F)F ZINC000657099717 420914861 /nfs/dbraw/zinc/91/48/61/420914861.db2.gz HANSPORZROFXKT-RNFRBKRXSA-N -1 1 325.330 -0.330 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@H]1[N-]S(=O)(=O)C[C@H]1CCCCO1 ZINC000657099133 420915724 /nfs/dbraw/zinc/91/57/24/420915724.db2.gz FQRACJVVRAHVMI-GMTAPVOTSA-N -1 1 327.424 -0.713 20 0 EBADMM CC(C)O[C@@]1(CS(=O)(=O)[N-][C@@H]2CC(=O)N(C)C2=O)CCOC1 ZINC000659961020 421035741 /nfs/dbraw/zinc/03/57/41/421035741.db2.gz AHQOCEKTYRKPAX-MFKMUULPSA-N -1 1 334.394 -0.753 20 0 EBADMM Cn1ccnc(NC[C@H]2CN(Cc3ncccc3[O-])CCO2)c1=O ZINC000564302520 421183406 /nfs/dbraw/zinc/18/34/06/421183406.db2.gz HANFUIVYFHVUPL-LBPRGKRZSA-N -1 1 331.376 0.194 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2ccn(CC(=O)OC)n2)co1 ZINC000339198525 421220056 /nfs/dbraw/zinc/22/00/56/421220056.db2.gz KIOFTHVYHAKOJP-UHFFFAOYSA-N -1 1 342.333 -0.191 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCNc2ccn(C)n2)co1 ZINC000515885381 421220101 /nfs/dbraw/zinc/22/01/01/421220101.db2.gz RDVUMSWMDSNALU-UHFFFAOYSA-N -1 1 327.366 -0.237 20 0 EBADMM COC(=O)Cc1nc([N-]C(=O)c2cc(Cn3cc(C)cn3)on2)n[nH]1 ZINC000339218785 421229592 /nfs/dbraw/zinc/22/95/92/421229592.db2.gz MJNVFSDGRGUQRO-UHFFFAOYSA-N -1 1 345.319 0.314 20 0 EBADMM CC(C)(C)OC(=O)Cn1cnc([N-]C(=O)c2nc3ncccn3n2)n1 ZINC000598846183 421199854 /nfs/dbraw/zinc/19/98/54/421199854.db2.gz UYROWOZRPHKFSA-UHFFFAOYSA-N -1 1 344.335 0.310 20 0 EBADMM CC(C)[C@](C)(CO)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000427375528 265105153 /nfs/dbraw/zinc/10/51/53/265105153.db2.gz HUZFWHRTBFJWQL-ZDUSSCGKSA-N -1 1 347.393 -0.820 20 0 EBADMM CCOC[C@H](C)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000428667805 265128560 /nfs/dbraw/zinc/12/85/60/265128560.db2.gz OZKZPAGNDLGNNO-QMMMGPOBSA-N -1 1 333.366 -0.802 20 0 EBADMM CCCN1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C(C)(C)C1=O ZINC000428727580 265128629 /nfs/dbraw/zinc/12/86/29/265128629.db2.gz ILAWLYBEFBTURD-UHFFFAOYSA-N -1 1 332.364 -0.109 20 0 EBADMM CCCCS(=O)(=O)N1CCC[C@@H]1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000430314883 265148408 /nfs/dbraw/zinc/14/84/08/265148408.db2.gz CKYHFGYZPPTNDH-SNVBAGLBSA-N -1 1 342.425 -0.101 20 0 EBADMM CC(C)OC[C@H](CO)N(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000432611193 265177723 /nfs/dbraw/zinc/17/77/23/265177723.db2.gz CBYZPIJKIATUAW-NSHDSACASA-N -1 1 325.365 -0.239 20 0 EBADMM CN(CCOc1ccc(S(N)(=O)=O)cc1)Cc1nc(=O)n(C)[n-]1 ZINC000433058666 265184526 /nfs/dbraw/zinc/18/45/26/265184526.db2.gz QTEGXFQAFVEFMF-UHFFFAOYSA-N -1 1 341.393 -0.733 20 0 EBADMM COC(=O)c1ccc([O-])c(NC(=O)CN2C(=O)C(=O)N(C)C2=O)c1 ZINC000436516525 265242062 /nfs/dbraw/zinc/24/20/62/265242062.db2.gz WLWVFEPBSDBWPS-UHFFFAOYSA-N -1 1 335.272 -0.462 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCS(=O)(=O)NC)o1 ZINC000439086765 265264337 /nfs/dbraw/zinc/26/43/37/265264337.db2.gz BZVGQTNKWIDZSV-UHFFFAOYSA-N -1 1 340.379 -0.716 20 0 EBADMM COC[C@H](NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)C1CC1 ZINC000441558007 265307165 /nfs/dbraw/zinc/30/71/65/265307165.db2.gz VDYMGIUKINLZAZ-VIFPVBQESA-N -1 1 345.377 -0.802 20 0 EBADMM CC[C@H](C[C@H](C)CO)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000443339702 265346650 /nfs/dbraw/zinc/34/66/50/265346650.db2.gz DIVSCLBSKHEVTI-VHSXEESVSA-N -1 1 333.410 -0.841 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCN(C(=O)CC)C1 ZINC000443495047 265351269 /nfs/dbraw/zinc/35/12/69/265351269.db2.gz VBPMENRWEOQBGH-SECBINFHSA-N -1 1 344.393 -0.124 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](CO)CCSC ZINC000443501390 265352181 /nfs/dbraw/zinc/35/21/81/265352181.db2.gz PBTVHFVVDNFCSE-QMMMGPOBSA-N -1 1 337.423 -0.021 20 0 EBADMM CS[C@@H](C)CNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000443963729 265358039 /nfs/dbraw/zinc/35/80/39/265358039.db2.gz OLNBVRNWUFMFDL-ZETCQYMHSA-N -1 1 335.407 -0.476 20 0 EBADMM COC(=O)[C@@H]([N-]S(=O)(=O)N1CCc2ccccc2C1)[C@H](C)O ZINC000444206459 265361708 /nfs/dbraw/zinc/36/17/08/265361708.db2.gz QSUXBIVRMTYTTD-GWCFXTLKSA-N -1 1 328.390 -0.199 20 0 EBADMM COc1ccc(CNC(=O)CS(=O)(=O)c2nc[n-]n2)cc1OC ZINC000444406478 265363326 /nfs/dbraw/zinc/36/33/26/265363326.db2.gz XJQUPUZIQUNYND-UHFFFAOYSA-N -1 1 340.361 -0.088 20 0 EBADMM COC(=O)c1c[n-]c(S(=O)(=O)CC(=O)N(C)CC(F)(F)F)n1 ZINC000448539393 265389356 /nfs/dbraw/zinc/38/93/56/265389356.db2.gz VBAZYVOLESHSRR-UHFFFAOYSA-N -1 1 343.283 -0.009 20 0 EBADMM CCC[C@H](NC(=O)C=Cc1cn(C)c(=O)n(C)c1=O)c1nn[n-]n1 ZINC000492081235 517610501 /nfs/dbraw/zinc/61/05/01/517610501.db2.gz DVLQXRQEZBRZFT-GFVADAIESA-N -1 1 333.352 -0.732 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCCCNC1=O ZINC000298468982 521569110 /nfs/dbraw/zinc/56/91/10/521569110.db2.gz BFVHQIGJLOMUQR-SECBINFHSA-N -1 1 330.366 -0.467 20 0 EBADMM Cc1ccn(-c2ccc(NC(=O)C(=O)NCc3nn[n-]n3)cc2)n1 ZINC000671260806 545952129 /nfs/dbraw/zinc/95/21/29/545952129.db2.gz DIDVHABRJXEQIP-UHFFFAOYSA-N -1 1 326.320 -0.051 20 0 EBADMM COCCCOc1cccc(NC(=O)C(=O)NCc2nn[n-]n2)c1 ZINC000674322775 546160512 /nfs/dbraw/zinc/16/05/12/546160512.db2.gz ZRQONWRUYPBMPQ-UHFFFAOYSA-N -1 1 334.336 -0.130 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2CC3CCC2CC3)c(=O)n(C)c1=O ZINC000676035869 546193845 /nfs/dbraw/zinc/19/38/45/546193845.db2.gz JXDRVGBGLXBWGE-ILDUYXDCSA-N -1 1 327.406 -0.059 20 0 EBADMM NC(=O)c1cc([N-]S(=O)(=O)c2ccc3c(c2)C(=O)NCC3)n[nH]1 ZINC000679202836 546267709 /nfs/dbraw/zinc/26/77/09/546267709.db2.gz ODMJNZZXOSVPKG-UHFFFAOYSA-N -1 1 335.345 -0.405 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCc3nc(N)ncc3C2)co1 ZINC000682826829 546340384 /nfs/dbraw/zinc/34/03/84/546340384.db2.gz IPBSEFPXJIGJOL-UHFFFAOYSA-N -1 1 337.361 -0.242 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(CC(F)(F)F)C(=O)C1 ZINC000804715021 582534225 /nfs/dbraw/zinc/53/42/25/582534225.db2.gz KQHAXZHUMMIVAH-UHFFFAOYSA-N -1 1 348.281 -0.840 20 0 EBADMM COCc1nc(N2CCN([C@@H]3CCS(=O)(=O)C3)CC2)cc(=O)[n-]1 ZINC000891578403 582558791 /nfs/dbraw/zinc/55/87/91/582558791.db2.gz YPHGXJUIJFJNFG-LLVKDONJSA-N -1 1 342.421 -0.362 20 0 EBADMM Cn1cc(N2CCC[C@H]([N-]S(=O)(=O)N=S(C)(C)=O)C2)cn1 ZINC000866872210 582566973 /nfs/dbraw/zinc/56/69/73/582566973.db2.gz ZRZZSKSARNCOBM-JTQLQIEISA-N -1 1 335.455 -0.049 20 0 EBADMM CNC(=O)[C@H](O)C[N-]S(=O)(=O)c1ncccc1Br ZINC000849389627 582576219 /nfs/dbraw/zinc/57/62/19/582576219.db2.gz AUHADWPZFXTZTH-SSDOTTSWSA-N -1 1 338.183 -0.771 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCc1ccnc(-n2cccn2)c1 ZINC000726866241 582623114 /nfs/dbraw/zinc/62/31/14/582623114.db2.gz YTPYQXGHVYMDRA-UHFFFAOYSA-N -1 1 340.343 -0.176 20 0 EBADMM CC(C)[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)N1CCOCC1 ZINC000726865592 582623200 /nfs/dbraw/zinc/62/32/00/582623200.db2.gz CFPFDNLIBKFQIV-ZDUSSCGKSA-N -1 1 338.408 -0.600 20 0 EBADMM NS(=O)(=O)c1ccc(CNc2nccnc2-c2nnn[n-]2)cc1 ZINC000737770362 582635058 /nfs/dbraw/zinc/63/50/58/582635058.db2.gz VDLXYJYOUVKAKP-UHFFFAOYSA-N -1 1 332.349 -0.084 20 0 EBADMM NS(=O)(=O)c1ccc(CNc2nccnc2-c2nn[n-]n2)cc1 ZINC000737770362 582635059 /nfs/dbraw/zinc/63/50/59/582635059.db2.gz VDLXYJYOUVKAKP-UHFFFAOYSA-N -1 1 332.349 -0.084 20 0 EBADMM O=C([C@H]1CCCN(c2nccnc2-c2nnn[n-]2)C1)N1CCOCC1 ZINC000737791976 582683587 /nfs/dbraw/zinc/68/35/87/582683587.db2.gz NAIFHNUYGBOALE-NSHDSACASA-N -1 1 344.379 -0.268 20 0 EBADMM O=C([C@H]1CCCN(c2nccnc2-c2nn[n-]n2)C1)N1CCOCC1 ZINC000737791976 582683589 /nfs/dbraw/zinc/68/35/89/582683589.db2.gz NAIFHNUYGBOALE-NSHDSACASA-N -1 1 344.379 -0.268 20 0 EBADMM COCCN(CCC(=O)[O-])CC(=O)N1CCC2(CC1)OCCO2 ZINC000737794209 582684760 /nfs/dbraw/zinc/68/47/60/582684760.db2.gz KINJNIVBZPKRQL-UHFFFAOYSA-N -1 1 330.381 -0.225 20 0 EBADMM O=C(NC1CC1)C(=O)N1CCN(c2cccc(-c3nnn[n-]3)n2)CC1 ZINC000738018673 582719561 /nfs/dbraw/zinc/71/95/61/582719561.db2.gz DLYGCFPJZGGHIL-UHFFFAOYSA-N -1 1 342.363 -0.811 20 0 EBADMM O=C(NC1CC1)C(=O)N1CCN(c2cccc(-c3nn[n-]n3)n2)CC1 ZINC000738018673 582719563 /nfs/dbraw/zinc/71/95/63/582719563.db2.gz DLYGCFPJZGGHIL-UHFFFAOYSA-N -1 1 342.363 -0.811 20 0 EBADMM O=C(OCCCN1C(=O)CNC1=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000738223107 582824841 /nfs/dbraw/zinc/82/48/41/582824841.db2.gz WJBRTCFNZIAJQI-UHFFFAOYSA-N -1 1 331.292 -0.640 20 0 EBADMM O=C(OCCCN1C(=O)CNC1=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000738223107 582824842 /nfs/dbraw/zinc/82/48/42/582824842.db2.gz WJBRTCFNZIAJQI-UHFFFAOYSA-N -1 1 331.292 -0.640 20 0 EBADMM O=C(CCNC(=O)c1cccs1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000731854829 582841019 /nfs/dbraw/zinc/84/10/19/582841019.db2.gz HDWBKUSEPMJCNI-SECBINFHSA-N -1 1 336.377 -0.019 20 0 EBADMM NC(=O)c1ccc(OCC(=O)N2CCO[C@H](c3nn[n-]n3)C2)cc1 ZINC000731880684 582841809 /nfs/dbraw/zinc/84/18/09/582841809.db2.gz VTMZQWWASOYZHW-NSHDSACASA-N -1 1 332.320 -0.723 20 0 EBADMM CCNS(=O)(=O)c1ccc(F)c(C(=O)NCc2nn[n-]n2)c1 ZINC000731887487 582842075 /nfs/dbraw/zinc/84/20/75/582842075.db2.gz MZVUHUWNPYWWCG-UHFFFAOYSA-N -1 1 328.329 -0.433 20 0 EBADMM O=C(CN1C(=O)N[C@]2(CCc3ccccc32)C1=O)NCc1nn[n-]n1 ZINC000731887952 582842133 /nfs/dbraw/zinc/84/21/33/582842133.db2.gz QPWGXHCORCTECX-HNNXBMFYSA-N -1 1 341.331 -0.791 20 0 EBADMM O=C(CNC(=O)NCc1ccco1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000732090910 582844613 /nfs/dbraw/zinc/84/46/13/582844613.db2.gz QCUCXIVVGGRFCG-SNVBAGLBSA-N -1 1 335.324 -0.808 20 0 EBADMM CC(C)OCCCN(C)c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000735895714 582909574 /nfs/dbraw/zinc/90/95/74/582909574.db2.gz FSZWDXWNPBSTKY-UHFFFAOYSA-N -1 1 337.384 -0.485 20 0 EBADMM CC(=O)N1CCN([C@@H]2CN(CC(=O)NCCC(=O)[O-])C[C@@H]2C)CC1 ZINC000736134894 582920086 /nfs/dbraw/zinc/92/00/86/582920086.db2.gz SWXKSPNZNIGADZ-GXTWGEPZSA-N -1 1 340.424 -0.938 20 0 EBADMM C[C@@H]1[C@@H](C)S(=O)(=O)CCN1C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736230535 582925914 /nfs/dbraw/zinc/92/59/14/582925914.db2.gz SRBVRBNBRMSTJF-RKDXNWHRSA-N -1 1 336.377 -0.091 20 0 EBADMM C[C@@H]1[C@@H](C)S(=O)(=O)CCN1C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736230535 582925917 /nfs/dbraw/zinc/92/59/17/582925917.db2.gz SRBVRBNBRMSTJF-RKDXNWHRSA-N -1 1 336.377 -0.091 20 0 EBADMM O=C(COC(=O)c1ccc(-c2nnn[n-]2)nc1)NC(=O)NC1CC1 ZINC000737909838 582928317 /nfs/dbraw/zinc/92/83/17/582928317.db2.gz ZYBGIWARMQDAJR-UHFFFAOYSA-N -1 1 331.292 -0.593 20 0 EBADMM O=C(COC(=O)c1ccc(-c2nn[n-]n2)nc1)NC(=O)NC1CC1 ZINC000737909838 582928320 /nfs/dbraw/zinc/92/83/20/582928320.db2.gz ZYBGIWARMQDAJR-UHFFFAOYSA-N -1 1 331.292 -0.593 20 0 EBADMM CC[C@@H](C)[C@@](C)(O)CNc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000736328827 582930574 /nfs/dbraw/zinc/93/05/74/582930574.db2.gz IFXMJYDRIACWKW-CLAHSXSESA-N -1 1 337.384 -0.527 20 0 EBADMM CC(C)[C@@H](NC(=O)[O-])C(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC000736367247 582932022 /nfs/dbraw/zinc/93/20/22/582932022.db2.gz ZEIJRZRHBKJDHE-UONOGXRCSA-N -1 1 342.440 -0.199 20 0 EBADMM CCNC(=O)[C@@H](C)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736572906 582943038 /nfs/dbraw/zinc/94/30/38/582943038.db2.gz FKXBLAKRINNIEF-SSDOTTSWSA-N -1 1 325.354 -0.935 20 0 EBADMM CCNC(=O)[C@@H](C)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736572906 582943040 /nfs/dbraw/zinc/94/30/40/582943040.db2.gz FKXBLAKRINNIEF-SSDOTTSWSA-N -1 1 325.354 -0.935 20 0 EBADMM CCOC(=O)CCN(C)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736592657 582945092 /nfs/dbraw/zinc/94/50/92/582945092.db2.gz RHZCQGUWMLWKII-UHFFFAOYSA-N -1 1 340.365 -0.165 20 0 EBADMM CCOC(=O)CCN(C)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736592657 582945094 /nfs/dbraw/zinc/94/50/94/582945094.db2.gz RHZCQGUWMLWKII-UHFFFAOYSA-N -1 1 340.365 -0.165 20 0 EBADMM CCOC[C@@H]1CCCN(c2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)C1 ZINC000736611610 582947031 /nfs/dbraw/zinc/94/70/31/582947031.db2.gz TYYFDFIZOAJBRO-SNVBAGLBSA-N -1 1 349.395 -0.483 20 0 EBADMM CCc1nn(CCNS(C)(=O)=O)c(=O)c(-c2nn[n-]n2)c1CC ZINC000736707792 582950693 /nfs/dbraw/zinc/95/06/93/582950693.db2.gz UBLXQIQIFSGZNC-UHFFFAOYSA-N -1 1 341.397 -0.903 20 0 EBADMM CCn1cc(-c2nn[n-]n2)c(=O)n(CCCc2nc(C)no2)c1=O ZINC000736713713 582951198 /nfs/dbraw/zinc/95/11/98/582951198.db2.gz MGBFOYXQFVQDDJ-UHFFFAOYSA-N -1 1 332.324 -0.466 20 0 EBADMM COC(=O)C[C@@H](NC(=O)c1ccc(-c2nnn[n-]2)nc1)C(=O)OC ZINC000736913246 582972057 /nfs/dbraw/zinc/97/20/57/582972057.db2.gz ZSJXDEOCRHBLGG-SECBINFHSA-N -1 1 334.292 -0.904 20 0 EBADMM COC(=O)C[C@@H](NC(=O)c1ccc(-c2nn[n-]n2)nc1)C(=O)OC ZINC000736913246 582972059 /nfs/dbraw/zinc/97/20/59/582972059.db2.gz ZSJXDEOCRHBLGG-SECBINFHSA-N -1 1 334.292 -0.904 20 0 EBADMM CCC[C@@](C)(NCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[O-] ZINC000736964856 582978128 /nfs/dbraw/zinc/97/81/28/582978128.db2.gz QPLHQXTUOXDPTG-TVQRCGJNSA-N -1 1 349.409 -0.768 20 0 EBADMM CCOc1ccnc(N2CCN(CCC(=O)NCC(=O)[O-])CC2)n1 ZINC000737217639 582997554 /nfs/dbraw/zinc/99/75/54/582997554.db2.gz GHXLDHAYLZVJIB-UHFFFAOYSA-N -1 1 337.380 -0.412 20 0 EBADMM CN1CCN(C)[C@H](CNC(=O)C(=O)Nc2ccc(C(=O)[O-])cc2)C1 ZINC000737526520 583012362 /nfs/dbraw/zinc/01/23/62/583012362.db2.gz ROWYLIDAQLMDJK-CYBMUJFWSA-N -1 1 334.376 -0.315 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NC[C@@H](O)c2ccsc2)n(C)c1=O ZINC000737595083 583019010 /nfs/dbraw/zinc/01/90/10/583019010.db2.gz FLUYMOXGXOLCNT-MRVPVSSYSA-N -1 1 349.376 -0.529 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N2CC[C@H](c3ccco3)C2)n(C)c1=O ZINC000737597997 583019019 /nfs/dbraw/zinc/01/90/19/583019019.db2.gz WAFCAMCYCQVIMP-VIFPVBQESA-N -1 1 343.347 -0.149 20 0 EBADMM Cc1ccoc1C(=O)NCCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC000737977521 583036870 /nfs/dbraw/zinc/03/68/70/583036870.db2.gz TVRMRHKDBUFVEQ-UHFFFAOYSA-N -1 1 348.359 -0.236 20 0 EBADMM O=C(NCc1nn[n-]n1)[C@@H]1CCCN1c1ccc(-c2nn[nH]n2)cc1 ZINC000738122893 583042924 /nfs/dbraw/zinc/04/29/24/583042924.db2.gz KUASEVAMZRUQKJ-NSHDSACASA-N -1 1 340.351 -0.335 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@@H]2Cc3ccccc3C(=O)O2)n1 ZINC000747342003 583112202 /nfs/dbraw/zinc/11/22/02/583112202.db2.gz JLIYXAQICYFOIK-VIFPVBQESA-N -1 1 336.329 -0.072 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)[C@@H]2Cc3ccccc3C(=O)O2)[n-]1 ZINC000747342003 583112204 /nfs/dbraw/zinc/11/22/04/583112204.db2.gz JLIYXAQICYFOIK-VIFPVBQESA-N -1 1 336.329 -0.072 20 0 EBADMM CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@@H]1CCCCNC1=O ZINC000750997108 583140491 /nfs/dbraw/zinc/14/04/91/583140491.db2.gz CKFWOFVWUIYODG-SECBINFHSA-N -1 1 344.393 -0.158 20 0 EBADMM CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@H]1CCCNC1=O ZINC000751058135 583141446 /nfs/dbraw/zinc/14/14/46/583141446.db2.gz UJSWAYIOWKXVLF-QMMMGPOBSA-N -1 1 330.366 -0.548 20 0 EBADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-]C1(C(N)=O)CCCC1 ZINC000751106869 583142418 /nfs/dbraw/zinc/14/24/18/583142418.db2.gz LBAQJOUGHWQOGV-UHFFFAOYSA-N -1 1 330.366 -0.419 20 0 EBADMM CC(C)(NS(C)(=O)=O)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000751695507 583148265 /nfs/dbraw/zinc/14/82/65/583148265.db2.gz JGDWGGQKNMJAST-UHFFFAOYSA-N -1 1 342.373 -0.186 20 0 EBADMM CCN(CCS(C)(=O)=O)C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000753171294 583159446 /nfs/dbraw/zinc/15/94/46/583159446.db2.gz MBLGHCGGYWHDKX-UHFFFAOYSA-N -1 1 338.407 -0.306 20 0 EBADMM Cn1ncc(C[N-]S(=O)(=O)c2ccccc2S(C)(=O)=O)n1 ZINC000805100456 583184785 /nfs/dbraw/zinc/18/47/85/583184785.db2.gz ADIJPPMVBCZCHY-UHFFFAOYSA-N -1 1 330.391 -0.303 20 0 EBADMM COC[C@@H](NC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C)C(N)=O ZINC000760079200 583223914 /nfs/dbraw/zinc/22/39/14/583223914.db2.gz UGZGZISEOIZOGL-LLVKDONJSA-N -1 1 335.364 -0.399 20 0 EBADMM Cn1nc(Br)cc1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000760808625 583232111 /nfs/dbraw/zinc/23/21/11/583232111.db2.gz CCYQRXMPDAMODU-UHFFFAOYSA-N -1 1 349.170 -0.044 20 0 EBADMM Cn1nc(Br)cc1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000760808625 583232114 /nfs/dbraw/zinc/23/21/14/583232114.db2.gz CCYQRXMPDAMODU-UHFFFAOYSA-N -1 1 349.170 -0.044 20 0 EBADMM Cc1nnc([C@@H]2CCCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)[nH]1 ZINC000761063545 583236261 /nfs/dbraw/zinc/23/62/61/583236261.db2.gz FWDAIFWFCCIGRG-LLVKDONJSA-N -1 1 332.364 -0.241 20 0 EBADMM CC(C)C[C@H](O)CNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000762471382 583247689 /nfs/dbraw/zinc/24/76/89/583247689.db2.gz WPZFWEKMRFATEA-VIFPVBQESA-N -1 1 347.393 -0.820 20 0 EBADMM Cn1[n-]c(COC(=O)CN2C(=O)NC3(CCCCC3)C2=O)nc1=O ZINC000765392271 583280722 /nfs/dbraw/zinc/28/07/22/583280722.db2.gz PISPWZIACVHIRE-UHFFFAOYSA-N -1 1 337.336 -0.594 20 0 EBADMM CC(C)(C)OC(=O)N1CCO[C@H](CC(=O)NCc2nn[n-]n2)C1 ZINC000765497363 583284045 /nfs/dbraw/zinc/28/40/45/583284045.db2.gz XGOFNJQKDUOWEG-SECBINFHSA-N -1 1 326.357 -0.158 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCS[C@H]2COCC[C@@H]21 ZINC000768378384 583314216 /nfs/dbraw/zinc/31/42/16/583314216.db2.gz VYXPHNKHXYKNAH-QWRGUYRKSA-N -1 1 325.390 -0.340 20 0 EBADMM C[C@@H](C(=O)[N-]OCC(N)=O)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000772932352 583367465 /nfs/dbraw/zinc/36/74/65/583367465.db2.gz TWJVKEROMLLNKM-SECBINFHSA-N -1 1 329.378 -0.427 20 0 EBADMM CC(=O)NC[C@@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)CCO1 ZINC000773975430 583378784 /nfs/dbraw/zinc/37/87/84/583378784.db2.gz PQBUJMKMXBRUHF-LLVKDONJSA-N -1 1 335.389 -0.005 20 0 EBADMM CC(=O)NC[C@H]1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)CCO1 ZINC000773975437 583378793 /nfs/dbraw/zinc/37/87/93/583378793.db2.gz PQBUJMKMXBRUHF-NSHDSACASA-N -1 1 335.389 -0.005 20 0 EBADMM O=C(OCn1nnc2c(cnn2-c2ccccc2)c1=O)c1cn[n-]n1 ZINC000805603242 583419063 /nfs/dbraw/zinc/41/90/63/583419063.db2.gz HFLLBYVTVILDFB-UHFFFAOYSA-N -1 1 338.287 -0.090 20 0 EBADMM O=C(COC(=O)c1cn[n-]n1)NCCOc1ccc2c(c1)OCO2 ZINC000805605937 583421211 /nfs/dbraw/zinc/42/12/11/583421211.db2.gz RPBITYOTVAKISD-UHFFFAOYSA-N -1 1 334.288 -0.115 20 0 EBADMM CCOC(=O)N1CCC(NC(=O)COC(=O)c2cn[n-]n2)CC1 ZINC000805608409 583421961 /nfs/dbraw/zinc/42/19/61/583421961.db2.gz CZBFQHGJYXINIT-UHFFFAOYSA-N -1 1 325.325 -0.301 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H](O)[C@H](O)c1nc2ccccc2[nH]1)c1nn[n-]n1 ZINC000779838228 583441587 /nfs/dbraw/zinc/44/15/87/583441587.db2.gz CEWQBFDCWTZAHZ-ZHAHWJHGSA-N -1 1 345.363 -0.268 20 0 EBADMM CCOC(=O)c1cc(NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)n[nH]1 ZINC000781432199 583456811 /nfs/dbraw/zinc/45/68/11/583456811.db2.gz RJBVMNUSMARJIN-UHFFFAOYSA-N -1 1 331.292 -0.390 20 0 EBADMM Cc1ccccc1C[C@@H](CO)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000787346854 583518244 /nfs/dbraw/zinc/51/82/44/583518244.db2.gz JSQSQVZCSOKGKC-AWEZNQCLSA-N -1 1 331.372 -0.045 20 0 EBADMM CN(C)S(=O)(=O)CCC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000787903271 583524225 /nfs/dbraw/zinc/52/42/25/583524225.db2.gz SXXOIVWDQFRMNF-QMMMGPOBSA-N -1 1 334.427 -0.902 20 0 EBADMM CCO[C@H]1C[C@@](O)(CNC(=O)CCn2cc[n-]c(=O)c2=O)C1(C)C ZINC000789884931 583539878 /nfs/dbraw/zinc/53/98/78/583539878.db2.gz VLVAWLLRIHDCLN-MEDUHNTESA-N -1 1 339.392 -0.391 20 0 EBADMM CCO[C@@H]1C[C@@](O)(CNC(=O)CCn2cc[n-]c(=O)c2=O)C1(C)C ZINC000789884929 583539972 /nfs/dbraw/zinc/53/99/72/583539972.db2.gz VLVAWLLRIHDCLN-BDJLRTHQSA-N -1 1 339.392 -0.391 20 0 EBADMM Cn1cc([C@H]2CSCCN2C(=O)CCn2cc[n-]c(=O)c2=O)cn1 ZINC000791389201 583550665 /nfs/dbraw/zinc/55/06/65/583550665.db2.gz TZOFVIYUXJPNSY-GFCCVEGCSA-N -1 1 349.416 -0.023 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC(=O)c2cnn(C)n2)co1 ZINC000792071892 583556149 /nfs/dbraw/zinc/55/61/49/583556149.db2.gz YLDCSYGCGSOURX-UHFFFAOYSA-N -1 1 328.306 -0.644 20 0 EBADMM CN(C)S(=O)(=O)CCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000794231797 583578065 /nfs/dbraw/zinc/57/80/65/583578065.db2.gz NXHWZOFFIAJXNR-UHFFFAOYSA-N -1 1 329.407 -0.361 20 0 EBADMM CNC(=O)[C@H](CO)[N-]S(=O)(=O)c1ccc(Cl)nc1Cl ZINC000795157110 583588033 /nfs/dbraw/zinc/58/80/33/583588033.db2.gz LPKPAFKSZVPNJB-YFKPBYRVSA-N -1 1 328.177 -0.226 20 0 EBADMM CNC(=O)[C@@H](CO)[N-]S(=O)(=O)c1ccc(Cl)nc1Cl ZINC000795157108 583588103 /nfs/dbraw/zinc/58/81/03/583588103.db2.gz LPKPAFKSZVPNJB-RXMQYKEDSA-N -1 1 328.177 -0.226 20 0 EBADMM COC[C@@H](C)NC(=O)NC(=O)COC(=O)c1ccc(C(=O)OC)[n-]1 ZINC000796345687 583595671 /nfs/dbraw/zinc/59/56/71/583595671.db2.gz PLTYSCFBLAPPRW-MRVPVSSYSA-N -1 1 341.320 -0.181 20 0 EBADMM O=C(NCc1ccc(F)cc1)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000798270776 583603590 /nfs/dbraw/zinc/60/35/90/583603590.db2.gz VGMDBSSMEVJJPS-UHFFFAOYSA-N -1 1 348.338 -0.535 20 0 EBADMM COc1ccc(C(=O)N2CCC[C@@H]2C(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000798895482 583608820 /nfs/dbraw/zinc/60/88/20/583608820.db2.gz ZJZZQLLDTIDBNH-GFCCVEGCSA-N -1 1 346.343 -0.117 20 0 EBADMM CC(C)(C)[C@H](O)C[C@H](CO)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000803570306 583630637 /nfs/dbraw/zinc/63/06/37/583630637.db2.gz XLDBBGHPVODYII-GHMZBOCLSA-N -1 1 327.381 -0.799 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CC[C@]4(CCOC4)C3)ccnc1-2 ZINC000806937804 583656090 /nfs/dbraw/zinc/65/60/90/583656090.db2.gz TZBKPQHRUQYBRT-INIZCTEOSA-N -1 1 329.360 -0.081 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N[C@@H]3CCCC[C@@H]3C(N)=O)ccnc1-2 ZINC000807017121 583656741 /nfs/dbraw/zinc/65/67/41/583656741.db2.gz FFTKDOJENOXJQP-GXSJLCMTSA-N -1 1 344.375 -0.559 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1COc2ccccc2[C@H]1O ZINC000811580777 583692360 /nfs/dbraw/zinc/69/23/60/583692360.db2.gz MIAAQRKAHIXNQU-BXUZGUMPSA-N -1 1 331.328 -0.463 20 0 EBADMM CC(C)OCCO[N-]C(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000812802477 583696559 /nfs/dbraw/zinc/69/65/59/583696559.db2.gz OIZRHBYXYNSZDT-NWDGAFQWSA-N -1 1 348.421 -0.505 20 0 EBADMM O=C([N-]OCCC1CC1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000817399008 583726690 /nfs/dbraw/zinc/72/66/90/583726690.db2.gz BHOHSKXCDPBTNI-NWDGAFQWSA-N -1 1 330.406 -0.130 20 0 EBADMM CC(=O)NCC1CCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)CC1 ZINC000819804637 583761311 /nfs/dbraw/zinc/76/13/11/583761311.db2.gz XWSOLJINNAUJAV-UHFFFAOYSA-N -1 1 347.383 -0.020 20 0 EBADMM CC(=O)NCC1CCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)CC1 ZINC000819804637 583761313 /nfs/dbraw/zinc/76/13/13/583761313.db2.gz XWSOLJINNAUJAV-UHFFFAOYSA-N -1 1 347.383 -0.020 20 0 EBADMM CC(=O)NC[C@@H]1CCCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000819805176 583761540 /nfs/dbraw/zinc/76/15/40/583761540.db2.gz ZTSRXVKLDYJXOJ-JTQLQIEISA-N -1 1 347.383 -0.020 20 0 EBADMM CC(=O)NC[C@@H]1CCCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000819805176 583761543 /nfs/dbraw/zinc/76/15/43/583761543.db2.gz ZTSRXVKLDYJXOJ-JTQLQIEISA-N -1 1 347.383 -0.020 20 0 EBADMM CO[C@@H](COC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)C1CC1 ZINC000820582366 583775844 /nfs/dbraw/zinc/77/58/44/583775844.db2.gz DLXPHHXZSCRNHU-JTQLQIEISA-N -1 1 346.361 -0.375 20 0 EBADMM CN1CCN(C)[C@@H](CNC(=O)C(=O)Nc2ccccc2C(=O)[O-])C1 ZINC000820625878 583776104 /nfs/dbraw/zinc/77/61/04/583776104.db2.gz BBQBVUNMWUGLPH-NSHDSACASA-N -1 1 334.376 -0.315 20 0 EBADMM CC1(C2CCN(C(=O)Cn3cnc(-c4nn[n-]n4)n3)CC2)OCCO1 ZINC000820613188 583776290 /nfs/dbraw/zinc/77/62/90/583776290.db2.gz WATUGRJMGDVQQE-UHFFFAOYSA-N -1 1 348.367 -0.540 20 0 EBADMM C[C@H]1CCN(C(=O)Cn2cnc(-c3nn[n-]n3)n2)C[C@@H]1n1ccnc1 ZINC000820717833 583778379 /nfs/dbraw/zinc/77/83/79/583778379.db2.gz XNJLUUGCOUHSPK-QWRGUYRKSA-N -1 1 342.367 -0.236 20 0 EBADMM C[C@H]1CN(c2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)C[C@H](C)S1 ZINC000820769333 583779530 /nfs/dbraw/zinc/77/95/30/583779530.db2.gz SLFMGWWQJWOOFY-YUMQZZPRSA-N -1 1 337.409 -0.406 20 0 EBADMM CCC(=O)N1CC[C@@H](NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000820837075 583781966 /nfs/dbraw/zinc/78/19/66/583781966.db2.gz GZJLUDFNIHBSOV-MRVPVSSYSA-N -1 1 333.356 -0.267 20 0 EBADMM CCC(=O)N1CC[C@@H](NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000820837075 583781967 /nfs/dbraw/zinc/78/19/67/583781967.db2.gz GZJLUDFNIHBSOV-MRVPVSSYSA-N -1 1 333.356 -0.267 20 0 EBADMM CCOC(=O)[C@H](CC1CC1)NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821319320 583788851 /nfs/dbraw/zinc/78/88/51/583788851.db2.gz CWHSMFFBJXWMER-VIFPVBQESA-N -1 1 334.340 -0.694 20 0 EBADMM CCc1nc(CCNC(=O)Nc2nn(C)cc2-c2nnn[n-]2)no1 ZINC000821506405 583791951 /nfs/dbraw/zinc/79/19/51/583791951.db2.gz XJTYWPDCDUVUCH-UHFFFAOYSA-N -1 1 332.328 -0.090 20 0 EBADMM CCc1nc(CCNC(=O)Nc2nn(C)cc2-c2nn[n-]n2)no1 ZINC000821506405 583791952 /nfs/dbraw/zinc/79/19/52/583791952.db2.gz XJTYWPDCDUVUCH-UHFFFAOYSA-N -1 1 332.328 -0.090 20 0 EBADMM CN(C)c1ccc(CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)cn1 ZINC000821589251 583794625 /nfs/dbraw/zinc/79/46/25/583794625.db2.gz FNYHNBIWLLCRKP-UHFFFAOYSA-N -1 1 328.340 -0.764 20 0 EBADMM CNC(=O)[C@H]1CCCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000821631421 583797573 /nfs/dbraw/zinc/79/75/73/583797573.db2.gz IUFKTCHUUPKADA-QMMMGPOBSA-N -1 1 333.356 -0.410 20 0 EBADMM CNC(=O)[C@H]1CCCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000821631421 583797575 /nfs/dbraw/zinc/79/75/75/583797575.db2.gz IUFKTCHUUPKADA-QMMMGPOBSA-N -1 1 333.356 -0.410 20 0 EBADMM COC(=O)CCCSc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000821661759 583799650 /nfs/dbraw/zinc/79/96/50/583799650.db2.gz XBUBIGILYSELLC-UHFFFAOYSA-N -1 1 340.365 -0.691 20 0 EBADMM COC(=O)C[C@@H](NC(=O)c1ccc(-c2nnn[n-]2)s1)C(=O)OC ZINC000821659053 583799765 /nfs/dbraw/zinc/79/97/65/583799765.db2.gz QGFAFVGIOOWVMU-ZCFIWIBFSA-N -1 1 339.333 -0.237 20 0 EBADMM COC(=O)C[C@@H](NC(=O)c1ccc(-c2nn[n-]n2)s1)C(=O)OC ZINC000821659053 583799766 /nfs/dbraw/zinc/79/97/66/583799766.db2.gz QGFAFVGIOOWVMU-ZCFIWIBFSA-N -1 1 339.333 -0.237 20 0 EBADMM COC(=O)CN(CC(=O)OC)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821662682 583799915 /nfs/dbraw/zinc/79/99/15/583799915.db2.gz NQLIHMZBBZUEHM-UHFFFAOYSA-N -1 1 339.333 -0.284 20 0 EBADMM COC(=O)CN(CC(=O)OC)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821662682 583799918 /nfs/dbraw/zinc/79/99/18/583799918.db2.gz NQLIHMZBBZUEHM-UHFFFAOYSA-N -1 1 339.333 -0.284 20 0 EBADMM COC(=O)c1cc(-c2nn[n-]n2)c(=O)n(Cc2cc(C)n(C)n2)c1 ZINC000821667103 583800554 /nfs/dbraw/zinc/80/05/54/583800554.db2.gz UUNPLAHYUSRKCL-UHFFFAOYSA-N -1 1 329.320 -0.095 20 0 EBADMM COc1cccc([C@H](O)CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)c1 ZINC000821863453 583804875 /nfs/dbraw/zinc/80/48/75/583804875.db2.gz KQMKFEBQXVKLCD-LLVKDONJSA-N -1 1 344.335 -0.683 20 0 EBADMM CS(=O)(=O)N[C@H]1CCC[C@H]1CNc1nccnc1-c1nnn[n-]1 ZINC000821931626 583806360 /nfs/dbraw/zinc/80/63/60/583806360.db2.gz DZOFWQAWAPWSOC-IUCAKERBSA-N -1 1 338.397 -0.214 20 0 EBADMM CS(=O)(=O)N[C@H]1CCC[C@H]1CNc1nccnc1-c1nn[n-]n1 ZINC000821931626 583806361 /nfs/dbraw/zinc/80/63/61/583806361.db2.gz DZOFWQAWAPWSOC-IUCAKERBSA-N -1 1 338.397 -0.214 20 0 EBADMM Cc1[nH]c(=O)c(-c2nn[n-]n2)c(C)c1CCC(=O)NCC(=O)N(C)C ZINC000822005102 583806884 /nfs/dbraw/zinc/80/68/84/583806884.db2.gz OMANRHVDKHRJED-UHFFFAOYSA-N -1 1 347.379 -0.279 20 0 EBADMM Cc1ccc([C@H](O)CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)cc1 ZINC000822230982 583808738 /nfs/dbraw/zinc/80/87/38/583808738.db2.gz WYRSRWLRDCBQIW-LLVKDONJSA-N -1 1 328.336 -0.384 20 0 EBADMM Cc1cccn2cc(CNC(=O)Cn3cnc(-c4nn[n-]n4)n3)nc12 ZINC000822366537 583810479 /nfs/dbraw/zinc/81/04/79/583810479.db2.gz MHRVUKCLGNSUCY-UHFFFAOYSA-N -1 1 338.335 -0.269 20 0 EBADMM Cc1ncc(CCNc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)s1 ZINC000822466854 583811319 /nfs/dbraw/zinc/81/13/19/583811319.db2.gz DFRSFJZAGRTHKR-UHFFFAOYSA-N -1 1 348.392 -0.316 20 0 EBADMM Cc1oc(NC(=O)CN2CCNC(=O)[C@H]2C)c(-c2nn[n-]n2)c1C ZINC000822548006 583812310 /nfs/dbraw/zinc/81/23/10/583812310.db2.gz DMRBCCCDTYVYJJ-MRVPVSSYSA-N -1 1 333.352 -0.165 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NC2CCC(C)(O)CC2)n(C)c1=O ZINC000822599536 583813461 /nfs/dbraw/zinc/81/34/61/583813461.db2.gz DMHKDBQUPLAIIO-UHFFFAOYSA-N -1 1 335.368 -0.630 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N[C@H](CO)CC(C)(C)C)n(C)c1=O ZINC000822601178 583813759 /nfs/dbraw/zinc/81/37/59/583813759.db2.gz UTQSZGVVPNWLPE-QMMMGPOBSA-N -1 1 337.384 -0.527 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCCNC(=O)C(C)(C)C)n1 ZINC000822614281 583814120 /nfs/dbraw/zinc/81/41/20/583814120.db2.gz LITDTWFUDZHCRR-UHFFFAOYSA-N -1 1 335.372 -0.116 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCCNC(=O)C(C)(C)C)n1 ZINC000822614281 583814121 /nfs/dbraw/zinc/81/41/21/583814121.db2.gz LITDTWFUDZHCRR-UHFFFAOYSA-N -1 1 335.372 -0.116 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)c2cccc(-n3cnnn3)c2)n1 ZINC000822619531 583814783 /nfs/dbraw/zinc/81/47/83/583814783.db2.gz ABBMUPYEWMUTBE-UHFFFAOYSA-N -1 1 337.307 -0.172 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)c2cccc(-n3cnnn3)c2)n1 ZINC000822619531 583814785 /nfs/dbraw/zinc/81/47/85/583814785.db2.gz ABBMUPYEWMUTBE-UHFFFAOYSA-N -1 1 337.307 -0.172 20 0 EBADMM Cn1ccnc(N2CCN(c3cccc(-c4nnn[n-]4)n3)CC2)c1=O ZINC000822693856 583816636 /nfs/dbraw/zinc/81/66/36/583816636.db2.gz MCDWHYQIZJNINU-UHFFFAOYSA-N -1 1 339.363 -0.318 20 0 EBADMM Cn1ccnc(N2CCN(c3cccc(-c4nn[n-]n4)n3)CC2)c1=O ZINC000822693856 583816637 /nfs/dbraw/zinc/81/66/37/583816637.db2.gz MCDWHYQIZJNINU-UHFFFAOYSA-N -1 1 339.363 -0.318 20 0 EBADMM NC(=O)CC(=O)N1CCN(c2oc(C3CC3)nc2-c2nn[n-]n2)CC1 ZINC000822864915 583819675 /nfs/dbraw/zinc/81/96/75/583819675.db2.gz OYGVJYIVYDCPMO-UHFFFAOYSA-N -1 1 346.351 -0.744 20 0 EBADMM NC(=O)CN1CCCN(C(=O)c2ccc(-c3nnn[n-]3)s2)CC1 ZINC000822869610 583820210 /nfs/dbraw/zinc/82/02/10/583820210.db2.gz ZIPHCJZCPGVOCO-UHFFFAOYSA-N -1 1 335.393 -0.439 20 0 EBADMM NC(=O)CN1CCCN(C(=O)c2ccc(-c3nn[n-]n3)s2)CC1 ZINC000822869610 583820211 /nfs/dbraw/zinc/82/02/11/583820211.db2.gz ZIPHCJZCPGVOCO-UHFFFAOYSA-N -1 1 335.393 -0.439 20 0 EBADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)CCCNC(=O)c1cccnc1 ZINC000822903131 583821358 /nfs/dbraw/zinc/82/13/58/583821358.db2.gz HEUKQVBIWKTOEB-UHFFFAOYSA-N -1 1 341.335 -0.109 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CC[C@H](Oc2cccnc2)C1 ZINC000823122471 583824489 /nfs/dbraw/zinc/82/44/89/583824489.db2.gz BRDPEEOIAAMHQF-NSHDSACASA-N -1 1 341.335 -0.467 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCCC[C@H]1c1cn[nH]c1 ZINC000823126041 583824783 /nfs/dbraw/zinc/82/47/83/583824783.db2.gz GBPRAWNZPDUUJY-JTQLQIEISA-N -1 1 328.340 -0.065 20 0 EBADMM CC(C)(CNC(=O)[O-])C(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC000823956558 583839200 /nfs/dbraw/zinc/83/92/00/583839200.db2.gz PYCBFEIEADWPQF-ZDUSSCGKSA-N -1 1 342.440 -0.197 20 0 EBADMM CC(=O)N1CCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)[C@H](C)C1 ZINC000823980507 583839461 /nfs/dbraw/zinc/83/94/61/583839461.db2.gz DTHYUBPFMLAQLF-MRVPVSSYSA-N -1 1 333.356 -0.315 20 0 EBADMM CC(=O)N1CCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)[C@H](C)C1 ZINC000823980507 583839464 /nfs/dbraw/zinc/83/94/64/583839464.db2.gz DTHYUBPFMLAQLF-MRVPVSSYSA-N -1 1 333.356 -0.315 20 0 EBADMM CC(=O)NC(C)(C)C(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000823989404 583839833 /nfs/dbraw/zinc/83/98/33/583839833.db2.gz WTWSOWNFYUWBGN-UHFFFAOYSA-N -1 1 349.399 -0.522 20 0 EBADMM CC(=O)NC(C)(C)C(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000823989404 583839835 /nfs/dbraw/zinc/83/98/35/583839835.db2.gz WTWSOWNFYUWBGN-UHFFFAOYSA-N -1 1 349.399 -0.522 20 0 EBADMM CC(C)[C@@H](CNC(=O)[C@H]1CN(C(=O)[O-])CCO1)N1CCN(C)CC1 ZINC000824110154 583841428 /nfs/dbraw/zinc/84/14/28/583841428.db2.gz DSAQKGGRRLOBQI-ZIAGYGMSSA-N -1 1 342.440 -0.247 20 0 EBADMM C[C@@H](CO)CCCNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000824436254 583845991 /nfs/dbraw/zinc/84/59/91/583845991.db2.gz RGOBVYPSAWONGM-SECBINFHSA-N -1 1 326.382 -0.051 20 0 EBADMM C[C@@H](CO)CCCNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000824436254 583845992 /nfs/dbraw/zinc/84/59/92/583845992.db2.gz RGOBVYPSAWONGM-SECBINFHSA-N -1 1 326.382 -0.051 20 0 EBADMM C[C@H](CC(=O)N1CCO[C@@H](CN2CCN(C)CC2)C1)NC(=O)[O-] ZINC000824599381 583847753 /nfs/dbraw/zinc/84/77/53/583847753.db2.gz NRRUVMMYFWCLCX-OLZOCXBDSA-N -1 1 328.413 -0.493 20 0 EBADMM C[C@@H](CN(C)C(=O)[O-])C(=O)N1CCO[C@@H](CN2CCN(C)CC2)C1 ZINC000824840053 583850962 /nfs/dbraw/zinc/85/09/62/583850962.db2.gz IFYCCUBZLBDVRI-KBPBESRZSA-N -1 1 342.440 -0.293 20 0 EBADMM C[C@@H]1OCC[C@H]1C(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000825012971 583854418 /nfs/dbraw/zinc/85/44/18/583854418.db2.gz MTTIDOUDFKBDSP-DTWKUNHWSA-N -1 1 334.384 -0.012 20 0 EBADMM C[C@@H]1OCC[C@H]1C(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000825012971 583854419 /nfs/dbraw/zinc/85/44/19/583854419.db2.gz MTTIDOUDFKBDSP-DTWKUNHWSA-N -1 1 334.384 -0.012 20 0 EBADMM CCO[C@H]1C[C@H]1C(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000825583819 583863519 /nfs/dbraw/zinc/86/35/19/583863519.db2.gz XQMOXTSQFSDCHP-SCZZXKLOSA-N -1 1 334.384 -0.012 20 0 EBADMM CCO[C@H]1C[C@H]1C(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000825583819 583863520 /nfs/dbraw/zinc/86/35/20/583863520.db2.gz XQMOXTSQFSDCHP-SCZZXKLOSA-N -1 1 334.384 -0.012 20 0 EBADMM CC(=O)N1CSC[C@@H]1C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000825847629 583868604 /nfs/dbraw/zinc/86/86/04/583868604.db2.gz VSGUFQHAHPGQBX-CMPLNLGQSA-N -1 1 329.422 -0.085 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nnn[n-]1)C(=O)[C@H]1CC(=O)N(C)C1 ZINC000826016205 583873754 /nfs/dbraw/zinc/87/37/54/583873754.db2.gz BHOVTGUOLHWCCQ-QMMMGPOBSA-N -1 1 347.383 -0.959 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nn[n-]n1)C(=O)[C@H]1CC(=O)N(C)C1 ZINC000826016205 583873755 /nfs/dbraw/zinc/87/37/55/583873755.db2.gz BHOVTGUOLHWCCQ-QMMMGPOBSA-N -1 1 347.383 -0.959 20 0 EBADMM CN1C(=O)N[C@@]2(CCC[C@H]2CNc2ccc(-c3nnn[n-]3)nn2)C1=O ZINC000826018018 583873764 /nfs/dbraw/zinc/87/37/64/583873764.db2.gz QFTJDKZUWLMSMV-RMLUDKJBSA-N -1 1 343.351 -0.211 20 0 EBADMM CN1C(=O)N[C@@]2(CCC[C@H]2CNc2ccc(-c3nn[n-]n3)nn2)C1=O ZINC000826018018 583873765 /nfs/dbraw/zinc/87/37/65/583873765.db2.gz QFTJDKZUWLMSMV-RMLUDKJBSA-N -1 1 343.351 -0.211 20 0 EBADMM CNC(=O)[C@H]1CCC[C@H]1NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000826061104 583876642 /nfs/dbraw/zinc/87/66/42/583876642.db2.gz ZQANIVACBLMRBY-IONNQARKSA-N -1 1 333.356 -0.364 20 0 EBADMM CNC(=O)[C@H]1CCC[C@H]1NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000826061104 583876644 /nfs/dbraw/zinc/87/66/44/583876644.db2.gz ZQANIVACBLMRBY-IONNQARKSA-N -1 1 333.356 -0.364 20 0 EBADMM CO[C@@H]1CCC[C@H]1CNc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000826146145 583883046 /nfs/dbraw/zinc/88/30/46/583883046.db2.gz QVMSPEWUYGRERT-DTWKUNHWSA-N -1 1 335.368 -0.509 20 0 EBADMM CO[C@H]1CCC[C@H]1CNc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000826146146 583883316 /nfs/dbraw/zinc/88/33/16/583883316.db2.gz QVMSPEWUYGRERT-IUCAKERBSA-N -1 1 335.368 -0.509 20 0 EBADMM COC[C@H](Cn1cncn1)OC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000826149747 583883903 /nfs/dbraw/zinc/88/39/03/583883903.db2.gz NTPYRIBOLIRQPX-JTQLQIEISA-N -1 1 330.308 -0.275 20 0 EBADMM COC[C@H](Cn1cncn1)OC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000826149747 583883904 /nfs/dbraw/zinc/88/39/04/583883904.db2.gz NTPYRIBOLIRQPX-JTQLQIEISA-N -1 1 330.308 -0.275 20 0 EBADMM Cc1onc(CC(=O)N2CC(OCC(=O)N(C)C)C2)c1-c1nnn[n-]1 ZINC000826343518 583892010 /nfs/dbraw/zinc/89/20/10/583892010.db2.gz DRLGILOJIHEXDB-UHFFFAOYSA-N -1 1 349.351 -0.979 20 0 EBADMM Cc1onc(CC(=O)N2CC(OCC(=O)N(C)C)C2)c1-c1nn[n-]n1 ZINC000826343518 583892012 /nfs/dbraw/zinc/89/20/12/583892012.db2.gz DRLGILOJIHEXDB-UHFFFAOYSA-N -1 1 349.351 -0.979 20 0 EBADMM Cc1onc(CC(=O)N(C)C2(C(N)=O)CCCC2)c1-c1nnn[n-]1 ZINC000826342841 583892038 /nfs/dbraw/zinc/89/20/38/583892038.db2.gz BQXLQHXRMRZAQR-UHFFFAOYSA-N -1 1 333.352 -0.038 20 0 EBADMM Cc1onc(CC(=O)N(C)C2(C(N)=O)CCCC2)c1-c1nn[n-]n1 ZINC000826342841 583892039 /nfs/dbraw/zinc/89/20/39/583892039.db2.gz BQXLQHXRMRZAQR-UHFFFAOYSA-N -1 1 333.352 -0.038 20 0 EBADMM Cc1onc(CC(=O)N2C[C@H](C(N)=O)CC[C@H]2C)c1-c1nnn[n-]1 ZINC000826343574 583892139 /nfs/dbraw/zinc/89/21/39/583892139.db2.gz GPBVWIJUICMPKS-VXNVDRBHSA-N -1 1 333.352 -0.182 20 0 EBADMM Cc1onc(CC(=O)N2C[C@H](C(N)=O)CC[C@H]2C)c1-c1nn[n-]n1 ZINC000826343574 583892140 /nfs/dbraw/zinc/89/21/40/583892140.db2.gz GPBVWIJUICMPKS-VXNVDRBHSA-N -1 1 333.352 -0.182 20 0 EBADMM Cc1onc(CC(=O)N2C[C@@H]3[C@H](C2)OCCN3C)c1-c1nn[n-]n1 ZINC000826344041 583892287 /nfs/dbraw/zinc/89/22/87/583892287.db2.gz VSGXPELCLNWZKQ-MNOVXSKESA-N -1 1 333.352 -0.753 20 0 EBADMM Cc1onc(CC(=O)N[C@@H](C)CN2CCOCC2)c1-c1nn[n-]n1 ZINC000826345441 583892638 /nfs/dbraw/zinc/89/26/38/583892638.db2.gz LATSNORWDGVTTJ-VIFPVBQESA-N -1 1 335.368 -0.457 20 0 EBADMM Cn1cc(-c2nn[nH]n2)cc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000826357490 583894143 /nfs/dbraw/zinc/89/41/43/583894143.db2.gz HPPIMNUAECVXPS-QMMMGPOBSA-N -1 1 328.340 -0.262 20 0 EBADMM Cn1cnn(CC(=O)Nc2nn(C(C)(C)C)cc2-c2nnn[n-]2)c1=O ZINC000826365280 583895318 /nfs/dbraw/zinc/89/53/18/583895318.db2.gz HSPWBSJZQDQJPB-UHFFFAOYSA-N -1 1 346.355 -0.648 20 0 EBADMM Cn1cnn(CC(=O)Nc2nn(C(C)(C)C)cc2-c2nn[n-]n2)c1=O ZINC000826365280 583895320 /nfs/dbraw/zinc/89/53/20/583895320.db2.gz HSPWBSJZQDQJPB-UHFFFAOYSA-N -1 1 346.355 -0.648 20 0 EBADMM NS(=O)(=O)c1ccc(CNc2ccc(-c3nnn[n-]3)nn2)s1 ZINC000826394780 583898135 /nfs/dbraw/zinc/89/81/35/583898135.db2.gz ZASFQFNFSMBRJH-UHFFFAOYSA-N -1 1 338.378 -0.022 20 0 EBADMM NS(=O)(=O)c1ccc(CNc2ccc(-c3nn[n-]n3)nn2)s1 ZINC000826394780 583898136 /nfs/dbraw/zinc/89/81/36/583898136.db2.gz ZASFQFNFSMBRJH-UHFFFAOYSA-N -1 1 338.378 -0.022 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCC[C@@H]1C1CCOCC1 ZINC000826425024 583900815 /nfs/dbraw/zinc/90/08/15/583900815.db2.gz NAJUZFWCIDESJG-LLVKDONJSA-N -1 1 332.368 -0.124 20 0 EBADMM O=C1OCC[C@@H]1NS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000826483238 583904853 /nfs/dbraw/zinc/90/48/53/583904853.db2.gz GILQJOMZVZLRAA-QMMMGPOBSA-N -1 1 327.297 -0.400 20 0 EBADMM O=S(=O)(N[C@@H]1CCc2nccn2C1)c1ccc(-c2nnn[n-]2)nc1 ZINC000826489357 583905352 /nfs/dbraw/zinc/90/53/52/583905352.db2.gz NHSRUIMGACVLCM-SECBINFHSA-N -1 1 346.376 -0.249 20 0 EBADMM O=S(=O)(N[C@@H]1CCc2nccn2C1)c1ccc(-c2nn[n-]n2)nc1 ZINC000826489357 583905353 /nfs/dbraw/zinc/90/53/53/583905353.db2.gz NHSRUIMGACVLCM-SECBINFHSA-N -1 1 346.376 -0.249 20 0 EBADMM O=c1n(CCCNc2ccc(-c3nnn[n-]3)nn2)nc2n1CCCC2 ZINC000826502115 583906507 /nfs/dbraw/zinc/90/65/07/583906507.db2.gz UZQKYJCTTNQMQN-UHFFFAOYSA-N -1 1 342.367 -0.147 20 0 EBADMM O=c1n(CCCNc2ccc(-c3nn[n-]n3)nn2)nc2n1CCCC2 ZINC000826502115 583906510 /nfs/dbraw/zinc/90/65/10/583906510.db2.gz UZQKYJCTTNQMQN-UHFFFAOYSA-N -1 1 342.367 -0.147 20 0 EBADMM c1cn(CCN2CCOCC2)nc1Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826514991 583906813 /nfs/dbraw/zinc/90/68/13/583906813.db2.gz JHSXIUMHANFOFF-UHFFFAOYSA-N -1 1 342.367 -0.071 20 0 EBADMM CC[C@@H]1CN(C(=O)[C@H]2CN(C(=O)[O-])CCO2)CCN1C[C@@H](C)O ZINC000826681080 583909650 /nfs/dbraw/zinc/90/96/50/583909650.db2.gz DRZRGSSVGVTAKS-JHJVBQTASA-N -1 1 329.397 -0.331 20 0 EBADMM CO[C@](C)(C(=O)OC(C)(C)C)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000826833294 583911136 /nfs/dbraw/zinc/91/11/36/583911136.db2.gz AWRUXMYXTLWVHD-OTYXRUKQSA-N -1 1 341.368 -0.154 20 0 EBADMM O=C(N1CCO[C@H](c2nn[n-]n2)C1)[C@]1(c2ccccc2)CNC(=O)C1 ZINC000826833696 583911148 /nfs/dbraw/zinc/91/11/48/583911148.db2.gz HPDLZVCNQYUUJL-LRDDRELGSA-N -1 1 342.359 -0.443 20 0 EBADMM CC(C)NC(=O)CNC(=S)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000826970811 583912961 /nfs/dbraw/zinc/91/29/61/583912961.db2.gz CXMQXGDHTSMIPW-NSHDSACASA-N -1 1 330.454 -0.134 20 0 EBADMM CN(C)CC(=O)N1CCN(C(=O)[C@H]2CC[C@@H](NC(=O)[O-])C2)CC1 ZINC000828009639 583931577 /nfs/dbraw/zinc/93/15/77/583931577.db2.gz NOXBJKKTSYPMLC-NWDGAFQWSA-N -1 1 326.397 -0.345 20 0 EBADMM CC[C@H](CO)N1CCN(CC(=O)N[C@@H](C(=O)[O-])[C@@H](C)CC)CC1 ZINC000828717688 583946308 /nfs/dbraw/zinc/94/63/08/583946308.db2.gz KEXRGUPZTQOPFZ-GZBFAFLISA-N -1 1 329.441 -0.010 20 0 EBADMM COCCN1CC[C@H](NC(=O)N2CCO[C@@H]([C@@H](C)NC(=O)[O-])C2)C1 ZINC000828984383 583949473 /nfs/dbraw/zinc/94/94/73/583949473.db2.gz CWMBRJWQGXFHSA-FRRDWIJNSA-N -1 1 344.412 -0.226 20 0 EBADMM CCc1nnc([C@H]2CN(S(=O)(=O)C[C@@H](C)C(=O)[O-])CCO2)[nH]1 ZINC000830304546 583965848 /nfs/dbraw/zinc/96/58/48/583965848.db2.gz POTLWRVJMYNGIF-RKDXNWHRSA-N -1 1 332.382 -0.209 20 0 EBADMM CN(C)[C@@]1(CNS(=O)(=O)c2cnn(CC(=O)[O-])c2)CCSC1 ZINC000830592631 583968284 /nfs/dbraw/zinc/96/82/84/583968284.db2.gz XCOQTPOVKYUAJS-GFCCVEGCSA-N -1 1 348.450 -0.317 20 0 EBADMM O=C([O-])N1C[C@H](O)C[C@@H]1C(=O)N1CCN(CC2CCOCC2)CC1 ZINC000831273196 583981220 /nfs/dbraw/zinc/98/12/20/583981220.db2.gz ODPDXCODVNAFTC-ZIAGYGMSSA-N -1 1 341.408 -0.330 20 0 EBADMM O=C([O-])N1C[C@@H](O)C[C@H]1C(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000831275048 583981351 /nfs/dbraw/zinc/98/13/51/583981351.db2.gz YYPRNAKWWDRQMS-AVGNSLFASA-N -1 1 327.381 -0.720 20 0 EBADMM O=C([O-])N1CCSC[C@@H]1CC(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000831403554 583982326 /nfs/dbraw/zinc/98/23/26/583982326.db2.gz YIRYUDBRJIEXPD-IUCAKERBSA-N -1 1 342.381 -0.415 20 0 EBADMM COC1CCN(CCNS(=O)(=O)N2CCC(C(=O)[O-])CC2)CC1 ZINC000831537019 583984322 /nfs/dbraw/zinc/98/43/22/583984322.db2.gz QKESXFAKNTTXHX-UHFFFAOYSA-N -1 1 349.453 -0.272 20 0 EBADMM O=C([O-])N1CCO[C@H](C(=O)N[C@@H](c2nn[nH]n2)c2ccccc2)C1 ZINC000831574174 583986252 /nfs/dbraw/zinc/98/62/52/583986252.db2.gz RPWYIOOQZLGRJU-WDEREUQCSA-N -1 1 332.320 -0.216 20 0 EBADMM COCCN1C[C@@H](C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)CC1=O ZINC000831739968 583990851 /nfs/dbraw/zinc/99/08/51/583990851.db2.gz BVIPBJCAJJBGDZ-OLZOCXBDSA-N -1 1 341.408 -0.511 20 0 EBADMM O=C([O-])N1CC(OCC(=O)N2CCC(CN3CCOCC3)CC2)C1 ZINC000831851908 583992803 /nfs/dbraw/zinc/99/28/03/583992803.db2.gz FNHVJSZRFPAUDB-UHFFFAOYSA-N -1 1 341.408 -0.064 20 0 EBADMM O=C([O-])N1CC(OCC(=O)N2CC[C@H](CN3CCOCC3)C2)C1 ZINC000831852204 583992891 /nfs/dbraw/zinc/99/28/91/583992891.db2.gz MSAJCPPRDKLIBS-GFCCVEGCSA-N -1 1 327.381 -0.454 20 0 EBADMM CC[C@H](CNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)C(=O)OC ZINC000832808643 584002072 /nfs/dbraw/zinc/00/20/72/584002072.db2.gz CXQSZSFSPQJFSG-SECBINFHSA-N -1 1 333.348 -0.404 20 0 EBADMM Cc1nnc(SCC(=O)N(CCN2CCOCC2)CC(=O)[O-])[nH]1 ZINC000832990458 584002781 /nfs/dbraw/zinc/00/27/81/584002781.db2.gz BYALPIHDBAZLFT-UHFFFAOYSA-N -1 1 343.409 -0.549 20 0 EBADMM Cc1n[nH]c(SCC(=O)N(CCN2CCOCC2)CC(=O)[O-])n1 ZINC000832990458 584002782 /nfs/dbraw/zinc/00/27/82/584002782.db2.gz BYALPIHDBAZLFT-UHFFFAOYSA-N -1 1 343.409 -0.549 20 0 EBADMM Cc1nc([C@H]2CCCN(S(=O)(=O)N(C)CCC(=O)[O-])C2)n[nH]1 ZINC000832997368 584002809 /nfs/dbraw/zinc/00/28/09/584002809.db2.gz XONQCMWUAKTQFM-JTQLQIEISA-N -1 1 331.398 -0.056 20 0 EBADMM Cc1nc([C@@H]2CN(C(=O)Nc3ccn(CC(=O)[O-])n3)CCO2)n[nH]1 ZINC000832991053 584002818 /nfs/dbraw/zinc/00/28/18/584002818.db2.gz JKLFJKIIMGBNSX-VIFPVBQESA-N -1 1 335.324 0.000 20 0 EBADMM O=C([O-])c1cn(CC(=O)N2CCC(CN3CCOCC3)CC2)nn1 ZINC000833337363 584008652 /nfs/dbraw/zinc/00/86/52/584008652.db2.gz CCRNUJPSZBFRJH-UHFFFAOYSA-N -1 1 337.380 -0.453 20 0 EBADMM C[C@@]1(C2CC2)NC(=O)N(CC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])C1=O ZINC000833563619 584012055 /nfs/dbraw/zinc/01/20/55/584012055.db2.gz LBSDRKQBKLKYQY-BONVTDFDSA-N -1 1 349.347 -0.758 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@H]1CCCN(C(N)=O)C1 ZINC000833618968 584012314 /nfs/dbraw/zinc/01/23/14/584012314.db2.gz HQWDHJXJYHCPLO-RYUDHWBXSA-N -1 1 326.397 -0.216 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NC[C@H]1CC[C@H](C(N)=O)O1 ZINC000833621996 584012476 /nfs/dbraw/zinc/01/24/76/584012476.db2.gz DCUSHCXACCBFOW-IJLUTSLNSA-N -1 1 342.396 -0.790 20 0 EBADMM CS(=O)(=O)CC[C@@H](NC(=O)[O-])C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000833893865 584019254 /nfs/dbraw/zinc/01/92/54/584019254.db2.gz FRCHZQYUFWXSOR-NXEZZACHSA-N -1 1 344.393 -0.026 20 0 EBADMM Cn1c(=O)cc(C[N@H+]2C[C@@H]3CCC[C@H](NC(=O)[O-])[C@H]3C2)n(C)c1=O ZINC000833941454 584020087 /nfs/dbraw/zinc/02/00/87/584020087.db2.gz MFWWOFILGZHIPF-DRZSPHRISA-N -1 1 336.392 -0.048 20 0 EBADMM Cn1nncc1CN1CCC[C@H](C(=O)N2CCN(C(=O)[O-])CC2)C1 ZINC000833962036 584021431 /nfs/dbraw/zinc/02/14/31/584021431.db2.gz YLIOVHPHKMVGFH-LBPRGKRZSA-N -1 1 336.396 -0.151 20 0 EBADMM O=C([O-])N1CC(OCCC(=O)N2CCN([C@H]3CCC[C@H]3O)CC2)C1 ZINC000834075581 584026038 /nfs/dbraw/zinc/02/60/38/584026038.db2.gz ZYPDGOQGLDQJPP-UONOGXRCSA-N -1 1 341.408 -0.187 20 0 EBADMM O=C([O-])N1CCN(C(=O)[C@H]2CCC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC000834114552 584026562 /nfs/dbraw/zinc/02/65/62/584026562.db2.gz DBGWQEUHDFVGTN-JTQLQIEISA-N -1 1 338.368 -0.456 20 0 EBADMM O=C([O-])N1CCN(C(=O)[C@H]2CCC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC000834114552 584026564 /nfs/dbraw/zinc/02/65/64/584026564.db2.gz DBGWQEUHDFVGTN-JTQLQIEISA-N -1 1 338.368 -0.456 20 0 EBADMM O=C([O-])NCC(=O)NCC(=O)NC1CCN(Cc2ccccn2)CC1 ZINC000834196779 584028566 /nfs/dbraw/zinc/02/85/66/584028566.db2.gz NIIKAISPOWIBNN-UHFFFAOYSA-N -1 1 349.391 -0.454 20 0 EBADMM COCCN1CC[C@H]([N-]S(=O)(=O)N=S2(=O)CCCC2)C1 ZINC000867147279 584042302 /nfs/dbraw/zinc/04/23/02/584042302.db2.gz DCEJODAREVZKOO-NSHDSACASA-N -1 1 325.456 -0.197 20 0 EBADMM COCCN1CC[C@@H]([N-]S(=O)(=O)N=S2(=O)CCCC2)C1 ZINC000867147278 584042340 /nfs/dbraw/zinc/04/23/40/584042340.db2.gz DCEJODAREVZKOO-LLVKDONJSA-N -1 1 325.456 -0.197 20 0 EBADMM COc1cc(C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)nn1C ZINC000843014965 584069843 /nfs/dbraw/zinc/06/98/43/584069843.db2.gz JMDJAANAOPEPNV-UHFFFAOYSA-N -1 1 330.410 -0.027 20 0 EBADMM CNC(=O)C1(C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)CCC1 ZINC000843018331 584070147 /nfs/dbraw/zinc/07/01/47/584070147.db2.gz NAIISCWCSWWHIR-UHFFFAOYSA-N -1 1 331.438 -0.167 20 0 EBADMM CN(C)S(=O)(=O)N(C)CC(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000845203285 584102721 /nfs/dbraw/zinc/10/27/21/584102721.db2.gz GCXDBPHTPMZYLB-UHFFFAOYSA-N -1 1 349.331 -0.664 20 0 EBADMM CON(C)C(=O)C[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000867421727 584126005 /nfs/dbraw/zinc/12/60/05/584126005.db2.gz ZWWNPWLVPPECAP-UHFFFAOYSA-N -1 1 330.288 -0.263 20 0 EBADMM C[C@H](CNC(=O)C(=O)NCc1n[nH]c(-c2ccccc2)n1)C(=O)[O-] ZINC000848608494 584159213 /nfs/dbraw/zinc/15/92/13/584159213.db2.gz VAAAYJZRJHFMSW-SECBINFHSA-N -1 1 331.332 -0.075 20 0 EBADMM C[C@H](CNC(=O)C(=O)NCc1nc(-c2ccccc2)n[nH]1)C(=O)[O-] ZINC000848608494 584159215 /nfs/dbraw/zinc/15/92/15/584159215.db2.gz VAAAYJZRJHFMSW-SECBINFHSA-N -1 1 331.332 -0.075 20 0 EBADMM CCS(=O)(=O)N1CCC[C@@H](NC(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC000849230323 584167106 /nfs/dbraw/zinc/16/71/06/584167106.db2.gz AYDPWHGVSONZMF-RNFRBKRXSA-N -1 1 332.300 -0.068 20 0 EBADMM C[C@@H]1CCN(CCNS(C)(=O)=O)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000852758153 584215528 /nfs/dbraw/zinc/21/55/28/584215528.db2.gz ZNXWDDYVZAJDGA-BDAKNGLRSA-N -1 1 331.360 -0.076 20 0 EBADMM CC(Nc1cccc(-n2cnnn2)c1)=C1C(=O)[N-]C(=S)NC1=O ZINC000009876465 584253908 /nfs/dbraw/zinc/25/39/08/584253908.db2.gz MSNWRKNMXQTYTG-UHFFFAOYSA-N -1 1 329.345 -0.121 20 0 EBADMM COC(=O)[C@@H](F)C(Nc1ccccn1)=C1C(=O)[N-]C(=S)NC1=O ZINC000009874606 584254011 /nfs/dbraw/zinc/25/40/11/584254011.db2.gz NHIXTVJLMQQUMT-QMMMGPOBSA-N -1 1 338.320 -0.210 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)CCN(C)C(=O)OC)o1 ZINC000855891352 584264159 /nfs/dbraw/zinc/26/41/59/584264159.db2.gz IXNWXCNBCWMWFK-UHFFFAOYSA-N -1 1 333.366 -0.042 20 0 EBADMM CN1Cc2ccccc2[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1=O ZINC000857919384 584282518 /nfs/dbraw/zinc/28/25/18/584282518.db2.gz MBWKLZDGFBYGQQ-CQSZACIVSA-N -1 1 342.355 -0.244 20 0 EBADMM Cc1c(F)cc(S(N)(=O)=O)cc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000860310811 584314965 /nfs/dbraw/zinc/31/49/65/584314965.db2.gz WGCRKLNZCWVGPJ-UHFFFAOYSA-N -1 1 344.324 -0.440 20 0 EBADMM Cn1[n-]c(COC(=O)[C@@H]2OCC[C@@H]2NC(=O)OC(C)(C)C)nc1=O ZINC000860407682 584315874 /nfs/dbraw/zinc/31/58/74/584315874.db2.gz OSGKATXRZDHGKR-WCBMZHEXSA-N -1 1 342.352 -0.166 20 0 EBADMM C[C@H](CN(C)C(=O)c1cnc2c(c1)NC(=O)CN2C)c1nn[n-]n1 ZINC000860785753 584322204 /nfs/dbraw/zinc/32/22/04/584322204.db2.gz JDSRJGKSYZQMAK-MRVPVSSYSA-N -1 1 330.352 -0.141 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@H]2CCCN2C(N)=O)o1 ZINC000860980711 584324502 /nfs/dbraw/zinc/32/45/02/584324502.db2.gz YKZZECIZAFQZLB-QMMMGPOBSA-N -1 1 331.350 -0.112 20 0 EBADMM CNC(=O)NC[C@@H]1CN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CCO1 ZINC000861025355 584325042 /nfs/dbraw/zinc/32/50/42/584325042.db2.gz IAVCLTYJNHDGCZ-SNVBAGLBSA-N -1 1 347.379 -0.497 20 0 EBADMM CN(CCO)CC[N-]S(=O)(=O)N=[S@](C)(=O)c1ccccc1 ZINC000885804831 584341787 /nfs/dbraw/zinc/34/17/87/584341787.db2.gz UNMFOCNNKSKXDC-HXUWFJFHSA-N -1 1 335.451 -0.098 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3C[C@@H]4CCC[C@]4(CO)C3)ccnc1-2 ZINC000862112511 584347023 /nfs/dbraw/zinc/34/70/23/584347023.db2.gz LTFFMYWEYYIESC-APPDUMDISA-N -1 1 343.387 -0.099 20 0 EBADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]CCN1CCN(C(C)=O)CC1 ZINC000866495024 584401774 /nfs/dbraw/zinc/40/17/74/584401774.db2.gz VTBVNOJOAXTEKI-FQEVSTJZSA-N -1 1 340.471 -0.897 20 0 EBADMM COC(=O)c1ccoc1S(=O)(=O)[N-][C@H](C)CN1CCN(C)CC1 ZINC000866747775 584405170 /nfs/dbraw/zinc/40/51/70/584405170.db2.gz UIDQGEHOPPPNQW-LLVKDONJSA-N -1 1 345.421 -0.020 20 0 EBADMM COCCN(C)S(=O)(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000867901593 584420290 /nfs/dbraw/zinc/42/02/90/584420290.db2.gz QRSYPNRHYBOUPO-UHFFFAOYSA-N -1 1 333.332 -0.580 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@]23CCC[C@H]2OCC3)c(=O)n(C)c1=O ZINC000867886837 584420295 /nfs/dbraw/zinc/42/02/95/584420295.db2.gz GNGSCDKKINCGOD-MFKMUULPSA-N -1 1 329.378 -0.926 20 0 EBADMM CCN1CCOC[C@H]1C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869463104 584439760 /nfs/dbraw/zinc/43/97/60/584439760.db2.gz ODLKVXZIEVIRJR-JTQLQIEISA-N -1 1 340.298 -0.112 20 0 EBADMM COCCOCCNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875105606 584489824 /nfs/dbraw/zinc/48/98/24/584489824.db2.gz VESOMHMQMIJUJP-UHFFFAOYSA-N -1 1 327.303 -0.031 20 0 EBADMM C[C@H](CS(C)(=O)=O)NC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875286007 584496138 /nfs/dbraw/zinc/49/61/38/584496138.db2.gz YBVHHXNGRUIHDT-SSDOTTSWSA-N -1 1 345.343 -0.261 20 0 EBADMM COC[C@@]1(C(=O)OC(C)(C)C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC000879359915 584551889 /nfs/dbraw/zinc/55/18/89/584551889.db2.gz WYLJOSMMFWNSOE-OAHLLOKOSA-N -1 1 342.396 -0.333 20 0 EBADMM CS(=O)(=O)N1CCC[C@@H]1C[N-]S(=O)(=O)c1ccns1 ZINC000885073727 584643390 /nfs/dbraw/zinc/64/33/90/584643390.db2.gz GHCUKNBFEYLUKS-MRVPVSSYSA-N -1 1 325.437 -0.155 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC[C@@H]2CC(C)(C)CO2)c(=O)n(C)c1=O ZINC000885676779 584651189 /nfs/dbraw/zinc/65/11/89/584651189.db2.gz LCIRNSIACJYSPJ-SNVBAGLBSA-N -1 1 345.421 -0.433 20 0 EBADMM c1nc(-c2nnn[n-]2)cnc1Sc1nnnn1C[C@@H]1CCCO1 ZINC000738451133 598385950 /nfs/dbraw/zinc/38/59/50/598385950.db2.gz CSURTPASKGTEJS-ZETCQYMHSA-N -1 1 332.353 -0.027 20 0 EBADMM c1nc(-c2nn[n-]n2)cnc1Sc1nnnn1C[C@@H]1CCCO1 ZINC000738451133 598385953 /nfs/dbraw/zinc/38/59/53/598385953.db2.gz CSURTPASKGTEJS-ZETCQYMHSA-N -1 1 332.353 -0.027 20 0 EBADMM CN(C[C@H]1CCCOC1)c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000736793663 598468343 /nfs/dbraw/zinc/46/83/43/598468343.db2.gz YIDVPXIBHCIOBH-SECBINFHSA-N -1 1 335.368 -0.873 20 0 EBADMM Cc1cc(NC(=O)C(=O)NC[C@H]2CN(C)CCN2C)ccc1C(=O)[O-] ZINC000738410144 600419836 /nfs/dbraw/zinc/41/98/36/600419836.db2.gz DNFNCCWFUQOXRK-ZDUSSCGKSA-N -1 1 348.403 -0.006 20 0 EBADMM CCC[C@H](NC(=O)[O-])C(=O)N1CCO[C@H](CN2CCN(C)CC2)C1 ZINC000739313005 602422512 /nfs/dbraw/zinc/42/25/12/602422512.db2.gz QPCWQWKINZOTLK-KGLIPLIRSA-N -1 1 342.440 -0.103 20 0 EBADMM Cc1nc(-c2ncccn2)[nH]c(=O)c1CC(=O)NCCNC(=O)[O-] ZINC000740028316 602450266 /nfs/dbraw/zinc/45/02/66/602450266.db2.gz NMHHWGUOFFPDTL-UHFFFAOYSA-N -1 1 332.320 -0.126 20 0 EBADMM CSCC[C@H](NC(=O)[O-])C(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000740010389 602501291 /nfs/dbraw/zinc/50/12/91/602501291.db2.gz BZUSCZXTLNDRDO-JGVFFNPUSA-N -1 1 330.370 -0.511 20 0 EBADMM COC[C@H](C)NC(=O)NC(=O)CN1CC[C@H](CN(C)C(=O)[O-])C1 ZINC000739803107 602537532 /nfs/dbraw/zinc/53/75/32/602537532.db2.gz CARGPRAYBIZBOO-WDEREUQCSA-N -1 1 330.385 -0.221 20 0 EBADMM COC1(C(=O)NC[C@H]2CN(C)CCN2C)CCN(C(=O)[O-])CC1 ZINC000739797358 602539896 /nfs/dbraw/zinc/53/98/96/602539896.db2.gz NMXFQYGFRVYUBL-LBPRGKRZSA-N -1 1 328.413 -0.493 20 0 EBADMM O=C([O-])NCc1nc(C(=O)N2CCO[C@@H](c3nn[nH]n3)C2)cs1 ZINC000740682574 602714193 /nfs/dbraw/zinc/71/41/93/602714193.db2.gz UJJKRZXFYDZVMW-SSDOTTSWSA-N -1 1 339.337 -0.363 20 0 EBADMM O=C([O-])NCc1nc(C(=O)N2CCO[C@H](c3nn[nH]n3)C2)cs1 ZINC000740682577 602714268 /nfs/dbraw/zinc/71/42/68/602714268.db2.gz UJJKRZXFYDZVMW-ZETCQYMHSA-N -1 1 339.337 -0.363 20 0 EBADMM O=C([O-])N1CCc2c(cccc2NC(=O)C(=O)NCc2nn[nH]n2)C1 ZINC000740452998 602763866 /nfs/dbraw/zinc/76/38/66/602763866.db2.gz WTHYQDIUJUXXSC-UHFFFAOYSA-N -1 1 345.319 -0.509 20 0 EBADMM O=C([O-])N1CCC[C@H]1C(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC000740400063 602770793 /nfs/dbraw/zinc/77/07/93/602770793.db2.gz OMJCSUBQZNMOFK-KGLIPLIRSA-N -1 1 340.424 -0.349 20 0 EBADMM C[C@H]1CN2CCCC[C@@H]2CN1C(=O)CN1CCN(C(=O)[O-])CC1=O ZINC000739200240 603007034 /nfs/dbraw/zinc/00/70/34/603007034.db2.gz TUOBSNYVRWGMFE-QWHCGFSZSA-N -1 1 338.408 -0.106 20 0 EBADMM C[C@H]1OC(C)(C)N(C(=O)[O-])[C@H]1C(=O)NCCN1CCN(C)CC1 ZINC000739203702 603132126 /nfs/dbraw/zinc/13/21/26/603132126.db2.gz SRIXERYRKYRBME-VXGBXAGGSA-N -1 1 328.413 -0.147 20 0 EBADMM O=C(NN/C=C1/C(=O)[N-]C(=S)NC1=O)[C@H]1COc2ccccc2O1 ZINC000028978383 649935981 /nfs/dbraw/zinc/93/59/81/649935981.db2.gz VMZJBZMLCHUTEZ-SNVBAGLBSA-N -1 1 348.340 -0.298 20 0 EBADMM O=C(NN/C=C1\C(=O)[N-]C(=S)NC1=O)[C@H]1COc2ccccc2O1 ZINC000028978383 649935984 /nfs/dbraw/zinc/93/59/84/649935984.db2.gz VMZJBZMLCHUTEZ-SNVBAGLBSA-N -1 1 348.340 -0.298 20 0 EBADMM O=C(NNC=C1C(=O)[N-]C(=S)NC1=O)[C@H]1COc2ccccc2O1 ZINC000028978383 649935989 /nfs/dbraw/zinc/93/59/89/649935989.db2.gz VMZJBZMLCHUTEZ-SNVBAGLBSA-N -1 1 348.340 -0.298 20 0 EBADMM COc1cc[n-]c(=NNC(=O)c2cc(S(=O)(=O)N(C)C)c[nH]2)n1 ZINC000030886634 649937641 /nfs/dbraw/zinc/93/76/41/649937641.db2.gz IHLMGHMURUTYMN-UHFFFAOYSA-N -1 1 340.365 -0.180 20 0 EBADMM C[C@]12CCC(=O)N1[C@H](C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-])CS2 ZINC000320696210 604894612 /nfs/dbraw/zinc/89/46/12/604894612.db2.gz RCKRHLRUDSIMSW-BHDSKKPTSA-N -1 1 338.389 -0.024 20 0 EBADMM O=C(NN1CC(=O)[N-]C1=O)c1ccc(-n2ccnc2)c([N+](=O)[O-])c1 ZINC000052852238 649954797 /nfs/dbraw/zinc/95/47/97/649954797.db2.gz CIWBKLCQMXFTPX-UHFFFAOYSA-N -1 1 330.260 -0.023 20 0 EBADMM CNC(=O)N[N-]C(=O)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC000056376478 649959125 /nfs/dbraw/zinc/95/91/25/649959125.db2.gz MYGPDGNDIUUTBC-UHFFFAOYSA-N -1 1 342.377 -0.719 20 0 EBADMM O=C([O-])N[C@H]1CCN(C[C@H](O)CN2C(=O)c3ccccc3C2=O)C1 ZINC000740592704 605930893 /nfs/dbraw/zinc/93/08/93/605930893.db2.gz ASXPBKUWLSUGGZ-QWRGUYRKSA-N -1 1 333.344 -0.015 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NNC(=O)NCC1CC1 ZINC000067045598 649964724 /nfs/dbraw/zinc/96/47/24/649964724.db2.gz QAHWBBPYRCYCSN-UHFFFAOYSA-N -1 1 332.364 -0.078 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@@H]1[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000700239031 650035853 /nfs/dbraw/zinc/03/58/53/650035853.db2.gz UHGXPHTWHXGYQZ-NKWVEPMBSA-N -1 1 343.814 -0.836 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC(N3CCOCC3)C2)co1 ZINC000911642602 650194988 /nfs/dbraw/zinc/19/49/88/650194988.db2.gz ABXJPQMYIFTYNK-UHFFFAOYSA-N -1 1 329.378 -0.656 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1nc(C2CC2)no1 ZINC000912534037 650207001 /nfs/dbraw/zinc/20/70/01/650207001.db2.gz JXGKRRKMXGMREA-UHFFFAOYSA-N -1 1 327.322 -0.065 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCCc1cnn(C)c1 ZINC000912538309 650207006 /nfs/dbraw/zinc/20/70/06/650207006.db2.gz QXYGIOXVQWRNMT-UHFFFAOYSA-N -1 1 327.366 -0.159 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)C(=O)NC(C)(C)C ZINC000912534657 650207054 /nfs/dbraw/zinc/20/70/54/650207054.db2.gz NIOWQRQAFGTOCS-ZETCQYMHSA-N -1 1 332.382 -0.222 20 0 EBADMM CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@@H]1C[C@H](C)OC1=O ZINC000912551712 650208700 /nfs/dbraw/zinc/20/87/00/650208700.db2.gz CXQHMQHQRITFGP-POYBYMJQSA-N -1 1 331.350 -0.123 20 0 EBADMM CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-]c1ccc(=O)n(C)n1 ZINC000912562999 650209293 /nfs/dbraw/zinc/20/92/93/650209293.db2.gz QUOJOIMMALJICW-UHFFFAOYSA-N -1 1 341.349 -0.211 20 0 EBADMM O=C([C@H]1CCN(CC(F)(F)F)C1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913499710 650235909 /nfs/dbraw/zinc/23/59/09/650235909.db2.gz YQRSWCQFHWULSQ-DTWKUNHWSA-N -1 1 334.302 -0.016 20 0 EBADMM CN1c2ccccc2C[C@H]([N-]S(=O)(=O)[C@@H]2COC[C@H]2O)C1=O ZINC000917186383 650280667 /nfs/dbraw/zinc/28/06/67/650280667.db2.gz SXKOLTDMPKIRKR-CYZMBNFOSA-N -1 1 326.374 -0.747 20 0 EBADMM CN1c2ccccc2C[C@@H]([N-]S(=O)(=O)[C@@H]2COC[C@H]2O)C1=O ZINC000917186384 650280809 /nfs/dbraw/zinc/28/08/09/650280809.db2.gz SXKOLTDMPKIRKR-RAIGVLPGSA-N -1 1 326.374 -0.747 20 0 EBADMM C[C@H]([N-]S(=O)(=O)N=S1(=O)CCCC1)[C@H]1CN(C)CCN1C ZINC000919982541 650307009 /nfs/dbraw/zinc/30/70/09/650307009.db2.gz SUGFBPYKQYQOGV-NWDGAFQWSA-N -1 1 338.499 -0.283 20 0 EBADMM CCN1CCC[C@@H]([N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C)C1=O ZINC000921489730 650322396 /nfs/dbraw/zinc/32/23/96/650322396.db2.gz PBQUCOZRQKKWOG-AYLIAGHASA-N -1 1 326.444 -0.594 20 0 EBADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCS1 ZINC000921719699 650324316 /nfs/dbraw/zinc/32/43/16/650324316.db2.gz VNXUVRFCQRLFJH-RKDXNWHRSA-N -1 1 333.435 -0.354 20 0 EBADMM CCOC[C@H](O)C[N@H+]1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC000923255891 650336985 /nfs/dbraw/zinc/33/69/85/650336985.db2.gz TVCIWLJKJPETFJ-BBBLOLIVSA-N -1 1 342.314 -0.020 20 0 EBADMM CO[N-]C(=O)CNC(=O)N1CC[C@@](C)(NC(=O)C(F)(F)F)C1 ZINC000927219976 651499873 /nfs/dbraw/zinc/49/98/73/651499873.db2.gz MKJZYXHFNCYZGX-SNVBAGLBSA-N -1 1 326.275 -0.484 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@H]2CN(C)CCO2)C1 ZINC000937001232 651529852 /nfs/dbraw/zinc/52/98/52/651529852.db2.gz KBKXIEKHJACLAI-TZMCWYRMSA-N -1 1 348.403 -0.209 20 0 EBADMM C[C@@H](CN1CCN2C(=O)C(=O)NC[C@H]2C1)C(=O)c1ccc([O-])cc1 ZINC000929845277 651566814 /nfs/dbraw/zinc/56/68/14/651566814.db2.gz WQQWKVCFKOFKHW-AAEUAGOBSA-N -1 1 331.372 -0.146 20 0 EBADMM CN(C(=O)[C@H]1CCC(=O)N1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937211548 651680045 /nfs/dbraw/zinc/68/00/45/651680045.db2.gz LPXVLUVOTXERKQ-GHMZBOCLSA-N -1 1 332.360 -0.261 20 0 EBADMM CN(C(=O)Cn1cncn1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216905 651684465 /nfs/dbraw/zinc/68/44/65/651684465.db2.gz OMFDVFVDORYTMW-LLVKDONJSA-N -1 1 330.348 -0.248 20 0 EBADMM CN(C(=O)[C@@H]1CC(=O)N(C)C1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216435 651685321 /nfs/dbraw/zinc/68/53/21/651685321.db2.gz CNJWPFYTUXLAPP-NEPJUHHUSA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C(=O)[C@H]1CCC(=O)NC1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937217877 651685501 /nfs/dbraw/zinc/68/55/01/651685501.db2.gz YUIVFJDDJIBFDB-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@@H]1C(=O)NCCN1C(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000933105181 651714129 /nfs/dbraw/zinc/71/41/29/651714129.db2.gz CVHPAMSUPJGUMB-MRVPVSSYSA-N -1 1 341.314 -0.324 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)[C@@H]1CCN(C(=O)C(F)F)C1 ZINC000936318869 651764769 /nfs/dbraw/zinc/76/47/69/651764769.db2.gz YKCOQQUZROIPCR-ZCFIWIBFSA-N -1 1 346.290 -0.166 20 0 EBADMM CC(=O)N1CC[C@H](C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000937861253 651958069 /nfs/dbraw/zinc/95/80/69/651958069.db2.gz SUYNUHLPWCXVLK-STQMWFEESA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(Cn1ccccc1=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937865017 651959469 /nfs/dbraw/zinc/95/94/69/651959469.db2.gz CUKRRIOYOHYTCT-LBPRGKRZSA-N -1 1 342.355 -0.020 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1CCC(=O)NC1 ZINC000938232251 652145818 /nfs/dbraw/zinc/14/58/18/652145818.db2.gz FPUZIXRIRTWYKR-QWRGUYRKSA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(C[C@@H]1CC(=O)NC1=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000938253350 652162000 /nfs/dbraw/zinc/16/20/00/652162000.db2.gz ZYRIKTLJNFJSRQ-ZJUUUORDSA-N -1 1 346.343 -0.829 20 0 EBADMM CC(F)(F)C(=O)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000938315030 652193210 /nfs/dbraw/zinc/19/32/10/652193210.db2.gz XJXREJJJUNKBPD-ZETCQYMHSA-N -1 1 340.290 -0.597 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NC[C@H]1CCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000944138964 652692273 /nfs/dbraw/zinc/69/22/73/652692273.db2.gz FVJYDFIQQWATRX-XQQFMLRXSA-N -1 1 348.403 -0.310 20 0 EBADMM C/C=C(/C)C(=O)N1CCN(C2CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC000941365874 652696615 /nfs/dbraw/zinc/69/66/15/652696615.db2.gz ICJNASCLWWZZTF-QCDXTXTGSA-N -1 1 334.424 -0.597 20 0 EBADMM Cn1[n-]c(CN2CC(N3CCN(C(=O)C4=CCCC4)CC3)C2)nc1=O ZINC000941376229 652701957 /nfs/dbraw/zinc/70/19/57/652701957.db2.gz ULQXZJFSKYYDML-UHFFFAOYSA-N -1 1 346.435 -0.453 20 0 EBADMM C[C@H](C(N)=O)N1CC(N2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC000941387938 652709282 /nfs/dbraw/zinc/70/92/82/652709282.db2.gz RLNVTAUEIUXCNL-LLVKDONJSA-N -1 1 333.392 -0.897 20 0 EBADMM CCn1cnc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)c1 ZINC000944193676 652709758 /nfs/dbraw/zinc/70/97/58/652709758.db2.gz ZJBBGJIBLQZHSE-NWDGAFQWSA-N -1 1 347.423 -0.035 20 0 EBADMM CN1CC[C@@H](C(=O)N2CCC[C@@H]2CNC(=O)c2ncccc2[O-])C1=O ZINC000944214938 652715899 /nfs/dbraw/zinc/71/58/99/652715899.db2.gz RTCRWKZWJZNQOC-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(NC[C@H]1CCCN1C(=O)[C@@H]1CNC(=O)N1)c1ncccc1[O-] ZINC000944242381 652725083 /nfs/dbraw/zinc/72/50/83/652725083.db2.gz UAQDCRQGDTZJCR-ZJUUUORDSA-N -1 1 333.348 -0.811 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1CCC(=O)NC1 ZINC000941423162 652730341 /nfs/dbraw/zinc/73/03/41/652730341.db2.gz FPUZIXRIRTWYKR-WDEREUQCSA-N -1 1 332.360 -0.356 20 0 EBADMM CC(C)C(=O)N(C)[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000938871992 652750756 /nfs/dbraw/zinc/75/07/56/652750756.db2.gz NWTNQSHRHLORLO-LBPRGKRZSA-N -1 1 336.392 -0.358 20 0 EBADMM CN(C(=O)C1CCC1)[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000938871353 652750986 /nfs/dbraw/zinc/75/09/86/652750986.db2.gz FFMUNDQGLILZHV-CYBMUJFWSA-N -1 1 348.403 -0.214 20 0 EBADMM CCN1CC(N2CCN(C(=O)CCc3n[nH]c(=O)[n-]c3=O)CC2)C1 ZINC000941472465 652757538 /nfs/dbraw/zinc/75/75/38/652757538.db2.gz ZAXDRZFMFWXUGN-UHFFFAOYSA-N -1 1 336.396 -0.936 20 0 EBADMM CN(C(=O)C1CCC1)[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000938902052 652766616 /nfs/dbraw/zinc/76/66/16/652766616.db2.gz WONXVWUBGYJEQO-LLVKDONJSA-N -1 1 344.375 -0.109 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000938922593 652776392 /nfs/dbraw/zinc/77/63/92/652776392.db2.gz SFLMQSQVXDUCJD-OUAUKWLOSA-N -1 1 344.375 -0.206 20 0 EBADMM Cc1cc(C(=O)N(C)[C@H]2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)[nH]n1 ZINC000938983963 652791074 /nfs/dbraw/zinc/79/10/74/652791074.db2.gz LUPURLDOGAUOQY-VIFPVBQESA-N -1 1 349.351 -0.906 20 0 EBADMM C[C@@H]1C[C@H]1CC(=O)N1CCN(C2CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC000941551257 652798567 /nfs/dbraw/zinc/79/85/67/652798567.db2.gz YAURSMXXCQWWII-OLZOCXBDSA-N -1 1 348.451 -0.517 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@H](N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000939013233 652808917 /nfs/dbraw/zinc/80/89/17/652808917.db2.gz OLIQAQDJLHJBPR-OUAUKWLOSA-N -1 1 344.375 -0.254 20 0 EBADMM O=C(NC1CCN(C(=O)[C@@H]2COCCO2)CC1)c1ncccc1[O-] ZINC000944505389 652809093 /nfs/dbraw/zinc/80/90/93/652809093.db2.gz ZOYVSQYFLPMOQH-ZDUSSCGKSA-N -1 1 335.360 -0.077 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@@H](N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000939013232 652809243 /nfs/dbraw/zinc/80/92/43/652809243.db2.gz OLIQAQDJLHJBPR-GMTAPVOTSA-N -1 1 344.375 -0.254 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC000939065397 652821985 /nfs/dbraw/zinc/82/19/85/652821985.db2.gz LDFMHOQEPVKUBV-MIZYBKAJSA-N -1 1 344.375 -0.350 20 0 EBADMM Cn1nncc1C(=O)N1CCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944559248 652858210 /nfs/dbraw/zinc/85/82/10/652858210.db2.gz AEOLFJBRJJXKCD-SNVBAGLBSA-N -1 1 346.347 -0.813 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2csnn2)CCO1)c1ncccc1[O-] ZINC000944559277 652858229 /nfs/dbraw/zinc/85/82/29/652858229.db2.gz ASYNEIGMBQDSID-SECBINFHSA-N -1 1 349.372 -0.090 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)[C@]23C[C@H]2COC3)CCO1)c1ncccc1[O-] ZINC000944559820 652859188 /nfs/dbraw/zinc/85/91/88/652859188.db2.gz FJDVHCWIOFFSAD-JKDFXYPNSA-N -1 1 347.371 -0.219 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2ccnnc2)CCO1)c1ncccc1[O-] ZINC000944560984 652860970 /nfs/dbraw/zinc/86/09/70/652860970.db2.gz AJMUBCXBOVFODP-GFCCVEGCSA-N -1 1 343.343 -0.152 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2cccnn2)CCO1)c1ncccc1[O-] ZINC000944562777 652862483 /nfs/dbraw/zinc/86/24/83/652862483.db2.gz CLIZSGHAARSXKJ-LLVKDONJSA-N -1 1 343.343 -0.152 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2cccnn2)CCO1)c1ncccc1[O-] ZINC000944562778 652862742 /nfs/dbraw/zinc/86/27/42/652862742.db2.gz CLIZSGHAARSXKJ-NSHDSACASA-N -1 1 343.343 -0.152 20 0 EBADMM CC[C@H](C)C(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000941663828 652865576 /nfs/dbraw/zinc/86/55/76/652865576.db2.gz GLXSULSOVCCGHW-VHSXEESVSA-N -1 1 332.364 -0.206 20 0 EBADMM CC(C)=CC(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000941664772 652866464 /nfs/dbraw/zinc/86/64/64/652866464.db2.gz ZZXAQJSPDRWBRM-SNVBAGLBSA-N -1 1 330.348 -0.286 20 0 EBADMM CC(C)CC(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000941664117 652866594 /nfs/dbraw/zinc/86/65/94/652866594.db2.gz NMSIGXMZJFGKDU-SNVBAGLBSA-N -1 1 332.364 -0.206 20 0 EBADMM C[C@H](C(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC000941664340 652866848 /nfs/dbraw/zinc/86/68/48/652866848.db2.gz UBWQFBGXSFPOBQ-GXSJLCMTSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cc[nH]c2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000941664151 652866886 /nfs/dbraw/zinc/86/68/86/652866886.db2.gz PDUPXZCBVVWBCT-SNVBAGLBSA-N -1 1 341.331 -0.610 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cocn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000941664517 652867005 /nfs/dbraw/zinc/86/70/05/652867005.db2.gz WEZZHGYTZQCOCC-MRVPVSSYSA-N -1 1 343.303 -0.950 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1)c1ncc[nH]1 ZINC000944582051 652882453 /nfs/dbraw/zinc/88/24/53/652882453.db2.gz GATRUUDOOLJEAH-SNVBAGLBSA-N -1 1 331.332 -0.219 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)C1CCOCC1 ZINC000941728916 652896896 /nfs/dbraw/zinc/89/68/96/652896896.db2.gz HGLVOVVBBDIYMT-DGCLKSJQSA-N -1 1 337.424 -0.138 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)nn1C ZINC000941869126 652935882 /nfs/dbraw/zinc/93/58/82/652935882.db2.gz GFSSYARECJISAP-CMPLNLGQSA-N -1 1 347.423 -0.209 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)Cc1cnn(C)c1 ZINC000941881255 652940027 /nfs/dbraw/zinc/94/00/27/652940027.db2.gz GZJIRHSSWFBDRM-AAEUAGOBSA-N -1 1 347.423 -0.589 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1nccnc1N ZINC000941903949 652946780 /nfs/dbraw/zinc/94/67/80/652946780.db2.gz HYNZPMNHODEZQG-ZJUUUORDSA-N -1 1 346.395 -0.879 20 0 EBADMM COCc1nocc1C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000939516210 652953105 /nfs/dbraw/zinc/95/31/05/652953105.db2.gz IEORTDQDWALEGB-PSASIEDQSA-N -1 1 336.352 -0.105 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1cc[nH]c(=O)c1 ZINC000941927437 652953755 /nfs/dbraw/zinc/95/37/55/652953755.db2.gz RNPTYQPCNBAUMO-ZYHUDNBSSA-N -1 1 346.391 -0.151 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1cc[nH]c(=O)c1 ZINC000941927434 652953903 /nfs/dbraw/zinc/95/39/03/652953903.db2.gz RNPTYQPCNBAUMO-CMPLNLGQSA-N -1 1 346.391 -0.151 20 0 EBADMM C[C@@H]1CN(Cc2nnnn2C)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC000941989756 653013845 /nfs/dbraw/zinc/01/38/45/653013845.db2.gz QFGDTEFJNJBBBQ-GHMZBOCLSA-N -1 1 331.380 -0.049 20 0 EBADMM Cc1nnc(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)o1 ZINC000942024749 653023392 /nfs/dbraw/zinc/02/33/92/653023392.db2.gz MWQTVDJMEFGETG-ONGXEEELSA-N -1 1 349.395 -0.631 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)nn1 ZINC000942043930 653026414 /nfs/dbraw/zinc/02/64/14/653026414.db2.gz GIOPLQRVZPPBKE-CMPLNLGQSA-N -1 1 345.407 -0.153 20 0 EBADMM CC(=O)N1CC[C@H](C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000942106294 653036363 /nfs/dbraw/zinc/03/63/63/653036363.db2.gz WQIKBZGDGFVSJO-QWHCGFSZSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@@H]1CN(C(=O)c2c[nH]c(C(N)=O)c2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939755314 653040947 /nfs/dbraw/zinc/04/09/47/653040947.db2.gz BUYKBHYQJHBPTR-GMSGAONNSA-N -1 1 333.352 -0.812 20 0 EBADMM O=C(C=C1CCC1)N[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000939973590 653071307 /nfs/dbraw/zinc/07/13/07/653071307.db2.gz FPWDBLZDPOEHBL-CYBMUJFWSA-N -1 1 346.387 -0.246 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)n1cncn1 ZINC000940082857 653078815 /nfs/dbraw/zinc/07/88/15/653078815.db2.gz QIPNDEBWPPHSLE-GHMZBOCLSA-N -1 1 330.348 -0.029 20 0 EBADMM C[C@H]1CN(Cc2cnn(C)c2)CC[C@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC000942305718 653078936 /nfs/dbraw/zinc/07/89/36/653078936.db2.gz VHUBPVYQYCAVKK-GXSJLCMTSA-N -1 1 347.379 -0.343 20 0 EBADMM CN1CC[C@@H](C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)C1=O ZINC000940130268 653084652 /nfs/dbraw/zinc/08/46/52/653084652.db2.gz JKAYOJXVFLIGDA-GHMZBOCLSA-N -1 1 332.360 -0.404 20 0 EBADMM CN1CCOC[C@H]1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940132134 653085165 /nfs/dbraw/zinc/08/51/65/653085165.db2.gz OBTHPNHZTJDDAE-NEPJUHHUSA-N -1 1 334.376 -0.552 20 0 EBADMM Cn1ncc(CCC(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC000940138334 653085356 /nfs/dbraw/zinc/08/53/56/653085356.db2.gz DDJHWVJASHKEDT-GFCCVEGCSA-N -1 1 344.375 -0.121 20 0 EBADMM CCCC(=O)N1CCO[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000944682261 653152508 /nfs/dbraw/zinc/15/25/08/653152508.db2.gz OHPSPYZTKNMIHN-JTQLQIEISA-N -1 1 348.363 -0.825 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1n[nH]cc1F ZINC000942600621 653160194 /nfs/dbraw/zinc/16/01/94/653160194.db2.gz RYDXVDPQKLTBKY-WPRPVWTQSA-N -1 1 337.359 -0.389 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)c2nnn(C)n2)C1 ZINC000942751711 653196361 /nfs/dbraw/zinc/19/63/61/653196361.db2.gz GVXHIHMPHKUOQA-SNVBAGLBSA-N -1 1 345.363 -0.313 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC000942756921 653197496 /nfs/dbraw/zinc/19/74/96/653197496.db2.gz TYWQSKWLBGGBGW-TUAOUCFPSA-N -1 1 346.387 -0.028 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1)c1cnon1 ZINC000944737566 653215499 /nfs/dbraw/zinc/21/54/99/653215499.db2.gz NPQMWBSUDXHJEU-SECBINFHSA-N -1 1 333.304 -0.559 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2cc[n+]([O-])cc2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947020929 653292177 /nfs/dbraw/zinc/29/21/77/653292177.db2.gz HZZLAXIWAZASII-DGCLKSJQSA-N -1 1 346.391 -0.475 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2nccnc2N)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947144960 653327118 /nfs/dbraw/zinc/32/71/18/653327118.db2.gz YFEPMPNCFYEXBO-UWVGGRQHSA-N -1 1 346.395 -0.737 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2cccc(=O)[nH]2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947165331 653329376 /nfs/dbraw/zinc/32/93/76/653329376.db2.gz FGCWIMLNOLBVIY-GHMZBOCLSA-N -1 1 346.391 -0.008 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1nnc[nH]1 ZINC000945038343 653330517 /nfs/dbraw/zinc/33/05/17/653330517.db2.gz RQFNTTUIYNMMMN-PSASIEDQSA-N -1 1 332.320 -0.825 20 0 EBADMM O=C(NC1CCN(C(=O)C2CC2)CC1)c1cc(=O)n2[n-]cnc2n1 ZINC000943292693 653342014 /nfs/dbraw/zinc/34/20/14/653342014.db2.gz GRHWJMJSPKEHGY-UHFFFAOYSA-N -1 1 330.348 -0.452 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)Cc2ccnn2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947258792 653350144 /nfs/dbraw/zinc/35/01/44/653350144.db2.gz YBWSUXSSWWBFJO-VXGBXAGGSA-N -1 1 347.423 -0.446 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccn[nH]2)CC[C@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC000945194329 653362236 /nfs/dbraw/zinc/36/22/36/653362236.db2.gz GAYHTXLUKGDXRL-HTQZYQBOSA-N -1 1 347.335 -0.714 20 0 EBADMM O=C(N[C@H]1CCCCN(C(=O)c2ccn[nH]2)C1)c1n[nH]c(=O)[n-]c1=O ZINC000943394895 653373213 /nfs/dbraw/zinc/37/32/13/653373213.db2.gz FCMDANSAOGVBKD-QMMMGPOBSA-N -1 1 347.335 -0.569 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H](C)C1 ZINC000947420619 653397385 /nfs/dbraw/zinc/39/73/85/653397385.db2.gz FQXABMOOXSHGKX-WCBMZHEXSA-N -1 1 349.395 -0.372 20 0 EBADMM Cn1cc(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)n(C)c1=O ZINC000941117437 653420065 /nfs/dbraw/zinc/42/00/65/653420065.db2.gz ADUYISRLCQXPOT-SNVBAGLBSA-N -1 1 345.359 -0.531 20 0 EBADMM C[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)CC[C@H]1NC(=O)C1CC1 ZINC000945405542 653440089 /nfs/dbraw/zinc/44/00/89/653440089.db2.gz XTJUJKUQRJJOHK-DGCLKSJQSA-N -1 1 348.403 -0.310 20 0 EBADMM Cn1ccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)n1 ZINC000941221359 653455562 /nfs/dbraw/zinc/45/55/62/653455562.db2.gz RQSPTDORPNEIKF-LBPRGKRZSA-N -1 1 347.423 -0.128 20 0 EBADMM Cc1ccnn1CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@H](C)C1 ZINC000947761136 653479520 /nfs/dbraw/zinc/47/95/20/653479520.db2.gz GQMLKFVIIBQZKM-OLZOCXBDSA-N -1 1 347.423 -0.217 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2cccnn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947841172 653491582 /nfs/dbraw/zinc/49/15/82/653491582.db2.gz JAIPYGKKVICXBE-GHMZBOCLSA-N -1 1 331.380 -0.319 20 0 EBADMM CC(=O)N1CCC[C@@H](C)[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000945694738 653499646 /nfs/dbraw/zinc/49/96/46/653499646.db2.gz JACVJNBYAGJXJK-SKDRFNHKSA-N -1 1 332.364 -0.206 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)NC[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000943980710 653500992 /nfs/dbraw/zinc/50/09/92/653500992.db2.gz WNAJSEVTSAKDKE-MXWKQRLJSA-N -1 1 332.360 -0.371 20 0 EBADMM O=C(NC[C@H]1CCCN1C(=O)c1ncccc1[O-])[C@H]1CCC(=O)N1 ZINC000943977138 653501297 /nfs/dbraw/zinc/50/12/97/653501297.db2.gz USOHOBYQQUPYCI-GHMZBOCLSA-N -1 1 332.360 -0.213 20 0 EBADMM C/C=C(/C)C(=O)N1C[C@@H](C)[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000948242609 653530672 /nfs/dbraw/zinc/53/06/72/653530672.db2.gz SLTAOFFJNKCPND-KAEJYBMCSA-N -1 1 344.375 -0.040 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)Cn1cnnn1 ZINC000948619192 653563317 /nfs/dbraw/zinc/56/33/17/653563317.db2.gz USRPGYPLCSMLON-NXEZZACHSA-N -1 1 331.336 -0.949 20 0 EBADMM CCOc1ccc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cn1 ZINC000948628041 653564031 /nfs/dbraw/zinc/56/40/31/653564031.db2.gz ZADXHGLGILJOQS-UHFFFAOYSA-N -1 1 346.391 -0.140 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cn(C(C)(C)C)nn3)CC2)nc1=O ZINC000948668935 653566189 /nfs/dbraw/zinc/56/61/89/653566189.db2.gz NWWPYNCEAYMHRE-UHFFFAOYSA-N -1 1 348.411 -0.587 20 0 EBADMM CC(C)[C@@H]1OCC[C@H]1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000948693441 653566993 /nfs/dbraw/zinc/56/69/93/653566993.db2.gz HEZOAAPLETUFOD-OCCSQVGLSA-N -1 1 337.424 -0.186 20 0 EBADMM COc1ccc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cn1 ZINC000949188503 653825747 /nfs/dbraw/zinc/82/57/47/653825747.db2.gz SYCRVQWLNYDWOP-UHFFFAOYSA-N -1 1 332.364 -0.530 20 0 EBADMM Cn1[n-]c(CN2CCOC3(CCN(C(=O)C(F)F)CC3)C2)nc1=O ZINC000949263580 653851794 /nfs/dbraw/zinc/85/17/94/653851794.db2.gz RVPHXGFACSUDMV-UHFFFAOYSA-N -1 1 345.350 -0.433 20 0 EBADMM COc1coc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cc1=O ZINC000949296199 653856371 /nfs/dbraw/zinc/85/63/71/653856371.db2.gz ZQWYBAKBVWFJSV-UHFFFAOYSA-N -1 1 349.347 -0.972 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)Cc3ccc(=O)[nH]c3)CC2)nc1=O ZINC000949339551 653866249 /nfs/dbraw/zinc/86/62/49/653866249.db2.gz FLWUDVQRAKNAOV-UHFFFAOYSA-N -1 1 332.364 -0.904 20 0 EBADMM CC1CC(C(=O)NC[C@H]2CCN2C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000949341980 653866352 /nfs/dbraw/zinc/86/63/52/653866352.db2.gz QKXORVQNMHCREZ-WXRRBKDZSA-N -1 1 348.403 -0.310 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1ncccc1[O-])[C@H]1CCNC(=O)C1 ZINC000949352650 653869990 /nfs/dbraw/zinc/86/99/90/653869990.db2.gz MUHDYAGQCLHQGG-QWRGUYRKSA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H]1CNC(=O)C1=CCCC1 ZINC000949369639 653874896 /nfs/dbraw/zinc/87/48/96/653874896.db2.gz RZTWHSVDOYQREA-CYBMUJFWSA-N -1 1 346.387 -0.246 20 0 EBADMM NC(=O)c1cc(C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])c[nH]1 ZINC000949538152 653923138 /nfs/dbraw/zinc/92/31/38/653923138.db2.gz GIWLLXAWPZOQIS-SNVBAGLBSA-N -1 1 343.343 -0.141 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)[C@H]1CCCNC1=O)c1ncccc1[O-] ZINC000949539136 653923646 /nfs/dbraw/zinc/92/36/46/653923646.db2.gz YPAFWQAVHWSOGH-QWRGUYRKSA-N -1 1 332.360 -0.356 20 0 EBADMM CCN1CCO[C@@H](C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])C1 ZINC000949539187 653923696 /nfs/dbraw/zinc/92/36/96/653923696.db2.gz ZNQUWFHBBXAYHD-TZMCWYRMSA-N -1 1 348.403 -0.161 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)[C@H]1CCCC(=O)N1)c1ncccc1[O-] ZINC000949538651 653924063 /nfs/dbraw/zinc/92/40/63/653924063.db2.gz OHACXDHYFNEGMA-WDEREUQCSA-N -1 1 332.360 -0.213 20 0 EBADMM CN1CC[C@@H](C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])C1=O ZINC000949541770 653924808 /nfs/dbraw/zinc/92/48/08/653924808.db2.gz PGCFEXBYJPZSHG-GHMZBOCLSA-N -1 1 332.360 -0.404 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3ccc4n[nH]cc4c3)CC2)nc1=O ZINC000949912213 654000610 /nfs/dbraw/zinc/00/06/10/654000610.db2.gz QJAFQGVMRJRBMC-UHFFFAOYSA-N -1 1 341.375 -0.057 20 0 EBADMM CCN(C(=O)[C@@H]1CCCO[C@H]1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000949962424 654011033 /nfs/dbraw/zinc/01/10/33/654011033.db2.gz JKCAJZOWURYSNF-WCQYABFASA-N -1 1 337.424 -0.044 20 0 EBADMM CCOC1CC(C(=O)N(CC)C2CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC000949988566 654014117 /nfs/dbraw/zinc/01/41/17/654014117.db2.gz HFJRQYQEDWHMGA-UHFFFAOYSA-N -1 1 337.424 -0.044 20 0 EBADMM O=C(N[C@H]1CCCN(C(=O)[C@H]2COCCO2)C1)c1ncccc1[O-] ZINC000950108246 654038925 /nfs/dbraw/zinc/03/89/25/654038925.db2.gz WFAQNPDLTPBHNY-WCQYABFASA-N -1 1 335.360 -0.077 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1)c1cc[nH]c1 ZINC000950381776 654113132 /nfs/dbraw/zinc/11/31/32/654113132.db2.gz DLUSPMOBDDBHNJ-SNVBAGLBSA-N -1 1 341.331 -0.610 20 0 EBADMM Cc1ncc(C(=O)N2CC[C@@H]2CNC(=O)c2cnc([O-])n(C)c2=O)[nH]1 ZINC000951451340 654135102 /nfs/dbraw/zinc/13/51/02/654135102.db2.gz VPYHDMHESAXTNT-SECBINFHSA-N -1 1 346.347 -0.838 20 0 EBADMM CN1C[C@H](C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])CCC1=O ZINC000950445205 654135255 /nfs/dbraw/zinc/13/52/55/654135255.db2.gz GYYVBJLDHSBZNG-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM COc1cc(CC(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)ccn1 ZINC000950514664 654157901 /nfs/dbraw/zinc/15/79/01/654157901.db2.gz XTDQPUOZERSMRR-UHFFFAOYSA-N -1 1 346.391 -0.601 20 0 EBADMM Cn1cc(C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])ncc1=O ZINC000951487823 654159573 /nfs/dbraw/zinc/15/95/73/654159573.db2.gz OBUYLBPDRFLGKQ-JTQLQIEISA-N -1 1 343.343 -0.475 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CCC[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000951522157 654169573 /nfs/dbraw/zinc/16/95/73/654169573.db2.gz AOLROHQPTZWVDV-VIFPVBQESA-N -1 1 333.352 -0.115 20 0 EBADMM CC(=O)N1CC[C@H](C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])C1 ZINC000951524548 654172429 /nfs/dbraw/zinc/17/24/29/654172429.db2.gz OVZBKVZUEGTWRX-QWHCGFSZSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1ncc(C(=O)N[C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)n1 ZINC000950543233 654173558 /nfs/dbraw/zinc/17/35/58/654173558.db2.gz UJQNWIWNSGAZSS-SNVBAGLBSA-N -1 1 330.348 -0.050 20 0 EBADMM CCN(C(=O)[C@@H]1CC[C@H](OC)C1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950649043 654208535 /nfs/dbraw/zinc/20/85/35/654208535.db2.gz DMLLFGTWHINAKQ-YPMHNXCESA-N -1 1 337.424 -0.044 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1ncccc1[O-])c1cnc[nH]c1=O ZINC000950699554 654225454 /nfs/dbraw/zinc/22/54/54/654225454.db2.gz HAZUEZIEDKUVEE-VIFPVBQESA-N -1 1 329.316 -0.073 20 0 EBADMM Cn1nccc1CN1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000951777636 654279348 /nfs/dbraw/zinc/27/93/48/654279348.db2.gz YXQHBOYKEOBDFE-UHFFFAOYSA-N -1 1 346.391 -0.995 20 0 EBADMM CCN1CCOC[C@H]1C(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC000950868019 654292367 /nfs/dbraw/zinc/29/23/67/654292367.db2.gz LVENDVKQWFEXIL-STQMWFEESA-N -1 1 348.403 -0.161 20 0 EBADMM NC(=O)C(=O)N1CCC[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000951858094 654321233 /nfs/dbraw/zinc/32/12/33/654321233.db2.gz GDCFJTPOJZLDRI-SECBINFHSA-N -1 1 333.348 -0.734 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1ncccc1[O-])[C@H]1CCC(=O)NC1 ZINC000950964949 654329518 /nfs/dbraw/zinc/32/95/18/654329518.db2.gz PYUMYUNSTMQYMF-QWRGUYRKSA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1ccc2oc(=O)nc-2[n-]1)c1cnn[nH]1 ZINC000950995250 654340161 /nfs/dbraw/zinc/34/01/61/654340161.db2.gz MDWBDSSTIFIFGP-SSDOTTSWSA-N -1 1 343.303 -0.309 20 0 EBADMM O=C(Cn1cncn1)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000952074669 654385875 /nfs/dbraw/zinc/38/58/75/654385875.db2.gz FPGJPWFWTRAVMC-LLVKDONJSA-N -1 1 330.348 -0.200 20 0 EBADMM O=C(Cc1nnc[nH]1)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000952086713 654391338 /nfs/dbraw/zinc/39/13/38/654391338.db2.gz SQBGFXJSLRDSBE-JTQLQIEISA-N -1 1 330.348 -0.131 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCN1C(=O)c1cocn1 ZINC000951221856 654422920 /nfs/dbraw/zinc/42/29/20/654422920.db2.gz AUJRNSIXHVJLTF-ZETCQYMHSA-N -1 1 349.303 -0.471 20 0 EBADMM O=C(CC1CC1)N1CC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951222312 654423706 /nfs/dbraw/zinc/42/37/06/654423706.db2.gz KBKWXNLFHMRKKP-SNVBAGLBSA-N -1 1 330.348 -0.452 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1ccco1)c1cc(=O)n2[n-]cnc2n1 ZINC000951222599 654424128 /nfs/dbraw/zinc/42/41/28/654424128.db2.gz OKLCFOKPASXUNA-SECBINFHSA-N -1 1 342.315 -0.345 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)NC[C@H]1CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000952202094 654424528 /nfs/dbraw/zinc/42/45/28/654424528.db2.gz DWSXXCKPIBVGHG-JHJVBQTASA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951224434 654425286 /nfs/dbraw/zinc/42/52/86/654425286.db2.gz FEQNORYSNQACTA-GUBZILKMSA-N -1 1 330.348 -0.596 20 0 EBADMM O=C(C=C1CCC1)N1CC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951225139 654426028 /nfs/dbraw/zinc/42/60/28/654426028.db2.gz VYWLNYXEPXOWBG-LLVKDONJSA-N -1 1 342.359 -0.141 20 0 EBADMM CCC(=O)N1CC[C@]2(CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)C1 ZINC000953013033 654514689 /nfs/dbraw/zinc/51/46/89/654514689.db2.gz LZYVXOKUFVYRPD-INIZCTEOSA-N -1 1 344.375 -0.108 20 0 EBADMM NC(=O)CC(=O)N1CC[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC000953313578 654554817 /nfs/dbraw/zinc/55/48/17/654554817.db2.gz FIZZKYPEIOVGDI-QWRGUYRKSA-N -1 1 332.360 -0.274 20 0 EBADMM CC(=O)N1CC[C@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@H]21 ZINC000953375863 654568163 /nfs/dbraw/zinc/56/81/63/654568163.db2.gz KGEAYAWCWYNPRJ-CHWSQXEVSA-N -1 1 334.376 -0.604 20 0 EBADMM CC(=O)N1CC[C@@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@H]21 ZINC000953375865 654568270 /nfs/dbraw/zinc/56/82/70/654568270.db2.gz KGEAYAWCWYNPRJ-QWHCGFSZSA-N -1 1 334.376 -0.604 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N(C)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000953625332 654599670 /nfs/dbraw/zinc/59/96/70/654599670.db2.gz WXZMFCAXKZDXES-ZYHUDNBSSA-N -1 1 334.376 -0.748 20 0 EBADMM COC(=O)[C@H]1C[C@@H]1C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000953871307 654642956 /nfs/dbraw/zinc/64/29/56/654642956.db2.gz BPINCYNWILWFBX-QWRGUYRKSA-N -1 1 333.344 -0.121 20 0 EBADMM CN(C(=O)C1=CCCC1)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000954037258 654673451 /nfs/dbraw/zinc/67/34/51/654673451.db2.gz RSTOETDZACOLOL-UHFFFAOYSA-N -1 1 342.359 -0.189 20 0 EBADMM O=C(N[C@@H]1COC2(CN(C(=O)c3ncccc3[O-])C2)C1)c1cn[nH]n1 ZINC000965741494 724469564 /nfs/dbraw/zinc/46/95/64/724469564.db2.gz SYQIUSNXRVITQK-VIFPVBQESA-N -1 1 344.331 -0.681 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)Cc1cc[nH]n1 ZINC000965762021 724484641 /nfs/dbraw/zinc/48/46/41/724484641.db2.gz KISVJGMVFZZFDK-PWSUYJOCSA-N -1 1 333.396 -0.599 20 0 EBADMM O=C(N[C@H]1COC2(CN(C(=O)c3ncccc3[O-])C2)C1)c1nnc[nH]1 ZINC000965769297 724487003 /nfs/dbraw/zinc/48/70/03/724487003.db2.gz HUHNEMJDOJDILW-SECBINFHSA-N -1 1 344.331 -0.681 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cn(C)cn1 ZINC000965904945 724537999 /nfs/dbraw/zinc/53/79/99/724537999.db2.gz DVBDKYGBQMEXAV-WDEREUQCSA-N -1 1 333.396 -0.518 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cc1cncnc1 ZINC000965962609 724549668 /nfs/dbraw/zinc/54/96/68/724549668.db2.gz CKJNLFMFUPIRDP-WCQYABFASA-N -1 1 345.407 -0.532 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)Cc1cncnc1 ZINC000965962610 724549706 /nfs/dbraw/zinc/54/97/06/724549706.db2.gz CKJNLFMFUPIRDP-YPMHNXCESA-N -1 1 345.407 -0.532 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cc1ccnn1C ZINC000965962304 724548976 /nfs/dbraw/zinc/54/89/76/724548976.db2.gz AXGGQNGHVJQJFL-DGCLKSJQSA-N -1 1 347.423 -0.589 20 0 EBADMM C[C@@H]1CN(C(=O)C2CC2)C[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000966032056 724560843 /nfs/dbraw/zinc/56/08/43/724560843.db2.gz YTGAHHNLXHDTLP-KCJUWKMLSA-N -1 1 330.348 -0.596 20 0 EBADMM Cn1cc(S(=O)(=O)NC[C@@H]2CN3CCC[C@H]3CO2)cc1C(=O)[O-] ZINC000390115397 719414263 /nfs/dbraw/zinc/41/42/63/719414263.db2.gz VSPPRVLKJGFCMY-WDEREUQCSA-N -1 1 343.405 -0.135 20 0 EBADMM CN(C(=O)[C@@H]1COCCN1C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954098420 719558724 /nfs/dbraw/zinc/55/87/24/719558724.db2.gz INSSMGUQMWVLNZ-LBPRGKRZSA-N -1 1 334.376 -0.599 20 0 EBADMM C[C@H]1CN(C(=O)CC(N)=O)C[C@@H]1NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000966258308 724599202 /nfs/dbraw/zinc/59/92/02/724599202.db2.gz HNNLEQBJXKJLBZ-KWQFWETISA-N -1 1 347.375 -0.488 20 0 EBADMM CN(C(=O)Cn1c(=O)[n-][nH]c1=O)C1CCN(C(=O)c2ccn[nH]2)CC1 ZINC000954123523 719568953 /nfs/dbraw/zinc/56/89/53/719568953.db2.gz LZDOSQRNGBRGFG-UHFFFAOYSA-N -1 1 349.351 -0.824 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)CCn2cnnn2)C1 ZINC000954125169 719570258 /nfs/dbraw/zinc/57/02/58/719570258.db2.gz FHEQSQNWBGBJHP-UHFFFAOYSA-N -1 1 331.336 -0.853 20 0 EBADMM CCN1C[C@@H](C(=O)N2CC(N(C)C(=O)c3ncccc3[O-])C2)CC1=O ZINC000954128164 719571574 /nfs/dbraw/zinc/57/15/74/719571574.db2.gz VMVZJDAHTUXXGU-NSHDSACASA-N -1 1 346.387 -0.062 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CN(C(=O)Cc3ccn[nH]3)C[C@@H]2C)c1[O-] ZINC000966263634 724601381 /nfs/dbraw/zinc/60/13/81/724601381.db2.gz SSXUIYOOSNOBKR-GZMMTYOYSA-N -1 1 332.364 -0.034 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)Cn1ncnn1 ZINC000966344821 724618505 /nfs/dbraw/zinc/61/85/05/724618505.db2.gz GBEGWHQRHMZLBF-ZJUUUORDSA-N -1 1 331.336 -0.949 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)c1cn(C)nn1 ZINC000966346322 724618929 /nfs/dbraw/zinc/61/89/29/724618929.db2.gz HWIOHAHBFKWIKC-UWVGGRQHSA-N -1 1 330.348 -0.194 20 0 EBADMM C/C=C(/C)C(=O)N1CC(N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000954830857 719925981 /nfs/dbraw/zinc/92/59/81/719925981.db2.gz KCWWCCPZRCYRLX-WTKPLQERSA-N -1 1 330.348 -0.333 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)[C@@H]2CC23CC3)C1 ZINC000954833568 719927059 /nfs/dbraw/zinc/92/70/59/719927059.db2.gz YMYOMPFBZCLQRU-JTQLQIEISA-N -1 1 342.359 -0.500 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)[C@@H]2CC2(C)C)C1 ZINC000954833637 719927411 /nfs/dbraw/zinc/92/74/11/719927411.db2.gz ZYERRSZUMYVEAW-JTQLQIEISA-N -1 1 344.375 -0.254 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N(C)C1CN(C(=O)C(N)=O)C1 ZINC000954941348 719972842 /nfs/dbraw/zinc/97/28/42/719972842.db2.gz WFFKBIGCDJJQCX-UHFFFAOYSA-N -1 1 335.364 -0.618 20 0 EBADMM C[C@@H]1C[C@H]1CC(=O)N(C)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000955215114 720094404 /nfs/dbraw/zinc/09/44/04/720094404.db2.gz FUJHEMFUFXGHNW-ZJUUUORDSA-N -1 1 344.375 -0.254 20 0 EBADMM C[C@H]1CN(C(=O)Cn2nccn2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966464168 724656211 /nfs/dbraw/zinc/65/62/11/724656211.db2.gz QXRCPACMOHBBQT-QWRGUYRKSA-N -1 1 330.348 -0.344 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2nnn(C)n2)CCN1C(=O)c1ncccc1[O-] ZINC000955641347 720208102 /nfs/dbraw/zinc/20/81/02/720208102.db2.gz GCWBIGBGLMZDOQ-ZJUUUORDSA-N -1 1 345.363 -0.266 20 0 EBADMM CCC(=O)N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H](C)C1 ZINC000955744718 720242832 /nfs/dbraw/zinc/24/28/32/720242832.db2.gz DKEKFMLVWBMEJV-VHSXEESVSA-N -1 1 332.364 -0.063 20 0 EBADMM O=C(NC[C@@H]1CCCN1C(=O)C(F)F)c1cc(=O)n2[n-]cnc2n1 ZINC000955844185 720279469 /nfs/dbraw/zinc/27/94/69/720279469.db2.gz ZPSCGCRIVUDUJP-ZETCQYMHSA-N -1 1 340.290 -0.597 20 0 EBADMM CN1CCOC[C@H]1C(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000955973283 720311408 /nfs/dbraw/zinc/31/14/08/720311408.db2.gz MBQNTWWZMGJXSU-STQMWFEESA-N -1 1 348.403 -0.161 20 0 EBADMM CN1CCOC[C@@H]1C(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000955973282 720311480 /nfs/dbraw/zinc/31/14/80/720311480.db2.gz MBQNTWWZMGJXSU-QWHCGFSZSA-N -1 1 348.403 -0.161 20 0 EBADMM CN1C[C@H](C(=O)N2CCC[C@H]2CNC(=O)c2ncccc2[O-])CC1=O ZINC000956053211 720341130 /nfs/dbraw/zinc/34/11/30/720341130.db2.gz NWRDFZMTZZENSP-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@@]1(NC(=O)C2CCC2)CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000956624127 720468263 /nfs/dbraw/zinc/46/82/63/720468263.db2.gz CCCSTZXAMQBUHM-MRXNPFEDSA-N -1 1 344.375 -0.062 20 0 EBADMM C[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H]1NC(=O)C1(C)CC1 ZINC000966594780 724692781 /nfs/dbraw/zinc/69/27/81/724692781.db2.gz TUQKOWHHNBXYAH-RYUDHWBXSA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1NC(=O)C1CC1 ZINC000966610012 724697816 /nfs/dbraw/zinc/69/78/16/724697816.db2.gz MDHMKEFOYRZGGV-GZMMTYOYSA-N -1 1 330.348 -0.596 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@@H](C)[C@@H](NC(=O)C(F)F)C1 ZINC000966611376 724698356 /nfs/dbraw/zinc/69/83/56/724698356.db2.gz ORQZZEQZIKLEEZ-RITPCOANSA-N -1 1 346.290 -0.262 20 0 EBADMM Cc1ncc(CC(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)o1 ZINC000966697215 724722434 /nfs/dbraw/zinc/72/24/34/724722434.db2.gz XHEGWKWJXIDSES-MFKMUULPSA-N -1 1 348.407 -0.026 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cn[nH]c(=O)c1 ZINC000966885157 724775290 /nfs/dbraw/zinc/77/52/90/724775290.db2.gz XWNXRFBCTHKFQF-GXSJLCMTSA-N -1 1 347.379 -0.756 20 0 EBADMM CC(=O)N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1(C)C ZINC000966915163 724781138 /nfs/dbraw/zinc/78/11/38/724781138.db2.gz RHBXRKWPMNJKBZ-NSHDSACASA-N -1 1 332.364 -0.206 20 0 EBADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)[C@H]2CNC(=O)N2)C1 ZINC000956850376 722119385 /nfs/dbraw/zinc/11/93/85/722119385.db2.gz LDOQPTCRULLIGT-PSLIRLAXSA-N -1 1 333.348 -0.811 20 0 EBADMM Cn1nncc1C(=O)N[C@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC000956876524 722124657 /nfs/dbraw/zinc/12/46/57/722124657.db2.gz ZPVPLCSIIJYEJF-OAHLLOKOSA-N -1 1 330.348 -0.050 20 0 EBADMM C[C@@]1(NC(=O)c2cnc(C3CC3)[n-]c2=O)CCN(C(=O)CC(N)=O)C1 ZINC000956924971 722135362 /nfs/dbraw/zinc/13/53/62/722135362.db2.gz BRNSZCQKEFMLCD-MRXNPFEDSA-N -1 1 347.375 -0.344 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)CC[C@H]3CCCOC3)CC2)nc1=O ZINC000956953249 722138745 /nfs/dbraw/zinc/13/87/45/722138745.db2.gz YIEPCGPWUZRSFV-CYBMUJFWSA-N -1 1 337.424 -0.041 20 0 EBADMM CCN(C(=O)c1cnc(OC)nc1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000957082713 722152756 /nfs/dbraw/zinc/15/27/56/722152756.db2.gz PFRUYCIQCCPFGM-UHFFFAOYSA-N -1 1 347.379 -0.747 20 0 EBADMM Cc1nonc1CN1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000957315036 722193419 /nfs/dbraw/zinc/19/34/19/722193419.db2.gz UMHXFKDBUYZJIE-UHFFFAOYSA-N -1 1 344.335 -0.933 20 0 EBADMM Cn1nnc(C(=O)N[C@]2(C)CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC000957323866 722196671 /nfs/dbraw/zinc/19/66/71/722196671.db2.gz QCLLYBXKYFDPSH-CQSZACIVSA-N -1 1 331.336 -0.655 20 0 EBADMM CO[C@H](C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1)C1CCCC1 ZINC000957485282 722216689 /nfs/dbraw/zinc/21/66/89/722216689.db2.gz NEAIRRKYIARAQH-AWEZNQCLSA-N -1 1 337.424 -0.042 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@@H](CNC(=O)C3CCCCC3)C2)nc1=O ZINC000957822918 722245474 /nfs/dbraw/zinc/24/54/74/722245474.db2.gz ZRUXPJQKDJOXAJ-QWHCGFSZSA-N -1 1 337.424 -0.402 20 0 EBADMM Cc1ccoc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC000957855353 722252880 /nfs/dbraw/zinc/25/28/80/722252880.db2.gz LZZATUAZTRHDQW-GHMZBOCLSA-N -1 1 335.364 -0.767 20 0 EBADMM CCOc1cnc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cn1 ZINC000957929854 722269547 /nfs/dbraw/zinc/26/95/47/722269547.db2.gz DHDAXUXCPAYLMD-UHFFFAOYSA-N -1 1 347.379 -0.745 20 0 EBADMM Cc1cccnc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC000957970819 722279273 /nfs/dbraw/zinc/27/92/73/722279273.db2.gz OETXSQRVDVBFSC-RYUDHWBXSA-N -1 1 346.391 -0.965 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2CN(Cc3cnn(C)c3)C[C@@H]2O)c1[O-] ZINC000957974326 722279772 /nfs/dbraw/zinc/27/97/72/722279772.db2.gz BCDBHQDDDGPZEX-RYUDHWBXSA-N -1 1 334.380 -0.620 20 0 EBADMM C[C@H](C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1)c1cnn(C)c1 ZINC000957983546 722282066 /nfs/dbraw/zinc/28/20/66/722282066.db2.gz OEYCTQBYSFWGHF-NSHDSACASA-N -1 1 333.396 -0.710 20 0 EBADMM Cc1ncoc1CN1C[C@H](O)[C@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000957984927 722282300 /nfs/dbraw/zinc/28/23/00/722282300.db2.gz ATYAYHLUXUZWGU-MNOVXSKESA-N -1 1 335.364 -0.057 20 0 EBADMM Cc1nnc(CN2C[C@H](O)[C@@H](CNC(=O)c3ncccc3[O-])C2)o1 ZINC000957989358 722283245 /nfs/dbraw/zinc/28/32/45/722283245.db2.gz CDTJCNHAGOPVGD-JQWIXIFHSA-N -1 1 333.348 -0.299 20 0 EBADMM Cc1nonc1CN1C[C@@H](CNC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000957989888 722283646 /nfs/dbraw/zinc/28/36/46/722283646.db2.gz IWMJKKFPNZVNKV-ZWNOBZJWSA-N -1 1 333.348 -0.299 20 0 EBADMM Cn1ccnc1CN1C[C@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957990253 722283723 /nfs/dbraw/zinc/28/37/23/722283723.db2.gz LUODHDRQMBBYNR-AAEUAGOBSA-N -1 1 331.376 -0.257 20 0 EBADMM Cc1noc(CN2C[C@@H](O)[C@@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC000957990891 722284064 /nfs/dbraw/zinc/28/40/64/722284064.db2.gz PNEVTMWWAHUQLA-CMPLNLGQSA-N -1 1 333.348 -0.299 20 0 EBADMM CCc1noc(CN2C[C@H](O)[C@@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC000957994521 722284764 /nfs/dbraw/zinc/28/47/64/722284764.db2.gz DCECLZCGPHPYRB-JQWIXIFHSA-N -1 1 347.375 -0.045 20 0 EBADMM CCn1nncc1CN1C[C@@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957994467 722284887 /nfs/dbraw/zinc/28/48/87/722284887.db2.gz CLMJDQPSYGKHFS-SMDDNHRTSA-N -1 1 346.391 -0.379 20 0 EBADMM CCn1nncc1CN1C[C@@H](CNC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000957994464 722284927 /nfs/dbraw/zinc/28/49/27/722284927.db2.gz CLMJDQPSYGKHFS-BXUZGUMPSA-N -1 1 346.391 -0.379 20 0 EBADMM CCn1ncc(CN2C[C@H](O)[C@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC000957994906 722285066 /nfs/dbraw/zinc/28/50/66/722285066.db2.gz JWYZBUIGYAYGIU-RISCZKNCSA-N -1 1 346.391 -0.379 20 0 EBADMM O=C(CN1C[C@@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1)NC1CC1 ZINC000957995101 722285097 /nfs/dbraw/zinc/28/50/97/722285097.db2.gz KWOHUOTYIGUWPT-GXFFZTMASA-N -1 1 334.376 -0.912 20 0 EBADMM CCc1nnc(CN2C[C@H](O)[C@H](CNC(=O)c3ncccc3[O-])C2)o1 ZINC000957996869 722285564 /nfs/dbraw/zinc/28/55/64/722285564.db2.gz VIUXRAYQGRNBJI-PWSUYJOCSA-N -1 1 347.375 -0.045 20 0 EBADMM CCc1[nH]ccc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC000958047102 722296810 /nfs/dbraw/zinc/29/68/10/722296810.db2.gz PLHRRNWNIAOJLJ-GWCFXTLKSA-N -1 1 348.407 -0.778 20 0 EBADMM Cn1[n-]c(CN2C[C@H](O)[C@@H](CNC(=O)C3CC4(CCC4)C3)C2)nc1=O ZINC000958328865 722346868 /nfs/dbraw/zinc/34/68/68/722346868.db2.gz OHXVVCYIPGYKHR-STQMWFEESA-N -1 1 349.435 -0.402 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](CNC(=O)C3CC4(CCC4)C3)[C@H](O)C2)nc1=O ZINC000958328862 722346913 /nfs/dbraw/zinc/34/69/13/722346913.db2.gz OHXVVCYIPGYKHR-CHWSQXEVSA-N -1 1 349.435 -0.402 20 0 EBADMM CN(C(=O)[C@H]1CCOC1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958709772 722418897 /nfs/dbraw/zinc/41/88/97/722418897.db2.gz NWDWMPBSYCBWIB-GVXVVHGQSA-N -1 1 335.360 -0.533 20 0 EBADMM Cc1nc(C(=O)N(C)[C@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)c[nH]1 ZINC000958751148 722426636 /nfs/dbraw/zinc/42/66/36/722426636.db2.gz FYNNNHPKTUKNHS-AAEUAGOBSA-N -1 1 345.359 -0.224 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)Cc2cnc[nH]2)C[C@@H]1O ZINC000958830738 722604811 /nfs/dbraw/zinc/60/48/11/722604811.db2.gz IFRMEHRIZYKGJK-AAEUAGOBSA-N -1 1 345.359 -0.603 20 0 EBADMM C[C@@H]1CC[C@H](C(=O)N2C[C@H](O)[C@@H](N(C)C(=O)c3ncccc3[O-])C2)O1 ZINC000958830967 722605018 /nfs/dbraw/zinc/60/50/18/722605018.db2.gz SBFFFLNXBZGKNW-UVLXDEKHSA-N -1 1 349.387 -0.002 20 0 EBADMM C[C@H]1CN(C(=O)C2CC2)C[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000959306916 722710586 /nfs/dbraw/zinc/71/05/86/722710586.db2.gz VFAOGESWNUJEFG-ONGXEEELSA-N -1 1 344.375 -0.348 20 0 EBADMM Cc1nn[nH]c1C(=O)N1C[C@@H](CNC(=O)c2[nH]nc(C)c2[O-])[C@H](C)C1 ZINC000959540188 722737709 /nfs/dbraw/zinc/73/77/09/722737709.db2.gz XNYAJEQFUYNVIV-GMSGAONNSA-N -1 1 347.379 -0.012 20 0 EBADMM C[C@@H]1CN(C(=O)Cc2nnc[nH]2)C[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000959604655 722750158 /nfs/dbraw/zinc/75/01/58/722750158.db2.gz JGRKTFDJVLUPDV-MNOVXSKESA-N -1 1 344.375 -0.028 20 0 EBADMM O=C(c1ncccc1[O-])N1CCC2(CN(C(=O)[C@H]3COC(=O)N3)C2)C1 ZINC001018986507 728764597 /nfs/dbraw/zinc/76/45/97/728764597.db2.gz JKFHWJVLPXRYBP-SNVBAGLBSA-N -1 1 346.343 -0.430 20 0 EBADMM CS(=O)(=O)CC(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959912650 722774619 /nfs/dbraw/zinc/77/46/19/722774619.db2.gz WXPAPTOICJUXKS-VIFPVBQESA-N -1 1 331.398 -0.974 20 0 EBADMM O=C(c1cn[nH]c(=O)c1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000960035299 722784898 /nfs/dbraw/zinc/78/48/98/722784898.db2.gz WEGCZHYQQXUDAO-JTQLQIEISA-N -1 1 333.352 -0.210 20 0 EBADMM Cn1cccc(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)c1=O ZINC000960194903 722805238 /nfs/dbraw/zinc/80/52/38/722805238.db2.gz YMOVCULXJKZFCC-NSHDSACASA-N -1 1 346.391 -0.007 20 0 EBADMM Cn1ccc(CNC[C@@H]2CCCCN2C(=O)Cn2c(=O)[n-][nH]c2=O)n1 ZINC000960209090 722806587 /nfs/dbraw/zinc/80/65/87/722806587.db2.gz YJVDPAFVIFPRCA-LBPRGKRZSA-N -1 1 349.395 -0.406 20 0 EBADMM O=C(N[C@@H]1Cc2ccccc2[C@H]1NCc1n[nH]c(=O)[n-]1)c1ncn[nH]1 ZINC000960314687 722817558 /nfs/dbraw/zinc/81/75/58/722817558.db2.gz NSKUJTYQLSSRON-ZYHUDNBSSA-N -1 1 340.347 -0.186 20 0 EBADMM O=C(N[C@@H]1Cc2ccccc2[C@H]1NCc1n[nH]c(=O)[n-]1)c1nc[nH]n1 ZINC000960314687 722817559 /nfs/dbraw/zinc/81/75/59/722817559.db2.gz NSKUJTYQLSSRON-ZYHUDNBSSA-N -1 1 340.347 -0.186 20 0 EBADMM CN(Cc1nc(=O)n(C)[nH]1)C[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000960576507 722840643 /nfs/dbraw/zinc/84/06/43/722840643.db2.gz QUYVUOWVYLJCCH-NSHDSACASA-N -1 1 346.391 -0.054 20 0 EBADMM C[C@H](C(=O)N1CCC[C@H]1CN(C)Cc1nc(=O)n(C)[n-]1)n1cncn1 ZINC000960583983 722841334 /nfs/dbraw/zinc/84/13/34/722841334.db2.gz QJAKVEIHNCWDFJ-NEPJUHHUSA-N -1 1 348.411 -0.616 20 0 EBADMM Cc1nnc(CC(=O)N2CCC[C@@H]2CN(C)Cc2nc(=O)n(C)[n-]2)o1 ZINC000960618326 722845467 /nfs/dbraw/zinc/84/54/67/722845467.db2.gz PJZOSUSVSBYIHW-LLVKDONJSA-N -1 1 349.395 -0.535 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)c1cncn1C ZINC000960741187 722859234 /nfs/dbraw/zinc/85/92/34/722859234.db2.gz UNPVUTAUOWFPGQ-LLVKDONJSA-N -1 1 333.396 -0.422 20 0 EBADMM Cc1ccnn1CC(=O)N1CCC[C@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC000960904993 722878257 /nfs/dbraw/zinc/87/82/57/722878257.db2.gz URQPHCLFZSHHNW-ZDUSSCGKSA-N -1 1 347.423 -0.264 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)[C@]1(C)CCOC1 ZINC000960954584 722881554 /nfs/dbraw/zinc/88/15/54/722881554.db2.gz HAFBCTSONDKFGA-BLLLJJGKSA-N -1 1 337.424 -0.042 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)c1cccnn1 ZINC000960953413 722881698 /nfs/dbraw/zinc/88/16/98/722881698.db2.gz RWJZONVHCKYHJP-NSHDSACASA-N -1 1 331.380 -0.365 20 0 EBADMM CCn1cc(C(=O)N2CCC[C@@H]2CN(C)Cc2nc(=O)n(C)[n-]2)nn1 ZINC000960959932 722882232 /nfs/dbraw/zinc/88/22/32/722882232.db2.gz YRILVBDCRUIAOL-LLVKDONJSA-N -1 1 348.411 -0.544 20 0 EBADMM Cc1nccc(C(=O)N2CCC[C@@H]2CN(C)Cc2nc(=O)n(C)[n-]2)n1 ZINC000961025209 722888498 /nfs/dbraw/zinc/88/84/98/722888498.db2.gz LPWBEBAMGCVCHH-GFCCVEGCSA-N -1 1 345.407 -0.057 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@H]2CN(C(=O)[C@H]3CCC(=O)N3)C[C@H]2C1 ZINC000961189504 722913210 /nfs/dbraw/zinc/91/32/10/722913210.db2.gz YGUSNOSKAIYTMA-GRYCIOLGSA-N -1 1 344.371 -0.404 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@H]2CN(C(=O)[C@@H]3CCC(=O)N3)C[C@H]2C1 ZINC000961428081 723017514 /nfs/dbraw/zinc/01/75/14/723017514.db2.gz YGUSNOSKAIYTMA-TUAOUCFPSA-N -1 1 344.371 -0.404 20 0 EBADMM O=C(Cn1cncn1)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC000961427377 723017525 /nfs/dbraw/zinc/01/75/25/723017525.db2.gz QRVFFAGQIDKJEF-TXEJJXNPSA-N -1 1 342.359 -0.391 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2csc(=O)[nH]2)nc1=O ZINC000961523122 723033024 /nfs/dbraw/zinc/03/30/24/723033024.db2.gz BBZUFZNUTMNAAD-MTBHXBHISA-N -1 1 336.377 -0.869 20 0 EBADMM Cc1cc(=O)c(C(=O)NC2CC(CNCc3n[nH]c(=O)[n-]3)C2)cn1C ZINC000961953070 723130120 /nfs/dbraw/zinc/13/01/20/723130120.db2.gz KFNAPMXKHHPQBB-UHFFFAOYSA-N -1 1 346.391 -0.184 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1ccncc1F ZINC000962023003 723149953 /nfs/dbraw/zinc/14/99/53/723149953.db2.gz ONJMPJYADPNFQW-YPMHNXCESA-N -1 1 346.318 -0.063 20 0 EBADMM Cc1nnc([C@H](C)NCC2CC(NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC000962040646 723156229 /nfs/dbraw/zinc/15/62/29/723156229.db2.gz UKDYWDWLVDXLSJ-GVWIPJJGSA-N -1 1 348.367 -0.431 20 0 EBADMM O=C(NC1CC(CNCc2ncccn2)C1)c1n[nH]c(=O)[n-]c1=O ZINC000962041168 723156515 /nfs/dbraw/zinc/15/65/15/723156515.db2.gz WADKTIFHZPGCGL-UHFFFAOYSA-N -1 1 331.336 -0.629 20 0 EBADMM Cn1ccc(=O)c(C(=O)NC2CC(CNCc3n[nH]c(=O)[n-]3)C2)c1 ZINC000962066944 723164402 /nfs/dbraw/zinc/16/44/02/723164402.db2.gz ICOAEKDOVNWTPD-UHFFFAOYSA-N -1 1 332.364 -0.493 20 0 EBADMM COc1c[nH]c(C(=O)NC2CC(CNCc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC000962081518 723168615 /nfs/dbraw/zinc/16/86/15/723168615.db2.gz QUGAAGNWRWHXAR-UHFFFAOYSA-N -1 1 348.363 -0.495 20 0 EBADMM O=C(Cc1cccnc1)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962434328 723251511 /nfs/dbraw/zinc/25/15/11/723251511.db2.gz IPDPLKAWXMWJFW-OCCSQVGLSA-N -1 1 342.355 -0.274 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2cccnn2)C[C@@H]1O)c1ncccc1[O-] ZINC000962434860 723251970 /nfs/dbraw/zinc/25/19/70/723251970.db2.gz MAQAOOWKSBQTCV-PWSUYJOCSA-N -1 1 329.316 -0.808 20 0 EBADMM CCc1nc[nH]c1C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962435936 723252534 /nfs/dbraw/zinc/25/25/34/723252534.db2.gz YOTRUMJYDWSJIL-PWSUYJOCSA-N -1 1 345.359 -0.312 20 0 EBADMM Cc1ncoc1C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962435347 723252557 /nfs/dbraw/zinc/25/25/57/723252557.db2.gz QEQREFMGPCOHJY-KOLCDFICSA-N -1 1 332.316 -0.301 20 0 EBADMM Cc1ccnc(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1 ZINC000962435398 723252572 /nfs/dbraw/zinc/25/25/72/723252572.db2.gz RHDYUIGWBNSBFS-PWSUYJOCSA-N -1 1 343.343 -0.499 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962437775 723253445 /nfs/dbraw/zinc/25/34/45/723253445.db2.gz YTQTWSYUMUFATN-SCZZXKLOSA-N -1 1 347.331 -0.860 20 0 EBADMM O=C(Cc1cscn1)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962445795 723255701 /nfs/dbraw/zinc/25/57/01/723255701.db2.gz XUFMJSWJJMZSRG-PWSUYJOCSA-N -1 1 348.384 -0.212 20 0 EBADMM O=C(c1cnc2nccn2c1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019149097 728808919 /nfs/dbraw/zinc/80/89/19/728808919.db2.gz MMWZOVZGOLZCOZ-JTQLQIEISA-N -1 1 328.336 -0.443 20 0 EBADMM CCC(=O)N1CC[C@H]2[C@H]1CCCN2C(=O)CCn1cc[n-]c(=O)c1=O ZINC000963417568 723420350 /nfs/dbraw/zinc/42/03/50/723420350.db2.gz WWEFZJXHNVAQOD-OLZOCXBDSA-N -1 1 348.403 -0.071 20 0 EBADMM CC(=O)N1CCC[C@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@H]21 ZINC000963608305 723456756 /nfs/dbraw/zinc/45/67/56/723456756.db2.gz JKJSSLOJDBDNPP-QWHCGFSZSA-N -1 1 334.376 -0.604 20 0 EBADMM Cn1ccc(CN[C@H]2CCN(C(=O)c3cnc([O-])n(C)c3=O)C2)n1 ZINC001019227475 728830709 /nfs/dbraw/zinc/83/07/09/728830709.db2.gz CELXMYHXDZNILU-NSHDSACASA-N -1 1 332.364 -0.776 20 0 EBADMM O=C([C@@H]1CCNC1=O)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001019251202 728838500 /nfs/dbraw/zinc/83/85/00/728838500.db2.gz IBCYPWMKJCJXMS-LLVKDONJSA-N -1 1 344.371 -0.402 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1cn[nH]c1)c1ncccc1[O-] ZINC000964153297 723840042 /nfs/dbraw/zinc/84/00/42/723840042.db2.gz BZRZBNJNBBFBCB-NSHDSACASA-N -1 1 331.332 -0.219 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1ncccc1[O-])c1cnon1 ZINC000964310727 723961726 /nfs/dbraw/zinc/96/17/26/723961726.db2.gz SSIQYQYUDZZTLM-SECBINFHSA-N -1 1 333.304 -0.559 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1ncccc1[O-])[C@H]1[C@@H]2COC[C@@H]21 ZINC000964312655 723964304 /nfs/dbraw/zinc/96/43/04/723964304.db2.gz JBIHWDIUAMSNPS-CIQGVGRVSA-N -1 1 347.371 -0.363 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1ncccc1[O-])c1ncccn1 ZINC000964317354 723968369 /nfs/dbraw/zinc/96/83/69/723968369.db2.gz CARHFEVPFGHVPL-NSHDSACASA-N -1 1 343.343 -0.152 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1ncccc1[O-])c1ccncn1 ZINC000964318392 723969293 /nfs/dbraw/zinc/96/92/93/723969293.db2.gz GVEZVDKNITVLFE-LLVKDONJSA-N -1 1 343.343 -0.152 20 0 EBADMM O=C([C@H]1CNC(=O)N1)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001019349752 728866265 /nfs/dbraw/zinc/86/62/65/728866265.db2.gz RXUYVFZWLXXCHJ-SNVBAGLBSA-N -1 1 345.359 -0.857 20 0 EBADMM O=C(N[C@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1)C1CC1 ZINC000964657249 724047111 /nfs/dbraw/zinc/04/71/11/724047111.db2.gz BWQAMCKIRVNWEL-NSHDSACASA-N -1 1 344.375 -0.062 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cnn(C)c1 ZINC000965004679 724097539 /nfs/dbraw/zinc/09/75/39/724097539.db2.gz YYJQPGMNXBIUAB-ZYHUDNBSSA-N -1 1 333.396 -0.518 20 0 EBADMM Cn1nnc(C(=O)N[C@H]2CCCN(C(=O)c3ncccc3[O-])CC2)n1 ZINC000965098776 724123698 /nfs/dbraw/zinc/12/36/98/724123698.db2.gz RMPUBEMHXIIHRY-JTQLQIEISA-N -1 1 345.363 -0.265 20 0 EBADMM O=C(N[C@H]1CCCN(C(=O)c2ncccc2[O-])CC1)[C@H]1CNC(=O)N1 ZINC000965137732 724131244 /nfs/dbraw/zinc/13/12/44/724131244.db2.gz BUUTUBKTQLVXNG-WDEREUQCSA-N -1 1 347.375 -0.421 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)Cn1cccn1 ZINC000965146601 724136263 /nfs/dbraw/zinc/13/62/63/724136263.db2.gz CROUDUVNMCGJIJ-NEPJUHHUSA-N -1 1 333.396 -0.668 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1cnns1 ZINC000965398650 724218211 /nfs/dbraw/zinc/21/82/11/724218211.db2.gz YDJBFFWVCLDADW-IUCAKERBSA-N -1 1 337.409 -0.400 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)n(C)n1 ZINC000965421859 724231867 /nfs/dbraw/zinc/23/18/67/724231867.db2.gz YWRMISMCGGPFQP-PWSUYJOCSA-N -1 1 347.423 -0.209 20 0 EBADMM O=C(N[C@H]1COC2(CN(C(=O)c3ncc[nH]3)C2)C1)c1ncccc1[O-] ZINC000965681001 724362343 /nfs/dbraw/zinc/36/23/43/724362343.db2.gz JHXYEKALDHJMJE-SNVBAGLBSA-N -1 1 343.343 -0.076 20 0 EBADMM Cc1nc(CN2CC[C@H](C)[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC000967258791 724857658 /nfs/dbraw/zinc/85/76/58/724857658.db2.gz RATBDFZLZMPOTK-IONNQARKSA-N -1 1 348.367 -0.650 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)c2ncn(C)n2)C1 ZINC000967897794 724927394 /nfs/dbraw/zinc/92/73/94/724927394.db2.gz AHCQQVXDBSKKGM-UHFFFAOYSA-N -1 1 330.348 -0.098 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2COC(=O)N2)C1 ZINC000967900860 724927911 /nfs/dbraw/zinc/92/79/11/724927911.db2.gz OVKIGFQKFHLZSL-SNVBAGLBSA-N -1 1 334.332 -0.432 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)c2cnn(C)n2)C1 ZINC000967900838 724928010 /nfs/dbraw/zinc/92/80/10/724928010.db2.gz OPERNJGZKHXEOL-UHFFFAOYSA-N -1 1 330.348 -0.098 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC000967903516 724928281 /nfs/dbraw/zinc/92/82/81/724928281.db2.gz CBJGKQZSWTUTEE-LLVKDONJSA-N -1 1 346.387 -0.062 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CCN(C)C2=O)C1 ZINC000967909705 724929553 /nfs/dbraw/zinc/92/95/53/724929553.db2.gz ZELYLAZNXSPLSX-GFCCVEGCSA-N -1 1 346.387 -0.062 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CCNC2=O)C1 ZINC000967909186 724929714 /nfs/dbraw/zinc/92/97/14/724929714.db2.gz WVMGBHBMOICEAA-LLVKDONJSA-N -1 1 332.360 -0.404 20 0 EBADMM Cc1nnc(CN[C@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)o1 ZINC001019522829 728907529 /nfs/dbraw/zinc/90/75/29/728907529.db2.gz KPZREIJJBBFOCD-NSHDSACASA-N -1 1 348.363 -0.991 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1cccnn1 ZINC000968460441 725068072 /nfs/dbraw/zinc/06/80/72/725068072.db2.gz URGDZNGPUBXPSS-JQWIXIFHSA-N -1 1 331.380 -0.461 20 0 EBADMM O=C(COc1ccsc1)N1C[C@@H](NC(=O)c2cnn[nH]2)[C@@H](O)C1 ZINC000968707186 725106282 /nfs/dbraw/zinc/10/62/82/725106282.db2.gz DYJFFGYPAJIZRO-MNOVXSKESA-N -1 1 337.361 -0.753 20 0 EBADMM Cc1nc[nH]c1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1C ZINC000968705446 725106290 /nfs/dbraw/zinc/10/62/90/725106290.db2.gz CCAKZAOTJRPJOG-GXSJLCMTSA-N -1 1 333.396 -0.220 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2nc[nH]n2)CCCO1)c1ncccc1[O-] ZINC001019573011 728925232 /nfs/dbraw/zinc/92/52/32/728925232.db2.gz CSJVIEOTQCFAIL-JTQLQIEISA-N -1 1 346.347 -0.434 20 0 EBADMM O=C(Cc1cnn2c1CCCC2)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000968979752 725137673 /nfs/dbraw/zinc/13/76/73/725137673.db2.gz VUXORRILTPNQDG-GFCCVEGCSA-N -1 1 345.407 -0.024 20 0 EBADMM Cc1cn2c(n1)C[C@H](C(=O)N1CC[C@@H](NCc3n[nH]c(=O)[n-]3)C1)CC2 ZINC000969086644 725149903 /nfs/dbraw/zinc/14/99/03/725149903.db2.gz YDFZTTIZKPGOMI-VXGBXAGGSA-N -1 1 345.407 -0.032 20 0 EBADMM CCn1nnc(C)c1CN[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000969211778 725162141 /nfs/dbraw/zinc/16/21/41/725162141.db2.gz LQQWEIGKQVPXFU-SECBINFHSA-N -1 1 348.367 -0.793 20 0 EBADMM Cc1noc(C)c1C(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969272713 725167352 /nfs/dbraw/zinc/16/73/52/725167352.db2.gz NZHFRCOBVJDBBB-QMMMGPOBSA-N -1 1 334.380 -0.036 20 0 EBADMM Cc1nc(C)c(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)o1 ZINC000969443642 725181456 /nfs/dbraw/zinc/18/14/56/725181456.db2.gz KMBBVEJVQWHMBF-QMMMGPOBSA-N -1 1 334.380 -0.036 20 0 EBADMM O=C(c1ccn[nH]1)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000969466710 725183371 /nfs/dbraw/zinc/18/33/71/725183371.db2.gz TZRNCCUQXSLDNQ-OCAPTIKFSA-N -1 1 345.319 -0.865 20 0 EBADMM Cc1ccnc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000969588046 725195231 /nfs/dbraw/zinc/19/52/31/725195231.db2.gz JKHSLUPRSWFBID-SNVBAGLBSA-N -1 1 331.380 -0.543 20 0 EBADMM CC[C@@H]1CCO[C@@H]1C(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969881713 725225025 /nfs/dbraw/zinc/22/50/25/725225025.db2.gz XKRFPIMAJMVEGS-WDMOLILDSA-N -1 1 337.424 -0.140 20 0 EBADMM COc1cc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)ccn1 ZINC000970032148 725241153 /nfs/dbraw/zinc/24/11/53/725241153.db2.gz OUUMXYUARDBHGX-SNVBAGLBSA-N -1 1 346.391 -0.238 20 0 EBADMM C[C@H](NC(=O)c1n[nH]c2c1CCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970036435 725241721 /nfs/dbraw/zinc/24/17/21/725241721.db2.gz YPTODUXOXGCOLH-VIFPVBQESA-N -1 1 345.407 -0.430 20 0 EBADMM C[C@@H](NC(=O)c1n[nH]c2c1CCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970036434 725241753 /nfs/dbraw/zinc/24/17/53/725241753.db2.gz YPTODUXOXGCOLH-SECBINFHSA-N -1 1 345.407 -0.430 20 0 EBADMM COc1cc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC000970120015 725252990 /nfs/dbraw/zinc/25/29/90/725252990.db2.gz IVNIJUMUPAWIPK-SECBINFHSA-N -1 1 349.395 -0.899 20 0 EBADMM Cc1nn(C)cc1CCC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970167533 725258821 /nfs/dbraw/zinc/25/88/21/725258821.db2.gz SBSIPAQGORSVIR-GFCCVEGCSA-N -1 1 333.396 -0.124 20 0 EBADMM Cn1nnc(CN[C@@H]2CCN(C(=O)c3cnc(C4CC4)[n-]c3=O)C2)n1 ZINC000970198212 725260992 /nfs/dbraw/zinc/26/09/92/725260992.db2.gz HGJABICZWVPMJN-SNVBAGLBSA-N -1 1 344.379 -0.413 20 0 EBADMM Cc1nonc1CN[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000970278150 725272495 /nfs/dbraw/zinc/27/24/95/725272495.db2.gz ORAUFDIHDOTTFW-LLVKDONJSA-N -1 1 348.363 -0.991 20 0 EBADMM Cc1cc(=O)[nH]cc1C(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970407256 725287144 /nfs/dbraw/zinc/28/71/44/725287144.db2.gz XJMKTKKHRJMMIW-JTQLQIEISA-N -1 1 346.391 -0.232 20 0 EBADMM O=C([C@H]1CCc2n[nH]cc2C1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970471510 725294253 /nfs/dbraw/zinc/29/42/53/725294253.db2.gz AJGVWYZVGLVLMD-GXSJLCMTSA-N -1 1 331.380 -0.271 20 0 EBADMM COc1cncc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000970536492 725298483 /nfs/dbraw/zinc/29/84/83/725298483.db2.gz RZXOUFKLSRVBTJ-VIFPVBQESA-N -1 1 347.379 -0.843 20 0 EBADMM Cc1csc(CN[C@@H]2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)n1 ZINC000970581267 725302064 /nfs/dbraw/zinc/30/20/64/725302064.db2.gz GAEVTMCWJUTQEA-SECBINFHSA-N -1 1 338.393 -0.155 20 0 EBADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(Cc2ccon2)C1 ZINC000970738637 725322270 /nfs/dbraw/zinc/32/22/70/725322270.db2.gz XOMGJXVFGZNGQJ-SECBINFHSA-N -1 1 343.347 -0.344 20 0 EBADMM NC(=O)c1ncccc1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970771794 725326524 /nfs/dbraw/zinc/32/65/24/725326524.db2.gz AAPRNZYSBMMOBT-MRVPVSSYSA-N -1 1 331.336 -0.992 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)CCn2cccn2)C1 ZINC000971102248 725351055 /nfs/dbraw/zinc/35/10/55/725351055.db2.gz QTAIMNDQSYMRFH-GFCCVEGCSA-N -1 1 333.396 -0.572 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)CCn2cccn2)C1 ZINC000971102251 725351289 /nfs/dbraw/zinc/35/12/89/725351289.db2.gz QTAIMNDQSYMRFH-LBPRGKRZSA-N -1 1 333.396 -0.572 20 0 EBADMM Cc1cc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)ncn1 ZINC000971351780 725360182 /nfs/dbraw/zinc/36/01/82/725360182.db2.gz UAUZWLAMYUYNFG-NSHDSACASA-N -1 1 331.380 -0.447 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC[C@H](N(C)[C@@H]3CCC(=O)NC3=O)C2)c1[O-] ZINC000971365067 725360675 /nfs/dbraw/zinc/36/06/75/725360675.db2.gz BBAXKXDRWVSPAE-VHSXEESVSA-N -1 1 335.364 -0.625 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC[C@@H](N(C)[C@H]3CCC(=O)NC3=O)C2)c1[O-] ZINC000971365075 725360727 /nfs/dbraw/zinc/36/07/27/725360727.db2.gz BBAXKXDRWVSPAE-ZJUUUORDSA-N -1 1 335.364 -0.625 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)[C@@H]2CCCOCC2)C1 ZINC000971433930 725365610 /nfs/dbraw/zinc/36/56/10/725365610.db2.gz VVWLVAUUDPQISJ-OLZOCXBDSA-N -1 1 337.424 -0.042 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)Cc2ccn(C)n2)C1 ZINC000971517172 725370250 /nfs/dbraw/zinc/37/02/50/725370250.db2.gz NNYKWWSXJPROGK-GFCCVEGCSA-N -1 1 333.396 -0.883 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)c2cn3c(n2)CCC3)C1 ZINC000971559043 725421307 /nfs/dbraw/zinc/42/13/07/725421307.db2.gz QMVDKQYVOVWSEP-NSHDSACASA-N -1 1 345.407 -0.402 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)c2cnn3c2CCC3)C1 ZINC000971646424 725427901 /nfs/dbraw/zinc/42/79/01/725427901.db2.gz FVFBHFCEQQQKAI-NSHDSACASA-N -1 1 345.407 -0.402 20 0 EBADMM COc1cc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)ccn1 ZINC000971843547 725441756 /nfs/dbraw/zinc/44/17/56/725441756.db2.gz KKYKOSHTFYYMBC-GFCCVEGCSA-N -1 1 346.391 -0.142 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)Cc2ncccc2F)C1 ZINC000972176646 725473790 /nfs/dbraw/zinc/47/37/90/725473790.db2.gz MUPLSHNFQAYYSH-NSHDSACASA-N -1 1 348.382 -0.082 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)Cc2ncccc2F)C1 ZINC000972176642 725473856 /nfs/dbraw/zinc/47/38/56/725473856.db2.gz MUPLSHNFQAYYSH-LLVKDONJSA-N -1 1 348.382 -0.082 20 0 EBADMM COc1ncccc1C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000972228565 725481202 /nfs/dbraw/zinc/48/12/02/725481202.db2.gz SSOGTFCQISZQMZ-NSHDSACASA-N -1 1 346.391 -0.142 20 0 EBADMM O=C(Cn1ncnn1)N1C[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000972305226 725495605 /nfs/dbraw/zinc/49/56/05/725495605.db2.gz XZKRVOJDKDSTKR-GHMZBOCLSA-N -1 1 343.347 -0.853 20 0 EBADMM Cc1n[nH]cc1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000991646602 725509700 /nfs/dbraw/zinc/50/97/00/725509700.db2.gz OVXVRFASWBUBAZ-AAEUAGOBSA-N -1 1 345.359 -0.176 20 0 EBADMM CC(C)(C(N)=O)C(=O)N1CC(NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000991651220 725513841 /nfs/dbraw/zinc/51/38/41/725513841.db2.gz UOLHBCQRRSGLFI-UHFFFAOYSA-N -1 1 347.375 -0.488 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@]3(CCN(C(=O)c4cc[nH]c4)C3)C2)nc1=O ZINC000972391980 725514077 /nfs/dbraw/zinc/51/40/77/725514077.db2.gz RFSJEVCREONGLN-INIZCTEOSA-N -1 1 346.391 -0.446 20 0 EBADMM COc1cccc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000972654156 725570611 /nfs/dbraw/zinc/57/06/11/725570611.db2.gz VHUSTFBJKLXMTQ-LLVKDONJSA-N -1 1 346.391 -0.142 20 0 EBADMM COc1ncc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC000972662854 725573121 /nfs/dbraw/zinc/57/31/21/725573121.db2.gz UXWYNRMONGXWHJ-NSHDSACASA-N -1 1 347.379 -0.747 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)CCc2cnccn2)C1 ZINC000972673776 725576200 /nfs/dbraw/zinc/57/62/00/725576200.db2.gz PMOSKXYWAKSPPQ-CYBMUJFWSA-N -1 1 345.407 -0.436 20 0 EBADMM CN(Cc1ccon1)[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000972720014 725588007 /nfs/dbraw/zinc/58/80/07/725588007.db2.gz GOKVSXPAAHZKCB-LLVKDONJSA-N -1 1 343.347 -0.248 20 0 EBADMM CN([C@@H]1CCN(C(=O)c2ccc3oc(=O)nc-3[n-]2)C1)[C@@H]1CCNC1=O ZINC000972722402 725588137 /nfs/dbraw/zinc/58/81/37/725588137.db2.gz WJNBMNZQFORKRN-MWLCHTKSSA-N -1 1 345.359 -0.037 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)[nH]n1 ZINC000972830357 725596433 /nfs/dbraw/zinc/59/64/33/725596433.db2.gz FIXBQGFNONHMNU-NSHDSACASA-N -1 1 333.396 -0.220 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1n[nH]cc1F ZINC000991753384 725604744 /nfs/dbraw/zinc/60/47/44/725604744.db2.gz LEZBHRXHQRPKEJ-KOLCDFICSA-N -1 1 349.322 -0.345 20 0 EBADMM Cc1ncn(C)c1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051667810 735307692 /nfs/dbraw/zinc/30/76/92/735307692.db2.gz WPBVHFHBAXGBML-JTQLQIEISA-N -1 1 335.368 -0.817 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)[C@@H]2C[C@H]2C2CC2)C1 ZINC000991991781 725695475 /nfs/dbraw/zinc/69/54/75/725695475.db2.gz JDWZCRWSUYJRLJ-VHSXEESVSA-N -1 1 348.359 -0.117 20 0 EBADMM CCC(=O)N1C[C@@H](C)[C@@H](CNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001051671873 735312128 /nfs/dbraw/zinc/31/21/28/735312128.db2.gz NFWQRHFVSKMYJZ-NEPJUHHUSA-N -1 1 336.392 -0.453 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCC1CC(NC(=O)C(N)=O)C1 ZINC000992278993 725766870 /nfs/dbraw/zinc/76/68/70/725766870.db2.gz FQCCFHVIZDLVIR-UHFFFAOYSA-N -1 1 349.391 -0.276 20 0 EBADMM Cn1ncc(C(=O)N[C@]23CCC[C@H]2N(Cc2nc(=O)n(C)[n-]2)CC3)n1 ZINC000992324905 725778517 /nfs/dbraw/zinc/77/85/17/725778517.db2.gz JRXJINPWAAUKHY-ABAIWWIYSA-N -1 1 346.395 -0.836 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)[C@@H]4CCC(=O)N4)CCC[C@H]23)nc1=O ZINC000992439856 725797393 /nfs/dbraw/zinc/79/73/93/725797393.db2.gz OUEPAQIXAYRDQM-XMZIXOGTSA-N -1 1 348.407 -1.000 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)Cn4cncn4)CCC[C@H]23)nc1=O ZINC000992475721 725801664 /nfs/dbraw/zinc/80/16/64/725801664.db2.gz OUZBXERITRJCHW-XHDPSFHLSA-N -1 1 346.395 -0.987 20 0 EBADMM O=C(N[C@H]1C[C@@H](NC(=O)C2CCCC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000973785215 725803291 /nfs/dbraw/zinc/80/32/91/725803291.db2.gz ZBKICKUOUGGFON-PHIMTYICSA-N -1 1 344.375 -0.015 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2cnns2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993091463 725854310 /nfs/dbraw/zinc/85/43/10/725854310.db2.gz KNGNOWXNDHAXMN-DTWKUNHWSA-N -1 1 337.409 -0.257 20 0 EBADMM C[C@H]1[C@H](NC(=O)Cc2cnn(C)c2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993107168 725855205 /nfs/dbraw/zinc/85/52/05/725855205.db2.gz QRAJOYXZQPMBJY-WCQYABFASA-N -1 1 347.423 -0.446 20 0 EBADMM C[C@@H]1OCCO[C@@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974054778 725858094 /nfs/dbraw/zinc/85/80/94/725858094.db2.gz NMPXFQZUPVDUEU-DYNIEEOBSA-N -1 1 335.360 -0.032 20 0 EBADMM NC(=O)[C@@H]1CC[C@@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974054724 725858102 /nfs/dbraw/zinc/85/81/02/725858102.db2.gz LDFHCFZTYNCRCJ-YTWAJWBKSA-N -1 1 332.360 -0.324 20 0 EBADMM Cc1c(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)nnn1C ZINC000993188254 725860454 /nfs/dbraw/zinc/86/04/54/725860454.db2.gz SCKQRJAEPMSQDK-ONGXEEELSA-N -1 1 348.411 -0.672 20 0 EBADMM COc1ccnc(N2CC[C@@H](NC(=O)c3ncccc3[O-])[C@H](O)C2)n1 ZINC001051683203 735321914 /nfs/dbraw/zinc/32/19/14/735321914.db2.gz YWDBMAFFFONZMN-ZYHUDNBSSA-N -1 1 345.359 -0.045 20 0 EBADMM Cc1ccc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)nn1 ZINC000993359469 725879944 /nfs/dbraw/zinc/87/99/44/725879944.db2.gz QIPZGQLKIXKHEH-RYUDHWBXSA-N -1 1 345.407 -0.010 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2cnc[nH]c2=O)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993462306 725891496 /nfs/dbraw/zinc/89/14/96/725891496.db2.gz BRSWCCMJEWGFIJ-ONGXEEELSA-N -1 1 347.379 -0.613 20 0 EBADMM CCc1n[nH]cc1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051695088 735330154 /nfs/dbraw/zinc/33/01/54/735330154.db2.gz DTYZZSGXRBWUEM-SECBINFHSA-N -1 1 335.368 -0.573 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CCCN1CCn1cncn1 ZINC000993554622 725902917 /nfs/dbraw/zinc/90/29/17/725902917.db2.gz UZQVVDRVQBQKTL-NXEZZACHSA-N -1 1 348.367 -0.843 20 0 EBADMM Cc1n[nH]cc1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC000993639410 725919888 /nfs/dbraw/zinc/91/98/88/725919888.db2.gz AOEIRIOKOWYEBP-PWSUYJOCSA-N -1 1 333.396 -0.077 20 0 EBADMM Cc1ccn(CC(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)n1 ZINC000993853460 725953861 /nfs/dbraw/zinc/95/38/61/725953861.db2.gz IRDAAJVEJNIIRT-QWHCGFSZSA-N -1 1 347.423 -0.217 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cnn(C)n2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993995083 725969331 /nfs/dbraw/zinc/96/93/31/725969331.db2.gz PERGYKZCXJGLQH-ZJUUUORDSA-N -1 1 334.384 -0.980 20 0 EBADMM CC(C)c1n[nH]cc1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051696771 735331902 /nfs/dbraw/zinc/33/19/02/735331902.db2.gz YLEWIRFQPQEJGZ-SNVBAGLBSA-N -1 1 349.395 -0.012 20 0 EBADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)c1cnc2n[nH]nc2c1 ZINC000994225577 725990580 /nfs/dbraw/zinc/99/05/80/725990580.db2.gz VDUOCALGSUPMBV-UHFFFAOYSA-N -1 1 339.315 -0.292 20 0 EBADMM Cc1nc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)c(=O)[nH]c1C ZINC000994498246 726025539 /nfs/dbraw/zinc/02/55/39/726025539.db2.gz AWKGAPITZKLUEX-UHFFFAOYSA-N -1 1 343.343 -0.258 20 0 EBADMM Cc1cn(C)nc1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000974580434 726040694 /nfs/dbraw/zinc/04/06/94/726040694.db2.gz USWMVPNHDBTICO-NSHDSACASA-N -1 1 347.423 -0.209 20 0 EBADMM O=C(c1ncccc1[O-])N1CC[C@@H]2CN(C(=O)[C@H]3CCNC3=O)[C@@H]2C1 ZINC000974731641 726059518 /nfs/dbraw/zinc/05/95/18/726059518.db2.gz RRJZUPSQFVJEKX-GRYCIOLGSA-N -1 1 344.371 -0.404 20 0 EBADMM CC1(C)CN(CCn2cncn2)C[C@@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC000974764060 726062944 /nfs/dbraw/zinc/06/29/44/726062944.db2.gz AVHPPPUEENLIIR-VIFPVBQESA-N -1 1 348.367 -0.985 20 0 EBADMM O=C(Cn1ncnn1)N1CCC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975219524 726115138 /nfs/dbraw/zinc/11/51/38/726115138.db2.gz JGBLOSZHNJWCGW-NSHDSACASA-N -1 1 345.363 -0.558 20 0 EBADMM CC(=O)NC[C@]12CCC[C@H]1N(C(=O)CCn1cc[n-]c(=O)c1=O)CC2 ZINC000995199163 726190559 /nfs/dbraw/zinc/19/05/59/726190559.db2.gz FNYNMOMGQQTVOB-CXAGYDPISA-N -1 1 348.403 -0.166 20 0 EBADMM CCC(=O)N[C@@H]1CCCC[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000976113703 726203913 /nfs/dbraw/zinc/20/39/13/726203913.db2.gz ROGPIIVDHNPUTQ-NXEZZACHSA-N -1 1 332.364 -0.015 20 0 EBADMM CN1CCO[C@@H](C(=O)N[C@@H]2[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)C1 ZINC000976311989 726264166 /nfs/dbraw/zinc/26/41/66/726264166.db2.gz QUSZTCQTSVKDLJ-WVWOOGAGSA-N -1 1 346.387 -0.696 20 0 EBADMM CC1(C)CN(C(=O)[C@H]2CCNC(=O)C2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995659438 726284507 /nfs/dbraw/zinc/28/45/07/726284507.db2.gz KTJUGBUKLCYDQY-UWVGGRQHSA-N -1 1 336.396 -0.637 20 0 EBADMM O=C(C[C@H]1COC(=O)C1)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976396721 726291524 /nfs/dbraw/zinc/29/15/24/726291524.db2.gz GVVKFUXBRWCIHX-OZWUEAAUSA-N -1 1 345.355 -0.073 20 0 EBADMM Cc1nnc(CC(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)o1 ZINC000995771762 726298172 /nfs/dbraw/zinc/29/81/72/726298172.db2.gz GLPMWAXAYPKZEI-SECBINFHSA-N -1 1 335.368 -0.229 20 0 EBADMM CCn1nncc1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000995772318 726298790 /nfs/dbraw/zinc/29/87/90/726298790.db2.gz KOLPMQFYFOXSRG-JTQLQIEISA-N -1 1 334.384 -0.238 20 0 EBADMM Cn1ccc(CC(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)n1 ZINC000995795195 726300065 /nfs/dbraw/zinc/30/00/65/726300065.db2.gz BISXRYSRCDBCOI-NSHDSACASA-N -1 1 333.396 -0.187 20 0 EBADMM CN1C[C@@H](C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)CC1=O ZINC000995872700 726308629 /nfs/dbraw/zinc/30/86/29/726308629.db2.gz OXPCVOOQDFPVQT-UWVGGRQHSA-N -1 1 336.396 -0.685 20 0 EBADMM Cn1ccc(=O)c(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)c1 ZINC000996043525 726325360 /nfs/dbraw/zinc/32/53/60/726325360.db2.gz VOYVIGBODDNOMK-GFCCVEGCSA-N -1 1 346.391 -0.151 20 0 EBADMM Cn1ccc(CC(=O)N[C@@H]2[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)n1 ZINC000976490259 726327943 /nfs/dbraw/zinc/32/79/43/726327943.db2.gz XICYNAIGKMJPMO-JYAVWHMHSA-N -1 1 341.371 -0.050 20 0 EBADMM CC1(C)CN(C(=O)[C@@]2(C)CNC(=O)C2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996073251 726330078 /nfs/dbraw/zinc/33/00/78/726330078.db2.gz IUEZDKHSVZVXBI-BJOHPYRUSA-N -1 1 336.396 -0.637 20 0 EBADMM Cn1ncc(CCC(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)n1 ZINC000996311070 726364717 /nfs/dbraw/zinc/36/47/17/726364717.db2.gz POXVFEMESBTSNX-LLVKDONJSA-N -1 1 348.411 -0.402 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)Cc2ccc[nH]2)C1 ZINC000996398305 726386459 /nfs/dbraw/zinc/38/64/59/726386459.db2.gz STMOKLGLEZPEIP-UHFFFAOYSA-N -1 1 347.331 -0.592 20 0 EBADMM C[C@H]1C[C@H]1CC(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996403895 726388081 /nfs/dbraw/zinc/38/80/81/726388081.db2.gz CIPBGYPXOVTSOZ-IUCAKERBSA-N -1 1 330.348 -0.596 20 0 EBADMM O=C(NC1CN(C(=O)c2ccoc2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996405516 726388189 /nfs/dbraw/zinc/38/81/89/726388189.db2.gz IYHRCCPWNPYUAY-UHFFFAOYSA-N -1 1 328.288 -0.735 20 0 EBADMM CCC1(C(=O)N2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)CC1 ZINC000996410139 726389482 /nfs/dbraw/zinc/38/94/82/726389482.db2.gz ZJQBCRLMSUNMAH-UHFFFAOYSA-N -1 1 330.348 -0.452 20 0 EBADMM Cn1cncc1CC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000996513111 726409382 /nfs/dbraw/zinc/40/93/82/726409382.db2.gz FYVWLOCVVKKZIX-NSHDSACASA-N -1 1 333.396 -0.187 20 0 EBADMM CC(C)c1cc(C(=O)N2CC(NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC000996745322 726425161 /nfs/dbraw/zinc/42/51/61/726425161.db2.gz MRVKIZREKLEGFJ-UHFFFAOYSA-N -1 1 347.335 -0.616 20 0 EBADMM Cc1cnc(CNC2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)CC2)o1 ZINC000996761131 726425803 /nfs/dbraw/zinc/42/58/03/726425803.db2.gz CBQBZOVEOIYLPF-UHFFFAOYSA-N -1 1 334.336 -0.026 20 0 EBADMM CCn1ncnc1CNC1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC000996764255 726425988 /nfs/dbraw/zinc/42/59/88/726425988.db2.gz VBSXQCHBVULBCH-UHFFFAOYSA-N -1 1 348.367 -0.711 20 0 EBADMM Cn1cccc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)c1=O ZINC000997051863 726436001 /nfs/dbraw/zinc/43/60/01/726436001.db2.gz WLGLOVKFYLGXFT-UHFFFAOYSA-N -1 1 328.328 -0.260 20 0 EBADMM Cc1ccc(=O)n(CC(=O)NC2CN(C(=O)c3ncccc3[O-])C2)n1 ZINC000997201687 726441017 /nfs/dbraw/zinc/44/10/17/726441017.db2.gz BAEBWDFPOADXGH-UHFFFAOYSA-N -1 1 343.343 -0.707 20 0 EBADMM C[C@]1(C(=O)NC2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)C=CCC1 ZINC000997846248 726467327 /nfs/dbraw/zinc/46/73/27/726467327.db2.gz JKGYZYDDKNVGDG-KRWDZBQOSA-N -1 1 346.387 -0.390 20 0 EBADMM COc1coc(C(=O)N(C)C2CC(NCc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC000999117391 726507905 /nfs/dbraw/zinc/50/79/05/726507905.db2.gz YWBYRTLJBVESOG-UHFFFAOYSA-N -1 1 349.347 -0.135 20 0 EBADMM CCn1nnc(C)c1C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999357048 726516017 /nfs/dbraw/zinc/51/60/17/726516017.db2.gz XLGJGZBFOAWEIA-SNVBAGLBSA-N -1 1 334.384 -0.175 20 0 EBADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCCCC(=O)N1 ZINC000999360919 726516387 /nfs/dbraw/zinc/51/63/87/726516387.db2.gz HAMXIHXJADIJKL-NSHDSACASA-N -1 1 332.360 -0.213 20 0 EBADMM O=C(c1cnc2n[nH]nc2c1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999375055 726517431 /nfs/dbraw/zinc/51/74/31/726517431.db2.gz KPVIDBLCKIGVOK-VIFPVBQESA-N -1 1 343.351 -0.429 20 0 EBADMM CCN1CCOC[C@@H]1C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999553454 726522712 /nfs/dbraw/zinc/52/27/12/726522712.db2.gz AJFIGTKOTVTDMM-NWDGAFQWSA-N -1 1 338.412 -0.688 20 0 EBADMM O=C(N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-])[C@@H]1CNC(=O)N1 ZINC000999856195 726535753 /nfs/dbraw/zinc/53/57/53/726535753.db2.gz AHNIBQVPDZFRGT-RCWTZXSCSA-N -1 1 345.359 -0.670 20 0 EBADMM CN(C)C(=O)[C@@H]1C[C@@H]1C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000204474 726559512 /nfs/dbraw/zinc/55/95/12/726559512.db2.gz GZKLPISJUMZVKC-AXFHLTTASA-N -1 1 336.396 -0.685 20 0 EBADMM O=C(c1nccn2ccnc12)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000234839 726560871 /nfs/dbraw/zinc/56/08/71/726560871.db2.gz KLRIJFDBABISPL-JTQLQIEISA-N -1 1 342.363 -0.053 20 0 EBADMM COc1c(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c(C)nn1C ZINC001000356617 726565413 /nfs/dbraw/zinc/56/54/13/726565413.db2.gz PTUHRFXURUIVTO-SNVBAGLBSA-N -1 1 349.395 -0.045 20 0 EBADMM COc1cncc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001000391256 726565990 /nfs/dbraw/zinc/56/59/90/726565990.db2.gz SCDQGNFGFCLKSS-VIFPVBQESA-N -1 1 333.352 -0.297 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(NC(=O)[C@@H]2CC23CC3)C1 ZINC001000490568 726568668 /nfs/dbraw/zinc/56/86/68/726568668.db2.gz KPLOGFPXFPUUCY-NSHDSACASA-N -1 1 332.360 -0.946 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(NC(=O)[C@H]2CC23CC3)C1 ZINC001000490565 726568710 /nfs/dbraw/zinc/56/87/10/726568710.db2.gz KPLOGFPXFPUUCY-LLVKDONJSA-N -1 1 332.360 -0.946 20 0 EBADMM NC(=O)c1c[nH]c(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001000555823 726570707 /nfs/dbraw/zinc/57/07/07/726570707.db2.gz FNVWNUAIVSGLOX-SECBINFHSA-N -1 1 333.352 -0.668 20 0 EBADMM Cc1cc(=O)c(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c[nH]1 ZINC001000613461 726572783 /nfs/dbraw/zinc/57/27/83/726572783.db2.gz FRGCCGZRFORUBE-JTQLQIEISA-N -1 1 332.364 -0.099 20 0 EBADMM Cc1c[nH]nc1C(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001000656037 726574363 /nfs/dbraw/zinc/57/43/63/726574363.db2.gz XIIQCSSJHFKRRE-UHFFFAOYSA-N -1 1 331.380 -0.298 20 0 EBADMM CC(=O)N1CC[C@@H](C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001000798552 726578381 /nfs/dbraw/zinc/57/83/81/726578381.db2.gz WDMPHLPCEQTYJF-VXGBXAGGSA-N -1 1 336.396 -0.541 20 0 EBADMM NC(=O)c1cc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c[nH]1 ZINC001000939554 726584430 /nfs/dbraw/zinc/58/44/30/726584430.db2.gz WDUWQVXOBBBUJG-SECBINFHSA-N -1 1 333.352 -0.668 20 0 EBADMM Cn1cc(CCC(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)nn1 ZINC001001070407 726590921 /nfs/dbraw/zinc/59/09/21/726590921.db2.gz MRALNLREGGNPLB-SNVBAGLBSA-N -1 1 334.384 -0.648 20 0 EBADMM Cn1cc(C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)[nH]c1=O ZINC001001120411 726593200 /nfs/dbraw/zinc/59/32/00/726593200.db2.gz POGGPSFAUXFQEV-UHFFFAOYSA-N -1 1 347.379 -0.890 20 0 EBADMM CN1CC(C(=O)NCC2=CCN(Cc3n[nH]c(=O)[n-]3)CC2)=NC1=O ZINC001001120480 726593244 /nfs/dbraw/zinc/59/32/44/726593244.db2.gz TYGJYGKGCVYTNT-UHFFFAOYSA-N -1 1 333.352 -0.489 20 0 EBADMM Cn1ccc(=O)c(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)c1 ZINC001001540446 726611143 /nfs/dbraw/zinc/61/11/43/726611143.db2.gz DNYZTFNFOSPPSL-UHFFFAOYSA-N -1 1 342.355 -0.012 20 0 EBADMM CCn1cc(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)nn1 ZINC001001542520 726611208 /nfs/dbraw/zinc/61/12/08/726611208.db2.gz UKEDHTSDCXOTCJ-UHFFFAOYSA-N -1 1 330.348 -0.099 20 0 EBADMM Cn1ccc(CC(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)n1 ZINC001001540900 726611297 /nfs/dbraw/zinc/61/12/97/726611297.db2.gz FYIRJYWLAFTFEY-UHFFFAOYSA-N -1 1 329.360 -0.048 20 0 EBADMM O=C(NCC1CN(C(=O)[C@@H]2CCCNC2=O)C1)c1ncccc1[O-] ZINC001001547020 726611530 /nfs/dbraw/zinc/61/15/30/726611530.db2.gz XNUICUGTLQFBLP-LLVKDONJSA-N -1 1 332.360 -0.498 20 0 EBADMM C[C@H](C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1)S(C)(=O)=O ZINC001001553506 726611669 /nfs/dbraw/zinc/61/16/69/726611669.db2.gz VIBCORLBLZUMHT-SECBINFHSA-N -1 1 341.389 -0.592 20 0 EBADMM O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)[C@@H]1COC(=O)N1)c1ncccc1[O-] ZINC001002190067 726635129 /nfs/dbraw/zinc/63/51/29/726635129.db2.gz XWLRVPNXEDFELC-ZNSHCXBVSA-N -1 1 346.343 -0.243 20 0 EBADMM CN(C[C@H]1CCCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1COC(=O)N1 ZINC001002413350 726640444 /nfs/dbraw/zinc/64/04/44/726640444.db2.gz UMGLYGBUQBBRII-GHMZBOCLSA-N -1 1 348.359 -0.041 20 0 EBADMM CN(C[C@H]1CCCN1C(=O)[C@H]1CCNC1=O)C(=O)c1ncccc1[O-] ZINC001002761477 726646564 /nfs/dbraw/zinc/64/65/64/726646564.db2.gz BNAZMVLHAQGHSK-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM COc1cc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)ncn1 ZINC001002831329 726649508 /nfs/dbraw/zinc/64/95/08/726649508.db2.gz FCIBVSZQLZCPAL-UHFFFAOYSA-N -1 1 347.379 -0.699 20 0 EBADMM C[C@H](C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1)c1ccnn1C ZINC001002837097 726650000 /nfs/dbraw/zinc/65/00/00/726650000.db2.gz BLOKZRNOWWNVKA-NSHDSACASA-N -1 1 347.423 -0.274 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CN(C(=O)C(F)F)C1 ZINC001003315478 726669183 /nfs/dbraw/zinc/66/91/83/726669183.db2.gz VXWRSLMIWNPYOP-UHFFFAOYSA-N -1 1 332.263 -0.650 20 0 EBADMM NC(=O)c1ccc(C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)o1 ZINC001003402839 726672883 /nfs/dbraw/zinc/67/28/83/726672883.db2.gz KEXCALGMKRFGJC-UHFFFAOYSA-N -1 1 344.327 -0.019 20 0 EBADMM Cn1cc(CN2CCC(NC(=O)c3cnc([O-])n(C)c3=O)CC2)cn1 ZINC001003419454 726673918 /nfs/dbraw/zinc/67/39/18/726673918.db2.gz GCRQNRGBGKMUCR-UHFFFAOYSA-N -1 1 346.391 -0.386 20 0 EBADMM Cn1c(C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)c[nH]c1=O ZINC001003560628 726679795 /nfs/dbraw/zinc/67/97/95/726679795.db2.gz FOMXYRYNGLYENF-UHFFFAOYSA-N -1 1 331.332 -0.272 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)c3cccc(=O)n3C)CC2)nc1=O ZINC001003598428 726682022 /nfs/dbraw/zinc/68/20/22/726682022.db2.gz KTTJLDWWNBEREM-UHFFFAOYSA-N -1 1 346.391 -0.799 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)[C@@H]3C[C@H]4CC[C@@H]3O4)CC2)nc1=O ZINC001003663996 726684172 /nfs/dbraw/zinc/68/41/72/726684172.db2.gz RXVNLESCTQBNDH-UPJWGTAASA-N -1 1 335.408 -0.244 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)Cn1cnnn1 ZINC001004718309 726714005 /nfs/dbraw/zinc/71/40/05/726714005.db2.gz OWRSNACBQLOZGQ-VHSXEESVSA-N -1 1 335.372 -0.944 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)Cn1cnnn1 ZINC001004718270 726714080 /nfs/dbraw/zinc/71/40/80/726714080.db2.gz OWRSNACBQLOZGQ-NXEZZACHSA-N -1 1 335.372 -0.944 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)c1cn(C)nn1 ZINC001004844331 726717851 /nfs/dbraw/zinc/71/78/51/726717851.db2.gz XIJNBRCAWSVWDS-MWLCHTKSSA-N -1 1 334.384 -0.188 20 0 EBADMM C[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)Cn1ncnn1 ZINC001004883142 726718714 /nfs/dbraw/zinc/71/87/14/726718714.db2.gz MJAGGPJRPJPBGO-MNOVXSKESA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)c1cnn(C)n1 ZINC001004952827 726720703 /nfs/dbraw/zinc/72/07/03/726720703.db2.gz HBEUQKKVMICJEI-MWLCHTKSSA-N -1 1 334.384 -0.188 20 0 EBADMM CN(C(=O)c1cc[nH]c(=O)c1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005238938 726730743 /nfs/dbraw/zinc/73/07/43/726730743.db2.gz UMNJNPWSSQFNCC-UHFFFAOYSA-N -1 1 346.391 -0.054 20 0 EBADMM Cc1ccc(C(=O)N(C)C2CCN(Cc3nc(=O)n(C)[n-]3)CC2)nn1 ZINC001005378695 726732573 /nfs/dbraw/zinc/73/25/73/726732573.db2.gz PAYSYSAUQFTLHA-UHFFFAOYSA-N -1 1 345.407 -0.057 20 0 EBADMM CN(C(=O)c1cn(C)c(=O)[nH]1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005944462 726749166 /nfs/dbraw/zinc/74/91/66/726749166.db2.gz XIMCFHUXNKHHNZ-UHFFFAOYSA-N -1 1 349.395 -0.716 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)c2cnn(C)n2)C1 ZINC001005950847 726749597 /nfs/dbraw/zinc/74/95/97/726749597.db2.gz KPCUAOWCZDMCLZ-SECBINFHSA-N -1 1 330.348 -0.194 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)CS(C)(=O)=O)C1 ZINC001005950055 726749603 /nfs/dbraw/zinc/74/96/03/726749603.db2.gz HTEOKSSLKVURCX-VIFPVBQESA-N -1 1 341.389 -0.592 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2COC(=O)N2)C1 ZINC001005953631 726749904 /nfs/dbraw/zinc/74/99/04/726749904.db2.gz UPIGKPSOOVPFNL-PSASIEDQSA-N -1 1 334.332 -0.528 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@]2(C)CNC(=O)C2)C1 ZINC001005957705 726750174 /nfs/dbraw/zinc/75/01/74/726750174.db2.gz PNOMWMTXZZHTIM-DYZYQPBXSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)C1CN(C(=O)C(N)=O)C1 ZINC001006097413 726755542 /nfs/dbraw/zinc/75/55/42/726755542.db2.gz CLSRJOJGIWYUCJ-SSDOTTSWSA-N -1 1 333.348 -0.878 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)c3cc[n+]([O-])cc3)C2)nc1=O ZINC001006656551 726778508 /nfs/dbraw/zinc/77/85/08/726778508.db2.gz KGJQUPBUTSYIBJ-GFCCVEGCSA-N -1 1 332.364 -0.864 20 0 EBADMM C[C@@H](NC(=O)[C@H]1CNC(=O)N1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006745458 726782343 /nfs/dbraw/zinc/78/23/43/726782343.db2.gz IBWWARKIJVRBQJ-PSASIEDQSA-N -1 1 333.348 -0.955 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC001006853070 726785264 /nfs/dbraw/zinc/78/52/64/726785264.db2.gz KIFBRYRJQXMELJ-LLVKDONJSA-N -1 1 333.396 -0.455 20 0 EBADMM Cc1[nH]nc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC001006946913 726787581 /nfs/dbraw/zinc/78/75/81/726787581.db2.gz WHQNHIAEXPDSGO-NSHDSACASA-N -1 1 333.396 -0.157 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)Cc3cccnc3)C2)nc1=O ZINC001007117298 726789353 /nfs/dbraw/zinc/78/93/53/726789353.db2.gz PFAHLCQCJDNXNO-CYBMUJFWSA-N -1 1 330.392 -0.173 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)Cc3cncnc3)C2)nc1=O ZINC001007230885 726790730 /nfs/dbraw/zinc/79/07/30/726790730.db2.gz BQLVZJUXXZVUPE-LBPRGKRZSA-N -1 1 331.380 -0.778 20 0 EBADMM COc1cc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)ncn1 ZINC001007352150 726792707 /nfs/dbraw/zinc/79/27/07/726792707.db2.gz YLJLEELBWSOBRO-SNVBAGLBSA-N -1 1 347.379 -0.699 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001007559952 726797153 /nfs/dbraw/zinc/79/71/53/726797153.db2.gz IWBOFKYYRAEWBX-VIFPVBQESA-N -1 1 335.368 -0.760 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)Cc3cscn3)C2)nc1=O ZINC001008767174 726802329 /nfs/dbraw/zinc/80/23/29/726802329.db2.gz RAJAUMNRTFRPEG-JTQLQIEISA-N -1 1 336.421 -0.112 20 0 EBADMM O=C(NC[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)[C@H]1CCC(=O)N1 ZINC001008893329 726824432 /nfs/dbraw/zinc/82/44/32/726824432.db2.gz UAIKHINUIUSLDM-WISYIIOYSA-N -1 1 344.371 -0.500 20 0 EBADMM CCn1cc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001009007259 726842781 /nfs/dbraw/zinc/84/27/81/726842781.db2.gz AISONSYXSGEYFH-SNVBAGLBSA-N -1 1 334.384 -0.886 20 0 EBADMM CCCn1cc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001009012453 726843510 /nfs/dbraw/zinc/84/35/10/726843510.db2.gz FDVNPGXWSDVNNF-LLVKDONJSA-N -1 1 348.411 -0.496 20 0 EBADMM COc1cccc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001009043166 726850048 /nfs/dbraw/zinc/85/00/48/726850048.db2.gz ACAUOTSSPVDISB-NSHDSACASA-N -1 1 346.391 -0.094 20 0 EBADMM CCCC(=O)N1C[C@@H]2[C@@H](CNC(=O)c3cc(=O)n4[n-]cnc4n3)[C@@H]2C1 ZINC001009272404 726884078 /nfs/dbraw/zinc/88/40/78/726884078.db2.gz BWHDZORCYMQWJF-RTCCRHLQSA-N -1 1 344.375 -0.348 20 0 EBADMM Cc1n[nH]c(C)c1CC(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001009477626 726922442 /nfs/dbraw/zinc/92/24/42/726922442.db2.gz AJHAQSCZFULBOY-LBPRGKRZSA-N -1 1 347.423 -0.228 20 0 EBADMM C[C@@H](C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1)c1cncnc1 ZINC001051786105 735392430 /nfs/dbraw/zinc/39/24/30/735392430.db2.gz PQBPISMDYCHVJL-ZYHUDNBSSA-N -1 1 347.379 -0.579 20 0 EBADMM CCn1nc(C)c(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001009761824 726998140 /nfs/dbraw/zinc/99/81/40/726998140.db2.gz ZGMIKURXTWDNOP-LLVKDONJSA-N -1 1 348.411 -0.577 20 0 EBADMM COCC(=O)N1C[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC001009932257 727013359 /nfs/dbraw/zinc/01/33/59/727013359.db2.gz OTAJQBOVAHTABM-NXEZZACHSA-N -1 1 348.363 -0.969 20 0 EBADMM CC(C)CC(=O)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001009941649 727013733 /nfs/dbraw/zinc/01/37/33/727013733.db2.gz IAMMTWGAJDZTLV-UHFFFAOYSA-N -1 1 332.364 -0.252 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001010048255 727022741 /nfs/dbraw/zinc/02/27/41/727022741.db2.gz MXPLBJIEXCWKLJ-UWVGGRQHSA-N -1 1 330.348 -0.642 20 0 EBADMM COC(=O)[C@@H]1C[C@H]1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001010678194 727127343 /nfs/dbraw/zinc/12/73/43/727127343.db2.gz SSKRWVGHVHMXCN-GHMZBOCLSA-N -1 1 333.344 -0.119 20 0 EBADMM Cc1ccoc1CC(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051816641 735411602 /nfs/dbraw/zinc/41/16/02/735411602.db2.gz KHHMQFCRAFUSNN-NSHDSACASA-N -1 1 335.364 -0.029 20 0 EBADMM O=C(c1ncccc1[O-])N1CCN(C(=O)[C@H]2CCNC(=O)C2)CC1 ZINC001010987410 727171657 /nfs/dbraw/zinc/17/16/57/727171657.db2.gz UUMHFTXLGOLDDI-NSHDSACASA-N -1 1 332.360 -0.402 20 0 EBADMM O=C(CCn1ccnn1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011036085 727186180 /nfs/dbraw/zinc/18/61/80/727186180.db2.gz ZPWJMDXVMBIIKT-UHFFFAOYSA-N -1 1 330.348 -0.247 20 0 EBADMM C[C@@H](C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1)[C@H]1CCC(=O)N1 ZINC001011037698 727187217 /nfs/dbraw/zinc/18/72/17/727187217.db2.gz IGABDEBTEWIASW-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(Cc1cscn1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051857035 735430446 /nfs/dbraw/zinc/43/04/46/735430446.db2.gz BWVPKPQIADNWCV-JTQLQIEISA-N -1 1 338.393 -0.474 20 0 EBADMM Cc1ncc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)c(C)n1 ZINC001051872344 735438268 /nfs/dbraw/zinc/43/82/68/735438268.db2.gz IHONVFKSKALQHO-LLVKDONJSA-N -1 1 347.379 -0.452 20 0 EBADMM Cc1cc(C(=O)N2CC[C@H](NC(=O)Cn3c(=O)[n-][nH]c3=O)[C@@H]2C)[nH]n1 ZINC001011543241 727539354 /nfs/dbraw/zinc/53/93/54/727539354.db2.gz URERGTPMDWRWMS-IUCAKERBSA-N -1 1 349.351 -0.860 20 0 EBADMM C[C@H]1C[C@@H]1CC(=O)N1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001011557137 727542151 /nfs/dbraw/zinc/54/21/51/727542151.db2.gz ILJKJHPZKVDHKX-QWHCGFSZSA-N -1 1 348.403 -0.356 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCN(C(=O)[C@@H]2CC23CC3)CC1 ZINC001011637139 727552067 /nfs/dbraw/zinc/55/20/67/727552067.db2.gz BOUGDMQOIJMIFP-JTQLQIEISA-N -1 1 342.359 -0.498 20 0 EBADMM O=C(c1cnco1)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001011638157 727552439 /nfs/dbraw/zinc/55/24/39/727552439.db2.gz ZHLDWUVZVWODHV-UHFFFAOYSA-N -1 1 343.303 -0.996 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCN(C(=O)C(N)=O)CC1 ZINC001011868431 727592359 /nfs/dbraw/zinc/59/23/59/727592359.db2.gz IXUMYMBGUKGSLC-UHFFFAOYSA-N -1 1 335.364 -0.616 20 0 EBADMM C[C@H]1[C@H](NC(=O)C2(C)CC2)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001011900042 727600238 /nfs/dbraw/zinc/60/02/38/727600238.db2.gz SZEWKGDPBRUJAF-NWDGAFQWSA-N -1 1 348.403 -0.168 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H](NC(=O)C(F)F)[C@@H]1C ZINC001011910418 727601935 /nfs/dbraw/zinc/60/19/35/727601935.db2.gz RWFJBRPNPQVBHV-NTSWFWBYSA-N -1 1 346.290 -0.119 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)Cc1nnc[nH]1 ZINC001012090966 727636854 /nfs/dbraw/zinc/63/68/54/727636854.db2.gz FWBUHXHJLXNSLL-NXEZZACHSA-N -1 1 330.348 -0.133 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)CS(C)(=O)=O ZINC001012361482 727658054 /nfs/dbraw/zinc/65/80/54/727658054.db2.gz MKVLBGMDLHQEMQ-NXEZZACHSA-N -1 1 341.389 -0.449 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CN1C(=O)CC(N)=O ZINC001012414372 727664286 /nfs/dbraw/zinc/66/42/86/727664286.db2.gz VYNLNFKXXPIMBF-SCZZXKLOSA-N -1 1 347.375 -0.346 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)CN1CCOCC1 ZINC001012498553 727670559 /nfs/dbraw/zinc/67/05/59/727670559.db2.gz WHKUAUMDYBCMFV-CHWSQXEVSA-N -1 1 348.403 -0.161 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@@H](NC(=O)C(F)F)C[C@@H]1C ZINC001013318855 727793683 /nfs/dbraw/zinc/79/36/83/727793683.db2.gz WBWSYADVFTTZTE-WDSKDSINSA-N -1 1 346.290 -0.119 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)C2CC2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001013329219 727794428 /nfs/dbraw/zinc/79/44/28/727794428.db2.gz UJIFFEVELLDKHY-PSASIEDQSA-N -1 1 330.348 -0.453 20 0 EBADMM CC(=O)N1C[C@@H]2CCC[C@@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)[C@@H]2C1 ZINC001014518688 727965457 /nfs/dbraw/zinc/96/54/57/727965457.db2.gz PWVKULGUBZHQIB-QJPTWQEYSA-N -1 1 344.375 -0.206 20 0 EBADMM Cn1[n-]c(CN2CCN([C@@H]3CCN(C(=O)C4CCC4)C3)CC2)nc1=O ZINC001051964733 735485484 /nfs/dbraw/zinc/48/54/84/735485484.db2.gz MOBRSBINWHFCSS-CQSZACIVSA-N -1 1 348.451 -0.373 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cccc(C(N)=O)c3)C2)nc1=O ZINC001014967377 728044414 /nfs/dbraw/zinc/04/44/14/728044414.db2.gz BXXQCNFSSWQSRT-GFCCVEGCSA-N -1 1 344.375 -0.788 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)Cn3cc(C4CC4)cn3)C2)nc1=O ZINC001015014483 728057239 /nfs/dbraw/zinc/05/72/39/728057239.db2.gz NRLWGLGJYROFRA-CYBMUJFWSA-N -1 1 345.407 -0.427 20 0 EBADMM CC(C)C(=O)N1CC[C@H](N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)C1 ZINC001051984576 735491223 /nfs/dbraw/zinc/49/12/23/735491223.db2.gz KMFYHMZPWKQJTA-ZDUSSCGKSA-N -1 1 336.440 -0.517 20 0 EBADMM CCCc1c(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001015089249 728076009 /nfs/dbraw/zinc/07/60/09/728076009.db2.gz WBWWHNQSMGYMSD-NSHDSACASA-N -1 1 347.423 -0.201 20 0 EBADMM O=C(N[C@H]1CCN(CCO[C@@H]2CC2(F)F)C1)c1n[nH]c(=O)[n-]c1=O ZINC001015116415 728083627 /nfs/dbraw/zinc/08/36/27/728083627.db2.gz BLBHVFPNUWYBGW-JGVFFNPUSA-N -1 1 345.306 -0.489 20 0 EBADMM CCc1nc([C@@H](C)N2CC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001015117746 728083804 /nfs/dbraw/zinc/08/38/04/728083804.db2.gz FRVXHFAJPLNDDN-HTQZYQBOSA-N -1 1 348.367 -0.471 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@]34CCC[C@H]3OCC4)C2)nc1=O ZINC001015241586 728118899 /nfs/dbraw/zinc/11/88/99/728118899.db2.gz NNIUOENTZRMZSG-OZVIIMIRSA-N -1 1 335.408 -0.242 20 0 EBADMM O=C(NC[C@@H]1CC[C@@H](NC(=O)C2CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001015288088 728394755 /nfs/dbraw/zinc/39/47/55/728394755.db2.gz NQUVPGKUZGGPKR-MWLCHTKSSA-N -1 1 344.375 -0.158 20 0 EBADMM COc1cccnc1CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001015614243 728424819 /nfs/dbraw/zinc/42/48/19/728424819.db2.gz VWKITBFNZNHACE-NSHDSACASA-N -1 1 346.391 -0.555 20 0 EBADMM COCc1nc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)co1 ZINC001015917725 728438934 /nfs/dbraw/zinc/43/89/34/728438934.db2.gz IHLYUXZJXKEVKX-SECBINFHSA-N -1 1 336.352 -0.753 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)C1CCOCC1 ZINC001016431055 728472724 /nfs/dbraw/zinc/47/27/24/728472724.db2.gz DFJXZFAURDBBLF-DGCLKSJQSA-N -1 1 337.424 -0.138 20 0 EBADMM CN(C(=O)c1ncn(C)n1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016472901 728477783 /nfs/dbraw/zinc/47/77/83/728477783.db2.gz NORNYOYJHJDLDZ-UHFFFAOYSA-N -1 1 330.348 -0.051 20 0 EBADMM Cc1nnc(CC(=O)N2CCC[C@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC001017123565 728549074 /nfs/dbraw/zinc/54/90/74/728549074.db2.gz MAPCWEQYARCINX-LLVKDONJSA-N -1 1 348.411 -0.150 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CCC[C@H](C[N@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001017177362 728551933 /nfs/dbraw/zinc/55/19/33/728551933.db2.gz SEAPLRHAZFIWPU-SNVBAGLBSA-N -1 1 334.384 -0.079 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CCC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001017177362 728551935 /nfs/dbraw/zinc/55/19/35/728551935.db2.gz SEAPLRHAZFIWPU-SNVBAGLBSA-N -1 1 334.384 -0.079 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)[C@H]2CCNC2=O)C1 ZINC001017179143 728552404 /nfs/dbraw/zinc/55/24/04/728552404.db2.gz DWFQMHFSWIHOMO-QWRGUYRKSA-N -1 1 336.396 -0.683 20 0 EBADMM NC(=O)C1(C(=O)N2CC=C(CNC(=O)c3ncccc3[O-])CC2)CC1 ZINC001017400471 728571813 /nfs/dbraw/zinc/57/18/13/728571813.db2.gz OKXOGRPWABGWKF-UHFFFAOYSA-N -1 1 344.371 -0.059 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N1CC=C(CNC(=O)c2ncccc2[O-])CC1 ZINC001017400939 728571887 /nfs/dbraw/zinc/57/18/87/728571887.db2.gz ZYSFYLZQWKESAK-NEPJUHHUSA-N -1 1 344.371 -0.203 20 0 EBADMM O=C(NCC1=CCN(C(=O)[C@@H]2CNC(=O)N2)CC1)c1ncccc1[O-] ZINC001017400678 728571892 /nfs/dbraw/zinc/57/18/92/728571892.db2.gz SVMUWOCCFJTLSU-NSHDSACASA-N -1 1 345.359 -0.643 20 0 EBADMM CC[C@H](CN1C[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]c(=O)[n-]c1=O)OC ZINC001017704838 728605768 /nfs/dbraw/zinc/60/57/68/728605768.db2.gz LIKLFTHOVMRYQJ-OUAUKWLOSA-N -1 1 337.380 -0.003 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)C2CC2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001017889296 728622261 /nfs/dbraw/zinc/62/22/61/728622261.db2.gz GRMDTDKLXRWRJN-KOLCDFICSA-N -1 1 344.375 -0.063 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)Cn2ncnn2)CN1C(=O)c1ncccc1[O-] ZINC001018176139 728643292 /nfs/dbraw/zinc/64/32/92/728643292.db2.gz ZOWREWQKTPYMSB-WDEREUQCSA-N -1 1 345.363 -0.417 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)Cn2c(=O)[n-][nH]c2=O)CN1C(=O)c1ccn[nH]1 ZINC001018305160 728654776 /nfs/dbraw/zinc/65/47/76/728654776.db2.gz KWIWHOIMVPZZMY-BDAKNGLRSA-N -1 1 349.351 -0.778 20 0 EBADMM CC(C)C(=O)N1CC2(C1)CCN(C(=O)CCn1cc[n-]c(=O)c1=O)C2 ZINC001018795409 728690631 /nfs/dbraw/zinc/69/06/31/728690631.db2.gz QRYKZLXGNXNNKX-UHFFFAOYSA-N -1 1 348.403 -0.356 20 0 EBADMM O=C([C@H]1CCc2n[nH]cc2C1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019645059 728957682 /nfs/dbraw/zinc/95/76/82/728957682.db2.gz AJGVWYZVGLVLMD-ONGXEEELSA-N -1 1 331.380 -0.271 20 0 EBADMM COCCn1cc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001019714980 728976919 /nfs/dbraw/zinc/97/69/19/728976919.db2.gz HLBYICPKHVRMSK-NSHDSACASA-N -1 1 335.368 -0.643 20 0 EBADMM C[C@@H](C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1)N1CCCCC1=O ZINC001019808394 728997274 /nfs/dbraw/zinc/99/72/74/728997274.db2.gz HJVBJHAYEVWLMT-QWRGUYRKSA-N -1 1 336.396 -0.398 20 0 EBADMM NC(=O)CC(=O)N1CCO[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC001019874639 729021130 /nfs/dbraw/zinc/02/11/30/729021130.db2.gz KXGKPFUODGZCFF-JQWIXIFHSA-N -1 1 348.359 -0.896 20 0 EBADMM C[C@H]1[C@@H](NC(=O)[C@H]2CNC(=O)N2)CCN1C(=O)c1ncccc1[O-] ZINC001020206113 729100038 /nfs/dbraw/zinc/10/00/38/729100038.db2.gz MGJJAPYXZKTONG-LPEHRKFASA-N -1 1 333.348 -0.812 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@@H]1COC(=O)N1 ZINC001020229659 729107401 /nfs/dbraw/zinc/10/74/01/729107401.db2.gz PQGSFIUTSKLEOZ-GUBZILKMSA-N -1 1 334.332 -0.385 20 0 EBADMM CCn1nc(C)c(CN[C@H]2C[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001020353039 729144300 /nfs/dbraw/zinc/14/43/00/729144300.db2.gz MCUQVYZBQNWWNY-KYZUINATSA-N -1 1 348.367 -0.747 20 0 EBADMM CC(=O)N1CC[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H](C)C1 ZINC001020634098 729213601 /nfs/dbraw/zinc/21/36/01/729213601.db2.gz IIPPZMGEYLWLHY-ONGXEEELSA-N -1 1 332.364 -0.348 20 0 EBADMM CC(=O)NC[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H]1C ZINC001020770337 729232039 /nfs/dbraw/zinc/23/20/39/729232039.db2.gz HYYWRCLEUCHDAJ-AAEUAGOBSA-N -1 1 336.392 -0.453 20 0 EBADMM O=C(NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1)[C@@H]1COCCO1 ZINC001021196508 729293256 /nfs/dbraw/zinc/29/32/56/729293256.db2.gz BGYWJJLGOIAZIP-YATPEIPISA-N -1 1 337.380 -0.463 20 0 EBADMM O=C(NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1)[C@@H]1CCCNC1=O ZINC001021286894 729303368 /nfs/dbraw/zinc/30/33/68/729303368.db2.gz IKXQWBYNPBBPQP-FGNRJIRKSA-N -1 1 348.407 -0.352 20 0 EBADMM CN1C(=O)CC[C@H]1C(=O)NC1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1n[nH]c(=O)[n-]1 ZINC001021347232 729307358 /nfs/dbraw/zinc/30/73/58/729307358.db2.gz XYQHRLCJDRYUQQ-YATPEIPISA-N -1 1 348.407 -0.257 20 0 EBADMM CN1C(=O)CC[C@H]1C(=O)NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001021347232 729307360 /nfs/dbraw/zinc/30/73/60/729307360.db2.gz XYQHRLCJDRYUQQ-YATPEIPISA-N -1 1 348.407 -0.257 20 0 EBADMM O=C(Cc1nc[nH]n1)NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001021432518 729313226 /nfs/dbraw/zinc/31/32/26/729313226.db2.gz KRDAACYPUPMTBN-PBINXNQUSA-N -1 1 332.368 -0.517 20 0 EBADMM O=C(c1nc[nH]n1)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001022398137 729489700 /nfs/dbraw/zinc/48/97/00/729489700.db2.gz ADCJQEIEWCEKPX-VHSXEESVSA-N -1 1 328.332 -0.108 20 0 EBADMM COc1coc(C(=O)N[C@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC001022432385 729493733 /nfs/dbraw/zinc/49/37/33/729493733.db2.gz KPNTWGHURHUABT-OCAPTIKFSA-N -1 1 335.320 -0.477 20 0 EBADMM Cn1cnnc1CN[C@H]1C[C@@H](NC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001022820591 729535180 /nfs/dbraw/zinc/53/51/80/729535180.db2.gz BAWKMNMMLOMSJP-AOOOYVTPSA-N -1 1 342.363 -0.798 20 0 EBADMM Cn1cc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)cn1 ZINC001023019386 729578214 /nfs/dbraw/zinc/57/82/14/729578214.db2.gz JYZXQEAQQFHLTF-ZYHUDNBSSA-N -1 1 331.332 -0.864 20 0 EBADMM Cc1ncncc1C(=O)NC[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001023241400 729647966 /nfs/dbraw/zinc/64/79/66/729647966.db2.gz BUJXUPZWOFYONM-LBPRGKRZSA-N -1 1 345.407 -0.151 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)ncn1 ZINC001023310944 729661906 /nfs/dbraw/zinc/66/19/06/729661906.db2.gz AKEGXIKLLRYBDO-LBPRGKRZSA-N -1 1 345.407 -0.151 20 0 EBADMM Cc1c[nH]nc1C(=O)NC[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001023357864 729670651 /nfs/dbraw/zinc/67/06/51/729670651.db2.gz YCAUUDKQZMCSHA-LLVKDONJSA-N -1 1 333.396 -0.218 20 0 EBADMM COc1cc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)on1 ZINC001023471629 729697132 /nfs/dbraw/zinc/69/71/32/729697132.db2.gz MXFZMJHATALUQP-PSASIEDQSA-N -1 1 348.315 -0.601 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)c1ccc(F)cn1 ZINC001023474467 729698121 /nfs/dbraw/zinc/69/81/21/729698121.db2.gz SSXPLRNGHNJKNA-DGCLKSJQSA-N -1 1 346.318 -0.063 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2cccnc2)C[C@H]1O)c1ncccc1[O-] ZINC001023486537 729701627 /nfs/dbraw/zinc/70/16/27/729701627.db2.gz LLGSOLWRZQSDCL-DGCLKSJQSA-N -1 1 328.328 -0.203 20 0 EBADMM Cn1nccc1C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023488278 729701953 /nfs/dbraw/zinc/70/19/53/729701953.db2.gz AGPMYASOQAXTJR-BXKDBHETSA-N -1 1 331.332 -0.864 20 0 EBADMM C[C@](O)(CC(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC001023488339 729702307 /nfs/dbraw/zinc/70/23/07/729702307.db2.gz DCCOLTHSVVCPMD-NDGTYSDOSA-N -1 1 349.387 -0.360 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ccnc(F)c2)C[C@H]1O)c1ncccc1[O-] ZINC001023488437 729702583 /nfs/dbraw/zinc/70/25/83/729702583.db2.gz IDPWFJFJRSUFMC-ZYHUDNBSSA-N -1 1 346.318 -0.063 20 0 EBADMM O=C(CCc1ccon1)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023492502 729704042 /nfs/dbraw/zinc/70/40/42/729704042.db2.gz SRQPSRWGOZXVHH-DGCLKSJQSA-N -1 1 346.343 -0.291 20 0 EBADMM Cc1n[nH]cc1C(=O)NC[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001023521708 729711138 /nfs/dbraw/zinc/71/11/38/729711138.db2.gz IWRAVRVTXUWRKO-NSHDSACASA-N -1 1 333.396 -0.218 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)[C@@H]1CCCOCC1 ZINC001023546250 729720331 /nfs/dbraw/zinc/72/03/31/729720331.db2.gz YSNNNMFYAPTFMS-YRGRVCCFSA-N -1 1 349.387 -0.095 20 0 EBADMM Cc1nonc1C(=O)NC[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001023773537 729795827 /nfs/dbraw/zinc/79/58/27/729795827.db2.gz UCSHBJCRWKGLKT-JTQLQIEISA-N -1 1 335.368 -0.558 20 0 EBADMM O=C(C[C@@H]1CCCOC1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001023820697 729804391 /nfs/dbraw/zinc/80/43/91/729804391.db2.gz SQZNQHOOZLVDFA-OUCADQQQSA-N -1 1 349.387 -0.095 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCC[C@@H](CNCc2ncccn2)C1 ZINC001024053416 729835338 /nfs/dbraw/zinc/83/53/38/729835338.db2.gz DLOLFAYKEXEDJK-JTQLQIEISA-N -1 1 345.363 -0.285 20 0 EBADMM Cc1noc(CNC[C@@H]2CCCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001024054723 729835558 /nfs/dbraw/zinc/83/55/58/729835558.db2.gz UWHNSUNLWCQWKU-VIFPVBQESA-N -1 1 349.351 -0.384 20 0 EBADMM Cn1ncnc1CNC[C@H]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001024053617 729835589 /nfs/dbraw/zinc/83/55/89/729835589.db2.gz GPORRSUDNZKEBN-SECBINFHSA-N -1 1 348.367 -0.947 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)cnn1 ZINC001024413222 729883385 /nfs/dbraw/zinc/88/33/85/729883385.db2.gz YCCRBQKICIRNFG-CYBMUJFWSA-N -1 1 345.407 -0.009 20 0 EBADMM Cc1cnn(C)c1C(=O)NC[C@@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001024609752 729911318 /nfs/dbraw/zinc/91/13/18/729911318.db2.gz KHJSDFZBCDKJAM-LBPRGKRZSA-N -1 1 347.423 -0.065 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H]2CNC(=O)c2ccc(=O)[nH]c2)nc1=O ZINC001024786935 729929053 /nfs/dbraw/zinc/92/90/53/729929053.db2.gz VHIXMLPUKYYUDA-GFCCVEGCSA-N -1 1 346.391 -0.007 20 0 EBADMM O=C(CO[C@@H]1CCOC1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024962205 729946707 /nfs/dbraw/zinc/94/67/07/729946707.db2.gz LTGMKBHLJVPPIX-VXGBXAGGSA-N -1 1 339.396 -0.214 20 0 EBADMM C[C@@H](C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)S(C)(=O)=O ZINC001025002851 729984507 /nfs/dbraw/zinc/98/45/07/729984507.db2.gz KRIBIKJCEFDDNR-VHSXEESVSA-N -1 1 345.425 -0.586 20 0 EBADMM NC(=O)c1cc(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)c[nH]1 ZINC001025161006 730008390 /nfs/dbraw/zinc/00/83/90/730008390.db2.gz ZEAGTDMIKWIKFP-SNVBAGLBSA-N -1 1 347.379 -0.278 20 0 EBADMM NC(=O)CC(=O)N1CCCC[C@H]1[C@H]1CCCN1Cc1n[nH]c(=O)[n-]1 ZINC001025212881 730015272 /nfs/dbraw/zinc/01/52/72/730015272.db2.gz IQJPCCPQDAKUMO-MNOVXSKESA-N -1 1 336.396 -0.269 20 0 EBADMM CO[C@@H]1CC[C@@H](C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)C1 ZINC001025825370 730098370 /nfs/dbraw/zinc/09/83/70/730098370.db2.gz YBTLRUPLAWEIGP-SSKLVLDBSA-N -1 1 335.408 -0.530 20 0 EBADMM C[C@H](C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)[C@H]1CCCO1 ZINC001026027357 730116394 /nfs/dbraw/zinc/11/63/94/730116394.db2.gz ZUXBVFJDOPIEMS-YCGPCKTQSA-N -1 1 335.408 -0.530 20 0 EBADMM CCc1n[nH]cc1C(=O)NC[C@@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001027989751 730254466 /nfs/dbraw/zinc/25/44/66/730254466.db2.gz OQWKLWOGVVDTMP-JTQLQIEISA-N -1 1 333.396 -0.212 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H]2CNC(=O)c2ccc(C(N)=O)o2)nc1=O ZINC001028015160 730257445 /nfs/dbraw/zinc/25/74/45/730257445.db2.gz VWKRRTMQYICRRW-SECBINFHSA-N -1 1 348.363 -0.805 20 0 EBADMM COc1nc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)co1 ZINC001028053472 730261192 /nfs/dbraw/zinc/26/11/92/730261192.db2.gz BWCYPDQSOOINKM-VIFPVBQESA-N -1 1 336.352 -0.501 20 0 EBADMM COc1ccnc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)c1 ZINC001028068987 730261942 /nfs/dbraw/zinc/26/19/42/730261942.db2.gz ZNJZNQKEOHEKPT-NSHDSACASA-N -1 1 346.391 -0.094 20 0 EBADMM Cc1ccc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)c(=O)[nH]1 ZINC001028162619 730271426 /nfs/dbraw/zinc/27/14/26/730271426.db2.gz JYKKJQRKZIRZDV-NSHDSACASA-N -1 1 346.391 -0.088 20 0 EBADMM Cc1ncc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)c(C)n1 ZINC001028208157 730274456 /nfs/dbraw/zinc/27/44/56/730274456.db2.gz JLXOTSSTPGZBHD-LBPRGKRZSA-N -1 1 345.407 -0.090 20 0 EBADMM CCn1cnc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)c1 ZINC001028267973 730278956 /nfs/dbraw/zinc/27/89/56/730278956.db2.gz POELKHVZRNBHRF-NSHDSACASA-N -1 1 333.396 -0.281 20 0 EBADMM Cc1noc(C)c1C(=O)NC[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001028399261 730289980 /nfs/dbraw/zinc/28/99/80/730289980.db2.gz JBNYUIUKSIWCOG-NSHDSACASA-N -1 1 334.380 -0.035 20 0 EBADMM Cn1nccc1CN1CC[C@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001028661142 730333895 /nfs/dbraw/zinc/33/38/95/730333895.db2.gz GEBRXFAVODELEV-SECBINFHSA-N -1 1 333.352 -0.732 20 0 EBADMM Cc1cc(CN2CC[C@@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)on1 ZINC001028661104 730334274 /nfs/dbraw/zinc/33/42/74/730334274.db2.gz FLPMGQDBGMYPJD-VIFPVBQESA-N -1 1 334.336 -0.169 20 0 EBADMM Cc1nocc1CN1CC[C@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001028662185 730334366 /nfs/dbraw/zinc/33/43/66/730334366.db2.gz UQUWONWOHRRDRE-SECBINFHSA-N -1 1 334.336 -0.169 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)c3cn(C)ccc3=O)C2)nc1=O ZINC001028682008 730339207 /nfs/dbraw/zinc/33/92/07/730339207.db2.gz FAZLYEGDHIJVHG-NSHDSACASA-N -1 1 346.391 -0.941 20 0 EBADMM Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)nn1 ZINC001029303601 730397789 /nfs/dbraw/zinc/39/77/89/730397789.db2.gz VBYDBFVBDIWQTJ-TXEJJXNPSA-N -1 1 343.391 -0.304 20 0 EBADMM CC(=O)N1CC(C(=O)N2CC[C@@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001029719876 730488125 /nfs/dbraw/zinc/48/81/25/730488125.db2.gz POKOUNGYSLEQDZ-NSHDSACASA-N -1 1 336.396 -0.731 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)c2cn(C)c(=O)cn2)C1 ZINC001029788614 730501301 /nfs/dbraw/zinc/50/13/01/730501301.db2.gz ZAXJFZDENUTDLF-JTQLQIEISA-N -1 1 347.379 -0.802 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)c2c[nH]c(=O)n2C)C1 ZINC001029802474 730503832 /nfs/dbraw/zinc/50/38/32/730503832.db2.gz BNRNQFZHWZIPBL-VIFPVBQESA-N -1 1 335.368 -0.457 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)[C@@H]2CCC(=O)N2C)C1 ZINC001029807324 730505799 /nfs/dbraw/zinc/50/57/99/730505799.db2.gz DGDHBIHGFHOCCG-QWRGUYRKSA-N -1 1 336.396 -0.589 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001029841614 730511426 /nfs/dbraw/zinc/51/14/26/730511426.db2.gz NSCXNIWLFQEFCY-SECBINFHSA-N -1 1 347.379 -0.469 20 0 EBADMM Cc1nonc1CC(=O)N1CC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001029878511 730517771 /nfs/dbraw/zinc/51/77/71/730517771.db2.gz PEZDTSYKLHFAEE-JTQLQIEISA-N -1 1 335.368 -0.275 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)c2cn(C)c(=O)n2C)C1 ZINC001029911830 730524514 /nfs/dbraw/zinc/52/45/14/730524514.db2.gz RTNPSHKSQDWSLI-JTQLQIEISA-N -1 1 349.395 -0.858 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)c2cn(C)c(=O)n2C)C1 ZINC001029911831 730524716 /nfs/dbraw/zinc/52/47/16/730524716.db2.gz RTNPSHKSQDWSLI-SNVBAGLBSA-N -1 1 349.395 -0.858 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)CCc2cn(C)nn2)C1 ZINC001029916348 730525510 /nfs/dbraw/zinc/52/55/10/730525510.db2.gz HKGOGNIZEAXLFE-LLVKDONJSA-N -1 1 348.411 -0.448 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)c2cnn(C)c2N)CC1 ZINC001029958930 730528533 /nfs/dbraw/zinc/52/85/33/730528533.db2.gz ZOHCBSLAKWJNTQ-UHFFFAOYSA-N -1 1 348.411 -0.190 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)c2cnnn2C)CC1 ZINC001029961768 730528759 /nfs/dbraw/zinc/52/87/59/730528759.db2.gz KIPLPPNVSIFRFB-UHFFFAOYSA-N -1 1 334.384 -0.377 20 0 EBADMM Cc1nnc(NC(=O)CN2CC(NC(=O)c3ncccc3[O-])C2)s1 ZINC001030242807 730558326 /nfs/dbraw/zinc/55/83/26/730558326.db2.gz WYMQHWQKQCWFTH-UHFFFAOYSA-N -1 1 348.388 0.000 20 0 EBADMM Cc1nn(C(C)(C)C)cc1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030300792 730564872 /nfs/dbraw/zinc/56/48/72/730564872.db2.gz NDBQDYKNAGZFOS-UHFFFAOYSA-N -1 1 347.423 -0.017 20 0 EBADMM O=C(Cc1n[nH]c2c1CCCC2)NC1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001030312655 730566752 /nfs/dbraw/zinc/56/67/52/730566752.db2.gz DZZXJPRXMKZPEL-UHFFFAOYSA-N -1 1 331.380 -0.345 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ccnn3CC(F)F)C2)nc1=O ZINC001030312538 730566764 /nfs/dbraw/zinc/56/67/64/730566764.db2.gz LZUZAPMHSLSYBM-UHFFFAOYSA-N -1 1 341.322 -0.816 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@@H]3CCCc4c[nH]nc43)C2)nc1=O ZINC001030317143 730567225 /nfs/dbraw/zinc/56/72/25/730567225.db2.gz PVXZKVTYFNXBBO-LLVKDONJSA-N -1 1 331.380 -0.748 20 0 EBADMM CCc1nnc(C)cc1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030548071 730603629 /nfs/dbraw/zinc/60/36/29/730603629.db2.gz NYPOBLMWMCCMOI-UHFFFAOYSA-N -1 1 331.380 -0.617 20 0 EBADMM Cn1cnc2cccc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)c21 ZINC001030550172 730603985 /nfs/dbraw/zinc/60/39/85/730603985.db2.gz HBACVSHDNAXAAL-UHFFFAOYSA-N -1 1 341.375 -0.391 20 0 EBADMM Cn1cnc2cc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)ccc21 ZINC001030558880 730605881 /nfs/dbraw/zinc/60/58/81/730605881.db2.gz RXHVPVQKWXHSBL-UHFFFAOYSA-N -1 1 341.375 -0.391 20 0 EBADMM CC(C)(C)c1nc(CN2CC(NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001030616850 730614194 /nfs/dbraw/zinc/61/41/94/730614194.db2.gz MBCXLTLMYLKRIF-UHFFFAOYSA-N -1 1 348.367 -0.687 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)Cn3cnc4c3CCCC4)C2)nc1=O ZINC001030687458 730624077 /nfs/dbraw/zinc/62/40/77/730624077.db2.gz QQEYMWLMVJSGSH-UHFFFAOYSA-N -1 1 345.407 -0.816 20 0 EBADMM Cn1cnc2c1nccc2C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030935779 730655221 /nfs/dbraw/zinc/65/52/21/730655221.db2.gz LWXSJNXLJZJHOA-UHFFFAOYSA-N -1 1 342.363 -0.996 20 0 EBADMM CCOC1CC2(C[C@H]2C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001030954115 730657785 /nfs/dbraw/zinc/65/77/85/730657785.db2.gz WVOIWAGOSNZPNE-BGMSHATGSA-N -1 1 335.408 -0.386 20 0 EBADMM O=C(NC1CN(C[C@H]2CCCOC2)C1)c1c[n-]n2c1nccc2=O ZINC001031065792 730670504 /nfs/dbraw/zinc/67/05/04/730670504.db2.gz WVZVQMQXASTHNX-LLVKDONJSA-N -1 1 331.376 -0.137 20 0 EBADMM Cc1noc(C)c1[C@@H](C)C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031101549 730676296 /nfs/dbraw/zinc/67/62/96/730676296.db2.gz JUZBDJPBYFVFMB-MRVPVSSYSA-N -1 1 334.380 -0.183 20 0 EBADMM CCCn1cc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c(C)n1 ZINC001031143835 730680944 /nfs/dbraw/zinc/68/09/44/730680944.db2.gz GBHQSDUHCHGKFF-UHFFFAOYSA-N -1 1 333.396 -0.362 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cc4c([nH]3)CCCC4)C2)nc1=O ZINC001031206640 730686267 /nfs/dbraw/zinc/68/62/67/730686267.db2.gz URQYDLIIVRSYOE-UHFFFAOYSA-N -1 1 330.392 -0.071 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN([C@H](C)c2cnccn2)C1 ZINC001031249828 730693382 /nfs/dbraw/zinc/69/33/82/730693382.db2.gz IIGRKUZZOUJYLE-MRVPVSSYSA-N -1 1 346.347 -0.138 20 0 EBADMM O=C(NC1CN(CC2CC(F)(F)C2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001031251226 730694548 /nfs/dbraw/zinc/69/45/48/730694548.db2.gz GMDFRBIYFQPHON-UHFFFAOYSA-N -1 1 338.318 -0.123 20 0 EBADMM O=C(NC1CN(CCOC2CCC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001031251515 730695225 /nfs/dbraw/zinc/69/52/25/730695225.db2.gz NGOHKJMWONBBLX-UHFFFAOYSA-N -1 1 332.364 -0.599 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3c[nH]c4cccnc34)C2)nc1=O ZINC001031262311 730696429 /nfs/dbraw/zinc/69/64/29/730696429.db2.gz YHONONRHLXWECH-UHFFFAOYSA-N -1 1 327.348 -0.401 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@H]3Cc4cccnc4C3)C2)nc1=O ZINC001031312845 730700849 /nfs/dbraw/zinc/70/08/49/730700849.db2.gz UJYQWRIMCATRKF-NSHDSACASA-N -1 1 328.376 -0.781 20 0 EBADMM C[C@H]1CCn2ncc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)c2C1 ZINC001031323162 730702761 /nfs/dbraw/zinc/70/27/61/730702761.db2.gz VRJLFJNSJCJDTM-JTQLQIEISA-N -1 1 345.407 -0.499 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ccc4c(c3)OCCO4)C2)nc1=O ZINC001031381684 730712688 /nfs/dbraw/zinc/71/26/88/730712688.db2.gz ULJMWZAKMKAJCD-UHFFFAOYSA-N -1 1 345.359 -0.506 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cncc4[nH]cnc43)C2)nc1=O ZINC001031689831 730751686 /nfs/dbraw/zinc/75/16/86/730751686.db2.gz ZUMAQXDGQVOLBB-UHFFFAOYSA-N -1 1 342.363 -0.759 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cc4n(n3)CCCC4)C2)nc1=O ZINC001031754465 730763261 /nfs/dbraw/zinc/76/32/61/730763261.db2.gz FJNVJSHWQIIATE-UHFFFAOYSA-N -1 1 345.407 -0.497 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@@H]3CCc4nccn4C3)C2)nc1=O ZINC001031782774 730768422 /nfs/dbraw/zinc/76/84/22/730768422.db2.gz LSDHETYKHIXARV-GFCCVEGCSA-N -1 1 345.407 -0.885 20 0 EBADMM Cc1nc([C@@H](C)N2CC(CNC(=O)c3cnc([O-])n(C)c3=O)C2)n[nH]1 ZINC001031895125 730786726 /nfs/dbraw/zinc/78/67/26/730786726.db2.gz NWAJRQBGBDCKKH-MRVPVSSYSA-N -1 1 347.379 -0.665 20 0 EBADMM Cc1nc(C)c(CN2CC(CNC(=O)c3cnc([O-])n(C)c3=O)C2)o1 ZINC001031895134 730786740 /nfs/dbraw/zinc/78/67/40/730786740.db2.gz OCGIQQYSHWVGEW-UHFFFAOYSA-N -1 1 347.375 -0.047 20 0 EBADMM CC(C)N1CCO[C@@H](C(=O)NCC2CN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001031921081 730792376 /nfs/dbraw/zinc/79/23/76/730792376.db2.gz HLDRXQKIQWWDLL-GFCCVEGCSA-N -1 1 338.412 -0.833 20 0 EBADMM CO[C@H]1CCC[C@H](C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001031937604 730795148 /nfs/dbraw/zinc/79/51/48/730795148.db2.gz ZOYFRHXZNQHZGZ-STQMWFEESA-N -1 1 337.424 -0.138 20 0 EBADMM CO[C@@H]1CCC[C@@H](C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001031937601 730795227 /nfs/dbraw/zinc/79/52/27/730795227.db2.gz ZOYFRHXZNQHZGZ-CHWSQXEVSA-N -1 1 337.424 -0.138 20 0 EBADMM CO[C@H]1CCC[C@@H](C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001031937602 730795239 /nfs/dbraw/zinc/79/52/39/730795239.db2.gz ZOYFRHXZNQHZGZ-OLZOCXBDSA-N -1 1 337.424 -0.138 20 0 EBADMM CCc1c(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)nnn1CC ZINC001031972021 730798087 /nfs/dbraw/zinc/79/80/87/730798087.db2.gz SHHSZLARBJZMHS-UHFFFAOYSA-N -1 1 348.411 -0.856 20 0 EBADMM Cc1c(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)nnn1C(C)C ZINC001032080647 730811461 /nfs/dbraw/zinc/81/14/61/730811461.db2.gz IPLCJKMPFCFRNP-UHFFFAOYSA-N -1 1 348.411 -0.549 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cnn4c3CCCC4)C2)nc1=O ZINC001032105530 730812775 /nfs/dbraw/zinc/81/27/75/730812775.db2.gz FSOJEVXNQUMSEQ-UHFFFAOYSA-N -1 1 345.407 -0.497 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CN(Cc2ccon2)C1 ZINC001032126370 730815531 /nfs/dbraw/zinc/81/55/31/730815531.db2.gz BZPWMWDANKDGNS-UHFFFAOYSA-N -1 1 335.320 -0.254 20 0 EBADMM Cc1cc(CN2CC(CNC(=O)c3cc(=O)n4[n-]cnc4n3)C2)on1 ZINC001032128010 730816225 /nfs/dbraw/zinc/81/62/25/730816225.db2.gz OSXZVUSLIAXXLJ-UHFFFAOYSA-N -1 1 343.347 -0.424 20 0 EBADMM O=C(NCC1CN(Cc2ccns2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001032127987 730816403 /nfs/dbraw/zinc/81/64/03/730816403.db2.gz MQPSMCIIYJOUGV-UHFFFAOYSA-N -1 1 345.388 -0.264 20 0 EBADMM CN(C)c1ccncc1C(=O)NCC1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001032132023 730816678 /nfs/dbraw/zinc/81/66/78/730816678.db2.gz FNURDEDBRMMCIA-UHFFFAOYSA-N -1 1 331.380 -0.167 20 0 EBADMM Cc1cc(CN2CC(CNC(=O)c3cc(=O)n4[n-]cnc4n3)C2)no1 ZINC001032128109 730816823 /nfs/dbraw/zinc/81/68/23/730816823.db2.gz RKUDEYCCTOUVNT-UHFFFAOYSA-N -1 1 343.347 -0.424 20 0 EBADMM CCOc1ncccc1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032163428 730820279 /nfs/dbraw/zinc/82/02/79/730820279.db2.gz QFESDTKPABUXPF-UHFFFAOYSA-N -1 1 346.391 -0.236 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@H]3[C@H]4[C@@H]3[C@@H]3CC[C@H]4C3)C2)nc1=O ZINC001032217738 730831447 /nfs/dbraw/zinc/83/14/47/730831447.db2.gz OYDCVOSXDFWKTP-OPTZYUCBSA-N -1 1 331.420 -0.052 20 0 EBADMM Cc1ncncc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032295574 730838212 /nfs/dbraw/zinc/83/82/12/730838212.db2.gz HHCHAFVQYPETLL-QWRGUYRKSA-N -1 1 329.364 -0.694 20 0 EBADMM CC[C@@H](CN1C[C@@H]2C[C@H]1CN2C(=O)c1cnc([O-])n(C)c1=O)OC ZINC001032557763 730888639 /nfs/dbraw/zinc/88/86/39/730888639.db2.gz YFDVGVMPURORED-SRVKXCTJSA-N -1 1 336.392 -0.190 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032582279 730893666 /nfs/dbraw/zinc/89/36/66/730893666.db2.gz UWJKQWPOMXPKRJ-UWVGGRQHSA-N -1 1 345.363 -0.989 20 0 EBADMM C[C@@H](Cc1cnc[nH]1)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[n-]1 ZINC001032674312 730908656 /nfs/dbraw/zinc/90/86/56/730908656.db2.gz MJPUBQJLEQQQOR-DLOVCJGASA-N -1 1 331.380 -0.103 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)[C@]2(C)CCCOC2)nc1=O ZINC001032703315 730914512 /nfs/dbraw/zinc/91/45/12/730914512.db2.gz PODJRBKSGKEZAG-MQIPJXDCSA-N -1 1 335.408 -0.290 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1cnon1 ZINC001032729609 730919981 /nfs/dbraw/zinc/91/99/81/730919981.db2.gz FFURSLWUHPZESK-IUCAKERBSA-N -1 1 348.319 -0.622 20 0 EBADMM Cn1cc(CCC(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)cn1 ZINC001032800737 730934484 /nfs/dbraw/zinc/93/44/84/730934484.db2.gz XJIWQOFHIBGSJX-STQMWFEESA-N -1 1 345.407 -0.740 20 0 EBADMM CN(C(=O)Cc1ccn(C)n1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033074718 730966379 /nfs/dbraw/zinc/96/63/79/730966379.db2.gz JSSQMGWVKIVFIM-LBPRGKRZSA-N -1 1 333.396 -0.883 20 0 EBADMM CN(C(=O)[C@@H]1CCO[C@H]1C1CC1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033282824 730999832 /nfs/dbraw/zinc/99/98/32/730999832.db2.gz MANFZPYUWLHUFL-NFAWXSAZSA-N -1 1 349.435 -0.044 20 0 EBADMM COc1nc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)co1 ZINC001033303407 731003416 /nfs/dbraw/zinc/00/34/16/731003416.db2.gz JSLOLWCABFLKHW-VIFPVBQESA-N -1 1 336.352 -0.549 20 0 EBADMM Cc1nc(C)c(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)[nH]1 ZINC001033430689 731023211 /nfs/dbraw/zinc/02/32/11/731023211.db2.gz ABEYIHRDKAEABL-LLVKDONJSA-N -1 1 333.396 -0.205 20 0 EBADMM CCn1cc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c(C)n1 ZINC001033466862 731025745 /nfs/dbraw/zinc/02/57/45/731025745.db2.gz QAMNWQAJTJEFJF-LBPRGKRZSA-N -1 1 347.423 -0.020 20 0 EBADMM CC[C@@H](C(N)=O)N1CC[C@@H](N(C)C(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001033486412 731028316 /nfs/dbraw/zinc/02/83/16/731028316.db2.gz VUJGORDVQUPLTO-PWSUYJOCSA-N -1 1 346.391 -0.567 20 0 EBADMM COC[C@H](C)N1CC[C@@H](N(C)C(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001033510625 731033803 /nfs/dbraw/zinc/03/38/03/731033803.db2.gz WNJMYNXYKRWIKK-NWDGAFQWSA-N -1 1 348.407 -0.361 20 0 EBADMM COc1ccc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001033555240 731038376 /nfs/dbraw/zinc/03/83/76/731038376.db2.gz NEJHYVDYRDLVES-SNVBAGLBSA-N -1 1 347.379 -0.747 20 0 EBADMM COc1ncc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001033554703 731038386 /nfs/dbraw/zinc/03/83/86/731038386.db2.gz OLCPLABUMJBBIR-LLVKDONJSA-N -1 1 347.379 -0.747 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)[C@H]1CCN(Cc2cnon2)C1 ZINC001033582477 731043900 /nfs/dbraw/zinc/04/39/00/731043900.db2.gz MYWYQSQPHYEXRC-JTQLQIEISA-N -1 1 344.335 -0.853 20 0 EBADMM CCN(C(=O)c1n[nH]c(=O)[n-]c1=O)[C@@H]1CCN(CCn2cncn2)C1 ZINC001033883823 731083609 /nfs/dbraw/zinc/08/36/09/731083609.db2.gz UICCULLLTLWZQC-SNVBAGLBSA-N -1 1 348.367 -0.889 20 0 EBADMM CCN(C(=O)c1c(C)cnn1C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033927137 731089251 /nfs/dbraw/zinc/08/92/51/731089251.db2.gz JAJPOFWYLHJULT-LBPRGKRZSA-N -1 1 347.423 -0.113 20 0 EBADMM CCN(C(=O)c1ncccn1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033993628 731096992 /nfs/dbraw/zinc/09/69/92/731096992.db2.gz APIIFHRPDYKHJT-NSHDSACASA-N -1 1 331.380 -0.365 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC001034119441 731104410 /nfs/dbraw/zinc/10/44/10/731104410.db2.gz LAOMWTHYQSOSCK-GFCCVEGCSA-N -1 1 347.423 -0.065 20 0 EBADMM Cc1cnn(CC(=O)N[C@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001034123793 731104579 /nfs/dbraw/zinc/10/45/79/731104579.db2.gz RSKVYQIVRCJVLC-ZDUSSCGKSA-N -1 1 347.423 -0.216 20 0 EBADMM Cn1[nH]c(CN2CCCC[C@@H](NC(=O)c3ncccc3[O-])C2)nc1=O ZINC001034182492 731108792 /nfs/dbraw/zinc/10/87/92/731108792.db2.gz SORHYMCBDKWGLZ-LLVKDONJSA-N -1 1 346.391 -0.007 20 0 EBADMM Cn1ccc(C(=O)N[C@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001034525718 731138430 /nfs/dbraw/zinc/13/84/30/731138430.db2.gz PKTAZKGPNCNFOK-NSHDSACASA-N -1 1 333.396 -0.374 20 0 EBADMM Cc1nonc1C(=O)N[C@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034550342 731140838 /nfs/dbraw/zinc/14/08/38/731140838.db2.gz UMFNUOICRNUDGN-JTQLQIEISA-N -1 1 335.368 -0.416 20 0 EBADMM O=C(CC1OCCCO1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034916755 731163689 /nfs/dbraw/zinc/16/36/89/731163689.db2.gz ARMVHLKWXQAQIL-SNVBAGLBSA-N -1 1 325.369 -0.256 20 0 EBADMM CN(C)Cc1cc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)no1 ZINC001034978315 731171458 /nfs/dbraw/zinc/17/14/58/731171458.db2.gz GRHATSOXAXIFIO-SNVBAGLBSA-N -1 1 349.395 -0.046 20 0 EBADMM O=C(c1cn2cccnc2n1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034982137 731172024 /nfs/dbraw/zinc/17/20/24/731172024.db2.gz ONXSETOSZREWIO-SNVBAGLBSA-N -1 1 342.363 -0.053 20 0 EBADMM O=C([C@H]1CCS(=O)(=O)C1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034987211 731173412 /nfs/dbraw/zinc/17/34/12/731173412.db2.gz JTRJNRQWIWBKAE-VHSXEESVSA-N -1 1 343.409 -0.974 20 0 EBADMM Cn1ccc(CNC[C@H]2CCCN2C(=O)c2cnc([O-])n(C)c2=O)n1 ZINC001035007340 731175775 /nfs/dbraw/zinc/17/57/75/731175775.db2.gz HMBYFWDEIZYCOT-GFCCVEGCSA-N -1 1 346.391 -0.386 20 0 EBADMM O=C(c1ccc2nncn2c1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035074989 731182458 /nfs/dbraw/zinc/18/24/58/731182458.db2.gz HSPIFOYOAGVDLO-LLVKDONJSA-N -1 1 342.363 -0.053 20 0 EBADMM NC(=O)c1cc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)c[nH]1 ZINC001035129482 731189766 /nfs/dbraw/zinc/18/97/66/731189766.db2.gz WLTSHXTZVXGJNP-SECBINFHSA-N -1 1 333.352 -0.668 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)c3ccc(F)cc3)C2)nc1=O ZINC001035267996 731202578 /nfs/dbraw/zinc/20/25/78/731202578.db2.gz UXWJBMYCVRAXDP-CYBMUJFWSA-N -1 1 349.366 -0.122 20 0 EBADMM Cc1ncoc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035323356 731215216 /nfs/dbraw/zinc/21/52/16/731215216.db2.gz RPADJAXHNSIFKO-JTQLQIEISA-N -1 1 336.352 -0.964 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)oc1C ZINC001035343361 731221533 /nfs/dbraw/zinc/22/15/33/731221533.db2.gz NOJABWXCKMVBGV-GFCCVEGCSA-N -1 1 349.391 -0.051 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)oc1C ZINC001035343363 731221575 /nfs/dbraw/zinc/22/15/75/731221575.db2.gz NOJABWXCKMVBGV-LBPRGKRZSA-N -1 1 349.391 -0.051 20 0 EBADMM O=C(NC[C@H]1CN([C@H]2CCC(=O)NC2=O)CCO1)c1ncccc1[O-] ZINC001035343343 731221702 /nfs/dbraw/zinc/22/17/02/731221702.db2.gz HVZDTKCVZLPGNQ-QWRGUYRKSA-N -1 1 348.359 -0.977 20 0 EBADMM C[C@H](C(=O)N(C)C)N1CCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001035344340 731222288 /nfs/dbraw/zinc/22/22/88/731222288.db2.gz QCRSTIFRKNIKAZ-VXGBXAGGSA-N -1 1 336.392 -0.306 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@H]2CCCc3n[nH]cc32)nc1=O ZINC001038247946 731298999 /nfs/dbraw/zinc/29/89/99/731298999.db2.gz XECNNTLGMOAZKG-QWRGUYRKSA-N -1 1 345.407 -0.358 20 0 EBADMM CC(C)c1cc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)nn1C ZINC001038257238 731300248 /nfs/dbraw/zinc/30/02/48/731300248.db2.gz ZMXWXJZFXHMUEY-LLVKDONJSA-N -1 1 347.423 -0.030 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@@H]2CCCc3c[nH]nc32)nc1=O ZINC001038262356 731300368 /nfs/dbraw/zinc/30/03/68/731300368.db2.gz MSJPSVAAFOGOBM-NWDGAFQWSA-N -1 1 345.407 -0.358 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2ccc3cc[nH]c3n2)nc1=O ZINC001038376843 731315138 /nfs/dbraw/zinc/31/51/38/731315138.db2.gz JRNDAINLCYRRMV-NSHDSACASA-N -1 1 341.375 -0.011 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cnc3n[nH]cc3c2)nc1=O ZINC001038396009 731318460 /nfs/dbraw/zinc/31/84/60/731318460.db2.gz WUQJOIVASQDIBT-NSHDSACASA-N -1 1 342.363 -0.616 20 0 EBADMM Cc1nc([C@@H](C)N2CC[C@H]2CNC(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC001038464873 731330503 /nfs/dbraw/zinc/33/05/03/731330503.db2.gz HIWKDXHUVNLDQS-SVRRBLITSA-N -1 1 334.340 -0.725 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cc3n(n2)CCCO3)nc1=O ZINC001038505242 731336744 /nfs/dbraw/zinc/33/67/44/731336744.db2.gz WUNOCUZTFCIZEX-JTQLQIEISA-N -1 1 347.379 -0.908 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@]23CCC[C@H]2OCC3)nc1=O ZINC001038508863 731337322 /nfs/dbraw/zinc/33/73/22/731337322.db2.gz QUYQZNZISOGUBQ-HSMVNMDESA-N -1 1 335.408 -0.242 20 0 EBADMM CC(C)n1cnc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)c1 ZINC001038550544 731342987 /nfs/dbraw/zinc/34/29/87/731342987.db2.gz GEKMKKBAQMAWIH-NSHDSACASA-N -1 1 333.396 -0.110 20 0 EBADMM Cc1cc(C)c(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)c(=O)[nH]1 ZINC001038565085 731344568 /nfs/dbraw/zinc/34/45/68/731344568.db2.gz VDJRJUVXANAGQR-LLVKDONJSA-N -1 1 346.391 -0.170 20 0 EBADMM Cc1nc([C@@H](C)N2CC[C@H]2CNC(=O)c2cnc([O-])n(C)c2=O)n[nH]1 ZINC001038583973 731347340 /nfs/dbraw/zinc/34/73/40/731347340.db2.gz RSZSHOWKEYEZTL-SCZZXKLOSA-N -1 1 347.379 -0.522 20 0 EBADMM Cc1n[nH]c(=O)c(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)c1C ZINC001038586772 731348467 /nfs/dbraw/zinc/34/84/67/731348467.db2.gz WMQPVZKHQKHMMZ-JTQLQIEISA-N -1 1 347.379 -0.775 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2conc2C2CC2)nc1=O ZINC001038682482 731363441 /nfs/dbraw/zinc/36/34/41/731363441.db2.gz CKZSGHYTKXETBO-SNVBAGLBSA-N -1 1 332.364 -0.022 20 0 EBADMM CCn1cc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)c(C)n1 ZINC001038751581 731371451 /nfs/dbraw/zinc/37/14/51/731371451.db2.gz BAAGXBUOLSWJJJ-LLVKDONJSA-N -1 1 333.396 -0.362 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2ccc3nncn3c2)nc1=O ZINC001038806343 731378815 /nfs/dbraw/zinc/37/88/15/731378815.db2.gz KYFPPOVMYGDZEL-LLVKDONJSA-N -1 1 342.363 -0.845 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cccn3ccnc23)nc1=O ZINC001038804887 731378918 /nfs/dbraw/zinc/37/89/18/731378918.db2.gz QJPZVUFEDQTJHN-NSHDSACASA-N -1 1 341.375 -0.240 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cn(CC3CC3)nn2)nc1=O ZINC001038866461 731386400 /nfs/dbraw/zinc/38/64/00/731386400.db2.gz OQKUOIVAVLAZTD-NSHDSACASA-N -1 1 346.395 -0.886 20 0 EBADMM Cc1cc(=O)c(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)c[nH]1 ZINC001038873147 731387178 /nfs/dbraw/zinc/38/71/78/731387178.db2.gz YBZIZOWAWTYQFU-SNVBAGLBSA-N -1 1 332.364 -0.891 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2ccc3nccn3c2)nc1=O ZINC001038907825 731390185 /nfs/dbraw/zinc/39/01/85/731390185.db2.gz DRHPCBZLYURNPH-LBPRGKRZSA-N -1 1 341.375 -0.240 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCN1Cc1ncccn1 ZINC001038917913 731392284 /nfs/dbraw/zinc/39/22/84/731392284.db2.gz UASVVBLNOKVHRJ-VIFPVBQESA-N -1 1 346.347 -0.309 20 0 EBADMM O=C(NC[C@@H]1CCN1Cc1csnn1)c1cc(=O)n2[n-]cnc2n1 ZINC001038919197 731392669 /nfs/dbraw/zinc/39/26/69/731392669.db2.gz BUJLWTYUGNDJBV-VIFPVBQESA-N -1 1 346.376 -0.727 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2c[nH]c3cccnc23)nc1=O ZINC001038924259 731393680 /nfs/dbraw/zinc/39/36/80/731393680.db2.gz WOLOXUZHYVCDDF-JTQLQIEISA-N -1 1 341.375 -0.011 20 0 EBADMM Cc1nc(CN2CC[C@H]2CNC(=O)c2cc(=O)n3[n-]cnc3n2)co1 ZINC001038923913 731393793 /nfs/dbraw/zinc/39/37/93/731393793.db2.gz VXCXYZJQWAWGNN-NSHDSACASA-N -1 1 343.347 -0.282 20 0 EBADMM CCOc1ncccc1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038973896 731398744 /nfs/dbraw/zinc/39/87/44/731398744.db2.gz BSHYFGWTWCUKEK-LLVKDONJSA-N -1 1 346.391 -0.094 20 0 EBADMM CN(C)c1ncccc1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001039019021 731404729 /nfs/dbraw/zinc/40/47/29/731404729.db2.gz PNTPTWDPKYVJPI-NSHDSACASA-N -1 1 345.407 -0.426 20 0 EBADMM O=C(NC[C@@]1(O)CCN(C(=O)c2ncccc2[O-])C1)[C@]12C[C@H]1COC2 ZINC001040067274 731583458 /nfs/dbraw/zinc/58/34/58/731583458.db2.gz YKLDWHGGVDUSMI-GOPGUHFVSA-N -1 1 347.371 -0.483 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@]3(CCN(C(=O)c4cn[nH]n4)C3)C2)nc1=O ZINC001040272650 731677098 /nfs/dbraw/zinc/67/70/98/731677098.db2.gz GVIQVFDHEPDAOV-OAHLLOKOSA-N -1 1 346.395 -0.645 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)c4ccon4)C[C@H]32)nc1=O ZINC001041950040 732261997 /nfs/dbraw/zinc/26/19/97/732261997.db2.gz NVPXDISSONRPFQ-ZYHUDNBSSA-N -1 1 332.364 -0.167 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)c4cnsn4)C[C@H]32)nc1=O ZINC001041953321 732262842 /nfs/dbraw/zinc/26/28/42/732262842.db2.gz IUBBFUVVYUYDAR-MWLCHTKSSA-N -1 1 349.420 -0.304 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)c4cn[nH]c4)C[C@@H]32)nc1=O ZINC001041954843 732263125 /nfs/dbraw/zinc/26/31/25/732263125.db2.gz RAZPVLFHQXAJPY-JQWIXIFHSA-N -1 1 331.380 -0.432 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)c4cnns4)C[C@H]32)nc1=O ZINC001041959417 732263368 /nfs/dbraw/zinc/26/33/68/732263368.db2.gz ZBFLZHUBUDXYPL-VHSXEESVSA-N -1 1 349.420 -0.304 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)c4cocn4)C[C@@H]32)nc1=O ZINC001041973679 732265662 /nfs/dbraw/zinc/26/56/62/732265662.db2.gz VUDYQHPIOSXJFW-JQWIXIFHSA-N -1 1 332.364 -0.167 20 0 EBADMM Cn1nccc1C(=O)N1CC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1 ZINC001041973770 732265791 /nfs/dbraw/zinc/26/57/91/732265791.db2.gz WLSIIQHDEZHBSI-YPMHNXCESA-N -1 1 345.407 -0.422 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)Cc4cnoc4)C[C@H]32)nc1=O ZINC001042197422 732352505 /nfs/dbraw/zinc/35/25/05/732352505.db2.gz BLUDLZSTUKVXNV-CHWSQXEVSA-N -1 1 346.391 -0.238 20 0 EBADMM Cc1nonc1C(=O)N1CC[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1 ZINC001042378833 732421650 /nfs/dbraw/zinc/42/16/50/732421650.db2.gz BAEYKQMJTRAZAK-QWRGUYRKSA-N -1 1 347.379 -0.464 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(CC(=O)N2CCOCC2)C1 ZINC001042644988 732512481 /nfs/dbraw/zinc/51/24/81/732512481.db2.gz HBGXAIWHFUSKCA-UHFFFAOYSA-N -1 1 334.376 -0.598 20 0 EBADMM CCOC1CC(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)C1 ZINC001042712767 732546176 /nfs/dbraw/zinc/54/61/76/732546176.db2.gz HVTXRNGNFJMXDO-UHFFFAOYSA-N -1 1 349.387 -0.095 20 0 EBADMM CCCCOCC(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042712562 732546280 /nfs/dbraw/zinc/54/62/80/732546280.db2.gz CMBHCLQOSPHLFK-UHFFFAOYSA-N -1 1 337.376 -0.093 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2cnsn2)C1)c1ncccc1[O-] ZINC001042713282 732547298 /nfs/dbraw/zinc/54/72/98/732547298.db2.gz RGDINWGIMUXAMS-UHFFFAOYSA-N -1 1 335.345 -0.744 20 0 EBADMM COCC1(CC(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)CC1 ZINC001042716428 732548334 /nfs/dbraw/zinc/54/83/34/732548334.db2.gz MUUNLXXPNUZOBP-UHFFFAOYSA-N -1 1 349.387 -0.093 20 0 EBADMM O=C(NCC1(O)CN(C(=O)[C@H]2C[C@@]23CCOC3)C1)c1ncccc1[O-] ZINC001042716644 732548834 /nfs/dbraw/zinc/54/88/34/732548834.db2.gz WDDZWWYJQQUIAG-BDJLRTHQSA-N -1 1 347.371 -0.483 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)CO1 ZINC001042719451 732550109 /nfs/dbraw/zinc/55/01/09/732550109.db2.gz DJDBLLDDLJLSJF-GHMZBOCLSA-N -1 1 335.360 -0.485 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042720012 732550480 /nfs/dbraw/zinc/55/04/80/732550480.db2.gz PZXGWOUDUJFFDG-UHFFFAOYSA-N -1 1 347.331 -0.859 20 0 EBADMM CC(C)Cc1nc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c[nH]1 ZINC001042720222 732551168 /nfs/dbraw/zinc/55/11/68/732551168.db2.gz BOHAUZGVMPGFDE-UHFFFAOYSA-N -1 1 347.423 -0.014 20 0 EBADMM CC[C@@H]1CCO[C@@H]1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042720549 732551606 /nfs/dbraw/zinc/55/16/06/732551606.db2.gz YVLBRLCAYVJKON-RISCZKNCSA-N -1 1 349.387 -0.095 20 0 EBADMM CO[C@@H](C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC001042837684 732618653 /nfs/dbraw/zinc/61/86/53/732618653.db2.gz OAPNOTRKUUPORZ-CYBMUJFWSA-N -1 1 335.360 -0.485 20 0 EBADMM CN(C(=O)c1cc2c([nH]1)CCOC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042894351 732647998 /nfs/dbraw/zinc/64/79/98/732647998.db2.gz JUNXSUGGLIAFHA-UHFFFAOYSA-N -1 1 346.391 -0.534 20 0 EBADMM CCOc1nc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)co1 ZINC001043227425 732836113 /nfs/dbraw/zinc/83/61/13/732836113.db2.gz USRAOVGZQABZKV-UHFFFAOYSA-N -1 1 336.352 -0.549 20 0 EBADMM CN(C(=O)[C@@H]1Cc2ccccc2O1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043262680 732848419 /nfs/dbraw/zinc/84/84/19/732848419.db2.gz BSHNFTNSJBEVDZ-AWEZNQCLSA-N -1 1 343.387 -0.245 20 0 EBADMM Cc1nc([C@H](C)N2CC(N(C)C(=O)c3cnc([O-])n(C)c3=O)C2)n[nH]1 ZINC001043511077 732978231 /nfs/dbraw/zinc/97/82/31/732978231.db2.gz RTFPXYDBTCYFAI-QMMMGPOBSA-N -1 1 347.379 -0.570 20 0 EBADMM CO[C@@H]1CCC[C@@H]1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001043626136 733030924 /nfs/dbraw/zinc/03/09/24/733030924.db2.gz PNDIMOGXBPUGMT-WCQYABFASA-N -1 1 349.387 -0.095 20 0 EBADMM CO[C@@H]1CCC[C@H]1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001043626133 733030974 /nfs/dbraw/zinc/03/09/74/733030974.db2.gz PNDIMOGXBPUGMT-DGCLKSJQSA-N -1 1 349.387 -0.095 20 0 EBADMM CNC(=O)[C@H](C)N1CC(N(C)C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001044015864 733248493 /nfs/dbraw/zinc/24/84/93/733248493.db2.gz CLAKEZABWZIUDA-VIFPVBQESA-N -1 1 333.392 -0.050 20 0 EBADMM CCc1nc(C)c(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)o1 ZINC001044142905 733300627 /nfs/dbraw/zinc/30/06/27/733300627.db2.gz UNKAIFKQWHFAIU-UHFFFAOYSA-N -1 1 334.380 -0.076 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(Cc2nccn2C)C1 ZINC001044181545 733321677 /nfs/dbraw/zinc/32/16/77/733321677.db2.gz AFUQZMIEXSPFTQ-UHFFFAOYSA-N -1 1 342.363 -0.893 20 0 EBADMM C[C@@H](C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1)c1cnn(C)c1 ZINC001044396574 733413407 /nfs/dbraw/zinc/41/34/07/733413407.db2.gz IWBKQMTYBOTBQS-SNVBAGLBSA-N -1 1 333.396 -0.712 20 0 EBADMM C[C@H]1C[C@H](NCc2ncnn2C)CCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001044662861 733474853 /nfs/dbraw/zinc/47/48/53/733474853.db2.gz FMJMCWKJUGMGIW-DTWKUNHWSA-N -1 1 348.367 -0.806 20 0 EBADMM C[C@@H]1C[C@@H](NCc2ncnn2C)CCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001044662860 733474979 /nfs/dbraw/zinc/47/49/79/733474979.db2.gz FMJMCWKJUGMGIW-BDAKNGLRSA-N -1 1 348.367 -0.806 20 0 EBADMM CC[C@@]1(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CCNC1=O ZINC001045075647 733573806 /nfs/dbraw/zinc/57/38/06/733573806.db2.gz CGFCTSSUAHDIQP-ZUZCIYMTSA-N -1 1 336.396 -0.493 20 0 EBADMM Cc1cc(CNC[C@@H]2CCCN2C(=O)c2n[nH]c(=O)[n-]c2=O)ncn1 ZINC001045106250 733583249 /nfs/dbraw/zinc/58/32/49/733583249.db2.gz DXEMHFWYPIWRCI-NSHDSACASA-N -1 1 345.363 -0.224 20 0 EBADMM O=C([C@@H]1CCNC(=O)CC1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045136690 733595765 /nfs/dbraw/zinc/59/57/65/733595765.db2.gz LHJDSNDCAZHPAY-QWRGUYRKSA-N -1 1 336.396 -0.493 20 0 EBADMM Cn1ccnc1CNC[C@@H]1CCCN1C(=O)c1cnc([O-])n(C)c1=O ZINC001045148351 733601660 /nfs/dbraw/zinc/60/16/60/733601660.db2.gz YODRKRAURGNRSA-NSHDSACASA-N -1 1 346.391 -0.386 20 0 EBADMM NC(=O)Cc1occc1C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045172337 733609544 /nfs/dbraw/zinc/60/95/44/733609544.db2.gz UMKRSFSJDVKGIK-VIFPVBQESA-N -1 1 348.363 -0.475 20 0 EBADMM O=C([C@@H]1CCCCC(=O)N1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045196333 733618739 /nfs/dbraw/zinc/61/87/39/733618739.db2.gz IJKURZMSXMLTGV-QWRGUYRKSA-N -1 1 336.396 -0.350 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@H]1CNCc1cnon1 ZINC001045242664 733633967 /nfs/dbraw/zinc/63/39/67/733633967.db2.gz DPQIZWFKHGRRTQ-LBPRGKRZSA-N -1 1 348.363 -0.910 20 0 EBADMM O=C(CCn1cnccc1=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045259967 733639530 /nfs/dbraw/zinc/63/95/30/733639530.db2.gz OOFJWHFUUSCYON-NSHDSACASA-N -1 1 347.379 -0.762 20 0 EBADMM Cc1cc(=O)c(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)c[nH]1 ZINC001045261138 733639616 /nfs/dbraw/zinc/63/96/16/733639616.db2.gz DODHANAPEOVITH-JTQLQIEISA-N -1 1 332.364 -0.099 20 0 EBADMM O=C(CCn1cccc1)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001045272605 733644391 /nfs/dbraw/zinc/64/43/91/733644391.db2.gz KRIIUYHERGNMKZ-UHFFFAOYSA-N -1 1 344.371 -0.018 20 0 EBADMM NC(=O)c1cc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)c[nH]1 ZINC001045312838 733666812 /nfs/dbraw/zinc/66/68/12/733666812.db2.gz WLTSHXTZVXGJNP-VIFPVBQESA-N -1 1 333.352 -0.668 20 0 EBADMM C[C@@H](C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1)N1CCOCC1 ZINC001045333722 733670765 /nfs/dbraw/zinc/67/07/65/733670765.db2.gz UDMJMOCNZVPXPV-RYUDHWBXSA-N -1 1 338.412 -0.688 20 0 EBADMM CCn1nc(C)c(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)n1 ZINC001045333802 733670972 /nfs/dbraw/zinc/67/09/72/733670972.db2.gz ICPPTKPLPRPMIE-JTQLQIEISA-N -1 1 334.384 -0.175 20 0 EBADMM Cn1[n-]c(CN2CCC(C)(NC(=O)c3cncnc3)CC2)nc1=O ZINC001045414437 733690875 /nfs/dbraw/zinc/69/08/75/733690875.db2.gz QCARQWKUJBMJPT-UHFFFAOYSA-N -1 1 331.380 -0.317 20 0 EBADMM Cn1nccc1CN1CCC(C)(NC(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001045495716 733706647 /nfs/dbraw/zinc/70/66/47/733706647.db2.gz QUZFIHMIOZTHCV-UHFFFAOYSA-N -1 1 347.379 -0.199 20 0 EBADMM Cn1cc(C(=O)N2CC[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)cn1 ZINC001045709486 733757058 /nfs/dbraw/zinc/75/70/58/733757058.db2.gz YFUSOLVNPLGAQH-OLZOCXBDSA-N -1 1 345.407 -0.279 20 0 EBADMM Cn1[n-]c(CN2CC[C@](C)(NC(=O)c3cc[nH]c(=O)c3)C2)nc1=O ZINC001046232700 733888779 /nfs/dbraw/zinc/88/87/79/733888779.db2.gz XQTDCRDQQMZSQQ-HNNXBMFYSA-N -1 1 332.364 -0.397 20 0 EBADMM Cn1[nH]c(CN2CC[C@](C)(NC(=O)c3ncccc3[O-])C2)nc1=O ZINC001046262526 733898644 /nfs/dbraw/zinc/89/86/44/733898644.db2.gz VQCVKWJSRTZCHD-HNNXBMFYSA-N -1 1 332.364 -0.397 20 0 EBADMM Cn1[n-]c(CN2CC[C@](C)(NC(=O)c3cc4n(n3)CCC4)C2)nc1=O ZINC001046363188 733917314 /nfs/dbraw/zinc/91/73/14/733917314.db2.gz XHCZXFGVFIBMKM-INIZCTEOSA-N -1 1 345.407 -0.355 20 0 EBADMM Cc1ncn(C)c1C(=O)N[C@@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001046397673 733927006 /nfs/dbraw/zinc/92/70/06/733927006.db2.gz MDOTTXRTAAEJRH-HNNXBMFYSA-N -1 1 333.396 -0.455 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](C)(NC(=O)c3n[nH]c4c3CCC4)C2)nc1=O ZINC001046441036 733935443 /nfs/dbraw/zinc/93/54/43/733935443.db2.gz ZRVSZHNZHTWFAI-MRXNPFEDSA-N -1 1 345.407 -0.285 20 0 EBADMM Cc1nc(CN2CC[C@@](C)(NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001046455559 733940074 /nfs/dbraw/zinc/94/00/74/733940074.db2.gz ZMWASHOVEOSHNS-CYBMUJFWSA-N -1 1 334.340 -0.896 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](C)(NC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C2)nc1=O ZINC001046495936 733948851 /nfs/dbraw/zinc/94/88/51/733948851.db2.gz LJBWWCPYYIUOLF-PEAFKYFUSA-N -1 1 347.419 -0.388 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)N[C@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001046560926 733965718 /nfs/dbraw/zinc/96/57/18/733965718.db2.gz CCZJVEJRLAEXMK-OAHLLOKOSA-N -1 1 347.379 -0.693 20 0 EBADMM Cc1ncc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)n1C ZINC001046570180 733966965 /nfs/dbraw/zinc/96/69/65/733966965.db2.gz WLCOHTRIVBEULZ-OAHLLOKOSA-N -1 1 333.396 -0.455 20 0 EBADMM C[C@@]1(NC(=O)[C@H]2CCCc3n[nH]nc32)CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001046574688 733968617 /nfs/dbraw/zinc/96/86/17/733968617.db2.gz KXDOVRBFUVAHGO-BJOHPYRUSA-N -1 1 346.395 -0.171 20 0 EBADMM COc1cncc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001046681509 734001075 /nfs/dbraw/zinc/00/10/75/734001075.db2.gz OWWXDNORFZSNFU-OAHLLOKOSA-N -1 1 347.379 -0.699 20 0 EBADMM Cc1cc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)nc(C)n1 ZINC001046695981 734008598 /nfs/dbraw/zinc/00/85/98/734008598.db2.gz SNURYZFNMDDJQV-INIZCTEOSA-N -1 1 345.407 -0.090 20 0 EBADMM CCCn1cc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001046735670 734016553 /nfs/dbraw/zinc/01/65/53/734016553.db2.gz SECKDVNVXZHGBE-OAHLLOKOSA-N -1 1 348.411 -0.496 20 0 EBADMM O=C(N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2)c1cc[n+]([O-])cc1 ZINC001047017515 734082341 /nfs/dbraw/zinc/08/23/41/734082341.db2.gz UCBCFSPRWJGKTH-DRZSPHRISA-N -1 1 344.375 -0.073 20 0 EBADMM C[C@@H]1CC[C@H](C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)O1 ZINC001047021195 734082623 /nfs/dbraw/zinc/08/26/23/734082623.db2.gz OTMDBTFIWJYCBX-ZYHUDNBSSA-N -1 1 335.360 -0.342 20 0 EBADMM O=C(Cn1cccn1)N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047025898 734083093 /nfs/dbraw/zinc/08/30/93/734083093.db2.gz GEWQRZZDWIRGBW-TUAOUCFPSA-N -1 1 331.380 -0.124 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@H]2C[C@H]3C[C@@H](C2)N(Cc2n[nH]c(=O)[n-]2)C3)C1 ZINC001047060534 734087587 /nfs/dbraw/zinc/08/75/87/734087587.db2.gz ODYBRFLNWAIBCE-DRZSPHRISA-N -1 1 348.407 -0.542 20 0 EBADMM Cc1c[nH]c(C(=O)N[C@H]2C[C@H]3C[C@@H](C2)[N@@H+](Cc2nc(=O)n(C)[nH]2)C3)n1 ZINC001047159025 734103096 /nfs/dbraw/zinc/10/30/96/734103096.db2.gz AKHJMHOUHNMOIA-SRVKXCTJSA-N -1 1 345.407 -0.077 20 0 EBADMM Cc1ccccc1C(=O)N(C)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047289733 734117508 /nfs/dbraw/zinc/11/75/08/734117508.db2.gz JFYUYSDRBVHHIZ-KBPBESRZSA-N -1 1 345.403 -0.266 20 0 EBADMM Cc1cccc(C(=O)N(C)[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c1 ZINC001047290816 734117831 /nfs/dbraw/zinc/11/78/31/734117831.db2.gz NLLSMWSMDJQRSU-KBPBESRZSA-N -1 1 345.403 -0.266 20 0 EBADMM CC(=O)N[C@@H]1CC[C@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]21 ZINC000979866617 805591838 /nfs/dbraw/zinc/59/18/38/805591838.db2.gz IKWCAXDBKSQLCO-HBNTYKKESA-N -1 1 330.348 -0.596 20 0 EBADMM C[C@@H](NCc1nnn(C)n1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001047806171 734309347 /nfs/dbraw/zinc/30/93/47/734309347.db2.gz BEUOXGVWZJSHFX-GHMZBOCLSA-N -1 1 331.380 -0.049 20 0 EBADMM C[C@H]1CN(C(=O)c2cnc([O-])n(C)c2=O)C[C@H]1CNCc1ccon1 ZINC001048338758 734394133 /nfs/dbraw/zinc/39/41/33/734394133.db2.gz NRLNIUDLKUPTLD-WDEREUQCSA-N -1 1 347.375 -0.028 20 0 EBADMM CC(C)OCC(=O)N(C)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001048486523 734427164 /nfs/dbraw/zinc/42/71/64/734427164.db2.gz NMOKAQIGSPEERL-AAEUAGOBSA-N -1 1 337.376 -0.144 20 0 EBADMM Cn1nncc1C(=O)NC[C@H]1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001048522287 734435062 /nfs/dbraw/zinc/43/50/62/734435062.db2.gz XDNQGMNLZHSFBI-JGPRNRPPSA-N -1 1 346.395 -0.188 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4n[nH]cc4F)C[C@@H]3C2)nc1=O ZINC001049040046 734623439 /nfs/dbraw/zinc/62/34/39/734623439.db2.gz FVLCOKAOCKWNPJ-DTORHVGOSA-N -1 1 335.343 -0.825 20 0 EBADMM CCc1nc[nH]c1C(=O)N1C[C@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C1 ZINC001049191858 734679770 /nfs/dbraw/zinc/67/97/70/734679770.db2.gz CDTCMJDKTJOGAQ-AOOOYVTPSA-N -1 1 331.380 0.000 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@@H]2CCCN3C(=O)c2cnsn2)nc1=O ZINC001049341853 734711858 /nfs/dbraw/zinc/71/18/58/734711858.db2.gz PLAQFENBNWOKDH-WDEREUQCSA-N -1 1 349.420 -0.161 20 0 EBADMM Cn1cc(C(=O)N2CCC[C@@H]3[C@H]2CCN3Cc2nc(=O)n(C)[n-]2)nn1 ZINC001049461840 734735505 /nfs/dbraw/zinc/73/55/05/734735505.db2.gz LJXOVCAZXIIXST-VXGBXAGGSA-N -1 1 346.395 -0.884 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@H]2CCCN3C(=O)c2csnn2)nc1=O ZINC001049625276 734767703 /nfs/dbraw/zinc/76/77/03/734767703.db2.gz GOXYHDGAIIBJIM-MNOVXSKESA-N -1 1 349.420 -0.161 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CCC[C@H]2[C@@H]1CCN2Cc1nc(=O)n(C)[n-]1 ZINC001049632527 734769072 /nfs/dbraw/zinc/76/90/72/734769072.db2.gz YAAKUILTMKVQLX-QWRGUYRKSA-N -1 1 346.395 -0.586 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@H]2CCCN3C(=O)c2nc[nH]n2)nc1=O ZINC001049798888 734791112 /nfs/dbraw/zinc/79/11/12/734791112.db2.gz RTLDLBXGCKJIIG-NXEZZACHSA-N -1 1 332.368 -0.894 20 0 EBADMM O=C(CCc1c[nH]nn1)N1CCC[C@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001050143286 734853531 /nfs/dbraw/zinc/85/35/31/734853531.db2.gz BAIMYXNWWNWZCN-CMPLNLGQSA-N -1 1 346.395 -0.316 20 0 EBADMM CNC(=O)CC(=O)N[C@H](C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050529592 734919415 /nfs/dbraw/zinc/91/94/15/734919415.db2.gz IWMBIYTYBBSWHX-GHMZBOCLSA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@@H](NC(=O)Cn1ncnn1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050532011 734920044 /nfs/dbraw/zinc/92/00/44/734920044.db2.gz VZJBYSXSZOZOCV-GHMZBOCLSA-N -1 1 345.363 -0.559 20 0 EBADMM NC(=O)CN1CCC2(CN(C(=O)c3c[n-]n4c3nccc4=O)C2)CC1 ZINC001050692417 734945828 /nfs/dbraw/zinc/94/58/28/734945828.db2.gz ZFTCXKAHQYENJB-UHFFFAOYSA-N -1 1 344.375 -0.954 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)CCNC(N)=O)C1 ZINC001050706361 734948617 /nfs/dbraw/zinc/94/86/17/734948617.db2.gz YHUWIYOJYJPLDT-QWRGUYRKSA-N -1 1 349.391 -0.188 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)c2cccs2)nc1=O ZINC001050802667 734962782 /nfs/dbraw/zinc/96/27/82/734962782.db2.gz NFGYYDLHOBIVDK-JTQLQIEISA-N -1 1 337.405 -0.199 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2COCCN2Cc2nc(=O)n(C)[n-]2)co1 ZINC001050879836 734987110 /nfs/dbraw/zinc/98/71/10/734987110.db2.gz IMPYQZBOINVCLJ-LBPRGKRZSA-N -1 1 335.364 -0.359 20 0 EBADMM Cc1nnsc1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051424844 735147972 /nfs/dbraw/zinc/14/79/72/735147972.db2.gz RFIHKKHPJFZXOZ-QMMMGPOBSA-N -1 1 339.381 -0.699 20 0 EBADMM O=C(CCn1cccn1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051449285 735155566 /nfs/dbraw/zinc/15/55/66/735155566.db2.gz GZKRQPBISFHUAD-LLVKDONJSA-N -1 1 335.368 -0.886 20 0 EBADMM O=C([C@@H]1CCCOC1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051488946 735171935 /nfs/dbraw/zinc/17/19/35/735171935.db2.gz WVOIOUNRPLNRAH-GHMZBOCLSA-N -1 1 325.369 -0.746 20 0 EBADMM O=C(c1ccncc1F)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051510307 735216879 /nfs/dbraw/zinc/21/68/79/735216879.db2.gz QAWCVGUUMAWBSO-VIFPVBQESA-N -1 1 336.327 -0.325 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@@H]2CC[C@@H](NC(C)=O)[C@@H]2C1 ZINC000979874806 805597777 /nfs/dbraw/zinc/59/77/77/805597777.db2.gz SKLPZVOEZHONBU-IVZWLZJFSA-N -1 1 336.348 -0.117 20 0 EBADMM O=C(c1cccc(=O)[nH]1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051520088 735220802 /nfs/dbraw/zinc/22/08/02/735220802.db2.gz JANGKCWIPCQQQU-SECBINFHSA-N -1 1 334.336 -0.758 20 0 EBADMM C[C@](O)(CC(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001051542549 735225345 /nfs/dbraw/zinc/22/53/45/735225345.db2.gz OFKFFPJEAFCUQO-NHYWBVRUSA-N -1 1 339.396 -0.622 20 0 EBADMM O=C(Cc1cccnc1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051550311 735228086 /nfs/dbraw/zinc/22/80/86/735228086.db2.gz OBKDQNKZLGOCSY-GFCCVEGCSA-N -1 1 332.364 -0.535 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)ns1 ZINC001051577813 735245470 /nfs/dbraw/zinc/24/54/70/735245470.db2.gz YQSXLZICRMESRB-SECBINFHSA-N -1 1 338.393 -0.094 20 0 EBADMM O=C(c1cn2c(n1)CCC2)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051625326 735272259 /nfs/dbraw/zinc/27/22/59/735272259.db2.gz YYFOBNBIIVUBEO-JTQLQIEISA-N -1 1 347.379 -0.716 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)c(C)nn1 ZINC001051638176 735278914 /nfs/dbraw/zinc/27/89/14/735278914.db2.gz PSVKDYHBMMFRAV-LLVKDONJSA-N -1 1 347.379 -0.452 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)c3cncnc3)CC2)nc1=O ZINC001052327678 735591166 /nfs/dbraw/zinc/59/11/66/735591166.db2.gz OPOFTEJVJKGKHM-LBPRGKRZSA-N -1 1 331.380 -0.317 20 0 EBADMM Cn1cncc1CN1CCC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001052530408 735638196 /nfs/dbraw/zinc/63/81/96/735638196.db2.gz XMBCWMJVFUUCNU-SNVBAGLBSA-N -1 1 347.379 -0.199 20 0 EBADMM Cc1nonc1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001052976932 735733503 /nfs/dbraw/zinc/73/35/03/735733503.db2.gz WFEPNVWHOMUONB-SNVBAGLBSA-N -1 1 335.368 -0.416 20 0 EBADMM CS[C@@H](C)C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053163660 735764243 /nfs/dbraw/zinc/76/42/43/735764243.db2.gz DZSJSMYHOAVTQP-JTQLQIEISA-N -1 1 341.437 -0.727 20 0 EBADMM CN1CC[C@@H](N2CC3(C2)CN(C(=O)c2ncccc2[O-])CCO3)C1=O ZINC001053232816 735786217 /nfs/dbraw/zinc/78/62/17/735786217.db2.gz ZVIVDXISSDDTMS-GFCCVEGCSA-N -1 1 346.387 -0.455 20 0 EBADMM CC(C)[C@H](F)C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053381977 735855328 /nfs/dbraw/zinc/85/53/28/735855328.db2.gz CFIYDXAHHFANLR-LBPRGKRZSA-N -1 1 341.387 -0.484 20 0 EBADMM CCC[C@@H]1C[C@H]1C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053418540 735866505 /nfs/dbraw/zinc/86/65/05/735866505.db2.gz UUDJPGJJYLINQQ-CHWSQXEVSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CC[C@H](CNC(=O)c2ccoc2)O3)nc1=O ZINC001053574358 735929382 /nfs/dbraw/zinc/92/93/82/735929382.db2.gz BRPCZXXXCQHHOG-GFCCVEGCSA-N -1 1 347.375 -0.135 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)c2ccncc2)CO3)nc1=O ZINC001053721304 735978406 /nfs/dbraw/zinc/97/84/06/735978406.db2.gz SAJBELCALUHNDN-LBPRGKRZSA-N -1 1 344.375 -0.723 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)c2ccccn2)CO3)nc1=O ZINC001053721882 735978967 /nfs/dbraw/zinc/97/89/67/735978967.db2.gz FWQAHAVFTOHWLQ-NSHDSACASA-N -1 1 344.375 -0.723 20 0 EBADMM Cc1ncoc1C(=O)N[C@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053765554 736004006 /nfs/dbraw/zinc/00/40/06/736004006.db2.gz RBKUWVKJTYXVPY-SNVBAGLBSA-N -1 1 348.363 -0.822 20 0 EBADMM Cn1nnnc1CN1CC2(C1)C[C@H](NC(=O)c1ncccc1[O-])CO2 ZINC001053784311 736014261 /nfs/dbraw/zinc/01/42/61/736014261.db2.gz KOYIUZHPECSUEH-JTQLQIEISA-N -1 1 345.363 -0.916 20 0 EBADMM Cn1nncc1CN1CC2(C1)C[C@@H](NC(=O)c1ncccc1[O-])CO2 ZINC001053784865 736014790 /nfs/dbraw/zinc/01/47/90/736014790.db2.gz ZWWZNPNZPRNJIM-LLVKDONJSA-N -1 1 344.375 -0.311 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@@H]2C[C@H]1CN2c1nccn2nnnc12 ZINC001062479880 738924026 /nfs/dbraw/zinc/92/40/26/738924026.db2.gz QJCPQKNBHNZIIC-UWVGGRQHSA-N -1 1 338.331 -0.277 20 0 EBADMM Cc1c[nH]cc1C(=O)N[C@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001054006864 736146172 /nfs/dbraw/zinc/14/61/72/736146172.db2.gz QQSJPZSBEPFGPE-LLVKDONJSA-N -1 1 346.391 -0.482 20 0 EBADMM COc1cc(C(=O)N2C[C@@H](C)[C@@H](NCc3n[nH]c(=O)[n-]3)C2)ncn1 ZINC001054670537 736228661 /nfs/dbraw/zinc/22/86/61/736228661.db2.gz SBQFLIWECKRHRH-SCZZXKLOSA-N -1 1 333.352 -0.441 20 0 EBADMM C[C@@H]1CN(C(=O)CCc2ccnn2C)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054696039 736232822 /nfs/dbraw/zinc/23/28/22/736232822.db2.gz QMJMEZKHSZPMEN-ZYHUDNBSSA-N -1 1 333.396 -0.187 20 0 EBADMM C[C@@H]1CN(C(=O)Cn2cc(C3CC3)nn2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054742388 736237463 /nfs/dbraw/zinc/23/74/63/736237463.db2.gz ZPIUAMXBSDPTNO-MWLCHTKSSA-N -1 1 346.395 -0.384 20 0 EBADMM Cc1nn(C)cc1CC(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001054748541 736239756 /nfs/dbraw/zinc/23/97/56/736239756.db2.gz JJMDIVKSDQRSTJ-BXKDBHETSA-N -1 1 333.396 -0.269 20 0 EBADMM CCc1c[nH]c(CC(=O)N2C[C@@H](C)[C@@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001054752288 736240235 /nfs/dbraw/zinc/24/02/35/736240235.db2.gz JRLDCTNYXKMMRR-KOLCDFICSA-N -1 1 333.396 -0.025 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnc3n2CCOC3)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054778541 736245326 /nfs/dbraw/zinc/24/53/26/736245326.db2.gz WPHIYKVNTODLIS-ZJUUUORDSA-N -1 1 347.379 -0.513 20 0 EBADMM Cc1cc(CN[C@@H]2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@@H]2C)nn1C ZINC001054858835 736256718 /nfs/dbraw/zinc/25/67/18/736256718.db2.gz BYUOPLVIOBGZDX-GZMMTYOYSA-N -1 1 347.379 -0.425 20 0 EBADMM C[C@@H]1CN(C(=O)c2cn(C)ccc2=O)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054885454 736262527 /nfs/dbraw/zinc/26/25/27/736262527.db2.gz MEYFZDZXTBTSDJ-MWLCHTKSSA-N -1 1 332.364 -0.541 20 0 EBADMM C[C@H]1CN(C(=O)c2ccnc3n[nH]nc32)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054886634 736263125 /nfs/dbraw/zinc/26/31/25/736263125.db2.gz XXBVNIWGPFBPRD-IONNQARKSA-N -1 1 343.351 -0.573 20 0 EBADMM CCc1cc(CC(=O)N2C[C@@H](C)[C@@H](NCc3n[nH]c(=O)[n-]3)C2)n(C)n1 ZINC001054924161 736268799 /nfs/dbraw/zinc/26/87/99/736268799.db2.gz ABAAKTHVIVQCDY-MFKMUULPSA-N -1 1 347.423 -0.015 20 0 EBADMM Cc1n[nH]c(=O)c(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H](C)C2)c1C ZINC001054960682 736273096 /nfs/dbraw/zinc/27/30/96/736273096.db2.gz VGBOIJIWPVBGDO-XVKPBYJWSA-N -1 1 347.379 -0.127 20 0 EBADMM CCOCC(=O)N[C@]1(CO)CCCN(C(=O)c2ncccc2[O-])C1 ZINC001055731819 736450685 /nfs/dbraw/zinc/45/06/85/736450685.db2.gz KZVIEXFQKOKOSQ-MRXNPFEDSA-N -1 1 337.376 -0.093 20 0 EBADMM O=C(N[C@]1(CO)CCCN(C(=O)c2cn[nH]c2)C1)c1ncccc1[O-] ZINC001055901061 736541812 /nfs/dbraw/zinc/54/18/12/736541812.db2.gz YRLZFSYXRIBIDD-MRXNPFEDSA-N -1 1 345.359 -0.093 20 0 EBADMM O=C(N[C@]1(CO)CCCN(C(=O)c2ncccc2[O-])C1)c1cnon1 ZINC001056069756 736624111 /nfs/dbraw/zinc/62/41/11/736624111.db2.gz DGNWPQDEPJXOQM-OAHLLOKOSA-N -1 1 347.331 -0.433 20 0 EBADMM Cc1ccnc(N[C@@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)n1 ZINC001056669361 736766342 /nfs/dbraw/zinc/76/63/42/736766342.db2.gz HGQAYIKGWPEQIM-SNVBAGLBSA-N -1 1 340.347 -0.157 20 0 EBADMM COCC(=O)N(CCO)CCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001056790885 736806222 /nfs/dbraw/zinc/80/62/22/736806222.db2.gz CDQDFGUECOIANO-UHFFFAOYSA-N -1 1 338.364 -0.743 20 0 EBADMM CN(c1ncccn1)[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001056941562 736887547 /nfs/dbraw/zinc/88/75/47/736887547.db2.gz CSQHFHLPOFISSW-JTQLQIEISA-N -1 1 340.347 -0.442 20 0 EBADMM CCO[C@H]1C[C@H]1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057089177 736978738 /nfs/dbraw/zinc/97/87/38/736978738.db2.gz OQPYNCBWEZYRJP-YPMHNXCESA-N -1 1 337.376 -0.237 20 0 EBADMM Cc1cc(C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])[nH]n1 ZINC001057101142 736989459 /nfs/dbraw/zinc/98/94/59/736989459.db2.gz JIRMLGDAJWNPEN-UHFFFAOYSA-N -1 1 333.348 -0.317 20 0 EBADMM Cc1cc(C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])n[nH]1 ZINC001057101142 736989463 /nfs/dbraw/zinc/98/94/63/736989463.db2.gz JIRMLGDAJWNPEN-UHFFFAOYSA-N -1 1 333.348 -0.317 20 0 EBADMM CCOCCC(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057183267 737056036 /nfs/dbraw/zinc/05/60/36/737056036.db2.gz QVUMFFQIMIFIBM-UHFFFAOYSA-N -1 1 325.365 -0.236 20 0 EBADMM O=C(C[C@@H]1CCOC1)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057250870 737116121 /nfs/dbraw/zinc/11/61/21/737116121.db2.gz RCWABSDLHLEMQH-LBPRGKRZSA-N -1 1 337.376 -0.236 20 0 EBADMM CCO[C@H]1C[C@@H]1C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410301 737229897 /nfs/dbraw/zinc/22/98/97/737229897.db2.gz LBYDDYFQBBQFGT-AAEUAGOBSA-N -1 1 337.376 -0.237 20 0 EBADMM Cn1cnc(C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])c1 ZINC001057410137 737229989 /nfs/dbraw/zinc/22/99/89/737229989.db2.gz DKVDDHCKXXQQFL-UHFFFAOYSA-N -1 1 333.348 -0.615 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccc1[O-])[C@H]1CC1(F)F ZINC001057410270 737230086 /nfs/dbraw/zinc/23/00/86/737230086.db2.gz KMIPFLJJHZVXHO-SECBINFHSA-N -1 1 329.303 -0.007 20 0 EBADMM C[C@@H]1CO[C@@H](C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])C1 ZINC001057410590 737230515 /nfs/dbraw/zinc/23/05/15/737230515.db2.gz SPHKTRHQMPMJJQ-WCQYABFASA-N -1 1 337.376 -0.237 20 0 EBADMM O=C(Cc1ccon1)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410748 737231064 /nfs/dbraw/zinc/23/10/64/737231064.db2.gz VJKVIQKLJWVYBA-UHFFFAOYSA-N -1 1 334.332 -0.431 20 0 EBADMM COC1CC(C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])C1 ZINC001057413150 737233429 /nfs/dbraw/zinc/23/34/29/737233429.db2.gz JUCMZITVMNUAHE-UHFFFAOYSA-N -1 1 337.376 -0.237 20 0 EBADMM C[C@@H]1C[C@H](C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])CO1 ZINC001057413463 737233924 /nfs/dbraw/zinc/23/39/24/737233924.db2.gz XORWSMIXHTXSPF-NEPJUHHUSA-N -1 1 337.376 -0.237 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2cncn2C)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071655536 741183086 /nfs/dbraw/zinc/18/30/86/741183086.db2.gz NXBPSHWYVPJFHQ-MNOVXSKESA-N -1 1 333.396 -0.375 20 0 EBADMM Cc1ccc(N2CC[C@@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)nn1 ZINC001058406163 737816567 /nfs/dbraw/zinc/81/65/67/737816567.db2.gz MCTACVLCIZFNGW-SNVBAGLBSA-N -1 1 340.347 -0.475 20 0 EBADMM CCC(=O)N1CC[C@H](CCNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001058951756 738091110 /nfs/dbraw/zinc/09/11/10/738091110.db2.gz AHTODKFNSNRJNI-LBPRGKRZSA-N -1 1 336.392 -0.309 20 0 EBADMM CC(C)C(=O)NCC1(NC(=O)CCn2cc[n-]c(=O)c2=O)CCC1 ZINC001062559180 738940421 /nfs/dbraw/zinc/94/04/21/738940421.db2.gz AVWQDRTZQXRUCT-UHFFFAOYSA-N -1 1 336.392 -0.262 20 0 EBADMM Cc1nc(CC(=O)NC2(CNC(=O)c3[nH]nc(C)c3[O-])CCC2)n[nH]1 ZINC001062806814 738989919 /nfs/dbraw/zinc/98/99/19/738989919.db2.gz ULZYJNSXIAGEFP-UHFFFAOYSA-N -1 1 347.379 -0.138 20 0 EBADMM CN1CCO[C@@H](C(=O)NC2(CNC(=O)c3ncccc3[O-])CCC2)C1 ZINC001062839448 739002464 /nfs/dbraw/zinc/00/24/64/739002464.db2.gz QDEUYYGBDSNXQX-CYBMUJFWSA-N -1 1 348.403 -0.114 20 0 EBADMM NC(=O)NCCC(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001063002384 739041229 /nfs/dbraw/zinc/04/12/29/739041229.db2.gz ANSSRGRKFFVHDZ-UHFFFAOYSA-N -1 1 335.364 -0.386 20 0 EBADMM C[C@H]1C[C@H]1C(=O)NC1(CNC(=O)CCn2cc[n-]c(=O)c2=O)CCC1 ZINC001063650584 739172312 /nfs/dbraw/zinc/17/23/12/739172312.db2.gz CPZMBSBCXAZYQA-NWDGAFQWSA-N -1 1 348.403 -0.262 20 0 EBADMM CO[C@@H](C)C(=O)NC1(CNC(=O)c2cc(=O)n3[n-]cnc3n2)CCC1 ZINC001063714718 739185190 /nfs/dbraw/zinc/18/51/90/739185190.db2.gz BPGWVBHGERJZSW-VIFPVBQESA-N -1 1 348.363 -0.779 20 0 EBADMM NC(=O)C(=O)NCC1(NC(=O)c2cnc(C3CC3)[n-]c2=O)CCC1 ZINC001064294920 739271892 /nfs/dbraw/zinc/27/18/92/739271892.db2.gz FQSNEMDTWNFDAA-UHFFFAOYSA-N -1 1 333.348 -0.686 20 0 EBADMM CN(CCCN(C)C(=O)c1ncccc1[O-])C(=O)Cn1cnnn1 ZINC001066783646 740048413 /nfs/dbraw/zinc/04/84/13/740048413.db2.gz NYEQKSALLFKAGD-UHFFFAOYSA-N -1 1 333.352 -0.606 20 0 EBADMM CNC(=O)NCC(=O)N(C)CCCN(C)C(=O)c1ncccc1[O-] ZINC001067254947 740113606 /nfs/dbraw/zinc/11/36/06/740113606.db2.gz WNEMQZQRQVPAJH-UHFFFAOYSA-N -1 1 337.380 -0.363 20 0 EBADMM C[C@H]1[C@H](Nc2ncccn2)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001068870794 740476324 /nfs/dbraw/zinc/47/63/24/740476324.db2.gz HQYKKYUXLGLJOU-NWDGAFQWSA-N -1 1 344.375 -0.182 20 0 EBADMM C[C@H]1C[C@H](Nc2ncccn2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001069119138 740537042 /nfs/dbraw/zinc/53/70/42/740537042.db2.gz OIIBQKNMDNWQMM-RYUDHWBXSA-N -1 1 344.375 -0.182 20 0 EBADMM C[C@@H]1C[C@H](Nc2ncccn2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001069119136 740537249 /nfs/dbraw/zinc/53/72/49/740537249.db2.gz OIIBQKNMDNWQMM-NEPJUHHUSA-N -1 1 344.375 -0.182 20 0 EBADMM CNC(=O)CN1CCn2ncc(CNC(=O)c3ncccc3[O-])c2C1 ZINC001069858618 740593415 /nfs/dbraw/zinc/59/34/15/740593415.db2.gz DHHFVQRXARTZCN-UHFFFAOYSA-N -1 1 344.375 -0.525 20 0 EBADMM COC[C@H](C)N1C[C@@H](NC(=O)c2[nH]nc(C)c2[O-])[C@@H](n2ccnn2)C1 ZINC001069909716 740614061 /nfs/dbraw/zinc/61/40/61/740614061.db2.gz MKDAKDHHALDBPS-WCQGTBRESA-N -1 1 349.395 -0.295 20 0 EBADMM CC(C)=CC(=O)N1C[C@@H](NCc2nc(=O)n(C)[n-]2)[C@@H](n2ccnn2)C1 ZINC001070131305 740713827 /nfs/dbraw/zinc/71/38/27/740713827.db2.gz SKNDPUSDMYSHNB-NEPJUHHUSA-N -1 1 346.395 -0.792 20 0 EBADMM Cn1cnc([C@@H]2CN(C(=O)C(F)F)C[C@H]2NCc2n[nH]c(=O)[n-]2)c1 ZINC001070454028 740834880 /nfs/dbraw/zinc/83/48/80/740834880.db2.gz GAFFDLBATIAYEZ-IONNQARKSA-N -1 1 341.322 -0.407 20 0 EBADMM C[C@H](NC(N)=O)C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648231 740908786 /nfs/dbraw/zinc/90/87/86/740908786.db2.gz BFGYNLYSQOFSPJ-VHSXEESVSA-N -1 1 335.364 -0.435 20 0 EBADMM CO[C@@H](C)C(=O)N[C@@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001071014098 741037190 /nfs/dbraw/zinc/03/71/90/741037190.db2.gz YHWGTHMXUFEYMO-VHSXEESVSA-N -1 1 348.363 -0.827 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)C2=COCCO2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071317248 741120482 /nfs/dbraw/zinc/12/04/82/741120482.db2.gz QEJMYQXYVUUKKX-MNOVXSKESA-N -1 1 337.380 -0.534 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2cnn(C)c2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071337523 741123142 /nfs/dbraw/zinc/12/31/42/741123142.db2.gz FYHLRZLAQPXJCH-ZYHUDNBSSA-N -1 1 333.396 -0.375 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001071373672 741128995 /nfs/dbraw/zinc/12/89/95/741128995.db2.gz MWSFJHOPCGVADM-VXGBXAGGSA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2cccc(=O)[nH]2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071408562 741133360 /nfs/dbraw/zinc/13/33/60/741133360.db2.gz JGIWOZIVJFGGHC-QWRGUYRKSA-N -1 1 346.391 -0.008 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2ncccc2[O-])CN1Cc1nc(=O)n(C)[nH]1 ZINC001071454538 741139648 /nfs/dbraw/zinc/13/96/48/741139648.db2.gz NJIFMFOFCGWATK-WDEREUQCSA-N -1 1 346.391 -0.008 20 0 EBADMM Cc1nc(CN2C[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)CC[C@H]2C)n[nH]1 ZINC001071646850 741180916 /nfs/dbraw/zinc/18/09/16/741180916.db2.gz BXVRDMZPANNIGN-VXNVDRBHSA-N -1 1 348.367 -0.508 20 0 EBADMM C[C@@H]1CN(C(=O)CNC(=O)C2CC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001071688661 741193734 /nfs/dbraw/zinc/19/37/34/741193734.db2.gz YSVBLKYLMJFPOH-PWSUYJOCSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2c[nH]c(=O)n2C)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071739469 741208361 /nfs/dbraw/zinc/20/83/61/741208361.db2.gz TYKFUXNBAVOHEI-VHSXEESVSA-N -1 1 349.395 -0.670 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2n[nH]cc2F)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071800232 741218517 /nfs/dbraw/zinc/21/85/17/741218517.db2.gz SXKMHAMKJJZVDX-DTWKUNHWSA-N -1 1 337.359 -0.247 20 0 EBADMM CCC(=O)NCC(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001071815659 741222391 /nfs/dbraw/zinc/22/23/91/741222391.db2.gz PYILDAAXXYNDKY-GHMZBOCLSA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2cnn(C)n2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071890907 741239261 /nfs/dbraw/zinc/23/92/61/741239261.db2.gz UEXZFNPGYBQLFP-NXEZZACHSA-N -1 1 334.384 -0.980 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)CCn1cnnn1 ZINC001071952512 741263814 /nfs/dbraw/zinc/26/38/14/741263814.db2.gz NEEBNAUNVRAESF-MNOVXSKESA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)CNC(=O)C1CC1 ZINC001071953272 741264357 /nfs/dbraw/zinc/26/43/57/741264357.db2.gz XHDBYQOSBKIYIL-JQWIXIFHSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)Cc2cncn2C)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071987731 741276339 /nfs/dbraw/zinc/27/63/39/741276339.db2.gz FNWJGDJHCZBJIS-NWDGAFQWSA-N -1 1 347.423 -0.446 20 0 EBADMM Cc1nonc1C(=O)N[C@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001072116012 741308487 /nfs/dbraw/zinc/30/84/87/741308487.db2.gz GHTNRZRBIVOGBL-SCZZXKLOSA-N -1 1 335.368 -0.417 20 0 EBADMM C[C@@H]1CN(C(=O)CC(=O)N(C)C)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001072125697 741311197 /nfs/dbraw/zinc/31/11/97/741311197.db2.gz NUGIWAAOADCWHO-MNOVXSKESA-N -1 1 334.376 -0.158 20 0 EBADMM C[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@H]1CNCc1cnon1 ZINC001072316047 741368162 /nfs/dbraw/zinc/36/81/62/741368162.db2.gz ZVQFQAORUTYXLJ-IUCAKERBSA-N -1 1 349.351 -0.446 20 0 EBADMM C/C=C(/C)C(=O)N[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1C ZINC001072425908 741394747 /nfs/dbraw/zinc/39/47/47/741394747.db2.gz ALQYIZRKXNZFIN-QQUROUPTSA-N -1 1 344.375 -0.040 20 0 EBADMM COCC(=O)N[C@@H]1CN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C[C@@H]1C ZINC001072426407 741395119 /nfs/dbraw/zinc/39/51/19/741395119.db2.gz HQJDQYTXPIIPLI-JGVFFNPUSA-N -1 1 340.336 -0.881 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)CC[C@H]4CCOC4)C3)C2)nc1=O ZINC001072600106 741437725 /nfs/dbraw/zinc/43/77/25/741437725.db2.gz BNXJLPSPDYUXEE-ZDUSSCGKSA-N -1 1 349.435 -0.041 20 0 EBADMM Cn1ccc(C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)c1 ZINC001072618591 741444391 /nfs/dbraw/zinc/44/43/91/741444391.db2.gz QGJYWXQMOSJFOM-UHFFFAOYSA-N -1 1 330.392 -0.205 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)[C@@H]4CCCCO4)C3)C2)nc1=O ZINC001072631382 741446618 /nfs/dbraw/zinc/44/66/18/741446618.db2.gz XOFVIESTHBWSJX-LBPRGKRZSA-N -1 1 335.408 -0.288 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)Cc4ccoc4)C3)C2)nc1=O ZINC001072650827 741452940 /nfs/dbraw/zinc/45/29/40/741452940.db2.gz YZYVSMGKMGXWFI-UHFFFAOYSA-N -1 1 331.376 -0.022 20 0 EBADMM CC1(NC(=O)c2ncccc2[O-])CCN(C(=O)CNC(N)=O)CC1 ZINC001072862909 741507175 /nfs/dbraw/zinc/50/71/75/741507175.db2.gz YDXZJVJVAAQFOS-UHFFFAOYSA-N -1 1 335.364 -0.434 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)c4ncccc4F)C3)C2)nc1=O ZINC001073077487 741554311 /nfs/dbraw/zinc/55/43/11/741554311.db2.gz ZVDAPYSGFCIYIN-UHFFFAOYSA-N -1 1 346.366 -0.009 20 0 EBADMM C[C@@H]1C[C@H](C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)CCO1 ZINC001073083582 741555850 /nfs/dbraw/zinc/55/58/50/741555850.db2.gz FJQDDYPIBAODHK-CHWSQXEVSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)[C@@]4(C)CCCOC4)C3)C2)nc1=O ZINC001073085563 741556426 /nfs/dbraw/zinc/55/64/26/741556426.db2.gz IFUNXYXRTUPUGN-INIZCTEOSA-N -1 1 349.435 -0.041 20 0 EBADMM Cc1cnoc1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001073097304 741559396 /nfs/dbraw/zinc/55/93/96/741559396.db2.gz JLVHYZPOTORUMV-UHFFFAOYSA-N -1 1 332.364 -0.247 20 0 EBADMM COCC(=O)NC1(C)CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001073165149 741574937 /nfs/dbraw/zinc/57/49/37/741574937.db2.gz VMNYIZZOUOZNQX-UHFFFAOYSA-N -1 1 348.363 -0.825 20 0 EBADMM CC1(C)CN(C(=O)c2ncccc2[O-])CC[C@@H]1NC(=O)CNC(N)=O ZINC001073305034 741595936 /nfs/dbraw/zinc/59/59/36/741595936.db2.gz GBENLXBHRPMVHS-NSHDSACASA-N -1 1 349.391 -0.188 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@@H](CNC(=O)c3cocn3)C2)nc1=O ZINC001073556734 741652473 /nfs/dbraw/zinc/65/24/73/741652473.db2.gz NBMANVXKRYMPGA-JTQLQIEISA-N -1 1 336.352 -0.883 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@@H](CNC(=O)[C@H]3[C@@H]4CCC[C@@H]43)C2)nc1=O ZINC001073564170 741655888 /nfs/dbraw/zinc/65/58/88/741655888.db2.gz SAPOAKGLZIDTDE-RMRHIDDWSA-N -1 1 349.435 -0.138 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@H](CNC(=O)[C@@H]3C[C@H]3C3CC3)C2)nc1=O ZINC001073812834 741748508 /nfs/dbraw/zinc/74/85/08/741748508.db2.gz GDQRWMPJRNSADQ-HZSPNIEDSA-N -1 1 349.435 -0.138 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CN(CCF)CCCO1 ZINC001073859975 741767786 /nfs/dbraw/zinc/76/77/86/741767786.db2.gz YIYXPCYLZLBICO-VIFPVBQESA-N -1 1 344.343 -0.313 20 0 EBADMM CNC(=O)NCC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001074010908 741806069 /nfs/dbraw/zinc/80/60/69/741806069.db2.gz LJDROKXHRBDLJN-GHMZBOCLSA-N -1 1 349.391 -0.317 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCCN1C(=O)c1cnon1 ZINC001074083576 741828542 /nfs/dbraw/zinc/82/85/42/741828542.db2.gz IPUFCEYOBQRHHF-SNVBAGLBSA-N -1 1 335.368 -0.382 20 0 EBADMM O=C(c1ccn[nH]1)N1CC[C@@H]2OCCN(Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001074181370 741851932 /nfs/dbraw/zinc/85/19/32/741851932.db2.gz SMXXKWSWRIKRGH-MNOVXSKESA-N -1 1 333.352 -0.651 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H]3CCN(C(=O)/C=C\C4CC4)C[C@@H]32)nc1=O ZINC001074202354 741860786 /nfs/dbraw/zinc/86/07/86/741860786.db2.gz XFCAWOYZXAGIJV-OQOSRZTISA-N -1 1 347.419 -0.124 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H]3CCN(C(=O)/C=C\C4CC4)C[C@H]32)nc1=O ZINC001074202356 741860892 /nfs/dbraw/zinc/86/08/92/741860892.db2.gz XFCAWOYZXAGIJV-UUSXEQNQSA-N -1 1 347.419 -0.124 20 0 EBADMM CO[C@@H](C)C(=O)N1CCC(O)(CNC(=O)c2ncccc2[O-])CC1 ZINC001074500073 741967242 /nfs/dbraw/zinc/96/72/42/741967242.db2.gz ITFIFQQHFUJBJW-NSHDSACASA-N -1 1 337.376 -0.095 20 0 EBADMM O=C(NCC1(O)CCN(C(=O)c2ncccc2[O-])CC1)c1ccn[nH]1 ZINC001074559282 741996860 /nfs/dbraw/zinc/99/68/60/741996860.db2.gz YCIWVJVGWKKPNT-UHFFFAOYSA-N -1 1 345.359 -0.093 20 0 EBADMM C[C@@H]1CN(C(=O)CS(C)(=O)=O)CC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001075236343 742202860 /nfs/dbraw/zinc/20/28/60/742202860.db2.gz RVFTYIWDWBLVEE-ZJUUUORDSA-N -1 1 345.425 -0.871 20 0 EBADMM Cn1nccc1CC(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001075737311 742284929 /nfs/dbraw/zinc/28/49/29/742284929.db2.gz CGGAEAJWESCWHH-WCQYABFASA-N -1 1 345.407 -0.883 20 0 EBADMM C[C@@H](CCNC(=O)c1ccon1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001075766896 742295483 /nfs/dbraw/zinc/29/54/83/742295483.db2.gz ZYLJTKYMCFXQFL-JTQLQIEISA-N -1 1 349.347 -0.761 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)c4n[nH]cc4F)[C@@H]3C2)nc1=O ZINC001076214956 742407488 /nfs/dbraw/zinc/40/74/88/742407488.db2.gz ICYNLJPQIBWLFW-WCBMZHEXSA-N -1 1 335.343 -0.683 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@@]1(C)CCNC1=O ZINC001076245124 742419125 /nfs/dbraw/zinc/41/91/25/742419125.db2.gz PLYMRIFODUNUSY-QFYYESIMSA-N -1 1 334.376 -0.062 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CCCN1C(N)=O ZINC001076246205 742419241 /nfs/dbraw/zinc/41/92/41/742419241.db2.gz JDGCJKIEHFLMSE-QWRGUYRKSA-N -1 1 349.391 -0.045 20 0 EBADMM Cc1c[nH]c(C(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)n1 ZINC001076342350 742442430 /nfs/dbraw/zinc/44/24/30/742442430.db2.gz HVYVOOWFYPKZCT-WDEREUQCSA-N -1 1 331.380 -0.514 20 0 EBADMM Cc1cnoc1C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001076421723 742463198 /nfs/dbraw/zinc/46/31/98/742463198.db2.gz AGONVJVMTJAEPK-WDEREUQCSA-N -1 1 332.364 -0.249 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N1CC[C@H]2CN(C/C=C\Cl)C[C@H]21 ZINC001076500589 742481986 /nfs/dbraw/zinc/48/19/86/742481986.db2.gz GHVJEECCXVTMQY-PSMNYDHOSA-N -1 1 327.772 -0.026 20 0 EBADMM C[C@@H](CCNC(=O)[C@@H]1CC(=O)N(C)C1)NC(=O)c1ncccc1[O-] ZINC001076891291 742622577 /nfs/dbraw/zinc/62/25/77/742622577.db2.gz VXRXDZRQKFYVFS-WDEREUQCSA-N -1 1 334.376 -0.110 20 0 EBADMM Cc1nnc(CN2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)s1 ZINC001076916287 742642032 /nfs/dbraw/zinc/64/20/32/742642032.db2.gz CQBCBGALMJBQGG-MWLCHTKSSA-N -1 1 335.389 -0.078 20 0 EBADMM Cc1csc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c1 ZINC001076969156 742670476 /nfs/dbraw/zinc/67/04/76/742670476.db2.gz KDANRUSPJCUAPJ-NXEZZACHSA-N -1 1 337.405 -0.547 20 0 EBADMM C[C@H](c1ccccc1)N1C[C@@H](O)[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001077311059 742875372 /nfs/dbraw/zinc/87/53/72/742875372.db2.gz PFZPFPAEAIMUMA-YUSALJHKSA-N -1 1 345.359 -0.181 20 0 EBADMM C[C@@H](CCNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)[C@H]1C[C@@H]1C ZINC001077402590 742956240 /nfs/dbraw/zinc/95/62/40/742956240.db2.gz UGWNRLSRCFFPLU-SRVKXCTJSA-N -1 1 336.392 -0.406 20 0 EBADMM C[C@@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)[C@H]1CC12CC2 ZINC001077488123 743013916 /nfs/dbraw/zinc/01/39/16/743013916.db2.gz BJMVIBXSSGPSAH-VHSXEESVSA-N -1 1 344.375 -0.158 20 0 EBADMM CCn1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c1C ZINC001077550941 743070529 /nfs/dbraw/zinc/07/05/29/743070529.db2.gz CIUDWFMLQQBVMZ-CHWSQXEVSA-N -1 1 348.407 -0.787 20 0 EBADMM C[C@@H](CCNC(=O)c1n[nH]c(=O)[n-]c1=O)NC(=O)[C@@H]1CCCN1C ZINC001077578057 743093237 /nfs/dbraw/zinc/09/32/37/743093237.db2.gz KOQXQOJIOSCZEC-IUCAKERBSA-N -1 1 338.368 -0.998 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)[C@]34C[C@H]3CCCC4)C2)nc1=O ZINC001077643561 743138721 /nfs/dbraw/zinc/13/87/21/743138721.db2.gz GGNCSJAATJLQAU-DSZLRUIBSA-N -1 1 335.408 -0.650 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)[C@H](F)c3ccccc3)C2)nc1=O ZINC001077750318 743228345 /nfs/dbraw/zinc/22/83/45/743228345.db2.gz DUMOATTXBNTIND-YRGRVCCFSA-N -1 1 349.366 -0.520 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)[C@@H]3CC34CCCC4)C2)nc1=O ZINC001077943359 743364446 /nfs/dbraw/zinc/36/44/46/743364446.db2.gz BJRUFSSFGQEBHG-QJPTWQEYSA-N -1 1 335.408 -0.650 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CN(C/C=C\Cl)C[C@H]1O ZINC001077998341 743404710 /nfs/dbraw/zinc/40/47/10/743404710.db2.gz RVIMPXGFTUYMPU-OUZXLXBKSA-N -1 1 344.755 -0.576 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O)c1ccccc1 ZINC001078033725 743431382 /nfs/dbraw/zinc/43/13/82/743431382.db2.gz YFAPXISQSMESSL-MRVWCRGKSA-N -1 1 345.403 -0.427 20 0 EBADMM COc1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)cc1 ZINC001078061199 743443365 /nfs/dbraw/zinc/44/33/65/743443365.db2.gz HEEZIMGXKHXYPW-CHWSQXEVSA-N -1 1 347.375 -0.908 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)Cc3ccc(F)cc3)C2)nc1=O ZINC001078108530 743475986 /nfs/dbraw/zinc/47/59/86/743475986.db2.gz PXLZZDQIGTVLTA-CHWSQXEVSA-N -1 1 349.366 -0.849 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c(C)c1 ZINC001078115513 743480604 /nfs/dbraw/zinc/48/06/04/743480604.db2.gz GTNFXBWBAQZALY-ZIAGYGMSSA-N -1 1 345.403 -0.300 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H](C)CCNC(=O)C(N)=O ZINC001078121414 743485717 /nfs/dbraw/zinc/48/57/17/743485717.db2.gz GLAMJBSKLAGTMB-QMMMGPOBSA-N -1 1 337.380 -0.276 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c(C)n1C ZINC001078153179 743500352 /nfs/dbraw/zinc/50/03/52/743500352.db2.gz OPLYKSVNSUNTLJ-CHWSQXEVSA-N -1 1 348.407 -0.961 20 0 EBADMM O=C(c1cnccn1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078324217 743584334 /nfs/dbraw/zinc/58/43/34/743584334.db2.gz NNMBCIKVFAOQLD-SNVBAGLBSA-N -1 1 333.352 -0.679 20 0 EBADMM O=C([C@@H]1CCCO1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078327632 743586454 /nfs/dbraw/zinc/58/64/54/743586454.db2.gz UOMURZFVTNUTIO-QWRGUYRKSA-N -1 1 325.369 -0.604 20 0 EBADMM O=C(Cn1cccn1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078335962 743589570 /nfs/dbraw/zinc/58/95/70/743589570.db2.gz IALKGHNWYTWQSS-LLVKDONJSA-N -1 1 335.368 -0.886 20 0 EBADMM CC[C@H](OC)C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078354987 743601401 /nfs/dbraw/zinc/60/14/01/743601401.db2.gz QAKGLINKXPJHTR-MNOVXSKESA-N -1 1 327.385 -0.358 20 0 EBADMM Cc1[nH]nc(C(=O)N2CCCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)c1C ZINC001078362519 743608775 /nfs/dbraw/zinc/60/87/75/743608775.db2.gz VWLYKLGJGJQPAL-NSHDSACASA-N -1 1 349.395 -0.129 20 0 EBADMM O=C(Cc1ccon1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078364569 743610028 /nfs/dbraw/zinc/61/00/28/743610028.db2.gz YPJDHBWIRBEISG-LLVKDONJSA-N -1 1 336.352 -0.552 20 0 EBADMM O=C(c1cccc(=O)[nH]1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078369123 743611416 /nfs/dbraw/zinc/61/14/16/743611416.db2.gz HAVOBHMJTOHOIF-SNVBAGLBSA-N -1 1 348.363 -0.368 20 0 EBADMM Cn1cnnc1CNC[C@H]1CN(C(=O)c2ncccc2[O-])CCCO1 ZINC001078383906 743617762 /nfs/dbraw/zinc/61/77/62/743617762.db2.gz HXYBPNJRNPZMSR-LBPRGKRZSA-N -1 1 346.391 -0.063 20 0 EBADMM CCc1nc[nH]c1C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078502969 743682013 /nfs/dbraw/zinc/68/20/13/743682013.db2.gz TYLNYFUULYKFEO-SNVBAGLBSA-N -1 1 349.395 -0.183 20 0 EBADMM CCc1nc[nH]c1C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078502968 743682021 /nfs/dbraw/zinc/68/20/21/743682021.db2.gz TYLNYFUULYKFEO-JTQLQIEISA-N -1 1 349.395 -0.183 20 0 EBADMM Cn1nncc1C(=O)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078617474 743708681 /nfs/dbraw/zinc/70/86/81/743708681.db2.gz NCCPYOPKXNQZSA-VHSXEESVSA-N -1 1 332.368 -0.530 20 0 EBADMM Cn1nncc1C(=O)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078617473 743708722 /nfs/dbraw/zinc/70/87/22/743708722.db2.gz NCCPYOPKXNQZSA-UWVGGRQHSA-N -1 1 332.368 -0.530 20 0 EBADMM Cn1cc(C(=O)N[C@@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)[nH]c1=O ZINC001078721306 743724795 /nfs/dbraw/zinc/72/47/95/743724795.db2.gz ZQIUWISAOOCFRN-NXEZZACHSA-N -1 1 347.379 -0.220 20 0 EBADMM Cc1nonc1CC(=O)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078766501 743731796 /nfs/dbraw/zinc/73/17/96/743731796.db2.gz FDWVYBVIDCCDTI-GHMZBOCLSA-N -1 1 347.379 -0.039 20 0 EBADMM O=C(N[C@H]1C[C@H](CNC(=O)[C@H]2CCNC2=O)C1)c1ncccc1[O-] ZINC000980103060 805732686 /nfs/dbraw/zinc/73/26/86/805732686.db2.gz AGHUZJRKANXWKH-DCAQKATOSA-N -1 1 332.360 -0.452 20 0 EBADMM CCc1ncncc1C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000980418089 805885364 /nfs/dbraw/zinc/88/53/64/805885364.db2.gz HUZRGJWWTUEEGQ-LLVKDONJSA-N -1 1 345.407 -0.193 20 0 EBADMM CCn1nc(C)c(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000980556779 805939975 /nfs/dbraw/zinc/93/99/75/805939975.db2.gz KVYHWFDIIIAOIB-NSHDSACASA-N -1 1 348.411 -0.625 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)[C@@H]2CC23CCOCC3)C1 ZINC000980565096 805944419 /nfs/dbraw/zinc/94/44/19/805944419.db2.gz VLXZPMVULBBHAW-OLZOCXBDSA-N -1 1 349.435 -0.042 20 0 EBADMM C[C@@H]1C[C@@H](CNCc2cnon2)CN1C(=O)c1cnc([O-])n(C)c1=O ZINC000980669989 805980051 /nfs/dbraw/zinc/98/00/51/805980051.db2.gz CJYPALJUVAHPME-ZJUUUORDSA-N -1 1 348.363 -0.491 20 0 EBADMM Cc1nonc1CN[C@@H]1CCC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000981203822 806107713 /nfs/dbraw/zinc/10/77/13/806107713.db2.gz ZNGHXJLNOWMIJB-BDAKNGLRSA-N -1 1 349.351 -0.195 20 0 EBADMM Cn1ncc(CN[C@H]2CCC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC000981202538 806108465 /nfs/dbraw/zinc/10/84/65/806108465.db2.gz WAYYCSKWJFULMW-DTWKUNHWSA-N -1 1 348.367 -0.758 20 0 EBADMM O=C(Cn1cnnn1)N[C@@H]1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000981208203 806109814 /nfs/dbraw/zinc/10/98/14/806109814.db2.gz VGKOHUJBLKXXPT-WDEREUQCSA-N -1 1 345.363 -0.511 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)C3(F)CCOCC3)CC2)nc1=O ZINC000981295328 806136715 /nfs/dbraw/zinc/13/67/15/806136715.db2.gz FPLKMGIOOAHWSY-UHFFFAOYSA-N -1 1 341.387 -0.339 20 0 EBADMM Cc1c(CC(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)cnn1C ZINC000981302056 806137478 /nfs/dbraw/zinc/13/74/78/806137478.db2.gz CJZUVYJOSJDACA-UHFFFAOYSA-N -1 1 347.423 -0.573 20 0 EBADMM Cc1nnccc1C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000981555439 806201339 /nfs/dbraw/zinc/20/13/39/806201339.db2.gz STCBAFZOTBBHMB-UHFFFAOYSA-N -1 1 331.380 -0.445 20 0 EBADMM O=C(CCc1nc[nH]n1)N1CC2(C1)CCCN(Cc1n[nH]c(=O)[n-]1)C2 ZINC000981698773 806243314 /nfs/dbraw/zinc/24/33/14/806243314.db2.gz KSGJGACGEFGFJK-UHFFFAOYSA-N -1 1 346.395 -0.314 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)Cn4ccnc4)C3)C2)nc1=O ZINC000982170502 806416970 /nfs/dbraw/zinc/41/69/70/806416970.db2.gz BEYADZNDBOHCBO-UHFFFAOYSA-N -1 1 345.407 -0.571 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)[C@H]2CCNC(=O)C2)C1)c1ncccc1[O-] ZINC000982633617 806597531 /nfs/dbraw/zinc/59/75/31/806597531.db2.gz OBCFBFUXGISZEE-NEPJUHHUSA-N -1 1 346.387 -0.108 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCC(=O)NC1 ZINC000982671951 806628883 /nfs/dbraw/zinc/62/88/83/806628883.db2.gz SUDXEYBOLWVIJS-VXGBXAGGSA-N -1 1 346.387 -0.108 20 0 EBADMM Cn1cc(C(=O)N2CC[C@H](CNC(=O)c3ncccc3[O-])C2)nn1 ZINC000982716339 806663025 /nfs/dbraw/zinc/66/30/25/806663025.db2.gz CMTOHNAGSMGIJW-SNVBAGLBSA-N -1 1 330.348 -0.192 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)[C@@H]2CCCNC2=O)C1)c1ncccc1[O-] ZINC000982884599 806755932 /nfs/dbraw/zinc/75/59/32/806755932.db2.gz QZEDPWWSLCBCQG-NWDGAFQWSA-N -1 1 346.387 -0.108 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)CCO1 ZINC000982965560 806807489 /nfs/dbraw/zinc/80/74/89/806807489.db2.gz AFVMAJHTQHMSGR-OLZOCXBDSA-N -1 1 337.424 -0.042 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H](CNC(=O)C2CC2)C1 ZINC000982996978 806829487 /nfs/dbraw/zinc/82/94/87/806829487.db2.gz SOEMXJPNTOAXJG-LLVKDONJSA-N -1 1 334.376 -0.699 20 0 EBADMM CN1C(=O)CC[C@@H]1C(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000983156915 806911368 /nfs/dbraw/zinc/91/13/68/806911368.db2.gz ZMCAOPAPNLKJSQ-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM NC(=O)C(=O)N1CC[C@@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000983206165 806947061 /nfs/dbraw/zinc/94/70/61/806947061.db2.gz SOWKIXVLAUFVMA-QMMMGPOBSA-N -1 1 333.348 -0.877 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000983303899 806974740 /nfs/dbraw/zinc/97/47/40/806974740.db2.gz AWKUVFQVUZJEFR-MXWKQRLJSA-N -1 1 332.360 -0.513 20 0 EBADMM Cc1nc(S(=O)(=O)[N-][C@H]2CCNC2=O)c(Br)n1C ZINC000698946079 803785226 /nfs/dbraw/zinc/78/52/26/803785226.db2.gz BUXKYKUFKPQNAL-LURJTMIESA-N -1 1 337.199 -0.342 20 0 EBADMM Cn1ncc(C(=O)N[C@@H]2[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)n1 ZINC000976835524 803891926 /nfs/dbraw/zinc/89/19/26/803891926.db2.gz USLYODUYGAHKGX-GDGBQDQQSA-N -1 1 328.332 -0.584 20 0 EBADMM CC(C)=CC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000976860013 803911089 /nfs/dbraw/zinc/91/10/89/803911089.db2.gz SCNMKXDTJCRFKG-MSRIBSCDSA-N -1 1 342.359 -0.430 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)[C@@H]1CCC(=O)N1 ZINC000976911907 803954530 /nfs/dbraw/zinc/95/45/30/803954530.db2.gz MNSSJMGERQBOJM-MPXOCVNLSA-N -1 1 330.344 -0.748 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)[C@@]3(C)CCOC3)C(C)(C)C2)nc1=O ZINC000977405805 804312116 /nfs/dbraw/zinc/31/21/16/804312116.db2.gz RHQORDQUMMLHOD-ZBEGNZNMSA-N -1 1 337.424 -0.138 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)Cn1nccn1)C(=O)c1ncccc1[O-] ZINC000977597243 804405413 /nfs/dbraw/zinc/40/54/13/804405413.db2.gz GDHZHTFKMOYIJQ-NSHDSACASA-N -1 1 330.348 -0.248 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)[C@@H]1CC(=O)N(C)C1)C(=O)c1ncccc1[O-] ZINC000977599202 804406861 /nfs/dbraw/zinc/40/68/61/804406861.db2.gz KKUKAMTTXVUHOA-NEPJUHHUSA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C[C@H]1CCN1C(=O)CN1CCOCC1)C(=O)c1ncccc1[O-] ZINC000977607235 804411248 /nfs/dbraw/zinc/41/12/48/804411248.db2.gz FBDAKSLGXKFNFF-CYBMUJFWSA-N -1 1 348.403 -0.208 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)[C@@H]1CCN(C)C1=O)C(=O)c1ncccc1[O-] ZINC000977616720 804416281 /nfs/dbraw/zinc/41/62/81/804416281.db2.gz ILVWEHLIKIYFRV-NWDGAFQWSA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1nnn(C)n1)C(=O)c1ncccc1[O-] ZINC000977617037 804416463 /nfs/dbraw/zinc/41/64/63/804416463.db2.gz KMCYFQHSYLRXAW-VIFPVBQESA-N -1 1 331.336 -0.703 20 0 EBADMM CN(C[C@H]1CCN1C(=O)[C@H]1CCC(=O)NC1)C(=O)c1ncccc1[O-] ZINC000977623763 804420142 /nfs/dbraw/zinc/42/01/42/804420142.db2.gz ZQMOWALHFDAPMT-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2[C@H]3CN(CC(=O)N4CCCC4)C[C@H]32)c1[O-] ZINC000977976881 804600384 /nfs/dbraw/zinc/60/03/84/804600384.db2.gz GFPLOZNQAQDUHT-XYYAHUGASA-N -1 1 347.419 -0.046 20 0 EBADMM Cc1ncc(C(=O)N2CC[C@H]2CN(C)C(=O)c2n[nH]c(=O)[n-]c2=O)[nH]1 ZINC000978072131 804646125 /nfs/dbraw/zinc/64/61/25/804646125.db2.gz MXSALHKHKQPCEK-QMMMGPOBSA-N -1 1 347.335 -0.699 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ccn[nH]1)C(=O)c1cnc([O-])n(C)c1=O ZINC000978127341 804674606 /nfs/dbraw/zinc/67/46/06/804674606.db2.gz YUIILYZOFKEOKE-SECBINFHSA-N -1 1 346.347 -0.804 20 0 EBADMM CN1CCOC[C@H]1C(=O)NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000978730290 804946291 /nfs/dbraw/zinc/94/62/91/804946291.db2.gz BSIHRGSLVMLBHO-XQQFMLRXSA-N -1 1 348.403 -0.258 20 0 EBADMM O=C(NC[C@@H]1C[C@H](NC(=O)[C@H]2CNC(=O)N2)C1)c1ncccc1[O-] ZINC000978743712 804955183 /nfs/dbraw/zinc/95/51/83/804955183.db2.gz RHCOZZYHZBFUQE-KXUCPTDWSA-N -1 1 333.348 -0.907 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2ccncc2)CCO1 ZINC000979192508 805198890 /nfs/dbraw/zinc/19/88/90/805198890.db2.gz ZEDODOVIBRKHTE-CMPLNLGQSA-N -1 1 332.364 -0.075 20 0 EBADMM Cc1cnc(C(=O)N2CCO[C@@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC000979198826 805205402 /nfs/dbraw/zinc/20/54/02/805205402.db2.gz KDPLMBSYIDBMJM-CMPLNLGQSA-N -1 1 347.379 -0.372 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2cnns2)CCO1 ZINC000979204179 805208054 /nfs/dbraw/zinc/20/80/54/805208054.db2.gz BXMPLHQEOYELRA-SFYZADRCSA-N -1 1 339.381 -0.619 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)n(C)n1 ZINC000979218428 805215034 /nfs/dbraw/zinc/21/50/34/805215034.db2.gz PUZINWKFQZNUDX-PWSUYJOCSA-N -1 1 349.395 -0.428 20 0 EBADMM CCc1cc(C(=O)N2CCO[C@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC000979245887 805237264 /nfs/dbraw/zinc/23/72/64/805237264.db2.gz CLXRYQXPDSLMKI-SKDRFNHKSA-N -1 1 349.395 -0.185 20 0 EBADMM Cc1nc(C(=O)N2CCO[C@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)c[nH]1 ZINC000979247476 805238323 /nfs/dbraw/zinc/23/83/23/805238323.db2.gz VIRTXFICCBEJDC-KCJUWKMLSA-N -1 1 335.368 -0.439 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)C2=CCOCC2)CCO1 ZINC000979263427 805248378 /nfs/dbraw/zinc/24/83/78/805248378.db2.gz WDOCSLWRJCUYSS-CMPLNLGQSA-N -1 1 337.380 -0.438 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)C2=CCOCC2)CCO1 ZINC000979263431 805248409 /nfs/dbraw/zinc/24/84/09/805248409.db2.gz WDOCSLWRJCUYSS-JQWIXIFHSA-N -1 1 337.380 -0.438 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)C2=CCOCC2)CCO1 ZINC000979263435 805248421 /nfs/dbraw/zinc/24/84/21/805248421.db2.gz WDOCSLWRJCUYSS-ZYHUDNBSSA-N -1 1 337.380 -0.438 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)cnn1 ZINC000979278607 805257316 /nfs/dbraw/zinc/25/73/16/805257316.db2.gz NAJPSALJBVRVIM-CMPLNLGQSA-N -1 1 347.379 -0.372 20 0 EBADMM C[C@H](NCc1ncnn1C)[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC000979280068 805258644 /nfs/dbraw/zinc/25/86/44/805258644.db2.gz UHVXCQAYUOKULO-AAEUAGOBSA-N -1 1 346.391 -0.065 20 0 EBADMM CCn1ccnc1C(=O)N1CCO[C@@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979287733 805265544 /nfs/dbraw/zinc/26/55/44/805265544.db2.gz YYELJVGKYUMMII-GHMZBOCLSA-N -1 1 349.395 -0.254 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)Cc2cncnc2)CCO1 ZINC000979300111 805276808 /nfs/dbraw/zinc/27/68/08/805276808.db2.gz KVMAHNUTGABFFC-ZYHUDNBSSA-N -1 1 347.379 -0.752 20 0 EBADMM Cc1ccnc(C(=O)N2CCO[C@@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000979303821 805278950 /nfs/dbraw/zinc/27/89/50/805278950.db2.gz QDDZUTVETKAXLV-GHMZBOCLSA-N -1 1 347.379 -0.372 20 0 EBADMM Cc1nnccc1C(=O)N1CCO[C@@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979328354 805297346 /nfs/dbraw/zinc/29/73/46/805297346.db2.gz SEJQVJDNFJMARD-CMPLNLGQSA-N -1 1 347.379 -0.372 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2cncn2C)CCO1 ZINC000979344266 805308531 /nfs/dbraw/zinc/30/85/31/805308531.db2.gz NIMBBVUTVIDKAF-KOLCDFICSA-N -1 1 335.368 -0.737 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)CCc2cn[nH]c2)CCO1 ZINC000979380839 805339773 /nfs/dbraw/zinc/33/97/73/805339773.db2.gz HFQXQSMEBABKJE-PWSUYJOCSA-N -1 1 349.395 -0.428 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)Cc2cnc[nH]2)CCO1 ZINC000979398877 805352266 /nfs/dbraw/zinc/35/22/66/805352266.db2.gz DHCXKUJHTNPCDR-KOLCDFICSA-N -1 1 335.368 -0.818 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)N1CCO[C@@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979409659 805358329 /nfs/dbraw/zinc/35/83/29/805358329.db2.gz KXAIIHVLLDPCSO-LDYMZIIASA-N -1 1 349.395 -0.130 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)N1CCO[C@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979409655 805359067 /nfs/dbraw/zinc/35/90/67/805359067.db2.gz KXAIIHVLLDPCSO-KCJUWKMLSA-N -1 1 349.395 -0.130 20 0 EBADMM Cc1c[nH]c(C(=O)N2CCO[C@@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000979415242 805362485 /nfs/dbraw/zinc/36/24/85/805362485.db2.gz RPXACJMJVSWDDO-NXEZZACHSA-N -1 1 335.368 -0.439 20 0 EBADMM CCn1cnc(C(=O)N2CCO[C@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC000979442415 805383178 /nfs/dbraw/zinc/38/31/78/805383178.db2.gz GMOOCIOITPNSGW-JQWIXIFHSA-N -1 1 349.395 -0.254 20 0 EBADMM CCc1nc[nH]c1C(=O)N1CCO[C@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979447421 805385832 /nfs/dbraw/zinc/38/58/32/805385832.db2.gz ONLUQPZGFIKSEI-KOLCDFICSA-N -1 1 349.395 -0.185 20 0 EBADMM CN1CCO[C@@H](C(=O)NC[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000979595853 805446544 /nfs/dbraw/zinc/44/65/44/805446544.db2.gz IDCKJLFRXMVJAB-YRGRVCCFSA-N -1 1 348.403 -0.258 20 0 EBADMM O=C(NC[C@H]1C[C@H](NC(=O)[C@H]2COCCO2)C1)c1ncccc1[O-] ZINC000979663825 805475366 /nfs/dbraw/zinc/47/53/66/805475366.db2.gz GGOUWAYEUQNKRJ-NQBHXWOUSA-N -1 1 335.360 -0.173 20 0 EBADMM O=C(Cc1nc[nH]n1)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000979672158 805480858 /nfs/dbraw/zinc/48/08/58/805480858.db2.gz IRVCDWSHEBUXPQ-MGCOHNPYSA-N -1 1 330.348 -0.227 20 0 EBADMM O=C(Cc1nnc[nH]1)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000979672158 805480869 /nfs/dbraw/zinc/48/08/69/805480869.db2.gz IRVCDWSHEBUXPQ-MGCOHNPYSA-N -1 1 330.348 -0.227 20 0 EBADMM COc1ncc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)cn1 ZINC000983589943 807047833 /nfs/dbraw/zinc/04/78/33/807047833.db2.gz LJYKNAPEIKMVJY-UHFFFAOYSA-N -1 1 347.379 -0.745 20 0 EBADMM NC(=O)C(=O)N[C@@H]1CCC[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000983602058 807053622 /nfs/dbraw/zinc/05/36/22/807053622.db2.gz WQZKVEJLQISESK-NXEZZACHSA-N -1 1 347.375 -0.298 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)[C@@H]1CCCN(C(=O)C2CC2)C1 ZINC000983746841 807090858 /nfs/dbraw/zinc/09/08/58/807090858.db2.gz GOAMSTFGNBVOPP-LLVKDONJSA-N -1 1 344.375 -0.109 20 0 EBADMM CN(C(=O)[C@H]1C[C@H]1C(N)=O)[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984121076 807170287 /nfs/dbraw/zinc/17/02/87/807170287.db2.gz PSBZOTJCAJLLGI-TUAOUCFPSA-N -1 1 346.387 -0.028 20 0 EBADMM Cc1cnc(CNC[C@H]2C[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)nc1 ZINC000984216123 807220128 /nfs/dbraw/zinc/22/01/28/807220128.db2.gz RLZWODVVCWIOID-MGCOHNPYSA-N -1 1 345.363 -0.321 20 0 EBADMM CN(C(=O)C1CC1)[C@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000984408828 807283345 /nfs/dbraw/zinc/28/33/45/807283345.db2.gz RDVBOOYTEOLKPG-NSHDSACASA-N -1 1 344.375 -0.109 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCCN(C(=O)[C@@H]2CCNC2=O)C1 ZINC000984460022 807298424 /nfs/dbraw/zinc/29/84/24/807298424.db2.gz SPAUQOMOMRTICE-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N[C@H]1C[C@H](CNCc2nncs2)C1 ZINC000985077151 807436539 /nfs/dbraw/zinc/43/65/39/807436539.db2.gz VVBWUMNXNWLDKB-ZKCHVHJHSA-N -1 1 339.381 -0.775 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)[C@@H]2CCC(=O)N2C)CC1 ZINC000985585824 807543446 /nfs/dbraw/zinc/54/34/46/807543446.db2.gz UUJKIQWFKHFMKC-NSHDSACASA-N -1 1 336.396 -0.446 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)[C@]2(C)CCC(=O)N2)CC1 ZINC000985632145 807552850 /nfs/dbraw/zinc/55/28/50/807552850.db2.gz MFFBLGMHQOLJBQ-HNNXBMFYSA-N -1 1 336.396 -0.398 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)c2cc(C(N)=O)c[nH]2)CC1 ZINC000985730982 807569497 /nfs/dbraw/zinc/56/94/97/807569497.db2.gz OJVVRSKRZQBMFU-UHFFFAOYSA-N -1 1 347.379 -0.326 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnn2ccncc12 ZINC000986352265 807682534 /nfs/dbraw/zinc/68/25/34/807682534.db2.gz GCFUOSPGVKIMEW-GXSJLCMTSA-N -1 1 342.363 -0.054 20 0 EBADMM COCc1nn(C)cc1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000986450725 807707696 /nfs/dbraw/zinc/70/76/96/807707696.db2.gz VWKWXIGAWKKDDA-GXSJLCMTSA-N -1 1 349.395 -0.217 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cc(CN(C)C)on1 ZINC000986467249 807709682 /nfs/dbraw/zinc/70/96/82/807709682.db2.gz NRSSBQWTAKATNX-MWLCHTKSSA-N -1 1 349.395 -0.047 20 0 EBADMM Cc1cc(CN[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)[C@H]2C)ncn1 ZINC000986486516 807717487 /nfs/dbraw/zinc/71/74/87/807717487.db2.gz GYTZSHKKTVDWAR-ONGXEEELSA-N -1 1 345.363 -0.226 20 0 EBADMM CCn1ccnc1CN[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)[C@H]1C ZINC000986486067 807717686 /nfs/dbraw/zinc/71/76/86/807717686.db2.gz FCYNQWVLNKQIPN-UWVGGRQHSA-N -1 1 347.379 -0.108 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cccc2ncnn21 ZINC000986494100 807719666 /nfs/dbraw/zinc/71/96/66/807719666.db2.gz CZZJHYBPEQZOES-NXEZZACHSA-N -1 1 342.363 -0.054 20 0 EBADMM COCCn1ccc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)n1 ZINC000986540322 807730258 /nfs/dbraw/zinc/73/02/58/807730258.db2.gz LDFZQXRKJLISPS-QWRGUYRKSA-N -1 1 349.395 -0.254 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc2nnnn2c1 ZINC000986554487 807733547 /nfs/dbraw/zinc/73/35/47/807733547.db2.gz BJCKIBQGKNNNJZ-WPRPVWTQSA-N -1 1 343.351 -0.659 20 0 EBADMM Cc1cc(CC(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)n(C)n1 ZINC000986556769 807734959 /nfs/dbraw/zinc/73/49/59/807734959.db2.gz NAMOPISDISJEIW-PWSUYJOCSA-N -1 1 333.396 -0.126 20 0 EBADMM CCn1cc(CC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)nn1 ZINC000986605049 807751491 /nfs/dbraw/zinc/75/14/91/807751491.db2.gz WFWWRFGMGOCEGA-MWLCHTKSSA-N -1 1 334.384 -0.557 20 0 EBADMM CCn1cc(CC(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)nn1 ZINC000986605048 807752177 /nfs/dbraw/zinc/75/21/77/807752177.db2.gz WFWWRFGMGOCEGA-KOLCDFICSA-N -1 1 334.384 -0.557 20 0 EBADMM C[C@H]1[C@H](NCc2nccn2C)CCN1C(=O)c1cnc([O-])n(C)c1=O ZINC000986647289 807755423 /nfs/dbraw/zinc/75/54/23/807755423.db2.gz ASQJDNQNGKVTTI-CMPLNLGQSA-N -1 1 346.391 -0.388 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccn2nnnc2c1 ZINC000986663952 807760030 /nfs/dbraw/zinc/76/00/30/807760030.db2.gz NGXJUUPGAHJYCI-WPRPVWTQSA-N -1 1 343.351 -0.659 20 0 EBADMM CCCn1cc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)nn1 ZINC000987275944 807881034 /nfs/dbraw/zinc/88/10/34/807881034.db2.gz UALYEQKVRMLBTF-VHSXEESVSA-N -1 1 334.384 -0.095 20 0 EBADMM C[C@H]1[C@@H](NCc2ccon2)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000987353361 807898447 /nfs/dbraw/zinc/89/84/47/807898447.db2.gz OIDSOCRYFIEQFN-ONGXEEELSA-N -1 1 343.347 -0.202 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)Cn1ccccc1=O ZINC000987495917 807942157 /nfs/dbraw/zinc/94/21/57/807942157.db2.gz YDGINJBPRYEEEF-WDEREUQCSA-N -1 1 332.364 -0.549 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cccc(C(N)=O)n1 ZINC000987589884 807966282 /nfs/dbraw/zinc/96/62/82/807966282.db2.gz MDHLFSRQOUQPME-BDAKNGLRSA-N -1 1 345.363 -0.603 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C(=O)[C@H]1CCC(=O)N1 ZINC000987924234 808057422 /nfs/dbraw/zinc/05/74/22/808057422.db2.gz AJCYJDNZMTZVSH-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C(=O)[C@H]1CCNC1=O ZINC000987930181 808060763 /nfs/dbraw/zinc/06/07/63/808060763.db2.gz MUJYUAJAHCFBST-NEPJUHHUSA-N -1 1 346.387 -0.156 20 0 EBADMM CN(C[C@H]1CCN(C(=O)[C@H]2COC(=O)N2)C1)C(=O)c1ncccc1[O-] ZINC000987959579 808070221 /nfs/dbraw/zinc/07/02/21/808070221.db2.gz HPYNSPRXONRSFS-GHMZBOCLSA-N -1 1 348.359 -0.184 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc2n[nH]nc2n1 ZINC000988933588 808328454 /nfs/dbraw/zinc/32/84/54/808328454.db2.gz MCIRSJGIQZYSGP-YUMQZZPRSA-N -1 1 343.351 -0.431 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CN1CCCCC1=O ZINC000989098102 808372970 /nfs/dbraw/zinc/37/29/70/808372970.db2.gz IRHWZJSXYSCOCE-WDEREUQCSA-N -1 1 336.396 -0.398 20 0 EBADMM Cc1nccnc1CN[C@H]1C[C@H](C)N(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000989107706 808376929 /nfs/dbraw/zinc/37/69/29/808376929.db2.gz ARMQIRJTPGEWKC-WPRPVWTQSA-N -1 1 345.363 -0.226 20 0 EBADMM Cc1cc(CN[C@H]2C[C@H](C)N(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)nn1C ZINC000989119768 808382469 /nfs/dbraw/zinc/38/24/69/808382469.db2.gz MDSGXTVEMMARCE-ONGXEEELSA-N -1 1 347.379 -0.282 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc(C(N)=O)o1 ZINC000989156335 808387971 /nfs/dbraw/zinc/38/79/71/808387971.db2.gz SLUUSTYDUGDYGI-YUMQZZPRSA-N -1 1 334.336 -0.405 20 0 EBADMM Cc1noc(CCC(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)n1 ZINC000989273330 808424207 /nfs/dbraw/zinc/42/42/07/808424207.db2.gz OYRCYVJLTTVPBQ-PSASIEDQSA-N -1 1 335.368 -0.086 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCCN(Cc2ccon2)CC1 ZINC000989478233 808486727 /nfs/dbraw/zinc/48/67/27/808486727.db2.gz UFATZAFJTYXTNU-UHFFFAOYSA-N -1 1 343.347 -0.246 20 0 EBADMM Cc1cc(CN[C@H]2C[C@H](C)N(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)on1 ZINC000989721729 808567640 /nfs/dbraw/zinc/56/76/40/808567640.db2.gz FPNBUHSKRGNTFU-UWVGGRQHSA-N -1 1 336.352 -0.235 20 0 EBADMM C[C@H]1C[C@@H](NCc2cnns2)CN1C(=O)Cn1c(=O)[n-][nH]c1=O ZINC000989722465 808569099 /nfs/dbraw/zinc/56/90/99/808569099.db2.gz KPNZNMKYSKIQLQ-JGVFFNPUSA-N -1 1 339.381 -0.680 20 0 EBADMM Cn1cc(CCC(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)cn1 ZINC000989730062 808572820 /nfs/dbraw/zinc/57/28/20/808572820.db2.gz LWNFHBQAPUMXHC-UHFFFAOYSA-N -1 1 347.423 -0.491 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCc1cncn1C ZINC000989735978 808574342 /nfs/dbraw/zinc/57/43/42/808574342.db2.gz KGNAVPGKTUNJKX-QWRGUYRKSA-N -1 1 333.396 -0.044 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cncc(C(N)=O)c1 ZINC000989810635 808602194 /nfs/dbraw/zinc/60/21/94/808602194.db2.gz XPYRZYJNQZOLFO-GZMMTYOYSA-N -1 1 345.363 -0.603 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)c4cnccn4)CCC[C@H]23)nc1=O ZINC000989920120 808619400 /nfs/dbraw/zinc/61/94/00/808619400.db2.gz ZFMNIUSHAIBUDD-BLLLJJGKSA-N -1 1 343.391 -0.175 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)c4ccn[nH]4)CCC[C@H]23)nc1=O ZINC000989968977 808628707 /nfs/dbraw/zinc/62/87/07/808628707.db2.gz FEMLCFYKAJXOTJ-NHYWBVRUSA-N -1 1 331.380 -0.242 20 0 EBADMM O=C(CC1CCCC1)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000990104474 808656687 /nfs/dbraw/zinc/65/66/87/808656687.db2.gz GALYAMYDNXUCRS-UHFFFAOYSA-N -1 1 348.403 -0.166 20 0 EBADMM CN1CCC[C@@H]1C(=O)NC1CN(C(=O)c2c[n-]n3c2nccc3=O)C1 ZINC000990527138 808827660 /nfs/dbraw/zinc/82/76/60/808827660.db2.gz UVEXANOOGXKXMD-GFCCVEGCSA-N -1 1 344.375 -0.943 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)c4ncc[nH]4)CCC[C@H]23)nc1=O ZINC000990612178 808862731 /nfs/dbraw/zinc/86/27/31/808862731.db2.gz GXCDXXWOOAGJSP-ZUZCIYMTSA-N -1 1 331.380 -0.242 20 0 EBADMM CC(=O)N1CC[C@@](C)(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC000990969130 809018258 /nfs/dbraw/zinc/01/82/58/809018258.db2.gz AJBNZDRVJKTBQG-QGZVFWFLSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(NC1CN(C(=O)c2cccn3nnnc23)C1)c1ncccc1[O-] ZINC000990971667 809019049 /nfs/dbraw/zinc/01/90/49/809019049.db2.gz IHXSSIPKJQDAQG-UHFFFAOYSA-N -1 1 339.315 -0.521 20 0 EBADMM C[C@H]1CCN(C(=O)C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC000990971087 809019986 /nfs/dbraw/zinc/01/99/86/809019986.db2.gz GJXYCTCQPURTKY-JTQLQIEISA-N -1 1 332.360 -0.404 20 0 EBADMM CC(=O)N1CCC[C@@H]1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990976068 809023129 /nfs/dbraw/zinc/02/31/29/809023129.db2.gz DKVPCQGEKVAACK-GFCCVEGCSA-N -1 1 332.360 -0.261 20 0 EBADMM Cc1cc[nH]c1C(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000991101721 809073557 /nfs/dbraw/zinc/07/35/57/809073557.db2.gz PFCMZQXXENBQDW-UHFFFAOYSA-N -1 1 341.331 -0.691 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)C1CCOCC1 ZINC000991185645 809134171 /nfs/dbraw/zinc/13/41/71/809134171.db2.gz FXSNFGDEOVVNEC-JSGCOSHPSA-N -1 1 349.387 -0.095 20 0 EBADMM Cn1cnc(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]2O)c1 ZINC000991387756 809393504 /nfs/dbraw/zinc/39/35/04/809393504.db2.gz NRXKOJHIEYWGAG-GXFFZTMASA-N -1 1 345.359 -0.474 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cnsn2)C[C@@H]1O)c1ncccc1[O-] ZINC000991407825 809426177 /nfs/dbraw/zinc/42/61/77/809426177.db2.gz KISZRSIGKUYTPV-KCJUWKMLSA-N -1 1 349.372 -0.356 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cnns2)C[C@@H]1O)c1ncccc1[O-] ZINC000991410535 809428615 /nfs/dbraw/zinc/42/86/15/809428615.db2.gz RMIHIKBVGRNPNM-WPRPVWTQSA-N -1 1 349.372 -0.356 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cnccn2)C[C@@H]1O)c1ncccc1[O-] ZINC000991411353 809429242 /nfs/dbraw/zinc/42/92/42/809429242.db2.gz UHHYAHYSICAAFU-GWCFXTLKSA-N -1 1 343.343 -0.417 20 0 EBADMM O=C(Cc1ccon1)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991413973 809432547 /nfs/dbraw/zinc/43/25/47/809432547.db2.gz GQBVSNBERNBGER-WCQYABFASA-N -1 1 346.343 -0.291 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cocn2)C[C@H]1O)c1ncccc1[O-] ZINC000991425382 809449779 /nfs/dbraw/zinc/44/97/79/809449779.db2.gz LKHQGULDQVCJAN-BXKDBHETSA-N -1 1 332.316 -0.219 20 0 EBADMM O=C(Cn1ccnc1)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991431786 809463368 /nfs/dbraw/zinc/46/33/68/809463368.db2.gz VQRDPGBTQKOANU-YPMHNXCESA-N -1 1 345.359 -0.625 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cnco2)C[C@@H]1O)c1ncccc1[O-] ZINC000991432773 809464360 /nfs/dbraw/zinc/46/43/60/809464360.db2.gz XHWFMWDNQVFCPD-KOLCDFICSA-N -1 1 332.316 -0.219 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(NC(=O)[C@@H]2CC23CCC3)C1 ZINC000991529037 809607511 /nfs/dbraw/zinc/60/75/11/809607511.db2.gz ALCNTJMBQBJRDC-LBPRGKRZSA-N -1 1 346.387 -0.556 20 0 EBADMM Cc1n[nH]c(C(=O)NC2CCN([C@@H]3CCC(=O)NC3=O)CC2)c1[O-] ZINC001002616021 809752302 /nfs/dbraw/zinc/75/23/02/809752302.db2.gz UHYUNMFYFRJQQN-SNVBAGLBSA-N -1 1 335.364 -0.577 20 0 EBADMM CCc1nn(C)cc1C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001003092786 809782033 /nfs/dbraw/zinc/78/20/33/809782033.db2.gz SOKICQFBTDFGNR-UHFFFAOYSA-N -1 1 347.423 -0.201 20 0 EBADMM Cc1cc(C(=O)N2CC(CNC(=O)c3cnc([O-])n(C)c3=O)C2)n[nH]1 ZINC001003549529 809801699 /nfs/dbraw/zinc/80/16/99/809801699.db2.gz XFEGNBCUTUBWBO-UHFFFAOYSA-N -1 1 346.347 -0.981 20 0 EBADMM CCC(=O)N[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)CC[C@H]1C ZINC001004258573 809817789 /nfs/dbraw/zinc/81/77/89/809817789.db2.gz FZHDTVMAKUYVJM-NEPJUHHUSA-N -1 1 336.392 -0.310 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)c1nnn(C)n1 ZINC001004923663 809835394 /nfs/dbraw/zinc/83/53/94/809835394.db2.gz JXFJILMBYJBVLA-BDAKNGLRSA-N -1 1 335.372 -0.793 20 0 EBADMM C[C@H]1OCC[C@H]1C(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001006209011 809885362 /nfs/dbraw/zinc/88/53/62/809885362.db2.gz YTCJURRVSTWQQZ-DGCLKSJQSA-N -1 1 337.424 -0.044 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)c(C)nn1 ZINC001007412999 809938030 /nfs/dbraw/zinc/93/80/30/809938030.db2.gz QUEQCGWVDSZDJB-LBPRGKRZSA-N -1 1 345.407 -0.090 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)c3n[nH]c4c3CCC4)C2)nc1=O ZINC001007744976 810010881 /nfs/dbraw/zinc/01/08/81/810010881.db2.gz ITZCWMOSRBBFRC-JTQLQIEISA-N -1 1 345.407 -0.285 20 0 EBADMM CC1(C)CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1NC(=O)C1CC1 ZINC001007769399 810016870 /nfs/dbraw/zinc/01/68/70/810016870.db2.gz HRHTYZFIHQWAQY-GFCCVEGCSA-N -1 1 348.403 -0.310 20 0 EBADMM CC1(C)CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1NC(=O)C1CC1 ZINC001007771330 810017757 /nfs/dbraw/zinc/01/77/57/810017757.db2.gz NVJHUVVYQPAUKJ-LLVKDONJSA-N -1 1 344.375 -0.206 20 0 EBADMM COc1cnc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)nc1 ZINC001007887384 810037301 /nfs/dbraw/zinc/03/73/01/810037301.db2.gz QOFYHYFXWVPJIB-SNVBAGLBSA-N -1 1 347.379 -0.699 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)Cc3ccc(=O)[nH]c3)C2)nc1=O ZINC001007971521 810053303 /nfs/dbraw/zinc/05/33/03/810053303.db2.gz ZMXAJSGJAWSKNA-LBPRGKRZSA-N -1 1 346.391 -0.468 20 0 EBADMM Cc1nn(C)c(C)c1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001008120038 810084803 /nfs/dbraw/zinc/08/48/03/810084803.db2.gz IHGPDQMLOYGXNH-GFCCVEGCSA-N -1 1 347.423 -0.147 20 0 EBADMM NC(=O)C(=O)N[C@@H]1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC001009884851 810267185 /nfs/dbraw/zinc/26/71/85/810267185.db2.gz NTXJWZRGMGQCGW-GARJFASQSA-N -1 1 332.360 -0.371 20 0 EBADMM C[C@@H]1CN(C(=O)CC(=O)NCC2CC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001216295310 810366768 /nfs/dbraw/zinc/36/67/68/810366768.db2.gz SGEIGMLTVXAJOO-MWLCHTKSSA-N -1 1 336.396 -0.637 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@@H]3CCc4nccn4C3)C2)nc1=O ZINC001014984319 810458741 /nfs/dbraw/zinc/45/87/41/810458741.db2.gz QSURAZNMIBBJIY-VXGBXAGGSA-N -1 1 345.407 -0.742 20 0 EBADMM Cc1nc([C@@H](C)N2CC[C@H](NC(=O)c3cnc([O-])n(C)c3=O)C2)n[nH]1 ZINC001015487259 810490269 /nfs/dbraw/zinc/49/02/69/810490269.db2.gz KNEYEOBXINXKQK-SCZZXKLOSA-N -1 1 347.379 -0.522 20 0 EBADMM CCc1nc2c(c(OC(=O)[C@@H](CC(=O)[O-])[C@@H](O)C(=O)[O-])n1)C[NH2+]C2 ZINC001218416244 810490738 /nfs/dbraw/zinc/49/07/38/810490738.db2.gz GPQDLOVCOGCQLO-UPONEAKYSA-N -1 1 339.304 -0.916 20 0 EBADMM CCc1nc2c(c(OC(=O)[C@H](O)COP(=O)([O-])[O-])n1)C[NH2+]C2 ZINC001218441514 810493122 /nfs/dbraw/zinc/49/31/22/810493122.db2.gz WVWORMFVYBWWMX-MRVPVSSYSA-N -1 1 333.237 -0.982 20 0 EBADMM Cc1ncoc1CN1CC[C@H](NC(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001015488321 810493296 /nfs/dbraw/zinc/49/32/96/810493296.db2.gz RRJUEVDUWYMRTO-JTQLQIEISA-N -1 1 333.348 -0.213 20 0 EBADMM COc1ccc(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nc1 ZINC001015610413 810539769 /nfs/dbraw/zinc/53/97/69/810539769.db2.gz XJFIBMDWQYDOQQ-LBPRGKRZSA-N -1 1 346.391 -0.555 20 0 EBADMM O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CCCc2n[nH]nc21 ZINC001015619926 810545821 /nfs/dbraw/zinc/54/58/21/810545821.db2.gz FVHHFPIQUWVFLM-BDAKNGLRSA-N -1 1 332.368 -0.561 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@H]3CCc4cccnc43)C2)nc1=O ZINC001015624299 810548790 /nfs/dbraw/zinc/54/87/90/810548790.db2.gz HTHIDJILMQXXDP-STQMWFEESA-N -1 1 342.403 -0.076 20 0 EBADMM [NH3+][C@@H](Cc1c[nH]cn1)C(=O)Nc1ccc2c(c1)S(=O)(=O)[N-]C2=O ZINC001218964413 810549884 /nfs/dbraw/zinc/54/98/84/810549884.db2.gz RSOGULOUSPHIPD-JTQLQIEISA-N -1 1 335.345 -0.650 20 0 EBADMM CCOc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)ccn1 ZINC001015680091 810560031 /nfs/dbraw/zinc/56/00/31/810560031.db2.gz UMZIASRVHHJCLE-LBPRGKRZSA-N -1 1 346.391 -0.094 20 0 EBADMM CCn1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c(C)n1 ZINC001015766894 810575913 /nfs/dbraw/zinc/57/59/13/810575913.db2.gz CSFCFPRZCDSCDD-LLVKDONJSA-N -1 1 333.396 -0.362 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3coc(C4CC4)n3)C2)nc1=O ZINC001015802593 810584949 /nfs/dbraw/zinc/58/49/49/810584949.db2.gz HCUOZGZANGRNAN-SNVBAGLBSA-N -1 1 332.364 -0.022 20 0 EBADMM O=C(N[C@@H]1CCN(CCn2cncn2)C1)c1c[n-]n2c1nccc2=O ZINC001015807578 810587387 /nfs/dbraw/zinc/58/73/87/810587387.db2.gz FADSNWWCKCDFKU-LLVKDONJSA-N -1 1 342.363 -0.882 20 0 EBADMM O=C(N[C@H]1CCN(CCn2cncn2)C1)c1c[n-]n2c1nccc2=O ZINC001015807579 810587437 /nfs/dbraw/zinc/58/74/37/810587437.db2.gz FADSNWWCKCDFKU-NSHDSACASA-N -1 1 342.363 -0.882 20 0 EBADMM CO[C@H](C)CN1CC[C@@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001015865334 810605593 /nfs/dbraw/zinc/60/55/93/810605593.db2.gz WMMNLVNUQGMPAF-GHMZBOCLSA-N -1 1 334.380 -0.703 20 0 EBADMM CCc1nc(C)c(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)o1 ZINC001015947371 810623352 /nfs/dbraw/zinc/62/33/52/810623352.db2.gz JZNNHBCXCZMPQW-SNVBAGLBSA-N -1 1 334.380 -0.028 20 0 EBADMM Cc1cc(CN2CC[C@@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)no1 ZINC001015988858 810643074 /nfs/dbraw/zinc/64/30/74/810643074.db2.gz GRQDFCFMGQVHDT-SNVBAGLBSA-N -1 1 343.347 -0.282 20 0 EBADMM Cn1cncc1CN1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001015991495 810644803 /nfs/dbraw/zinc/64/48/03/810644803.db2.gz FAVGQUZGOHIREC-SNVBAGLBSA-N -1 1 342.363 -0.845 20 0 EBADMM COc1cc[nH]c(=O)c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001016003753 810650704 /nfs/dbraw/zinc/65/07/04/810650704.db2.gz OKSFYUSIJBKJAY-VIFPVBQESA-N -1 1 348.363 -0.778 20 0 EBADMM Cc1cccnc1CN1CC[C@@H](NC(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC001016111454 810667975 /nfs/dbraw/zinc/66/79/75/810667975.db2.gz QRVPGTAMEPQVFM-LLVKDONJSA-N -1 1 332.364 -0.217 20 0 EBADMM Cc1cc(=O)[nH]c(C)c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001016313635 810721473 /nfs/dbraw/zinc/72/14/73/810721473.db2.gz LXHXDMXQBVFDLD-NSHDSACASA-N -1 1 346.391 -0.170 20 0 EBADMM CCN(C(=O)CN1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1)C1CC1 ZINC001219503882 810725633 /nfs/dbraw/zinc/72/56/33/810725633.db2.gz JHOXQBIOLOOCHU-OCCSQVGLSA-N -1 1 348.403 -0.427 20 0 EBADMM Cc1c(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)ccn1C ZINC001035500527 810857629 /nfs/dbraw/zinc/85/76/29/810857629.db2.gz UPUZAERBVYRFKN-GFCCVEGCSA-N -1 1 348.407 -0.614 20 0 EBADMM CCc1ccoc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035503980 810860821 /nfs/dbraw/zinc/86/08/21/810860821.db2.gz YNALJJNHUUADKU-GFCCVEGCSA-N -1 1 349.391 -0.105 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)C[C@@H]2CCOC2)CC3)nc1=O ZINC001035676898 810986000 /nfs/dbraw/zinc/98/60/00/810986000.db2.gz LRUNCZIEEYNROG-ZDUSSCGKSA-N -1 1 349.435 -0.041 20 0 EBADMM C[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC[C@H]1NCc1cnon1 ZINC001036093611 811095302 /nfs/dbraw/zinc/09/53/02/811095302.db2.gz MNUNHDFHJGMJQA-IONNQARKSA-N -1 1 335.324 -0.694 20 0 EBADMM C[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC[C@@H]1NCc1ccon1 ZINC001036093964 811095324 /nfs/dbraw/zinc/09/53/24/811095324.db2.gz NQCBMVRBOLQYTD-WPRPVWTQSA-N -1 1 334.336 -0.089 20 0 EBADMM CC[C@H](C)CCC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001220593741 811170281 /nfs/dbraw/zinc/17/02/81/811170281.db2.gz DAYWRARVWCEWLG-TUAOUCFPSA-N -1 1 325.413 -0.404 20 0 EBADMM C[C@H]1CCCN(C(=O)c2cnn(C)n2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036582978 811181067 /nfs/dbraw/zinc/18/10/67/811181067.db2.gz NJACUCIPSGDDPF-ONGXEEELSA-N -1 1 334.384 -0.331 20 0 EBADMM Cc1c(C(=O)N2CC[C@@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)nnn1C ZINC001036674518 811205194 /nfs/dbraw/zinc/20/51/94/811205194.db2.gz HBMAHHSIOGAZIL-WDEREUQCSA-N -1 1 346.395 -0.316 20 0 EBADMM C[C@@H](CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O)c1ccco1 ZINC001220777973 811238424 /nfs/dbraw/zinc/23/84/24/811238424.db2.gz LWSBXKVXNGJEKW-TUAOUCFPSA-N -1 1 349.391 -0.444 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036788870 811254576 /nfs/dbraw/zinc/25/45/76/811254576.db2.gz IOCQVULZWWJTCB-ZJUUUORDSA-N -1 1 332.368 -0.327 20 0 EBADMM Cc1nonc1CC(=O)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036858528 811291462 /nfs/dbraw/zinc/29/14/62/811291462.db2.gz AFEJWJSRZYHFRE-JQWIXIFHSA-N -1 1 347.379 -0.133 20 0 EBADMM Cc1nonc1CC(=O)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036858527 811292186 /nfs/dbraw/zinc/29/21/86/811292186.db2.gz AFEJWJSRZYHFRE-CMPLNLGQSA-N -1 1 347.379 -0.133 20 0 EBADMM O=C(Cn1cncn1)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036886937 811300088 /nfs/dbraw/zinc/30/00/88/811300088.db2.gz JUFIGYCFBWLIAI-QWRGUYRKSA-N -1 1 332.368 -0.775 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CCC[C@H]2C[NH2+]Cc2nc(=O)n(C)[n-]2)c1[O-] ZINC001036985824 811322668 /nfs/dbraw/zinc/32/26/68/811322668.db2.gz MVAYXNOMELNOEY-UWVGGRQHSA-N -1 1 349.395 -0.466 20 0 EBADMM O=C(N[C@H]1CCC[C@@H]1CNCc1cnon1)c1n[nH]c(=O)[n-]c1=O ZINC001037038775 811346905 /nfs/dbraw/zinc/34/69/05/811346905.db2.gz UIUZRCGLOSGZEV-APPZFPTMSA-N -1 1 335.324 -0.646 20 0 EBADMM Cc1noc(CNC[C@@H]2CCC[C@H]2NC(=O)c2n[nH]c(=O)[n-]c2=O)n1 ZINC001037038920 811347120 /nfs/dbraw/zinc/34/71/20/811347120.db2.gz WNPFWBXSDSBOOT-DTWKUNHWSA-N -1 1 349.351 -0.337 20 0 EBADMM Cc1nonc1CNC[C@H]1CCC[C@@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001037037735 811347545 /nfs/dbraw/zinc/34/75/45/811347545.db2.gz CQFIUYHGFRQYCP-BDAKNGLRSA-N -1 1 349.351 -0.337 20 0 EBADMM O=C(N[C@@H]1CCC[C@H]1CNCc1ncccn1)c1n[nH]c(=O)[n-]c1=O ZINC001037038609 811347667 /nfs/dbraw/zinc/34/76/67/811347667.db2.gz QNUWGUJHHGJECR-VHSXEESVSA-N -1 1 345.363 -0.239 20 0 EBADMM CCCC(=O)N(CC)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001079217434 811449485 /nfs/dbraw/zinc/44/94/85/811449485.db2.gz SZCKWSFROTVSSV-UHFFFAOYSA-N -1 1 336.392 -0.214 20 0 EBADMM COCC1(CC(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)CC1 ZINC001221536217 811483586 /nfs/dbraw/zinc/48/35/86/811483586.db2.gz DKYPQBODDHPQJR-CHWSQXEVSA-N -1 1 349.435 -0.042 20 0 EBADMM CCN(C(=O)CNC(=O)C1CC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079381199 811513812 /nfs/dbraw/zinc/51/38/12/811513812.db2.gz UITJPETYQIHAOA-UHFFFAOYSA-N -1 1 346.387 -0.014 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CN(CCN3C(=O)CCC3=O)C[C@H]2C)c1[O-] ZINC001079573947 811594473 /nfs/dbraw/zinc/59/44/73/811594473.db2.gz SLQKFEVQFVZBNZ-MWLCHTKSSA-N -1 1 349.391 -0.377 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CC1OCCCO1 ZINC001079620615 811610227 /nfs/dbraw/zinc/61/02/27/811610227.db2.gz RLWPBYRTCPVFOO-GHMZBOCLSA-N -1 1 339.396 -0.802 20 0 EBADMM COC[C@@H](C)C(=O)N1CC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1 ZINC001222423340 811627508 /nfs/dbraw/zinc/62/75/08/811627508.db2.gz PDTRTTGZOLJNOI-UPJWGTAASA-N -1 1 337.424 -0.186 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C)c1ccnn1C ZINC001079716178 811681459 /nfs/dbraw/zinc/68/14/59/811681459.db2.gz JUNYCPMCKPLKEH-IJLUTSLNSA-N -1 1 347.423 -0.418 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)[C@]3(C)CCOC3)CC2)nc1=O ZINC001224971311 811785873 /nfs/dbraw/zinc/78/58/73/811785873.db2.gz JVZVLYCPMPTDNU-MRXNPFEDSA-N -1 1 337.424 -0.137 20 0 EBADMM C[NH+](C)Cc1ncccc1OC(=O)[C@H](CC(=O)[O-])[C@H](O)C(=O)[O-] ZINC001225281863 811800981 /nfs/dbraw/zinc/80/09/81/811800981.db2.gz LCFCPBKLQOZVSR-PELKAZGASA-N -1 1 326.305 -0.415 20 0 EBADMM CCOC(=O)C1(C(=O)OCC)CC(Oc2nc(=O)[nH]c(=O)[n-]2)C1 ZINC001227570621 811930001 /nfs/dbraw/zinc/93/00/01/811930001.db2.gz MILHTNPVVLEWCO-UHFFFAOYSA-N -1 1 327.293 -0.063 20 0 EBADMM C[C@@H](Cn1cnc2c1c(=O)n(C)c(=O)n2C)Oc1cnnc(=S)[n-]1 ZINC001228641756 811993247 /nfs/dbraw/zinc/99/32/47/811993247.db2.gz IMQOJKNDHQWMNM-ZETCQYMHSA-N -1 1 349.376 -0.625 20 0 EBADMM COc1ncccc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001080380650 812004836 /nfs/dbraw/zinc/00/48/36/812004836.db2.gz CIEAIMGKZGPNMU-ZYHUDNBSSA-N -1 1 346.391 -0.238 20 0 EBADMM COCCO[C@@H](C)C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001229444320 812033069 /nfs/dbraw/zinc/03/30/69/812033069.db2.gz GNEJLMKXDXRLJL-NSHDSACASA-N -1 1 341.412 -0.760 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1CCN(Cc2ccon2)CC1 ZINC001229625512 812044628 /nfs/dbraw/zinc/04/46/28/812044628.db2.gz ISEGDAKLSKGNGH-UHFFFAOYSA-N -1 1 347.375 -0.305 20 0 EBADMM CC(C)OCCC(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001230839223 812246012 /nfs/dbraw/zinc/24/60/12/812246012.db2.gz WFYJLUFHLIIFJO-LBPRGKRZSA-N -1 1 325.413 -0.044 20 0 EBADMM CCO[C@@H](CC)C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001230957112 812259289 /nfs/dbraw/zinc/25/92/89/812259289.db2.gz CUZQQGHXGTUCPP-RYUDHWBXSA-N -1 1 325.413 -0.044 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)Cc1cncnc1 ZINC001234021458 812377540 /nfs/dbraw/zinc/37/75/40/812377540.db2.gz OJSQDGKIFZRRTB-GFCCVEGCSA-N -1 1 331.380 -0.826 20 0 EBADMM C[C@H](C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)c1ccnn1C ZINC001234392906 812422653 /nfs/dbraw/zinc/42/26/53/812422653.db2.gz QVXCLPGZYHCUSS-RYUDHWBXSA-N -1 1 347.423 -0.322 20 0 EBADMM CO[C@H]1O[C@H](COc2c(C(N)=O)nc[n-]c2=O)[C@H]2OC(C)(C)O[C@H]21 ZINC001234464552 812429318 /nfs/dbraw/zinc/42/93/18/812429318.db2.gz AHFSYWFXJLRNMW-YBZAZADSSA-N -1 1 341.320 -0.449 20 0 EBADMM COC(=O)CC(CC(=O)OC)Oc1c(C(N)=O)nc(C)[n-]c1=O ZINC001234470038 812429552 /nfs/dbraw/zinc/42/95/52/812429552.db2.gz NJBIXWAWMRAVIG-UHFFFAOYSA-N -1 1 327.293 -0.537 20 0 EBADMM NC(=O)CC(=O)N1C[C@@H](c2ccccc2)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001081099758 812465757 /nfs/dbraw/zinc/46/57/57/812465757.db2.gz DNRZVKYHNSZULY-NWDGAFQWSA-N -1 1 344.375 -0.530 20 0 EBADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]C1(C(N)=O)CCOCC1 ZINC001258464918 812794780 /nfs/dbraw/zinc/79/47/80/812794780.db2.gz FQKUHFGEUGRGIH-UHFFFAOYSA-N -1 1 336.801 -0.305 20 0 EBADMM C[C@H]([N-]S(=O)(=O)c1cc(Cl)ccc1F)C(=O)NCC(N)=O ZINC001259461778 812810574 /nfs/dbraw/zinc/81/05/74/812810574.db2.gz AOYJTJNXUZJJCN-LURJTMIESA-N -1 1 337.760 -0.253 20 0 EBADMM CCOC(=O)c1nnc(C[N-]S(=O)(=O)c2cnn(CC)c2)o1 ZINC001259840168 812813678 /nfs/dbraw/zinc/81/36/78/812813678.db2.gz QNJPSIGTUWEDOL-UHFFFAOYSA-N -1 1 329.338 -0.059 20 0 EBADMM COCC1(C(=O)N2C[C@H](O)[C@@H](CNC(=O)c3ncccc3[O-])C2)CC1 ZINC001081427233 812919771 /nfs/dbraw/zinc/91/97/71/812919771.db2.gz CDHRRAKGBGSSDO-AAEUAGOBSA-N -1 1 349.387 -0.237 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001081487593 813045223 /nfs/dbraw/zinc/04/52/23/813045223.db2.gz QNLRKXDPXHUDAV-OKWIKPQFSA-N -1 1 349.435 -0.140 20 0 EBADMM CO[C@@H]1CN(CCc2cnn(C)c2)C[C@H]1NC(=O)c1[nH]nc(C)c1[O-] ZINC001081540622 813144464 /nfs/dbraw/zinc/14/44/64/813144464.db2.gz KJYRVJKVZGWMGY-CHWSQXEVSA-N -1 1 348.407 -0.171 20 0 EBADMM COCCCOCC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001264726026 813203836 /nfs/dbraw/zinc/20/38/36/813203836.db2.gz DZAQTRPIGLPUPX-NEPJUHHUSA-N -1 1 341.412 -0.760 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@H]1CC1(F)F ZINC001081637956 813347792 /nfs/dbraw/zinc/34/77/92/813347792.db2.gz JKZKGXYSNUAVNQ-IWSPIJDZSA-N -1 1 331.323 -0.921 20 0 EBADMM C[C@H](CNC(=O)c1cnn(-c2cccnc2)c1)NCc1n[nH]c(=O)[n-]1 ZINC001265784473 813370224 /nfs/dbraw/zinc/37/02/24/813370224.db2.gz ZGNBAGDZJPWJBZ-SNVBAGLBSA-N -1 1 342.363 -0.001 20 0 EBADMM CC(C)C[C@@]1(C(=O)NC[C@@H](C)NCc2n[nH]c(=O)[n-]2)CCNC1=O ZINC001265785395 813370680 /nfs/dbraw/zinc/37/06/80/813370680.db2.gz CMOIWBBFRGPDBQ-BMIGLBTASA-N -1 1 338.412 -0.343 20 0 EBADMM O=C(Cc1cnoc1)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001081676658 813386362 /nfs/dbraw/zinc/38/63/62/813386362.db2.gz HBDQLPBYSFJOMH-DGCLKSJQSA-N -1 1 346.343 -0.433 20 0 EBADMM O=C(Cc1ncc[nH]1)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001081692119 813414267 /nfs/dbraw/zinc/41/42/67/813414267.db2.gz BUXVTNCBKVSBAH-PWSUYJOCSA-N -1 1 345.359 -0.698 20 0 EBADMM CN(CCCNC(=O)c1cnc(C2CC2)[n-]c1=O)Cc1nnn(C)n1 ZINC001265935086 813419263 /nfs/dbraw/zinc/41/92/63/813419263.db2.gz JFCIPTBCLLPXPW-UHFFFAOYSA-N -1 1 346.395 -0.165 20 0 EBADMM CN(CCNC(=O)c1ncnc2[nH]ccc21)Cc1nc(=O)n(C)[n-]1 ZINC001266293130 813516550 /nfs/dbraw/zinc/51/65/50/813516550.db2.gz KAMJTVDDLMSDNV-UHFFFAOYSA-N -1 1 330.352 -0.807 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N[C@@H]1CCN(CCOCC(F)F)C1 ZINC001266357121 813547139 /nfs/dbraw/zinc/54/71/39/813547139.db2.gz YPVLIRBCRHVFHK-MRVPVSSYSA-N -1 1 335.311 -0.839 20 0 EBADMM O=C(Cc1c[nH]cn1)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001081800401 813608724 /nfs/dbraw/zinc/60/87/24/813608724.db2.gz OPHASPDNCNOBAY-GWCFXTLKSA-N -1 1 345.359 -0.698 20 0 EBADMM CCc1n[nH]cc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1OC ZINC001081886913 813661721 /nfs/dbraw/zinc/66/17/21/813661721.db2.gz DBUHYYPDOMQNNH-VXGBXAGGSA-N -1 1 349.395 -0.977 20 0 EBADMM Cc1cc(CN(C)CCNC(=O)CCn2cc[n-]c(=O)c2=O)nn1C ZINC001267221880 813793586 /nfs/dbraw/zinc/79/35/86/813793586.db2.gz ZNFGPLFPCRRNIQ-UHFFFAOYSA-N -1 1 348.407 -0.783 20 0 EBADMM COc1cccc(CNCCN(C)C(=O)c2n[nH]c(=O)[n-]c2=O)n1 ZINC001267397329 813877683 /nfs/dbraw/zinc/87/76/83/813877683.db2.gz AAUMCIAYXMVFGY-UHFFFAOYSA-N -1 1 334.336 -0.452 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1c[nH]cc1C ZINC001082367557 813922399 /nfs/dbraw/zinc/92/23/99/813922399.db2.gz LWOVKVLGWAPUJS-VXGBXAGGSA-N -1 1 334.380 -0.626 20 0 EBADMM C[C@@H]1CN(C(=O)[C@]2(C)CCNC(=O)C2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082589855 813974647 /nfs/dbraw/zinc/97/46/47/813974647.db2.gz QRSSDUZIOKRQTM-IQMDTDKHSA-N -1 1 336.396 -0.637 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@]2(C)CCNC(=O)C2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082589854 813975069 /nfs/dbraw/zinc/97/50/69/813975069.db2.gz QRSSDUZIOKRQTM-FCHSOHFDSA-N -1 1 336.396 -0.637 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2CCc3c[nH]nc3C2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082934616 814028311 /nfs/dbraw/zinc/02/83/11/814028311.db2.gz ROEMCJVQZUSVFS-GBIKHYSHSA-N -1 1 345.407 -0.025 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2CCC(=O)N(C)C2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082944655 814030230 /nfs/dbraw/zinc/03/02/30/814030230.db2.gz DZDIMRLJGPYRLY-GMTAPVOTSA-N -1 1 336.396 -0.685 20 0 EBADMM Cc1ccoc1C(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21 ZINC001083001025 814051086 /nfs/dbraw/zinc/05/10/86/814051086.db2.gz QDWFEEZTYSQYRM-NWDGAFQWSA-N -1 1 347.375 -0.265 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)CC(F)(F)F)[C@H]3C2)nc1=O ZINC001083017650 814065146 /nfs/dbraw/zinc/06/51/46/814065146.db2.gz QKEFAWVJKWKTHT-DTWKUNHWSA-N -1 1 349.313 -0.528 20 0 EBADMM CN(C)C(=O)CN1C[C@H]2OCCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC001083059698 814098261 /nfs/dbraw/zinc/09/82/61/814098261.db2.gz RJKQNPGBYLZLDE-WCQYABFASA-N -1 1 334.376 -0.599 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)[C@H]4CC4(F)F)[C@H]3C2)nc1=O ZINC001083073515 814111890 /nfs/dbraw/zinc/11/18/90/814111890.db2.gz IJGKMVGXIDBUMZ-KXUCPTDWSA-N -1 1 343.334 -0.825 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCO[C@@H]2CN(CCCF)C[C@@H]21 ZINC001083132402 814152972 /nfs/dbraw/zinc/15/29/72/814152972.db2.gz UGURFUZYLPJYLY-DTWKUNHWSA-N -1 1 327.316 -0.832 20 0 EBADMM Cc1cc(CNC[C@H](O)CNC(=O)c2c[n-]n3c2nccc3=O)on1 ZINC001268329515 814209591 /nfs/dbraw/zinc/20/95/91/814209591.db2.gz VICBEXZETSZRPN-JTQLQIEISA-N -1 1 346.347 -0.800 20 0 EBADMM O=C(c1ccc2oc(=O)nc-2[n-]1)N1CCO[C@@H]2CN(CCCO)C[C@@H]21 ZINC001083247012 814235235 /nfs/dbraw/zinc/23/52/35/814235235.db2.gz WKNBWYOCMYXELG-WCQYABFASA-N -1 1 348.359 -0.164 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)Cc4ccc[nH]4)[C@H]3C2)nc1=O ZINC001083279562 814259493 /nfs/dbraw/zinc/25/94/93/814259493.db2.gz FVWPBCNBUXRDRZ-QWHCGFSZSA-N -1 1 346.391 -0.909 20 0 EBADMM CCC(=O)N1CC[C@@H](C(=O)N(CC)CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001268490424 814262543 /nfs/dbraw/zinc/26/25/43/814262543.db2.gz JGKFCCYRHCTAHU-LLVKDONJSA-N -1 1 338.412 -0.293 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)C(C)(C)N1CCOCC1 ZINC001268489669 814263192 /nfs/dbraw/zinc/26/31/92/814263192.db2.gz MNBODUIKBRWGIK-UHFFFAOYSA-N -1 1 340.428 -0.441 20 0 EBADMM CCc1nc([C@@H](C)N2C[C@@H](NC(=O)c3[nH]nc(C)c3[O-])[C@@H](O)C2)n[nH]1 ZINC001083410195 814384259 /nfs/dbraw/zinc/38/42/59/814384259.db2.gz WTLSLRXFBPHJED-BBBLOLIVSA-N -1 1 349.395 -0.360 20 0 EBADMM COc1cc(CN2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)on1 ZINC001083423479 814404347 /nfs/dbraw/zinc/40/43/47/814404347.db2.gz XSGSYYICJIKJPY-PWSUYJOCSA-N -1 1 334.332 -0.241 20 0 EBADMM CCN1CC[C@H](N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)C1=O ZINC001083423499 814404669 /nfs/dbraw/zinc/40/46/69/814404669.db2.gz YVVDKJZNLHFFSL-MDZLAQPJSA-N -1 1 334.376 -0.817 20 0 EBADMM CN(C)c1noc(CN2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1 ZINC001083423450 814404866 /nfs/dbraw/zinc/40/48/66/814404866.db2.gz WLIXICNOJSAODB-KOLCDFICSA-N -1 1 348.363 -0.789 20 0 EBADMM NC(=O)C1=NO[C@@H]2CN(C(=O)c3cc4ccc([O-])cc4oc3=O)C[C@H]12 ZINC001269144842 814551366 /nfs/dbraw/zinc/55/13/66/814551366.db2.gz XTBYODQLFIXZSW-CMPLNLGQSA-N -1 1 343.295 -0.189 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@H]3CCC=CCCC3)[C@@H](O)C2)nc1=O ZINC001083563684 814566274 /nfs/dbraw/zinc/56/62/74/814566274.db2.gz AKXHSCCGKLGGDQ-MJBXVCDLSA-N -1 1 349.435 -0.094 20 0 EBADMM O=C(Nc1cn[nH]c1)C1=NO[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]12 ZINC001269345561 814649618 /nfs/dbraw/zinc/64/96/18/814649618.db2.gz BJPXLBGHFIASIG-GXSJLCMTSA-N -1 1 342.315 -0.024 20 0 EBADMM CCOCCN1CC2(CN(C(=O)c3ccc([O-])cn3)C2)OCC1=O ZINC001269354356 814658398 /nfs/dbraw/zinc/65/83/98/814658398.db2.gz HEDNVJAVYLKMJN-UHFFFAOYSA-N -1 1 335.360 -0.123 20 0 EBADMM CCCc1[nH]ccc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001083717029 814723611 /nfs/dbraw/zinc/72/36/11/814723611.db2.gz CSURDFUPDKLTQZ-OLZOCXBDSA-N -1 1 348.407 -0.636 20 0 EBADMM CC(C)=CCN1C[C@@]2(CCN(C(=O)Cc3nn[n-]n3)C2)OCC1=O ZINC001269575968 814732790 /nfs/dbraw/zinc/73/27/90/814732790.db2.gz CWDLXUWGYDIHGE-OAHLLOKOSA-N -1 1 334.380 -0.462 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@@H]2[C@H]1CCC(=O)N2Cc1ncccn1 ZINC001269577202 814735419 /nfs/dbraw/zinc/73/54/19/814735419.db2.gz HAJXBNKNKHYKHH-GHMZBOCLSA-N -1 1 342.363 -0.676 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@]2(CCN(CC3CCOCC3)C2=O)C1 ZINC001269578173 814735984 /nfs/dbraw/zinc/73/59/84/814735984.db2.gz VCHMWNFMDKGNSQ-MRXNPFEDSA-N -1 1 348.407 -0.380 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@@]2(CCN(Cc3ncccn3)C2=O)C1 ZINC001269577130 814736307 /nfs/dbraw/zinc/73/63/07/814736307.db2.gz FFHDXXUSFCIYHZ-HNNXBMFYSA-N -1 1 342.363 -0.817 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O)c1ccco1 ZINC001083773591 815590278 /nfs/dbraw/zinc/59/02/78/815590278.db2.gz TXCFRQRHITYGLB-MXWKQRLJSA-N -1 1 335.364 -0.834 20 0 EBADMM Cn1cc(C(=O)N2CCC[C@H](CCNCc3n[nH]c(=O)[n-]3)C2)[nH]c1=O ZINC001269766770 815596735 /nfs/dbraw/zinc/59/67/35/815596735.db2.gz XYDCFCFLMFXXGR-SNVBAGLBSA-N -1 1 349.395 -0.019 20 0 EBADMM Cc1ncc(CO)c(C(=O)N2CCc3n[nH]c(C(N)=O)c3C2)c1[O-] ZINC001269980179 815655481 /nfs/dbraw/zinc/65/54/81/815655481.db2.gz PQBQTNWHWQNQMO-UHFFFAOYSA-N -1 1 331.332 -0.392 20 0 EBADMM Cc1ncc(CO)c(C(=O)N2C[C@H]3C[C@H](CC(N)=O)O[C@H]3C2)c1[O-] ZINC001269980462 815655491 /nfs/dbraw/zinc/65/54/91/815655491.db2.gz SEQJXZIHSIBBRZ-JLLWLGSASA-N -1 1 335.360 -0.307 20 0 EBADMM COC1(CC(=O)N2C[C@H]3C[C@@H](C2)N3C(=O)Cc2nn[n-]n2)CCC1 ZINC001270182714 815713191 /nfs/dbraw/zinc/71/31/91/815713191.db2.gz LHCBZKKTSINXGI-PHIMTYICSA-N -1 1 334.380 -0.487 20 0 EBADMM O=C(Cc1ccsc1)N1CC2(C1)CN(C(=O)Cc1nn[n-]n1)C2 ZINC001270183247 815714007 /nfs/dbraw/zinc/71/40/07/815714007.db2.gz UWUKSJMRHUFPJU-UHFFFAOYSA-N -1 1 332.389 -0.283 20 0 EBADMM CCn1ccnc1CN1CCO[C@H]2CN(C(=O)Cc3nn[n-]n3)C[C@@H]21 ZINC001270183233 815714107 /nfs/dbraw/zinc/71/41/07/815714107.db2.gz UHEMWGFQANBQGO-RYUDHWBXSA-N -1 1 346.395 -0.930 20 0 EBADMM O=C(Cc1nn[n-]n1)N1[C@@H]2CC[C@H]1CN(C(=O)NCC(F)(F)F)C2 ZINC001270185804 815715546 /nfs/dbraw/zinc/71/55/46/815715546.db2.gz LBZMTEKHGKCBOM-OCAPTIKFSA-N -1 1 347.301 -0.311 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O)C1CCCC1 ZINC001083938123 815723854 /nfs/dbraw/zinc/72/38/54/815723854.db2.gz VHFILFGWNLXBJY-RTXFEEFZSA-N -1 1 337.424 -0.404 20 0 EBADMM COC[C@@H](C)N1C[C@@H](NC(=O)c2ccc3oc(=O)nc-3[n-]2)[C@@H](O)C1 ZINC001083950873 815734051 /nfs/dbraw/zinc/73/40/51/815734051.db2.gz FPKUGSMUHWSGOF-IEBDPFPHSA-N -1 1 336.348 -0.262 20 0 EBADMM CN(C)C(=O)CN1C[C@@H]2COC[C@H](C1)N2C(=O)c1ncccc1[O-] ZINC001270258325 815736319 /nfs/dbraw/zinc/73/63/19/815736319.db2.gz PYUHPHVASXMAIS-TXEJJXNPSA-N -1 1 334.376 -0.599 20 0 EBADMM COc1cccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c1 ZINC001083989394 815758143 /nfs/dbraw/zinc/75/81/43/815758143.db2.gz IIXOWCYGMUHLQB-OLZOCXBDSA-N -1 1 347.375 -0.908 20 0 EBADMM O=C(N[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@@H]1O)c1n[nH]c2ccccc21 ZINC001084019390 815775879 /nfs/dbraw/zinc/77/58/79/815775879.db2.gz VABSLTFKPKMUJV-MNOVXSKESA-N -1 1 343.347 -0.638 20 0 EBADMM CC(C)c1occc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001084105978 815835967 /nfs/dbraw/zinc/83/59/67/815835967.db2.gz BNXHTACQPSNOGM-NEPJUHHUSA-N -1 1 349.391 -0.200 20 0 EBADMM COc1cc(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)on1 ZINC001084295093 815884956 /nfs/dbraw/zinc/88/49/56/815884956.db2.gz IPDQWKYCCVZJKF-NXEZZACHSA-N -1 1 348.363 -0.549 20 0 EBADMM CC(C)(C)NC(=O)c1cn2c(n1)CN(C(=O)Cc1nn[n-]n1)CC2 ZINC001270783981 815887123 /nfs/dbraw/zinc/88/71/23/815887123.db2.gz BGOXOSJPCPVHBW-UHFFFAOYSA-N -1 1 332.368 -0.491 20 0 EBADMM COc1ccc(NC(=O)[C@@]23C[C@@H]2CN(C(=O)Cc2nn[n-]n2)C3)nn1 ZINC001270783934 815887658 /nfs/dbraw/zinc/88/76/58/815887658.db2.gz ADJHCWODAYHZMX-XLKFXECMSA-N -1 1 344.335 -0.972 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)[C@H]4CCCOCC4)[C@@H]3C2)nc1=O ZINC001084311856 815888551 /nfs/dbraw/zinc/88/85/51/815888551.db2.gz ZRURVSUKJCCVEC-BFHYXJOUSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1nccc1CC(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001084309352 815888659 /nfs/dbraw/zinc/88/86/59/815888659.db2.gz FBGVWYCOTZAXHT-DGCLKSJQSA-N -1 1 345.407 -0.883 20 0 EBADMM O=C(Cc1nn[n-]n1)N1C[C@H]2C[C@@]2(C(=O)NCCc2ccccn2)C1 ZINC001270785023 815889291 /nfs/dbraw/zinc/88/92/91/815889291.db2.gz PGMKKSMZUSUBFA-BDJLRTHQSA-N -1 1 341.375 -0.655 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@]2(CCC[C@H]2C(=O)Nc2nnco2)C1 ZINC001270785477 815889484 /nfs/dbraw/zinc/88/94/84/815889484.db2.gz UPNUCFKXYCQFQR-LKFCYVNXSA-N -1 1 346.351 -0.217 20 0 EBADMM CO[C@H](C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)C1CC1 ZINC001084315652 815889894 /nfs/dbraw/zinc/88/98/94/815889894.db2.gz DSVYGMFKBKRLQM-BZPMIXESSA-N -1 1 335.408 -0.434 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2CC23CN(C(=O)Cc2nn[n-]n2)C3)C[C@@H](C)O1 ZINC001270789423 815892924 /nfs/dbraw/zinc/89/29/24/815892924.db2.gz PUHPPRGJPAJKDI-MXWKQRLJSA-N -1 1 334.380 -0.774 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC2(C[C@H]2C(=O)NC2CCC(F)CC2)C1 ZINC001270789661 815893740 /nfs/dbraw/zinc/89/37/40/815893740.db2.gz TWRFDELKACUYCR-ILDUYXDCSA-N -1 1 336.371 -0.012 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2CC23CN(C(=O)Cc2nn[n-]n2)C3)C[C@H](C)O1 ZINC001270789425 815894037 /nfs/dbraw/zinc/89/40/37/815894037.db2.gz PUHPPRGJPAJKDI-OUAUKWLOSA-N -1 1 334.380 -0.774 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)C[C@@H]4CCOC4)C[C@@H]32)nc1=O ZINC001084909386 816001876 /nfs/dbraw/zinc/00/18/76/816001876.db2.gz HJPLEOIZUHGKBX-MJBXVCDLSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1oc(C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)cc1=O ZINC001085183069 816027407 /nfs/dbraw/zinc/02/74/07/816027407.db2.gz JBEGEPIIYNVXHH-RKDXNWHRSA-N -1 1 336.352 -0.367 20 0 EBADMM CCn1nncc1C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001085192692 816027961 /nfs/dbraw/zinc/02/79/61/816027961.db2.gz WFLOPVYMQRSFEN-NXEZZACHSA-N -1 1 334.384 -0.048 20 0 EBADMM CN1C[C@H](C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)NC1=O ZINC001085297380 816037371 /nfs/dbraw/zinc/03/73/71/816037371.db2.gz FAFQUVUXVLKVPB-OPRDCNLKSA-N -1 1 337.384 -0.949 20 0 EBADMM O=C(Cn1ccccc1=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001085418793 816047328 /nfs/dbraw/zinc/04/73/28/816047328.db2.gz SLRDMCSQWHTXDM-VXGBXAGGSA-N -1 1 346.391 -0.111 20 0 EBADMM CCn1cc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001085521411 816061755 /nfs/dbraw/zinc/06/17/55/816061755.db2.gz NJPQYHMZTVUDAX-LBPRGKRZSA-N -1 1 333.396 -0.329 20 0 EBADMM CN(C[C@H]1CCN1CC(=O)N1CCOCC1)C(=O)c1ncccc1[O-] ZINC001085562676 816072743 /nfs/dbraw/zinc/07/27/43/816072743.db2.gz RIMXIWOTTCCPQX-CYBMUJFWSA-N -1 1 348.403 -0.208 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1cc2n(n1)CCC2 ZINC001085632532 816093169 /nfs/dbraw/zinc/09/31/69/816093169.db2.gz WRLRSZGQYYOOAU-LBPRGKRZSA-N -1 1 345.407 -0.402 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1ccn(C)c(=O)c1 ZINC001085678225 816107689 /nfs/dbraw/zinc/10/76/89/816107689.db2.gz DQNLUPGXJDTAIY-GFCCVEGCSA-N -1 1 346.391 -0.846 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1ccc(=O)n(C)c1 ZINC001085693887 816112429 /nfs/dbraw/zinc/11/24/29/816112429.db2.gz SNLMXMMZTXYDNE-GFCCVEGCSA-N -1 1 346.391 -0.846 20 0 EBADMM CN(C[C@H]1CCN1C[C@@H]1CCCCO1)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001085699946 816114906 /nfs/dbraw/zinc/11/49/06/816114906.db2.gz ABZWZIMRHXEVQC-MNOVXSKESA-N -1 1 337.380 -0.002 20 0 EBADMM CC[C@@H]1OCC[C@H]1C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085733499 816127836 /nfs/dbraw/zinc/12/78/36/816127836.db2.gz GYTZJABNZYADQN-UPJWGTAASA-N -1 1 337.424 -0.044 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1coc(C(N)=O)c1 ZINC001085739463 816128618 /nfs/dbraw/zinc/12/86/18/816128618.db2.gz NYEQKFFPKOCLLI-JTQLQIEISA-N -1 1 348.363 -0.853 20 0 EBADMM COc1ccnc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)c1 ZINC001085758955 816133039 /nfs/dbraw/zinc/13/30/39/816133039.db2.gz VHJQFJNZMSYWKT-NSHDSACASA-N -1 1 346.391 -0.142 20 0 EBADMM Cc1cc(=O)[nH]cc1C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085811928 816153605 /nfs/dbraw/zinc/15/36/05/816153605.db2.gz QBVBZGOWLAYTIS-LLVKDONJSA-N -1 1 346.391 -0.136 20 0 EBADMM CN(C[C@H]1CCN1Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCc2[nH]nnc2C1 ZINC001085924197 816179964 /nfs/dbraw/zinc/17/99/64/816179964.db2.gz QIMKPYVHJFQUAX-NXEZZACHSA-N -1 1 346.395 -0.534 20 0 EBADMM CN(C[C@H]1CCN1Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCc2nn[nH]c2C1 ZINC001085924197 816179969 /nfs/dbraw/zinc/17/99/69/816179969.db2.gz QIMKPYVHJFQUAX-NXEZZACHSA-N -1 1 346.395 -0.534 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)[C@@H]1CC12CCOCC2 ZINC001086006707 816209791 /nfs/dbraw/zinc/20/97/91/816209791.db2.gz MDSDOSPVEUKCFP-STQMWFEESA-N -1 1 349.435 -0.042 20 0 EBADMM Cc1cc2ccc(C(=O)NC[C@H](O)CNCc3n[nH]c(=O)[n-]3)cn2c1 ZINC001272434917 816429593 /nfs/dbraw/zinc/42/95/93/816429593.db2.gz LTFQGYPNFSZLOI-CYBMUJFWSA-N -1 1 344.375 -0.048 20 0 EBADMM C[C@@H]1CCN(C(=O)CCn2cnnn2)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087230271 816476144 /nfs/dbraw/zinc/47/61/44/816476144.db2.gz NDVRCWBUSMZHLZ-MNOVXSKESA-N -1 1 349.399 -0.696 20 0 EBADMM O=C(CC(F)(F)C(F)(F)F)NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001272591577 816489313 /nfs/dbraw/zinc/48/93/13/816489313.db2.gz ICEIGDRHVPZGAI-YFKPBYRVSA-N -1 1 347.244 -0.335 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2cnc(C)[nH]2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087522111 816528277 /nfs/dbraw/zinc/52/82/77/816528277.db2.gz NHPREIYDRKAVGJ-CMPLNLGQSA-N -1 1 333.396 -0.077 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)[C@]2(F)CCOC2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087700218 816549630 /nfs/dbraw/zinc/54/96/30/816549630.db2.gz FLWVRWGNHWZNFQ-RWSFTLGLSA-N -1 1 341.387 -0.294 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4cnns4)C[C@@H]3C2)nc1=O ZINC001087897310 816573538 /nfs/dbraw/zinc/57/35/38/816573538.db2.gz WVEHLXYMMXRVHF-ZJUUUORDSA-N -1 1 349.420 -0.446 20 0 EBADMM CC[C@@H](C(N)=O)N1CC2(CN(Cc3ccncc3[O-])C2)OCC1=O ZINC001273080273 816583053 /nfs/dbraw/zinc/58/30/53/816583053.db2.gz NHMTZOWRYIWXFY-LBPRGKRZSA-N -1 1 334.376 -0.536 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4cncnc4)C[C@@H]3C2)nc1=O ZINC001087942444 816583831 /nfs/dbraw/zinc/58/38/31/816583831.db2.gz CQFXEMXOUYFCGP-YPMHNXCESA-N -1 1 343.391 -0.508 20 0 EBADMM Cc1nc(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]3C2)co1 ZINC001087941413 816584482 /nfs/dbraw/zinc/58/44/82/816584482.db2.gz ASLCBGXYGFZJEL-NEPJUHHUSA-N -1 1 346.391 -0.001 20 0 EBADMM CNC(=O)C(C)(C)N1CC2(CN(Cc3ncccc3[O-])C2)OCC1=O ZINC001273326531 816645169 /nfs/dbraw/zinc/64/51/69/816645169.db2.gz FKOCUGHQXJPICB-UHFFFAOYSA-N -1 1 348.403 -0.275 20 0 EBADMM O=C(Cn1ncnn1)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088313862 816662398 /nfs/dbraw/zinc/66/23/98/816662398.db2.gz ILOMODUHKQSHPV-SNVBAGLBSA-N -1 1 335.372 -0.942 20 0 EBADMM O=C([C@@H]1CNC(=O)N1)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088371318 816671858 /nfs/dbraw/zinc/67/18/58/816671858.db2.gz FMWDGKZIQUADDY-UWVGGRQHSA-N -1 1 337.384 -0.948 20 0 EBADMM O=C(Cn1cncn1)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088422411 816680339 /nfs/dbraw/zinc/68/03/39/816680339.db2.gz PJNKFXSCQWQLIE-LLVKDONJSA-N -1 1 334.384 -0.337 20 0 EBADMM COc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)ncn1 ZINC001088571162 816706340 /nfs/dbraw/zinc/70/63/40/816706340.db2.gz KSLRCGLTKDMQHL-ZJUUUORDSA-N -1 1 347.379 -0.700 20 0 EBADMM Cc1cnn(C)c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001088802459 816744521 /nfs/dbraw/zinc/74/45/21/816744521.db2.gz DJTMWTVDCWNLBV-MNOVXSKESA-N -1 1 333.396 -0.457 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cc2ncccc2F)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088819219 816747283 /nfs/dbraw/zinc/74/72/83/816747283.db2.gz ZYDXZVUXCRAQFG-PWSUYJOCSA-N -1 1 348.382 -0.036 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cccc(=O)n2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088847605 816753365 /nfs/dbraw/zinc/75/33/65/816753365.db2.gz CKMWJLXVQSGFII-MNOVXSKESA-N -1 1 346.391 -0.800 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001088925759 816763847 /nfs/dbraw/zinc/76/38/47/816763847.db2.gz PNNBXHHWTSVUFO-MNOVXSKESA-N -1 1 333.396 -0.159 20 0 EBADMM CCc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)nn1C ZINC001089080206 816795917 /nfs/dbraw/zinc/79/59/17/816795917.db2.gz NBRJZPLFTQHODH-PWSUYJOCSA-N -1 1 347.423 -0.203 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCCN1C(=O)c1cn[nH]n1 ZINC001089194587 816816388 /nfs/dbraw/zinc/81/63/88/816816388.db2.gz MSRUOBXVZJHOLZ-JTQLQIEISA-N -1 1 334.384 -0.647 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCCN1C(=O)c1ccn(C)n1 ZINC001089257473 816822781 /nfs/dbraw/zinc/82/27/81/816822781.db2.gz NSYNSWHROKRJCY-LBPRGKRZSA-N -1 1 347.423 -0.031 20 0 EBADMM Cc1ccc([O-])c(C(=O)N2C[C@@H]3[C@H](C2)OCCN3CC(=O)N(C)C)n1 ZINC001274068015 816855696 /nfs/dbraw/zinc/85/56/96/816855696.db2.gz WXZQPEXMIFVQCW-OCCSQVGLSA-N -1 1 348.403 -0.291 20 0 EBADMM CC(C)NCc1cn([C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)nn1 ZINC001089589235 816884375 /nfs/dbraw/zinc/88/43/75/816884375.db2.gz VFVBFXBGUHOHMW-JTQLQIEISA-N -1 1 348.367 -0.541 20 0 EBADMM Cc1ccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)n1C ZINC001090064551 817003936 /nfs/dbraw/zinc/00/39/36/817003936.db2.gz KXWNLHSDXPRLDY-WCQYABFASA-N -1 1 348.407 -0.879 20 0 EBADMM Cc1ccoc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001090078550 817012236 /nfs/dbraw/zinc/01/22/36/817012236.db2.gz JWZJQZWVEIZPGF-WDEREUQCSA-N -1 1 335.364 -0.625 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CCN(CCn3cccn3)C[C@@H]2O)c1[O-] ZINC001090201824 817116577 /nfs/dbraw/zinc/11/65/77/817116577.db2.gz SZGPATHKNQBVNF-NEPJUHHUSA-N -1 1 334.380 -0.515 20 0 EBADMM Cn1cncc1CN1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001090212246 817128910 /nfs/dbraw/zinc/12/89/10/817128910.db2.gz AAIRUNUTNYUMJB-OCCSQVGLSA-N -1 1 331.376 -0.114 20 0 EBADMM CCn1ncc(CN2CC[C@@H](NC(=O)c3ncccc3[O-])[C@H](O)C2)n1 ZINC001090217669 817137504 /nfs/dbraw/zinc/13/75/04/817137504.db2.gz RLHFDNOTBYUNLA-TZMCWYRMSA-N -1 1 346.391 -0.236 20 0 EBADMM CN(C)S(=O)(=O)N1C[C@@H]2COC[C@H](C1)N2Cc1ccncc1[O-] ZINC001275169406 817160782 /nfs/dbraw/zinc/16/07/82/817160782.db2.gz UYOWKYMJMOWLIH-BETUJISGSA-N -1 1 342.421 -0.521 20 0 EBADMM CCc1occc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001090233599 817162571 /nfs/dbraw/zinc/16/25/71/817162571.db2.gz IYXQIDVQNPZDQA-VXGBXAGGSA-N -1 1 349.391 -0.371 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C3CC(C)(C)C3)[C@H](O)C2)nc1=O ZINC001090245126 817175585 /nfs/dbraw/zinc/17/55/85/817175585.db2.gz YWJDCYYGOCUABQ-NWDGAFQWSA-N -1 1 337.424 -0.404 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1cccnc1-n1cccn1 ZINC001275259453 817180403 /nfs/dbraw/zinc/18/04/03/817180403.db2.gz VKTZNUOXIZRQPX-JTQLQIEISA-N -1 1 342.363 -0.001 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C3C=CC=CC=C3)[C@H](O)C2)nc1=O ZINC001090299636 817236327 /nfs/dbraw/zinc/23/63/27/817236327.db2.gz DAJHXFLSVHRGNK-UONOGXRCSA-N -1 1 345.403 -0.542 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C34CCC(CC3)C4)[C@H](O)C2)nc1=O ZINC001090364022 817305637 /nfs/dbraw/zinc/30/56/37/817305637.db2.gz SGGVMPXQGBEFDY-SBUZTJRASA-N -1 1 349.435 -0.260 20 0 EBADMM CCc1ccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)o1 ZINC001090364268 817307018 /nfs/dbraw/zinc/30/70/18/817307018.db2.gz IATHISOQXOBWGJ-RYUDHWBXSA-N -1 1 349.391 -0.371 20 0 EBADMM Cn1nnnc1NC(=O)[C@@H]1CC12CN(C(=O)c1ccc(F)c(=O)[n-]1)C2 ZINC001276297751 817383449 /nfs/dbraw/zinc/38/34/49/817383449.db2.gz RBEWLNUIJBUOIV-ZETCQYMHSA-N -1 1 347.310 -0.449 20 0 EBADMM CSc1ncc(C(=O)N2CCc3c(n[nH]c3C(N)=O)C2)c(=O)[n-]1 ZINC001276544852 817421984 /nfs/dbraw/zinc/42/19/84/817421984.db2.gz POCIPFRYGNTBHQ-UHFFFAOYSA-N -1 1 334.361 -0.075 20 0 EBADMM Cc1coc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c1 ZINC001090503457 817488061 /nfs/dbraw/zinc/48/80/61/817488061.db2.gz JRTROWRUDDTAIM-MNOVXSKESA-N -1 1 335.364 -0.625 20 0 EBADMM C[C@H]1Cc2nnc(C(=O)N=c3nn[n-]n3C)n2CC[N@H+]1CC1CC1 ZINC001277238538 817536540 /nfs/dbraw/zinc/53/65/40/817536540.db2.gz GACBLIPOHIXVDJ-VIFPVBQESA-N -1 1 331.384 -0.868 20 0 EBADMM C[C@H]1Cc2nnc(C(=O)N=c3nn[n-]n3C)n2CCN1CC1CC1 ZINC001277238538 817536547 /nfs/dbraw/zinc/53/65/47/817536547.db2.gz GACBLIPOHIXVDJ-VIFPVBQESA-N -1 1 331.384 -0.868 20 0 EBADMM CN(CCOCCNC(=O)c1n[nH]c(=O)[n-]c1=O)Cc1ccccn1 ZINC001277500965 817580885 /nfs/dbraw/zinc/58/08/85/817580885.db2.gz CCAUBCDJOALQNU-UHFFFAOYSA-N -1 1 348.363 -0.444 20 0 EBADMM Cc1ccnc2nc(C(=O)N(C)C[C@H](C)NCc3n[nH]c(=O)[n-]3)nn21 ZINC001278002018 817671965 /nfs/dbraw/zinc/67/19/65/817671965.db2.gz GOAFCEOSYBXCQY-QMMMGPOBSA-N -1 1 345.367 -0.493 20 0 EBADMM CC[C@@H](C)CN1CCn2c(nnc2C(=O)N=c2nn[n-]n2C)C[C@@H]1C ZINC001278124013 817704322 /nfs/dbraw/zinc/70/43/22/817704322.db2.gz QGVKTVQMWGDJDJ-MNOVXSKESA-N -1 1 347.427 -0.232 20 0 EBADMM Cc1ccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)cc1 ZINC001090754216 817720324 /nfs/dbraw/zinc/72/03/24/817720324.db2.gz JHLRQRNBPYMPAT-UONOGXRCSA-N -1 1 345.403 -0.218 20 0 EBADMM Cc1nnc(CNC2CC(CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC001091121808 817892944 /nfs/dbraw/zinc/89/29/44/817892944.db2.gz UBYPPOGEYWPQSN-UHFFFAOYSA-N -1 1 334.340 -0.992 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4cnccn4)C[C@]3(C)C2)nc1=O ZINC001091446460 817989070 /nfs/dbraw/zinc/98/90/70/817989070.db2.gz JYCSEVDRKLQLIU-BZNIZROVSA-N -1 1 343.391 -0.508 20 0 EBADMM CN(C)c1cccc(C(=O)N(CCO)CCNCc2n[nH]c(=O)[n-]2)c1 ZINC001279893685 818002009 /nfs/dbraw/zinc/00/20/09/818002009.db2.gz WPFWHQMXWJSMET-UHFFFAOYSA-N -1 1 348.407 -0.199 20 0 EBADMM CCc1ncncc1C(=O)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001279912246 818004292 /nfs/dbraw/zinc/00/42/92/818004292.db2.gz VCOYVGVGBXUKEB-UHFFFAOYSA-N -1 1 335.368 -0.913 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CCC(OC2CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001093503206 818035661 /nfs/dbraw/zinc/03/56/61/818035661.db2.gz VQUYCHGJDXHXNW-FZMZJTMJSA-N -1 1 349.435 -0.044 20 0 EBADMM C[C@@H](C(N)=O)N1CC(OC2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC001093530105 818043925 /nfs/dbraw/zinc/04/39/25/818043925.db2.gz RYNRWCSIKUKRSI-NSHDSACASA-N -1 1 348.403 -0.034 20 0 EBADMM COc1ccnc(NCCCNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001094499126 818277182 /nfs/dbraw/zinc/27/71/82/818277182.db2.gz LFNCDWDKWGVNQI-UHFFFAOYSA-N -1 1 348.363 -0.656 20 0 EBADMM COCCOCC(=O)N1CCC[C@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001281927885 818318258 /nfs/dbraw/zinc/31/82/58/818318258.db2.gz FTPIPPMPTGKKMD-LBPRGKRZSA-N -1 1 341.412 -0.806 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)c1cnc2cccnn21 ZINC001282204623 818375400 /nfs/dbraw/zinc/37/54/00/818375400.db2.gz RWUDNEWKYREGAA-IUCAKERBSA-N -1 1 330.352 -0.150 20 0 EBADMM COCCCC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001282413702 818409194 /nfs/dbraw/zinc/40/91/94/818409194.db2.gz FSMBGWREMKURRB-YPMHNXCESA-N -1 1 337.376 -0.095 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNC(=O)c1ccc(F)nc1 ZINC001282948722 818544734 /nfs/dbraw/zinc/54/47/34/818544734.db2.gz NHTAGJNZIYIHGM-UHFFFAOYSA-N -1 1 349.322 -0.993 20 0 EBADMM O=C(CC(=O)NCC(F)(F)F)NCCNC(=O)c1ncccc1[O-] ZINC001282980941 818560909 /nfs/dbraw/zinc/56/09/09/818560909.db2.gz AVXIALJIMZDETN-UHFFFAOYSA-N -1 1 348.281 -0.298 20 0 EBADMM O=C(CCCNC(=O)C1CC1)NCCNC(=O)c1ncccc1[O-] ZINC001282983247 818562617 /nfs/dbraw/zinc/56/26/17/818562617.db2.gz ILFPZIWUJNTTQJ-UHFFFAOYSA-N -1 1 334.376 -0.060 20 0 EBADMM O=C(N[C@H]1C[C@H]2CC[C@@H]1N2[C@@H]1CCC(=O)NC1=O)c1ncccc1[O-] ZINC001095346661 818608219 /nfs/dbraw/zinc/60/82/19/818608219.db2.gz ZXSCUFVUEJNVMF-NOOOWODRSA-N -1 1 344.371 -0.073 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)Cc2cncnc2)C3)nc1=O ZINC001095364461 818611278 /nfs/dbraw/zinc/61/12/78/818611278.db2.gz WTNWPCMPFVXJHX-AGIUHOORSA-N -1 1 343.391 -0.637 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNC(=O)C[C@@H]1CC[C@@H]2C[C@@H]21 ZINC001283088218 818639273 /nfs/dbraw/zinc/63/92/73/818639273.db2.gz HUYHEYKGPPDBCN-AGIUHOORSA-N -1 1 348.403 -0.405 20 0 EBADMM CN(CCCNC(=O)c1cc(=O)n2[n-]cnc2n1)c1cc(F)ncn1 ZINC001095592888 818654269 /nfs/dbraw/zinc/65/42/69/818654269.db2.gz RJMHRNWGUBWETP-UHFFFAOYSA-N -1 1 346.326 -0.397 20 0 EBADMM CCN(CCCNC(=O)c1cc(=O)n2[n-]cnc2n1)c1ncccn1 ZINC001095896982 818704926 /nfs/dbraw/zinc/70/49/26/818704926.db2.gz PTLXMFJRKGMLDH-UHFFFAOYSA-N -1 1 342.363 -0.146 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)Cc2cnoc2)C3)nc1=O ZINC001095906130 818707425 /nfs/dbraw/zinc/70/74/25/818707425.db2.gz XZRTYUVGEMPKAJ-WOPDTQHZSA-N -1 1 332.364 -0.439 20 0 EBADMM C[C@@H]1CC[C@H](C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)O1 ZINC001096038295 818724388 /nfs/dbraw/zinc/72/43/88/818724388.db2.gz AXRYYQFKGUCXBU-NAWOPXAZSA-N -1 1 335.408 -0.103 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CCCN(C(=O)CC2CC2)C1 ZINC001283454943 818815703 /nfs/dbraw/zinc/81/57/03/818815703.db2.gz XDFWLLOWRBYNHB-ZDUSSCGKSA-N -1 1 348.403 -0.166 20 0 EBADMM CN(C(=O)c1cc[n+]([O-])cc1)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001283479231 818824203 /nfs/dbraw/zinc/82/42/03/818824203.db2.gz FSDYDFXKZDJWFY-LBPRGKRZSA-N -1 1 332.364 -0.216 20 0 EBADMM CN(CCCNC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)C(F)F ZINC001283594977 818873346 /nfs/dbraw/zinc/87/33/46/818873346.db2.gz CRGAUGDHTFEIHC-UHFFFAOYSA-N -1 1 332.307 -0.844 20 0 EBADMM Cn1nccc1CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001097017331 818926042 /nfs/dbraw/zinc/92/60/42/818926042.db2.gz COZRKXHVAMTSKO-UPJWGTAASA-N -1 1 345.407 -0.694 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@H]2CC2(F)F)C3)nc1=O ZINC001097040969 818931503 /nfs/dbraw/zinc/93/15/03/818931503.db2.gz FNDQIDFMNACKOK-KYXWUPHJSA-N -1 1 327.335 -0.015 20 0 EBADMM Cc1ccnc(N[C@H]2C[C@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)n1 ZINC001097045138 818931809 /nfs/dbraw/zinc/93/18/09/818931809.db2.gz BVOAFNXVSIZASS-HAQNSBGRSA-N -1 1 344.375 -0.216 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)c2cnc[nH]c2=O)C3)nc1=O ZINC001097299520 818971349 /nfs/dbraw/zinc/97/13/49/818971349.db2.gz SHOIGEYIFPXBFI-IEBDPFPHSA-N -1 1 345.363 -0.861 20 0 EBADMM CN1CC(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[n-]2)=NC1=O ZINC001097787173 819032480 /nfs/dbraw/zinc/03/24/80/819032480.db2.gz UFSUKJJMFWKFHW-MRTMQBJTSA-N -1 1 333.352 -0.515 20 0 EBADMM Cc1cc(C)nc(N[C@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001097939064 819057734 /nfs/dbraw/zinc/05/77/34/819057734.db2.gz BPBWEIZBLAZREU-GFCCVEGCSA-N -1 1 346.391 -0.050 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)Nc1ncc(F)cn1 ZINC001097940293 819058607 /nfs/dbraw/zinc/05/86/07/819058607.db2.gz XNJYZZYLPWDGJK-VIFPVBQESA-N -1 1 336.327 -0.527 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CCN(C(=O)CC2CCC2)C1 ZINC001284005704 819060287 /nfs/dbraw/zinc/06/02/87/819060287.db2.gz KBMCVCVELCPEMA-ZDUSSCGKSA-N -1 1 348.403 -0.166 20 0 EBADMM C[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)Nc1cnc(F)cn1 ZINC001097953413 819063410 /nfs/dbraw/zinc/06/34/10/819063410.db2.gz BUXCQSCXILVKGA-SSDOTTSWSA-N -1 1 332.299 -0.423 20 0 EBADMM Cc1ccnn1CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001097954842 819064521 /nfs/dbraw/zinc/06/45/21/819064521.db2.gz UJVHSDGUPSLVRW-UPJWGTAASA-N -1 1 345.407 -0.465 20 0 EBADMM C[C@H](CCNC(=O)CC1CC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001284413530 819219833 /nfs/dbraw/zinc/21/98/33/819219833.db2.gz BVHGCIKZASBMBR-LLVKDONJSA-N -1 1 336.392 -0.262 20 0 EBADMM CC(C(=O)N(C)C[C@@H](O)CN(C)Cc1nc(=O)n(C)[n-]1)=C1CCC1 ZINC001284491349 819253666 /nfs/dbraw/zinc/25/36/66/819253666.db2.gz APVMCXVFTYKIOA-ZDUSSCGKSA-N -1 1 337.424 -0.140 20 0 EBADMM C[C@@H]1C[C@H]1CC(=O)N(C)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001284554153 819285839 /nfs/dbraw/zinc/28/58/39/819285839.db2.gz HELAHCOUVAPTAS-NEPJUHHUSA-N -1 1 336.392 -0.453 20 0 EBADMM O=C(NCCN(C(=O)c1ncccc1[O-])C1CC1)[C@@H]1CCNC1=O ZINC001284745606 819345114 /nfs/dbraw/zinc/34/51/14/819345114.db2.gz WBRIBQSXJXBGAB-LLVKDONJSA-N -1 1 332.360 -0.356 20 0 EBADMM CCCN(C(=O)CCn1cc[n-]c(=O)c1=O)[C@@H]1CCN(C(C)=O)C1 ZINC001284815638 819359181 /nfs/dbraw/zinc/35/91/81/819359181.db2.gz NQKUZQBERUGCDR-CYBMUJFWSA-N -1 1 336.392 -0.214 20 0 EBADMM O=C(CCc1nc[nH]n1)NCC1=CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001284900421 819395041 /nfs/dbraw/zinc/39/50/41/819395041.db2.gz VYTLWAFXSBGARW-UHFFFAOYSA-N -1 1 332.368 -0.491 20 0 EBADMM CC(C)SCC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001099678277 819564117 /nfs/dbraw/zinc/56/41/17/819564117.db2.gz IPHZIWRTGLFHEN-MNOVXSKESA-N -1 1 343.453 -0.699 20 0 EBADMM CCSCCC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001099706907 819602486 /nfs/dbraw/zinc/60/24/86/819602486.db2.gz JTLQGORJIMBQAF-QWRGUYRKSA-N -1 1 343.453 -0.697 20 0 EBADMM Cc1c(C(=O)NC/C=C\CNC(=O)c2ncccc2[O-])nnn1C ZINC001285510879 819635795 /nfs/dbraw/zinc/63/57/95/819635795.db2.gz NOHZOASYHYHHOB-ARJAWSKDSA-N -1 1 330.348 -0.060 20 0 EBADMM Cn1oc(C(=O)NC/C=C/CNC(=O)c2ncccc2[O-])cc1=O ZINC001285534022 819647473 /nfs/dbraw/zinc/64/74/73/819647473.db2.gz WBCXDIBZZCBVBB-NSCUHMNNSA-N -1 1 332.316 -0.205 20 0 EBADMM CC[C@@H](C)C(=O)NC[C@@H](C)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001285598117 819688031 /nfs/dbraw/zinc/68/80/31/819688031.db2.gz JJAZWRAAJONBBS-VXGBXAGGSA-N -1 1 338.408 -0.064 20 0 EBADMM CCN(C)C(=O)CN1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001099785925 819697068 /nfs/dbraw/zinc/69/70/68/819697068.db2.gz KEVVPTHKQHWZMF-YPMHNXCESA-N -1 1 336.392 -0.570 20 0 EBADMM CCCC1(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)CC1 ZINC001100003544 820011816 /nfs/dbraw/zinc/01/18/16/820011816.db2.gz IMWZTKYFSSVPBQ-NEPJUHHUSA-N -1 1 337.424 -0.260 20 0 EBADMM C[C@H](CCCNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CNC(=O)N1 ZINC001287302155 820023641 /nfs/dbraw/zinc/02/36/41/820023641.db2.gz DCIWOCVTNCZHIG-ZJUUUORDSA-N -1 1 335.364 -0.517 20 0 EBADMM CC(C)CCCC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001100017381 820045967 /nfs/dbraw/zinc/04/59/67/820045967.db2.gz ISNOVVDZAXHPEM-QWHCGFSZSA-N -1 1 339.440 -0.014 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)CN1C(=O)C1CC1 ZINC001287900769 820191974 /nfs/dbraw/zinc/19/19/74/820191974.db2.gz KGPODQWFZJDCGF-CMPLNLGQSA-N -1 1 334.376 -0.558 20 0 EBADMM NC(=O)C(=O)NCc1ccc(CNC(=O)c2ncccc2[O-])cc1F ZINC001288446469 820300841 /nfs/dbraw/zinc/30/08/41/820300841.db2.gz CCUYWUPEJSMAOE-UHFFFAOYSA-N -1 1 346.318 -0.042 20 0 EBADMM CCN(CCNC(=O)c1n[nH]c(=O)[n-]c1=O)c1ncnc2[nH]cnc21 ZINC001100765629 820544338 /nfs/dbraw/zinc/54/43/38/820544338.db2.gz RBBVWCOVJKETCO-UHFFFAOYSA-N -1 1 345.323 -0.795 20 0 EBADMM CCCN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)c1ncccn1 ZINC001101159506 820706456 /nfs/dbraw/zinc/70/64/56/820706456.db2.gz AOESHOVXNSJQJR-UHFFFAOYSA-N -1 1 346.391 -0.251 20 0 EBADMM CC1CC(C(=O)NCCCNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001293235087 820726624 /nfs/dbraw/zinc/72/66/24/820726624.db2.gz QWYSTAMFYVNAER-UHFFFAOYSA-N -1 1 332.364 -0.300 20 0 EBADMM COC(=O)NCC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001101769870 820911445 /nfs/dbraw/zinc/91/14/45/820911445.db2.gz RGCWNVFDTDDQQL-RKDXNWHRSA-N -1 1 326.357 -0.950 20 0 EBADMM COC[C@@H](OC)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102345075 821075605 /nfs/dbraw/zinc/07/56/05/821075605.db2.gz QYJGLUOMUYJBGL-GMTAPVOTSA-N -1 1 327.385 -0.644 20 0 EBADMM C[C@H](CNC(=O)C[C@@H]1C=CCC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001295413892 821091533 /nfs/dbraw/zinc/09/15/33/821091533.db2.gz CPAVDDOJNCODRD-CHWSQXEVSA-N -1 1 348.403 -0.096 20 0 EBADMM COCCO[C@H](C)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102424321 821103693 /nfs/dbraw/zinc/10/36/93/821103693.db2.gz IXAKFMQPZFWWKD-IJLUTSLNSA-N -1 1 341.412 -0.254 20 0 EBADMM CN(CCNC(=O)CCCCNC(N)=O)C(=O)c1ncccc1[O-] ZINC001296139668 821214003 /nfs/dbraw/zinc/21/40/03/821214003.db2.gz LAJXFAGIEYCDPX-UHFFFAOYSA-N -1 1 337.380 -0.186 20 0 EBADMM CC[C@H](CNC(=O)c1n[nH]c(=O)[n-]c1=O)Nc1ncnc2[nH]cnc21 ZINC001103374657 821301295 /nfs/dbraw/zinc/30/12/95/821301295.db2.gz XBNKNWZPPWDOCO-ZCFIWIBFSA-N -1 1 345.323 -0.430 20 0 EBADMM C[C@H](C(=O)N1CC(NC(=O)CCn2cc[n-]c(=O)c2=O)C1)C1CC1 ZINC001297097569 821321196 /nfs/dbraw/zinc/32/11/96/821321196.db2.gz OIUABKGKNATLLX-JTQLQIEISA-N -1 1 334.376 -0.700 20 0 EBADMM C[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)CNc1nccnc1F ZINC001104036649 821391591 /nfs/dbraw/zinc/39/15/91/821391591.db2.gz LAIIFDWLCBAPAZ-QMMMGPOBSA-N -1 1 346.326 -0.175 20 0 EBADMM CCCC(=O)NC[C@H]1CCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001297682279 821402601 /nfs/dbraw/zinc/40/26/01/821402601.db2.gz HVHGKRSPXWBNHS-GFCCVEGCSA-N -1 1 336.392 -0.166 20 0 EBADMM Cc1ccnc(NC[C@H](C)N(C)C(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001104619413 821524051 /nfs/dbraw/zinc/52/40/51/821524051.db2.gz YCUJEZGKZKEJJP-LBPRGKRZSA-N -1 1 346.391 -0.016 20 0 EBADMM C[C@H](CNc1ncnc2[nH]cnc21)N(C)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001104881042 821561866 /nfs/dbraw/zinc/56/18/66/821561866.db2.gz FHLVQRGESZPPPI-ZCFIWIBFSA-N -1 1 345.323 -0.527 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)c1cccn2nnnc12 ZINC001298604168 821573766 /nfs/dbraw/zinc/57/37/66/821573766.db2.gz TWSOPSDBAVLOIH-SECBINFHSA-N -1 1 341.331 -0.227 20 0 EBADMM CC/C(C)=C\C(=O)N[C@@H](C)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001298768233 821595163 /nfs/dbraw/zinc/59/51/63/821595163.db2.gz APXCOMLXRJULQX-MMRAYRKESA-N -1 1 336.392 -0.096 20 0 EBADMM C[C@H](NCc1cn(C2CN(C(=O)c3ncccc3[O-])C2)nn1)C(N)=O ZINC001105217816 821626434 /nfs/dbraw/zinc/62/64/34/821626434.db2.gz MIBFEJSVBJBGGB-VIFPVBQESA-N -1 1 345.363 -0.961 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC[C@@H]1CNC(=O)C(N)=O ZINC001299092878 821646403 /nfs/dbraw/zinc/64/64/03/821646403.db2.gz FXBXQVLGHITWTG-SECBINFHSA-N -1 1 335.364 -0.570 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC[C@H]1CNC(=O)C(N)=O ZINC001299092879 821646717 /nfs/dbraw/zinc/64/67/17/821646717.db2.gz FXBXQVLGHITWTG-VIFPVBQESA-N -1 1 335.364 -0.570 20 0 EBADMM CN(CCN(C)c1ccc2nnnn2n1)C(=O)c1ncccc1[O-] ZINC001105376286 821730743 /nfs/dbraw/zinc/73/07/43/821730743.db2.gz OTHPLMBPTYZWSM-UHFFFAOYSA-N -1 1 328.336 -0.172 20 0 EBADMM Cc1csc(NCC[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)n1 ZINC001309680429 821780911 /nfs/dbraw/zinc/78/09/11/821780911.db2.gz SBELAUSKYCXHJD-UHFFFAOYSA-N -1 1 345.406 -0.359 20 0 EBADMM COC(=O)COCCNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001313116226 821800327 /nfs/dbraw/zinc/80/03/27/821800327.db2.gz HQQNKFAOLHPXGL-UHFFFAOYSA-N -1 1 340.336 -0.756 20 0 EBADMM COCCCC(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001316928622 821922042 /nfs/dbraw/zinc/92/20/42/821922042.db2.gz GHBPISXKYOLVQT-UHFFFAOYSA-N -1 1 325.413 -0.042 20 0 EBADMM CN(CCNC(=O)c1nccn2ccnc12)Cc1nc(=O)n(C)[n-]1 ZINC001317462027 822118148 /nfs/dbraw/zinc/11/81/48/822118148.db2.gz WXHVUHKALDNIBZ-UHFFFAOYSA-N -1 1 330.352 -0.987 20 0 EBADMM CC(C)Cn1[n-]c(CC(=O)N(C)CCNCc2cnnn2C)cc1=O ZINC001317604847 822175085 /nfs/dbraw/zinc/17/50/85/822175085.db2.gz ZHLXSUIHKFGXDB-UHFFFAOYSA-N -1 1 349.439 -0.248 20 0 EBADMM Cc1nnc2n1C[C@H](C(=O)N(C)CCNCc1n[nH]c(=O)[n-]1)CC2 ZINC001317670428 822199261 /nfs/dbraw/zinc/19/92/61/822199261.db2.gz RUDAHJOTKYTJFX-SNVBAGLBSA-N -1 1 334.384 -0.779 20 0 EBADMM C[C@@H](CCNc1ncnc2[nH]cnc21)NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001106395446 822262749 /nfs/dbraw/zinc/26/27/49/822262749.db2.gz LWSBFSAGFKZIIA-ZETCQYMHSA-N -1 1 347.339 -0.686 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1CN(C/C=C\Cl)C1 ZINC001318162158 822267031 /nfs/dbraw/zinc/26/70/31/822267031.db2.gz ZPBRCWRJEJXEEH-IWQZZHSRSA-N -1 1 326.784 -0.273 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCc1ccc(-n2cncn2)nc1 ZINC001318185762 822271282 /nfs/dbraw/zinc/27/12/82/822271282.db2.gz XOMFZHKDIJOPPE-UHFFFAOYSA-N -1 1 341.331 -0.781 20 0 EBADMM CC[C@@H](CNC(=O)c1cnc2cccnn21)NCc1n[nH]c(=O)[n-]1 ZINC001318581371 822337821 /nfs/dbraw/zinc/33/78/21/822337821.db2.gz ISODDHBNXCSJQW-VIFPVBQESA-N -1 1 330.352 -0.149 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1cccc(N2CCCC2=O)c1 ZINC001318628719 822346398 /nfs/dbraw/zinc/34/63/98/822346398.db2.gz XEBFSYFVPLBDEO-UHFFFAOYSA-N -1 1 329.320 -0.419 20 0 EBADMM CCCC(=O)NCc1cn(C2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001107114844 823732427 /nfs/dbraw/zinc/73/24/27/823732427.db2.gz LNYYGCLFDLFLIY-UHFFFAOYSA-N -1 1 334.384 -0.827 20 0 EBADMM O=C(NC/C=C/CNc1ncc(F)cn1)c1cc(=O)n2[n-]cnc2n1 ZINC001107262979 823831919 /nfs/dbraw/zinc/83/19/19/823831919.db2.gz NFVZHFKEBNWRIH-OWOJBTEDSA-N -1 1 344.310 -0.255 20 0 EBADMM Cn1[n-]c(CN2CCO[C@](C)(CNC(=O)/C=C/C3CC3)C2)nc1=O ZINC001107842217 823996403 /nfs/dbraw/zinc/99/64/03/823996403.db2.gz YHDKWCLVVOQLTL-SQRWCISFSA-N -1 1 335.408 -0.218 20 0 EBADMM Cc1cc[nH]c1C(=O)NC[C@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107910659 824035808 /nfs/dbraw/zinc/03/58/08/824035808.db2.gz LHORBFFGVLRJHC-MRXNPFEDSA-N -1 1 348.407 -0.234 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@](C)(CNC(=O)[C@@H]3C[C@H]3C3CC3)C2)nc1=O ZINC001108268965 824187908 /nfs/dbraw/zinc/18/79/08/824187908.db2.gz BMSVHCQJTXHRKI-AHIWAGSCSA-N -1 1 349.435 -0.138 20 0 EBADMM CCCN1CCO[C@@](C)(CNC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001108283769 824195145 /nfs/dbraw/zinc/19/51/45/824195145.db2.gz KQJFAUKIUNMZCU-INIZCTEOSA-N -1 1 348.407 -0.312 20 0 EBADMM CC(C)N1CCO[C@](C)(CNC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001108283884 824195297 /nfs/dbraw/zinc/19/52/97/824195297.db2.gz NDHZUGZOWPJIRX-MRXNPFEDSA-N -1 1 348.407 -0.313 20 0 EBADMM C[C@@H](F)CCN1CCO[C@@](C)(CNC(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC001108368432 824240683 /nfs/dbraw/zinc/24/06/83/824240683.db2.gz XQJHTLQJROTKLM-YGRLFVJLSA-N -1 1 345.375 -0.356 20 0 EBADMM Cc1cc(C)nc(NC[C@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001108445358 824289159 /nfs/dbraw/zinc/28/91/59/824289159.db2.gz SJJXJEWHHAALGE-LBPRGKRZSA-N -1 1 346.391 -0.050 20 0 EBADMM C[C@@H](CNc1nccnc1F)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001108461400 824295316 /nfs/dbraw/zinc/29/53/16/824295316.db2.gz NWXUEAZLJHWEMA-ZETCQYMHSA-N -1 1 332.299 -0.423 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)COCC2CC2)C3)nc1=O ZINC001108977291 824464370 /nfs/dbraw/zinc/46/43/70/824464370.db2.gz AUFPAMUUSPDTHX-AGIUHOORSA-N -1 1 335.408 -0.244 20 0 EBADMM Cc1nccc(N(C)C[C@@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001109020659 824473062 /nfs/dbraw/zinc/47/30/62/824473062.db2.gz QPKXOCCCCQJPTM-LLVKDONJSA-N -1 1 346.391 -0.334 20 0 EBADMM C[C@H](CN(C)c1nccnc1F)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001109039069 824474963 /nfs/dbraw/zinc/47/49/63/824474963.db2.gz GXGFDTORPGIXKD-MRVPVSSYSA-N -1 1 346.326 -0.399 20 0 EBADMM CO[C@@H](C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001109226127 824507405 /nfs/dbraw/zinc/50/74/05/824507405.db2.gz HDTOOJSZQUBEBO-OWTLIXCDSA-N -1 1 335.408 -0.245 20 0 EBADMM CCc1nn(C)c(CC)c1CC(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001129697869 828657125 /nfs/dbraw/zinc/65/71/25/828657125.db2.gz YXNQEDSXNAPHHW-UHFFFAOYSA-N -1 1 335.412 -0.183 20 0 EBADMM CN(CCCNc1ncccn1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001109480294 824549163 /nfs/dbraw/zinc/54/91/63/824549163.db2.gz DCEPTZUGGIVTKW-UHFFFAOYSA-N -1 1 332.364 -0.323 20 0 EBADMM Cn1cc([C@@H]2OCCC[C@H]2C(=O)NCCNCc2n[nH]c(=O)[n-]2)cn1 ZINC001129790918 828670184 /nfs/dbraw/zinc/67/01/84/828670184.db2.gz NOGCKJDSAXESBX-YPMHNXCESA-N -1 1 349.395 -0.383 20 0 EBADMM NC(=O)NC(=O)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncccc1[O-])C2 ZINC001110467785 824736616 /nfs/dbraw/zinc/73/66/16/824736616.db2.gz HWIWPVIVFZOXRI-BBBLOLIVSA-N -1 1 333.348 -0.683 20 0 EBADMM CO[C@@H](C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001110516031 824746266 /nfs/dbraw/zinc/74/62/66/824746266.db2.gz HDTOOJSZQUBEBO-NRWUCQMLSA-N -1 1 335.408 -0.245 20 0 EBADMM CO[C@H]1CCn2cc(C(=O)NCCNCc3n[nH]c(=O)[n-]3)nc2C1 ZINC001130163065 828742623 /nfs/dbraw/zinc/74/26/23/828742623.db2.gz OZXAEPGJAXGPGU-VIFPVBQESA-N -1 1 335.368 -0.812 20 0 EBADMM COC[C@H](C)[C@@H](C)C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001112944672 825701029 /nfs/dbraw/zinc/70/10/29/825701029.db2.gz JKJJCTKYMZCHFA-NWDGAFQWSA-N -1 1 325.413 -0.329 20 0 EBADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)[C@H](C)Nc1ncccn1 ZINC001113119493 825764276 /nfs/dbraw/zinc/76/42/76/825764276.db2.gz SOALYOJEXHJJOE-BDAKNGLRSA-N -1 1 328.336 -0.174 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H](C)N(C)c1ncccn1 ZINC001113657818 825968340 /nfs/dbraw/zinc/96/83/40/825968340.db2.gz XPBBVQDJAFWDCP-QMMMGPOBSA-N -1 1 334.336 -0.059 20 0 EBADMM Cc1cc(N(C)[C@@H](C)CNC(=O)c2cc(=O)n3[n-]cnc3n2)ncn1 ZINC001113657311 825968506 /nfs/dbraw/zinc/96/85/06/825968506.db2.gz KSOUXKHCIVKIJS-JTQLQIEISA-N -1 1 342.363 -0.229 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C(NC(=O)/C=C\c4ccco4)[C@@H]3C2)nc1=O ZINC001113794669 826025087 /nfs/dbraw/zinc/02/50/87/826025087.db2.gz AHXBKXUGMTWGBH-CQFFRGEASA-N -1 1 329.360 -0.039 20 0 EBADMM COCCO[C@H](C)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001179658786 832998392 /nfs/dbraw/zinc/99/83/92/832998392.db2.gz GYJLHKJUWMBKSC-AXFHLTTASA-N -1 1 327.385 -0.359 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCCn1cncn1 ZINC001179865842 833019955 /nfs/dbraw/zinc/01/99/55/833019955.db2.gz XJBMUUZRGIGWOL-QWRGUYRKSA-N -1 1 334.384 -0.339 20 0 EBADMM C[C@H](C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)C(F)(F)F ZINC001114703430 826321910 /nfs/dbraw/zinc/32/19/10/826321910.db2.gz RBGGABMTTNGUCE-ODXREFDESA-N -1 1 333.314 -0.147 20 0 EBADMM CCC[C@H](OCC)C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001114719885 826323237 /nfs/dbraw/zinc/32/32/37/826323237.db2.gz NHGCUEOULLKXKU-KZVDOYCCSA-N -1 1 337.424 -0.140 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CNC(=O)C(C)(C)C ZINC001180118574 833044086 /nfs/dbraw/zinc/04/40/86/833044086.db2.gz MQUNINYANKVFGT-UWVGGRQHSA-N -1 1 338.412 -0.248 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1ccnn1C[C@H]1CCOC1 ZINC001116054249 826596782 /nfs/dbraw/zinc/59/67/82/826596782.db2.gz BWKAWOBKOXYFFF-LLVKDONJSA-N -1 1 333.348 -0.202 20 0 EBADMM COCCOCCS(=O)(=O)[N-]c1cnn(CC(=O)N(C)C)c1 ZINC001116374192 826616246 /nfs/dbraw/zinc/61/62/46/826616246.db2.gz WBIAFOJCIPNQFU-UHFFFAOYSA-N -1 1 334.398 -0.624 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NC[C@]3(O)CCSC3)ccnc1-2 ZINC001117426169 826746506 /nfs/dbraw/zinc/74/65/06/826746506.db2.gz JBQNUHMLGARYPR-CQSZACIVSA-N -1 1 335.389 -0.736 20 0 EBADMM C[C@@H](CNC(=O)C(=O)N1CCN(CC2CCOCC2)CC1)C(=O)[O-] ZINC001118390623 826921549 /nfs/dbraw/zinc/92/15/49/826921549.db2.gz WMUNRADEENXWHV-LBPRGKRZSA-N -1 1 341.408 -0.606 20 0 EBADMM Cc1noc([C@@H]2OCC[C@@H]2NC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001118960245 827036009 /nfs/dbraw/zinc/03/60/09/827036009.db2.gz RDAUQUWDUVWIDU-GXSJLCMTSA-N -1 1 335.320 -0.735 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)N2CCC[C@@H](C(=O)[O-])C2)C1 ZINC001119392244 827105478 /nfs/dbraw/zinc/10/54/78/827105478.db2.gz MXNPFTAMULYBIY-NEPJUHHUSA-N -1 1 327.381 -0.854 20 0 EBADMM CO[C@@H](C)CC(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001181600246 833168795 /nfs/dbraw/zinc/16/87/95/833168795.db2.gz LEJWIDDHVWPTCB-ONGXEEELSA-N -1 1 326.353 -0.547 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)[C@H]1CCC(=O)C1 ZINC001181904622 833188676 /nfs/dbraw/zinc/18/86/76/833188676.db2.gz MXYCHNIXHXYAII-CABZTGNLSA-N -1 1 336.348 -0.603 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@]2(C(=O)[O-])CNCCO2)C[C@H](CC(F)(F)F)O1 ZINC001122076570 827516849 /nfs/dbraw/zinc/51/68/49/827516849.db2.gz AHPQFICYFGLZJU-VDDIYKPWSA-N -1 1 340.298 -0.002 20 0 EBADMM O=C([O-])[C@]1(C(=O)N[C@H]2COc3c(F)cc(F)cc3C2)CNCCO1 ZINC001122465874 827599998 /nfs/dbraw/zinc/59/99/98/827599998.db2.gz FQEYLRMLWFSWAO-MEBBXXQBSA-N -1 1 342.298 -0.172 20 0 EBADMM Cc1occc1C(=O)NCC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001182773846 833257748 /nfs/dbraw/zinc/25/77/48/833257748.db2.gz UCANWGCDMGKXKV-SNVBAGLBSA-N -1 1 348.363 -0.468 20 0 EBADMM O=C([N-]CCNC(=O)[C@@H]1CCNC1=O)C(F)(F)Br ZINC001183026965 833277272 /nfs/dbraw/zinc/27/72/72/833277272.db2.gz FHTFQRTVFXWKFG-RXMQYKEDSA-N -1 1 328.113 -0.657 20 0 EBADMM CC(C)(C)OC(=O)N[C@@H]1CC[C@@H](C(=O)NCc2nn[n-]n2)OC1 ZINC001183044580 833278116 /nfs/dbraw/zinc/27/81/16/833278116.db2.gz PINDDHUMDNQDBS-BDAKNGLRSA-N -1 1 326.357 -0.112 20 0 EBADMM Cn1c(NC(=O)Cn2cc(F)c(=O)[n-]c2=O)nnc1C(F)(F)F ZINC001183654329 833298399 /nfs/dbraw/zinc/29/83/99/833298399.db2.gz GFIMOBSCXPBJLF-UHFFFAOYSA-N -1 1 336.205 -0.126 20 0 EBADMM O=C(NCCNCc1nnnn1C1CCOCC1)c1ncccc1[O-] ZINC001126125051 828155900 /nfs/dbraw/zinc/15/59/00/828155900.db2.gz NWQZSAIPQZYTJD-UHFFFAOYSA-N -1 1 347.379 -0.355 20 0 EBADMM O=C(Cc1cc(=O)oc2cc3c(cc12)OCO3)NCc1nn[n-]n1 ZINC001184232299 833323843 /nfs/dbraw/zinc/32/38/43/833323843.db2.gz PNBHUJDDJKPULW-UHFFFAOYSA-N -1 1 329.272 -0.106 20 0 EBADMM C[C@H](NCCNC(=O)CCc1n[nH]c(=O)[n-]c1=O)c1cnccn1 ZINC001130756936 828929587 /nfs/dbraw/zinc/92/95/87/828929587.db2.gz IJWKOFRCNYGWDV-VIFPVBQESA-N -1 1 333.352 -0.528 20 0 EBADMM Cc1nn(C)c(Cl)c1CC(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001130860714 828977224 /nfs/dbraw/zinc/97/72/24/828977224.db2.gz OAGFBKVVKLUIDR-UHFFFAOYSA-N -1 1 327.776 -0.346 20 0 EBADMM CCOCC(=O)N1C[C@@H](c2cncn2C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001130899958 828992456 /nfs/dbraw/zinc/99/24/56/828992456.db2.gz BTQOONSQSITBSK-GHMZBOCLSA-N -1 1 349.395 -0.636 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)CCn2ccnn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001131992192 829294633 /nfs/dbraw/zinc/29/46/33/829294633.db2.gz JQDCQCGAVXMNTM-NWDGAFQWSA-N -1 1 348.411 -0.741 20 0 EBADMM Cc1nc(C(C)C)[nH]c(=O)c1C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001132205416 829378881 /nfs/dbraw/zinc/37/88/81/829378881.db2.gz BQKUVCYHWLVUGY-UHFFFAOYSA-N -1 1 335.368 -0.043 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCCc2cnn(C)c2)[n-]n1 ZINC001186411311 833401004 /nfs/dbraw/zinc/40/10/04/833401004.db2.gz OUALKSWUKPWUEV-UHFFFAOYSA-N -1 1 327.366 -0.159 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCCc2cnn(C)c2)n[n-]1 ZINC001186411311 833401006 /nfs/dbraw/zinc/40/10/06/833401006.db2.gz OUALKSWUKPWUEV-UHFFFAOYSA-N -1 1 327.366 -0.159 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)[C@@H]1COCCN1C1CCCC1 ZINC001132784437 829522492 /nfs/dbraw/zinc/52/24/92/829522492.db2.gz USDDKYOSOGIHFB-LBPRGKRZSA-N -1 1 338.412 -0.641 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H](C)C[C@H](C)[NH2+]Cc2nc(=O)n(C)[n-]2)c1[O-] ZINC001134100667 829724978 /nfs/dbraw/zinc/72/49/78/829724978.db2.gz RTLBMVAYWFVFDT-JGVFFNPUSA-N -1 1 337.384 -0.468 20 0 EBADMM O=C(CN1C(=O)Cc2ccccc21)NCCNCc1n[nH]c(=O)[n-]1 ZINC001134370498 829760659 /nfs/dbraw/zinc/76/06/59/829760659.db2.gz KPDLARHKZUODGJ-UHFFFAOYSA-N -1 1 330.348 -0.695 20 0 EBADMM CCn1nncc1CNCCNC(=O)c1c[n-]n2c1nccc2=O ZINC001134939111 829874238 /nfs/dbraw/zinc/87/42/38/829874238.db2.gz QOPDHONFZAVNSK-UHFFFAOYSA-N -1 1 330.352 -0.846 20 0 EBADMM Cn1nnc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)c1C(F)(F)F ZINC001134973424 829882830 /nfs/dbraw/zinc/88/28/30/829882830.db2.gz QUZABZUNPRAOMT-UHFFFAOYSA-N -1 1 334.262 -0.823 20 0 EBADMM COCC[C@@H](C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001211163153 837403330 /nfs/dbraw/zinc/40/33/30/837403330.db2.gz FTEUEUPXSNFBSU-IJLUTSLNSA-N -1 1 325.413 -0.283 20 0 EBADMM C[C@H](C[C@H](C)NC(=O)c1cnc([O-])n(C)c1=O)NCc1cnn(C)n1 ZINC001135165871 829912810 /nfs/dbraw/zinc/91/28/10/829912810.db2.gz IRVCNRHJOOVLRH-ZJUUUORDSA-N -1 1 349.395 -0.699 20 0 EBADMM CCN(CC)C(=O)CCCC(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001135375287 829956447 /nfs/dbraw/zinc/95/64/47/829956447.db2.gz SFYMFQBJTCJFGV-UHFFFAOYSA-N -1 1 326.401 -0.245 20 0 EBADMM Cc1nc(CNCCNC(=O)CCn2cc[n-]c(=O)c2=O)c(C)o1 ZINC001135390786 829960703 /nfs/dbraw/zinc/96/07/03/829960703.db2.gz OULOMPFERWMVHX-UHFFFAOYSA-N -1 1 335.364 -0.562 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNCc1ncc(C2CC2)o1 ZINC001135387919 829960869 /nfs/dbraw/zinc/96/08/69/829960869.db2.gz YXRJVCDYZMWDJM-UHFFFAOYSA-N -1 1 347.375 -0.302 20 0 EBADMM C[C@@H](NCCNC(=O)Cn1[n-]cc2c(=O)ncnc1-2)c1cnccn1 ZINC001135465969 829971858 /nfs/dbraw/zinc/97/18/58/829971858.db2.gz ZCEOHQSYFKNZFS-SNVBAGLBSA-N -1 1 342.363 -0.672 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1(c2ncon2)CCOCC1 ZINC001137798382 830095946 /nfs/dbraw/zinc/09/59/46/830095946.db2.gz DUDADDGOBVGVNX-UHFFFAOYSA-N -1 1 335.320 -0.868 20 0 EBADMM Cc1[nH]nc2ncc(C(=O)N3CCC(O)(c4nn[n-]n4)CC3)cc12 ZINC001138987527 830100600 /nfs/dbraw/zinc/10/06/00/830100600.db2.gz RCMLGJSAHVJXHJ-UHFFFAOYSA-N -1 1 328.336 -0.097 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@@H](O)Cn1ccnn1 ZINC001141931324 830116776 /nfs/dbraw/zinc/11/67/76/830116776.db2.gz XIWLOSVAIASDNJ-LLVKDONJSA-N -1 1 345.363 -0.637 20 0 EBADMM O=C(CNC(=O)c1cccnc1)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001142111969 830121848 /nfs/dbraw/zinc/12/18/48/830121848.db2.gz HVWNEHAMKIACNX-UHFFFAOYSA-N -1 1 329.276 -0.521 20 0 EBADMM COC1CC(C(=O)NCCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])C1 ZINC001142570223 830131924 /nfs/dbraw/zinc/13/19/24/830131924.db2.gz IZLQWMMAUSXJTQ-NHNAUAITSA-N -1 1 338.364 -0.547 20 0 EBADMM CNC(=O)/C=C/C(=O)NCC[N-]C(=O)C(F)(F)Br ZINC001144092398 830158817 /nfs/dbraw/zinc/15/88/17/830158817.db2.gz WUULDLFMDUEMII-NSCUHMNNSA-N -1 1 328.113 -0.491 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cnn(-c2nccs2)c1 ZINC001147363971 830328682 /nfs/dbraw/zinc/32/86/82/830328682.db2.gz MVMJMAWTKCBVSS-UHFFFAOYSA-N -1 1 334.365 -0.328 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCNCc1ncc(C)cn1 ZINC001147699693 830396101 /nfs/dbraw/zinc/39/61/01/830396101.db2.gz PPJUWYNFMWXVLN-UHFFFAOYSA-N -1 1 334.336 -0.486 20 0 EBADMM CC(C)c1nc(CNCCNC(=O)c2cc(=O)n3[n-]cnc3n2)no1 ZINC001147705859 830397982 /nfs/dbraw/zinc/39/79/82/830397982.db2.gz OMHOKTFCRNKYME-UHFFFAOYSA-N -1 1 346.351 -0.556 20 0 EBADMM Cc1nnc(CNCCNC(=O)c2cc(=O)n3[n-]cnc3n2)s1 ZINC001147706502 830399004 /nfs/dbraw/zinc/39/90/04/830399004.db2.gz YMEBNRCUGPPWFF-UHFFFAOYSA-N -1 1 334.365 -0.903 20 0 EBADMM Cc1noc([C@H](C)NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001147725239 830402545 /nfs/dbraw/zinc/40/25/45/830402545.db2.gz CHAYBSCDQQEJEN-ZETCQYMHSA-N -1 1 332.324 -0.810 20 0 EBADMM Cn1c(=O)c2ccc(C(=O)NCc3n[nH]c(CO)n3)cc2[n-]c1=S ZINC001148334353 830471391 /nfs/dbraw/zinc/47/13/91/830471391.db2.gz RTUKTMKKCQKCKT-UHFFFAOYSA-N -1 1 346.372 -0.237 20 0 EBADMM COC[C@@H](C)CC(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001148512801 830499872 /nfs/dbraw/zinc/49/98/72/830499872.db2.gz YEYGUSNKPNUQKR-LBPRGKRZSA-N -1 1 337.424 -0.185 20 0 EBADMM CCO[C@@H](C)C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149235725 830620975 /nfs/dbraw/zinc/62/09/75/830620975.db2.gz CCPVNCNCJXKPPA-NWDGAFQWSA-N -1 1 341.412 -0.760 20 0 EBADMM CS[C@@H](C)C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149261705 830628391 /nfs/dbraw/zinc/62/83/91/830628391.db2.gz AUZNVETZCLLOBT-WDEREUQCSA-N -1 1 343.453 -0.433 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C2=CNN3C=CNC=C23)c1 ZINC001149456630 830671931 /nfs/dbraw/zinc/67/19/31/830671931.db2.gz AFIQHSXDKBVOFQ-UHFFFAOYSA-N -1 1 349.372 -0.141 20 0 EBADMM C[C@@H](C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1)C1CC1 ZINC001149472164 830677538 /nfs/dbraw/zinc/67/75/38/830677538.db2.gz CMUTYKFEPAJAHR-DGCLKSJQSA-N -1 1 337.424 -0.138 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CCc1ccco1 ZINC001211847302 837479483 /nfs/dbraw/zinc/47/94/83/837479483.db2.gz DSODVGXRRHSZJL-CHWSQXEVSA-N -1 1 349.391 -0.350 20 0 EBADMM CC(C)[C@H](F)C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001150116394 830821530 /nfs/dbraw/zinc/82/15/30/830821530.db2.gz RZNITODCTYWEAV-AAEUAGOBSA-N -1 1 343.403 -0.190 20 0 EBADMM CC[C@H](F)C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001150150333 830826156 /nfs/dbraw/zinc/82/61/56/830826156.db2.gz XJSBGBSULDAGMT-MNOVXSKESA-N -1 1 329.376 -0.436 20 0 EBADMM CC[C@H](F)C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001150150334 830826276 /nfs/dbraw/zinc/82/62/76/830826276.db2.gz XJSBGBSULDAGMT-QWRGUYRKSA-N -1 1 329.376 -0.436 20 0 EBADMM Cc1nn(CC(=O)NCCNCc2n[nH]c(=O)[n-]2)c(C)c1Cl ZINC001151258815 831045629 /nfs/dbraw/zinc/04/56/29/831045629.db2.gz NTLSJFPGWGNKHP-UHFFFAOYSA-N -1 1 327.776 -0.117 20 0 EBADMM Cc1nn(C)c(C)c1C[C@@H](C)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001151663934 831096617 /nfs/dbraw/zinc/09/66/17/831096617.db2.gz IUHGKGJFIVMEFJ-SECBINFHSA-N -1 1 335.412 -0.055 20 0 EBADMM CC(=O)N(CC(=O)NCCNCc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC001151857732 831116899 /nfs/dbraw/zinc/11/68/99/831116899.db2.gz MQGJWQSSGGHWKT-UHFFFAOYSA-N -1 1 332.364 -0.231 20 0 EBADMM CO[N-]C(=O)CNS(=O)(=O)c1cc(C(=O)OC)c(Cl)o1 ZINC001213912652 837897015 /nfs/dbraw/zinc/89/70/15/837897015.db2.gz IROSFOMGRBUJRZ-UHFFFAOYSA-N -1 1 326.714 -0.325 20 0 EBADMM CCO[C@H](CC)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1OC ZINC001211980061 837510781 /nfs/dbraw/zinc/51/07/81/837510781.db2.gz RUTBHFKFKPCOPU-IJLUTSLNSA-N -1 1 341.412 -0.761 20 0 EBADMM Cn1c2ccc(C(=O)NCCNCc3n[nH]c(=O)[n-]3)cc2[nH]c1=O ZINC001152571646 831175242 /nfs/dbraw/zinc/17/52/42/831175242.db2.gz VHQWKYVHNJIGDU-UHFFFAOYSA-N -1 1 331.336 -0.378 20 0 EBADMM O=C(CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001153002669 831202135 /nfs/dbraw/zinc/20/21/35/831202135.db2.gz WNCLMYKHKXNXGI-MXWKQRLJSA-N -1 1 330.348 -0.533 20 0 EBADMM O=C(Cc1csc(-c2nc[nH]n2)n1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001153000084 831202241 /nfs/dbraw/zinc/20/22/41/831202241.db2.gz CSECHIYSOOABTC-SSDOTTSWSA-N -1 1 331.365 0.000 20 0 EBADMM C[C@H](OC[C@H]1CCCCO1)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001153179383 831215159 /nfs/dbraw/zinc/21/51/59/831215159.db2.gz WRWQVUWFPFLQEE-WDEREUQCSA-N -1 1 327.385 -0.310 20 0 EBADMM CO[N-]C(=O)CNC(=O)CCn1c(=O)c2ccccc2[nH]c1=S ZINC001157836988 831596670 /nfs/dbraw/zinc/59/66/70/831596670.db2.gz SMGGXYYPXRCOLQ-UHFFFAOYSA-N -1 1 336.373 -0.131 20 0 EBADMM CC[C@H](SC)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1OC ZINC001212129697 837550466 /nfs/dbraw/zinc/55/04/66/837550466.db2.gz WLRJYUQUXCHJTQ-MXWKQRLJSA-N -1 1 343.453 -0.435 20 0 EBADMM NS(=O)(=O)c1cnc(Nc2[n-]c(=O)nc3nc[nH]c32)c(F)c1 ZINC001159205383 831720582 /nfs/dbraw/zinc/72/05/82/831720582.db2.gz YSBCQNPPIJZDPG-UHFFFAOYSA-N -1 1 325.285 -0.016 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2OCCN(C)C2=O)c1 ZINC001160667106 831877348 /nfs/dbraw/zinc/87/73/48/831877348.db2.gz JYTXGHBUAGKZMG-NSHDSACASA-N -1 1 343.361 -0.904 20 0 EBADMM CCOC(=O)c1cnc(OC)nc1Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001160849911 831895461 /nfs/dbraw/zinc/89/54/61/831895461.db2.gz UZSMYAXTNQOFAB-ZCFIWIBFSA-N -1 1 339.333 -0.391 20 0 EBADMM CON(C)C(=O)c1cc(C)cnc1Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001160852629 831896146 /nfs/dbraw/zinc/89/61/46/831896146.db2.gz BMIOXNZULNINAB-MRVPVSSYSA-N -1 1 337.361 -0.029 20 0 EBADMM COC(=O)c1cc2cnc(Nc3c(O)[nH]c(=O)[n-]c3=S)nc2n1C ZINC001160854677 831897151 /nfs/dbraw/zinc/89/71/51/831897151.db2.gz XYHNAWVOHQSXJW-SSDOTTSWSA-N -1 1 348.344 -0.298 20 0 EBADMM Cn1[n-]c(CN2CC=C(CCNC(=O)c3cnon3)CC2)nc1=O ZINC001161543251 831971207 /nfs/dbraw/zinc/97/12/07/831971207.db2.gz DTIRRERUMZZJRW-UHFFFAOYSA-N -1 1 333.352 -0.556 20 0 EBADMM Cc1conc1CNCCCNC(=O)CCc1n[nH]c(=O)[n-]c1=O ZINC001161715438 831985598 /nfs/dbraw/zinc/98/55/98/831985598.db2.gz KDTDYSGDKPXUEN-UHFFFAOYSA-N -1 1 336.352 -0.192 20 0 EBADMM CCc1nnc([C@H](C)NCCCNC(=O)c2n[nH]c(=O)[n-]c2=O)[nH]1 ZINC001161863252 831997091 /nfs/dbraw/zinc/99/70/91/831997091.db2.gz GMDAMHDYBHAJTC-ZETCQYMHSA-N -1 1 336.356 -0.566 20 0 EBADMM Cn1ccc(C(=O)NCCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC001161869343 831999133 /nfs/dbraw/zinc/99/91/33/831999133.db2.gz FNEBANOYVGIHPN-UHFFFAOYSA-N -1 1 345.407 -0.206 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCCNC(=O)C1(C2CC2)CC1 ZINC001350184325 832128845 /nfs/dbraw/zinc/12/88/45/832128845.db2.gz LVRRMLMFZHJJLT-UHFFFAOYSA-N -1 1 348.403 -0.261 20 0 EBADMM O=C(CN1CCOCC1)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001164160312 832173518 /nfs/dbraw/zinc/17/35/18/832173518.db2.gz RWTPRMRFZQIBTQ-UHFFFAOYSA-N -1 1 338.412 -0.781 20 0 EBADMM C[C@@H](CC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)NC(N)=O ZINC001164524528 832194264 /nfs/dbraw/zinc/19/42/64/832194264.db2.gz SORSOHCTKBFJNG-VIFPVBQESA-N -1 1 339.400 -0.667 20 0 EBADMM CCNC(=O)CC(=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001350789850 832194340 /nfs/dbraw/zinc/19/43/40/832194340.db2.gz WKECVPOURZBNIP-UHFFFAOYSA-N -1 1 334.376 -0.012 20 0 EBADMM Cn1cnc(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)cc1=O ZINC001165551270 832226229 /nfs/dbraw/zinc/22/62/29/832226229.db2.gz FZGGEQZKTKYEQN-UHFFFAOYSA-N -1 1 347.379 -0.706 20 0 EBADMM O=C(NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)[C@@H]1CCCNC1=O ZINC001165628533 832231424 /nfs/dbraw/zinc/23/14/24/832231424.db2.gz VEXKHKGXCIFSBU-SNVBAGLBSA-N -1 1 336.396 -0.587 20 0 EBADMM O=C(NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)[C@H]1CCCC(=O)N1 ZINC001165649614 832233584 /nfs/dbraw/zinc/23/35/84/832233584.db2.gz XHNDPYGFKXWDMA-SNVBAGLBSA-N -1 1 336.396 -0.445 20 0 EBADMM NC(=O)[C@@H]1CC[C@H]1C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001166567051 832271649 /nfs/dbraw/zinc/27/16/49/832271649.db2.gz YVZKNHQCYKEAOH-NXEZZACHSA-N -1 1 336.396 -0.602 20 0 EBADMM COCC(=O)NCCCN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CC1 ZINC001352407687 832326415 /nfs/dbraw/zinc/32/64/15/832326415.db2.gz CMCVANKYESRXQP-UHFFFAOYSA-N -1 1 348.363 -0.825 20 0 EBADMM C[C@@H](NCCCNC(=O)c1c[n-]n2c1nccc2=O)c1nnnn1C ZINC001167784542 832373490 /nfs/dbraw/zinc/37/34/90/832373490.db2.gz ZSWTYLZQLOMCPY-SECBINFHSA-N -1 1 345.367 -0.983 20 0 EBADMM C[C@H](NCCCNC(=O)c1c[n-]n2c1nccc2=O)c1nnnn1C ZINC001167784543 832373610 /nfs/dbraw/zinc/37/36/10/832373610.db2.gz ZSWTYLZQLOMCPY-VIFPVBQESA-N -1 1 345.367 -0.983 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H](CO)[C@@H](O)C1CCCCC1 ZINC001169415728 832461900 /nfs/dbraw/zinc/46/19/00/832461900.db2.gz PHFNIBZPOIXIFG-OCCSQVGLSA-N -1 1 339.392 -0.655 20 0 EBADMM CO[N-]C(=O)CNC(=O)C(=O)N[C@H]1CCN(Cc2ccccc2)C1 ZINC001354385057 832481967 /nfs/dbraw/zinc/48/19/67/832481967.db2.gz XIKVAMVEHZVZRC-ZDUSSCGKSA-N -1 1 334.376 -0.829 20 0 EBADMM CC(C)C(=O)N1CC[C@@H](CNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001354799615 832521701 /nfs/dbraw/zinc/52/17/01/832521701.db2.gz OQGRTAMSGCUUON-LBPRGKRZSA-N -1 1 336.392 -0.453 20 0 EBADMM O=c1nc2c(ncn2[C@@H]2O[C@H](CO)[C@@H](O)[C@H]2O)c(NC2=CCCC2)[n-]1 ZINC001173996673 832588623 /nfs/dbraw/zinc/58/86/23/832588623.db2.gz ADRYGQSAVVMNGW-IDTAVKCVSA-N -1 1 349.347 -0.377 20 0 EBADMM CC(=O)N[C@H](Cc1c[nH]cn1)C(=O)NCCCC[P@](=O)([O-])O ZINC001176380353 832671796 /nfs/dbraw/zinc/67/17/96/832671796.db2.gz QMDAXYHOGHALJG-LLVKDONJSA-N -1 1 332.297 -0.469 20 0 EBADMM CC(=O)N[C@H](Cc1cnc[nH]1)C(=O)NCCCC[P@@](=O)([O-])O ZINC001176380353 832671797 /nfs/dbraw/zinc/67/17/97/832671797.db2.gz QMDAXYHOGHALJG-LLVKDONJSA-N -1 1 332.297 -0.469 20 0 EBADMM CC(=O)N[C@H](Cc1cnc[nH]1)C(=O)NCCCC[P@](=O)([O-])O ZINC001176380353 832671801 /nfs/dbraw/zinc/67/18/01/832671801.db2.gz QMDAXYHOGHALJG-LLVKDONJSA-N -1 1 332.297 -0.469 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCO[C@@]2(CCCN(c3cnccn3)C2)C1 ZINC001176839798 832693720 /nfs/dbraw/zinc/69/37/20/832693720.db2.gz COSHAAOUJHYVMR-OAHLLOKOSA-N -1 1 344.379 -0.570 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCN(c2ccnc(N3CCCC3)n2)CC1 ZINC001176840336 832694479 /nfs/dbraw/zinc/69/44/79/832694479.db2.gz IQQYIBWVFMFNEM-UHFFFAOYSA-N -1 1 343.395 -0.519 20 0 EBADMM C[C@@H]1CCCN1C(=O)c1n[nH]c2c1CN(C(=O)Cc1nn[n-]n1)CC2 ZINC001176840960 832694741 /nfs/dbraw/zinc/69/47/41/832694741.db2.gz ZKHKRJPXKNANLJ-SECBINFHSA-N -1 1 344.379 -0.325 20 0 EBADMM COC[C@@H](NC(=O)CN1C(=O)c2ccc(C)cc2C1=O)c1nn[n-]n1 ZINC001177823822 832803154 /nfs/dbraw/zinc/80/31/54/832803154.db2.gz WBUHEKCPHQTUSQ-LLVKDONJSA-N -1 1 344.331 -0.392 20 0 EBADMM COC[C@H](NC(=O)Cn1cnc(-c2ccccc2)n1)c1nn[n-]n1 ZINC001177827780 832803658 /nfs/dbraw/zinc/80/36/58/832803658.db2.gz IWIXHGBUKSCQHA-NSHDSACASA-N -1 1 328.336 -0.038 20 0 EBADMM CO[C@@H]1CN(CCCF)C[C@H]1NC(=O)CCc1n[nH]c(=O)[n-]c1=O ZINC001212507783 837705698 /nfs/dbraw/zinc/70/56/98/837705698.db2.gz TWTYNYJYYJOXOG-GHMZBOCLSA-N -1 1 343.359 -0.610 20 0 EBADMM C[C@H](OCC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)c1nccn1C ZINC001187459926 833931129 /nfs/dbraw/zinc/93/11/29/833931129.db2.gz IZEHCOLLBPBWRU-WDEREUQCSA-N -1 1 349.395 -0.288 20 0 EBADMM O=C(CNC(=O)[C@@H]1CCC(=O)N1)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001187520581 833937155 /nfs/dbraw/zinc/93/71/55/833937155.db2.gz DKXCRSIENKDFNF-LBPRGKRZSA-N -1 1 343.343 -0.089 20 0 EBADMM CC(=O)NCCCCCC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001187644598 833950023 /nfs/dbraw/zinc/95/00/23/833950023.db2.gz YLLDBRNNTHHRBN-GFCCVEGCSA-N -1 1 338.412 -0.103 20 0 EBADMM COC(=O)[C@H](Cc1cncn1C)[N-]S(=O)(=O)c1nccs1 ZINC001187919114 833983823 /nfs/dbraw/zinc/98/38/23/833983823.db2.gz WVCHBYIODOIIOL-VIFPVBQESA-N -1 1 330.391 -0.061 20 0 EBADMM COC(=O)CCS(=O)(=O)[N-][C@@H](Cc1ccc(C)cn1)C(=O)OC ZINC001188420652 834046811 /nfs/dbraw/zinc/04/68/11/834046811.db2.gz NEAHJCPMLNMCKM-LBPRGKRZSA-N -1 1 344.389 -0.043 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)COCC(F)F)[C@@H]3C2)nc1=O ZINC001188651830 834070883 /nfs/dbraw/zinc/07/08/83/834070883.db2.gz KNGRVHBKPLJEHX-VHSXEESVSA-N -1 1 345.350 -0.577 20 0 EBADMM CN1C(=O)CN([N-]S(=O)(=O)N=[S@](C)(=O)c2ccccc2)C1=O ZINC001189087294 834122949 /nfs/dbraw/zinc/12/29/49/834122949.db2.gz IEZMIGLQIJQWSM-OAQYLSRUSA-N -1 1 346.390 -0.213 20 0 EBADMM CCO[C@H](CC)C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001189190609 834134415 /nfs/dbraw/zinc/13/44/15/834134415.db2.gz WTWDZDFGJNYHHE-VXGBXAGGSA-N -1 1 325.413 -0.044 20 0 EBADMM NC(=O)C([N-]S(=O)(=O)Cc1ccccc1C(F)(F)F)C(N)=O ZINC001189544608 834169448 /nfs/dbraw/zinc/16/94/48/834169448.db2.gz OYSZKKCGKDEPBJ-UHFFFAOYSA-N -1 1 339.295 -0.536 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)COC(C)(C)C)C1 ZINC001189752249 834186621 /nfs/dbraw/zinc/18/66/21/834186621.db2.gz NTINTYKXLCRDKQ-NSHDSACASA-N -1 1 325.413 -0.044 20 0 EBADMM CC[C@H](C)[C@H](NC(=O)Nc1c(O)[nH]c(=O)[n-]c1=S)C(=O)OC ZINC001190181728 834240749 /nfs/dbraw/zinc/24/07/49/834240749.db2.gz FSBKLXLKQXLMLD-ACZMJKKPSA-N -1 1 330.366 -0.591 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCNc2ncccn2)[n-]n1 ZINC001213624584 837824780 /nfs/dbraw/zinc/82/47/80/837824780.db2.gz WMRNKTVIGSVWTI-UHFFFAOYSA-N -1 1 326.338 -0.623 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCNc2ncccn2)n[n-]1 ZINC001213624584 837824789 /nfs/dbraw/zinc/82/47/89/837824789.db2.gz WMRNKTVIGSVWTI-UHFFFAOYSA-N -1 1 326.338 -0.623 20 0 EBADMM COCC[C@H](C)C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001194134476 835031853 /nfs/dbraw/zinc/03/18/53/835031853.db2.gz JCAWVEDKBVPVCV-NWDGAFQWSA-N -1 1 325.413 -0.186 20 0 EBADMM CC/C=C\CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001195204706 835197793 /nfs/dbraw/zinc/19/77/93/835197793.db2.gz HTLZNWNNOLJICL-DIABFLQPSA-N -1 1 336.392 -0.383 20 0 EBADMM CCC[C@@H](OC)C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001196731465 835455270 /nfs/dbraw/zinc/45/52/70/835455270.db2.gz DEAZJXQVYWWVHZ-GFCCVEGCSA-N -1 1 325.413 -0.042 20 0 EBADMM COC(=O)CC[C@H]([N-]S(=O)(=O)Cc1ccccn1)C(=O)OC ZINC001197839073 835615900 /nfs/dbraw/zinc/61/59/00/835615900.db2.gz ZKQZIWXFJSFRTG-NSHDSACASA-N -1 1 330.362 -0.004 20 0 EBADMM COCCOCCC(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001198352208 835671644 /nfs/dbraw/zinc/67/16/44/835671644.db2.gz XYQFGMZRTYWUJT-UHFFFAOYSA-N -1 1 341.412 -0.804 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)CC(C3CC3)C3CC3)C2)nc1=O ZINC001199180889 835776906 /nfs/dbraw/zinc/77/69/06/835776906.db2.gz IQBRJNOGWCTTIC-ZIAGYGMSSA-N -1 1 349.435 -0.404 20 0 EBADMM COC(=O)CNC(=O)C[N-]S(=O)(=O)c1sc(Cl)nc1C ZINC001201766829 836191132 /nfs/dbraw/zinc/19/11/32/836191132.db2.gz ISKPBVWHEGEFIY-UHFFFAOYSA-N -1 1 341.798 -0.328 20 0 EBADMM O=C(CCn1ccnn1)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202816013 836352944 /nfs/dbraw/zinc/35/29/44/836352944.db2.gz ZISJDCOPTPYWJD-WDEREUQCSA-N -1 1 346.395 -0.291 20 0 EBADMM C[C@H](OCC1CC1)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001206472975 836649890 /nfs/dbraw/zinc/64/98/90/836649890.db2.gz UNQFXNFGXPLIOV-NTZNESFSSA-N -1 1 337.424 -0.140 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CCOCC(F)F ZINC001206977920 836740401 /nfs/dbraw/zinc/74/04/01/836740401.db2.gz FDQVXFVNYSYWCY-NXEZZACHSA-N -1 1 347.366 -0.283 20 0 EBADMM CCOCC1(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)CC1 ZINC001207754590 836862442 /nfs/dbraw/zinc/86/24/42/836862442.db2.gz ZAYPSRUGWRQGCY-VXGBXAGGSA-N -1 1 337.424 -0.138 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)COc1cccnc1 ZINC001209499850 837171700 /nfs/dbraw/zinc/17/17/00/837171700.db2.gz YHGLXXSDLOQCOE-DGCLKSJQSA-N -1 1 346.391 -0.481 20 0 EBADMM CN(C)C(=O)C1(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001210604657 837306378 /nfs/dbraw/zinc/30/63/78/837306378.db2.gz VLFJTFUXJZZOJQ-SNVBAGLBSA-N -1 1 336.396 -0.541 20 0 EBADMM CN(C)C(=O)CCCC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210729217 837325670 /nfs/dbraw/zinc/32/56/70/837325670.db2.gz UZEJDTPEYBVMTB-LLVKDONJSA-N -1 1 338.412 -0.151 20 0 EBADMM Cn1ncc(C(=O)N2CCC[C@@H](CCNCc3n[nH]c(=O)[n-]3)C2)c1N ZINC001318787472 838033233 /nfs/dbraw/zinc/03/32/33/838033233.db2.gz FVVLOLICXFKUSQ-JTQLQIEISA-N -1 1 348.411 -0.142 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H](c1nc[nH]n1)c1ccccc1 ZINC001320249863 838729185 /nfs/dbraw/zinc/72/91/85/838729185.db2.gz MAHHQBAZBUKSET-ZDUSSCGKSA-N -1 1 340.343 -0.049 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H](CCO)C1CCOCC1 ZINC001320358049 838759976 /nfs/dbraw/zinc/75/99/76/838759976.db2.gz MCFUQQMHLLWUAH-LBPRGKRZSA-N -1 1 325.365 -0.780 20 0 EBADMM CCS(=O)(=O)[N-]c1ccc(N2C(=O)N[C@@H](CO)C2=O)cc1OC ZINC001320983239 838874898 /nfs/dbraw/zinc/87/48/98/838874898.db2.gz YRVDFDWPQAUYMF-JTQLQIEISA-N -1 1 343.361 -0.126 20 0 EBADMM CCOCCS(=O)(=O)[N-]C1(C(=O)OC)CCS(=O)(=O)CC1 ZINC001321360060 838970948 /nfs/dbraw/zinc/97/09/48/838970948.db2.gz ZHBXVVOFUNIKCZ-UHFFFAOYSA-N -1 1 343.423 -0.937 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1cnn(-c2cccnc2)c1 ZINC001321542308 839014718 /nfs/dbraw/zinc/01/47/18/839014718.db2.gz TVBJNSLJYQFBBN-SNVBAGLBSA-N -1 1 342.363 -0.001 20 0 EBADMM C[C@@H]1CN(c2ccc(NC(=O)C(=O)NCc3nn[n-]n3)cc2)CCO1 ZINC001321982452 839117036 /nfs/dbraw/zinc/11/70/36/839117036.db2.gz AKUUHTRVUWYPGG-SNVBAGLBSA-N -1 1 345.363 -0.320 20 0 EBADMM O=C(N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)c1cnn2cccnc12 ZINC001323049029 839334746 /nfs/dbraw/zinc/33/47/46/839334746.db2.gz CDXMQKZDHQKCBL-LLVKDONJSA-N -1 1 342.363 -0.149 20 0 EBADMM Cc1oncc1CN1CC[C@@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001323320786 839412887 /nfs/dbraw/zinc/41/28/87/839412887.db2.gz WWBGBONFTUAPEZ-CYBMUJFWSA-N -1 1 347.375 -0.386 20 0 EBADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)NCCn1cnnn1 ZINC001323381239 839429803 /nfs/dbraw/zinc/42/98/03/839429803.db2.gz RKIVORKTINKLJG-UHFFFAOYSA-N -1 1 340.347 -0.102 20 0 EBADMM CCn1cc(CNC(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)cn1 ZINC001323788037 839526922 /nfs/dbraw/zinc/52/69/22/839526922.db2.gz PMFWGNKFTQJFFM-UHFFFAOYSA-N -1 1 327.348 -0.187 20 0 EBADMM C[C@H](CN(C)C(=O)c1ccc(=O)n(-c2cnn(C)c2)c1)c1nn[n-]n1 ZINC001324135954 839596811 /nfs/dbraw/zinc/59/68/11/839596811.db2.gz IWTZPMCXISHMGD-SNVBAGLBSA-N -1 1 342.363 -0.040 20 0 EBADMM Cc1cc(=O)n2[n-]c(NC(=O)c3cccc(-n4cnnn4)c3)nc2n1 ZINC001324294883 839624543 /nfs/dbraw/zinc/62/45/43/839624543.db2.gz HPBXGIXIRJXFSO-UHFFFAOYSA-N -1 1 337.303 -0.046 20 0 EBADMM O=C(N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CCCC1)[C@@H]1CCNC1=O ZINC001324495966 839666463 /nfs/dbraw/zinc/66/64/63/839666463.db2.gz RXGZIKNBLPTKAZ-GHMZBOCLSA-N -1 1 336.396 -0.589 20 0 EBADMM CCOCc1nc([C@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)no1 ZINC001324801355 839716259 /nfs/dbraw/zinc/71/62/59/839716259.db2.gz DORHBZKEIDNRMJ-VIFPVBQESA-N -1 1 337.336 -0.276 20 0 EBADMM CN(CCN1CCCC1=O)C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001324809336 839717585 /nfs/dbraw/zinc/71/75/85/839717585.db2.gz BHGZUZDENZMRLT-UHFFFAOYSA-N -1 1 344.375 -0.639 20 0 EBADMM O=C(Nc1ccnn1-c1cccc(F)c1)C(=O)NN1CC(=O)[N-]C1=O ZINC001324879029 839728869 /nfs/dbraw/zinc/72/88/69/839728869.db2.gz SOEYTQJCRDJZRX-UHFFFAOYSA-N -1 1 346.278 -0.467 20 0 EBADMM Cn1[n-]c(CN2CC[C@](O)(CNC(=O)C[C@@H]3C=CCCC3)C2)nc1=O ZINC001325102841 839783093 /nfs/dbraw/zinc/78/30/93/839783093.db2.gz VXUJIBAPKROTSY-DYVFJYSZSA-N -1 1 349.435 -0.092 20 0 EBADMM Cn1cc(C(=O)N2CC[C@H](CCNCc3n[nH]c(=O)[n-]3)C2)ccc1=O ZINC001326301408 840083449 /nfs/dbraw/zinc/08/34/49/840083449.db2.gz RTNRVWLVUYJLNG-NSHDSACASA-N -1 1 346.391 -0.149 20 0 EBADMM COCC1(CNC(=O)CCn2cc[n-]c(=O)c2=O)CCOCC1 ZINC001326339398 840088042 /nfs/dbraw/zinc/08/80/42/840088042.db2.gz DGSTZHXZSWETSD-UHFFFAOYSA-N -1 1 325.365 -0.514 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCC[C@](C)(CO)C3)ccnc1-2 ZINC001326775504 840196275 /nfs/dbraw/zinc/19/62/75/840196275.db2.gz IXOVSPYMSSAJFG-INIZCTEOSA-N -1 1 331.376 -0.099 20 0 EBADMM COC1(CNC(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)CCOCC1 ZINC001326774646 840196314 /nfs/dbraw/zinc/19/63/14/840196314.db2.gz AMPCGYHXZRXCAS-UHFFFAOYSA-N -1 1 347.375 -0.408 20 0 EBADMM Cn1[n-]c(CN2CCC(CO)(NC(=O)C=C3CCC3)CC2)nc1=O ZINC001328518610 840694169 /nfs/dbraw/zinc/69/41/69/840694169.db2.gz AHWIRTXAODFASC-UHFFFAOYSA-N -1 1 335.408 -0.338 20 0 EBADMM CC1(C)Oc2ccc(NC(=O)C(=O)NN3CC(=O)[N-]C3=O)cc2O1 ZINC001329109763 840843832 /nfs/dbraw/zinc/84/38/32/840843832.db2.gz KRVAHJDWEHQCEP-UHFFFAOYSA-N -1 1 334.288 -0.285 20 0 EBADMM COC(=O)c1ccc(NC(=O)C(=O)NN2CC(=O)[N-]C2=O)c(C)c1 ZINC001329110414 840844553 /nfs/dbraw/zinc/84/45/53/840844553.db2.gz NGKOCZRKJAQHQA-UHFFFAOYSA-N -1 1 334.288 -0.697 20 0 EBADMM Cc1cc(Cn2nnc(C(=O)OCc3nc(=O)n(C)[n-]3)c2C)on1 ZINC001330212120 841145903 /nfs/dbraw/zinc/14/59/03/841145903.db2.gz LLGYWFVDIDQGDJ-UHFFFAOYSA-N -1 1 333.308 -0.290 20 0 EBADMM CN(CCOCCNC(=O)[C@@H]1CCCCN1C)Cc1n[nH]c(=O)[n-]1 ZINC001331146181 841355207 /nfs/dbraw/zinc/35/52/07/841355207.db2.gz VCEYXCZMFWYHLO-LBPRGKRZSA-N -1 1 340.428 -0.441 20 0 EBADMM CCc1cc(=O)n2[n-]c(NC(=O)CCSc3nnnn3C)nc2n1 ZINC001331211189 841370858 /nfs/dbraw/zinc/37/08/58/841370858.db2.gz RBAWBRRSRGNZTI-UHFFFAOYSA-N -1 1 349.380 -0.376 20 0 EBADMM O=C([O-])c1cccc(N2C(=O)C[C@@H](NCCn3ncnn3)C2=O)c1 ZINC001332742590 841726737 /nfs/dbraw/zinc/72/67/37/841726737.db2.gz HVCCSZUDQOPMDI-LLVKDONJSA-N -1 1 330.304 -0.707 20 0 EBADMM Cc1cnn(CC(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)c1 ZINC001333303704 841846250 /nfs/dbraw/zinc/84/62/50/841846250.db2.gz GZBFCKRAVBXUSW-UHFFFAOYSA-N -1 1 345.407 -0.438 20 0 EBADMM C[C@@H](CNC(=O)c1ccon1)N(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001334163967 842004933 /nfs/dbraw/zinc/00/49/33/842004933.db2.gz PLEAMPDKZJCLFD-QMMMGPOBSA-N -1 1 345.319 -0.704 20 0 EBADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)C1CC(=O)NC(=O)C1 ZINC001337133138 842579579 /nfs/dbraw/zinc/57/95/79/842579579.db2.gz CPPCXURUJZWYCI-SECBINFHSA-N -1 1 348.359 -0.583 20 0 EBADMM O=C(N[C@H](CO)CNC(=O)c1cccc2cccnc21)c1cnn[nH]1 ZINC001337720055 842677075 /nfs/dbraw/zinc/67/70/75/842677075.db2.gz UPVIGSXLDZBWMM-NSHDSACASA-N -1 1 340.343 -0.126 20 0 EBADMM O=C([O-])COCCOCCNC(=O)N1CCN(CC2CC2)CC1 ZINC001340572725 843011834 /nfs/dbraw/zinc/01/18/34/843011834.db2.gz WKAMCJQLVSBUBA-UHFFFAOYSA-N -1 1 329.397 -0.159 20 0 EBADMM CO[C@]1(C(F)(F)F)CCN(C(=O)[C@]2(C(=O)[O-])CNCCO2)C1 ZINC001340949877 843046822 /nfs/dbraw/zinc/04/68/22/843046822.db2.gz BZRJSCIVTKMFEW-MNOVXSKESA-N -1 1 326.271 -0.391 20 0 EBADMM CO[N-]C(=O)CNC(=O)C(=O)N[C@H]1CN(Cc2ccccc2)C[C@@H]1C ZINC001341157285 843058871 /nfs/dbraw/zinc/05/88/71/843058871.db2.gz XKAVWUDLRMRFMW-JSGCOSHPSA-N -1 1 348.403 -0.583 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@]1(C(F)(F)F)CCCN1 ZINC001341204335 843064815 /nfs/dbraw/zinc/06/48/15/843064815.db2.gz WNLRESGWBNVPOA-LBPRGKRZSA-N -1 1 334.298 -0.273 20 0 EBADMM Cc1ccn(CC(=O)OCCc2c(C)nc3nc[n-]n3c2=O)c(=O)c1 ZINC001342675844 843173821 /nfs/dbraw/zinc/17/38/21/843173821.db2.gz SBYZPCGCXHSHRT-UHFFFAOYSA-N -1 1 343.343 -0.018 20 0 EBADMM O=C(CCCN1C(=O)CNC1=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC001343005293 843191804 /nfs/dbraw/zinc/19/18/04/843191804.db2.gz PUFALQPAHJQFQN-MRVPVSSYSA-N -1 1 339.381 -0.852 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNC(=O)c1cccc(F)c1 ZINC001348378663 843690532 /nfs/dbraw/zinc/69/05/32/843690532.db2.gz AHMOIQFNFDLACK-UHFFFAOYSA-N -1 1 348.334 -0.388 20 0 EBADMM O=C(NCCNC(=O)c1cc(=O)n2[n-]cnc2n1)c1cccs1 ZINC001348378791 843690677 /nfs/dbraw/zinc/69/06/77/843690677.db2.gz FELXNDAWOZXHPL-UHFFFAOYSA-N -1 1 332.345 -0.361 20 0 EBADMM CC(=O)N1CCN([C@H]2CCN(c3cnc(-c4nn[n-]n4)cn3)C2)CC1 ZINC001573451443 945838707 /nfs/dbraw/zinc/83/87/07/945838707.db2.gz WLVNBPOQINZUTG-LBPRGKRZSA-N -1 1 343.395 -0.601 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)[C@H](C)CNc1cncc(-c2nnn[n-]2)n1 ZINC001573469776 946011801 /nfs/dbraw/zinc/01/18/01/946011801.db2.gz XJGLRSZGNIMVDJ-RKDXNWHRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)[C@H](C)CNc1cncc(-c2nn[n-]n2)n1 ZINC001573469776 946011812 /nfs/dbraw/zinc/01/18/12/946011812.db2.gz XJGLRSZGNIMVDJ-RKDXNWHRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@@H](C)CNc1cncc(-c2nnn[n-]2)n1 ZINC001573475952 946108971 /nfs/dbraw/zinc/10/89/71/946108971.db2.gz BMEQJFAFUCYLPK-DTWKUNHWSA-N -1 1 347.383 -0.655 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@@H](C)CNc1cncc(-c2nn[n-]n2)n1 ZINC001573475952 946108973 /nfs/dbraw/zinc/10/89/73/946108973.db2.gz BMEQJFAFUCYLPK-DTWKUNHWSA-N -1 1 347.383 -0.655 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@H](C)CNc1cncc(-c2nnn[n-]2)n1 ZINC001573475954 946109600 /nfs/dbraw/zinc/10/96/00/946109600.db2.gz BMEQJFAFUCYLPK-RKDXNWHRSA-N -1 1 347.383 -0.655 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@H](C)CNc1cncc(-c2nn[n-]n2)n1 ZINC001573475954 946109603 /nfs/dbraw/zinc/10/96/03/946109603.db2.gz BMEQJFAFUCYLPK-RKDXNWHRSA-N -1 1 347.383 -0.655 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NCC[C@H](C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001573482022 946190071 /nfs/dbraw/zinc/19/00/71/946190071.db2.gz SYNUFKDCWGNZMG-DTWKUNHWSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NCC[C@H](C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001573482022 946190076 /nfs/dbraw/zinc/19/00/76/946190076.db2.gz SYNUFKDCWGNZMG-DTWKUNHWSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@H]1C[C@H](Nc2cncc(-c3nnn[n-]3)n2)C1 ZINC001573486943 946245363 /nfs/dbraw/zinc/24/53/63/946245363.db2.gz JKQJRKMXRXZEOV-HGNGGELXSA-N -1 1 345.367 -0.760 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@H]1C[C@H](Nc2cncc(-c3nn[n-]n3)n2)C1 ZINC001573486943 946245372 /nfs/dbraw/zinc/24/53/72/946245372.db2.gz JKQJRKMXRXZEOV-HGNGGELXSA-N -1 1 345.367 -0.760 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)C[C@H](C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001573510831 946481784 /nfs/dbraw/zinc/48/17/84/946481784.db2.gz LGKLYQJNHSZXLM-IUCAKERBSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)C[C@H](C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001573510831 946481790 /nfs/dbraw/zinc/48/17/90/946481790.db2.gz LGKLYQJNHSZXLM-IUCAKERBSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NCC(=O)NC1CC(CNc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001573512831 946505832 /nfs/dbraw/zinc/50/58/32/946505832.db2.gz IMCWYRLTVQCFMV-UHFFFAOYSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)NC1CC(CNc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001573512831 946505840 /nfs/dbraw/zinc/50/58/40/946505840.db2.gz IMCWYRLTVQCFMV-UHFFFAOYSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)NC[C@@H](Nc1cncc(-c2nnn[n-]2)n1)C1CC1 ZINC001573517445 946574273 /nfs/dbraw/zinc/57/42/73/946574273.db2.gz KDIJQEIOKYIEHF-SNVBAGLBSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)NC[C@@H](Nc1cncc(-c2nn[n-]n2)n1)C1CC1 ZINC001573517445 946574278 /nfs/dbraw/zinc/57/42/78/946574278.db2.gz KDIJQEIOKYIEHF-SNVBAGLBSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@@H](C(=O)N(C)CCNc1ccnc(-c2nn[n-]n2)n1)[C@H]1CCCO1 ZINC001573604547 947512794 /nfs/dbraw/zinc/51/27/94/947512794.db2.gz OSDKLRFHCBTLRE-GHMZBOCLSA-N -1 1 346.395 -0.236 20 0 EBADMM C[C@@H](C(=O)N1C2CCC1(C(N)=O)CC2)n1cnc(-c2nn[n-]n2)n1 ZINC001573608322 947560830 /nfs/dbraw/zinc/56/08/30/947560830.db2.gz YGLNWBOMGJOFLT-DWHFKYGWSA-N -1 1 331.340 -0.972 20 0 EBADMM C[C@@H](C(=O)N1CC[C@H](c2n[nH]cc2N)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573622480 947680945 /nfs/dbraw/zinc/68/09/45/947680945.db2.gz ACRBNDNFQDQUAR-YUMQZZPRSA-N -1 1 343.355 -0.659 20 0 EBADMM C[C@@H](C(=O)N1CCC[C@H](c2ncon2)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573628826 947765073 /nfs/dbraw/zinc/76/50/73/947765073.db2.gz OHTQLBJBWTUJNJ-IUCAKERBSA-N -1 1 344.339 -0.192 20 0 EBADMM CC(=O)CCCC(=O)NC[C@@H](O)CNc1nccnc1-c1nnn[n-]1 ZINC001573381121 947868621 /nfs/dbraw/zinc/86/86/21/947868621.db2.gz OGFUDOBTIRSNTD-SNVBAGLBSA-N -1 1 348.367 -0.695 20 0 EBADMM CC(=O)CCCC(=O)NC[C@@H](O)CNc1nccnc1-c1nn[n-]n1 ZINC001573381121 947868626 /nfs/dbraw/zinc/86/86/26/947868626.db2.gz OGFUDOBTIRSNTD-SNVBAGLBSA-N -1 1 348.367 -0.695 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)CCNc1cncc(-c2nnn[n-]2)n1 ZINC001573388071 947915269 /nfs/dbraw/zinc/91/52/69/947915269.db2.gz HNKZPEJAEJOCHW-UHFFFAOYSA-N -1 1 333.356 -0.995 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)CCNc1cncc(-c2nn[n-]n2)n1 ZINC001573388071 947915276 /nfs/dbraw/zinc/91/52/76/947915276.db2.gz HNKZPEJAEJOCHW-UHFFFAOYSA-N -1 1 333.356 -0.995 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)CCNc1cnc(-c2nnn[n-]2)cn1 ZINC001573389057 947922552 /nfs/dbraw/zinc/92/25/52/947922552.db2.gz PJAFTCFOUCRKMP-UHFFFAOYSA-N -1 1 333.356 -0.995 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)CCNc1cnc(-c2nn[n-]n2)cn1 ZINC001573389057 947922556 /nfs/dbraw/zinc/92/25/56/947922556.db2.gz PJAFTCFOUCRKMP-UHFFFAOYSA-N -1 1 333.356 -0.995 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)C[C@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001573390074 947928230 /nfs/dbraw/zinc/92/82/30/947928230.db2.gz VZZSAAVJEVIBCJ-VIFPVBQESA-N -1 1 347.383 -0.606 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)C[C@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001573390074 947928236 /nfs/dbraw/zinc/92/82/36/947928236.db2.gz VZZSAAVJEVIBCJ-VIFPVBQESA-N -1 1 347.383 -0.606 20 0 EBADMM C[C@@H](C(=O)N[C@H](CCC(C)(C)C)C(N)=O)n1cnc(-c2nn[n-]n2)n1 ZINC001573653971 947961857 /nfs/dbraw/zinc/96/18/57/947961857.db2.gz OWUHUZZXJPHIEK-DTWKUNHWSA-N -1 1 349.399 -0.184 20 0 EBADMM C[C@@H](C(=O)N[C@H](CO)Cc1cncs1)n1cnc(-c2nn[n-]n2)n1 ZINC001573657018 947996014 /nfs/dbraw/zinc/99/60/14/947996014.db2.gz JNOPGRKLTALXAK-YUMQZZPRSA-N -1 1 349.380 -0.805 20 0 EBADMM C[C@@H](C(=O)NC1(c2ncon2)CCC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573663488 948037288 /nfs/dbraw/zinc/03/72/88/948037288.db2.gz MUVKYRMGJZMGAD-ZETCQYMHSA-N -1 1 330.312 -0.402 20 0 EBADMM CC(=O)N1CC(C(=O)N(C)CCNc2nccnc2-c2nnn[n-]2)C1 ZINC001573415117 948101251 /nfs/dbraw/zinc/10/12/51/948101251.db2.gz SWWMHDPABJQOGZ-UHFFFAOYSA-N -1 1 345.367 -0.995 20 0 EBADMM CC(=O)N1CC(C(=O)N(C)CCNc2nccnc2-c2nn[n-]n2)C1 ZINC001573415117 948101255 /nfs/dbraw/zinc/10/12/55/948101255.db2.gz SWWMHDPABJQOGZ-UHFFFAOYSA-N -1 1 345.367 -0.995 20 0 EBADMM CC(=O)N1CC(C(=O)NC[C@@H](C)Nc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001573419600 948123061 /nfs/dbraw/zinc/12/30/61/948123061.db2.gz NDDOGXMNMXQIIR-MRVPVSSYSA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)N1CC(C(=O)NC[C@@H](C)Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001573419600 948123066 /nfs/dbraw/zinc/12/30/66/948123066.db2.gz NDDOGXMNMXQIIR-MRVPVSSYSA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)N1C[C@H](C)O[C@]2(CCN(c3ccnc(-c4nn[n-]n4)n3)C2)C1 ZINC001573426611 948158949 /nfs/dbraw/zinc/15/89/49/948158949.db2.gz MVRBKIMNMZUQPI-BONVTDFDSA-N -1 1 344.379 -0.127 20 0 EBADMM C[C@H](C(=O)NC[C@@H](CO)Nc1ccc(-c2nnn[n-]2)nn1)C1CCC1 ZINC001573681911 948217532 /nfs/dbraw/zinc/21/75/32/948217532.db2.gz YTAJFANFGOQKPJ-ONGXEEELSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](C(=O)NC[C@@H](CO)Nc1ccc(-c2nn[n-]n2)nn1)C1CCC1 ZINC001573681911 948217538 /nfs/dbraw/zinc/21/75/38/948217538.db2.gz YTAJFANFGOQKPJ-ONGXEEELSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](C(=O)NC[C@@H]1CC(=O)N(C2CC2)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573693712 948285581 /nfs/dbraw/zinc/28/55/81/948285581.db2.gz AWPBSWCDLYFVOR-BDAKNGLRSA-N -1 1 345.367 -0.854 20 0 EBADMM C[C@H](C(=O)NC[C@H](O)CNc1ccc(-c2nnn[n-]2)nn1)C1CCC1 ZINC001573693873 948289892 /nfs/dbraw/zinc/28/98/92/948289892.db2.gz UVIWTWKMRZTZQP-GXSJLCMTSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](C(=O)NC[C@H](O)CNc1ccc(-c2nn[n-]n2)nn1)C1CCC1 ZINC001573693873 948289902 /nfs/dbraw/zinc/28/99/02/948289902.db2.gz UVIWTWKMRZTZQP-GXSJLCMTSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](C(=O)NC[C@H](O)CNc1cnc(-c2nnn[n-]2)cn1)C1CCC1 ZINC001573694419 948294522 /nfs/dbraw/zinc/29/45/22/948294522.db2.gz VJUPVRYALWNNFX-GXSJLCMTSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](C(=O)NC[C@H](O)CNc1cnc(-c2nn[n-]n2)cn1)C1CCC1 ZINC001573694419 948294529 /nfs/dbraw/zinc/29/45/29/948294529.db2.gz VJUPVRYALWNNFX-GXSJLCMTSA-N -1 1 346.395 -0.018 20 0 EBADMM CC(=O)N1CCC[C@@](CO)(Nc2nc(C)cc(-c3nnn[n-]3)n2)C1 ZINC001573444646 948317808 /nfs/dbraw/zinc/31/78/08/948317808.db2.gz VEHHWCFDECWTEH-CQSZACIVSA-N -1 1 332.368 -0.250 20 0 EBADMM CC(=O)N1CCC[C@@](CO)(Nc2nc(C)cc(-c3nn[n-]n3)n2)C1 ZINC001573444646 948317815 /nfs/dbraw/zinc/31/78/15/948317815.db2.gz VEHHWCFDECWTEH-CQSZACIVSA-N -1 1 332.368 -0.250 20 0 EBADMM C[C@H](C(=O)NCC[C@H](O)C(F)(F)F)n1cnc(-c2nn[n-]n2)n1 ZINC001573708739 948458177 /nfs/dbraw/zinc/45/81/77/948458177.db2.gz XUSKOSRRUYKUOU-RITPCOANSA-N -1 1 334.262 -0.551 20 0 EBADMM C[C@@H](C(=O)NC[C@H]1CC[C@H](C(N)=O)CC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573725922 948546237 /nfs/dbraw/zinc/54/62/37/948546237.db2.gz CVGQLERHXPOTQI-GUBZILKMSA-N -1 1 347.383 -0.573 20 0 EBADMM COc1ncc(NC(=O)[C@H](C)S(C)(=O)=O)cc1-c1nn[n-]n1 ZINC001570925713 948675049 /nfs/dbraw/zinc/67/50/49/948675049.db2.gz NYXDHLLZUDBBNA-LURJTMIESA-N -1 1 326.338 -0.358 20 0 EBADMM COC(=O)[C@@H](F)CNC(=O)NCc1nc(-c2nnn[n-]2)cs1 ZINC001570925788 948676715 /nfs/dbraw/zinc/67/67/15/948676715.db2.gz HYHDHFVFRZFNEE-YFKPBYRVSA-N -1 1 329.317 -0.367 20 0 EBADMM COC(=O)[C@@H](F)CNC(=O)NCc1nc(-c2nn[n-]n2)cs1 ZINC001570925788 948676720 /nfs/dbraw/zinc/67/67/20/948676720.db2.gz HYHDHFVFRZFNEE-YFKPBYRVSA-N -1 1 329.317 -0.367 20 0 EBADMM O=C(c1cccc(-c2nnn[n-]2)n1)N1CC[C@H]2COC(=O)N[C@@H]2C1 ZINC001570926378 948689170 /nfs/dbraw/zinc/68/91/70/948689170.db2.gz VXAVRXMQMPTCPP-GZMMTYOYSA-N -1 1 329.320 -0.168 20 0 EBADMM O=C(c1cccc(-c2nn[n-]n2)n1)N1CC[C@H]2COC(=O)N[C@@H]2C1 ZINC001570926378 948689175 /nfs/dbraw/zinc/68/91/75/948689175.db2.gz VXAVRXMQMPTCPP-GZMMTYOYSA-N -1 1 329.320 -0.168 20 0 EBADMM COC(=O)c1cc(C2CN(c3cncc(-c4nnn[n-]4)n3)C2)[nH]n1 ZINC001570926938 948700674 /nfs/dbraw/zinc/70/06/74/948700674.db2.gz IICSMYHEJHFRHY-UHFFFAOYSA-N -1 1 327.308 -0.230 20 0 EBADMM COC(=O)c1cc(C2CN(c3cncc(-c4nn[n-]n4)n3)C2)[nH]n1 ZINC001570926938 948700679 /nfs/dbraw/zinc/70/06/79/948700679.db2.gz IICSMYHEJHFRHY-UHFFFAOYSA-N -1 1 327.308 -0.230 20 0 EBADMM O=C(c1[nH]c2ccccc2c1-c1nn[n-]n1)N1C[C@H](O)C[C@H](O)C1 ZINC001570927661 948716259 /nfs/dbraw/zinc/71/62/59/948716259.db2.gz WMUXWSVRMNAPGG-DTORHVGOSA-N -1 1 328.332 -0.084 20 0 EBADMM O=C(Cn1cc(-c2nn[n-]n2)nn1)NC(=O)NCc1ccccc1 ZINC001570928207 948728513 /nfs/dbraw/zinc/72/85/13/948728513.db2.gz USZUXSGBCQRBHD-UHFFFAOYSA-N -1 1 327.308 -0.516 20 0 EBADMM O=C(NCCn1cnc(-c2nn[n-]n2)n1)C1SCCCS1 ZINC001570928396 948731794 /nfs/dbraw/zinc/73/17/94/948731794.db2.gz WXVGFTQALGYDIZ-UHFFFAOYSA-N -1 1 326.411 -0.229 20 0 EBADMM O=C(N[C@H]1C[C@H](Nc2cncc(-c3nnn[n-]3)n2)C1)[C@@H]1CCOC1 ZINC001570928359 948732295 /nfs/dbraw/zinc/73/22/95/948732295.db2.gz PGOIFIHHGQOZNH-OPRDCNLKSA-N -1 1 330.352 -0.248 20 0 EBADMM O=C(N[C@H]1C[C@H](Nc2cncc(-c3nn[n-]n3)n2)C1)[C@@H]1CCOC1 ZINC001570928359 948732301 /nfs/dbraw/zinc/73/23/01/948732301.db2.gz PGOIFIHHGQOZNH-OPRDCNLKSA-N -1 1 330.352 -0.248 20 0 EBADMM CSc1nccnc1C(=O)NCCn1cnc(-c2nn[n-]n2)n1 ZINC001570928599 948737529 /nfs/dbraw/zinc/73/75/29/948737529.db2.gz HZZAVOWVNULHQU-UHFFFAOYSA-N -1 1 332.353 -0.600 20 0 EBADMM COc1ncc(NC(=O)N2CC(C)(C(N)=O)C2)cc1-c1nn[n-]n1 ZINC001570928841 948743598 /nfs/dbraw/zinc/74/35/98/948743598.db2.gz KFLXMYKBIFPNPX-UHFFFAOYSA-N -1 1 332.324 -0.391 20 0 EBADMM CCn1nc(C)c(CNc2[nH]c(=O)n(C)c(=O)c2-c2nn[n-]n2)n1 ZINC001570929033 948751183 /nfs/dbraw/zinc/75/11/83/948751183.db2.gz MWONPTKDPRDPTB-UHFFFAOYSA-N -1 1 332.328 -0.802 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)[C@@H]1CCOC1 ZINC001574679460 948782099 /nfs/dbraw/zinc/78/20/99/948782099.db2.gz VSPJAARNOMCXJN-VHSXEESVSA-N -1 1 332.368 0.000 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)[C@@H]1CCOC1 ZINC001574679460 948782150 /nfs/dbraw/zinc/78/21/50/948782150.db2.gz VSPJAARNOMCXJN-VHSXEESVSA-N -1 1 332.368 0.000 20 0 EBADMM C[C@@H](CCNc1cnc(-c2nnn[n-]2)cn1)NC(=O)Cn1cncn1 ZINC001574679561 948788226 /nfs/dbraw/zinc/78/82/26/948788226.db2.gz YCQWFGWQBDPXMH-VIFPVBQESA-N -1 1 343.355 -0.745 20 0 EBADMM C[C@@H](CCNc1cnc(-c2nn[n-]n2)cn1)NC(=O)Cn1cncn1 ZINC001574679561 948788240 /nfs/dbraw/zinc/78/82/40/948788240.db2.gz YCQWFGWQBDPXMH-VIFPVBQESA-N -1 1 343.355 -0.745 20 0 EBADMM C[C@@H](C(=O)Nc1cc2n(n1)CCN(C)C2)n1cnc(-c2nn[n-]n2)n1 ZINC001573756030 948792432 /nfs/dbraw/zinc/79/24/32/948792432.db2.gz LKRJPULTZUHTAO-QMMMGPOBSA-N -1 1 343.355 -0.700 20 0 EBADMM O=C(Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)N[C@@H]1CC[C@H]2C[C@H]2C1 ZINC001570930001 948795944 /nfs/dbraw/zinc/79/59/44/948795944.db2.gz XZVJIGKHLJSIHH-XHNCKOQMSA-N -1 1 331.336 -0.566 20 0 EBADMM CC(C)[C@@H](O)C(=O)N1CC[C@@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570930092 948797528 /nfs/dbraw/zinc/79/75/28/948797528.db2.gz BNMQLARFDUHXJJ-MWLCHTKSSA-N -1 1 332.368 -0.892 20 0 EBADMM C[C@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)c1cn(C)nn1 ZINC001574680142 948801791 /nfs/dbraw/zinc/80/17/91/948801791.db2.gz FDCBGQOYDAJZNJ-MRVPVSSYSA-N -1 1 343.355 -0.594 20 0 EBADMM C[C@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)c1cn(C)nn1 ZINC001574680142 948801809 /nfs/dbraw/zinc/80/18/09/948801809.db2.gz FDCBGQOYDAJZNJ-MRVPVSSYSA-N -1 1 343.355 -0.594 20 0 EBADMM CO[C@H](C)C(=O)N1CC[C@@H](N(C)c2cncc(-c3nnn[n-]3)n2)C1 ZINC001570930672 948824652 /nfs/dbraw/zinc/82/46/52/948824652.db2.gz OJOFICOEMDTUFJ-NXEZZACHSA-N -1 1 332.368 -0.271 20 0 EBADMM CO[C@H](C)C(=O)N1CC[C@@H](N(C)c2cncc(-c3nn[n-]n3)n2)C1 ZINC001570930672 948824671 /nfs/dbraw/zinc/82/46/71/948824671.db2.gz OJOFICOEMDTUFJ-NXEZZACHSA-N -1 1 332.368 -0.271 20 0 EBADMM CCc1nc(-c2nnn[n-]2)cc(N2C[C@@H](NC(C)=O)[C@H](OC)C2)n1 ZINC001570930974 948829708 /nfs/dbraw/zinc/82/97/08/948829708.db2.gz RFRQAWXRFXTJDI-GHMZBOCLSA-N -1 1 332.368 -0.441 20 0 EBADMM CCc1nc(-c2nn[n-]n2)cc(N2C[C@@H](NC(C)=O)[C@H](OC)C2)n1 ZINC001570930974 948829723 /nfs/dbraw/zinc/82/97/23/948829723.db2.gz RFRQAWXRFXTJDI-GHMZBOCLSA-N -1 1 332.368 -0.441 20 0 EBADMM CO[C@H](C)C(=O)N1CCC[C@@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570931096 948837900 /nfs/dbraw/zinc/83/79/00/948837900.db2.gz RUGPVHYEELRGRX-NXEZZACHSA-N -1 1 332.368 -0.484 20 0 EBADMM CC(F)(F)CC(=O)NC[C@H](O)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575232610 948878476 /nfs/dbraw/zinc/87/84/76/948878476.db2.gz FMXMPAQTQVZGOD-SSDOTTSWSA-N -1 1 342.310 -0.409 20 0 EBADMM CC(F)(F)CC(=O)NC[C@H](O)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575232610 948878494 /nfs/dbraw/zinc/87/84/94/948878494.db2.gz FMXMPAQTQVZGOD-SSDOTTSWSA-N -1 1 342.310 -0.409 20 0 EBADMM CC(C)[C@@]1(C)C[C@H]1C(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001574087734 948898358 /nfs/dbraw/zinc/89/83/58/948898358.db2.gz AERVUMOEARNYLQ-ZUZCIYMTSA-N -1 1 347.379 -0.073 20 0 EBADMM CC[C@H](O)[C@H](C)C(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC001570933728 948906652 /nfs/dbraw/zinc/90/66/52/948906652.db2.gz DDHCWZOIDCDKAT-WPRPVWTQSA-N -1 1 336.400 -0.030 20 0 EBADMM CC[C@H](O)[C@H](C)C(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC001570933728 948906659 /nfs/dbraw/zinc/90/66/59/948906659.db2.gz DDHCWZOIDCDKAT-WPRPVWTQSA-N -1 1 336.400 -0.030 20 0 EBADMM CC(C)[C@@]1(C)C[C@@H]1NC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001574088479 948924645 /nfs/dbraw/zinc/92/46/45/948924645.db2.gz FODYHSZMVWCVSQ-LKFCYVNXSA-N -1 1 333.352 -0.320 20 0 EBADMM C[C@H](CNC(=O)CCn1cccn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574923940 948963253 /nfs/dbraw/zinc/96/32/53/948963253.db2.gz UOGKWGQTWIFBGX-SNVBAGLBSA-N -1 1 342.367 -0.718 20 0 EBADMM CO[C@@H]1COCC[C@@H]1CNC(=O)[C@H](C)n1cnc(-c2nn[n-]n2)n1 ZINC001570935054 948966553 /nfs/dbraw/zinc/96/65/53/948966553.db2.gz OYIOOKARBUXUSX-IVZWLZJFSA-N -1 1 336.356 -0.813 20 0 EBADMM O=C(CCNC(=O)c1csc(-c2nn[n-]n2)c1)N1CCOCC1 ZINC001570935520 948985198 /nfs/dbraw/zinc/98/51/98/948985198.db2.gz RISMCRXFMIQKMP-UHFFFAOYSA-N -1 1 336.377 -0.093 20 0 EBADMM O=C(c1ccncn1)N1CC[C@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001570935786 948997158 /nfs/dbraw/zinc/99/71/58/948997158.db2.gz QFPOGDLJKYCCAP-VIFPVBQESA-N -1 1 338.335 -0.227 20 0 EBADMM O=C(c1ccncn1)N1CC[C@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001570935786 948997171 /nfs/dbraw/zinc/99/71/71/948997171.db2.gz QFPOGDLJKYCCAP-VIFPVBQESA-N -1 1 338.335 -0.227 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)[C@H]1CCNC1=O ZINC001574690395 949002002 /nfs/dbraw/zinc/00/20/02/949002002.db2.gz OTENSODUWWCJTF-IUCAKERBSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)[C@H]1CCNC1=O ZINC001574690395 949002013 /nfs/dbraw/zinc/00/20/13/949002013.db2.gz OTENSODUWWCJTF-IUCAKERBSA-N -1 1 345.367 -0.901 20 0 EBADMM CCOC(=O)[C@@H](C)[C@H](C)Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[n-]n1 ZINC001570936134 949013337 /nfs/dbraw/zinc/01/33/37/949013337.db2.gz VXNVZBPQUVVZOX-BQBZGAKWSA-N -1 1 337.340 -0.334 20 0 EBADMM CCOC(=O)[C@H](C)[C@H](C)Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[n-]n1 ZINC001570936137 949013770 /nfs/dbraw/zinc/01/37/70/949013770.db2.gz VXNVZBPQUVVZOX-RQJHMYQMSA-N -1 1 337.340 -0.334 20 0 EBADMM C[C@@H](NC(=O)C(C)(C)C(N)=O)[C@@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575247883 949053868 /nfs/dbraw/zinc/05/38/68/949053868.db2.gz LEQNLJOBUDYANV-HTQZYQBOSA-N -1 1 347.383 -0.527 20 0 EBADMM C[C@@H](NC(=O)C(C)(C)C(N)=O)[C@@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575247883 949053849 /nfs/dbraw/zinc/05/38/49/949053849.db2.gz LEQNLJOBUDYANV-HTQZYQBOSA-N -1 1 347.383 -0.527 20 0 EBADMM O=C(Cc1ncc[nH]1)N[C@H]1C[C@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001570937382 949073959 /nfs/dbraw/zinc/07/39/59/949073959.db2.gz DDYKERJPIYFVPL-KYZUINATSA-N -1 1 340.351 -0.318 20 0 EBADMM O=C(Cc1ncc[nH]1)N[C@H]1C[C@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001570937382 949073980 /nfs/dbraw/zinc/07/39/80/949073980.db2.gz DDYKERJPIYFVPL-KYZUINATSA-N -1 1 340.351 -0.318 20 0 EBADMM C[C@@H](CNC(=O)COCC(F)F)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574929350 949092814 /nfs/dbraw/zinc/09/28/14/949092814.db2.gz DFXADMKSCOHGQV-ZETCQYMHSA-N -1 1 342.310 -0.723 20 0 EBADMM C[C@H]1CN(C(=O)c2cnon2)C[C@@H]1Nc1cnc(-c2nnn[n-]2)cn1 ZINC001570938544 949106305 /nfs/dbraw/zinc/10/63/05/949106305.db2.gz FNYRPESTJKDHAD-XVKPBYJWSA-N -1 1 342.323 -0.388 20 0 EBADMM C[C@H]1CN(C(=O)c2cnon2)C[C@@H]1Nc1cnc(-c2nn[n-]n2)cn1 ZINC001570938544 949106313 /nfs/dbraw/zinc/10/63/13/949106313.db2.gz FNYRPESTJKDHAD-XVKPBYJWSA-N -1 1 342.323 -0.388 20 0 EBADMM CN(c1ccnc(-c2nn[n-]n2)n1)[C@H]1CCN(C(=O)c2cnon2)C1 ZINC001570938661 949113567 /nfs/dbraw/zinc/11/35/67/949113567.db2.gz GWGYDYGMNPELEV-QMMMGPOBSA-N -1 1 342.323 -0.609 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)Cc1ncc[nH]1 ZINC001574696025 949119599 /nfs/dbraw/zinc/11/95/99/949119599.db2.gz LZKJQZVIJWHIJV-VIFPVBQESA-N -1 1 342.367 -0.071 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)Cc1ncc[nH]1 ZINC001574696025 949119614 /nfs/dbraw/zinc/11/96/14/949119614.db2.gz LZKJQZVIJWHIJV-VIFPVBQESA-N -1 1 342.367 -0.071 20 0 EBADMM C[C@H](CNC(=O)COCC1CC1)Nc1nccnc1-c1nnn[n-]1 ZINC001574932025 949127002 /nfs/dbraw/zinc/12/70/02/949127002.db2.gz TZHWBQXBXGFSAB-SECBINFHSA-N -1 1 332.368 0.000 20 0 EBADMM C[C@H](CNC(=O)COCC1CC1)Nc1nccnc1-c1nn[n-]n1 ZINC001574932025 949127020 /nfs/dbraw/zinc/12/70/20/949127020.db2.gz TZHWBQXBXGFSAB-SECBINFHSA-N -1 1 332.368 0.000 20 0 EBADMM C[C@H]1C[C@H](Nc2nccnc2-c2nnn[n-]2)CN1C(=O)c1nc[nH]n1 ZINC001570940180 949173376 /nfs/dbraw/zinc/17/33/76/949173376.db2.gz KQGPEFICNPMZCJ-YUMQZZPRSA-N -1 1 341.339 -0.510 20 0 EBADMM C[C@H]1C[C@H](Nc2nccnc2-c2nn[n-]n2)CN1C(=O)c1nc[nH]n1 ZINC001570940180 949173386 /nfs/dbraw/zinc/17/33/86/949173386.db2.gz KQGPEFICNPMZCJ-YUMQZZPRSA-N -1 1 341.339 -0.510 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(c3cnc(-c4nnn[n-]4)cn3)C2)on1 ZINC001570940512 949183495 /nfs/dbraw/zinc/18/34/95/949183495.db2.gz MWUVXKHVLMABNH-SECBINFHSA-N -1 1 341.335 -0.038 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(c3cnc(-c4nn[n-]n4)cn3)C2)on1 ZINC001570940512 949183506 /nfs/dbraw/zinc/18/35/06/949183506.db2.gz MWUVXKHVLMABNH-SECBINFHSA-N -1 1 341.335 -0.038 20 0 EBADMM C[C@@H]1CN(C(=O)c2cn[nH]n2)C[C@H]1Nc1nccnc1-c1nnn[n-]1 ZINC001570940944 949200896 /nfs/dbraw/zinc/20/08/96/949200896.db2.gz ONHNJAXZGSTQCU-VXNVDRBHSA-N -1 1 341.339 -0.653 20 0 EBADMM C[C@@H]1CN(C(=O)c2cn[nH]n2)C[C@H]1Nc1nccnc1-c1nn[n-]n1 ZINC001570940944 949200919 /nfs/dbraw/zinc/20/09/19/949200919.db2.gz ONHNJAXZGSTQCU-VXNVDRBHSA-N -1 1 341.339 -0.653 20 0 EBADMM CC(C)CC(=O)N(C)C[C@H](O)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001574100858 949203126 /nfs/dbraw/zinc/20/31/26/949203126.db2.gz ZQNYCHBYINJMIY-SNVBAGLBSA-N -1 1 334.384 -0.066 20 0 EBADMM CC(C)CC(=O)N(C)C[C@H](O)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001574100858 949203139 /nfs/dbraw/zinc/20/31/39/949203139.db2.gz ZQNYCHBYINJMIY-SNVBAGLBSA-N -1 1 334.384 -0.066 20 0 EBADMM C[C@@H](c1ccco1)N(C)C(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001575516177 949205081 /nfs/dbraw/zinc/20/50/81/949205081.db2.gz NFYWFSOXWVFACI-QMMMGPOBSA-N -1 1 345.319 -0.058 20 0 EBADMM CC(C)(C(N)=O)C(=O)NCCCNc1cncc(-c2nnn[n-]2)n1 ZINC001573788698 949211450 /nfs/dbraw/zinc/21/14/50/949211450.db2.gz TXAIMVONXIWRGZ-UHFFFAOYSA-N -1 1 333.356 -0.914 20 0 EBADMM CC(C)(C(N)=O)C(=O)NCCCNc1cncc(-c2nn[n-]n2)n1 ZINC001573788698 949211474 /nfs/dbraw/zinc/21/14/74/949211474.db2.gz TXAIMVONXIWRGZ-UHFFFAOYSA-N -1 1 333.356 -0.914 20 0 EBADMM O=C(NC[C@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C1)c1cocn1 ZINC001570941572 949233984 /nfs/dbraw/zinc/23/39/84/949233984.db2.gz XGWRDTDBMLAKKE-SECBINFHSA-N -1 1 341.335 -0.099 20 0 EBADMM O=C(NC[C@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C1)c1cocn1 ZINC001570941572 949234006 /nfs/dbraw/zinc/23/40/06/949234006.db2.gz XGWRDTDBMLAKKE-SECBINFHSA-N -1 1 341.335 -0.099 20 0 EBADMM CC(C)(C)C(=O)C(=O)N1CCN(c2cnc(-c3nnn[n-]3)cn2)CC1 ZINC001573792569 949255705 /nfs/dbraw/zinc/25/57/05/949255705.db2.gz ASTUSSXSKJYERX-UHFFFAOYSA-N -1 1 344.379 -0.080 20 0 EBADMM CC(C)(C)C(=O)C(=O)N1CCN(c2cnc(-c3nn[n-]n3)cn2)CC1 ZINC001573792569 949255723 /nfs/dbraw/zinc/25/57/23/949255723.db2.gz ASTUSSXSKJYERX-UHFFFAOYSA-N -1 1 344.379 -0.080 20 0 EBADMM NS(=O)(=O)C[C@H]1CC[C@@H](NC(=O)c2coc(-c3nnn[n-]3)c2)C1 ZINC001570942610 949299559 /nfs/dbraw/zinc/29/95/59/949299559.db2.gz RMUQYTZNFIVOMR-IONNQARKSA-N -1 1 340.365 -0.353 20 0 EBADMM NS(=O)(=O)C[C@H]1CC[C@@H](NC(=O)c2coc(-c3nn[n-]n3)c2)C1 ZINC001570942610 949299577 /nfs/dbraw/zinc/29/95/77/949299577.db2.gz RMUQYTZNFIVOMR-IONNQARKSA-N -1 1 340.365 -0.353 20 0 EBADMM C[C@]12CCC(=O)N1[C@@H](C(=O)Nc1c[nH]nc1-c1nn[n-]n1)CS2 ZINC001575862112 949343803 /nfs/dbraw/zinc/34/38/03/949343803.db2.gz XMEHYGLEQDEBAO-KRTXAFLBSA-N -1 1 334.365 -0.018 20 0 EBADMM C[C@H](CNC(=O)Cc1cc[nH]n1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001574942433 949352951 /nfs/dbraw/zinc/35/29/51/949352951.db2.gz OFJXIZGLBGBUQU-SECBINFHSA-N -1 1 342.367 -0.437 20 0 EBADMM CC(C)(C)C(=O)N[C@H]1CCN(c2ccnc(-c3nn[n-]n3)n2)C[C@H]1O ZINC001573800604 949398777 /nfs/dbraw/zinc/39/87/77/949398777.db2.gz ZJDFFMUBCMWGQS-VHSXEESVSA-N -1 1 346.395 -0.241 20 0 EBADMM O=C(Cn1ccc(-c2nn[n-]n2)cc1=O)NC(=O)NCC(F)(F)F ZINC001570944189 949409227 /nfs/dbraw/zinc/40/92/27/949409227.db2.gz IUTROIGBSQKCDL-UHFFFAOYSA-N -1 1 345.241 -0.584 20 0 EBADMM C[C@H](CNC(=O)Cc1ncc[nH]1)Nc1nccnc1-c1nnn[n-]1 ZINC001574946015 949427356 /nfs/dbraw/zinc/42/73/56/949427356.db2.gz RUURAPRKJJXQQX-MRVPVSSYSA-N -1 1 328.340 -0.461 20 0 EBADMM C[C@H](CNC(=O)Cc1ncc[nH]1)Nc1nccnc1-c1nn[n-]n1 ZINC001574946015 949427366 /nfs/dbraw/zinc/42/73/66/949427366.db2.gz RUURAPRKJJXQQX-MRVPVSSYSA-N -1 1 328.340 -0.461 20 0 EBADMM C[C@H](CNC(=O)Cc1cnn(C)c1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574946553 949432794 /nfs/dbraw/zinc/43/27/94/949432794.db2.gz VLPCVTWWEFMYFF-SECBINFHSA-N -1 1 342.367 -0.451 20 0 EBADMM C[C@H](CNC(=O)Cc1cnn(C)c1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574946553 949432805 /nfs/dbraw/zinc/43/28/05/949432805.db2.gz VLPCVTWWEFMYFF-SECBINFHSA-N -1 1 342.367 -0.451 20 0 EBADMM C[C@@H](O)[C@@H](NC(=O)C1CC1)C(=O)NCc1ccnc(-c2nnn[n-]2)c1 ZINC001570944901 949449205 /nfs/dbraw/zinc/44/92/05/949449205.db2.gz ZXQGZIODBUKGJT-PRHODGIISA-N -1 1 345.363 -0.847 20 0 EBADMM C[C@@H](O)[C@@H](NC(=O)C1CC1)C(=O)NCc1ccnc(-c2nn[n-]n2)c1 ZINC001570944901 949449219 /nfs/dbraw/zinc/44/92/19/949449219.db2.gz ZXQGZIODBUKGJT-PRHODGIISA-N -1 1 345.363 -0.847 20 0 EBADMM O=C(Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)N[C@H]1CC2CCC1CC2 ZINC001570944995 949455811 /nfs/dbraw/zinc/45/58/11/949455811.db2.gz JENCMRJGHIGUJH-AMUVOQDHSA-N -1 1 345.363 -0.176 20 0 EBADMM Cc1cc(-c2nnn[n-]2)nc(N2CCO[C@H](CNC(=O)C3CC3)C2)n1 ZINC001570945509 949485665 /nfs/dbraw/zinc/48/56/65/949485665.db2.gz BOWIHHSJFWQTRJ-LLVKDONJSA-N -1 1 344.379 -0.303 20 0 EBADMM Cc1cc(-c2nn[n-]n2)nc(N2CCO[C@H](CNC(=O)C3CC3)C2)n1 ZINC001570945509 949485682 /nfs/dbraw/zinc/48/56/82/949485682.db2.gz BOWIHHSJFWQTRJ-LLVKDONJSA-N -1 1 344.379 -0.303 20 0 EBADMM C[C@@H](CNC(=O)Cn1ccnc1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001574949440 949496056 /nfs/dbraw/zinc/49/60/56/949496056.db2.gz WODRQHNHJVTPFU-JTQLQIEISA-N -1 1 342.367 -0.506 20 0 EBADMM CCC(=O)N1CCC[C@H](N(CCO)c2cnc(-c3nnn[n-]3)cn2)C1 ZINC001570945764 949500423 /nfs/dbraw/zinc/50/04/23/949500423.db2.gz CUBUPMZSEATCBC-NSHDSACASA-N -1 1 346.395 -0.144 20 0 EBADMM CCC(=O)N1CCC[C@H](N(CCO)c2cnc(-c3nn[n-]n3)cn2)C1 ZINC001570945764 949500439 /nfs/dbraw/zinc/50/04/39/949500439.db2.gz CUBUPMZSEATCBC-NSHDSACASA-N -1 1 346.395 -0.144 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1CCCO1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574709401 949505165 /nfs/dbraw/zinc/50/51/65/949505165.db2.gz ZFQOKJFDHYQAHT-ZJUUUORDSA-N -1 1 332.368 -0.484 20 0 EBADMM C[C@@H]1CO[C@H](C(=O)N2CCN(c3cnc(-c4nnn[n-]4)cn3)CC2)C1 ZINC001570945925 949511070 /nfs/dbraw/zinc/51/10/70/949511070.db2.gz LFPNVRGNVPTAKH-JQWIXIFHSA-N -1 1 344.379 -0.270 20 0 EBADMM C[C@@H]1CO[C@H](C(=O)N2CCN(c3cnc(-c4nn[n-]n4)cn3)CC2)C1 ZINC001570945925 949511091 /nfs/dbraw/zinc/51/10/91/949511091.db2.gz LFPNVRGNVPTAKH-JQWIXIFHSA-N -1 1 344.379 -0.270 20 0 EBADMM C[C@@H](CN(C)C(=O)CC(C)(C)O)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574710762 949511805 /nfs/dbraw/zinc/51/18/05/949511805.db2.gz BAWKWIUCVBAXCQ-VIFPVBQESA-N -1 1 334.384 -0.502 20 0 EBADMM CS(=O)(=O)c1ccc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)nn1 ZINC001570946023 949518033 /nfs/dbraw/zinc/51/80/33/949518033.db2.gz ICCOUVNFHSJBKN-UHFFFAOYSA-N -1 1 346.332 -0.293 20 0 EBADMM CS(=O)(=O)c1ccc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)nn1 ZINC001570946023 949518052 /nfs/dbraw/zinc/51/80/52/949518052.db2.gz ICCOUVNFHSJBKN-UHFFFAOYSA-N -1 1 346.332 -0.293 20 0 EBADMM COC(=O)[C@@H](CNC(=O)c1cccc(-c2nnn[n-]2)n1)[C@H]1CCOC1 ZINC001570946661 949541863 /nfs/dbraw/zinc/54/18/63/949541863.db2.gz PBPPSGCEHVIKBC-UWVGGRQHSA-N -1 1 346.347 -0.183 20 0 EBADMM COC(=O)[C@@H](CNC(=O)c1cccc(-c2nn[n-]n2)n1)[C@H]1CCOC1 ZINC001570946661 949541876 /nfs/dbraw/zinc/54/18/76/949541876.db2.gz PBPPSGCEHVIKBC-UWVGGRQHSA-N -1 1 346.347 -0.183 20 0 EBADMM CN(C(=O)[C@H]1CCOC1)[C@@H]1CCN(c2cnc(-c3nnn[n-]3)cn2)C1 ZINC001570946936 949553521 /nfs/dbraw/zinc/55/35/21/949553521.db2.gz UASRWOROQGDOGF-WDEREUQCSA-N -1 1 344.379 -0.270 20 0 EBADMM CN(C(=O)[C@H]1CCOC1)[C@@H]1CCN(c2cnc(-c3nn[n-]n3)cn2)C1 ZINC001570946936 949553538 /nfs/dbraw/zinc/55/35/38/949553538.db2.gz UASRWOROQGDOGF-WDEREUQCSA-N -1 1 344.379 -0.270 20 0 EBADMM CO[C@H](C(=O)N1CCN(c2ccc(-c3nnn[n-]3)nn2)CC1)C(C)C ZINC001570947100 949557282 /nfs/dbraw/zinc/55/72/82/949557282.db2.gz SMAVLRGEBDCUAC-ZDUSSCGKSA-N -1 1 346.395 -0.024 20 0 EBADMM CO[C@H](C(=O)N1CCN(c2ccc(-c3nn[n-]n3)nn2)CC1)C(C)C ZINC001570947100 949557296 /nfs/dbraw/zinc/55/72/96/949557296.db2.gz SMAVLRGEBDCUAC-ZDUSSCGKSA-N -1 1 346.395 -0.024 20 0 EBADMM CO[C@H](C)C(=O)N1CC[C@@H](CN(C)c2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570947461 949570821 /nfs/dbraw/zinc/57/08/21/949570821.db2.gz WCLOMRJQTXLWKO-MNOVXSKESA-N -1 1 346.395 -0.024 20 0 EBADMM O=C(Cn1ccnc1)Nc1[nH]nc(N2CCOCC2)c1-c1nnn[n-]1 ZINC001570947451 949571303 /nfs/dbraw/zinc/57/13/03/949571303.db2.gz ZTEUDSXCDNAGGU-UHFFFAOYSA-N -1 1 344.339 -0.738 20 0 EBADMM O=C(Cn1ccnc1)Nc1[nH]nc(N2CCOCC2)c1-c1nn[n-]n1 ZINC001570947451 949571322 /nfs/dbraw/zinc/57/13/22/949571322.db2.gz ZTEUDSXCDNAGGU-UHFFFAOYSA-N -1 1 344.339 -0.738 20 0 EBADMM Cn1c(=O)[nH]c(N2CC[C@H](O)[C@H]3CCCC[C@@H]32)c(-c2nn[n-]n2)c1=O ZINC001570947407 949572178 /nfs/dbraw/zinc/57/21/78/949572178.db2.gz AOUBUEHWYUZHCS-GUBZILKMSA-N -1 1 347.379 -0.617 20 0 EBADMM C[C@@H]1CCCCN1C(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570948079 949590514 /nfs/dbraw/zinc/59/05/14/949590514.db2.gz BOJDOHDDJUVQSV-SECBINFHSA-N -1 1 348.367 -0.287 20 0 EBADMM O=C(N[C@H]1C[C@H](Nc2cncc(-c3nnn[n-]3)n2)C1)[C@@]1(F)CCOC1 ZINC001570947969 949594753 /nfs/dbraw/zinc/59/47/53/949594753.db2.gz AACSPMMDHMOUDC-RFXMVYNHSA-N -1 1 348.342 -0.156 20 0 EBADMM O=C(N[C@H]1C[C@H](Nc2cncc(-c3nn[n-]n3)n2)C1)[C@@]1(F)CCOC1 ZINC001570947969 949594768 /nfs/dbraw/zinc/59/47/68/949594768.db2.gz AACSPMMDHMOUDC-RFXMVYNHSA-N -1 1 348.342 -0.156 20 0 EBADMM CN(C[C@]12CCC[C@H]1OCC2)c1[nH]c(=O)n(C)c(=O)c1-c1nn[n-]n1 ZINC001570948925 949627083 /nfs/dbraw/zinc/62/70/83/949627083.db2.gz RDVRSMHFBOPCKG-RFAUZJTJSA-N -1 1 347.379 -0.351 20 0 EBADMM COC(=O)[C@@H]1OCC[C@@H]1CNC(=O)Nc1ccnc(-c2nnn[n-]2)c1 ZINC001570949580 949648212 /nfs/dbraw/zinc/64/82/12/949648212.db2.gz WRWGRUXINURINS-LDYMZIIASA-N -1 1 347.335 -0.039 20 0 EBADMM COC(=O)[C@@H]1OCC[C@@H]1CNC(=O)Nc1ccnc(-c2nn[n-]n2)c1 ZINC001570949580 949648231 /nfs/dbraw/zinc/64/82/31/949648231.db2.gz WRWGRUXINURINS-LDYMZIIASA-N -1 1 347.335 -0.039 20 0 EBADMM C[C@H](OCC1CC1)C(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570950300 949678102 /nfs/dbraw/zinc/67/81/02/949678102.db2.gz GTCUMMBLJFPZQX-QMMMGPOBSA-N -1 1 349.351 -0.940 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)NCCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)[C@@H](C)O1 ZINC001570950666 949694531 /nfs/dbraw/zinc/69/45/31/949694531.db2.gz PYHBRGSLUQEUSQ-IWSPIJDZSA-N -1 1 349.351 -0.941 20 0 EBADMM C[C@@H](NC(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)C1(C)CC1 ZINC001575275443 949694714 /nfs/dbraw/zinc/69/47/14/949694714.db2.gz CROGMCXZEIYMHL-MRVPVSSYSA-N -1 1 333.352 -0.176 20 0 EBADMM C[C@H](NC(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)C1(C)CC1 ZINC001575275444 949696654 /nfs/dbraw/zinc/69/66/54/949696654.db2.gz CROGMCXZEIYMHL-QMMMGPOBSA-N -1 1 333.352 -0.176 20 0 EBADMM C[C@H](CNC(=O)c1[nH]c2ccccc2c1-c1nn[n-]n1)S(N)(=O)=O ZINC001570950688 949700001 /nfs/dbraw/zinc/70/00/01/949700001.db2.gz QOHVDTHFRHWTOK-SSDOTTSWSA-N -1 1 349.376 -0.245 20 0 EBADMM CC(C)[C@@H](O)C(=O)NC[C@H](C)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001574964529 949780549 /nfs/dbraw/zinc/78/05/49/949780549.db2.gz XVXRNVGUIDXBOX-BXKDBHETSA-N -1 1 334.384 -0.162 20 0 EBADMM CC(C)[C@@H](O)C(=O)NC[C@H](C)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001574964529 949780571 /nfs/dbraw/zinc/78/05/71/949780571.db2.gz XVXRNVGUIDXBOX-BXKDBHETSA-N -1 1 334.384 -0.162 20 0 EBADMM C[C@@H](NC(=O)COCC1CC1)[C@@H](C)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575281222 949803571 /nfs/dbraw/zinc/80/35/71/949803571.db2.gz DWRFKEFNVKSHPO-NXEZZACHSA-N -1 1 346.395 -0.190 20 0 EBADMM CCCN(C(=O)C(=O)N1CCO[C@H](C(=O)[O-])C1)[C@@H]1CCN(C)C1 ZINC001589659384 949846070 /nfs/dbraw/zinc/84/60/70/949846070.db2.gz QUSFZHCWEASDNG-NEPJUHHUSA-N -1 1 327.381 -0.759 20 0 EBADMM C[C@H]1CCCN(c2[nH]c(=O)n(C)c(=O)c2-c2nn[n-]n2)[C@H]1CCO ZINC001576177939 949872449 /nfs/dbraw/zinc/87/24/49/949872449.db2.gz DYIXZPIOOBVWGM-IUCAKERBSA-N -1 1 335.368 -0.759 20 0 EBADMM C[C@@H]1CCN(C(=O)C(N)=O)C[C@H]1CNc1ccc(-c2nnn[n-]2)nn1 ZINC001576179404 949919803 /nfs/dbraw/zinc/91/98/03/949919803.db2.gz LETOEWZXXHELMQ-RKDXNWHRSA-N -1 1 345.367 -0.962 20 0 EBADMM C[C@@H]1CCN(C(=O)C(N)=O)C[C@H]1CNc1ccc(-c2nn[n-]n2)nn1 ZINC001576179404 949919831 /nfs/dbraw/zinc/91/98/31/949919831.db2.gz LETOEWZXXHELMQ-RKDXNWHRSA-N -1 1 345.367 -0.962 20 0 EBADMM CC1(C(=O)N[C@H]2CCN(c3ccnc(-c4nn[n-]n4)n3)C[C@H]2O)CC1 ZINC001575571348 949958899 /nfs/dbraw/zinc/95/88/99/949958899.db2.gz ZRJGZUHINJXLBP-VHSXEESVSA-N -1 1 344.379 -0.487 20 0 EBADMM C[C@H](CN(C)C(=O)Cc1ccon1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574728661 950003013 /nfs/dbraw/zinc/00/30/13/950003013.db2.gz GPEUUFPYMKXOMK-SECBINFHSA-N -1 1 343.351 -0.432 20 0 EBADMM CC(C)(C)CC(=O)NC[C@H](CO)Nc1nccnc1-c1nnn[n-]1 ZINC001573835767 950065696 /nfs/dbraw/zinc/06/56/96/950065696.db2.gz BWIIOABUTQDKEV-SECBINFHSA-N -1 1 334.384 -0.018 20 0 EBADMM CC(C)(C)CC(=O)NC[C@H](CO)Nc1nccnc1-c1nn[n-]n1 ZINC001573835767 950065717 /nfs/dbraw/zinc/06/57/17/950065717.db2.gz BWIIOABUTQDKEV-SECBINFHSA-N -1 1 334.384 -0.018 20 0 EBADMM C[C@H](CN(C)C(=O)Cc1ncc[nH]1)Nc1nccnc1-c1nnn[n-]1 ZINC001574732488 950070111 /nfs/dbraw/zinc/07/01/11/950070111.db2.gz JBOXGPQMGPWUDI-SECBINFHSA-N -1 1 342.367 -0.119 20 0 EBADMM C[C@H](CN(C)C(=O)Cc1ncc[nH]1)Nc1nccnc1-c1nn[n-]n1 ZINC001574732488 950070134 /nfs/dbraw/zinc/07/01/34/950070134.db2.gz JBOXGPQMGPWUDI-SECBINFHSA-N -1 1 342.367 -0.119 20 0 EBADMM C[C@H](CN(C)C(=O)Cn1cncn1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574732825 950080438 /nfs/dbraw/zinc/08/04/38/950080438.db2.gz KZRQNQNFVNXTIG-SECBINFHSA-N -1 1 343.355 -0.793 20 0 EBADMM C[C@H](CN(C)C(=O)Cn1cncn1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574732825 950080457 /nfs/dbraw/zinc/08/04/57/950080457.db2.gz KZRQNQNFVNXTIG-SECBINFHSA-N -1 1 343.355 -0.793 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1CCC(=O)N1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574734461 950138176 /nfs/dbraw/zinc/13/81/76/950138176.db2.gz NIYDWSLUSBLNOV-DTWKUNHWSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1CCC(=O)N1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574734461 950138187 /nfs/dbraw/zinc/13/81/87/950138187.db2.gz NIYDWSLUSBLNOV-DTWKUNHWSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(C)[C@@H](O)C(=O)N(C)C[C@@H](C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574734441 950138517 /nfs/dbraw/zinc/13/85/17/950138517.db2.gz MSLSIPABUNMOPP-BXKDBHETSA-N -1 1 334.384 -0.068 20 0 EBADMM CC(C)[C@@H](O)C(=O)N(C)C[C@@H](C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574734441 950138528 /nfs/dbraw/zinc/13/85/28/950138528.db2.gz MSLSIPABUNMOPP-BXKDBHETSA-N -1 1 334.384 -0.068 20 0 EBADMM CC1(C(=O)NC[C@@]2(O)CCN(c3cncc(-c4nnn[n-]4)n3)C2)CC1 ZINC001575584634 950173941 /nfs/dbraw/zinc/17/39/41/950173941.db2.gz ZGKFHTXZMQSAAI-HNNXBMFYSA-N -1 1 344.379 -0.486 20 0 EBADMM CC1(C(=O)NC[C@@]2(O)CCN(c3cncc(-c4nn[n-]n4)n3)C2)CC1 ZINC001575584634 950173953 /nfs/dbraw/zinc/17/39/53/950173953.db2.gz ZGKFHTXZMQSAAI-HNNXBMFYSA-N -1 1 344.379 -0.486 20 0 EBADMM CC1(C(=O)NCCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)CCCC1 ZINC001575594041 950329964 /nfs/dbraw/zinc/32/99/64/950329964.db2.gz SAKMTRATDLIESL-UHFFFAOYSA-N -1 1 333.352 -0.175 20 0 EBADMM CC1(C(=O)NC[C@H]2CN(c3cnc(-c4nnn[n-]4)cn3)CCO2)CC1 ZINC001575594501 950333749 /nfs/dbraw/zinc/33/37/49/950333749.db2.gz KKYOAQOEFXCMOL-JTQLQIEISA-N -1 1 344.379 -0.222 20 0 EBADMM CC1(C(=O)NC[C@H]2CN(c3cnc(-c4nn[n-]n4)cn3)CCO2)CC1 ZINC001575594501 950333770 /nfs/dbraw/zinc/33/37/70/950333770.db2.gz KKYOAQOEFXCMOL-JTQLQIEISA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@@]1(C(=O)NCc2ccnc(-c3nnn[n-]3)c2)CCCS1(=O)=O ZINC001575599053 950425036 /nfs/dbraw/zinc/42/50/36/950425036.db2.gz BJCZXAARTPASBW-ZDUSSCGKSA-N -1 1 336.377 -0.155 20 0 EBADMM C[C@@]1(C(=O)NCc2ccnc(-c3nn[n-]n3)c2)CCCS1(=O)=O ZINC001575599053 950425042 /nfs/dbraw/zinc/42/50/42/950425042.db2.gz BJCZXAARTPASBW-ZDUSSCGKSA-N -1 1 336.377 -0.155 20 0 EBADMM C[C@]1(C(N)=O)CCN(C(=O)NCc2nc(-c3nnn[n-]3)cs2)C1 ZINC001575614405 950527322 /nfs/dbraw/zinc/52/73/22/950527322.db2.gz AVIAWVLPCXMOBA-LBPRGKRZSA-N -1 1 336.381 -0.270 20 0 EBADMM C[C@]1(C(N)=O)CCN(C(=O)NCc2nc(-c3nn[n-]n3)cs2)C1 ZINC001575614405 950527346 /nfs/dbraw/zinc/52/73/46/950527346.db2.gz AVIAWVLPCXMOBA-LBPRGKRZSA-N -1 1 336.381 -0.270 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ccc[nH]1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574754157 950565308 /nfs/dbraw/zinc/56/53/08/950565308.db2.gz YSSAVDMUQFYTBN-VIFPVBQESA-N -1 1 327.352 -0.021 20 0 EBADMM CC1(C)C(C(=O)NCCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)C1(C)C ZINC001575616595 950592071 /nfs/dbraw/zinc/59/20/71/950592071.db2.gz SGGDTLVVRXGTCT-UHFFFAOYSA-N -1 1 347.379 -0.073 20 0 EBADMM C[C@@H]1[C@H](Nc2ccnc(-c3nn[n-]n3)n2)CCN1C(=O)c1cocn1 ZINC001575920133 950629309 /nfs/dbraw/zinc/62/93/09/950629309.db2.gz LDCWXYNSRWSCPH-RKDXNWHRSA-N -1 1 341.335 -0.218 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cn[nH]c1)Nc1nccnc1-c1nnn[n-]1 ZINC001574760633 950672471 /nfs/dbraw/zinc/67/24/71/950672471.db2.gz KIQBNKOWVAPJGA-QMMMGPOBSA-N -1 1 328.340 -0.048 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cn[nH]c1)Nc1nccnc1-c1nn[n-]n1 ZINC001574760633 950672482 /nfs/dbraw/zinc/67/24/82/950672482.db2.gz KIQBNKOWVAPJGA-QMMMGPOBSA-N -1 1 328.340 -0.048 20 0 EBADMM C[C@H](CNC(=O)c1ccn[nH]1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575005368 950682213 /nfs/dbraw/zinc/68/22/13/950682213.db2.gz CCZNYQRROLFVEJ-MRVPVSSYSA-N -1 1 328.340 -0.366 20 0 EBADMM C[C@H](CNC(=O)c1ccn[nH]1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575005368 950682222 /nfs/dbraw/zinc/68/22/22/950682222.db2.gz CCZNYQRROLFVEJ-MRVPVSSYSA-N -1 1 328.340 -0.366 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cnccn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574763462 950714576 /nfs/dbraw/zinc/71/45/76/950714576.db2.gz BMZKKBWXTDTGKO-VIFPVBQESA-N -1 1 340.351 -0.559 20 0 EBADMM CC1(C)CC(C(=O)NC[C@@H](CO)Nc2nccnc2-c2nnn[n-]2)C1 ZINC001575629373 950735133 /nfs/dbraw/zinc/73/51/33/950735133.db2.gz NMQLZTDMKDWPHE-JTQLQIEISA-N -1 1 346.395 -0.018 20 0 EBADMM CC1(C)CC(C(=O)NC[C@@H](CO)Nc2nccnc2-c2nn[n-]n2)C1 ZINC001575629373 950735147 /nfs/dbraw/zinc/73/51/47/950735147.db2.gz NMQLZTDMKDWPHE-JTQLQIEISA-N -1 1 346.395 -0.018 20 0 EBADMM CC(C)CCC(=O)NC[C@H](O)CNc1cncc(-c2nnn[n-]2)n1 ZINC001574182456 950759065 /nfs/dbraw/zinc/75/90/65/950759065.db2.gz VSYLZOSIBXOZJE-SNVBAGLBSA-N -1 1 334.384 -0.018 20 0 EBADMM CC(C)CCC(=O)NC[C@H](O)CNc1cncc(-c2nn[n-]n2)n1 ZINC001574182456 950759082 /nfs/dbraw/zinc/75/90/82/950759082.db2.gz VSYLZOSIBXOZJE-SNVBAGLBSA-N -1 1 334.384 -0.018 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cnnn1C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574764735 950759765 /nfs/dbraw/zinc/75/97/65/950759765.db2.gz XLXCJFVTEOMJHC-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cnnn1C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574764735 950759778 /nfs/dbraw/zinc/75/97/78/950759778.db2.gz XLXCJFVTEOMJHC-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM CC(C)CCC(=O)N[C@@H]1CN(c2cncc(-c3nnn[n-]3)n2)C[C@@H]1O ZINC001574184479 950782237 /nfs/dbraw/zinc/78/22/37/950782237.db2.gz LPHAFASDLPLNGV-NEPJUHHUSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)CCC(=O)N[C@@H]1CN(c2cncc(-c3nn[n-]n3)n2)C[C@@H]1O ZINC001574184479 950782251 /nfs/dbraw/zinc/78/22/51/950782251.db2.gz LPHAFASDLPLNGV-NEPJUHHUSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)CCC(=O)N[C@@H]1CN(c2ccnc(-c3nn[n-]n3)n2)C[C@H]1O ZINC001574184979 950789768 /nfs/dbraw/zinc/78/97/68/950789768.db2.gz QESIMUXTNHLGLF-GHMZBOCLSA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@@H]1[C@H](Nc2cncc(-c3nnn[n-]3)n2)CCN1C(=O)c1cnon1 ZINC001575934794 950865775 /nfs/dbraw/zinc/86/57/75/950865775.db2.gz TYIKNWLGBHBELO-HTQZYQBOSA-N -1 1 342.323 -0.245 20 0 EBADMM C[C@@H]1[C@H](Nc2cncc(-c3nn[n-]n3)n2)CCN1C(=O)c1cnon1 ZINC001575934794 950865788 /nfs/dbraw/zinc/86/57/88/950865788.db2.gz TYIKNWLGBHBELO-HTQZYQBOSA-N -1 1 342.323 -0.245 20 0 EBADMM CC1(C)C[C@H](NC(=O)CCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)CO1 ZINC001575640029 950883865 /nfs/dbraw/zinc/88/38/65/950883865.db2.gz GJCOWEQNQNYDPR-QMMMGPOBSA-N -1 1 349.351 -0.797 20 0 EBADMM CC1CC(C(=O)N(C)C[C@@H](O)CNc2cncc(-c3nnn[n-]3)n2)C1 ZINC001575937389 950946682 /nfs/dbraw/zinc/94/66/82/950946682.db2.gz FDDBBHWXZOIMJT-ILDUYXDCSA-N -1 1 346.395 -0.066 20 0 EBADMM CC1CC(C(=O)N(C)C[C@@H](O)CNc2cncc(-c3nn[n-]n3)n2)C1 ZINC001575937389 950946698 /nfs/dbraw/zinc/94/66/98/950946698.db2.gz FDDBBHWXZOIMJT-ILDUYXDCSA-N -1 1 346.395 -0.066 20 0 EBADMM CC1(C)C[C@H]1C(=O)N(CCO)CCNc1ccc(-c2nnn[n-]2)nn1 ZINC001575643097 950962577 /nfs/dbraw/zinc/96/25/77/950962577.db2.gz XTASVBWQZVMORB-JTQLQIEISA-N -1 1 346.395 -0.064 20 0 EBADMM CC1(C)C[C@H]1C(=O)N(CCO)CCNc1ccc(-c2nn[n-]n2)nn1 ZINC001575643097 950962591 /nfs/dbraw/zinc/96/25/91/950962591.db2.gz XTASVBWQZVMORB-JTQLQIEISA-N -1 1 346.395 -0.064 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)N(C)CCN(C)c2ccc(-c3nnn[n-]3)nn2)CO1 ZINC001575938417 950983136 /nfs/dbraw/zinc/98/31/36/950983136.db2.gz LFKOWRZMDWGQAS-GHMZBOCLSA-N -1 1 346.395 -0.024 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)N(C)CCN(C)c2ccc(-c3nn[n-]n3)nn2)CO1 ZINC001575938417 950983152 /nfs/dbraw/zinc/98/31/52/950983152.db2.gz LFKOWRZMDWGQAS-GHMZBOCLSA-N -1 1 346.395 -0.024 20 0 EBADMM C[C@@H](CNC(=O)c1ccc(=O)[nH]c1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001575019258 951035500 /nfs/dbraw/zinc/03/55/00/951035500.db2.gz SDLNXPVMQVVLEZ-QMMMGPOBSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@@H](CNC(=O)c1ccc(=O)[nH]c1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575019258 951035514 /nfs/dbraw/zinc/03/55/14/951035514.db2.gz SDLNXPVMQVVLEZ-QMMMGPOBSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@H](CNC(=O)c1ccnnc1)CNc1nccnc1-c1nnn[n-]1 ZINC001575034247 951241842 /nfs/dbraw/zinc/24/18/42/951241842.db2.gz KKUBXEYEURBFDJ-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@H](CNC(=O)c1ccnnc1)CNc1nccnc1-c1nn[n-]n1 ZINC001575034247 951241851 /nfs/dbraw/zinc/24/18/51/951241851.db2.gz KKUBXEYEURBFDJ-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@H](CNC(=O)c1ccncc1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575034874 951257418 /nfs/dbraw/zinc/25/74/18/951257418.db2.gz PUGMRKCTFBZOHY-JTQLQIEISA-N -1 1 339.363 -0.048 20 0 EBADMM C[C@@H](CNC(=O)c1ccn(C)n1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575035046 951263304 /nfs/dbraw/zinc/26/33/04/951263304.db2.gz FYWMRNRAQIYMTH-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)nn1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001575041798 951353287 /nfs/dbraw/zinc/35/32/87/951353287.db2.gz CHLYBSGIVFJXAB-ZETCQYMHSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)nn1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575041798 951353294 /nfs/dbraw/zinc/35/32/94/951353294.db2.gz CHLYBSGIVFJXAB-ZETCQYMHSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@@H](CNC(=O)c1cncnc1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575045901 951434529 /nfs/dbraw/zinc/43/45/29/951434529.db2.gz JHOURXKQVRXISO-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1cnsn1)Nc1nccnc1-c1nnn[n-]1 ZINC001575053252 951475749 /nfs/dbraw/zinc/47/57/49/951475749.db2.gz AQACZUJMMFIBCP-LURJTMIESA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@@H](CNC(=O)c1cnsn1)Nc1nccnc1-c1nn[n-]n1 ZINC001575053252 951475750 /nfs/dbraw/zinc/47/57/50/951475750.db2.gz AQACZUJMMFIBCP-LURJTMIESA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@@H](CNC(=O)c1cnns1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575053744 951484492 /nfs/dbraw/zinc/48/44/92/951484492.db2.gz DMUXFSZKLCNQQO-SSDOTTSWSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@@H](CNC(=O)c1cnns1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575053744 951484499 /nfs/dbraw/zinc/48/44/99/951484499.db2.gz DMUXFSZKLCNQQO-SSDOTTSWSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@@H](CNC(=O)c1ncn(C)n1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001575066564 951597505 /nfs/dbraw/zinc/59/75/05/951597505.db2.gz GTHSEUCFUMRXCG-QMMMGPOBSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@@H](CNC(=O)c1ncn(C)n1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575066564 951597512 /nfs/dbraw/zinc/59/75/12/951597512.db2.gz GTHSEUCFUMRXCG-QMMMGPOBSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@H](CNC(=O)c1ncn(C)n1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001575067624 951619603 /nfs/dbraw/zinc/61/96/03/951619603.db2.gz NEKWWWKJIMIFFL-SSDOTTSWSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@H](CNC(=O)c1ncn(C)n1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575067624 951619610 /nfs/dbraw/zinc/61/96/10/951619610.db2.gz NEKWWWKJIMIFFL-SSDOTTSWSA-N -1 1 329.328 -0.984 20 0 EBADMM O=C([O-])CN1CC[C@@H](N2CCC[C@@H](C(=O)N3CCOCC3)C2)C1=O ZINC001595073863 951621803 /nfs/dbraw/zinc/62/18/03/951621803.db2.gz KFGWSUWGADQDRD-CHWSQXEVSA-N -1 1 339.392 -0.757 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)c1cc(-c2nn[nH]n2)c[nH]1 ZINC001575119296 951988065 /nfs/dbraw/zinc/98/80/65/951988065.db2.gz WQGCDXZOVICREX-ZETCQYMHSA-N -1 1 346.355 -0.731 20 0 EBADMM C[C@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)C1=COCCO1 ZINC001575134781 952113685 /nfs/dbraw/zinc/11/36/85/952113685.db2.gz PCWPWDPYAZFZOK-SECBINFHSA-N -1 1 346.351 -0.196 20 0 EBADMM C[C@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)C1=COCCO1 ZINC001575134781 952113693 /nfs/dbraw/zinc/11/36/93/952113693.db2.gz PCWPWDPYAZFZOK-SECBINFHSA-N -1 1 346.351 -0.196 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)Cc1ncc[nH]1 ZINC001575140489 952141771 /nfs/dbraw/zinc/14/17/71/952141771.db2.gz DHOJIMQOXCJVHD-SECBINFHSA-N -1 1 342.367 -0.697 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)C1=COCCO1 ZINC001575140689 952144030 /nfs/dbraw/zinc/14/40/30/952144030.db2.gz FFXQLZOEAFIWQA-VIFPVBQESA-N -1 1 346.351 -0.774 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)CC1(O)CCC1 ZINC001575141510 952144679 /nfs/dbraw/zinc/14/46/79/952144679.db2.gz HRNPPBXMBBBKQZ-SNVBAGLBSA-N -1 1 346.395 -0.358 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)C[C@@H]1CCOC1 ZINC001575143991 952160896 /nfs/dbraw/zinc/16/08/96/952160896.db2.gz SWVUCWLKCTUKBH-QWRGUYRKSA-N -1 1 346.395 -0.236 20 0 EBADMM O=C([O-])Cn1cccc(C(=O)N2CCN(C[C@@H]3CCOC3)CC2)c1=O ZINC001595155272 952162566 /nfs/dbraw/zinc/16/25/66/952162566.db2.gz VDZWAEDSGVJPAZ-ZDUSSCGKSA-N -1 1 349.387 -0.273 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001575144977 952175830 /nfs/dbraw/zinc/17/58/30/952175830.db2.gz ZVMJOQXYTPWUDZ-SVDPJWKOSA-N -1 1 344.379 -0.770 20 0 EBADMM C[C@@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)CCCC(N)=O ZINC001575154362 952222636 /nfs/dbraw/zinc/22/26/36/952222636.db2.gz RCFQYVHNVDQMRT-VIFPVBQESA-N -1 1 347.383 -0.429 20 0 EBADMM C[C@@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)CCCC(N)=O ZINC001575154362 952222644 /nfs/dbraw/zinc/22/26/44/952222644.db2.gz RCFQYVHNVDQMRT-VIFPVBQESA-N -1 1 347.383 -0.429 20 0 EBADMM C[C@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)c1cnn(C)c1 ZINC001575154603 952227837 /nfs/dbraw/zinc/22/78/37/952227837.db2.gz FLKMHEPKPISLGP-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)c1cnn(C)c1 ZINC001575154603 952227845 /nfs/dbraw/zinc/22/78/45/952227845.db2.gz FLKMHEPKPISLGP-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)[C@@H]1CCOC1 ZINC001575156102 952248637 /nfs/dbraw/zinc/24/86/37/952248637.db2.gz CHYOANOKCBXWRV-VHSXEESVSA-N -1 1 332.368 -0.048 20 0 EBADMM C[C@@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)[C@@H]1CCOC1 ZINC001575156102 952248643 /nfs/dbraw/zinc/24/86/43/952248643.db2.gz CHYOANOKCBXWRV-VHSXEESVSA-N -1 1 332.368 -0.048 20 0 EBADMM C[C@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)c1cnnn1C ZINC001575156899 952260163 /nfs/dbraw/zinc/26/01/63/952260163.db2.gz XQBAPGOOAJTODY-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)c1cnnn1C ZINC001575156899 952260169 /nfs/dbraw/zinc/26/01/69/952260169.db2.gz XQBAPGOOAJTODY-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)Cc1nnc[nH]1 ZINC001575177117 952398190 /nfs/dbraw/zinc/39/81/90/952398190.db2.gz GSKVNNKHLPOGFS-MRVPVSSYSA-N -1 1 343.355 -0.724 20 0 EBADMM C[C@@H](COCC1CC1)NC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001575179639 952413046 /nfs/dbraw/zinc/41/30/46/952413046.db2.gz WQALRNPQRJFGLC-QMMMGPOBSA-N -1 1 349.351 -0.940 20 0 EBADMM C[C@@](Cn1cccn1)(NC(=O)c1cccc(-c2nnn[n-]2)n1)C(N)=O ZINC001575207938 952537169 /nfs/dbraw/zinc/53/71/69/952537169.db2.gz WPQSSSKFDALGQU-AWEZNQCLSA-N -1 1 341.335 -0.868 20 0 EBADMM C[C@@](Cn1cccn1)(NC(=O)c1cccc(-c2nn[n-]n2)n1)C(N)=O ZINC001575207938 952537175 /nfs/dbraw/zinc/53/71/75/952537175.db2.gz WPQSSSKFDALGQU-AWEZNQCLSA-N -1 1 341.335 -0.868 20 0 EBADMM O=C([O-])C1(C(=O)N2CCN([C@H]3CCC[C@H]3O)CC2)CCOCC1 ZINC001594851448 954194983 /nfs/dbraw/zinc/19/49/83/954194983.db2.gz TXEQWSJOQOFEQN-QWHCGFSZSA-N -1 1 326.393 -0.075 20 0 EBADMM C[C@@H]1CN(CCCNC(=O)C(=O)N[C@H]2C[C@@H](C(=O)[O-])C2)C[C@H](C)O1 ZINC001589400613 954422391 /nfs/dbraw/zinc/42/23/91/954422391.db2.gz QWCVGZQLGVPRLM-MPZDIEGVSA-N -1 1 341.408 -0.419 20 0 EBADMM CC(C)[C@@H](CNC(=O)NC[C@@]1(CO)COC[C@@H]2CCCN21)C(=O)[O-] ZINC001602985025 972013052 /nfs/dbraw/zinc/01/30/52/972013052.db2.gz OOERCKZEMPGAEV-WOSRLPQWSA-N -1 1 343.424 -0.132 20 0 EBADMM O=C([O-])[C@H]1[C@@H](NC(=O)C(F)(F)F)CCCN1C(=O)Cc1nnc[nH]1 ZINC001594888367 954484423 /nfs/dbraw/zinc/48/44/23/954484423.db2.gz CCOVJRZSPDPWOQ-IMTBSYHQSA-N -1 1 349.269 -0.530 20 0 EBADMM C[C@@H]1COCCN1C1CCN(C(=O)[C@H]2CC(C(=O)[O-])=NO2)CC1 ZINC001589408772 954495842 /nfs/dbraw/zinc/49/58/42/954495842.db2.gz MNCYXSJNFRBYPN-ZWNOBZJWSA-N -1 1 325.365 -0.072 20 0 EBADMM CN1C[C@@H](CN2CCC(N3C[C@@H](C(=O)[O-])CC3=O)CC2)OC1=O ZINC001593772603 954554080 /nfs/dbraw/zinc/55/40/80/954554080.db2.gz MHRXAARVQNTPFZ-JQWIXIFHSA-N -1 1 325.365 -0.166 20 0 EBADMM O=C([O-])[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1CCn1cnnn1 ZINC001594894839 954558119 /nfs/dbraw/zinc/55/81/19/954558119.db2.gz AFZFHIWQOKQOGU-JGVFFNPUSA-N -1 1 336.274 -0.731 20 0 EBADMM O=C([O-])[C@@H]1CC(=O)N(CN2CC[C@@H](C(=O)NCC(F)(F)F)C2)C1 ZINC001594899049 954601242 /nfs/dbraw/zinc/60/12/42/954601242.db2.gz YUHQHMBYGIOCQW-RKDXNWHRSA-N -1 1 337.298 -0.123 20 0 EBADMM CNC(=O)CC[N@H+]1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC001593793601 954784615 /nfs/dbraw/zinc/78/46/15/954784615.db2.gz QMMBJKCUCZWHRU-CBAPKCEASA-N -1 1 325.287 -0.281 20 0 EBADMM COC(=O)[C@@H]1CN(C)CCN(C(=O)Nc2ccn(CC(=O)[O-])n2)C1 ZINC001593827308 955112045 /nfs/dbraw/zinc/11/20/45/955112045.db2.gz ALUIBBSUBUGDHA-SNVBAGLBSA-N -1 1 339.352 -0.464 20 0 EBADMM COC(=O)[C@H]1CN(C)CCN(C(=O)C2(CC(=O)[O-])CCOCC2)C1 ZINC001593827685 955115183 /nfs/dbraw/zinc/11/51/83/955115183.db2.gz GMPCORPVFSTRIO-LBPRGKRZSA-N -1 1 342.392 -0.179 20 0 EBADMM COC(=O)CC(=O)N1CCC[C@H](NC(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC001593829254 955134355 /nfs/dbraw/zinc/13/43/55/955134355.db2.gz FPZVLDYLDDGNNE-IMTBSYHQSA-N -1 1 340.254 -0.328 20 0 EBADMM Cn1cc([C@@]2(CNCCS(=O)(=O)CC(=O)[O-])CCCO2)cn1 ZINC001594531166 955534075 /nfs/dbraw/zinc/53/40/75/955534075.db2.gz HCXYZQHJGCVEKT-ZDUSSCGKSA-N -1 1 331.394 -0.485 20 0 EBADMM COC(=O)c1ncccc1S(=O)(=O)N(CCN(C)C)CC(=O)[O-] ZINC001593897552 955835571 /nfs/dbraw/zinc/83/55/71/955835571.db2.gz HXYFXLYQDXPBOB-UHFFFAOYSA-N -1 1 345.377 -0.495 20 0 EBADMM C[C@H](NC(=O)N1C[C@H]2COC[C@@]2(C(=O)[O-])C1)[C@H]1CN(C)CCN1C ZINC001589103371 956021824 /nfs/dbraw/zinc/02/18/24/956021824.db2.gz NLTANUWXBSHZLA-JFILPPLUSA-N -1 1 340.424 -0.637 20 0 EBADMM COC[C@@]1(C(=O)[O-])CCN(C(=O)C(=O)N[C@@H]2CCN(C3CC3)C2)C1 ZINC001593959085 956469353 /nfs/dbraw/zinc/46/93/53/956469353.db2.gz QZATYDKMSLFYDA-BDJLRTHQSA-N -1 1 339.392 -0.711 20 0 EBADMM COC[C@]1(C(=O)[O-])CCN(C(=O)C(=O)N[C@H]2CCN(C3CC3)C2)C1 ZINC001593959091 956469511 /nfs/dbraw/zinc/46/95/11/956469511.db2.gz QZATYDKMSLFYDA-ZBEGNZNMSA-N -1 1 339.392 -0.711 20 0 EBADMM COCCN(C)CCNC(=O)C(=O)N1CC[C@@H](C(=O)[O-])[C@@H](C)C1 ZINC001593995868 956897696 /nfs/dbraw/zinc/89/76/96/956897696.db2.gz ZEIOAZKRFPXOQJ-NWDGAFQWSA-N -1 1 329.397 -0.750 20 0 EBADMM COCCN1CC[C@H](NC(=O)N2C[C@H]3COC[C@@]3(C(=O)[O-])C2)C1 ZINC001594015060 957025815 /nfs/dbraw/zinc/02/58/15/957025815.db2.gz NZOYANHIXVHADE-HUBLWGQQSA-N -1 1 327.381 -0.550 20 0 EBADMM COCCN1CC[C@@H](NC(=O)C(=O)N[C@@H]2CC[C@H](C(=O)[O-])C2)C1 ZINC001594015414 957033161 /nfs/dbraw/zinc/03/31/61/957033161.db2.gz ZKAJCRAJYMTOKS-QJPTWQEYSA-N -1 1 327.381 -0.807 20 0 EBADMM O=C([O-])c1ccc(F)c(S(=O)(=O)NCC(=O)N2CCNCC2)c1 ZINC000390405962 972282446 /nfs/dbraw/zinc/28/24/46/972282446.db2.gz LOYIUWJSELIWTA-UHFFFAOYSA-N -1 1 345.352 -0.766 20 0 EBADMM CC(C)(O)CN1CCN(CC(=O)N2CCC(C(=O)[O-])CC2)CC1 ZINC000391271550 972327018 /nfs/dbraw/zinc/32/70/18/972327018.db2.gz FFZOYWOEANRSHN-UHFFFAOYSA-N -1 1 327.425 -0.302 20 0 EBADMM C[C@@H](C(=O)[O-])N(C1CC1)S(=O)(=O)CCCN1CCN(C)CC1 ZINC001588591541 959346122 /nfs/dbraw/zinc/34/61/22/959346122.db2.gz QEQKAWPHOMDCLL-LBPRGKRZSA-N -1 1 333.454 -0.109 20 0 EBADMM C[C@H]1CN(C2CCOCC2)CCN1C(=O)[C@@H]1CC(C(=O)[O-])=NO1 ZINC001594246024 959569818 /nfs/dbraw/zinc/56/98/18/959569818.db2.gz SEMKZVAOJMCRDS-GWCFXTLKSA-N -1 1 325.365 -0.072 20 0 EBADMM COC(=O)[C@@H]1O[C@@H](C(=O)N[C@H](Cc2cnc[nH]2)C(=O)[O-])C[C@H]1C ZINC001571164886 961986324 /nfs/dbraw/zinc/98/63/24/961986324.db2.gz XLCIKAFOCRWONQ-QCNRFFRDSA-N -1 1 325.321 -0.512 20 0 EBADMM CC(C)CN(Cc1nn[nH]n1)C(=O)c1cccn(CC(=O)[O-])c1=O ZINC001588870273 962051875 /nfs/dbraw/zinc/05/18/75/962051875.db2.gz DIPAHQBFTLUASK-UHFFFAOYSA-N -1 1 334.336 -0.256 20 0 EBADMM C[C@@H]1SC[C@@H](C(=O)NCCC(=O)[O-])N1C(=O)Cc1c[nH]cn1 ZINC001571172728 962061026 /nfs/dbraw/zinc/06/10/26/962061026.db2.gz FROOMWFOVUQDPB-WPRPVWTQSA-N -1 1 326.378 -0.167 20 0 EBADMM O=C([O-])c1nccc(N2CCC(NC(=O)Cc3nnc[nH]3)CC2)n1 ZINC001571197015 962325501 /nfs/dbraw/zinc/32/55/01/962325501.db2.gz UNTGMTLAGBLJTD-UHFFFAOYSA-N -1 1 331.336 -0.379 20 0 EBADMM O=C([O-])c1cnc(N[C@H]2CCN(C(=O)CCc3nc[nH]n3)C2)nc1 ZINC001571197262 962329072 /nfs/dbraw/zinc/32/90/72/962329072.db2.gz ZIYHZLDNQZUZBQ-JTQLQIEISA-N -1 1 331.336 -0.062 20 0 EBADMM COCC[N@H+]1CC[C@H](NC(=O)N2CCO[C@@](COC)(C(=O)[O-])C2)C1 ZINC001574163504 962711774 /nfs/dbraw/zinc/71/17/74/962711774.db2.gz SOOZTTXGANDXHK-WFASDCNBSA-N -1 1 345.396 -0.781 20 0 EBADMM COCCN1CC[C@H](NC(=O)N2CCO[C@@](COC)(C(=O)[O-])C2)C1 ZINC001574163504 962711793 /nfs/dbraw/zinc/71/17/93/962711793.db2.gz SOOZTTXGANDXHK-WFASDCNBSA-N -1 1 345.396 -0.781 20 0 EBADMM Cn1nnc(Br)c1C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000312549122 963573812 /nfs/dbraw/zinc/57/38/12/963573812.db2.gz RQEHKJTVPSTNFO-LURJTMIESA-N -1 1 343.141 -0.274 20 0 EBADMM C[C@]12CCC(=O)N1[C@H](C(=O)N[C@H](Cc1cnc[nH]1)C(=O)[O-])CS2 ZINC000320696209 970701253 /nfs/dbraw/zinc/70/12/53/970701253.db2.gz RCKRHLRUDSIMSW-BFVZDQMLSA-N -1 1 338.389 -0.024 20 0 EBADMM CN(CCC(=O)[O-])C(=O)N[C@H]1CCC[N@@H+](CCN2CCOCC2)C1 ZINC001604133726 972541088 /nfs/dbraw/zinc/54/10/88/972541088.db2.gz ASWKZRQWWQQRFU-AWEZNQCLSA-N -1 1 342.440 -0.101 20 0 EBADMM CN(CCC(=O)[O-])C(=O)N[C@H]1CCCN(CCN2CCOCC2)C1 ZINC001604133726 972541097 /nfs/dbraw/zinc/54/10/97/972541097.db2.gz ASWKZRQWWQQRFU-AWEZNQCLSA-N -1 1 342.440 -0.101 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N[C@H]2CCN(CCN3CCOCC3)C2)CCCO1 ZINC001605922598 972807875 /nfs/dbraw/zinc/80/78/75/972807875.db2.gz DJYAAPPYVNVRJA-BBRMVZONSA-N -1 1 341.408 -0.857 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)[O-])CN(CN2CC3(CCOCC3)[C@@H](O)C2=O)C1 ZINC001603367781 972871700 /nfs/dbraw/zinc/87/17/00/972871700.db2.gz IYOYNJPOFZHADF-UPJWGTAASA-N -1 1 326.393 -0.014 20 0 EBADMM C[C@@H]1C[C@H](C(=O)[O-])CN(CN2CC3(CCOCC3)[C@@H](O)C2=O)C1 ZINC001603367778 972871801 /nfs/dbraw/zinc/87/18/01/972871801.db2.gz IYOYNJPOFZHADF-AGIUHOORSA-N -1 1 326.393 -0.014 20 0 EBADMM COC[C@@]1(C(=O)[O-])CN(C(=O)CN2CCC(CO)CC2)CCO1 ZINC001604550459 973556592 /nfs/dbraw/zinc/55/65/92/973556592.db2.gz IOPNSNRJEAESHV-OAHLLOKOSA-N -1 1 330.381 -0.981 20 0 EBADMM O=C([O-])CN1CCCC[C@@H](NC(=O)C2CN([C@@H]3CCOC3)C2)C1=O ZINC001606258527 973611523 /nfs/dbraw/zinc/61/15/23/973611523.db2.gz WKDCDYGEENFHIB-CHWSQXEVSA-N -1 1 339.392 -0.711 20 0 EBADMM Cn1cnc(C(=O)N2CCC[C@H](NC(=O)C(F)(F)F)[C@@H]2C(=O)[O-])n1 ZINC001605519413 973700903 /nfs/dbraw/zinc/70/09/03/973700903.db2.gz HJBGYTVCTYIGQV-NKWVEPMBSA-N -1 1 349.269 -0.449 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)N2CC[C@@H](C(=O)[O-])[C@H](C)C2)C1 ZINC001604612616 973732082 /nfs/dbraw/zinc/73/20/82/973732082.db2.gz OHBQJKDBJZHLGX-FRRDWIJNSA-N -1 1 341.408 -0.608 20 0 EBADMM O=C([O-])C1(NCC(=O)NC(=O)NCC(F)(F)F)CCOCC1 ZINC001605699278 974125367 /nfs/dbraw/zinc/12/53/67/974125367.db2.gz RJFABPZTVIFLNN-UHFFFAOYSA-N -1 1 327.259 -0.402 20 0 EBADMM CCN1CCN(CC(=O)N[C@H]2CCCCN(CC(=O)[O-])C2=O)CC1 ZINC001603763383 974209283 /nfs/dbraw/zinc/20/92/83/974209283.db2.gz AOFQJKKWWDGPTI-ZDUSSCGKSA-N -1 1 340.424 -0.794 20 0 EBADMM CCOCCNC(=O)CN1C[C@H](C(=O)[O-])C2(CC(C(=O)OC)C2)C1 ZINC001603841147 974337209 /nfs/dbraw/zinc/33/72/09/974337209.db2.gz AGJCSKVBKQYQMH-BWBHSNQOSA-N -1 1 342.392 -0.275 20 0 EBADMM CN(C)CCN(CC(=O)[O-])S(=O)(=O)c1cnn2ccccc12 ZINC001604067330 974602098 /nfs/dbraw/zinc/60/20/98/974602098.db2.gz CTIGMWSYXLCFPK-UHFFFAOYSA-N -1 1 326.378 -0.029 20 0 EBADMM CC(C)OCCN1CCN(CCNC(=O)[C@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001591725072 975676891 /nfs/dbraw/zinc/67/68/91/975676891.db2.gz TZBCEMIHTCJZTF-KBPBESRZSA-N -1 1 327.425 -0.134 20 0 EBADMM CC(C)OCCN1CCN(CCNC(=O)[C@@H]2C[C@H]2C(=O)[O-])CC1 ZINC001591725077 975677118 /nfs/dbraw/zinc/67/71/18/975677118.db2.gz TZBCEMIHTCJZTF-ZIAGYGMSSA-N -1 1 327.425 -0.134 20 0 EBADMM CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N1C[C@H](O)C[C@H](C(=O)[O-])C1 ZINC001591858658 976150749 /nfs/dbraw/zinc/15/07/49/976150749.db2.gz FNNLLGZVALBPOE-YIZRAAEISA-N -1 1 340.298 -0.017 20 0 EBADMM CC(C)[C@H](NC(=O)CN1CCN(CCC(=O)N(C)C)CC1)C(=O)[O-] ZINC001591870929 976205737 /nfs/dbraw/zinc/20/57/37/976205737.db2.gz UILHLXXPMQEDPW-HNNXBMFYSA-N -1 1 342.440 -0.692 20 0 EBADMM CC(C)[C@H](NS(C)(=O)=O)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001591905438 976305080 /nfs/dbraw/zinc/30/50/80/976305080.db2.gz QGRZIYHTSFKRNR-UWVGGRQHSA-N -1 1 332.382 -0.905 20 0 EBADMM C[C@](CCF)(NC(=O)c1cc(S(N)(=O)=O)ccc1O)C(=O)[O-] ZINC001592497568 978300202 /nfs/dbraw/zinc/30/02/02/978300202.db2.gz ANAMSOGREXVJHN-GFCCVEGCSA-N -1 1 334.325 -0.028 20 0 EBADMM C[C@@H](CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1=O)N1CCN(C)CC1 ZINC001592651364 978633012 /nfs/dbraw/zinc/63/30/12/978633012.db2.gz WLWHNYXBVOKHKW-QWHCGFSZSA-N -1 1 340.424 -0.938 20 0 EBADMM C[C@H](CNC(=O)N1C[C@H](C)O[C@H](C(=O)[O-])C1)N1CCN(C)CC1 ZINC001592663676 978648247 /nfs/dbraw/zinc/64/82/47/978648247.db2.gz HGTPZDWWNMVJRT-AGIUHOORSA-N -1 1 328.413 -0.494 20 0 EBADMM C[C@@H](CNS(=O)(=O)c1cc(C(=O)[O-])[nH]n1)CN1CCN(C)CC1 ZINC001592715756 979212818 /nfs/dbraw/zinc/21/28/18/979212818.db2.gz HEVQMUQMIRPOFP-JTQLQIEISA-N -1 1 345.425 -0.730 20 0 EBADMM C[C@@H](CNS(=O)(=O)c1cccnc1C(=O)[O-])N1CCN(C)CC1 ZINC001592721863 979221045 /nfs/dbraw/zinc/22/10/45/979221045.db2.gz HHOPRUKCUPQZOF-NSHDSACASA-N -1 1 342.421 -0.306 20 0 EBADMM C[C@@H](Cc1cnc[nH]1)C(=O)N1CCN([C@@]2(C(=O)[O-])CCOC2)CC1 ZINC001592907979 979860317 /nfs/dbraw/zinc/86/03/17/979860317.db2.gz VKWGHIBGJQBZJP-LRDDRELGSA-N -1 1 336.392 -0.024 20 0 EBADMM C[C@H](NC(=O)CCc1nc[nH]n1)[C@H](C)Nc1ccnc(C(=O)[O-])n1 ZINC001593019900 980275140 /nfs/dbraw/zinc/27/51/40/980275140.db2.gz LGJASDZIPCLLNR-IUCAKERBSA-N -1 1 333.352 -0.347 20 0 EBADMM C[C@H](NC(=O)Cn1cccc(C(=O)[O-])c1=O)[C@H]1CN(C)CCN1C ZINC001593052045 980351288 /nfs/dbraw/zinc/35/12/88/980351288.db2.gz VAICFDXBXANKOM-WCQYABFASA-N -1 1 336.392 -0.703 20 0 EBADMM CC[C@H](C)[C@H](NC(=O)CN1C[C@@H]2C(=O)N(C)C(=O)[C@@H]2C1)C(=O)[O-] ZINC001594894788 982327342 /nfs/dbraw/zinc/32/73/42/982327342.db2.gz ZJVWZNXPOJZEQN-GUDRVLHUSA-N -1 1 325.365 -0.852 20 0 EBADMM CC[C@@H](C)[C@H](NC(=O)CN1C[C@@H]2C(=O)N(C)C(=O)[C@@H]2C1)C(=O)[O-] ZINC001594894790 982327512 /nfs/dbraw/zinc/32/75/12/982327512.db2.gz ZJVWZNXPOJZEQN-SVDPJWKOSA-N -1 1 325.365 -0.852 20 0 EBADMM CCN(C(=O)C(=O)N1C[C@@H](C)O[C@@H](C(=O)[O-])C1)[C@H]1CCN(C)C1 ZINC001596128173 983471886 /nfs/dbraw/zinc/47/18/86/983471886.db2.gz KQYFBEOIJZCUAY-GRYCIOLGSA-N -1 1 327.381 -0.761 20 0 EBADMM CCN(c1ncc(C(=O)[O-])cn1)[C@H](C)CNC(=O)Cc1nnc[nH]1 ZINC001596388277 983917819 /nfs/dbraw/zinc/91/78/19/983917819.db2.gz PESHPIGOTHMEDF-SECBINFHSA-N -1 1 333.352 -0.133 20 0 EBADMM CCOC(=O)C1CCN(C(=O)CN2CC[C@@](OC)(C(=O)[O-])C2)CC1 ZINC001596576384 984483776 /nfs/dbraw/zinc/48/37/76/984483776.db2.gz KSPSLKWSHBKCPY-INIZCTEOSA-N -1 1 342.392 -0.036 20 0 EBADMM CC(=O)N[C@@H]1CCCN(C(=O)CN2CCSC[C@H](C(=O)[O-])C2)C1 ZINC001589119910 985382215 /nfs/dbraw/zinc/38/22/15/985382215.db2.gz KIUNAVNRUUCLCK-CHWSQXEVSA-N -1 1 343.449 -0.137 20 0 EBADMM C[C@@H]1CN(CCO)[C@@H](C)CN1C(=O)NC[C@H]1CCO[C@H]1C(=O)[O-] ZINC001594475733 985487788 /nfs/dbraw/zinc/48/77/88/985487788.db2.gz NJQJTKGACWDUFU-UMSGYPCISA-N -1 1 329.397 -0.427 20 0 EBADMM C[C@H](C(=O)N(CCN1CCN(C)CC1)CC(=O)[O-])c1cnccn1 ZINC001589341716 986256004 /nfs/dbraw/zinc/25/60/04/986256004.db2.gz ACVNIWPZVGPDOY-ZDUSSCGKSA-N -1 1 335.408 -0.259 20 0 EBADMM C[C@H](C(=O)N1CCC(C(N)=O)CC1)N1CCC([C@@H](O)C(=O)[O-])CC1 ZINC001589352297 986322652 /nfs/dbraw/zinc/32/26/52/986322652.db2.gz JFNPQUBLLJAQFH-ZWNOBZJWSA-N -1 1 341.408 -0.744 20 0 EBADMM C[C@@H]1CN2CCN1C[C@H]2C(=O)N1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001594584029 986455052 /nfs/dbraw/zinc/45/50/52/986455052.db2.gz BPKIJSZXVXUWOT-WZRBSPASSA-N -1 1 334.380 -0.862 20 0 EBADMM C[C@H](C(=O)NCC(=O)[O-])N1CC[C@H](C(=O)NCC(F)(F)F)C1 ZINC001589397969 986544461 /nfs/dbraw/zinc/54/44/61/986544461.db2.gz XSZGTGZJRWNULH-SFYZADRCSA-N -1 1 325.287 -0.424 20 0 EBADMM C[C@H](C(=O)NC1CCCC1)N1CCN(C[C@@](C)(O)C(=O)[O-])CC1 ZINC001589398181 986547633 /nfs/dbraw/zinc/54/76/33/986547633.db2.gz JRNMBJHGBDLDOD-MLGOLLRUSA-N -1 1 327.425 -0.113 20 0 EBADMM C[C@@H]1OCC[C@H]1S(=O)(=O)N(CCN1CCN(C)CC1)CC(=O)[O-] ZINC001594649217 986861226 /nfs/dbraw/zinc/86/12/26/986861226.db2.gz TUSZXFFAQKCLMZ-QWHCGFSZSA-N -1 1 349.453 -0.872 20 0 EBADMM CC(C)(C(=O)[O-])N1CCN(C(=O)C(=O)N2CCC[C@H](F)C2)CC1 ZINC001589621144 987501974 /nfs/dbraw/zinc/50/19/74/987501974.db2.gz UCCFWVRKVSWPOR-NSHDSACASA-N -1 1 329.372 -0.046 20 0 EBADMM CCc1c(C(=O)N(CCN2CCN(C)CC2)CC(=O)[O-])cnn1C ZINC001597400779 987665453 /nfs/dbraw/zinc/66/54/53/987665453.db2.gz NJOAXWFTGHKVKM-UHFFFAOYSA-N -1 1 337.424 -0.243 20 0 EBADMM C[C@@H]1CN(C(=O)NCCCCN2CCOCC2)C[C@@H](C(=O)[O-])O1 ZINC001599860510 989159218 /nfs/dbraw/zinc/15/92/18/989159218.db2.gz MQDJKDAHBGEVAH-OLZOCXBDSA-N -1 1 329.397 -0.018 20 0 EBADMM CN(C)Cc1ccc(C(=O)N2CCC[C@H]2C(=O)NCC(=O)[O-])cn1 ZINC001598248734 991023481 /nfs/dbraw/zinc/02/34/81/991023481.db2.gz JNBOMNNFQLMHDL-ZDUSSCGKSA-N -1 1 334.376 -0.051 20 0 EBADMM CN(C)S(=O)(=O)CCN1CCC(O)(C2(C(=O)[O-])CCC2)CC1 ZINC001598262582 991100910 /nfs/dbraw/zinc/10/09/10/991100910.db2.gz XLZXNQMHROHTLF-UHFFFAOYSA-N -1 1 334.438 -0.040 20 0 EBADMM CN(C)S(=O)(=O)c1ccc(CN2CC[C@](O)(C(=O)[O-])C2)cc1 ZINC001598271424 991140878 /nfs/dbraw/zinc/14/08/78/991140878.db2.gz UQLHDKGOHLSIGO-CQSZACIVSA-N -1 1 328.390 -0.042 20 0 EBADMM CN(C)S(=O)(=O)n1ccnc1CNC[C@@]1(C(=O)[O-])CCOC1 ZINC001598282461 991165677 /nfs/dbraw/zinc/16/56/77/991165677.db2.gz LCJGUSUQNFXEAX-LBPRGKRZSA-N -1 1 332.382 -0.882 20 0 EBADMM CN(CC(=O)N[C@]1(CC(=O)[O-])CCOC1)c1ncnc2[nH]cnc21 ZINC001598386393 991604531 /nfs/dbraw/zinc/60/45/31/991604531.db2.gz DCKPZUARNBXXJC-AWEZNQCLSA-N -1 1 334.336 -0.461 20 0 EBADMM CN(CC(=O)N[C@H](CCC1OCCO1)C(=O)[O-])[C@@H]1CCC[C@H]1O ZINC001598387756 991623669 /nfs/dbraw/zinc/62/36/69/991623669.db2.gz UXPBPAVUFBKSRZ-IJLUTSLNSA-N -1 1 330.381 -0.446 20 0 EBADMM CN(CC1(C)COC1)C(=O)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC001598441623 991855545 /nfs/dbraw/zinc/85/55/45/991855545.db2.gz FNQXNAUKOJDMGG-UHFFFAOYSA-N -1 1 341.408 -0.511 20 0 EBADMM CN(CCC(=O)[O-])S(=O)(=O)N1CCNC[C@@H]1c1nccn1C ZINC001598471277 992029801 /nfs/dbraw/zinc/02/98/01/992029801.db2.gz ZNDLMPLRPRCXSP-SNVBAGLBSA-N -1 1 331.398 -0.982 20 0 EBADMM CS(=O)(=O)N1CCC(NC(=O)CN2CCC[C@H](C(=O)[O-])C2)CC1 ZINC001599755698 992050121 /nfs/dbraw/zinc/05/01/21/992050121.db2.gz KQGIPZWQZORANF-NSHDSACASA-N -1 1 347.437 -0.677 20 0 EBADMM CS(=O)(=O)Nc1ccc(C(=O)NC[C@@]2(C(=O)[O-])CCOC2)cn1 ZINC001599761307 992125306 /nfs/dbraw/zinc/12/53/06/992125306.db2.gz VNANRHYQKOGWSF-ZDUSSCGKSA-N -1 1 343.361 -0.326 20 0 EBADMM CS(=O)(=O)[N-]c1ccccc1C(=O)N1CC[NH+](CC(=O)[O-])CC1 ZINC001599762097 992136538 /nfs/dbraw/zinc/13/65/38/992136538.db2.gz JJBXOVWCGHLJLG-UHFFFAOYSA-N -1 1 341.389 -0.100 20 0 EBADMM CS(C)(=O)=NS(=O)(=O)CCNC[C@@H]1[C@@H](C(=O)[O-])C1(F)F ZINC001599781727 992372683 /nfs/dbraw/zinc/37/26/83/992372683.db2.gz SZYVVZOOTOUYBK-RQJHMYQMSA-N -1 1 334.366 -0.401 20 0 EBADMM CN1CCC[C@@H]1C(=O)NC[C@H]1C[C@H](Nc2ccnc(C(=O)[O-])n2)C1 ZINC001598602783 993587068 /nfs/dbraw/zinc/58/70/68/993587068.db2.gz PKEGNYFTACVNOH-IJLUTSLNSA-N -1 1 333.392 -0.002 20 0 EBADMM CN1CCN(C(=O)C(=O)Nc2cnn(CC3CC3)c2)C[C@@H](C(=O)[O-])C1 ZINC001598603224 993598414 /nfs/dbraw/zinc/59/84/14/993598414.db2.gz CWYJNUPTXWKSPR-LBPRGKRZSA-N -1 1 349.391 -0.294 20 0 EBADMM CN1CCN(C(=O)C(=O)Nc2ccc3nccn3c2)C[C@@H](C(=O)[O-])C1 ZINC001598605141 993635755 /nfs/dbraw/zinc/63/57/55/993635755.db2.gz ZPQYGVOBOYCIDT-NSHDSACASA-N -1 1 345.359 -0.252 20 0 EBADMM CN1CCN(C(=O)c2cncc(-n3ccnn3)c2)C[C@H](C(=O)[O-])C1 ZINC001598613459 993830048 /nfs/dbraw/zinc/83/00/48/993830048.db2.gz UWOXGRLEMBEMJA-GFCCVEGCSA-N -1 1 330.348 -0.249 20 0 EBADMM Cn1nc2c(c1C(=O)N(CCN1CCN(C)CC1)CC(=O)[O-])CCC2 ZINC001598617057 993904228 /nfs/dbraw/zinc/90/42/28/993904228.db2.gz SIQUFKBTGHLQCW-UHFFFAOYSA-N -1 1 349.435 -0.317 20 0 EBADMM CN1CCN(CCCS(=O)(=O)N2CCOC[C@@H]2CC(=O)[O-])CC1 ZINC001598617855 993926678 /nfs/dbraw/zinc/92/66/78/993926678.db2.gz IWRPSWYEDOTRIZ-ZDUSSCGKSA-N -1 1 349.453 -0.871 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)C2=C[S@](=O)CCC2)CC1 ZINC001598618050 993930664 /nfs/dbraw/zinc/93/06/64/993930664.db2.gz QNFANGYYYXVATA-HSZRJFAPSA-N -1 1 343.449 -0.427 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)/C=C/c2cnccn2)CC1 ZINC001598618084 993932186 /nfs/dbraw/zinc/93/21/86/993932186.db2.gz RIRYPUACUBIOSF-NSCUHMNNSA-N -1 1 333.392 -0.350 20 0 EBADMM CN1CCN(c2ccccc2CNC(=O)N2CC(O)(C(=O)[O-])C2)CC1 ZINC001598622857 994034474 /nfs/dbraw/zinc/03/44/74/994034474.db2.gz IAYQLWZCURYARK-UHFFFAOYSA-N -1 1 348.403 -0.221 20 0 EBADMM CN1CCN(c2ccccc2NC(=O)NCC(=O)NCC(=O)[O-])CC1 ZINC001598623103 994039993 /nfs/dbraw/zinc/03/99/93/994039993.db2.gz WGBMAWXQTQGAOG-UHFFFAOYSA-N -1 1 349.391 -0.239 20 0 EBADMM C[C@@H](N[C@H](C(=O)[O-])C1CC1)C(=O)N1CCN(c2ncccn2)CC1 ZINC001593190038 994117610 /nfs/dbraw/zinc/11/76/10/994117610.db2.gz KETLEIYILUKYIL-YPMHNXCESA-N -1 1 333.392 -0.034 20 0 EBADMM C[C@H](O)CN1CCN(C(=O)CN2CCC[C@H](C(=O)[O-])C2=O)[C@@H](C)C1 ZINC001593462768 995389352 /nfs/dbraw/zinc/38/93/52/995389352.db2.gz OWQLJQYBGQUBJL-AVGNSLFASA-N -1 1 341.408 -0.777 20 0 EBADMM COC(=O)[C@@H]1CN(CC(=O)N2CCOC(C)(C)C2)C[C@H]1C(=O)[O-] ZINC001598820151 996316160 /nfs/dbraw/zinc/31/61/60/996316160.db2.gz GUYQAIHJDYPTNE-GHMZBOCLSA-N -1 1 328.365 -0.571 20 0 EBADMM COC(=O)[C@@H]1CN(CC(=O)N(C)Cc2cnn(C)c2)C[C@@H]1C(=O)[O-] ZINC001598823724 996358809 /nfs/dbraw/zinc/35/88/09/996358809.db2.gz WWYCWPHLPWEMTB-NWDGAFQWSA-N -1 1 338.364 -0.816 20 0 EBADMM COC(=O)[C@@H]1CN(Cc2cccc(S(N)(=O)=O)c2)C[C@@H]1C(=O)[O-] ZINC001598825332 996376188 /nfs/dbraw/zinc/37/61/88/996376188.db2.gz CJBOONNQFDTPLG-NWDGAFQWSA-N -1 1 342.373 -0.360 20 0 EBADMM COC[C@@]1(C)NC(=O)N(CN2C[C@@H](C(=O)[O-])[C@H](C3CC3)C2)C1=O ZINC001599194718 997193012 /nfs/dbraw/zinc/19/30/12/997193012.db2.gz ILBPRMFLGYPURP-FIXISWKDSA-N -1 1 325.365 -0.057 20 0 EBADMM COC[C@]1(C(=O)[O-])CC[N@H+]([C@H]2CCCN(CCC(=O)[O-])C2=O)C1 ZINC001599195775 997212888 /nfs/dbraw/zinc/21/28/88/997212888.db2.gz UBCPTBRBPKCSRJ-NHYWBVRUSA-N -1 1 328.365 -0.125 20 0 EBADMM COC(=O)[C@H]1CC[C@@H](C(=O)N2CCN(CCC(=O)[O-])[C@@H](C)C2)O1 ZINC001598905363 997253680 /nfs/dbraw/zinc/25/36/80/997253680.db2.gz JXQZIAAEDLCIJI-SDDRHHMPSA-N -1 1 328.365 -0.286 20 0 EBADMM COC(=O)[C@H]1CC[C@@H](C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)O1 ZINC001598906291 997269932 /nfs/dbraw/zinc/26/99/32/997269932.db2.gz PPSMAYYDSZJRIY-SDDRHHMPSA-N -1 1 328.365 -0.286 20 0 EBADMM COCCC[N@@H+](C)CCNS(=O)(=O)c1cc(C(=O)[O-])cn1C ZINC001599221770 997683146 /nfs/dbraw/zinc/68/31/46/997683146.db2.gz BVQHXICRFPHEKL-UHFFFAOYSA-N -1 1 333.410 -0.030 20 0 EBADMM COCCCN(C)CCNS(=O)(=O)c1cc(C(=O)[O-])cn1C ZINC001599221770 997683153 /nfs/dbraw/zinc/68/31/53/997683153.db2.gz BVQHXICRFPHEKL-UHFFFAOYSA-N -1 1 333.410 -0.030 20 0 EBADMM COCCN(C)CCNC(=O)C(=O)Nc1cc(C)[nH]c1C(=O)[O-] ZINC001599230766 997848050 /nfs/dbraw/zinc/84/80/50/997848050.db2.gz GUGPBNKXVFXLSZ-UHFFFAOYSA-N -1 1 326.353 -0.346 20 0 EBADMM COCCNCC(=O)Nc1cc(C(=O)[O-])cc(S(C)(=O)=O)c1 ZINC001599244888 998122766 /nfs/dbraw/zinc/12/27/66/998122766.db2.gz LWTDUZDZVUSQLC-UHFFFAOYSA-N -1 1 330.362 -0.037 20 0 EBADMM COCCOCCc1ncc(C(=O)N2C[C@H](C)O[C@H](C(=O)[O-])C2)[nH]1 ZINC001599251566 998241329 /nfs/dbraw/zinc/24/13/29/998241329.db2.gz MUYVUTJPIZKIKF-JQWIXIFHSA-N -1 1 341.364 -0.071 20 0 EBADMM COC(=O)c1cnn(CC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)c1 ZINC001599011033 998898562 /nfs/dbraw/zinc/89/85/62/998898562.db2.gz FXBOUIIZYKLAQJ-LLVKDONJSA-N -1 1 338.364 -0.323 20 0 EBADMM C[C@H]1CCN(C(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)CCO1 ZINC001594394333 999485869 /nfs/dbraw/zinc/48/58/69/999485869.db2.gz UUTIEDJJMPKAIO-LBPRGKRZSA-N -1 1 341.408 -0.369 20 0 EBADMM CN(CCNC(=O)c1ccc2c(c1)ncn2C)Cc1nc(=O)n(C)[n-]1 ZINC001480883338 1125804930 /nfs/dbraw/zinc/80/49/30/1125804930.db2.gz HOHPXLPBIGRVAS-UHFFFAOYSA-N -1 1 343.391 -0.143 20 0 EBADMM CC[C@H](C[N@H+]1CC[C@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O)OC ZINC001670700275 1125813577 /nfs/dbraw/zinc/81/35/77/1125813577.db2.gz AOYBAECPTVWKKU-QWHCGFSZSA-N -1 1 338.408 -0.458 20 0 EBADMM CN(CCNC(=O)c1ccc2nonc2c1)Cc1nc(=O)n(C)[n-]1 ZINC001480912438 1125861457 /nfs/dbraw/zinc/86/14/57/1125861457.db2.gz MPOWGYRNMQMPFY-UHFFFAOYSA-N -1 1 331.336 -0.494 20 0 EBADMM CN(CCCNC(=O)C(C)(F)F)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001687363519 1125870118 /nfs/dbraw/zinc/87/01/18/1125870118.db2.gz BLRPAEKGGLCYRK-UHFFFAOYSA-N -1 1 342.306 -0.349 20 0 EBADMM CN(CCNC(=O)Cn1ncc2ccccc21)Cc1nc(=O)n(C)[n-]1 ZINC001480923817 1125873473 /nfs/dbraw/zinc/87/34/73/1125873473.db2.gz DQBGEIPWLZGOBT-UHFFFAOYSA-N -1 1 343.391 -0.294 20 0 EBADMM CCn1nc(C)c(C(=O)NCCN(C)Cc2nc(=O)n(C)[n-]2)c1C ZINC001480924248 1125874422 /nfs/dbraw/zinc/87/44/22/1125874422.db2.gz MATILAYYKAKLMX-UHFFFAOYSA-N -1 1 335.412 -0.197 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCN(C)C(=O)c1ccncc1 ZINC001408633237 1125896484 /nfs/dbraw/zinc/89/64/84/1125896484.db2.gz HSWKQSQYWYQXRF-UHFFFAOYSA-N -1 1 347.331 -0.207 20 0 EBADMM Cc1cnn(CC(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)c1 ZINC001481029538 1125909497 /nfs/dbraw/zinc/90/94/97/1125909497.db2.gz VPNKJMYKGMHKNO-LBPRGKRZSA-N -1 1 333.396 -0.606 20 0 EBADMM Cn1cc(CN2CCC[C@@H]2CNC(=O)Cn2c(=O)[n-][nH]c2=O)cn1 ZINC001481067572 1125916283 /nfs/dbraw/zinc/91/62/83/1125916283.db2.gz UXLUDDPYECPHMZ-LLVKDONJSA-N -1 1 335.368 -0.796 20 0 EBADMM Cn1cc(CCC(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001481072123 1125916550 /nfs/dbraw/zinc/91/65/50/1125916550.db2.gz VWVSWTLXXWHQJN-CYBMUJFWSA-N -1 1 347.423 -0.445 20 0 EBADMM Cn1[nH]c(CN2CCC[C@H]2CNC(=O)CCc2cnc[nH]2)nc1=O ZINC001481043044 1125912366 /nfs/dbraw/zinc/91/23/66/1125912366.db2.gz NOZWRFXFDNCRRJ-LBPRGKRZSA-N -1 1 333.396 -0.455 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1cnn(C)c1-n1cccc1 ZINC001481264142 1125957013 /nfs/dbraw/zinc/95/70/13/1125957013.db2.gz NMGMHLSGGAZXKQ-UHFFFAOYSA-N -1 1 344.379 -0.104 20 0 EBADMM O=C(NCC[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)c1ccc(=O)[nH]n1 ZINC001481416262 1125984087 /nfs/dbraw/zinc/98/40/87/1125984087.db2.gz AGCPJLXXVWFAPT-VIFPVBQESA-N -1 1 333.352 -0.352 20 0 EBADMM CCCN(C(=O)c1cncn1C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001481402234 1125982553 /nfs/dbraw/zinc/98/25/53/1125982553.db2.gz UCRROCLVHQJPHN-LBPRGKRZSA-N -1 1 347.423 -0.031 20 0 EBADMM CCC(=O)N[C@@H](C)C(=O)N1CC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001481484598 1126001335 /nfs/dbraw/zinc/00/13/35/1126001335.db2.gz AOXVKMOKQMFGGZ-WDEREUQCSA-N -1 1 338.412 -0.295 20 0 EBADMM C[C@@H](CC(=O)N(CCNC(=O)c1ncccc1[O-])C1CC1)NC(N)=O ZINC001408910374 1126020428 /nfs/dbraw/zinc/02/04/28/1126020428.db2.gz URXXZZIIABJGAN-JTQLQIEISA-N -1 1 349.391 -0.045 20 0 EBADMM Cc1cncc(CCC(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001481634919 1126028087 /nfs/dbraw/zinc/02/80/87/1126028087.db2.gz UQDADFOSJMHIGC-UHFFFAOYSA-N -1 1 344.419 -0.007 20 0 EBADMM CO[C@@H](C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1)C1CCCC1 ZINC001481656853 1126032337 /nfs/dbraw/zinc/03/23/37/1126032337.db2.gz CCMJHECBHWZDDY-CQSZACIVSA-N -1 1 337.424 -0.138 20 0 EBADMM CC[C@@H](CNC(=O)C[C@H]1CCS(=O)(=O)C1)NCc1n[nH]c(=O)[n-]1 ZINC001481830487 1126060117 /nfs/dbraw/zinc/06/01/17/1126060117.db2.gz MYLPHYNGFOFNFY-ZJUUUORDSA-N -1 1 345.425 -0.681 20 0 EBADMM C[C@H](C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1)S(C)(=O)=O ZINC001482223026 1126108176 /nfs/dbraw/zinc/10/81/76/1126108176.db2.gz OINNQFWPXQOYHR-SECBINFHSA-N -1 1 345.425 -0.538 20 0 EBADMM COCCN([C@H](C)C(N)=O)S(=O)(=O)c1cc(OC)ccc1[O-] ZINC001414213502 1126118211 /nfs/dbraw/zinc/11/82/11/1126118211.db2.gz PBYQWLJGELQRGW-SECBINFHSA-N -1 1 332.378 -0.088 20 0 EBADMM Cc1nc(C)c(CC(=O)N(C)[C@H](C)CNCc2n[nH]c(=O)[n-]2)c(=O)[nH]1 ZINC001482365300 1126138891 /nfs/dbraw/zinc/13/88/91/1126138891.db2.gz UUJXUESFWQUGEZ-MRVPVSSYSA-N -1 1 349.395 -0.198 20 0 EBADMM CC(C)C[C@@H](CNCc1ccn(C)n1)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001482386649 1126143163 /nfs/dbraw/zinc/14/31/63/1126143163.db2.gz HWEXIRJUDMTZOS-NSHDSACASA-N -1 1 349.395 -0.049 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@H]1CCc2nncn2C1 ZINC001482369680 1126140252 /nfs/dbraw/zinc/14/02/52/1126140252.db2.gz ZIQSRMQZWYFOGL-UWVGGRQHSA-N -1 1 334.384 -0.699 20 0 EBADMM CC(C)[C@H](CNC(=O)CC1OCCCO1)NCc1n[nH]c(=O)[n-]1 ZINC001482524171 1126188923 /nfs/dbraw/zinc/18/89/23/1126188923.db2.gz YFZCHCRSXXJHJT-JTQLQIEISA-N -1 1 327.385 -0.106 20 0 EBADMM CC[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)c1noc(C)n1 ZINC001414228790 1126218659 /nfs/dbraw/zinc/21/86/59/1126218659.db2.gz WUPBEYCMHHMUTD-SSDOTTSWSA-N -1 1 329.338 -0.393 20 0 EBADMM CN(CCN(C)C(=O)c1cnn2ccncc12)Cc1nc(=O)n(C)[n-]1 ZINC001482602904 1126233302 /nfs/dbraw/zinc/23/33/02/1126233302.db2.gz LLJZDVPYQQEKRG-UHFFFAOYSA-N -1 1 344.379 -0.645 20 0 EBADMM O=C(NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1)c1scnc1C(F)F ZINC001482747486 1126276803 /nfs/dbraw/zinc/27/68/03/1126276803.db2.gz HJHHKTDMFBOLDV-YFKPBYRVSA-N -1 1 348.335 -0.215 20 0 EBADMM O=C(NC[C@H](O)CNCc1n[nH]c(=O)[n-]1)c1cc(F)c(F)cc1F ZINC001482762824 1126280947 /nfs/dbraw/zinc/28/09/47/1126280947.db2.gz OQQYHNSWSKSFDJ-ZCFIWIBFSA-N -1 1 345.281 -0.192 20 0 EBADMM Cc1ccc(F)c(C(=O)NC[C@H](O)CNCc2n[nH]c(=O)[n-]2)c1F ZINC001482763768 1126281244 /nfs/dbraw/zinc/28/12/44/1126281244.db2.gz BALTXMJNPDGUOO-MRVPVSSYSA-N -1 1 341.318 -0.023 20 0 EBADMM CCc1nc(C)c(C(=O)NC[C@H](O)CNCc2n[nH]c(=O)[n-]2)s1 ZINC001482774404 1126284802 /nfs/dbraw/zinc/28/48/02/1126284802.db2.gz AOOUYZVWYVVVNM-MRVPVSSYSA-N -1 1 340.409 -0.282 20 0 EBADMM O=C(CN1CCc2ccccc21)NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001482797743 1126294276 /nfs/dbraw/zinc/29/42/76/1126294276.db2.gz UCYULTWZGZUOMJ-LBPRGKRZSA-N -1 1 346.391 -0.860 20 0 EBADMM O=C(NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1)c1cc2n(c1)CCCC2 ZINC001482801265 1126295301 /nfs/dbraw/zinc/29/53/01/1126295301.db2.gz HUUXETMJJJBSDG-LBPRGKRZSA-N -1 1 334.380 -0.471 20 0 EBADMM CCc1ncc(C(=O)NC[C@@H](O)CNCc2n[nH]c(=O)[n-]2)s1 ZINC001482802887 1126295984 /nfs/dbraw/zinc/29/59/84/1126295984.db2.gz XDCNRYAQZGHGKJ-ZETCQYMHSA-N -1 1 326.382 -0.590 20 0 EBADMM CC[C@H](CNC(=O)c1cnc(C2CC2)[n-]c1=O)NC(=O)CNC(C)=O ZINC001409312625 1126341625 /nfs/dbraw/zinc/34/16/25/1126341625.db2.gz MJEXNIQGPKGXMW-LLVKDONJSA-N -1 1 349.391 -0.180 20 0 EBADMM C[C@H](CNCc1cnnn1C)NC(=O)c1c[n-]n2c1nccc2=O ZINC001483173431 1126350041 /nfs/dbraw/zinc/35/00/41/1126350041.db2.gz NRYMUXBVMUGFSY-SECBINFHSA-N -1 1 330.352 -0.941 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)C1CCS(=O)(=O)CC1 ZINC001409367734 1126359435 /nfs/dbraw/zinc/35/94/35/1126359435.db2.gz JSOLQLDETCXDHB-BDAKNGLRSA-N -1 1 345.425 -0.682 20 0 EBADMM COCC1(C(=O)NC[C@@H](C)N(C)Cc2nc(=O)n(C)[n-]2)CCC1 ZINC001409573130 1126378459 /nfs/dbraw/zinc/37/84/59/1126378459.db2.gz BLKRLINZIXTRKT-LLVKDONJSA-N -1 1 325.413 -0.138 20 0 EBADMM CCOCC(=O)N1CCC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001409597109 1126381343 /nfs/dbraw/zinc/38/13/43/1126381343.db2.gz HMYLCUPWDSGNGT-SNVBAGLBSA-N -1 1 348.363 -0.825 20 0 EBADMM C[C@@H](CNC(=O)c1ccc2oc(=O)nc-2[n-]1)N(C)[C@@H]1CCNC1=O ZINC001409634665 1126386266 /nfs/dbraw/zinc/38/62/66/1126386266.db2.gz AFWGYISALHXESN-WCBMZHEXSA-N -1 1 333.348 -0.133 20 0 EBADMM C[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)CNC(=O)C1CC(C)C1 ZINC001409673775 1126390822 /nfs/dbraw/zinc/39/08/22/1126390822.db2.gz FYDJATULDBCAAQ-YVNMAJEFSA-N -1 1 346.391 -0.054 20 0 EBADMM CCOC(=O)CC1([N-]S(=O)(=O)C[C@@H](COC)OC)COC1 ZINC001365002459 1126456086 /nfs/dbraw/zinc/45/60/86/1126456086.db2.gz AKCRFCSEYLODOA-SNVBAGLBSA-N -1 1 325.383 -0.711 20 0 EBADMM COC[C@H](OC)C(=O)NC1(C)CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001483971347 1126493516 /nfs/dbraw/zinc/49/35/16/1126493516.db2.gz RCVSSFNJSXNWCR-NSHDSACASA-N -1 1 341.412 -0.760 20 0 EBADMM CS[C@@H](C)CC(=O)NC[C@@]1(O)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001484215963 1126523706 /nfs/dbraw/zinc/52/37/06/1126523706.db2.gz SKXZCPQHCHVPRA-HZMBPMFUSA-N -1 1 343.453 -0.697 20 0 EBADMM CC[C@H]1CCC[C@@H]1C(=O)NCC1(O)CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001484293072 1126557859 /nfs/dbraw/zinc/55/78/59/1126557859.db2.gz VEVSUHZOHCMNAJ-RYUDHWBXSA-N -1 1 337.424 -0.402 20 0 EBADMM CCn1ncc(C(=O)N2CC[C@H](CCNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001484519633 1126600979 /nfs/dbraw/zinc/60/09/79/1126600979.db2.gz GLSRMSZHMYRENM-JTQLQIEISA-N -1 1 334.384 -0.236 20 0 EBADMM CN(C)c1cnc(C(=O)NCC2(NCc3n[nH]c(=O)[n-]3)CCC2)cn1 ZINC001484635189 1126612222 /nfs/dbraw/zinc/61/22/22/1126612222.db2.gz YIHXBUZOJYDHTO-UHFFFAOYSA-N -1 1 346.395 -0.191 20 0 EBADMM C[C@@H]1CN(C(=O)CCCn2cncn2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001484901845 1126650431 /nfs/dbraw/zinc/65/04/31/1126650431.db2.gz KWJCJTJKPUXXQQ-MNOVXSKESA-N -1 1 334.384 -0.481 20 0 EBADMM C[C@H](CNC(=O)CCCn1cccn1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001485390731 1126695878 /nfs/dbraw/zinc/69/58/78/1126695878.db2.gz ZFCDTZDQWDFRHC-GFCCVEGCSA-N -1 1 335.412 -0.278 20 0 EBADMM Cc1nn(C)cc1CN(C)[C@H](C)CNC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001485378455 1126694939 /nfs/dbraw/zinc/69/49/39/1126694939.db2.gz VCAHQBJTJYCISL-SECBINFHSA-N -1 1 337.384 -0.632 20 0 EBADMM C[C@@H](CNC(=O)[C@@H]1CC12CCOCC2)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001485392583 1126696225 /nfs/dbraw/zinc/69/62/25/1126696225.db2.gz FXXZKIHGMVMMDC-RYUDHWBXSA-N -1 1 337.424 -0.138 20 0 EBADMM CC(C)CN1CC(CCO)(NC(=O)CCCn2c(=O)[n-][nH]c2=O)C1 ZINC001485411051 1126699784 /nfs/dbraw/zinc/69/97/84/1126699784.db2.gz NHHFNEGFDGSXBK-UHFFFAOYSA-N -1 1 341.412 -0.322 20 0 EBADMM C[C@@H](CN(C)C(=O)C[C@H]1CCCS1(=O)=O)NCc1n[nH]c(=O)[n-]1 ZINC001485907280 1126912747 /nfs/dbraw/zinc/91/27/47/1126912747.db2.gz WPFCROYFLHSYDH-VHSXEESVSA-N -1 1 345.425 -0.586 20 0 EBADMM C[C@H](CN(C)C(=O)COCc1ccnn1C)NCc1n[nH]c(=O)[n-]1 ZINC001485888632 1126908073 /nfs/dbraw/zinc/90/80/73/1126908073.db2.gz JEFPCPBQGGTPTN-SNVBAGLBSA-N -1 1 337.384 -0.603 20 0 EBADMM CCC1(C(=O)N(C)C[C@@H](O)CNCc2n[nH]c(=O)[n-]2)CCOCC1 ZINC001486308285 1127113115 /nfs/dbraw/zinc/11/31/15/1127113115.db2.gz WZNNEGHKOARDSB-NSHDSACASA-N -1 1 341.412 -0.374 20 0 EBADMM COCCN(CCNC(=O)c1ncccc1[O-])[C@@H](C)c1nnnn1C ZINC001486427421 1127170940 /nfs/dbraw/zinc/17/09/40/1127170940.db2.gz DKFKSCMRXBXOFS-NSHDSACASA-N -1 1 349.395 -0.250 20 0 EBADMM CC(C)C(=O)N[C@@H](C)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001487147912 1127413108 /nfs/dbraw/zinc/41/31/08/1127413108.db2.gz HPNPZHFIPXEJGM-VIFPVBQESA-N -1 1 332.364 -0.350 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)CC(=O)N(C)C)C1 ZINC001487170759 1127421514 /nfs/dbraw/zinc/42/15/14/1127421514.db2.gz VMZMASQZGAUQNN-SNVBAGLBSA-N -1 1 334.376 -0.158 20 0 EBADMM Cc1noc(C)c1CC(=O)NC[C@H](O)CNC(=O)c1ncccc1[O-] ZINC001410114147 1127577381 /nfs/dbraw/zinc/57/73/81/1127577381.db2.gz ZAWLAKRVPKPDAN-NSHDSACASA-N -1 1 348.359 -0.158 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C1CS(=O)(=O)C1 ZINC001410378450 1127694344 /nfs/dbraw/zinc/69/43/44/1127694344.db2.gz VVELXIDZVKRTGU-QMMMGPOBSA-N -1 1 327.362 -0.934 20 0 EBADMM NS(=O)(=O)N1CCC([N-]S(=O)(=O)c2c[nH]nc2Cl)CC1 ZINC001364889152 1127720343 /nfs/dbraw/zinc/72/03/43/1127720343.db2.gz IVRQNPYHSNHPEG-UHFFFAOYSA-N -1 1 343.818 -0.991 20 0 EBADMM Cn1oc(C(=O)NC2(CNC(=O)c3ncccc3[O-])CC2)cc1=O ZINC001410694238 1127821535 /nfs/dbraw/zinc/82/15/35/1127821535.db2.gz OBYDKHVDWAODRV-UHFFFAOYSA-N -1 1 332.316 -0.229 20 0 EBADMM Cc1ccc([C@H](C)C(=O)NC[C@@H](CO)NCc2nc(=O)n(C)[n-]2)o1 ZINC001410729989 1127848046 /nfs/dbraw/zinc/84/80/46/1127848046.db2.gz OCVGBWLOFYWJIX-QWRGUYRKSA-N -1 1 337.380 -0.620 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCCN1CC(=O)NC(N)=O ZINC001410905250 1127938031 /nfs/dbraw/zinc/93/80/31/1127938031.db2.gz AQMIFOVPTVASTQ-VHSXEESVSA-N -1 1 335.364 -0.435 20 0 EBADMM CC1(C)CN(C(=O)CCS(C)(=O)=O)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001410962363 1127953111 /nfs/dbraw/zinc/95/31/11/1127953111.db2.gz AWZVFFQGLNZWQS-VIFPVBQESA-N -1 1 345.425 -0.728 20 0 EBADMM CN(C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)c1occc1Cl ZINC001411074863 1128003389 /nfs/dbraw/zinc/00/33/89/1128003389.db2.gz ITSXSQJPSAWETF-SSDOTTSWSA-N -1 1 329.744 -0.021 20 0 EBADMM CN(C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)CCc1cscn1 ZINC001411128537 1128023053 /nfs/dbraw/zinc/02/30/53/1128023053.db2.gz PZKADTZMWFCXAR-SNVBAGLBSA-N -1 1 340.409 -0.492 20 0 EBADMM C[C@H](C(=O)N(C)C[C@@H](O)CN(C)Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001411140010 1128034189 /nfs/dbraw/zinc/03/41/89/1128034189.db2.gz AALYCTUXIWBSGE-JQWIXIFHSA-N -1 1 325.413 -0.594 20 0 EBADMM C[C@H](C(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C)S(C)(=O)=O ZINC001411205844 1128091849 /nfs/dbraw/zinc/09/18/49/1128091849.db2.gz BGXFTTYPSZRVME-BDAKNGLRSA-N -1 1 347.441 -0.436 20 0 EBADMM C[C@@H](CCCNC(=O)c1ncccc1[O-])NC(=O)Cn1ncnn1 ZINC001487502993 1128145205 /nfs/dbraw/zinc/14/52/05/1128145205.db2.gz KAKBTESOORFKRK-JTQLQIEISA-N -1 1 333.352 -0.511 20 0 EBADMM C[C@@]12CN(Cc3n[nH]c(=O)[n-]3)C[C@@H]1CN(C(=O)CCc1c[nH]nn1)C2 ZINC001092028659 1128176860 /nfs/dbraw/zinc/17/68/60/1128176860.db2.gz VEYHCFDDFIGCAS-BMIGLBTASA-N -1 1 346.395 -0.459 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)c1cc(C(N)=O)co1 ZINC001092959962 1128286957 /nfs/dbraw/zinc/28/69/57/1128286957.db2.gz PIEKUZARVNQAKU-PSASIEDQSA-N -1 1 348.363 -0.300 20 0 EBADMM CC(C)NCc1cn(C2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)nn1 ZINC001093325582 1128330495 /nfs/dbraw/zinc/33/04/95/1128330495.db2.gz OUENPNZOTLUOMH-UHFFFAOYSA-N -1 1 334.340 -0.931 20 0 EBADMM C[C@H](CN(C)C(=O)c1cc(=O)n(C)cn1)NC(=O)c1ncccc1[O-] ZINC001487817271 1128419573 /nfs/dbraw/zinc/41/95/73/1128419573.db2.gz AUHPYEOPLQHJMH-SNVBAGLBSA-N -1 1 345.359 -0.229 20 0 EBADMM COCC[C@H](C)C(=O)N[C@@H](CO)CNC(=O)c1ncccc1[O-] ZINC001487978369 1128474630 /nfs/dbraw/zinc/47/46/30/1128474630.db2.gz RXAABDZMUAXPKG-WDEREUQCSA-N -1 1 325.365 -0.333 20 0 EBADMM CCc1ocnc1C(=O)NC[C@H](CO)NC(=O)c1ncccc1[O-] ZINC001487967114 1128502404 /nfs/dbraw/zinc/50/24/04/1128502404.db2.gz YNBPJEABNPMEBH-SECBINFHSA-N -1 1 334.332 -0.142 20 0 EBADMM CC(C)=CC(=O)N1C[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1C ZINC001488164002 1128639593 /nfs/dbraw/zinc/63/95/93/1128639593.db2.gz CPTBHVSLXIKOAI-CHWSQXEVSA-N -1 1 348.403 -0.002 20 0 EBADMM CN(C[C@H](O)CNC(=O)c1ccn(C)n1)C(=O)c1ncccc1[O-] ZINC001488350149 1128687876 /nfs/dbraw/zinc/68/78/76/1128687876.db2.gz BEVLEGNZIWPFBI-SNVBAGLBSA-N -1 1 333.348 -0.616 20 0 EBADMM COC1CC(C(=O)NC[C@H](O)CN(C)C(=O)c2ncccc2[O-])C1 ZINC001488350286 1128688150 /nfs/dbraw/zinc/68/81/50/1128688150.db2.gz BYBPSFQFKQOFQN-CXQJBGSLSA-N -1 1 337.376 -0.239 20 0 EBADMM CN(C[C@@H](O)CNC(=O)c1ncccc1[O-])C(=O)CC(C)(C)O ZINC001488352630 1128690498 /nfs/dbraw/zinc/69/04/98/1128690498.db2.gz FSQFUYBPOLAVJE-JTQLQIEISA-N -1 1 325.365 -0.503 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)C(C)(C)C(N)=O)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001489118023 1128912417 /nfs/dbraw/zinc/91/24/17/1128912417.db2.gz ZACGKASGAOQRPA-VHSXEESVSA-N -1 1 338.412 -0.911 20 0 EBADMM CO[C@@H](C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C)C(C)C ZINC001489288408 1128943313 /nfs/dbraw/zinc/94/33/13/1128943313.db2.gz BYCBQNOEDAVIPX-NTZNESFSSA-N -1 1 325.413 -0.142 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)CCn1cc[n-]c(=O)c1=O)C(=O)C1(C)CC1 ZINC001489748551 1129045450 /nfs/dbraw/zinc/04/54/50/1129045450.db2.gz IQGUSTPFHVTNQF-LBPRGKRZSA-N -1 1 348.403 -0.214 20 0 EBADMM CC(C)C(=O)N(C)C[C@@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001489714814 1129051155 /nfs/dbraw/zinc/05/11/55/1129051155.db2.gz WQRUVOVXSKYFNK-JTQLQIEISA-N -1 1 332.364 -0.254 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)C2(C(N)=O)CC2)CCN1C(=O)c1ncccc1[O-] ZINC001490128153 1129112132 /nfs/dbraw/zinc/11/21/32/1129112132.db2.gz ZTWBJZOQYORRQR-ZJUUUORDSA-N -1 1 332.360 -0.228 20 0 EBADMM CC1CC(C(=O)NCCN2CCN(Cc3nc(=O)n(C)[n-]3)CC2)C1 ZINC001490486696 1129190074 /nfs/dbraw/zinc/19/00/74/1129190074.db2.gz ITTZCXPTUCULEZ-UHFFFAOYSA-N -1 1 336.440 -0.612 20 0 EBADMM O=C(NC[C@@H](NCc1n[nH]c(=O)[n-]1)C1CC1)c1cnn2c1OCCC2 ZINC001490681893 1129240410 /nfs/dbraw/zinc/24/04/10/1129240410.db2.gz SPEWEFLONQLGEB-LLVKDONJSA-N -1 1 347.379 -0.213 20 0 EBADMM COC(=O)[C@@H]1CCN(C(=O)CCCc2nn[n-]n2)[C@H](C(=O)OC)C1 ZINC001411949951 1129410499 /nfs/dbraw/zinc/41/04/99/1129410499.db2.gz NKWVEKRLJKLIEI-ZJUUUORDSA-N -1 1 339.352 -0.524 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCOC[C@H]1[C@@H]1CCCO1 ZINC001412112290 1129450375 /nfs/dbraw/zinc/45/03/75/1129450375.db2.gz STMIGVFXATUVSH-IUCAKERBSA-N -1 1 325.321 -0.084 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N1CCc2nc[nH]c2C12CCOCC2 ZINC001412291692 1129496874 /nfs/dbraw/zinc/49/68/74/1129496874.db2.gz MPTVLOGJBVHJPM-UHFFFAOYSA-N -1 1 334.336 -0.497 20 0 EBADMM CS(=O)(=O)N1CCC(C(=O)NCc2nc([O-])cc(=O)[nH]2)CC1 ZINC001412378651 1129513175 /nfs/dbraw/zinc/51/31/75/1129513175.db2.gz YVNWEJACFAQAMX-UHFFFAOYSA-N -1 1 330.366 -0.824 20 0 EBADMM Cc1cc(C(=O)N(C)C[C@@H](C)NC(=O)c2cnc([O-])n(C)c2=O)n[nH]1 ZINC001412460065 1129533448 /nfs/dbraw/zinc/53/34/48/1129533448.db2.gz STATVLMWXWSMSU-SECBINFHSA-N -1 1 348.363 -0.592 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)CN(C)C(=O)[C@@H](C)OC ZINC001412465956 1129535171 /nfs/dbraw/zinc/53/51/71/1129535171.db2.gz ZNYIFFIZQNYMGS-HTQZYQBOSA-N -1 1 342.352 -0.492 20 0 EBADMM CCC1(Cn2cc(C(=O)N3CC[C@@H](c4nn[n-]n4)C3)nn2)COC1 ZINC001412487589 1129539810 /nfs/dbraw/zinc/53/98/10/1129539810.db2.gz IFIFVMAGGJMURE-SNVBAGLBSA-N -1 1 332.368 -0.153 20 0 EBADMM C[C@H](C(=O)NCc1nn[n-]n1)[C@H](O)CN(C)C(=O)OC(C)(C)C ZINC001412505597 1129545292 /nfs/dbraw/zinc/54/52/92/1129545292.db2.gz NVALMUHWTKKVBX-DTWKUNHWSA-N -1 1 328.373 -0.320 20 0 EBADMM O=C(CNC(=O)c1cnncc1[O-])NCC(=O)NCc1ccccc1 ZINC001412564766 1129563073 /nfs/dbraw/zinc/56/30/73/1129563073.db2.gz NJRICGOBZMKBIQ-UHFFFAOYSA-N -1 1 343.343 -0.655 20 0 EBADMM COCC(=O)N[C@H](C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1)C(C)C ZINC001412619681 1129578185 /nfs/dbraw/zinc/57/81/85/1129578185.db2.gz ZYCQDLRMBLQKLZ-RISCZKNCSA-N -1 1 338.412 -0.232 20 0 EBADMM COc1cnc(C(C)(C)NC(=O)[C@@H]2CCS(=O)(=O)C2)[n-]c1=O ZINC001412660093 1129586898 /nfs/dbraw/zinc/58/68/98/1129586898.db2.gz ZRCZHMYAYZMCNO-MRVPVSSYSA-N -1 1 329.378 -0.023 20 0 EBADMM COC(=O)[C@H](CO)NC(=O)Cc1c(C)nc(-c2cccnc2)[n-]c1=O ZINC001412756313 1129629697 /nfs/dbraw/zinc/62/96/97/1129629697.db2.gz VRDHYFKOAUBWSY-LBPRGKRZSA-N -1 1 346.343 -0.255 20 0 EBADMM O=C(CC[C@@H]1CCCO1)NC[C@H](CO)NC(=O)c1ncccc1[O-] ZINC001412786547 1129646415 /nfs/dbraw/zinc/64/64/15/1129646415.db2.gz VCMIVQSUGKADNG-NEPJUHHUSA-N -1 1 337.376 -0.047 20 0 EBADMM CCN(CCNC(=O)c1c(C)cc(=O)oc1C)Cc1nc(=O)n(C)[n-]1 ZINC001492987136 1129682486 /nfs/dbraw/zinc/68/24/86/1129682486.db2.gz PGJVASKJVFCQTJ-UHFFFAOYSA-N -1 1 349.391 -0.070 20 0 EBADMM Cc1cc(C)n(CC(=O)NC[C@H](CO)NC(=O)c2ncccc2[O-])n1 ZINC001412911458 1129781674 /nfs/dbraw/zinc/78/16/74/1129781674.db2.gz PYTDTBBBAVHFKI-GFCCVEGCSA-N -1 1 347.375 -0.492 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CCNC(N)=O ZINC001413025172 1129868255 /nfs/dbraw/zinc/86/82/55/1129868255.db2.gz GFQKXEXTKRRAGJ-VHSXEESVSA-N -1 1 335.364 -0.435 20 0 EBADMM CSc1nc(CNC(=O)[C@H]2CCCN2S(C)(=O)=O)cc(=O)[n-]1 ZINC001413202202 1129947311 /nfs/dbraw/zinc/94/73/11/1129947311.db2.gz XHMRKADIKCTVLM-SECBINFHSA-N -1 1 346.434 -0.056 20 0 EBADMM CN(C[C@H](O)CNC(=O)[C@@H]1CC1(F)F)C(=O)c1ncccc1[O-] ZINC001413240981 1129963528 /nfs/dbraw/zinc/96/35/28/1129963528.db2.gz AVMJQZVYBKUDID-BDAKNGLRSA-N -1 1 329.303 -0.009 20 0 EBADMM CN(C[C@@H](O)CNC(=O)Cn1cccn1)C(=O)c1ncccc1[O-] ZINC001413248782 1129969882 /nfs/dbraw/zinc/96/98/82/1129969882.db2.gz OYMVWFGVJLLJKP-NSHDSACASA-N -1 1 333.348 -0.767 20 0 EBADMM Cc1nnccc1C(=O)NC[C@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001413254464 1129975104 /nfs/dbraw/zinc/97/51/04/1129975104.db2.gz VGPRGOKDFVVLNT-NSHDSACASA-N -1 1 345.359 -0.251 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H]2CCS(=O)(=O)C2)n1 ZINC001413275736 1129984316 /nfs/dbraw/zinc/98/43/16/1129984316.db2.gz YJNDGYJQONBOGQ-HTQZYQBOSA-N -1 1 330.366 -0.407 20 0 EBADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H]2CCS(=O)(=O)C2)n[n-]1 ZINC001413275736 1129984312 /nfs/dbraw/zinc/98/43/12/1129984312.db2.gz YJNDGYJQONBOGQ-HTQZYQBOSA-N -1 1 330.366 -0.407 20 0 EBADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@H]2CCS(=O)(=O)C2)[n-]1 ZINC001413275736 1129984315 /nfs/dbraw/zinc/98/43/15/1129984315.db2.gz YJNDGYJQONBOGQ-HTQZYQBOSA-N -1 1 330.366 -0.407 20 0 EBADMM C[C@H](CCO)C1(C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)CCC1 ZINC001413318001 1130010966 /nfs/dbraw/zinc/01/09/66/1130010966.db2.gz FGFBHYRXCKPNBM-SNVBAGLBSA-N -1 1 345.421 -0.047 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC([C@H](F)C(N)=O)CC1 ZINC001413376381 1130060599 /nfs/dbraw/zinc/06/05/99/1130060599.db2.gz YLQPJUWOFMKVTB-ZETCQYMHSA-N -1 1 328.300 -0.428 20 0 EBADMM COC(=O)c1coc(C[N-]S(=O)(=O)C2(C(=O)OC)CCC2)n1 ZINC001413386929 1130068075 /nfs/dbraw/zinc/06/80/75/1130068075.db2.gz CIOSFASHLPENEV-UHFFFAOYSA-N -1 1 332.334 -0.024 20 0 EBADMM CCO[C@H](C(=O)N1CCOC[C@@H]1c1nn[n-]n1)C1CCOCC1 ZINC001413390289 1130068961 /nfs/dbraw/zinc/06/89/61/1130068961.db2.gz WOSVBQMXVXDYQL-NEPJUHHUSA-N -1 1 325.369 -0.069 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H]2CC[C@@H](C1)S2(=O)=O ZINC001413395280 1130070533 /nfs/dbraw/zinc/07/05/33/1130070533.db2.gz LVUGTYGNCRODKX-SFYZADRCSA-N -1 1 343.361 -0.312 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)N2CCO[C@H](CC(N)=O)C2)c1 ZINC001413408001 1130075819 /nfs/dbraw/zinc/07/58/19/1130075819.db2.gz ADLPRTMWSRQNKG-SNVBAGLBSA-N -1 1 330.362 -0.334 20 0 EBADMM Cc1cccc(=O)n1C[C@](C)(O)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001413428601 1130084701 /nfs/dbraw/zinc/08/47/01/1130084701.db2.gz UAUVSAFJKAMVLT-INIZCTEOSA-N -1 1 346.391 -0.173 20 0 EBADMM CN(CC(=O)N1CCC(c2nn[n-]n2)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001413427103 1130084797 /nfs/dbraw/zinc/08/47/97/1130084797.db2.gz MYNPXMIPDRHEIS-LLVKDONJSA-N -1 1 342.425 -0.975 20 0 EBADMM CS(=O)(=O)CCCC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001413454525 1130093182 /nfs/dbraw/zinc/09/31/82/1130093182.db2.gz NQYWSHFVNDNKRH-UHFFFAOYSA-N -1 1 329.378 -0.304 20 0 EBADMM C[C@@H](C(=O)N(C)CC(=O)N1CC[C@H](c2nn[n-]n2)C1)n1cccn1 ZINC001413469040 1130099050 /nfs/dbraw/zinc/09/90/50/1130099050.db2.gz NKKRBTDYUUXUFI-QWRGUYRKSA-N -1 1 332.368 -0.568 20 0 EBADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)CS(C)(=O)=O ZINC001413544523 1130129336 /nfs/dbraw/zinc/12/93/36/1130129336.db2.gz CPYLALSZNBCVLT-QMMMGPOBSA-N -1 1 330.366 -0.311 20 0 EBADMM COC[C@H](C)C(=O)NC[C@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001491204785 1130361395 /nfs/dbraw/zinc/36/13/95/1130361395.db2.gz LXEVMSLCKWRUPT-NWDGAFQWSA-N -1 1 325.413 -0.138 20 0 EBADMM O=C(NCCC[C@@H]1CCCN1Cc1n[nH]c(=O)[n-]1)c1c[nH]c(=O)cn1 ZINC001492167887 1130587781 /nfs/dbraw/zinc/58/77/81/1130587781.db2.gz ZQWACYSUCJCXTB-SNVBAGLBSA-N -1 1 347.379 -0.232 20 0 EBADMM CCCC(=O)N1CCC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001492189913 1130594365 /nfs/dbraw/zinc/59/43/65/1130594365.db2.gz RYTGYAQOCAHLSU-LBPRGKRZSA-N -1 1 336.392 -0.166 20 0 EBADMM Cc1cc(C)c(C(=O)NCCN(C)Cc2nc(=O)n(C)[n-]2)c(=O)[nH]1 ZINC001492363640 1130641406 /nfs/dbraw/zinc/64/14/06/1130641406.db2.gz SKFFEUJTODPSJX-UHFFFAOYSA-N -1 1 334.380 -0.312 20 0 EBADMM CN(CCNC(=O)c1c[n-]n2c1nccc2=O)CCN1CCCC1=O ZINC001492448593 1130670460 /nfs/dbraw/zinc/67/04/60/1130670460.db2.gz XVVVIVSGRFDWON-UHFFFAOYSA-N -1 1 346.391 -0.693 20 0 EBADMM O=C(CNC(=O)C1CCC1)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001416083739 1130850089 /nfs/dbraw/zinc/85/00/89/1130850089.db2.gz VFUQRPCNJCDWDN-UHFFFAOYSA-N -1 1 346.387 -0.108 20 0 EBADMM C/C(=C\C(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1)C1CC1 ZINC001356746196 1130916894 /nfs/dbraw/zinc/91/68/94/1130916894.db2.gz MELAUGPJFOSNKL-DHZHZOJOSA-N -1 1 346.387 -0.390 20 0 EBADMM CC(C)[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)C1CC1 ZINC001357106688 1131050231 /nfs/dbraw/zinc/05/02/31/1131050231.db2.gz VYIQIENALHGFKQ-GFCCVEGCSA-N -1 1 336.392 -0.406 20 0 EBADMM CN1C(=O)CC[C@H]1C(=O)NC/C=C/CNC(=O)c1ncccc1[O-] ZINC001357751045 1131123217 /nfs/dbraw/zinc/12/32/17/1131123217.db2.gz HOJLEJBDYIQACH-VUDBWIFFSA-N -1 1 332.360 -0.190 20 0 EBADMM C[C@@H]1C[C@@H]1CC(=O)NC/C=C\CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001357964283 1131154463 /nfs/dbraw/zinc/15/44/63/1131154463.db2.gz MRHWXHPZSPUMQV-LLGAQUFESA-N -1 1 348.403 -0.239 20 0 EBADMM O=C(CC1CCC1)NC[C@@H]1CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001358596134 1131223536 /nfs/dbraw/zinc/22/35/36/1131223536.db2.gz BPJUWNXKEZNNQS-ZDUSSCGKSA-N -1 1 348.403 -0.166 20 0 EBADMM CN1CCN(CCNC(=O)c2ccc(-n3[nH]c(=O)[n-]c3=O)cc2)CC1 ZINC001361611348 1131427915 /nfs/dbraw/zinc/42/79/15/1131427915.db2.gz VKBWWVCGTVKOIK-UHFFFAOYSA-N -1 1 346.391 -0.757 20 0 EBADMM COC(=O)c1cc(C(C)=O)c(NC(=O)CCn2ncn(C)c2=O)[n-]1 ZINC001361623446 1131429841 /nfs/dbraw/zinc/42/98/41/1131429841.db2.gz IWYZIBUWFGGGFB-UHFFFAOYSA-N -1 1 335.320 -0.072 20 0 EBADMM CCc1cc(=O)n2[n-]c(NC(=O)CC3CS(=O)(=O)C3)nc2n1 ZINC001361686516 1131439878 /nfs/dbraw/zinc/43/98/78/1131439878.db2.gz NNYRZNVTDLRYTD-UHFFFAOYSA-N -1 1 325.350 -0.647 20 0 EBADMM COCCN(CCC(=O)OC)C(=O)CNC(=O)c1ncccc1[O-] ZINC001361823734 1131462120 /nfs/dbraw/zinc/46/21/20/1131462120.db2.gz JLZJDIWQCYDISL-UHFFFAOYSA-N -1 1 339.348 -0.445 20 0 EBADMM O=C(CCc1nn[n-]n1)N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001361851618 1131466503 /nfs/dbraw/zinc/46/65/03/1131466503.db2.gz IOGMBHDRIOYPML-MNOVXSKESA-N -1 1 343.409 -0.673 20 0 EBADMM O=C(CCCc1nn[n-]n1)N1CCN(C(=O)c2ccc(=O)[nH]c2)CC1 ZINC001361878664 1131471646 /nfs/dbraw/zinc/47/16/46/1131471646.db2.gz BAMBRMJQAKVZMO-UHFFFAOYSA-N -1 1 345.363 -0.392 20 0 EBADMM COC(=O)C[C@@H]1C(=O)NCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001361919258 1131479176 /nfs/dbraw/zinc/47/91/76/1131479176.db2.gz UYEAMKBGLSOGLP-SNVBAGLBSA-N -1 1 334.332 -0.437 20 0 EBADMM O=C(c1ncc(Br)cn1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001361927282 1131481581 /nfs/dbraw/zinc/48/15/81/1131481581.db2.gz RROPGIAMHRXDTP-ZETCQYMHSA-N -1 1 340.141 -0.034 20 0 EBADMM O=C(CCCc1nn[n-]n1)Nc1ccnn1[C@H]1CCS(=O)(=O)C1 ZINC001362035035 1131501311 /nfs/dbraw/zinc/50/13/11/1131501311.db2.gz MEMINMCQCWDMEE-VIFPVBQESA-N -1 1 339.381 -0.283 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCS(=O)(=O)[C@H](C)[C@@H]1C ZINC001362117335 1131518618 /nfs/dbraw/zinc/51/86/18/1131518618.db2.gz GSLZBLVVQQCTRX-NKWVEPMBSA-N -1 1 331.350 -0.456 20 0 EBADMM O=C(Cc1ccccc1F)N1C[C@H](O)C[C@H]1C(=O)NCc1nn[n-]n1 ZINC001362122074 1131520133 /nfs/dbraw/zinc/52/01/33/1131520133.db2.gz BUPLTPAWPSMTNC-PWSUYJOCSA-N -1 1 348.338 -0.841 20 0 EBADMM C[C@H](NC(=O)Cn1c(=O)[n-][nH]c1=O)C1(N2CCOCC2)CCCC1 ZINC001362167273 1131528812 /nfs/dbraw/zinc/52/88/12/1131528812.db2.gz BEUBZXOAHHBVDP-NSHDSACASA-N -1 1 339.396 -0.161 20 0 EBADMM COC(=O)N1CCC[C@H](NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC001362198015 1131534175 /nfs/dbraw/zinc/53/41/75/1131534175.db2.gz GEDQJSJXAMVCGO-ZETCQYMHSA-N -1 1 326.309 -0.143 20 0 EBADMM CCOC(=O)[C@H](C)NC(=O)[C@@H](C)NC(=O)CCCc1nn[n-]n1 ZINC001362396965 1131575496 /nfs/dbraw/zinc/57/54/96/1131575496.db2.gz IDOCLRIXYZYBQC-BDAKNGLRSA-N -1 1 326.357 -0.905 20 0 EBADMM O=C(CS[C@@H]1CCS(=O)(=O)C1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362408606 1131577654 /nfs/dbraw/zinc/57/76/54/1131577654.db2.gz TUVVJGOYLXKSLK-VHSXEESVSA-N -1 1 345.450 -0.174 20 0 EBADMM Cc1nnc2n1C[C@H](C(=O)N1CCSC[C@@H]1c1nn[n-]n1)CC2 ZINC001362523018 1131601198 /nfs/dbraw/zinc/60/11/98/1131601198.db2.gz ZSQDVRDTEVTSBV-NXEZZACHSA-N -1 1 334.409 -0.021 20 0 EBADMM NC(=O)c1ccc(N2CCN(C(=O)c3cnncc3O)CC2)nc1 ZINC001362593930 1131619933 /nfs/dbraw/zinc/61/99/33/1131619933.db2.gz FRRLPTFVDNTIRK-UHFFFAOYSA-N -1 1 328.332 -0.362 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCN([C@H]2CCOC2)CC1 ZINC001362645261 1131631822 /nfs/dbraw/zinc/63/18/22/1131631822.db2.gz CZPKKAKHNVIGAO-LBPRGKRZSA-N -1 1 334.376 -0.550 20 0 EBADMM CSCC[C@H](NC(N)=O)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362661095 1131635301 /nfs/dbraw/zinc/63/53/01/1131635301.db2.gz DTNRLKZINPULOJ-IUCAKERBSA-N -1 1 327.414 -0.304 20 0 EBADMM O=C(C[C@@H]1C=CS(=O)(=O)C1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC001362674145 1131638862 /nfs/dbraw/zinc/63/88/62/1131638862.db2.gz QXNFQVQMHDUOKR-DTWKUNHWSA-N -1 1 329.407 -0.235 20 0 EBADMM CS(=O)(=O)N1CC(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)C1 ZINC001362701253 1131645636 /nfs/dbraw/zinc/64/56/36/1131645636.db2.gz FGXYERDVVKUHTQ-QMMMGPOBSA-N -1 1 330.366 -0.627 20 0 EBADMM O=C(c1cncc(N2CCCC2)n1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362731522 1131657074 /nfs/dbraw/zinc/65/70/74/1131657074.db2.gz PFUDLAHKPOUPBJ-UHFFFAOYSA-N -1 1 344.379 -0.286 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC2(C1)CNC(=O)O2 ZINC001362799938 1131678824 /nfs/dbraw/zinc/67/88/24/1131678824.db2.gz XPDBNTINHHMTGU-UHFFFAOYSA-N -1 1 331.332 -0.085 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC(C)(C)c2cn(C)nn2)o1 ZINC001362822906 1131685843 /nfs/dbraw/zinc/68/58/43/1131685843.db2.gz NDGITCNYFCLWQO-UHFFFAOYSA-N -1 1 327.366 -0.019 20 0 EBADMM CC(C)(NS(C)(=O)=O)C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001362908822 1131710498 /nfs/dbraw/zinc/71/04/98/1131710498.db2.gz VVVYWOXIZKCGHQ-SECBINFHSA-N -1 1 330.414 -0.691 20 0 EBADMM COC(=O)[C@H]1C[C@@H](O)CN(C(=O)c2cnc(SC)[n-]c2=O)C1 ZINC001362912176 1131711466 /nfs/dbraw/zinc/71/14/66/1131711466.db2.gz MPMWBIXAXNQFNW-JGVFFNPUSA-N -1 1 327.362 -0.100 20 0 EBADMM COC(=O)[C@H]1C[C@H](O)CN(C(=O)c2cnc(SC)[n-]c2=O)C1 ZINC001362912180 1131711735 /nfs/dbraw/zinc/71/17/35/1131711735.db2.gz MPMWBIXAXNQFNW-YUMQZZPRSA-N -1 1 327.362 -0.100 20 0 EBADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CCO[C@H](CC(N)=O)C1 ZINC001363191790 1131823653 /nfs/dbraw/zinc/82/36/53/1131823653.db2.gz KUEMZQYMXGFALL-MRVPVSSYSA-N -1 1 326.378 -0.071 20 0 EBADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CCCS(C)(=O)=O)n[n-]1 ZINC001363232808 1131841297 /nfs/dbraw/zinc/84/12/97/1131841297.db2.gz TWUXAURXLAUGFY-MRVPVSSYSA-N -1 1 332.382 -0.017 20 0 EBADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CCCS(C)(=O)=O)[n-]1 ZINC001363232808 1131841310 /nfs/dbraw/zinc/84/13/10/1131841310.db2.gz TWUXAURXLAUGFY-MRVPVSSYSA-N -1 1 332.382 -0.017 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CCCS(C)(=O)=O)n1 ZINC001363232808 1131841317 /nfs/dbraw/zinc/84/13/17/1131841317.db2.gz TWUXAURXLAUGFY-MRVPVSSYSA-N -1 1 332.382 -0.017 20 0 EBADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N1C[C@@H](O)[C@H](CO)C1 ZINC001363242984 1131846701 /nfs/dbraw/zinc/84/67/01/1131846701.db2.gz APVOWMJGFGMBSK-GXTWGEPZSA-N -1 1 344.371 -0.506 20 0 EBADMM Nc1nc2nc(CN3CCC[C@H](N4CCCC4=O)CC3)cc(=O)n2[n-]1 ZINC001363258845 1131856047 /nfs/dbraw/zinc/85/60/47/1131856047.db2.gz KYEUNKGGWIYWQQ-LBPRGKRZSA-N -1 1 345.407 -0.023 20 0 EBADMM O=C(CNS(=O)(=O)c1ccccc1)NC1(c2nn[n-]n2)CCC1 ZINC001363278951 1131867747 /nfs/dbraw/zinc/86/77/47/1131867747.db2.gz YAANDACQJLSMTQ-UHFFFAOYSA-N -1 1 336.377 -0.326 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)C1=C2CCCN=C2NN1 ZINC001363292666 1131878525 /nfs/dbraw/zinc/87/85/25/1131878525.db2.gz IMZFGRHBZVCXTQ-UHFFFAOYSA-N -1 1 330.348 -0.575 20 0 EBADMM CN(Cc1nnc2n1CCNC2=O)Cc1nc2c(c(=O)[n-]1)COCC2 ZINC001363325506 1131899249 /nfs/dbraw/zinc/89/92/49/1131899249.db2.gz OXQOQUQZARMHRT-UHFFFAOYSA-N -1 1 345.363 -0.778 20 0 EBADMM O=C(C[C@@H]1Oc2ccccc2NC1=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC001363412768 1131939381 /nfs/dbraw/zinc/93/93/81/1131939381.db2.gz VZPAWFPRBBGPKL-JQWIXIFHSA-N -1 1 344.331 -0.111 20 0 EBADMM COC[C@@H](NC(=O)CNC(=O)COc1ccccc1)c1nn[n-]n1 ZINC001363418156 1131941177 /nfs/dbraw/zinc/94/11/77/1131941177.db2.gz SFISATROFORDIY-LLVKDONJSA-N -1 1 334.336 -0.801 20 0 EBADMM COC[C@@H](NC(=O)COc1ccc2c(c1)CCC(=O)N2)c1nn[n-]n1 ZINC001363419322 1131942542 /nfs/dbraw/zinc/94/25/42/1131942542.db2.gz WEWKGHBTXSWKIN-GFCCVEGCSA-N -1 1 346.347 -0.033 20 0 EBADMM CC(C)(C)c1nc(CNC(=O)Cn2[n-]cc3c(=O)ncnc2-3)n[nH]1 ZINC001363424072 1131944357 /nfs/dbraw/zinc/94/43/57/1131944357.db2.gz ZUILLOKIVLUXJO-UHFFFAOYSA-N -1 1 330.352 -0.197 20 0 EBADMM COC[C@H](NC(=O)c1cc(N2CCNC2=O)ccc1F)c1nn[n-]n1 ZINC001363431659 1131946164 /nfs/dbraw/zinc/94/61/64/1131946164.db2.gz ILLVSMNOLAEEFU-NSHDSACASA-N -1 1 349.326 -0.014 20 0 EBADMM O=C(CCC(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1)N1CCOCC1 ZINC001363433387 1131947659 /nfs/dbraw/zinc/94/76/59/1131947659.db2.gz LKTLEWVLLBZBFN-LBPRGKRZSA-N -1 1 336.396 -0.380 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H](CO)C[C@H]2CCCO2)o1 ZINC001363435376 1131949752 /nfs/dbraw/zinc/94/97/52/1131949752.db2.gz DTIMGWZAWCNFIT-NXEZZACHSA-N -1 1 332.378 -0.153 20 0 EBADMM CNS(=O)(=O)c1cccc(C(=O)N[C@H](COC)c2nn[n-]n2)c1 ZINC001363438554 1131950180 /nfs/dbraw/zinc/95/01/80/1131950180.db2.gz UEWWLOFIZBQYDX-SNVBAGLBSA-N -1 1 340.365 -0.775 20 0 EBADMM CCOC(=O)c1ccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)nc1 ZINC001363514236 1131984279 /nfs/dbraw/zinc/98/42/79/1131984279.db2.gz SOMDDUFVCUGCDQ-LLVKDONJSA-N -1 1 332.320 -0.015 20 0 EBADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N2CC(C(N)=O)C2)o1 ZINC001363519004 1131986908 /nfs/dbraw/zinc/98/69/08/1131986908.db2.gz QBMSDIXRGWMZDC-UHFFFAOYSA-N -1 1 329.378 -0.086 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CS(=O)(=O)C[C@H]2C)co1 ZINC001363530722 1131996866 /nfs/dbraw/zinc/99/68/66/1131996866.db2.gz IYHZSTITLRPJRB-APPZFPTMSA-N -1 1 336.391 -0.649 20 0 EBADMM COC[C@H](NC(=O)[C@H]1CC(=O)N(Cc2ccccn2)C1)c1nn[n-]n1 ZINC001363582731 1132022289 /nfs/dbraw/zinc/02/22/89/1132022289.db2.gz CABQQJGQAHUKTJ-JQWIXIFHSA-N -1 1 345.363 -0.553 20 0 EBADMM CC(C)(C)n1nnc(CC(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)n1 ZINC001363583943 1132023722 /nfs/dbraw/zinc/02/37/22/1132023722.db2.gz NPTAUZYDADXHPY-JTQLQIEISA-N -1 1 333.400 -0.035 20 0 EBADMM Cc1cc(C(=O)N2CC([NH2+][C@@H]3CCOC3)C2)[n-]c2nc(=O)[n-]c(=O)c1-2 ZINC001363629622 1132037561 /nfs/dbraw/zinc/03/75/61/1132037561.db2.gz JLEGUYQOFKRKMH-SECBINFHSA-N -1 1 345.359 -0.053 20 0 EBADMM COc1cnc(C(C)(C)NC(=O)C2=CCS(=O)(=O)C2)[n-]c1=O ZINC001363634949 1132039586 /nfs/dbraw/zinc/03/95/86/1132039586.db2.gz XXPQYBYOZJDVAW-UHFFFAOYSA-N -1 1 327.362 -0.103 20 0 EBADMM CC(C)(C)NS(=O)(=O)C1(CNC(=O)CCCc2nn[n-]n2)CC1 ZINC001363761798 1132079538 /nfs/dbraw/zinc/07/95/38/1132079538.db2.gz KCWJMHJIMDGHOI-UHFFFAOYSA-N -1 1 344.441 -0.111 20 0 EBADMM CCOC1CC(O)(C[N-]S(=O)(=O)N=[S@](C)(=O)N(C)C)C1 ZINC001363860081 1132114140 /nfs/dbraw/zinc/11/41/40/1132114140.db2.gz HFOZVMYIOCOIDQ-FNBGQERFSA-N -1 1 329.444 -0.675 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H](S(C)(=O)=O)C2)[n-]n1 ZINC001363869337 1132116064 /nfs/dbraw/zinc/11/60/64/1132116064.db2.gz YRVDHBAHRCNRQF-ZETCQYMHSA-N -1 1 337.379 -0.996 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H](S(C)(=O)=O)C2)n[n-]1 ZINC001363869337 1132116069 /nfs/dbraw/zinc/11/60/69/1132116069.db2.gz YRVDHBAHRCNRQF-ZETCQYMHSA-N -1 1 337.379 -0.996 20 0 EBADMM CC[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1ccccn1 ZINC001363923492 1132130578 /nfs/dbraw/zinc/13/05/78/1132130578.db2.gz BEENJBVPWZQDHP-SNVBAGLBSA-N -1 1 338.389 -0.091 20 0 EBADMM C[C@@H]1C[C@@H](CC[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)CCO1 ZINC001363934579 1132134746 /nfs/dbraw/zinc/13/47/46/1132134746.db2.gz IMRKSPFUNYJIOD-ZJUUUORDSA-N -1 1 331.394 -0.031 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]C1CCN([C@H]2CCOC2=O)CC1 ZINC001363964949 1132145668 /nfs/dbraw/zinc/14/56/68/1132145668.db2.gz FLXQTIVTLBKMDM-JTQLQIEISA-N -1 1 344.393 -0.377 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@]2(CO)COCCN2C)c1 ZINC001364022367 1132169584 /nfs/dbraw/zinc/16/95/84/1132169584.db2.gz BWFNDWUVBMWZFV-ZDUSSCGKSA-N -1 1 348.377 -0.962 20 0 EBADMM CCOC(=O)C1(C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)CC1 ZINC001364026084 1132171469 /nfs/dbraw/zinc/17/14/69/1132171469.db2.gz KQSCNZVUXVOIPB-UHFFFAOYSA-N -1 1 331.350 -0.893 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)[N-][C@H](C)[C@@H](O)C(F)(F)F)n[nH]1 ZINC001364028718 1132173220 /nfs/dbraw/zinc/17/32/20/1132173220.db2.gz PNVKHWNIYHIBPU-CLZZGJSISA-N -1 1 331.272 -0.214 20 0 EBADMM COC[C@@H](NC(=O)c1c(Br)nnn1C)c1nn[n-]n1 ZINC001364031059 1132173760 /nfs/dbraw/zinc/17/37/60/1132173760.db2.gz MYUFODAMDIMLFC-SCSAIBSYSA-N -1 1 331.134 -0.792 20 0 EBADMM COC(=O)[C@@H]1OCC[C@@H]1C[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC001364037186 1132176705 /nfs/dbraw/zinc/17/67/05/1132176705.db2.gz ZEWQZVDBJMMLOE-NXEZZACHSA-N -1 1 340.423 -0.339 20 0 EBADMM Cc1cc(C)n(CC(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)c(=O)n1 ZINC001364038190 1132177643 /nfs/dbraw/zinc/17/76/43/1132177643.db2.gz VDDRHHXTMKELSL-LBPRGKRZSA-N -1 1 331.380 -0.145 20 0 EBADMM NC(=O)c1ccc(CC(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)cn1 ZINC001364049176 1132183428 /nfs/dbraw/zinc/18/34/28/1132183428.db2.gz APPYYAAKSOZJLY-LLVKDONJSA-N -1 1 329.364 -0.283 20 0 EBADMM CCC1(CC)CNC(=O)[C@@H]1[N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C ZINC001364063377 1132191364 /nfs/dbraw/zinc/19/13/64/1132191364.db2.gz OVXTZFAZRDJQSL-GWNMQOMSSA-N -1 1 340.471 -0.300 20 0 EBADMM COC(=O)[C@H](CC1OCCO1)[N-]S(=O)(=O)CCn1cccn1 ZINC001364066781 1132193400 /nfs/dbraw/zinc/19/34/00/1132193400.db2.gz ACPDWGKQCNJAMQ-JTQLQIEISA-N -1 1 333.366 -0.893 20 0 EBADMM CN(C)[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@H](O)c1cncs1 ZINC001364076436 1132198447 /nfs/dbraw/zinc/19/84/47/1132198447.db2.gz UEQCKHRJUAGLLH-VIIUKITBSA-N -1 1 328.441 -0.415 20 0 EBADMM COCC(COC)S(=O)(=O)[N-][C@H](C[C@H]1CCCO1)C(=O)OC ZINC001364081091 1132200117 /nfs/dbraw/zinc/20/01/17/1132200117.db2.gz FXKFJGZTOZNHGI-ZYHUDNBSSA-N -1 1 339.410 -0.322 20 0 EBADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CNC(=O)c2ccccn2)n[n-]1 ZINC001364112585 1132210794 /nfs/dbraw/zinc/21/07/94/1132210794.db2.gz VFULBEKOBHMYEG-SECBINFHSA-N -1 1 346.347 -0.016 20 0 EBADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CNC(=O)c2ccccn2)[n-]1 ZINC001364112585 1132210802 /nfs/dbraw/zinc/21/08/02/1132210802.db2.gz VFULBEKOBHMYEG-SECBINFHSA-N -1 1 346.347 -0.016 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CNC(=O)c2ccccn2)n1 ZINC001364112585 1132210808 /nfs/dbraw/zinc/21/08/08/1132210808.db2.gz VFULBEKOBHMYEG-SECBINFHSA-N -1 1 346.347 -0.016 20 0 EBADMM CN(C(=O)CCNS(=O)(=O)c1ccc(F)cc1)c1nn[nH]n1 ZINC001364195918 1132232026 /nfs/dbraw/zinc/23/20/26/1132232026.db2.gz MVYDTAGUUYBWCE-UHFFFAOYSA-N -1 1 328.329 -0.330 20 0 EBADMM CN(C(=O)CCNS(=O)(=O)c1ccc(F)cc1)c1nn[n-]n1 ZINC001364195918 1132232031 /nfs/dbraw/zinc/23/20/31/1132232031.db2.gz MVYDTAGUUYBWCE-UHFFFAOYSA-N -1 1 328.329 -0.330 20 0 EBADMM CNC(=O)COc1cccc(CC(=O)NCc2nc([O-])cc(=O)[nH]2)c1 ZINC001364208637 1132238207 /nfs/dbraw/zinc/23/82/07/1132238207.db2.gz VQZPOGSCYDOBLM-UHFFFAOYSA-N -1 1 346.343 -0.129 20 0 EBADMM O=C(CNC(=O)c1cccc(O)c1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001364383670 1132300506 /nfs/dbraw/zinc/30/05/06/1132300506.db2.gz RAQASDTUJLQPIA-LLVKDONJSA-N -1 1 332.320 -0.765 20 0 EBADMM COc1cc(COCC(=O)N2CCOC[C@@H]2c2nn[n-]n2)ccn1 ZINC001364382516 1132301245 /nfs/dbraw/zinc/30/12/45/1132301245.db2.gz GOVXDUZAHLGMAB-LLVKDONJSA-N -1 1 334.336 -0.280 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(c2[nH]nc3c2COCC3)C1 ZINC001364456632 1132324072 /nfs/dbraw/zinc/32/40/72/1132324072.db2.gz YHYIRLMQLZVPAR-UHFFFAOYSA-N -1 1 347.331 -0.068 20 0 EBADMM CC(C)(C)OC(=O)CNC(=O)C[NH+]1CCC(Cc2nn[nH]n2)CC1 ZINC001364474544 1132330976 /nfs/dbraw/zinc/33/09/76/1132330976.db2.gz APYZNRRECHQJKG-UHFFFAOYSA-N -1 1 338.412 -0.088 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](C(=O)N[C@H]3CCC[C@H](O)C3)C2)nc1=O ZINC001364491634 1132338321 /nfs/dbraw/zinc/33/83/21/1132338321.db2.gz ICNDTEGCQSWODR-AGIUHOORSA-N -1 1 337.424 -0.260 20 0 EBADMM COC(=O)C1(O)CN(C(=O)CCc2c(C)nc(SC)[n-]c2=O)C1 ZINC001364561486 1132362753 /nfs/dbraw/zinc/36/27/53/1132362753.db2.gz ZWNQPUNZFHIQQC-UHFFFAOYSA-N -1 1 341.389 -0.109 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1C[C@@H](O)CC2(CCOCC2)C1 ZINC001364569974 1132364692 /nfs/dbraw/zinc/36/46/92/1132364692.db2.gz GPMFRIIFVBAJCU-LBPRGKRZSA-N -1 1 349.387 -0.093 20 0 EBADMM C[C@@H](O)[C@H](NC(=O)OC(C)(C)C)C(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001364570018 1132364713 /nfs/dbraw/zinc/36/47/13/1132364713.db2.gz ZGCHCMGKOFOLGS-UTLUCORTSA-N -1 1 340.384 -0.210 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@@H]2CCS(=O)(=O)C2)[n-]n1 ZINC001364750849 1132413493 /nfs/dbraw/zinc/41/34/93/1132413493.db2.gz PDCBHLIJRRMGCH-SSDOTTSWSA-N -1 1 337.379 -0.996 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@@H]2CCS(=O)(=O)C2)n[n-]1 ZINC001364750849 1132413497 /nfs/dbraw/zinc/41/34/97/1132413497.db2.gz PDCBHLIJRRMGCH-SSDOTTSWSA-N -1 1 337.379 -0.996 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@@H](C)CN2CCOCC2)[n-]n1 ZINC001364789002 1132426761 /nfs/dbraw/zinc/42/67/61/1132426761.db2.gz KGUNTHPQKCLLCW-SNVBAGLBSA-N -1 1 346.409 -0.557 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@@H](C)CN2CCOCC2)n[n-]1 ZINC001364789002 1132426767 /nfs/dbraw/zinc/42/67/67/1132426767.db2.gz KGUNTHPQKCLLCW-SNVBAGLBSA-N -1 1 346.409 -0.557 20 0 EBADMM O=C(C[N-]S(=O)(=O)[C@@H]1COC[C@H]1O)N1CCc2ccccc21 ZINC001364795988 1132429402 /nfs/dbraw/zinc/42/94/02/1132429402.db2.gz IKSTXDNSFVCPSI-CHWSQXEVSA-N -1 1 326.374 -0.745 20 0 EBADMM CC(=O)N1CCC(C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)CC1 ZINC001364799168 1132431305 /nfs/dbraw/zinc/43/13/05/1132431305.db2.gz JAXZHIPQGBAJAF-UHFFFAOYSA-N -1 1 344.393 -0.977 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCO[C@]3(CCOC3)C2)[n-]n1 ZINC001364832171 1132446965 /nfs/dbraw/zinc/44/69/65/1132446965.db2.gz ZHAFUARAJWMLRU-NOZJJQNGSA-N -1 1 345.377 -0.187 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCO[C@]3(CCOC3)C2)n[n-]1 ZINC001364832171 1132446972 /nfs/dbraw/zinc/44/69/72/1132446972.db2.gz ZHAFUARAJWMLRU-NOZJJQNGSA-N -1 1 345.377 -0.187 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCC2(O)CCOCC2)[n-]n1 ZINC001364836721 1132448709 /nfs/dbraw/zinc/44/87/09/1132448709.db2.gz RSLHYMHAWJJKLF-UHFFFAOYSA-N -1 1 333.366 -0.594 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCC2(O)CCOCC2)n[n-]1 ZINC001364836721 1132448712 /nfs/dbraw/zinc/44/87/12/1132448712.db2.gz RSLHYMHAWJJKLF-UHFFFAOYSA-N -1 1 333.366 -0.594 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1C[C@H]2CCCCN2C1=O ZINC001364857674 1132455880 /nfs/dbraw/zinc/45/58/80/1132455880.db2.gz GKDQQYGIVFOIQZ-SCZZXKLOSA-N -1 1 342.377 -0.372 20 0 EBADMM CC[C@@H]([N-]S(=O)(=O)c1nc[nH]c1Br)C(=O)NC ZINC001364869276 1132461489 /nfs/dbraw/zinc/46/14/89/1132461489.db2.gz ZGEGIOTWOLAWHO-RXMQYKEDSA-N -1 1 325.188 -0.025 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2ccc(CO)cc2)c(=O)[nH]c1=O ZINC001364874452 1132463432 /nfs/dbraw/zinc/46/34/32/1132463432.db2.gz YFCCHPFXURAAND-UHFFFAOYSA-N -1 1 325.346 -0.543 20 0 EBADMM CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@]1(C)CCOC1=O ZINC001364875843 1132464674 /nfs/dbraw/zinc/46/46/74/1132464674.db2.gz ZYANLBLXEDIBGV-GFCCVEGCSA-N -1 1 331.350 -0.121 20 0 EBADMM Cn1ncc(C2CC2)c1C[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001364886806 1132470260 /nfs/dbraw/zinc/47/02/60/1132470260.db2.gz CUSXDSADDKNTGM-UHFFFAOYSA-N -1 1 339.377 -0.425 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCNC(=O)CC2(C)C)[n-]n1 ZINC001364900309 1132474204 /nfs/dbraw/zinc/47/42/04/1132474204.db2.gz AZWPNDDWGGBIMY-UHFFFAOYSA-N -1 1 330.366 -0.515 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCNC(=O)CC2(C)C)n[n-]1 ZINC001364900309 1132474209 /nfs/dbraw/zinc/47/42/09/1132474209.db2.gz AZWPNDDWGGBIMY-UHFFFAOYSA-N -1 1 330.366 -0.515 20 0 EBADMM COc1nscc1S(=O)(=O)[N-][C@@H](CO)CN1CCOCC1 ZINC001364902435 1132475978 /nfs/dbraw/zinc/47/59/78/1132475978.db2.gz JFVJYQWNRBAZHE-SECBINFHSA-N -1 1 337.423 -0.877 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC[C@H]1CC(=O)N(C)C1 ZINC001364935147 1132493291 /nfs/dbraw/zinc/49/32/91/1132493291.db2.gz PXKVOKRPTLZDEN-VIFPVBQESA-N -1 1 344.393 -0.267 20 0 EBADMM CO[C@@]1(C[N-]S(=O)(=O)c2cc(C)ns2)CCS(=O)(=O)C1 ZINC001364946937 1132497649 /nfs/dbraw/zinc/49/76/49/1132497649.db2.gz IZIVZAPQROJXCJ-SNVBAGLBSA-N -1 1 340.448 -0.066 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3ccn(C(F)F)n3)CC2)nc1=O ZINC001364948563 1132497977 /nfs/dbraw/zinc/49/79/77/1132497977.db2.gz IKUXRQXLQSGLCE-UHFFFAOYSA-N -1 1 341.322 -0.342 20 0 EBADMM COC(=O)[C@H](CC1OCCO1)[N-]S(=O)(=O)C[C@H](OC)C1CC1 ZINC001364992846 1132513725 /nfs/dbraw/zinc/51/37/25/1132513725.db2.gz NVQXOFBSWQGZQF-QWRGUYRKSA-N -1 1 337.394 -0.365 20 0 EBADMM COC(=O)[C@@H](CC1OCCO1)[N-]S(=O)(=O)CC[C@H]1CCCO1 ZINC001365008751 1132519447 /nfs/dbraw/zinc/51/94/47/1132519447.db2.gz RMESSBUKALLXIK-GHMZBOCLSA-N -1 1 337.394 -0.221 20 0 EBADMM Cn1nccc1[C@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])CCO1 ZINC001365040655 1132526409 /nfs/dbraw/zinc/52/64/09/1132526409.db2.gz ARWINHNMVWNSJX-CYBMUJFWSA-N -1 1 345.359 -0.149 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)C2CN(C3CCOCC3)C2)n1 ZINC001365187243 1132574372 /nfs/dbraw/zinc/57/43/72/1132574372.db2.gz WHXPFQUOHMHQPK-UHFFFAOYSA-N -1 1 329.382 -0.743 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)C2CN(C3CCOCC3)C2)[n-]1 ZINC001365187243 1132574379 /nfs/dbraw/zinc/57/43/79/1132574379.db2.gz WHXPFQUOHMHQPK-UHFFFAOYSA-N -1 1 329.382 -0.743 20 0 EBADMM O=C(Cn1cc(Br)c(=O)[nH]c1=O)Nc1c[n-][nH]c1=O ZINC001365206252 1132579106 /nfs/dbraw/zinc/57/91/06/1132579106.db2.gz DJMVSOFUDIVCGN-UHFFFAOYSA-N -1 1 330.098 -0.221 20 0 EBADMM COC(=O)c1c(NC(=O)[C@H]2CCCC(=O)N2C)n[n-]c1OCCO ZINC001365204948 1132579309 /nfs/dbraw/zinc/57/93/09/1132579309.db2.gz NSWGLEJIDYXFPR-MRVPVSSYSA-N -1 1 340.336 -0.483 20 0 EBADMM COC(=O)c1c(OCCO)n[n-]c1NC(=O)[C@H]1CCCC(=O)N1C ZINC001365204948 1132579315 /nfs/dbraw/zinc/57/93/15/1132579315.db2.gz NSWGLEJIDYXFPR-MRVPVSSYSA-N -1 1 340.336 -0.483 20 0 EBADMM C[C@H]1CC(=O)NCCN1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001365242471 1132588772 /nfs/dbraw/zinc/58/87/72/1132588772.db2.gz OQFBSRFQAAGGFS-VIFPVBQESA-N -1 1 335.364 -0.325 20 0 EBADMM Nc1n[nH]c(CNC(=O)N2CCC(C[N-]C(=O)C(F)(F)F)CC2)n1 ZINC001365242368 1132589254 /nfs/dbraw/zinc/58/92/54/1132589254.db2.gz SANWHEVAQCAREH-UHFFFAOYSA-N -1 1 349.317 -0.013 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@]2(C(=O)OC)CCSC2)[n-]n1 ZINC001365387126 1132646975 /nfs/dbraw/zinc/64/69/75/1132646975.db2.gz CPMNSLRVJXWOAU-NSHDSACASA-N -1 1 349.390 -0.477 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@]2(C(=O)OC)CCSC2)n[n-]1 ZINC001365387126 1132646979 /nfs/dbraw/zinc/64/69/79/1132646979.db2.gz CPMNSLRVJXWOAU-NSHDSACASA-N -1 1 349.390 -0.477 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@]2(O)CCCC2(C)C)c(=O)[nH]c1=O ZINC001365406974 1132656242 /nfs/dbraw/zinc/65/62/42/1132656242.db2.gz MRNGSXLICMFGSQ-ZDUSSCGKSA-N -1 1 331.394 -0.295 20 0 EBADMM CCOC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001365455526 1132687135 /nfs/dbraw/zinc/68/71/35/1132687135.db2.gz FSPRYCSOEIFINT-VIFPVBQESA-N -1 1 345.377 -0.504 20 0 EBADMM CCN1CCC[C@@H](NS(=O)(=O)c2cc(C(=O)OC)n[n-]2)C1=O ZINC001365465377 1132695353 /nfs/dbraw/zinc/69/53/53/1132695353.db2.gz MMUCYZXSMZCRIM-MRVPVSSYSA-N -1 1 330.366 -0.515 20 0 EBADMM CCN1CCC[C@@H](NS(=O)(=O)c2cc(C(=O)OC)[n-]n2)C1=O ZINC001365465377 1132695357 /nfs/dbraw/zinc/69/53/57/1132695357.db2.gz MMUCYZXSMZCRIM-MRVPVSSYSA-N -1 1 330.366 -0.515 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]C2(C(=O)OC)CC(CO)C2)c1 ZINC001365470594 1132699535 /nfs/dbraw/zinc/69/95/35/1132699535.db2.gz KBMDMSRDXSGCAK-UHFFFAOYSA-N -1 1 347.345 -0.341 20 0 EBADMM COC(=O)C1([N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)CCCCC1 ZINC001365628822 1132738898 /nfs/dbraw/zinc/73/88/98/1132738898.db2.gz HHWFLCNFFGOZHS-UHFFFAOYSA-N -1 1 345.377 -0.360 20 0 EBADMM C[C@H](NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)c1nnnn1C ZINC001365663803 1132752502 /nfs/dbraw/zinc/75/25/02/1132752502.db2.gz JUGYZQXSEKYSGK-ZETCQYMHSA-N -1 1 334.340 -0.661 20 0 EBADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-]C[C@H](O)COCC1CC1 ZINC001365665251 1132753723 /nfs/dbraw/zinc/75/37/23/1132753723.db2.gz AYSQWABITVNJCH-APBUJDDRSA-N -1 1 329.444 -0.817 20 0 EBADMM COc1nscc1S(=O)(=O)[N-]C[C@H](O)CN1CCCC1=O ZINC001365667193 1132754355 /nfs/dbraw/zinc/75/43/55/1132754355.db2.gz RBEBOBXNKCGLII-QMMMGPOBSA-N -1 1 335.407 -0.587 20 0 EBADMM CCOC(=O)[C@@H](CO)[N-]S(=O)(=O)C1CCC(C(=O)OC)CC1 ZINC001365683934 1132761633 /nfs/dbraw/zinc/76/16/33/1132761633.db2.gz IWVCMTZDLQJKOZ-VQXHTEKXSA-N -1 1 337.394 -0.438 20 0 EBADMM Cc1ncc(CC[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)s1 ZINC001365682853 1132761914 /nfs/dbraw/zinc/76/19/14/1132761914.db2.gz DISLMHDHGXMZGP-UHFFFAOYSA-N -1 1 330.391 -0.228 20 0 EBADMM C[C@@H]1OCC[C@@]1(O)C[N-]S(=O)(=O)c1nc[nH]c1Br ZINC001365703117 1132768406 /nfs/dbraw/zinc/76/84/06/1132768406.db2.gz PLTFZRCPLXHFSZ-IMTBSYHQSA-N -1 1 340.199 -0.010 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@@H]2CC(C)(C)OC2=O)[n-]n1 ZINC001365710924 1132771141 /nfs/dbraw/zinc/77/11/41/1132771141.db2.gz ZDBKCOXCFWMESN-MRVPVSSYSA-N -1 1 331.350 -0.089 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@@H]2CC(C)(C)OC2=O)n[n-]1 ZINC001365710924 1132771147 /nfs/dbraw/zinc/77/11/47/1132771147.db2.gz ZDBKCOXCFWMESN-MRVPVSSYSA-N -1 1 331.350 -0.089 20 0 EBADMM CC1(C)CNC(=O)[C@H]1[N-]S(=O)(=O)c1nc[nH]c1Br ZINC001365722586 1132776613 /nfs/dbraw/zinc/77/66/13/1132776613.db2.gz HOWYFZZCOCNRKL-RXMQYKEDSA-N -1 1 337.199 -0.025 20 0 EBADMM Cc1nc(CS(=O)(=O)[N-][C@@H]2C(=O)NCC23CCOCC3)no1 ZINC001365735092 1132783414 /nfs/dbraw/zinc/78/34/14/1132783414.db2.gz CCBYDQWPTYCRRY-SNVBAGLBSA-N -1 1 330.366 -0.907 20 0 EBADMM COC[C@H](CS(=O)(=O)[N-][C@@H](C[C@H]1CCCO1)C(=O)OC)OC ZINC001365735281 1132783686 /nfs/dbraw/zinc/78/36/86/1132783686.db2.gz NZPVAAVCFZNSID-UTUOFQBUSA-N -1 1 339.410 -0.322 20 0 EBADMM COc1nscc1S(=O)(=O)[N-]CCn1ccc(=O)[nH]c1=O ZINC001365736241 1132784309 /nfs/dbraw/zinc/78/43/09/1132784309.db2.gz NKFJIRPRLRUJCC-UHFFFAOYSA-N -1 1 332.363 -0.608 20 0 EBADMM CCNC(=O)NC(=O)[C@H](C)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001365996424 1132956576 /nfs/dbraw/zinc/95/65/76/1132956576.db2.gz YIVUPBHXRZCTPV-QWRGUYRKSA-N -1 1 349.391 -0.175 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)COc3ccsc3)C2)nc1=O ZINC001366001986 1132961146 /nfs/dbraw/zinc/96/11/46/1132961146.db2.gz DRDKZEBDSFNGQR-JTQLQIEISA-N -1 1 337.405 -0.061 20 0 EBADMM CCC(=O)NCC(=O)NC[C@@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001366193349 1133050870 /nfs/dbraw/zinc/05/08/70/1133050870.db2.gz AXNWCEHAOAJTCN-NSHDSACASA-N -1 1 338.412 -0.895 20 0 EBADMM Cn1cc(CC(=O)NC[C@@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001366199856 1133052995 /nfs/dbraw/zinc/05/29/95/1133052995.db2.gz NMOODNFFSGRVKP-ZDUSSCGKSA-N -1 1 347.423 -0.445 20 0 EBADMM CN(CCNC(=O)c1cnc2n1CCCCC2)Cc1nc(=O)n(C)[n-]1 ZINC001366540429 1133176642 /nfs/dbraw/zinc/17/66/42/1133176642.db2.gz KYDWHBNUPQOYMW-UHFFFAOYSA-N -1 1 347.423 -0.107 20 0 EBADMM CC(=O)NC(C)(C)C(=O)NCC[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001366845038 1133315631 /nfs/dbraw/zinc/31/56/31/1133315631.db2.gz FEGHYGOCYUYHCJ-LLVKDONJSA-N -1 1 338.412 -0.247 20 0 EBADMM CS(=O)(=O)NCCN1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001366892200 1133346557 /nfs/dbraw/zinc/34/65/57/1133346557.db2.gz CLLXHFJQIWPNMB-LLVKDONJSA-N -1 1 342.421 -0.469 20 0 EBADMM CC[C@@H](CNC(=O)C1(S(C)(=O)=O)CCC1)NCc1n[nH]c(=O)[n-]1 ZINC001367133499 1133484749 /nfs/dbraw/zinc/48/47/49/1133484749.db2.gz CQQCUHBBJQDZOF-VIFPVBQESA-N -1 1 345.425 -0.538 20 0 EBADMM CC(C)C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H](C)S(C)(=O)=O ZINC001367414638 1133583547 /nfs/dbraw/zinc/58/35/47/1133583547.db2.gz AQPNRFFWXKPQOY-NXEZZACHSA-N -1 1 347.441 -0.436 20 0 EBADMM CC(C)C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H](C)S(C)(=O)=O ZINC001367414667 1133583907 /nfs/dbraw/zinc/58/39/07/1133583907.db2.gz AQPNRFFWXKPQOY-ZJUUUORDSA-N -1 1 347.441 -0.436 20 0 EBADMM CN(CCN(C)C(=O)CCc1cnccn1)Cc1nc(=O)n(C)[n-]1 ZINC001367544283 1133622679 /nfs/dbraw/zinc/62/26/79/1133622679.db2.gz WJTLWLDTEXDXPF-UHFFFAOYSA-N -1 1 333.396 -0.579 20 0 EBADMM O=C(NC[C@H](O)CNCc1n[nH]c(=O)[n-]1)[C@]12CCC[C@H]1OCC2 ZINC001367601755 1133679003 /nfs/dbraw/zinc/67/90/03/1133679003.db2.gz PNLDZTNMUFIVIZ-RULNRJAQSA-N -1 1 325.369 -0.964 20 0 EBADMM CC(C)n1ccc(CNC[C@@H](C)NC(=O)c2n[nH]c(=O)[n-]c2=O)n1 ZINC001367680169 1133743384 /nfs/dbraw/zinc/74/33/84/1133743384.db2.gz GVINXEMKEUQGAB-SECBINFHSA-N -1 1 335.368 -0.032 20 0 EBADMM Cc1nn(C)cc1CN(C)C[C@H](C)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001367816031 1133797198 /nfs/dbraw/zinc/79/71/98/1133797198.db2.gz HMRNVYWVTDDPOL-QMMMGPOBSA-N -1 1 335.368 -0.425 20 0 EBADMM C[C@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)CCCn1cncn1 ZINC001367844197 1133810167 /nfs/dbraw/zinc/81/01/67/1133810167.db2.gz JORWLZBLAGPAIN-LLVKDONJSA-N -1 1 336.400 -0.883 20 0 EBADMM CCO[C@H](C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(C)C ZINC001367940321 1133849809 /nfs/dbraw/zinc/84/98/09/1133849809.db2.gz LKBLBXRRNULJTR-AAEUAGOBSA-N -1 1 325.413 -0.140 20 0 EBADMM Cc1ccn([C@@H](C)CC(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001367951168 1133858292 /nfs/dbraw/zinc/85/82/92/1133858292.db2.gz LTPPQVZMPAJMQA-QWHCGFSZSA-N -1 1 347.423 -0.045 20 0 EBADMM CN(CC(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)c1ccccc1 ZINC001367992372 1133882522 /nfs/dbraw/zinc/88/25/22/1133882522.db2.gz VESZWVQNQXZPDZ-CQSZACIVSA-N -1 1 344.419 -0.065 20 0 EBADMM CCCC[C@H](C)C(=O)NC[C@]1(O)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001368248460 1134023328 /nfs/dbraw/zinc/02/33/28/1134023328.db2.gz KDFJTVQVEJTMNO-BLLLJJGKSA-N -1 1 339.440 -0.012 20 0 EBADMM C[C@@H](C(=O)NC[C@@]1(O)CCN(Cc2nc(=O)n(C)[n-]2)C1)C(C)(C)C ZINC001368255030 1134038788 /nfs/dbraw/zinc/03/87/88/1134038788.db2.gz FTHDWWRQARMRNR-ZBEGNZNMSA-N -1 1 339.440 -0.156 20 0 EBADMM CCn1ccc(CN2CC(O)(CNC(=O)c3ncccc3[O-])C2)n1 ZINC001368289585 1134106540 /nfs/dbraw/zinc/10/65/40/1134106540.db2.gz PWZOBKSKSXMXIY-UHFFFAOYSA-N -1 1 331.376 -0.020 20 0 EBADMM O=C(NC1(CNCc2n[nH]c(=O)[n-]2)CCOCC1)[C@H]1CC1(F)F ZINC001368673273 1134369526 /nfs/dbraw/zinc/36/95/26/1134369526.db2.gz MEZNVFFXICSPCW-MRVPVSSYSA-N -1 1 331.323 -0.080 20 0 EBADMM C[C@@H](CNC(=O)c1c(Cl)cnn1C)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001368938082 1134489298 /nfs/dbraw/zinc/48/92/98/1134489298.db2.gz FPGPXWLFYURSSW-QMMMGPOBSA-N -1 1 341.803 -0.254 20 0 EBADMM COc1ccncc1CC(=O)NC[C@@H](C)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001368957465 1134497362 /nfs/dbraw/zinc/49/73/62/1134497362.db2.gz YGVAXLGJMQYNOD-LLVKDONJSA-N -1 1 348.407 -0.309 20 0 EBADMM Cc1c(CC(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001369085176 1134557429 /nfs/dbraw/zinc/55/74/29/1134557429.db2.gz VDLMZGWXMWCIPK-JTQLQIEISA-N -1 1 347.423 -0.670 20 0 EBADMM CO[C@@H](C(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1)C(C)C ZINC001369092145 1134560706 /nfs/dbraw/zinc/56/07/06/1134560706.db2.gz SMZSFOAYOHLJLR-GXFFZTMASA-N -1 1 325.413 -0.284 20 0 EBADMM C[C@H](CN(C)C(=O)c1cnc(N(C)C)cn1)NCc1n[nH]c(=O)[n-]1 ZINC001369242858 1134627488 /nfs/dbraw/zinc/62/74/88/1134627488.db2.gz VLHVHCFTPSBRLZ-SECBINFHSA-N -1 1 334.384 -0.383 20 0 EBADMM COCCn1ccc(C(=O)N(C)C[C@@H](C)NCc2n[nH]c(=O)[n-]2)n1 ZINC001369257157 1134632514 /nfs/dbraw/zinc/63/25/14/1134632514.db2.gz HKCMGCITKXPSIU-SNVBAGLBSA-N -1 1 337.384 -0.397 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ccc(C(N)=O)s1)NCc1n[nH]c(=O)[n-]1 ZINC001369282770 1134640048 /nfs/dbraw/zinc/64/00/48/1134640048.db2.gz DMWYJDLVKCXIKV-ZETCQYMHSA-N -1 1 338.393 -0.079 20 0 EBADMM Cc1cc(C(=O)NC[C@@H](CO)NCc2nc(=O)n(C)[n-]2)c(C)s1 ZINC001369338779 1134685177 /nfs/dbraw/zinc/68/51/77/1134685177.db2.gz JPXPYKKEUCELTF-JTQLQIEISA-N -1 1 339.421 -0.333 20 0 EBADMM COc1cc(C(=O)NC[C@H](CO)NCc2nc(=O)n(C)[n-]2)ccc1C ZINC001369348655 1134697188 /nfs/dbraw/zinc/69/71/88/1134697188.db2.gz OLBWRRNDCIIPPE-GFCCVEGCSA-N -1 1 349.391 -0.694 20 0 EBADMM CN(C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)c1csc(Cl)n1 ZINC001369480103 1134845122 /nfs/dbraw/zinc/84/51/22/1134845122.db2.gz MYUWRACUNDVWOC-LURJTMIESA-N -1 1 346.800 -0.157 20 0 EBADMM CC(C)n1cc(C(=O)N(C)C[C@@H](O)CNCc2n[nH]c(=O)[n-]2)cn1 ZINC001369481954 1134849442 /nfs/dbraw/zinc/84/94/42/1134849442.db2.gz SARJIQXJDWXLOD-NSHDSACASA-N -1 1 337.384 -0.490 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H](O)CN(C)C(=O)[C@H]1C[C@@H]1C(F)F ZINC001369507729 1134897584 /nfs/dbraw/zinc/89/75/84/1134897584.db2.gz OEJUVFPBUZSIQW-GUBZILKMSA-N -1 1 347.366 -0.739 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001369535716 1134926775 /nfs/dbraw/zinc/92/67/75/1134926775.db2.gz CWQOKIXZMLCBHO-SNVBAGLBSA-N -1 1 326.401 -0.391 20 0 EBADMM CCC(=O)NCC(=O)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001369628573 1134966272 /nfs/dbraw/zinc/96/62/72/1134966272.db2.gz ZLWFIAKJLPFHQB-UHFFFAOYSA-N -1 1 334.376 -0.062 20 0 EBADMM CO[C@H](C(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1)C1CC1 ZINC001369747279 1135006448 /nfs/dbraw/zinc/00/64/48/1135006448.db2.gz IMDPBWLTHUYDNI-AWEZNQCLSA-N -1 1 337.424 -0.044 20 0 EBADMM C[C@H](CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)n1cccn1 ZINC001370029508 1135179871 /nfs/dbraw/zinc/17/98/71/1135179871.db2.gz CAVOSSSXQGNVMP-NEPJUHHUSA-N -1 1 333.396 -0.353 20 0 EBADMM CCOCC(=O)N[C@H](C)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001370087083 1135195130 /nfs/dbraw/zinc/19/51/30/1135195130.db2.gz FIZJVHIWABDVMU-SECBINFHSA-N -1 1 348.363 -0.969 20 0 EBADMM CCc1c(C(=O)N[C@@H](CO)CNC(=O)c2ncccc2[O-])cnn1C ZINC001370680857 1135489559 /nfs/dbraw/zinc/48/95/59/1135489559.db2.gz OQHHDVIFHXCXTN-SNVBAGLBSA-N -1 1 347.375 -0.396 20 0 EBADMM Cc1ccncc1CC(=O)NC[C@@H](CO)NC(=O)c1ncccc1[O-] ZINC001370738227 1135571587 /nfs/dbraw/zinc/57/15/87/1135571587.db2.gz VZTCQJRBKZQVNO-ZDUSSCGKSA-N -1 1 344.371 -0.060 20 0 EBADMM Cc1nn(C)cc1CC(=O)NC[C@@H](CO)NC(=O)c1ncccc1[O-] ZINC001370738267 1135572048 /nfs/dbraw/zinc/57/20/48/1135572048.db2.gz ZUYMZRJHMXHSSK-LBPRGKRZSA-N -1 1 347.375 -0.721 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](CNC(=O)CCCC(N)=O)C2)nc1=O ZINC001370793965 1135637782 /nfs/dbraw/zinc/63/77/82/1135637782.db2.gz PFPHKXAFLLPWMV-NSHDSACASA-N -1 1 338.412 -0.908 20 0 EBADMM Cn1c(=O)cccc1C(=O)NC[C@@H](CO)NC(=O)c1ncccc1[O-] ZINC001370813596 1135654342 /nfs/dbraw/zinc/65/43/42/1135654342.db2.gz SYKRPJHVGJIYGI-JTQLQIEISA-N -1 1 346.343 -0.993 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H]2CNC(=O)Cc2ncc[nH]2)nc1=O ZINC001370899621 1135690157 /nfs/dbraw/zinc/69/01/57/1135690157.db2.gz CJMLMALSZJIPMP-NSHDSACASA-N -1 1 333.396 -0.455 20 0 EBADMM CN(C[C@@H](O)CNC(=O)c1ccnnc1)C(=O)c1ncccc1[O-] ZINC001370987295 1135737972 /nfs/dbraw/zinc/73/79/72/1135737972.db2.gz GAWBOWUHBCHBIC-NSHDSACASA-N -1 1 331.332 -0.560 20 0 EBADMM CCN(C(=O)CN1CN=NC1=O)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001371340846 1135892308 /nfs/dbraw/zinc/89/23/08/1135892308.db2.gz FRTZEFHWXZWJKE-SNVBAGLBSA-N -1 1 348.363 -0.249 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])[C@H](C)CNC(=O)[C@H](C)NC(N)=O ZINC001371343530 1135892776 /nfs/dbraw/zinc/89/27/76/1135892776.db2.gz QSRORHZDSQLDIM-ZJUUUORDSA-N -1 1 337.380 -0.189 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001371586432 1135976612 /nfs/dbraw/zinc/97/66/12/1135976612.db2.gz GZSPIGSWSDOKQA-JQWIXIFHSA-N -1 1 334.376 -0.015 20 0 EBADMM Cc1cc(=O)c(C(=O)NCC[C@@H](C)NCc2n[nH]c(=O)[n-]2)cn1C ZINC001371772213 1136017668 /nfs/dbraw/zinc/01/76/68/1136017668.db2.gz SRHMKOHOHZGENL-SECBINFHSA-N -1 1 334.380 -0.184 20 0 EBADMM CCO[C@H](C)C(=O)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001371974994 1136074028 /nfs/dbraw/zinc/07/40/28/1136074028.db2.gz PEZAOBKVRRNHEQ-SNVBAGLBSA-N -1 1 348.363 -0.873 20 0 EBADMM COc1cncc(C(=O)NCCN(C)Cc2nc(=O)n(C)[n-]2)c1C ZINC001372008590 1136084812 /nfs/dbraw/zinc/08/48/12/1136084812.db2.gz LCIHWBCNTADQBU-UHFFFAOYSA-N -1 1 334.380 -0.318 20 0 EBADMM Cc1nc2n(n1)CCN(C(=O)NCc1nc3c(c(=O)[n-]1)COCC3)C2 ZINC001372189411 1136131865 /nfs/dbraw/zinc/13/18/65/1136131865.db2.gz ZGSBUNRPODNZPY-UHFFFAOYSA-N -1 1 345.363 -0.120 20 0 EBADMM CC(C)C(=O)NC[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C1CC1 ZINC001372353074 1136178050 /nfs/dbraw/zinc/17/80/50/1136178050.db2.gz RTFWNBZYLLFNNH-LLVKDONJSA-N -1 1 332.364 -0.302 20 0 EBADMM CN1C[C@H](C(=O)NC[C@@H](NC(=O)c2ncccc2[O-])C2CC2)NC1=O ZINC001372411664 1136195644 /nfs/dbraw/zinc/19/56/44/1136195644.db2.gz KXMJCLXQJGZFQG-GHMZBOCLSA-N -1 1 347.375 -0.565 20 0 EBADMM C[C@@H](CNC(=O)C1=CCCC1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001372834491 1136300075 /nfs/dbraw/zinc/30/00/75/1136300075.db2.gz YJFZNHZUDOTYLU-VIFPVBQESA-N -1 1 330.348 -0.238 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)CCc1cnn(C)n1 ZINC001372837974 1136301015 /nfs/dbraw/zinc/30/10/15/1136301015.db2.gz VIRNSMMGFIAWOT-SNVBAGLBSA-N -1 1 332.364 -0.217 20 0 EBADMM Cn1[n-]c(CN(CCNC(=O)c2ccc(=O)[nH]c2)C2CC2)nc1=O ZINC001372897183 1136317915 /nfs/dbraw/zinc/31/79/15/1136317915.db2.gz DOJUZFZSIFAQLE-UHFFFAOYSA-N -1 1 332.364 -0.397 20 0 EBADMM Cn1[n-]c(CN(CCNC(=O)c2cccn(C)c2=O)C2CC2)nc1=O ZINC001372897353 1136318520 /nfs/dbraw/zinc/31/85/20/1136318520.db2.gz KSBIBKSZGIJSNB-UHFFFAOYSA-N -1 1 346.391 -0.799 20 0 EBADMM C[C@H](CNC(=O)[C@H](C)S(C)(=O)=O)NC(=O)c1ncccc1[O-] ZINC001372957887 1136338535 /nfs/dbraw/zinc/33/85/35/1136338535.db2.gz FOMQBFIGTAALAD-BDAKNGLRSA-N -1 1 329.378 -0.545 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CCCN(C(N)=O)C1)NC(=O)c1ncccc1[O-] ZINC001372968242 1136341900 /nfs/dbraw/zinc/34/19/00/1136341900.db2.gz ITEYZQWVTQPYKF-QWRGUYRKSA-N -1 1 349.391 -0.188 20 0 EBADMM CC[C@H](F)C(=O)NC[C@H](C)NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001373022629 1136355041 /nfs/dbraw/zinc/35/50/41/1136355041.db2.gz QXOJSUJQJIBMHT-BQBZGAKWSA-N -1 1 330.316 -0.121 20 0 EBADMM CCO[C@H](C)C(=O)NCCN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001373365158 1136435789 /nfs/dbraw/zinc/43/57/89/1136435789.db2.gz UYOQNLWTKVDJLK-SECBINFHSA-N -1 1 336.352 -0.969 20 0 EBADMM CNC(=O)NC(=O)[C@H](C)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001373394496 1136443736 /nfs/dbraw/zinc/44/37/36/1136443736.db2.gz IKRQWDZITZSPTA-QWRGUYRKSA-N -1 1 349.391 -0.175 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)C3(c4ccccn4)CC3)C2)nc1=O ZINC001373507204 1136478370 /nfs/dbraw/zinc/47/83/70/1136478370.db2.gz CWVLAWOXDRXVDT-UHFFFAOYSA-N -1 1 342.403 -0.217 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)C3(C(F)(F)F)CC3)C2)nc1=O ZINC001373537590 1136489324 /nfs/dbraw/zinc/48/93/24/1136489324.db2.gz PTMQCXYTJZHPOZ-UHFFFAOYSA-N -1 1 333.314 -0.001 20 0 EBADMM Cc1cnn(CCC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001373615431 1136515672 /nfs/dbraw/zinc/51/56/72/1136515672.db2.gz ZQNFPYWCFODIQZ-LBPRGKRZSA-N -1 1 333.396 -0.606 20 0 EBADMM CCS(=O)(=O)NCCN1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001373626215 1136517755 /nfs/dbraw/zinc/51/77/55/1136517755.db2.gz QGSPQHLXVBICOH-LLVKDONJSA-N -1 1 342.421 -0.469 20 0 EBADMM Cc1ccn(C[C@@H](C)C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001373627682 1136518983 /nfs/dbraw/zinc/51/89/83/1136518983.db2.gz LTCZVWDPBONLAA-DGCLKSJQSA-N -1 1 347.423 -0.360 20 0 EBADMM CCO[C@@H](C)C(=O)N(CC)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001373699487 1136540646 /nfs/dbraw/zinc/54/06/46/1136540646.db2.gz MHTYGHOJJXBVFP-RYUDHWBXSA-N -1 1 325.413 -0.044 20 0 EBADMM CCN(C(=O)C[C@@H]1CCOC1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001373705987 1136542775 /nfs/dbraw/zinc/54/27/75/1136542775.db2.gz YAHAZHOFORDOBW-STQMWFEESA-N -1 1 337.424 -0.042 20 0 EBADMM CCN(C(=O)COCC(F)F)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001373712853 1136545932 /nfs/dbraw/zinc/54/59/32/1136545932.db2.gz XGMHOULLBHRFIC-JTQLQIEISA-N -1 1 347.366 -0.187 20 0 EBADMM CCC[C@H](C)C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001374127130 1136629901 /nfs/dbraw/zinc/62/99/01/1136629901.db2.gz YHNJHZPJTXSWOZ-NWDGAFQWSA-N -1 1 325.413 -0.138 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@H]1CCc2nccn2C1 ZINC001374241570 1136656254 /nfs/dbraw/zinc/65/62/54/1136656254.db2.gz FDNBWVDHGNHNFR-QWRGUYRKSA-N -1 1 333.396 -0.094 20 0 EBADMM CC(C)C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C[C@@H]1CCC(=O)N1 ZINC001374359357 1136678610 /nfs/dbraw/zinc/67/86/10/1136678610.db2.gz PDTIAUXJEWKCHV-WDEREUQCSA-N -1 1 338.412 -0.201 20 0 EBADMM CC[C@@H]1CC[C@H](C(=O)N(C)CCN(C)Cc2nc(=O)n(C)[n-]2)O1 ZINC001374499764 1136702702 /nfs/dbraw/zinc/70/27/02/1136702702.db2.gz GDWOSFZUWRCOHO-VXGBXAGGSA-N -1 1 325.413 -0.044 20 0 EBADMM CC(=O)NCC(=O)NC1CN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC001374579186 1136725827 /nfs/dbraw/zinc/72/58/27/1136725827.db2.gz IWVHFWJEKVNAEL-UHFFFAOYSA-N -1 1 349.391 -0.309 20 0 EBADMM O=C(NC[C@H](O)CNCc1n[nH]c(=O)[n-]1)[C@H]1COc2ccccc21 ZINC001374676810 1136794349 /nfs/dbraw/zinc/79/43/49/1136794349.db2.gz NKRBLKMDLHILSL-KOLCDFICSA-N -1 1 333.348 -0.747 20 0 EBADMM CCCC1(C(=O)NC2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)CC1 ZINC001374759260 1136824363 /nfs/dbraw/zinc/82/43/63/1136824363.db2.gz ZOPFAQPWEWWLFP-UHFFFAOYSA-N -1 1 344.375 -0.062 20 0 EBADMM CC(=O)N[C@@H](C(=O)N[C@H](C)CNCc1n[nH]c(=O)[n-]1)C1CCCC1 ZINC001374783352 1136832098 /nfs/dbraw/zinc/83/20/98/1136832098.db2.gz GRRBRHITARMWKJ-NOZJJQNGSA-N -1 1 338.412 -0.201 20 0 EBADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CCNC(=O)C1 ZINC001375055977 1136902734 /nfs/dbraw/zinc/90/27/34/1136902734.db2.gz AOKZKASWMRMVNX-GHMZBOCLSA-N -1 1 334.376 -0.062 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@]2(C(N)=O)CCOC2)o1 ZINC000568901112 376194152 /nfs/dbraw/zinc/19/41/52/376194152.db2.gz MHFQPFWUAWVOCD-LBPRGKRZSA-N -1 1 332.334 -0.621 20 0 EBADMM CCC[C@@](C)([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C(=O)OC ZINC000045097159 375917271 /nfs/dbraw/zinc/91/72/71/375917271.db2.gz DDEVJNXVXCOYJI-CYBMUJFWSA-N -1 1 347.393 -0.906 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H](C)N2CCOCC2)o1 ZINC000030682725 376033026 /nfs/dbraw/zinc/03/30/26/376033026.db2.gz VCLOCDWTNCXBQY-SNVBAGLBSA-N -1 1 331.394 -0.362 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCCNC(=O)C1CC1 ZINC000104822157 376561068 /nfs/dbraw/zinc/56/10/68/376561068.db2.gz IUDRYUMLLATSSI-UHFFFAOYSA-N -1 1 331.376 -0.176 20 0 EBADMM O=C(c1cn(-c2ccccc2)nn1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370863405 376592048 /nfs/dbraw/zinc/59/20/48/376592048.db2.gz VTXPMTBIBGOTBU-LBPRGKRZSA-N -1 1 326.320 -0.006 20 0 EBADMM NS(=O)(=O)CCCS(=O)(=O)c1nc(-c2ccccc2)n[n-]1 ZINC000559995622 376626759 /nfs/dbraw/zinc/62/67/59/376626759.db2.gz QVQABWOTXFRUCC-UHFFFAOYSA-N -1 1 330.391 -0.076 20 0 EBADMM NS(=O)(=O)CCCS(=O)(=O)c1n[n-]c(-c2ccccc2)n1 ZINC000559995622 376626769 /nfs/dbraw/zinc/62/67/69/376626769.db2.gz QVQABWOTXFRUCC-UHFFFAOYSA-N -1 1 330.391 -0.076 20 0 EBADMM CN(CC(=O)Nc1ccc2c(c1)OCCO2)Cc1nc(=O)n(C)[n-]1 ZINC000626142610 377033050 /nfs/dbraw/zinc/03/30/50/377033050.db2.gz NQKCWNHFIPJCHG-UHFFFAOYSA-N -1 1 333.348 -0.050 20 0 EBADMM C[C@H](C[C@@H]1CCCO1)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000588173093 377391686 /nfs/dbraw/zinc/39/16/86/377391686.db2.gz JWPYOYKXUOLBEX-ZJUUUORDSA-N -1 1 331.394 -0.680 20 0 EBADMM Cc1[nH]c(=O)sc1S(=O)(=O)[N-]CCNC(=O)[C@H]1CCO[C@H]1C ZINC000332921719 377342516 /nfs/dbraw/zinc/34/25/16/377342516.db2.gz RBOHAHYINOTRJC-IUCAKERBSA-N -1 1 349.434 -0.023 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CCO[C@H](C3CC3)C2)c(=O)n(C)c1=O ZINC000364027210 377531131 /nfs/dbraw/zinc/53/11/31/377531131.db2.gz DKRNWNJLJVKRBF-MNOVXSKESA-N -1 1 343.405 -0.680 20 0 EBADMM CC1(C)C[C@H](NC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)CO1 ZINC000617053659 377719508 /nfs/dbraw/zinc/71/95/08/377719508.db2.gz WHDXQFCCIPHMDE-QMMMGPOBSA-N -1 1 345.377 -0.660 20 0 EBADMM COC(=O)[C@@H]1CNCCCN1C(=O)c1c[nH]c2nc(=O)[n-]c(=O)c-2c1 ZINC000592963630 377866026 /nfs/dbraw/zinc/86/60/26/377866026.db2.gz LMSIPWSBQRJMED-JTQLQIEISA-N -1 1 347.331 -0.587 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@@H](O)C[C@H](C(=O)OC)C2)co1 ZINC000592938415 377860578 /nfs/dbraw/zinc/86/05/78/377860578.db2.gz PMNSSKYSBMUEFC-WPRPVWTQSA-N -1 1 346.361 -0.816 20 0 EBADMM CCOC(=O)c1c[n-]c(NC(=O)CN(C)CC(=O)NC(C)C)n1 ZINC000593900903 377920264 /nfs/dbraw/zinc/92/02/64/377920264.db2.gz JBSAJMFLYVOLOR-UHFFFAOYSA-N -1 1 325.369 -0.019 20 0 EBADMM CC(C)(C)OC(=O)[C@@]1([N-]S(=O)(=O)N2CCOCC2)CCOC1 ZINC000594841657 377958339 /nfs/dbraw/zinc/95/83/39/377958339.db2.gz GPHYGNQCLNJVKJ-CYBMUJFWSA-N -1 1 336.410 -0.346 20 0 EBADMM CC(C)(C)OC(=O)[C@]1([N-]S(=O)(=O)N2CCOCC2)CCOC1 ZINC000594841658 377958395 /nfs/dbraw/zinc/95/83/95/377958395.db2.gz GPHYGNQCLNJVKJ-ZDUSSCGKSA-N -1 1 336.410 -0.346 20 0 EBADMM COCCN(C)S(=O)(=O)[N-][C@@]1(C(=O)OC(C)(C)C)CCOC1 ZINC000594842451 377958666 /nfs/dbraw/zinc/95/86/66/377958666.db2.gz NFMUATAFSSSBGZ-ZDUSSCGKSA-N -1 1 338.426 -0.100 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-]CC(=O)N2CCOCC2)cc1C ZINC000595318116 377996627 /nfs/dbraw/zinc/99/66/27/377996627.db2.gz HEDOHIGUKZDBSX-UHFFFAOYSA-N -1 1 346.361 -0.488 20 0 EBADMM COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)c1cncc([O-])c1 ZINC000598905628 378188441 /nfs/dbraw/zinc/18/84/41/378188441.db2.gz QLXCCXZITVYZJA-ONGXEEELSA-N -1 1 348.315 -0.905 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2cn3ccccc3n2)c(=O)n(C)c1=O ZINC000007322743 378511544 /nfs/dbraw/zinc/51/15/44/378511544.db2.gz RCTAHGVNQHVNQW-UHFFFAOYSA-N -1 1 349.372 -0.790 20 0 EBADMM CC[C@@H](C)NC(=O)c1ccc(NC(=O)C(=O)NCc2nn[n-]n2)cc1 ZINC000606117910 378531841 /nfs/dbraw/zinc/53/18/41/378531841.db2.gz WVWQYCZJDKCXNZ-SECBINFHSA-N -1 1 345.363 -0.017 20 0 EBADMM Cn1cc(OCC(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])cn1 ZINC000337497873 378653634 /nfs/dbraw/zinc/65/36/34/378653634.db2.gz JWOCMOJWGPOQFD-UHFFFAOYSA-N -1 1 326.334 -0.209 20 0 EBADMM COC(=O)[C@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])C[C@H](C)O1 ZINC000338170031 378742757 /nfs/dbraw/zinc/74/27/57/378742757.db2.gz KXHZYAFUWCEYHE-GXSJLCMTSA-N -1 1 337.332 -0.694 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC1([S@](C)=O)CC1 ZINC000282682319 378753825 /nfs/dbraw/zinc/75/38/25/378753825.db2.gz WTYDBXASJSPSBM-FQEVSTJZSA-N -1 1 335.407 -0.224 20 0 EBADMM C[C@H](CS(C)(=O)=O)N(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000337920346 378707058 /nfs/dbraw/zinc/70/70/58/378707058.db2.gz YKTHXJHEIFMEEU-SECBINFHSA-N -1 1 329.378 -0.592 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2CCCNC2=O)c(C(F)(F)F)n1 ZINC000338883832 378827464 /nfs/dbraw/zinc/82/74/64/378827464.db2.gz QANMGJKYYXFZGL-LURJTMIESA-N -1 1 326.300 -0.004 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2cnn(CC(=O)OC)c2)co1 ZINC000339207145 378862883 /nfs/dbraw/zinc/86/28/83/378862883.db2.gz GIVYJMTXXCIRPB-UHFFFAOYSA-N -1 1 342.333 -0.191 20 0 EBADMM CN(C)S(=O)(=O)c1cc(C(=O)NC(C)(C)c2nn[n-]n2)co1 ZINC000339361100 378907065 /nfs/dbraw/zinc/90/70/65/378907065.db2.gz MZXMEDULTQIWMQ-UHFFFAOYSA-N -1 1 328.354 -0.292 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCN2C(=O)CCC2=O)co1 ZINC000339363027 378907485 /nfs/dbraw/zinc/90/74/85/378907485.db2.gz XFHXCSUYHGGHGJ-UHFFFAOYSA-N -1 1 329.334 -0.934 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCN2C(=O)CCCC2=O)co1 ZINC000339363618 378907684 /nfs/dbraw/zinc/90/76/84/378907684.db2.gz YKVZTCCBGZQRFU-UHFFFAOYSA-N -1 1 343.361 -0.543 20 0 EBADMM O=S(=O)(CCCCS(=O)(=O)N1CCOC1)[N-]c1c[nH]cn1 ZINC000563427948 379023393 /nfs/dbraw/zinc/02/33/93/379023393.db2.gz LHCOQEJHHJQBGU-UHFFFAOYSA-N -1 1 338.411 -0.449 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](CO)c1cnn(C)c1 ZINC000339790126 379034526 /nfs/dbraw/zinc/03/45/26/379034526.db2.gz XUYQETGLHHEPIQ-JTQLQIEISA-N -1 1 343.365 -0.668 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCC[C@@H]2CCOC2)c(=O)n(C)c1=O ZINC000452020830 379078589 /nfs/dbraw/zinc/07/85/89/379078589.db2.gz RTVYVUBIHIILOL-SNVBAGLBSA-N -1 1 331.394 -0.821 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCN(C(C)=O)C[C@H]2C)o1 ZINC000268762506 379326494 /nfs/dbraw/zinc/32/64/94/379326494.db2.gz QHYDNZZRNIJIRC-SECBINFHSA-N -1 1 329.378 -0.120 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C(CC)(CC)C(N)=O ZINC000269664678 379393772 /nfs/dbraw/zinc/39/37/72/379393772.db2.gz MVGLMZBHVXULSI-UHFFFAOYSA-N -1 1 332.382 -0.091 20 0 EBADMM CCCC(=O)N1CSC[C@H]1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000067157717 379348727 /nfs/dbraw/zinc/34/87/27/379348727.db2.gz AKUZHIRDRLYUBW-ZETCQYMHSA-N -1 1 347.422 -0.152 20 0 EBADMM CCCC(=O)N1CSC[C@H]1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000067157717 379348729 /nfs/dbraw/zinc/34/87/29/379348729.db2.gz AKUZHIRDRLYUBW-ZETCQYMHSA-N -1 1 347.422 -0.152 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCCC[C@H]2C(=O)NC)co1 ZINC000270067378 379429875 /nfs/dbraw/zinc/42/98/75/379429875.db2.gz WKLSPIHTFXXJLJ-JTQLQIEISA-N -1 1 329.378 -0.072 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCCN2CCOC2=O)co1 ZINC000270052335 379430698 /nfs/dbraw/zinc/43/06/98/379430698.db2.gz MRZHDYFWDFTKEB-UHFFFAOYSA-N -1 1 331.350 -0.240 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@](C)(COC)C(=O)OC)co1 ZINC000270171540 379435942 /nfs/dbraw/zinc/43/59/42/379435942.db2.gz LHIIVFQHHMRMMY-GFCCVEGCSA-N -1 1 334.350 -0.504 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CCCC[C@H]2C(N)=O)co1 ZINC000270178887 379436475 /nfs/dbraw/zinc/43/64/75/379436475.db2.gz MJYIYQLWVPFKED-NXEZZACHSA-N -1 1 329.378 -0.038 20 0 EBADMM CC[C@H](CO)N1CCN(C(=O)c2c[nH]c3nc(=O)[n-]c(=O)c-3c2)CC1 ZINC000270370641 379446752 /nfs/dbraw/zinc/44/67/52/379446752.db2.gz CXYGFQMEAZQWLB-LLVKDONJSA-N -1 1 347.375 -0.035 20 0 EBADMM CC(C)C[C@H](CCO)C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000269840984 379413661 /nfs/dbraw/zinc/41/36/61/379413661.db2.gz PZTGUMHNNBUCBC-NSHDSACASA-N -1 1 347.437 -0.593 20 0 EBADMM COCCOCCS(=O)(=O)[N-]c1ccc(S(C)(=O)=O)nc1 ZINC000269975228 379422356 /nfs/dbraw/zinc/42/23/56/379422356.db2.gz PGYCJUNJUDEMAZ-UHFFFAOYSA-N -1 1 338.407 -0.110 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-][C@]2(C(=O)OC)CCOC2)c1 ZINC000269985667 379424415 /nfs/dbraw/zinc/42/44/15/379424415.db2.gz DQUQHEBPGWIHMF-GFCCVEGCSA-N -1 1 333.318 -0.323 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H](C)N2CCOCC2)co1 ZINC000270034572 379427111 /nfs/dbraw/zinc/42/71/11/379427111.db2.gz MKTRGUPVLINDPD-SNVBAGLBSA-N -1 1 331.394 -0.362 20 0 EBADMM CCC[C@@H](C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)S(N)(=O)=O ZINC000614387588 379923995 /nfs/dbraw/zinc/92/39/95/379923995.db2.gz BNPCWQHUJWKOAP-IUCAKERBSA-N -1 1 332.382 -0.062 20 0 EBADMM CO[C@H]1CS(=O)(=O)C[C@H]1[N-]S(=O)(=O)CC1(OC)CCCC1 ZINC000632552616 379880411 /nfs/dbraw/zinc/88/04/11/379880411.db2.gz WAEQPAZFKYLDHD-MNOVXSKESA-N -1 1 341.451 -0.323 20 0 EBADMM Cc1cccc(=O)n1C[C@](C)(O)C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000280329077 379979843 /nfs/dbraw/zinc/97/98/43/379979843.db2.gz PAZZZJKUMCOHME-BMIGLBTASA-N -1 1 334.380 -0.317 20 0 EBADMM Cc1cccc(=O)n1C[C@](C)(O)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000280329080 379980211 /nfs/dbraw/zinc/98/02/11/379980211.db2.gz PAZZZJKUMCOHME-BONVTDFDSA-N -1 1 334.380 -0.317 20 0 EBADMM NS(=O)(=O)c1ccc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cc1 ZINC000539522611 379996453 /nfs/dbraw/zinc/99/64/53/379996453.db2.gz PUIVLKKAYOGLJR-SNVBAGLBSA-N -1 1 336.377 -0.133 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H](CO)[C@H]1CCOC1 ZINC000281014255 380004084 /nfs/dbraw/zinc/00/40/84/380004084.db2.gz PBRJVPZOKIBPCH-UWVGGRQHSA-N -1 1 347.393 -0.490 20 0 EBADMM CC1(C)CN(S(=O)(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])CCO1 ZINC000547547073 380143990 /nfs/dbraw/zinc/14/39/90/380143990.db2.gz KCYRQTUNZQKBHT-JTQLQIEISA-N -1 1 332.382 -0.649 20 0 EBADMM CS(=O)(=O)N[C@@H]1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000283018410 380098592 /nfs/dbraw/zinc/09/85/92/380098592.db2.gz JQCRJOUBHBSVLH-SECBINFHSA-N -1 1 341.418 -0.218 20 0 EBADMM O=C(CN1CCC(c2n[n-]c(=O)o2)CC1)NCC(=O)N1CCCC1 ZINC000284351828 380177563 /nfs/dbraw/zinc/17/75/63/380177563.db2.gz SCVHCQGKVRDIHX-UHFFFAOYSA-N -1 1 337.380 -0.719 20 0 EBADMM COc1ccc(NC(=O)NC(CO)CO)cc1[N-]S(C)(=O)=O ZINC000355380280 380190945 /nfs/dbraw/zinc/19/09/45/380190945.db2.gz VKMBGDQKFOVCQN-UHFFFAOYSA-N -1 1 333.366 -0.459 20 0 EBADMM CS(=O)(=O)CCS(=O)(=O)[N-][C@H]1CN(c2ccccc2)C1=O ZINC000369903270 380200711 /nfs/dbraw/zinc/20/07/11/380200711.db2.gz WCARUFDZIQGFGX-NSHDSACASA-N -1 1 332.403 -0.634 20 0 EBADMM CCc1nc(S(=O)(=O)CC(=O)N2CCOC[C@@H]2C2CC2)n[n-]1 ZINC000367249153 380212086 /nfs/dbraw/zinc/21/20/86/380212086.db2.gz SRSCFVKJJLEMRL-SNVBAGLBSA-N -1 1 328.394 -0.222 20 0 EBADMM Cc1cnc(N)c(S(=O)(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])c1 ZINC000548536721 380213171 /nfs/dbraw/zinc/21/31/71/380213171.db2.gz QGHPEJGSBBSZIT-VIFPVBQESA-N -1 1 325.350 -0.330 20 0 EBADMM O=C([O-])[C@H](C1CC1)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000572532779 380312376 /nfs/dbraw/zinc/31/23/76/380312376.db2.gz DISGLLMFEXLNNX-LBPRGKRZSA-N -1 1 329.378 -0.015 20 0 EBADMM COC(=O)C[C@]1(NC(=O)CNC(=O)c2ncccc2[O-])CCOC1 ZINC000362039385 380366778 /nfs/dbraw/zinc/36/67/78/380366778.db2.gz SESAYDOHOKLLOO-OAHLLOKOSA-N -1 1 337.332 -0.645 20 0 EBADMM CC(C)N1C[C@H](NC(=O)C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CC1=O ZINC000287828250 380377765 /nfs/dbraw/zinc/37/77/65/380377765.db2.gz BIVZSXKLOHNRNU-TYYASITHSA-N -1 1 344.375 -0.594 20 0 EBADMM COCCCN(CCOC)C(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287483508 380357010 /nfs/dbraw/zinc/35/70/10/380357010.db2.gz OWUKONZAHFUVSH-UYRXBGFRSA-N -1 1 349.391 -0.208 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](CCOC)C(=O)OC ZINC000289810411 380449485 /nfs/dbraw/zinc/44/94/85/380449485.db2.gz IUZBYTFOSUHDCN-SECBINFHSA-N -1 1 349.365 -0.557 20 0 EBADMM C[N@H+](CC(=O)[O-])C[C@@H]1CN(C(=O)[C@@H]2[C@H](C(=O)[O-])C2(C)C)CCO1 ZINC000566223592 380453259 /nfs/dbraw/zinc/45/32/59/380453259.db2.gz GQEYAFXRKQXNGO-ADEWGFFLSA-N -1 1 328.365 -0.413 20 0 EBADMM Cn1[n-]cc2/c(=N\C(=O)C(=O)N[C@@H](CO)CC3CCC3)ccnc1-2 ZINC000288556025 380410813 /nfs/dbraw/zinc/41/08/13/380410813.db2.gz WONHVKQRVQAGBH-RGWKGUTDSA-N -1 1 331.376 -0.052 20 0 EBADMM CCC[C@@H](NC(=O)c1cc(S(N)(=O)=O)cnc1C)c1nn[n-]n1 ZINC000566629885 380483538 /nfs/dbraw/zinc/48/35/38/380483538.db2.gz XBSWFLWXQVVHLF-SNVBAGLBSA-N -1 1 339.381 -0.178 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC(C)(C)C(=O)NC ZINC000269707496 380523086 /nfs/dbraw/zinc/52/30/86/380523086.db2.gz OUNYISNKIZWRQE-UHFFFAOYSA-N -1 1 332.382 -0.363 20 0 EBADMM C[C@@H]1CN(S(=O)(=O)[N-]c2ccn(CCC(N)=O)n2)[C@H](C)CO1 ZINC000340958157 380651471 /nfs/dbraw/zinc/65/14/71/380651471.db2.gz OCINEFLRXKUSQJ-NXEZZACHSA-N -1 1 331.398 -0.476 20 0 EBADMM C[C@@H](C(=O)N(C)C)S(=O)(=O)[N-]Cc1nc(C(F)(F)F)no1 ZINC000575127788 380730256 /nfs/dbraw/zinc/73/02/56/380730256.db2.gz REWVQEHTEAOWGR-YFKPBYRVSA-N -1 1 330.288 -0.016 20 0 EBADMM CN(C)S(=O)(=O)c1ccc([O-])c(C(=O)NCc2nnc[nH]2)c1 ZINC000341981559 380747574 /nfs/dbraw/zinc/74/75/74/380747574.db2.gz JHBWLVSYOGAHDG-UHFFFAOYSA-N -1 1 325.350 -0.309 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CS(=O)(=O)C[C@H]2C)o1 ZINC000341965691 380747617 /nfs/dbraw/zinc/74/76/17/380747617.db2.gz LYDBBDANHBRZTQ-SFYZADRCSA-N -1 1 337.375 -0.222 20 0 EBADMM C[C@@H]1C[C@@H](C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)[C@H](C)O1 ZINC000416595545 380789511 /nfs/dbraw/zinc/78/95/11/380789511.db2.gz FBMBADOGCOHRDG-UTLUCORTSA-N -1 1 331.394 -0.824 20 0 EBADMM CO[C@H](CS(=O)(=O)[N-][C@@]1(C(N)=O)CCOC1)c1ccccc1 ZINC000577291989 380816161 /nfs/dbraw/zinc/81/61/61/380816161.db2.gz FRZKFIVMSKJOJO-OCCSQVGLSA-N -1 1 328.390 -0.062 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCN3CCOC[C@@H]3C2)o1 ZINC000374925468 380818878 /nfs/dbraw/zinc/81/88/78/380818878.db2.gz IQWAPQZOJMKMDF-JTQLQIEISA-N -1 1 329.378 -0.656 20 0 EBADMM CC(C)(C)OC(=O)CN(CC(=O)Nc1nnn[n-]1)C1CCOCC1 ZINC000577638916 380834875 /nfs/dbraw/zinc/83/48/75/380834875.db2.gz FGDGLMJGYATNHL-UHFFFAOYSA-N -1 1 340.384 -0.039 20 0 EBADMM CC(C)(C)OC(=O)CN(CC(=O)Nc1nn[n-]n1)C1CCOCC1 ZINC000577638916 380834881 /nfs/dbraw/zinc/83/48/81/380834881.db2.gz FGDGLMJGYATNHL-UHFFFAOYSA-N -1 1 340.384 -0.039 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCc2nnnn2C2CC2)o1 ZINC000608196008 380967124 /nfs/dbraw/zinc/96/71/24/380967124.db2.gz BIZDGCCVVIGTJL-UHFFFAOYSA-N -1 1 326.338 -0.561 20 0 EBADMM COCCCS(=O)(=O)NCCN1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000377494659 380977569 /nfs/dbraw/zinc/97/75/69/380977569.db2.gz PDESPXUEYYLZRO-UHFFFAOYSA-N -1 1 347.441 -0.354 20 0 EBADMM Cc1noc(C)c1CC[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000107309772 380995249 /nfs/dbraw/zinc/99/52/49/380995249.db2.gz PINAKJMVDYMFGK-UHFFFAOYSA-N -1 1 342.377 -0.790 20 0 EBADMM COC(=O)CNC(=O)CNC(=O)c1cnc2nc(C)ccc2c1[O-] ZINC000582465020 381043391 /nfs/dbraw/zinc/04/33/91/381043391.db2.gz LCZDVNDEFUHCKZ-UHFFFAOYSA-N -1 1 332.316 -0.337 20 0 EBADMM COC(=O)CNC(=O)CNC(=O)c1c[n-]c2nc(C)ccc2c1=O ZINC000582465020 381043403 /nfs/dbraw/zinc/04/34/03/381043403.db2.gz LCZDVNDEFUHCKZ-UHFFFAOYSA-N -1 1 332.316 -0.337 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H](CO)C2CCCCC2)c(=O)n(C)c1=O ZINC000395510448 381088473 /nfs/dbraw/zinc/08/84/73/381088473.db2.gz WEMQRYHGKOTEBB-NSHDSACASA-N -1 1 345.421 -0.697 20 0 EBADMM O=C(Cn1cc(Br)c(=O)[nH]c1=O)N1CC[N@H+]2CC[C@H]1C2 ZINC000615560919 381145112 /nfs/dbraw/zinc/14/51/12/381145112.db2.gz YGNPNCZXAXKGPG-QMMMGPOBSA-N -1 1 343.181 -0.372 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@@](CO)(OC)C2)co1 ZINC000617800774 381181515 /nfs/dbraw/zinc/18/15/15/381181515.db2.gz ZXSNOSCEVPPUPX-CYBMUJFWSA-N -1 1 332.378 -0.199 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCOC[C@@H]1[C@H]1CCC[C@@H]1O ZINC000347198846 381191494 /nfs/dbraw/zinc/19/14/94/381191494.db2.gz NLSNXSVPNYLVMB-UPJWGTAASA-N -1 1 349.387 -0.095 20 0 EBADMM CC1(C)CCN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C1 ZINC000347732928 381253462 /nfs/dbraw/zinc/25/34/62/381253462.db2.gz HLSJKVPTGVEGBM-UHFFFAOYSA-N -1 1 329.378 -0.085 20 0 EBADMM CS(=O)(=O)NCC[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000044329460 381287011 /nfs/dbraw/zinc/28/70/11/381287011.db2.gz ZOGRWBQIGNJCIL-UHFFFAOYSA-N -1 1 332.325 -0.069 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CCc3nc(C)nn3C2)co1 ZINC000128573649 381293393 /nfs/dbraw/zinc/29/33/93/381293393.db2.gz SMNQMYSGOCEROO-JTQLQIEISA-N -1 1 339.377 -0.168 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H](C)S(C)(=O)=O)c1 ZINC000611083970 381300122 /nfs/dbraw/zinc/30/01/22/381300122.db2.gz WKTRKSLLOWBFFW-SSDOTTSWSA-N -1 1 325.364 -0.222 20 0 EBADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccc(C[C@H]2CC(=O)NC2=O)cc1 ZINC000348295883 381358942 /nfs/dbraw/zinc/35/89/42/381358942.db2.gz ZUHZMRRKECTNQF-NSHDSACASA-N -1 1 340.343 -0.176 20 0 EBADMM NC(=O)[C@@H]1[C@H]2CCC[C@@H]2CN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000348962153 381426523 /nfs/dbraw/zinc/42/65/23/381426523.db2.gz GPRLCOSICZBZOV-BFVZDQMLSA-N -1 1 332.360 -0.371 20 0 EBADMM CSc1nc(C)c(CCC(=O)N2CC(=O)N(C)C(=O)C2)c(=O)[n-]1 ZINC000572444127 381729002 /nfs/dbraw/zinc/72/90/02/381729002.db2.gz HXWPMHSQXKLBEE-UHFFFAOYSA-N -1 1 338.389 -0.028 20 0 EBADMM O=C(Cn1cnnn1)N1CCN(C(=O)c2cc(F)ccc2[O-])CC1 ZINC000332262321 381770546 /nfs/dbraw/zinc/77/05/46/381770546.db2.gz GPQDTTZLHIVQGI-UHFFFAOYSA-N -1 1 334.311 -0.498 20 0 EBADMM CN(C)C(=O)[C@@H]1CSCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000352363504 381973529 /nfs/dbraw/zinc/97/35/29/381973529.db2.gz RQDQBHXQMFFDCJ-VIFPVBQESA-N -1 1 338.389 -0.493 20 0 EBADMM COC[C@](C)(NC(=O)CNC(=O)c1ncccc1[O-])C(=O)OC ZINC000352602946 382008540 /nfs/dbraw/zinc/00/85/40/382008540.db2.gz FCHLCTLVFURHFZ-AWEZNQCLSA-N -1 1 325.321 -0.789 20 0 EBADMM COc1ccccc1COC[C@@H](O)C[N@H+]1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000352771834 382029524 /nfs/dbraw/zinc/02/95/24/382029524.db2.gz OAPNDASIWQLQJN-UONOGXRCSA-N -1 1 349.387 -0.191 20 0 EBADMM O=c1nc2[nH]cc(S(=O)(=O)NCCN3CCCC3)cc-2c(=O)[n-]1 ZINC000353044344 382100271 /nfs/dbraw/zinc/10/02/71/382100271.db2.gz QRPNZXDIDIZKQB-UHFFFAOYSA-N -1 1 339.377 -0.190 20 0 EBADMM Cn1[n-]c(CN(CCN2CCOCC2)[C@@H]2CCSC2)nc1=O ZINC000639772741 382151289 /nfs/dbraw/zinc/15/12/89/382151289.db2.gz ACEGCSIPJBAFLU-GFCCVEGCSA-N -1 1 327.454 -0.252 20 0 EBADMM CS(=O)(=O)NC[C@H]1COCCN1C(=O)c1cc(F)ccc1[O-] ZINC000629570792 382229649 /nfs/dbraw/zinc/22/96/49/382229649.db2.gz DAMIYUSPUMSXBS-JTQLQIEISA-N -1 1 332.353 -0.079 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]CCCN2CCNC(=O)C2)c1 ZINC000352068480 292033186 /nfs/dbraw/zinc/03/31/86/292033186.db2.gz HTTUNJCFJDGOAK-UHFFFAOYSA-N -1 1 345.377 -0.834 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)Cn1cncn1 ZINC000298828614 155136856 /nfs/dbraw/zinc/13/68/56/155136856.db2.gz URGOWRHCKDENTH-MRVPVSSYSA-N -1 1 328.354 -0.455 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)CN1CCCC1=O ZINC000298841588 155138855 /nfs/dbraw/zinc/13/88/55/155138855.db2.gz FXKXPSIHVRTFJK-VIFPVBQESA-N -1 1 344.393 -0.124 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)c1nncn1C ZINC000314694800 155175972 /nfs/dbraw/zinc/17/59/72/155175972.db2.gz LXFRLIHMCINGBZ-ZETCQYMHSA-N -1 1 328.354 -0.246 20 0 EBADMM Cn1[n-]c(CN2CCN(S(=O)(=O)c3ccccc3)CC2)nc1=O ZINC000329293088 155217159 /nfs/dbraw/zinc/21/71/59/155217159.db2.gz RLJJQDFLYOCJAY-UHFFFAOYSA-N -1 1 337.405 -0.385 20 0 EBADMM Cn1[n-]c(CN2CCN(S(C)(=O)=O)c3ccccc3C2)nc1=O ZINC000329613911 155233818 /nfs/dbraw/zinc/23/38/18/155233818.db2.gz RYCDARQWKWKVNG-UHFFFAOYSA-N -1 1 337.405 -0.110 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)CN2C[C@@H](C)O[C@H](C(N)=O)C2)cc1 ZINC000268471917 286285312 /nfs/dbraw/zinc/28/53/12/286285312.db2.gz QBSCARMLEQRMQM-MFKMUULPSA-N -1 1 335.360 -0.474 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCN(CCO)[C@@H](C)C2)co1 ZINC000331172350 155346691 /nfs/dbraw/zinc/34/66/91/155346691.db2.gz FWYKIVHUBCDSCZ-JTQLQIEISA-N -1 1 331.394 -0.674 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@H](O)C2CCCCC2)c(=O)n(C)c1=O ZINC000331278199 155357294 /nfs/dbraw/zinc/35/72/94/155357294.db2.gz GLPOYQNNFNFKNG-NSHDSACASA-N -1 1 345.421 -0.697 20 0 EBADMM CC(C)CC[C@](C)(O)C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000331298741 155358980 /nfs/dbraw/zinc/35/89/80/155358980.db2.gz ZWVLTSYWDIFZLX-AWEZNQCLSA-N -1 1 347.437 -0.451 20 0 EBADMM Cn1cc(S(=O)(=O)N2CCCCC2)cc1C(=O)Nc1nn[n-]n1 ZINC000264048180 154271127 /nfs/dbraw/zinc/27/11/27/154271127.db2.gz XLTCSIHPOVWEJJ-UHFFFAOYSA-N -1 1 339.381 -0.035 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCCS(C)(=O)=O)o1 ZINC000264537242 154284448 /nfs/dbraw/zinc/28/44/48/154284448.db2.gz ADTCDBKNXDEFAL-UHFFFAOYSA-N -1 1 325.364 -0.221 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2[C@H]3CCO[C@@H]3C2(C)C)c(=O)n(C)c1=O ZINC000266030230 154334193 /nfs/dbraw/zinc/33/41/93/154334193.db2.gz SAENBNSVUREBQQ-IEBDPFPHSA-N -1 1 343.405 -0.824 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@H](CC(N)=O)C2)o1 ZINC000266881059 154357935 /nfs/dbraw/zinc/35/79/35/154357935.db2.gz AICDYXJGCJNKNF-SECBINFHSA-N -1 1 329.378 -0.085 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1CCCN1C(N)=O ZINC000266966046 154360064 /nfs/dbraw/zinc/36/00/64/154360064.db2.gz ABIRCNWWXRPMDJ-NSHDSACASA-N -1 1 332.364 -0.201 20 0 EBADMM CNC(=O)N1CCN(C(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)CC1 ZINC000267211070 154366753 /nfs/dbraw/zinc/36/67/53/154366753.db2.gz MTGBXXFXHAQSRD-UHFFFAOYSA-N -1 1 346.391 -0.334 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H](O)CC(C)(C)C)c(=O)n(C)c1=O ZINC000269771423 154465788 /nfs/dbraw/zinc/46/57/88/154465788.db2.gz MSMIPGVPCIFTNZ-VIFPVBQESA-N -1 1 333.410 -0.841 20 0 EBADMM CNC(=O)[C@H]1CN(CC(=O)[N-]C(=O)c2ccc(OC)cc2)CCO1 ZINC000272926056 154573134 /nfs/dbraw/zinc/57/31/34/154573134.db2.gz ULSYDYUQZPGRHZ-CYBMUJFWSA-N -1 1 335.360 -0.602 20 0 EBADMM CCOC1(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCCC1 ZINC000292778542 155036539 /nfs/dbraw/zinc/03/65/39/155036539.db2.gz KIOBSWVXZJCGTK-UHFFFAOYSA-N -1 1 345.421 -0.288 20 0 EBADMM C[C@H]1CCC[C@]1(O)CNC(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000293546710 155047791 /nfs/dbraw/zinc/04/77/91/155047791.db2.gz OTHKKFMKNZAFEC-ZTAJYGOXSA-N -1 1 331.376 -0.052 20 0 EBADMM CC(C)[C@H](NC(=O)Cc1ccccc1)C(=O)NN1CC(=O)[N-]C1=O ZINC000298114508 155101821 /nfs/dbraw/zinc/10/18/21/155101821.db2.gz RDXKFGTWSITYNZ-AWEZNQCLSA-N -1 1 332.360 -0.047 20 0 EBADMM C[C@@H]1CCC[C@@H]1NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000337564757 155585046 /nfs/dbraw/zinc/58/50/46/155585046.db2.gz VOSCLKOZINEXGT-BDAKNGLRSA-N -1 1 329.378 -0.038 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000338167060 155628819 /nfs/dbraw/zinc/62/88/19/155628819.db2.gz ZPRSNEUMJAJPSK-NXEZZACHSA-N -1 1 341.389 -0.544 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CCC[C@@H](C(N)=O)C2)co1 ZINC000339224226 155695804 /nfs/dbraw/zinc/69/58/04/155695804.db2.gz ZGKFGDAOEUKSIG-PSASIEDQSA-N -1 1 329.378 -0.038 20 0 EBADMM C[C@H](NS(=O)(=O)c1ccc([N-]S(C)(=O)=O)cc1F)C(N)=O ZINC000342976488 156080172 /nfs/dbraw/zinc/08/01/72/156080172.db2.gz JTQDIDPIESHQDF-LURJTMIESA-N -1 1 339.370 -0.651 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)CN1CCOCC1=O ZINC000344400245 156225295 /nfs/dbraw/zinc/22/52/95/156225295.db2.gz KZCPCMJVGNGRLK-UHFFFAOYSA-N -1 1 333.348 -0.473 20 0 EBADMM C[C@H]1CC(=O)N[C@@H](C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])C1 ZINC000344973067 156289159 /nfs/dbraw/zinc/28/91/59/156289159.db2.gz VVKCABYNSHHORJ-GMSGAONNSA-N -1 1 327.362 -0.107 20 0 EBADMM COC(=O)[C@@H](CC(F)F)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000345310134 156321831 /nfs/dbraw/zinc/32/18/31/156321831.db2.gz WNLPCMBXYMPZNB-SSDOTTSWSA-N -1 1 331.275 -0.170 20 0 EBADMM O=C(Nc1nnn[n-]1)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC000345552248 156344799 /nfs/dbraw/zinc/34/47/99/156344799.db2.gz YDEAYPXRBDVHKN-UHFFFAOYSA-N -1 1 338.349 -0.527 20 0 EBADMM O=C(Nc1nn[n-]n1)c1ccccc1S(=O)(=O)N1CCOCC1 ZINC000345552248 156344801 /nfs/dbraw/zinc/34/48/01/156344801.db2.gz YDEAYPXRBDVHKN-UHFFFAOYSA-N -1 1 338.349 -0.527 20 0 EBADMM C[C@]1(C2CC2)NC(=O)N(CC(=O)NC2(c3nn[n-]n3)CCCC2)C1=O ZINC000346338731 156398380 /nfs/dbraw/zinc/39/83/80/156398380.db2.gz BKRBDVFZGKNBSU-CQSZACIVSA-N -1 1 347.379 -0.194 20 0 EBADMM COCC[C@H](C)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000355405775 157000853 /nfs/dbraw/zinc/00/08/53/157000853.db2.gz ZQADSPAGYGRZGB-QMMMGPOBSA-N -1 1 333.366 -0.802 20 0 EBADMM C[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000356606650 157080706 /nfs/dbraw/zinc/08/07/06/157080706.db2.gz NGZVRSDERHDXOS-IUCAKERBSA-N -1 1 327.362 -0.934 20 0 EBADMM CC1CCC(NS(=O)(=O)CC(=O)NC2(c3nn[n-]n3)CC2)CC1 ZINC000357057555 157116077 /nfs/dbraw/zinc/11/60/77/157116077.db2.gz YYRFPZBLORYOAE-UHFFFAOYSA-N -1 1 342.425 -0.197 20 0 EBADMM CCCS(=O)(=O)N1CCCC[C@H]1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000357054009 157117202 /nfs/dbraw/zinc/11/72/02/157117202.db2.gz LKOLAIUNXDOKLP-JTQLQIEISA-N -1 1 342.425 -0.101 20 0 EBADMM CN1C(=O)C[C@H](C(=O)NC2(c3nn[n-]n3)CC2)[C@H]1c1cccnc1 ZINC000357098620 157119213 /nfs/dbraw/zinc/11/92/13/157119213.db2.gz UCGXRRUKAHEHNT-CMPLNLGQSA-N -1 1 327.348 -0.080 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2c[nH]nc2C(=O)OC)co1 ZINC000357204102 157125091 /nfs/dbraw/zinc/12/50/91/157125091.db2.gz USCKTOJFJKQREX-UHFFFAOYSA-N -1 1 328.306 -0.050 20 0 EBADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)NC(C)(CO)CO)o1 ZINC000357726146 157170901 /nfs/dbraw/zinc/17/09/01/157170901.db2.gz GFXODOSMGNOAOP-UHFFFAOYSA-N -1 1 334.394 -0.171 20 0 EBADMM CC[C@H](C)[C@@H](NS(C)(=O)=O)C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000357992308 157196103 /nfs/dbraw/zinc/19/61/03/157196103.db2.gz ICOAUYCZKPQNIO-IVZWLZJFSA-N -1 1 332.430 -0.275 20 0 EBADMM CC(=O)NC[C@@H]1CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CCO1 ZINC000358352362 157235929 /nfs/dbraw/zinc/23/59/29/157235929.db2.gz RPOIJQDOSUDWQJ-SNVBAGLBSA-N -1 1 333.348 -0.349 20 0 EBADMM CN1CC[C@](O)(CNC(=O)C(=O)N=c2nc(C(C)(C)C)[n-]s2)C1 ZINC000358392135 157240664 /nfs/dbraw/zinc/24/06/64/157240664.db2.gz KHORRXRMMWSYSG-AWEZNQCLSA-N -1 1 341.437 -0.621 20 0 EBADMM COCC[C@@H](NC(=O)CNC(=O)c1ncccc1[O-])C(=O)OC ZINC000359782004 157341847 /nfs/dbraw/zinc/34/18/47/157341847.db2.gz FPZXGQMOKCMPIS-SECBINFHSA-N -1 1 325.321 -0.789 20 0 EBADMM CC(C)(NC(=O)CCNS(=O)(=O)c1ccccc1)c1nn[n-]n1 ZINC000359803445 157343755 /nfs/dbraw/zinc/34/37/55/157343755.db2.gz WCYAQCIVSYHEJG-UHFFFAOYSA-N -1 1 338.393 -0.080 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)[C@@H]2CCCCNC2=O)o1 ZINC000360601274 157388672 /nfs/dbraw/zinc/38/86/72/157388672.db2.gz NEZCIMMIMRNYJV-SECBINFHSA-N -1 1 329.378 -0.072 20 0 EBADMM CNC(=O)C[C@H]1CS(=O)(=O)CCN1C(=O)c1ncc(C)cc1[O-] ZINC000644172017 419108540 /nfs/dbraw/zinc/10/85/40/419108540.db2.gz RDUVQQBLZJWVBC-JTQLQIEISA-N -1 1 341.389 -0.529 20 0 EBADMM COc1ccc(N2C(=O)C[C@@H](NCc3nn[n-]n3)C2=O)cc1OC ZINC000653285525 419120258 /nfs/dbraw/zinc/12/02/58/419120258.db2.gz QUQOPXDDBLTZRZ-SECBINFHSA-N -1 1 332.320 -0.361 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NC[C@H](CO)Cc1cccnc1 ZINC000412311083 419300108 /nfs/dbraw/zinc/30/01/08/419300108.db2.gz WVRVAFGLDYQXPB-CYBMUJFWSA-N -1 1 344.371 -0.121 20 0 EBADMM C[C@H](Cc1ccccn1)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000655650843 419472261 /nfs/dbraw/zinc/47/22/61/419472261.db2.gz IGPDADSXVASVQD-SNVBAGLBSA-N -1 1 338.389 -0.612 20 0 EBADMM C[C@H](CN1CCN(C)CC1)NC(=O)c1c[nH]c2nc(=O)[n-]c(=O)c-2c1 ZINC000519318927 419521071 /nfs/dbraw/zinc/52/10/71/419521071.db2.gz ORDVPIFBXBFBFK-SNVBAGLBSA-N -1 1 346.391 -0.198 20 0 EBADMM Cc1cccc(=O)n1C[C@@](C)(O)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000526919010 419627869 /nfs/dbraw/zinc/62/78/69/419627869.db2.gz HMBNJXPFVDTPJC-MLGOLLRUSA-N -1 1 346.391 -0.173 20 0 EBADMM CN1C2(CCC2)COC[C@@]1(CO)CNc1nc2[nH][n-]cc-2c(=O)n1 ZINC000528130734 419959705 /nfs/dbraw/zinc/95/97/05/419959705.db2.gz IOWKDPPTTZJNKO-HNNXBMFYSA-N -1 1 334.380 -0.540 20 0 EBADMM CC[C@H](C)C[C@@H](CO)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000645832759 420520694 /nfs/dbraw/zinc/52/06/94/420520694.db2.gz PJBRPVRSEGWNNI-UWVGGRQHSA-N -1 1 333.410 -0.841 20 0 EBADMM Cc1cn(-c2ccccc2)nc1NC(=O)C(=O)NCc1nn[n-]n1 ZINC000606116940 420542213 /nfs/dbraw/zinc/54/22/13/420542213.db2.gz ICAVFXDQSGOUDS-UHFFFAOYSA-N -1 1 326.320 -0.051 20 0 EBADMM Cc1ccc(NCCCNC(=O)c2cc(=O)n3[n-]cnc3n2)nc1 ZINC000352397193 420526261 /nfs/dbraw/zinc/52/62/61/420526261.db2.gz IEKHJMLOFWVUAZ-UHFFFAOYSA-N -1 1 327.348 0.353 20 0 EBADMM COC(=O)[C@H](CO)[N-]S(=O)(=O)CC[C@H]1CCCCN1C(C)=O ZINC000489694671 420550020 /nfs/dbraw/zinc/55/00/20/420550020.db2.gz BNJFCXJCCYBBFN-NEPJUHHUSA-N -1 1 336.410 -0.769 20 0 EBADMM CCC[C@@H](NC(=O)[C@H]1CCCN(S(C)(=O)=O)C1)c1nn[n-]n1 ZINC000528802174 420755404 /nfs/dbraw/zinc/75/54/04/420755404.db2.gz ZNJPAQRZHSTSMB-VHSXEESVSA-N -1 1 330.414 -0.171 20 0 EBADMM CCC[C@H](NC(=O)[C@H]1CCCN(S(C)(=O)=O)C1)c1nn[n-]n1 ZINC000528802168 420755685 /nfs/dbraw/zinc/75/56/85/420755685.db2.gz ZNJPAQRZHSTSMB-UWVGGRQHSA-N -1 1 330.414 -0.171 20 0 EBADMM C[C@@H]1CN(S(=O)(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)C[C@H](C)O1 ZINC000530143458 420780121 /nfs/dbraw/zinc/78/01/21/420780121.db2.gz WHBWAUOXWARLJH-TXEJJXNPSA-N -1 1 349.453 -0.179 20 0 EBADMM CO[C@H](CS(=O)(=O)[N-][C@@H]1CS(=O)(=O)C[C@@H]1OC)C1CC1 ZINC000657100084 420915640 /nfs/dbraw/zinc/91/56/40/420915640.db2.gz JRSFVSJESRDPJP-MXWKQRLJSA-N -1 1 327.424 -0.857 20 0 EBADMM CO[C@H]1CS(=O)(=O)C[C@@H]1[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000657100627 420915784 /nfs/dbraw/zinc/91/57/84/420915784.db2.gz NALZAHOTBCIORR-IUCAKERBSA-N -1 1 340.448 -0.150 20 0 EBADMM COC[C@@H](C)n1ccc(NC(=O)CNC(=O)c2ncccc2[O-])n1 ZINC000428785338 421282679 /nfs/dbraw/zinc/28/26/79/421282679.db2.gz LPUBTZTZYOJPIV-SNVBAGLBSA-N -1 1 333.348 0.560 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCc2nccc(OC)n2)o1 ZINC000424933301 265080459 /nfs/dbraw/zinc/08/04/59/265080459.db2.gz DTWPVOJOUFXVOH-UHFFFAOYSA-N -1 1 326.334 -0.084 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCC(=O)N(C)C2=O)o1 ZINC000425233867 265087738 /nfs/dbraw/zinc/08/77/38/265087738.db2.gz YTIJFASSRFQNII-SSDOTTSWSA-N -1 1 330.318 -0.508 20 0 EBADMM CC(C)CN1CCN(C(=O)CNC(=O)c2ncccc2[O-])CC1=O ZINC000427810265 265111025 /nfs/dbraw/zinc/11/10/25/265111025.db2.gz DWSPVZGECCMSRL-UHFFFAOYSA-N -1 1 334.376 -0.156 20 0 EBADMM CCC[C@@H](NC(=O)CNS(=O)(=O)CCCOC)c1nn[n-]n1 ZINC000433095712 265184093 /nfs/dbraw/zinc/18/40/93/265184093.db2.gz ZFFCBHJMKXSFTK-SECBINFHSA-N -1 1 334.402 -0.887 20 0 EBADMM COC(=O)c1ccc([O-])c(NC(=O)Cn2ccc(=O)n(C)c2=O)c1 ZINC000436517381 265241924 /nfs/dbraw/zinc/24/19/24/265241924.db2.gz ZGPODCHGUCRUTJ-UHFFFAOYSA-N -1 1 333.300 -0.322 20 0 EBADMM COC(=O)CCN1CC[C@H](NC(=O)C(=O)c2ccc([O-])cc2)C1=O ZINC000436979381 265243894 /nfs/dbraw/zinc/24/38/94/265243894.db2.gz KRQOUJCGKOPMQV-LBPRGKRZSA-N -1 1 334.328 -0.145 20 0 EBADMM O=S(=O)([N-]CC(F)(F)F)NC1CCN(CCOCCO)CC1 ZINC000439273338 265267799 /nfs/dbraw/zinc/26/77/99/265267799.db2.gz MAMOIHNIPYYTBP-UHFFFAOYSA-N -1 1 349.375 -0.554 20 0 EBADMM CN(C)c1noc(C[N-]S(=O)(=O)c2cnn([C@@H]3CCOC3)c2)n1 ZINC000440094203 265278299 /nfs/dbraw/zinc/27/82/99/265278299.db2.gz IYJXUIFZQYIDCX-SECBINFHSA-N -1 1 342.381 -0.228 20 0 EBADMM C[C@@H](NC(=O)c1n[nH]c2ccccc21)C(=O)NCCc1nc(=O)o[n-]1 ZINC000447632465 265375319 /nfs/dbraw/zinc/37/53/19/265375319.db2.gz KDUGPOQHBLVDPG-MRVPVSSYSA-N -1 1 344.331 -0.284 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1C[C@H](CO)O[C@@H](C)C1 ZINC000191868045 272805886 /nfs/dbraw/zinc/80/58/86/272805886.db2.gz BBXCGJVEBSXFDY-JOYOIKCWSA-N -1 1 334.376 -0.210 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H]1CCCN1S(C)(=O)=O ZINC000290794506 302878389 /nfs/dbraw/zinc/87/83/89/302878389.db2.gz QRLIRGOKGVMSOZ-MRVPVSSYSA-N -1 1 338.411 -0.732 20 0 EBADMM O=S(=O)([N-]C[C@@H](O)CN1CCOCC1)c1cc(F)ccc1F ZINC000097008401 527222287 /nfs/dbraw/zinc/22/22/87/527222287.db2.gz QIYGSTPHKHUEGZ-LLVKDONJSA-N -1 1 336.360 -0.064 20 0 EBADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H](O)C[C@H](O)C2)o1 ZINC000674804840 545649046 /nfs/dbraw/zinc/64/90/46/545649046.db2.gz FJLRXINZUFTNGB-AOOOYVTPSA-N -1 1 346.405 -0.076 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C2(C(F)F)CCCC2)c(=O)n(C)c1=O ZINC000673587035 546144826 /nfs/dbraw/zinc/14/48/26/546144826.db2.gz UTGGSNFTUFBEOV-UHFFFAOYSA-N -1 1 337.348 -0.060 20 0 EBADMM c1ccc(CN2CCO[C@H](c3nnn(Cc4nn[n-]n4)n3)C2)cc1 ZINC000674388707 546162785 /nfs/dbraw/zinc/16/27/85/546162785.db2.gz LNQZPMCMFXXTRL-LBPRGKRZSA-N -1 1 327.352 -0.192 20 0 EBADMM Cc1cc(CNS(=O)(=O)c2c[nH]c3nc(=O)[n-]c(=O)c-3c2)n[nH]1 ZINC000674487366 546165997 /nfs/dbraw/zinc/16/59/97/546165997.db2.gz GKLKLRLITMTFCF-UHFFFAOYSA-N -1 1 336.333 -0.054 20 0 EBADMM O=C(CCC(=O)N1CCN(c2ccccn2)CC1)NCc1nn[n-]n1 ZINC000675790929 546189947 /nfs/dbraw/zinc/18/99/47/546189947.db2.gz KLKUABFZTRDOIZ-UHFFFAOYSA-N -1 1 344.379 -0.660 20 0 EBADMM O=C(CCn1nc2ccccn2c1=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000677048376 546223453 /nfs/dbraw/zinc/22/34/53/546223453.db2.gz YCDNOKPOEFHXPB-LLVKDONJSA-N -1 1 342.363 -0.195 20 0 EBADMM C[C@H](NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)C1CCC1 ZINC000677343186 546228981 /nfs/dbraw/zinc/22/89/81/546228981.db2.gz LYSFKMOOGPIYPU-QMMMGPOBSA-N -1 1 329.378 -0.038 20 0 EBADMM CS(=O)(=O)N1CCC[C@H]1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000677926998 546241448 /nfs/dbraw/zinc/24/14/48/546241448.db2.gz MIMHSYPSSQEVHC-ZJUUUORDSA-N -1 1 328.398 -0.670 20 0 EBADMM CC(C)(CO)N1CCN(C(=O)c2c[nH]c3nc(=O)[n-]c(=O)c-3c2)CC1 ZINC000679317918 546269735 /nfs/dbraw/zinc/26/97/35/546269735.db2.gz HMNMMFLKQOOMMH-UHFFFAOYSA-N -1 1 347.375 -0.035 20 0 EBADMM Cc1oc(C)c(S(N)(=O)=O)c1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000681353680 546303738 /nfs/dbraw/zinc/30/37/38/546303738.db2.gz SGJAICVKDWXUST-ZCFIWIBFSA-N -1 1 342.381 -0.067 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCN(CCO)C(C)(C)C2)o1 ZINC000682011169 546320303 /nfs/dbraw/zinc/32/03/03/546320303.db2.gz CRTZMIQGUPBAEX-UHFFFAOYSA-N -1 1 345.421 -0.284 20 0 EBADMM CNS(=O)(=O)CC[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000682191164 546324050 /nfs/dbraw/zinc/32/40/50/546324050.db2.gz UKHPPOFTNAHIIP-UHFFFAOYSA-N -1 1 344.361 -0.199 20 0 EBADMM CS[C@H]1CC[C@@H]([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)C1 ZINC000742328372 582534742 /nfs/dbraw/zinc/53/47/42/582534742.db2.gz KPWDVBTXUPAJJA-BDAKNGLRSA-N -1 1 333.435 -0.354 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)Nc1nnc(-c2ccco2)o1 ZINC000737988925 582576775 /nfs/dbraw/zinc/57/67/75/582576775.db2.gz PYYNWYDPGJULFF-UHFFFAOYSA-N -1 1 328.252 -0.260 20 0 EBADMM COCCOc1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)cn1 ZINC000726872210 582623560 /nfs/dbraw/zinc/62/35/60/582623560.db2.gz WUIZNUIFZHLFBH-UHFFFAOYSA-N -1 1 334.332 -0.014 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(Cc2cscn2)CC1 ZINC000726873797 582623576 /nfs/dbraw/zinc/62/35/76/582623576.db2.gz ZLHMGYKTXNELIH-UHFFFAOYSA-N -1 1 349.416 -0.272 20 0 EBADMM COCc1nc(N2CCN(S(=O)(=O)C3CC3)CC2)cc(=O)[n-]1 ZINC000894716785 582634387 /nfs/dbraw/zinc/63/43/87/582634387.db2.gz CHHVCGXPKZRQMD-UHFFFAOYSA-N -1 1 328.394 -0.057 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]CCC(=O)NCc1ccccn1 ZINC000519733487 582636024 /nfs/dbraw/zinc/63/60/24/582636024.db2.gz YMYLSPVMBKPGDR-UHFFFAOYSA-N -1 1 339.377 -0.055 20 0 EBADMM NC(=O)COc1cccc(NC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000727847970 582659700 /nfs/dbraw/zinc/65/97/00/582659700.db2.gz DIBCRLOTIJPPLQ-UHFFFAOYSA-N -1 1 332.316 -0.571 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCc1cn2c(n1)CCCC2 ZINC000727848510 582659988 /nfs/dbraw/zinc/65/99/88/582659988.db2.gz NLMFQWXPEPIBAY-UHFFFAOYSA-N -1 1 331.376 -0.182 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(C(=O)N2CCCC2)CC1 ZINC000727848953 582660838 /nfs/dbraw/zinc/66/08/38/582660838.db2.gz PZKXBZRVUOKCOD-UHFFFAOYSA-N -1 1 349.391 -0.713 20 0 EBADMM CCCNC(=O)[C@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000727852999 582661279 /nfs/dbraw/zinc/66/12/79/582661279.db2.gz CXZNOHUFWIHYRU-LBPRGKRZSA-N -1 1 336.392 -0.309 20 0 EBADMM C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)NCc1ccccc1 ZINC000727852463 582661386 /nfs/dbraw/zinc/66/13/86/582661386.db2.gz WSEXBOSWEMCANO-GFCCVEGCSA-N -1 1 344.371 -0.252 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNC(=O)c1cccs1 ZINC000727851596 582661488 /nfs/dbraw/zinc/66/14/88/582661488.db2.gz KVKWBERYNDVEQR-UHFFFAOYSA-N -1 1 336.373 -0.466 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1CCN(CC(F)F)CC1 ZINC000727854121 582661787 /nfs/dbraw/zinc/66/17/87/582661787.db2.gz YHXHYMVSXDGYLR-UHFFFAOYSA-N -1 1 330.335 -0.228 20 0 EBADMM C[C@H](NC(=O)c1[nH]nc2ccccc21)C(=O)NN1CC(=O)[N-]C1=O ZINC000728203962 582671810 /nfs/dbraw/zinc/67/18/10/582671810.db2.gz QNDKNZLLLOODDB-ZETCQYMHSA-N -1 1 330.304 -0.736 20 0 EBADMM COC(=O)[C@@H](Cc1ccccc1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000728215740 582671942 /nfs/dbraw/zinc/67/19/42/582671942.db2.gz KZNUGTKGOUZIQR-CYBMUJFWSA-N -1 1 345.355 -0.173 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N(CCO)Cc1cccnc1 ZINC000352633572 582672405 /nfs/dbraw/zinc/67/24/05/582672405.db2.gz PRFAVBBQXHEUPS-UHFFFAOYSA-N -1 1 330.344 -0.067 20 0 EBADMM CCNC(=O)CN1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000900109315 582761543 /nfs/dbraw/zinc/76/15/43/582761543.db2.gz IYMCSNXAVYPAAU-UHFFFAOYSA-N -1 1 333.392 -0.047 20 0 EBADMM O=C(CN1CSCCSC1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000900626996 582775773 /nfs/dbraw/zinc/77/57/73/582775773.db2.gz UYXDMXZYBBAELP-VIFPVBQESA-N -1 1 330.439 -0.203 20 0 EBADMM CS(=O)(=O)CC1([N-]S(=O)(=O)CCOCC2CC2)COC1 ZINC000903174571 582808108 /nfs/dbraw/zinc/80/81/08/582808108.db2.gz FVMFKRASIBIZCT-UHFFFAOYSA-N -1 1 327.424 -0.854 20 0 EBADMM COCCOCCN1C(=O)C[C@H](N2CCC[C@H](C(=O)[O-])C2)C1=O ZINC000737814331 582836625 /nfs/dbraw/zinc/83/66/25/582836625.db2.gz NEEFVVSAHGMFRC-RYUDHWBXSA-N -1 1 328.365 -0.427 20 0 EBADMM CON(C)S(=O)(=O)c1cccc(C(=O)NCc2nn[n-]n2)c1 ZINC000731873539 582841824 /nfs/dbraw/zinc/84/18/24/582841824.db2.gz UOVSZZMAPRUKGM-UHFFFAOYSA-N -1 1 326.338 -0.688 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1ccc(-n2nccn2)nc1 ZINC000733132618 582855932 /nfs/dbraw/zinc/85/59/32/582855932.db2.gz BFHHBYSJSFQWRA-UHFFFAOYSA-N -1 1 327.304 -0.459 20 0 EBADMM NC(=O)NCc1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC000733141832 582855947 /nfs/dbraw/zinc/85/59/47/582855947.db2.gz IGZROJORBFYYDO-UHFFFAOYSA-N -1 1 331.332 -0.266 20 0 EBADMM NC(=O)CCN(Cc1ccccc1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000733127894 582855960 /nfs/dbraw/zinc/85/59/60/582855960.db2.gz HWENTQDEDCYZIZ-UHFFFAOYSA-N -1 1 344.371 -0.169 20 0 EBADMM CC(=O)N1CCN(CCNC(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000735467559 582895801 /nfs/dbraw/zinc/89/58/01/582895801.db2.gz QILQVQRADYUNAC-UHFFFAOYSA-N -1 1 344.379 -0.844 20 0 EBADMM CC(=O)N1CCN(CCNC(=O)c2ccc(-c3nn[n-]n3)nc2)CC1 ZINC000735467559 582895803 /nfs/dbraw/zinc/89/58/03/582895803.db2.gz QILQVQRADYUNAC-UHFFFAOYSA-N -1 1 344.379 -0.844 20 0 EBADMM C[C@@H](Cn1cccn1)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736076934 582916113 /nfs/dbraw/zinc/91/61/13/582916113.db2.gz DWHCTGUKHYZIQW-VIFPVBQESA-N -1 1 334.365 -0.175 20 0 EBADMM C[C@@H](Cn1cccn1)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736076934 582916116 /nfs/dbraw/zinc/91/61/16/582916116.db2.gz DWHCTGUKHYZIQW-VIFPVBQESA-N -1 1 334.365 -0.175 20 0 EBADMM CC[C@@](C)(NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)C(=O)OC ZINC000736315842 582930109 /nfs/dbraw/zinc/93/01/09/582930109.db2.gz MQHHRXOBCSBQIB-GFCCVEGCSA-N -1 1 340.365 -0.118 20 0 EBADMM CC[C@@](C)(NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)C(=O)OC ZINC000736315842 582930112 /nfs/dbraw/zinc/93/01/12/582930112.db2.gz MQHHRXOBCSBQIB-GFCCVEGCSA-N -1 1 340.365 -0.118 20 0 EBADMM CC(C)[C@@H](CNC(=O)N1CCO[C@@H](C(=O)[O-])C1)N1CCN(C)CC1 ZINC000736353930 582931073 /nfs/dbraw/zinc/93/10/73/582931073.db2.gz SANIZLBUBBATLL-ZIAGYGMSSA-N -1 1 342.440 -0.247 20 0 EBADMM CCCCN(CCOC)c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000736457434 582934947 /nfs/dbraw/zinc/93/49/47/582934947.db2.gz VBHDCEIYNVWKLF-UHFFFAOYSA-N -1 1 337.384 -0.483 20 0 EBADMM CCNC(=O)[C@H](C)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736572907 582943080 /nfs/dbraw/zinc/94/30/80/582943080.db2.gz FKXBLAKRINNIEF-ZETCQYMHSA-N -1 1 325.354 -0.935 20 0 EBADMM CCNC(=O)[C@H](C)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736572907 582943082 /nfs/dbraw/zinc/94/30/82/582943082.db2.gz FKXBLAKRINNIEF-ZETCQYMHSA-N -1 1 325.354 -0.935 20 0 EBADMM CCOC(=O)C(C)(C)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736585979 582944534 /nfs/dbraw/zinc/94/45/34/582944534.db2.gz KXTCYFJDMOFVNS-UHFFFAOYSA-N -1 1 340.365 -0.118 20 0 EBADMM CCOC(=O)C(C)(C)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736585979 582944536 /nfs/dbraw/zinc/94/45/36/582944536.db2.gz KXTCYFJDMOFVNS-UHFFFAOYSA-N -1 1 340.365 -0.118 20 0 EBADMM CCn1cc(-c2nn[n-]n2)c(=O)n(CCn2ccccc2=O)c1=O ZINC000736714141 582951273 /nfs/dbraw/zinc/95/12/73/582951273.db2.gz OCCMVBSIDQGYPY-UHFFFAOYSA-N -1 1 329.320 -0.928 20 0 EBADMM C[C@H]1CCCCN1C(=O)CN1CCN(C[C@](C)(O)C(=O)[O-])CC1 ZINC000736783317 582956250 /nfs/dbraw/zinc/95/62/50/582956250.db2.gz GXLUEHNQPTVZIY-BBRMVZONSA-N -1 1 327.425 -0.159 20 0 EBADMM CCS(=O)(=O)NCC[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000820790170 582957409 /nfs/dbraw/zinc/95/74/09/582957409.db2.gz AAPZBLCAURJCNK-UHFFFAOYSA-N -1 1 333.457 -0.978 20 0 EBADMM CC[C@H](C)NC(=O)CN1CCN(CCC(=O)N(C)CC(=O)[O-])CC1 ZINC000736886559 582969844 /nfs/dbraw/zinc/96/98/44/582969844.db2.gz JSJFCQNQKSWAJS-ZDUSSCGKSA-N -1 1 342.440 -0.548 20 0 EBADMM COC(=O)CCN(C)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736913396 582972104 /nfs/dbraw/zinc/97/21/04/582972104.db2.gz PCHGXALPVRGZPR-UHFFFAOYSA-N -1 1 326.338 -0.555 20 0 EBADMM COC(=O)CCN(C)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736913396 582972105 /nfs/dbraw/zinc/97/21/05/582972105.db2.gz PCHGXALPVRGZPR-UHFFFAOYSA-N -1 1 326.338 -0.555 20 0 EBADMM COC(=O)Cn1ccc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)n1 ZINC000736931867 582976056 /nfs/dbraw/zinc/97/60/56/582976056.db2.gz BULKDNYDIHKIOM-UHFFFAOYSA-N -1 1 328.292 -0.117 20 0 EBADMM COC(=O)Cn1ccc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)n1 ZINC000736931867 582976059 /nfs/dbraw/zinc/97/60/59/582976059.db2.gz BULKDNYDIHKIOM-UHFFFAOYSA-N -1 1 328.292 -0.117 20 0 EBADMM CCC[C@](C)(NCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[O-] ZINC000736964855 582978103 /nfs/dbraw/zinc/97/81/03/582978103.db2.gz QPLHQXTUOXDPTG-RNCFNFMXSA-N -1 1 349.409 -0.768 20 0 EBADMM CCc1nnc([C@H]2CN(S(=O)(=O)CCCC(=O)[O-])CCO2)[nH]1 ZINC000737275274 583003641 /nfs/dbraw/zinc/00/36/41/583003641.db2.gz QKQFQUPXLYAZSD-SECBINFHSA-N -1 1 332.382 -0.065 20 0 EBADMM CCc1noc([C@@H](C)N2CCN(CCC(=O)NCC(=O)[O-])CC2)n1 ZINC000737291903 583004213 /nfs/dbraw/zinc/00/42/13/583004213.db2.gz JMDBQRMQOLHHFF-LLVKDONJSA-N -1 1 339.396 -0.099 20 0 EBADMM Cc1cccnc1CNc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000737436504 583007870 /nfs/dbraw/zinc/00/78/70/583007870.db2.gz UBJQHKWVVUMWJU-UHFFFAOYSA-N -1 1 328.336 -0.420 20 0 EBADMM CN1CCN(C(C)(C)CNC(=O)N2CCO[C@H](C(=O)[O-])C2)CC1 ZINC000737515507 583012430 /nfs/dbraw/zinc/01/24/30/583012430.db2.gz KHLRMHBURYABJC-LBPRGKRZSA-N -1 1 328.413 -0.493 20 0 EBADMM CN1CCN(c2ccccc2NC(=O)C(=O)NCCC(=O)[O-])CC1 ZINC000737529100 583012822 /nfs/dbraw/zinc/01/28/22/583012822.db2.gz IFBXMJJTEVNWFL-UHFFFAOYSA-N -1 1 334.376 -0.032 20 0 EBADMM Cc1oc(NC(=O)COC(=O)C2(C(N)=O)CC2)c(-c2nn[n-]n2)c1C ZINC000737551228 583014942 /nfs/dbraw/zinc/01/49/42/583014942.db2.gz GXBRDUYZYPUKFI-UHFFFAOYSA-N -1 1 348.319 -0.176 20 0 EBADMM Cc1oc(NC(=O)CN2CCCNC(=O)C2)c(-c2nn[n-]n2)c1C ZINC000737552775 583015172 /nfs/dbraw/zinc/01/51/72/583015172.db2.gz QNILPGMXKQZHHE-UHFFFAOYSA-N -1 1 333.352 -0.163 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NC[C@@H](O)C2CCCCC2)n(C)c1=O ZINC000737595100 583018774 /nfs/dbraw/zinc/01/87/74/583018774.db2.gz GGPGNWSALFVZGW-SNVBAGLBSA-N -1 1 349.395 -0.383 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NC[C@@H]2CCCC[C@@H]2O)n(C)c1=O ZINC000737598003 583018861 /nfs/dbraw/zinc/01/88/61/583018861.db2.gz WBCQJQQGBGETLA-IUCAKERBSA-N -1 1 335.368 -0.773 20 0 EBADMM NC(=O)[C@H]1CN(c2c3ccccc3nnc2-c2nnn[n-]2)CCO1 ZINC000737746355 583025482 /nfs/dbraw/zinc/02/54/82/583025482.db2.gz SFNYKHYJXHFJSJ-SNVBAGLBSA-N -1 1 326.320 -0.500 20 0 EBADMM NC(=O)[C@H]1CN(c2c3ccccc3nnc2-c2nn[n-]n2)CCO1 ZINC000737746355 583025485 /nfs/dbraw/zinc/02/54/85/583025485.db2.gz SFNYKHYJXHFJSJ-SNVBAGLBSA-N -1 1 326.320 -0.500 20 0 EBADMM O=C(NCc1nn[n-]n1)[C@H]1CCCN1c1ccc(-c2nn[nH]n2)cc1 ZINC000738122892 583043099 /nfs/dbraw/zinc/04/30/99/583043099.db2.gz KUASEVAMZRUQKJ-LLVKDONJSA-N -1 1 340.351 -0.335 20 0 EBADMM Cn1ccsc1=NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000741145982 583069767 /nfs/dbraw/zinc/06/97/67/583069767.db2.gz YBIIIZGKEPFGDU-UHFFFAOYSA-N -1 1 344.374 -0.816 20 0 EBADMM COc1ccc([C@H]2[C@@H](C(=O)NN3CC(=O)[N-]C3=O)CC(=O)N2C)cc1 ZINC000743291461 583084631 /nfs/dbraw/zinc/08/46/31/583084631.db2.gz SFINQQRCXAPKBX-FZMZJTMJSA-N -1 1 346.343 -0.202 20 0 EBADMM Cn1cc(C[C@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)cn1 ZINC000746623090 583108432 /nfs/dbraw/zinc/10/84/32/583108432.db2.gz CAJWLPNBXOLRQG-GFCCVEGCSA-N -1 1 331.376 -0.249 20 0 EBADMM COc1cc(C)[n-]c(=NNC(=S)NCCNS(C)(=O)=O)n1 ZINC000747654408 583114896 /nfs/dbraw/zinc/11/48/96/583114896.db2.gz NDJJTCLUAHCYDZ-UHFFFAOYSA-N -1 1 334.427 -0.866 20 0 EBADMM CC(C)N1C(=O)C[C@@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)C1=O ZINC000748672102 583122596 /nfs/dbraw/zinc/12/25/96/583122596.db2.gz NPJABIDRDXVNHN-SSDOTTSWSA-N -1 1 334.785 -0.112 20 0 EBADMM CCCNC(=O)C[N-]S(=O)(=O)c1n[nH]c(C)c1C(=O)OCC ZINC000750976904 583140210 /nfs/dbraw/zinc/14/02/10/583140210.db2.gz FZCZYBBFOBFTSE-UHFFFAOYSA-N -1 1 332.382 -0.301 20 0 EBADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@@H]1CCN(C(C)C)C1=O ZINC000751105207 583142374 /nfs/dbraw/zinc/14/23/74/583142374.db2.gz QYDBKRQJLKFSMI-SECBINFHSA-N -1 1 344.393 -0.208 20 0 EBADMM CC1([C@@H]2CCCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)OCCO1 ZINC000752450821 583154156 /nfs/dbraw/zinc/15/41/56/583154156.db2.gz BXJODPWUCQRVIP-GFCCVEGCSA-N -1 1 337.376 -0.072 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCc1ccc2[nH]c(=O)[nH]c2c1 ZINC000752818851 583156670 /nfs/dbraw/zinc/15/66/70/583156670.db2.gz UITVKDMVUGHDGG-UHFFFAOYSA-N -1 1 329.316 -0.175 20 0 EBADMM O=C(c1ccnc(O[C@H]2CCOC2)c1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000755293611 583174484 /nfs/dbraw/zinc/17/44/84/583174484.db2.gz FQCOBZAZGAKPHF-RYUDHWBXSA-N -1 1 346.347 -0.024 20 0 EBADMM O=C(c1ccnc(O[C@H]2CCOC2)c1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000755293609 583174498 /nfs/dbraw/zinc/17/44/98/583174498.db2.gz FQCOBZAZGAKPHF-NWDGAFQWSA-N -1 1 346.347 -0.024 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCc1cnc(C2CC2)nc1 ZINC000756084826 583180416 /nfs/dbraw/zinc/18/04/16/583180416.db2.gz NAFVVUNWSLGQMV-UHFFFAOYSA-N -1 1 329.360 -0.047 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H](C)C(=O)NC(=O)NC)co1 ZINC000756406744 583183501 /nfs/dbraw/zinc/18/35/01/583183501.db2.gz WIIPCTCKPZBKLS-LURJTMIESA-N -1 1 333.322 -0.811 20 0 EBADMM CCO[C@@H]1C[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)[C@@]12CCCO2 ZINC000759204880 583211184 /nfs/dbraw/zinc/21/11/84/583211184.db2.gz LSZFRDSLFUCYNU-HSMVNMDESA-N -1 1 337.376 -0.231 20 0 EBADMM C[C@@H](CC(=O)Nc1nnn[n-]1)NS(=O)(=O)c1cccnc1Cl ZINC000760613893 583230352 /nfs/dbraw/zinc/23/03/52/583230352.db2.gz VKPMEDWMRBDNNR-LURJTMIESA-N -1 1 345.772 -0.056 20 0 EBADMM C[C@@H](CC(=O)Nc1nn[n-]n1)NS(=O)(=O)c1cccnc1Cl ZINC000760613893 583230355 /nfs/dbraw/zinc/23/03/55/583230355.db2.gz VKPMEDWMRBDNNR-LURJTMIESA-N -1 1 345.772 -0.056 20 0 EBADMM C[C@@H](NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)c1ccc[nH]1 ZINC000762202858 583245527 /nfs/dbraw/zinc/24/55/27/583245527.db2.gz WUCJMUHXIBZWOD-MRVPVSSYSA-N -1 1 340.361 -0.138 20 0 EBADMM O=C([C@@H]1CC(=O)N(c2cn[nH]c2)C1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000765109538 583278594 /nfs/dbraw/zinc/27/85/94/583278594.db2.gz LFBFXUGPZRHSRL-NXEZZACHSA-N -1 1 330.352 -0.318 20 0 EBADMM Cc1ccc2c(c1)C(=O)N(CC(=O)OCc1nc(=O)n(C)[n-]1)C2=O ZINC000765419021 583281971 /nfs/dbraw/zinc/28/19/71/583281971.db2.gz MZACURCJVIEBSX-UHFFFAOYSA-N -1 1 330.300 -0.244 20 0 EBADMM C[C@@H](NS(=O)(=O)c1cccs1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765436053 583282410 /nfs/dbraw/zinc/28/24/10/583282410.db2.gz WUQFFKAOLKTTMT-SSDOTTSWSA-N -1 1 346.390 -0.420 20 0 EBADMM C[C@@H](C(=O)OCc1nc(=O)n(C)[n-]1)N1C(=O)NC2(CCCC2)C1=O ZINC000765462785 583283085 /nfs/dbraw/zinc/28/30/85/583283085.db2.gz JGRYEMKGHLEBBZ-QMMMGPOBSA-N -1 1 337.336 -0.595 20 0 EBADMM Cn1c(=O)c2ccc(C(=O)NC[C@@](C)(O)C(=O)[O-])cc2[nH]c1=S ZINC000769746028 583327218 /nfs/dbraw/zinc/32/72/18/583327218.db2.gz WNQVGQVKQDVTCP-CQSZACIVSA-N -1 1 337.357 -0.212 20 0 EBADMM CCCCN(C)S(=O)(=O)NNc1nc(=O)c2cnn(C)c2[n-]1 ZINC000769832747 583328544 /nfs/dbraw/zinc/32/85/44/583328544.db2.gz OXKOLGLNJMGXIW-UHFFFAOYSA-N -1 1 329.386 -0.038 20 0 EBADMM Cc1ncc(CC[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)s1 ZINC000771954408 583354093 /nfs/dbraw/zinc/35/40/93/583354093.db2.gz ABZWFOCXWIZALD-UHFFFAOYSA-N -1 1 344.418 -0.630 20 0 EBADMM O=C(CCCNC(=O)c1cccnc1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000772688007 583364001 /nfs/dbraw/zinc/36/40/01/583364001.db2.gz LHXWBYWJCZUDGX-LBPRGKRZSA-N -1 1 345.363 -0.295 20 0 EBADMM Cc1nn(-c2nc(C)cc(C)n2)c(C)c1CC(=O)[N-]OCC(N)=O ZINC000772939253 583367918 /nfs/dbraw/zinc/36/79/18/583367918.db2.gz HXFUZUBWSREPRZ-UHFFFAOYSA-N -1 1 332.364 -0.028 20 0 EBADMM CC1(C)CN(C(=O)C2CC2)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000776579393 583406160 /nfs/dbraw/zinc/40/61/60/583406160.db2.gz ZQSYMVFPSUZFKR-UHFFFAOYSA-N -1 1 348.403 -0.214 20 0 EBADMM C[C@H]1CCN(S(=O)(=O)NNc2nc(=O)c3cnn(C)c3[n-]2)C1 ZINC000777932000 583416391 /nfs/dbraw/zinc/41/63/91/583416391.db2.gz DQEAPWSWPVOJNI-ZETCQYMHSA-N -1 1 327.370 -0.428 20 0 EBADMM COC[C@@H]([N-]S(=O)(=O)c1c(C)nn(C)c1Cl)C(=O)OC ZINC000778069520 583417177 /nfs/dbraw/zinc/41/71/77/583417177.db2.gz OGQXJJWCDZBDPU-SSDOTTSWSA-N -1 1 325.774 -0.152 20 0 EBADMM CN1C(=O)c2ccc(NC(=O)COC(=O)c3cn[n-]n3)cc2C1=O ZINC000805606700 583421722 /nfs/dbraw/zinc/42/17/22/583421722.db2.gz KUJZZTNJWYQHJG-UHFFFAOYSA-N -1 1 329.272 -0.174 20 0 EBADMM C[C@H](OC(=O)c1cn[n-]n1)C(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000805608211 583421926 /nfs/dbraw/zinc/42/19/26/583421926.db2.gz AZZRLRKSLSHICK-ZETCQYMHSA-N -1 1 339.333 -0.364 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)CN2CCOCC2)cc1 ZINC000778851300 583427614 /nfs/dbraw/zinc/42/76/14/583427614.db2.gz QAZUPPHDDHNDIR-UHFFFAOYSA-N -1 1 336.344 -0.173 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H](C)C(=O)NCCOC)co1 ZINC000784367207 583485463 /nfs/dbraw/zinc/48/54/63/583485463.db2.gz MXWURQFDJDLMAW-QMMMGPOBSA-N -1 1 334.350 -0.504 20 0 EBADMM CC[C@@H](OC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)C(=O)OC ZINC000786196241 583506602 /nfs/dbraw/zinc/50/66/02/583506602.db2.gz FGBRHLBDQDCBRO-SSDOTTSWSA-N -1 1 348.333 -0.848 20 0 EBADMM CCSCCOC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000786195704 583506609 /nfs/dbraw/zinc/50/66/09/583506609.db2.gz AGNRFIDCCIPKAK-UHFFFAOYSA-N -1 1 336.391 -0.047 20 0 EBADMM CCC(=O)CCCOC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000786195896 583506613 /nfs/dbraw/zinc/50/66/13/583506613.db2.gz BZZMAFQLYBADQG-UHFFFAOYSA-N -1 1 346.361 -0.041 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)OCC(=O)C2CCC2)o1 ZINC000786195962 583506759 /nfs/dbraw/zinc/50/67/59/583506759.db2.gz BBZUYMKLKIKWDZ-UHFFFAOYSA-N -1 1 344.345 -0.431 20 0 EBADMM CCC(=O)[C@H](C)OC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000786201294 583507009 /nfs/dbraw/zinc/50/70/09/583507009.db2.gz TYMHYDKGQSBOPR-ZETCQYMHSA-N -1 1 332.334 -0.432 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)OCc2ccno2)o1 ZINC000786196293 583507107 /nfs/dbraw/zinc/50/71/07/583507107.db2.gz FNSGPOSXJHDRJC-UHFFFAOYSA-N -1 1 329.290 -0.612 20 0 EBADMM CCOCCO[N-]C(=O)C(=O)NC[C@H](C(C)C)N1CCN(C)CC1 ZINC000786627530 583512330 /nfs/dbraw/zinc/51/23/30/583512330.db2.gz LHHAJGWOJFXDKN-CQSZACIVSA-N -1 1 344.456 -0.541 20 0 EBADMM CNC(=O)[C@@H](O)C[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000786902726 583514493 /nfs/dbraw/zinc/51/44/93/583514493.db2.gz YWNJOHKENLDVSR-LURJTMIESA-N -1 1 328.177 -0.226 20 0 EBADMM CNS(=O)(=O)c1cc(NC(=O)NN2CC(=O)[N-]C2=O)ccc1C ZINC000789836542 583539342 /nfs/dbraw/zinc/53/93/42/583539342.db2.gz NWMZVLYJFJDADC-UHFFFAOYSA-N -1 1 341.349 -0.509 20 0 EBADMM COC(=O)CNC(=O)c1ccc(NC(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000789835660 583539364 /nfs/dbraw/zinc/53/93/64/583539364.db2.gz HZSHGAPXCAJPDH-UHFFFAOYSA-N -1 1 349.303 -0.822 20 0 EBADMM COc1ccc(NC(=O)NN2CC(=O)[N-]C2=O)cc1-n1nnnc1C ZINC000789838145 583539406 /nfs/dbraw/zinc/53/94/06/583539406.db2.gz PAWDYMMEIFWRDQ-UHFFFAOYSA-N -1 1 346.307 -0.432 20 0 EBADMM Cc1nonc1C[N-]S(=O)(=O)c1ccc(S(C)(=O)=O)nc1 ZINC000795119355 583587653 /nfs/dbraw/zinc/58/76/53/583587653.db2.gz KVHPNLBNJKOQKY-UHFFFAOYSA-N -1 1 332.363 -0.345 20 0 EBADMM CNC(=O)COc1ccc(NC(=O)NN2CC(=O)[N-]C2=O)c(C)c1 ZINC000797680864 583601150 /nfs/dbraw/zinc/60/11/50/583601150.db2.gz OHCJWZDSSDEKEU-UHFFFAOYSA-N -1 1 335.320 -0.292 20 0 EBADMM CC(C)(C)OC(=O)N1CC(NC(=O)c2ncccc2[O-])(C(N)=O)C1 ZINC000798248249 583603424 /nfs/dbraw/zinc/60/34/24/583603424.db2.gz UHFIEXIZZGMYDC-UHFFFAOYSA-N -1 1 336.348 -0.008 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)NCC(C)(C)NS(C)(=O)=O)ccnc1-2 ZINC000806493546 583650637 /nfs/dbraw/zinc/65/06/37/583650637.db2.gz XWFHKULZGAIARE-UHFFFAOYSA-N -1 1 340.409 -0.209 20 0 EBADMM C[C@H](CNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)Cn1cccn1 ZINC000806857984 583655096 /nfs/dbraw/zinc/65/50/96/583655096.db2.gz ZEYHUWGRKFUYBA-LLVKDONJSA-N -1 1 341.375 -0.071 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCOCC4(CC4)C3)ccnc1-2 ZINC000806967887 583656190 /nfs/dbraw/zinc/65/61/90/583656190.db2.gz VPULMBUKTAOJGU-UHFFFAOYSA-N -1 1 329.360 -0.081 20 0 EBADMM O=C(Nc1ccccc1OCC1CC1)C(=O)NN1CC(=O)[N-]C1=O ZINC000807061870 583657712 /nfs/dbraw/zinc/65/77/12/583657712.db2.gz HDNCROLYXUKYKD-UHFFFAOYSA-N -1 1 332.316 -0.003 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]C(=O)CCCCc1cn[nH]n1 ZINC000810827743 583686391 /nfs/dbraw/zinc/68/63/91/583686391.db2.gz TWTADSRJGWMJJU-UHFFFAOYSA-N -1 1 328.354 -0.099 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCS(=O)(=O)C(C)C)o1 ZINC000811555201 583692012 /nfs/dbraw/zinc/69/20/12/583692012.db2.gz UUEVRUZMJNWWAA-UHFFFAOYSA-N -1 1 338.407 -0.259 20 0 EBADMM CCOC(=O)N[C@@H](C(=O)N1CCC(O)(c2nn[n-]n2)CC1)C(C)C ZINC000816491778 583717624 /nfs/dbraw/zinc/71/76/24/583717624.db2.gz YJTSCPBINWLXQF-SNVBAGLBSA-N -1 1 340.384 -0.220 20 0 EBADMM NC(=O)C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1ccccc1 ZINC000818561016 583745512 /nfs/dbraw/zinc/74/55/12/583745512.db2.gz SSOZGKPZGZKQSA-GFCCVEGCSA-N -1 1 330.344 -0.340 20 0 EBADMM CC(=O)NCCN(C(=O)CN(C)[C@@H]1CCSC1)[C@H](C)C(=O)[O-] ZINC000819746017 583760580 /nfs/dbraw/zinc/76/05/80/583760580.db2.gz QHCFDDJEJFQLQS-ZYHUDNBSSA-N -1 1 331.438 -0.139 20 0 EBADMM CC(=O)N[C@H]1CCCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000819802744 583761431 /nfs/dbraw/zinc/76/14/31/583761431.db2.gz ILCRTMCWZQASAO-VIFPVBQESA-N -1 1 333.356 -0.267 20 0 EBADMM CC(=O)N[C@H]1CCCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000819802744 583761433 /nfs/dbraw/zinc/76/14/33/583761433.db2.gz ILCRTMCWZQASAO-VIFPVBQESA-N -1 1 333.356 -0.267 20 0 EBADMM CC(C)(C(N)=O)N1CCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000819892119 583762814 /nfs/dbraw/zinc/76/28/14/583762814.db2.gz VKDWRWCPRPNGFZ-UHFFFAOYSA-N -1 1 344.379 -0.717 20 0 EBADMM CC(C)(C(N)=O)N1CCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)CC1 ZINC000819892119 583762816 /nfs/dbraw/zinc/76/28/16/583762816.db2.gz VKDWRWCPRPNGFZ-UHFFFAOYSA-N -1 1 344.379 -0.717 20 0 EBADMM CC[C@H](NCC(=O)NCC(=O)[O-])c1cccc(S(N)(=O)=O)c1 ZINC000820284975 583770736 /nfs/dbraw/zinc/77/07/36/583770736.db2.gz JSFLXJFNRNTWQD-NSHDSACASA-N -1 1 329.378 -0.425 20 0 EBADMM C[C@@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)[C@H](O)c1cccc(F)c1 ZINC000820334018 583771475 /nfs/dbraw/zinc/77/14/75/583771475.db2.gz IYORPCGOTWCDEM-PELKAZGASA-N -1 1 346.326 -0.164 20 0 EBADMM C[C@@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)[C@@H](O)c1ccc(F)cc1 ZINC000820334732 583771872 /nfs/dbraw/zinc/77/18/72/583771872.db2.gz PGFNGVNKTKZXAP-PRHODGIISA-N -1 1 346.326 -0.164 20 0 EBADMM C[C@H]1CN(c2nccnc2-c2nnn[n-]2)CCN1C(=O)c1cocn1 ZINC000820788904 583780257 /nfs/dbraw/zinc/78/02/57/583780257.db2.gz RACBWMYBBLQPER-VIFPVBQESA-N -1 1 341.335 -0.004 20 0 EBADMM C[C@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1C(=O)c1cocn1 ZINC000820788904 583780260 /nfs/dbraw/zinc/78/02/60/583780260.db2.gz RACBWMYBBLQPER-VIFPVBQESA-N -1 1 341.335 -0.004 20 0 EBADMM C[C@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1C(=O)c1c[nH]cn1 ZINC000820788844 583780310 /nfs/dbraw/zinc/78/03/10/583780310.db2.gz PGBGKXUXDGKEHN-VIFPVBQESA-N -1 1 340.351 -0.269 20 0 EBADMM CCN1C(=O)[C@@H]2CN(c3nccnc3-c3nnn[n-]3)CCN2C1=O ZINC000821241201 583787139 /nfs/dbraw/zinc/78/71/39/583787139.db2.gz KVEZOKGMWNGHDB-QMMMGPOBSA-N -1 1 329.324 -0.871 20 0 EBADMM CCN1C(=O)[C@@H]2CN(c3nccnc3-c3nn[n-]n3)CCN2C1=O ZINC000821241201 583787140 /nfs/dbraw/zinc/78/71/40/583787140.db2.gz KVEZOKGMWNGHDB-QMMMGPOBSA-N -1 1 329.324 -0.871 20 0 EBADMM CCc1nnc2n1CCN(C(=O)Nc1nn(C)cc1-c1nnn[n-]1)C2 ZINC000821555002 583792997 /nfs/dbraw/zinc/79/29/97/583792997.db2.gz XZVHZXYFPLEFKQ-UHFFFAOYSA-N -1 1 343.355 -0.198 20 0 EBADMM CCc1nnc2n1CCN(C(=O)Nc1nn(C)cc1-c1nn[n-]n1)C2 ZINC000821555002 583792999 /nfs/dbraw/zinc/79/29/99/583792999.db2.gz XZVHZXYFPLEFKQ-UHFFFAOYSA-N -1 1 343.355 -0.198 20 0 EBADMM CCn1cc(-c2nn[n-]n2)c(=O)n(Cc2cnc3ccccn23)c1=O ZINC000821565408 583793066 /nfs/dbraw/zinc/79/30/66/583793066.db2.gz RLBKXSFYYBIQOR-UHFFFAOYSA-N -1 1 338.331 -0.094 20 0 EBADMM CCn1cc(-c2nn[n-]n2)c(=O)n(Cc2cccnc2OC)c1=O ZINC000821565198 583793076 /nfs/dbraw/zinc/79/30/76/583793076.db2.gz IJXZYUQVMVYRMU-UHFFFAOYSA-N -1 1 329.320 -0.338 20 0 EBADMM CNC(=O)CN(C)S(=O)(=O)c1ccc(Cl)cc1-c1nn[n-]n1 ZINC000821632698 583797525 /nfs/dbraw/zinc/79/75/25/583797525.db2.gz FEPOGMJUUFYQCP-UHFFFAOYSA-N -1 1 344.784 -0.113 20 0 EBADMM CNC(=O)[C@H](NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)C(C)C ZINC000821631554 583797595 /nfs/dbraw/zinc/79/75/95/583797595.db2.gz LNFLMXSFWMMBLM-SNVBAGLBSA-N -1 1 339.381 -0.689 20 0 EBADMM CNC(=O)[C@H](NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)C(C)C ZINC000821631554 583797597 /nfs/dbraw/zinc/79/75/97/583797597.db2.gz LNFLMXSFWMMBLM-SNVBAGLBSA-N -1 1 339.381 -0.689 20 0 EBADMM CNC(=O)CN(C)S(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000821632946 583798025 /nfs/dbraw/zinc/79/80/25/583798025.db2.gz QESDGIDFJMSGBT-UHFFFAOYSA-N -1 1 328.329 -0.628 20 0 EBADMM CNC(=O)CN(C)S(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000821632946 583798026 /nfs/dbraw/zinc/79/80/26/583798026.db2.gz QESDGIDFJMSGBT-UHFFFAOYSA-N -1 1 328.329 -0.628 20 0 EBADMM CO[C@H]1C[C@@H](Nc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)C1(C)C ZINC000821678334 583800549 /nfs/dbraw/zinc/80/05/49/583800549.db2.gz MPPKSQSYBMDFQK-SFYZADRCSA-N -1 1 335.368 -0.511 20 0 EBADMM COCC(=O)N1CCN(C(=O)c2ccc(-c3nnn[n-]3)s2)CC1 ZINC000821680640 583800954 /nfs/dbraw/zinc/80/09/54/583800954.db2.gz PFXZRLMASHHFPC-UHFFFAOYSA-N -1 1 336.377 -0.141 20 0 EBADMM COCC(=O)N1CCN(C(=O)c2ccc(-c3nn[n-]n3)s2)CC1 ZINC000821680640 583800955 /nfs/dbraw/zinc/80/09/55/583800955.db2.gz PFXZRLMASHHFPC-UHFFFAOYSA-N -1 1 336.377 -0.141 20 0 EBADMM Cc1ccn2cc(CNC(=O)Cn3cnc(-c4nn[n-]n4)n3)nc2c1 ZINC000822374188 583810560 /nfs/dbraw/zinc/81/05/60/583810560.db2.gz KRLYACXRSGWJLG-UHFFFAOYSA-N -1 1 338.335 -0.269 20 0 EBADMM Cn1c(CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)nc2ccccc21 ZINC000822596479 583813134 /nfs/dbraw/zinc/81/31/34/583813134.db2.gz LNRGQUFZSPUXGH-UHFFFAOYSA-N -1 1 338.335 -0.339 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NC[C@H]2CCC[C@H](O)C2)n(C)c1=O ZINC000822600000 583813309 /nfs/dbraw/zinc/81/33/09/583813309.db2.gz IHYMNXXUGSVDIG-IUCAKERBSA-N -1 1 335.368 -0.773 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CC[C@H](N3CCOCC3)C2)n1 ZINC000822609375 583814283 /nfs/dbraw/zinc/81/42/83/583814283.db2.gz NBLDFRYTOQZBGK-JTQLQIEISA-N -1 1 347.383 -0.461 20 0 EBADMM NS(=O)(=O)C[C@H]1CCCN1C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000822895871 583821311 /nfs/dbraw/zinc/82/13/11/583821311.db2.gz ZPQDMHNCZSIWCT-SSDOTTSWSA-N -1 1 342.406 -0.179 20 0 EBADMM NS(=O)(=O)C[C@H]1CCCN1C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000822895871 583821314 /nfs/dbraw/zinc/82/13/14/583821314.db2.gz ZPQDMHNCZSIWCT-SSDOTTSWSA-N -1 1 342.406 -0.179 20 0 EBADMM NS(=O)(=O)[C@@H]1CCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)C1 ZINC000822895418 583821373 /nfs/dbraw/zinc/82/13/73/583821373.db2.gz RFMMOEPEZOPURP-ZCFIWIBFSA-N -1 1 329.773 -0.218 20 0 EBADMM NS(=O)(=O)[C@@H]1CCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)C1 ZINC000822895418 583821375 /nfs/dbraw/zinc/82/13/75/583821375.db2.gz RFMMOEPEZOPURP-ZCFIWIBFSA-N -1 1 329.773 -0.218 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)CN1C(=O)c2ccccc2C1=O ZINC000822991807 583822189 /nfs/dbraw/zinc/82/21/89/583822189.db2.gz GIVBCBUACJWSGW-UHFFFAOYSA-N -1 1 338.287 -0.677 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CC[C@]2(O)CCCC[C@H]2C1 ZINC000823122826 583824389 /nfs/dbraw/zinc/82/43/89/583824389.db2.gz JKCUFDJFZVFPTK-IINYFYTJSA-N -1 1 332.368 -0.388 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NC[C@@H](O)c1ccccc1F ZINC000823126290 583824774 /nfs/dbraw/zinc/82/47/74/583824774.db2.gz HDUFCJQELLBHDR-SNVBAGLBSA-N -1 1 332.299 -0.553 20 0 EBADMM O=C(CNC(=O)Cn1cnc(-c2nn[n-]n2)n1)Nc1ccccc1 ZINC000823125724 583824854 /nfs/dbraw/zinc/82/48/54/583824854.db2.gz CAIWINWXBUJQHC-UHFFFAOYSA-N -1 1 327.308 -0.787 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CC(Oc2ccccc2)C1 ZINC000823123292 583824865 /nfs/dbraw/zinc/82/48/65/583824865.db2.gz WHXUPMDBNMQRMZ-UHFFFAOYSA-N -1 1 326.320 -0.252 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@H]1CCN(CC(F)(F)F)C1 ZINC000823126666 583825106 /nfs/dbraw/zinc/82/51/06/583825106.db2.gz LERWVSIBOFXPEV-ZETCQYMHSA-N -1 1 345.289 -0.789 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@@H]1CCN(CC(F)(F)F)C1 ZINC000823126659 583825117 /nfs/dbraw/zinc/82/51/17/583825117.db2.gz LERWVSIBOFXPEV-SSDOTTSWSA-N -1 1 345.289 -0.789 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCCc1ccc2c(c1)OCO2 ZINC000823131905 583825442 /nfs/dbraw/zinc/82/54/42/583825442.db2.gz PZKFJZSVBYZYGU-UHFFFAOYSA-N -1 1 342.319 -0.454 20 0 EBADMM O=C1C[C@@H](NS(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)CN1C1CC1 ZINC000823521663 583830829 /nfs/dbraw/zinc/83/08/29/583830829.db2.gz YOBOYIDFHRLKTC-MRVPVSSYSA-N -1 1 349.376 -0.697 20 0 EBADMM O=C1C[C@@H](NS(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)CN1C1CC1 ZINC000823521663 583830831 /nfs/dbraw/zinc/83/08/31/583830831.db2.gz YOBOYIDFHRLKTC-MRVPVSSYSA-N -1 1 349.376 -0.697 20 0 EBADMM O=C1NCC[C@H]1N1CCN(c2oc(C3CC3)nc2-c2nn[n-]n2)CC1 ZINC000823547923 583832386 /nfs/dbraw/zinc/83/23/86/583832386.db2.gz QRORDIWIFXGBBR-SNVBAGLBSA-N -1 1 344.379 -0.257 20 0 EBADMM C=CC(=O)NCCC(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000823883313 583838435 /nfs/dbraw/zinc/83/84/35/583838435.db2.gz OLXSFLOKLKRGEO-UHFFFAOYSA-N -1 1 347.383 -0.745 20 0 EBADMM C=CC(=O)NCCC(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000823883313 583838438 /nfs/dbraw/zinc/83/84/38/583838438.db2.gz OLXSFLOKLKRGEO-UHFFFAOYSA-N -1 1 347.383 -0.745 20 0 EBADMM CC(=O)N1CC[C@@H](NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)[C@H](C)C1 ZINC000823973427 583839522 /nfs/dbraw/zinc/83/95/22/583839522.db2.gz JLVWOBJKRAZIAL-LDYMZIIASA-N -1 1 347.383 -0.021 20 0 EBADMM CC(=O)N1CC[C@@H](NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)[C@H](C)C1 ZINC000823973427 583839524 /nfs/dbraw/zinc/83/95/24/583839524.db2.gz JLVWOBJKRAZIAL-LDYMZIIASA-N -1 1 347.383 -0.021 20 0 EBADMM CC(C)(CNc1ccc(-c2nnn[n-]2)nn1)N1CCS(=O)CC1 ZINC000824157835 583841962 /nfs/dbraw/zinc/84/19/62/583841962.db2.gz OJHQHTUBYFPUOK-UHFFFAOYSA-N -1 1 336.425 -0.089 20 0 EBADMM CC(C)(CNc1ccc(-c2nn[n-]n2)nn1)N1CCS(=O)CC1 ZINC000824157835 583841964 /nfs/dbraw/zinc/84/19/64/583841964.db2.gz OJHQHTUBYFPUOK-UHFFFAOYSA-N -1 1 336.425 -0.089 20 0 EBADMM CC(C)CN(C(=O)Cn1c(=O)c(-c2nn[n-]n2)cn(C)c1=O)C1CC1 ZINC000824248938 583842852 /nfs/dbraw/zinc/84/28/52/583842852.db2.gz DMYPUWILYCNJLR-UHFFFAOYSA-N -1 1 347.379 -0.626 20 0 EBADMM CC(C)N1C(=O)N[C@]2(CCN(c3ccc(-c4nnn[n-]4)nn3)C2)C1=O ZINC000824296862 583843360 /nfs/dbraw/zinc/84/33/60/583843360.db2.gz LLKJPMAECXASBB-AWEZNQCLSA-N -1 1 343.351 -0.434 20 0 EBADMM CC(C)N1C(=O)N[C@]2(CCN(c3ccc(-c4nn[n-]n4)nn3)C2)C1=O ZINC000824296862 583843361 /nfs/dbraw/zinc/84/33/61/583843361.db2.gz LLKJPMAECXASBB-AWEZNQCLSA-N -1 1 343.351 -0.434 20 0 EBADMM C[C@@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)[C@H](O)Cc1ccccc1 ZINC000824491632 583846881 /nfs/dbraw/zinc/84/68/81/583846881.db2.gz WAWRMMWPMHIYAQ-ZYHUDNBSSA-N -1 1 342.363 -0.434 20 0 EBADMM C[C@H](CN1CCN(C)CC1)NC(=O)C[C@H]1CN(C(=O)[O-])CCO1 ZINC000824907853 583852122 /nfs/dbraw/zinc/85/21/22/583852122.db2.gz OHUPKZLMFGNYOG-OLZOCXBDSA-N -1 1 328.413 -0.493 20 0 EBADMM C[C@H](CN1CCN(C)CC1)NC(=O)CO[C@@H]1CCCN(C(=O)[O-])C1 ZINC000824908023 583852178 /nfs/dbraw/zinc/85/21/78/583852178.db2.gz PRCUZDRAJSGCCA-ZIAGYGMSSA-N -1 1 342.440 -0.103 20 0 EBADMM C[C@@H]1CN(S(C)(=O)=O)CC[C@@H]1Nc1ccc(-c2nnn[n-]2)nn1 ZINC000824992082 583853726 /nfs/dbraw/zinc/85/37/26/583853726.db2.gz JRWCKNLTZCSSJJ-BDAKNGLRSA-N -1 1 338.397 -0.261 20 0 EBADMM C[C@@H]1CN(S(C)(=O)=O)CC[C@@H]1Nc1ccc(-c2nn[n-]n2)nn1 ZINC000824992082 583853727 /nfs/dbraw/zinc/85/37/27/583853727.db2.gz JRWCKNLTZCSSJJ-BDAKNGLRSA-N -1 1 338.397 -0.261 20 0 EBADMM CC[C@H](C)[C@H](O)C(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000825059515 583854571 /nfs/dbraw/zinc/85/45/71/583854571.db2.gz GERGNURHLHDLKG-KWQFWETISA-N -1 1 336.400 -0.030 20 0 EBADMM CC[C@H](C)[C@H](O)C(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000825059515 583854572 /nfs/dbraw/zinc/85/45/72/583854572.db2.gz GERGNURHLHDLKG-KWQFWETISA-N -1 1 336.400 -0.030 20 0 EBADMM CC[C@H](Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C)[C@@H]1CCCO1 ZINC000825149351 583855651 /nfs/dbraw/zinc/85/56/51/583855651.db2.gz XIUBHDFNQHSZHG-IUCAKERBSA-N -1 1 335.368 -0.366 20 0 EBADMM CCCC(=O)NCC(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000825224961 583856545 /nfs/dbraw/zinc/85/65/45/583856545.db2.gz IDZPNSAOHKQTQC-UHFFFAOYSA-N -1 1 349.399 -0.521 20 0 EBADMM CCCC(=O)NCC(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000825224961 583856547 /nfs/dbraw/zinc/85/65/47/583856547.db2.gz IDZPNSAOHKQTQC-UHFFFAOYSA-N -1 1 349.399 -0.521 20 0 EBADMM CCNC(=O)CC(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000825465051 583861520 /nfs/dbraw/zinc/86/15/20/583861520.db2.gz GVABCKQVHGZPAA-UHFFFAOYSA-N -1 1 335.372 -0.911 20 0 EBADMM CCNC(=O)CC(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000825465051 583861521 /nfs/dbraw/zinc/86/15/21/583861521.db2.gz GVABCKQVHGZPAA-UHFFFAOYSA-N -1 1 335.372 -0.911 20 0 EBADMM CCOC(=O)[C@H]1CCCN(C(=O)Cn2ccnc2-c2nnn[n-]2)C1 ZINC000825499616 583862017 /nfs/dbraw/zinc/86/20/17/583862017.db2.gz OZIGXTVOUOMGDH-JTQLQIEISA-N -1 1 333.352 -0.135 20 0 EBADMM CCOC(=O)[C@H]1CCCN(C(=O)Cn2ccnc2-c2nn[n-]n2)C1 ZINC000825499616 583862019 /nfs/dbraw/zinc/86/20/19/583862019.db2.gz OZIGXTVOUOMGDH-JTQLQIEISA-N -1 1 333.352 -0.135 20 0 EBADMM CCn1cc(-c2nn[n-]n2)c(=O)n(CCN2C[C@H]3CC[C@@H](C2)O3)c1=O ZINC000825796529 583867038 /nfs/dbraw/zinc/86/70/38/583867038.db2.gz GPFKPCDCWQEDJZ-PHIMTYICSA-N -1 1 347.379 -0.927 20 0 EBADMM CCn1cc(-c2nn[n-]n2)c(=O)n(Cc2ccnc(OC)c2)c1=O ZINC000825799486 583867051 /nfs/dbraw/zinc/86/70/51/583867051.db2.gz MJYYZJVFWVKLPI-UHFFFAOYSA-N -1 1 329.320 -0.338 20 0 EBADMM CN(C(=O)Cn1cnc(-c2nn[n-]n2)n1)c1ccc2c(c1)OCO2 ZINC000825820897 583867510 /nfs/dbraw/zinc/86/75/10/583867510.db2.gz XVMAETVHUMPFFM-UHFFFAOYSA-N -1 1 328.292 -0.150 20 0 EBADMM CN(C[C@H](O)C(F)(F)F)C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000825902583 583870406 /nfs/dbraw/zinc/87/04/06/583870406.db2.gz WUPFEQHPZHHADW-LURJTMIESA-N -1 1 334.262 -0.013 20 0 EBADMM CN(C[C@H](O)C(F)(F)F)C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000825902583 583870407 /nfs/dbraw/zinc/87/04/07/583870407.db2.gz WUPFEQHPZHHADW-LURJTMIESA-N -1 1 334.262 -0.013 20 0 EBADMM CN(CC1CCOCC1)c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000825914376 583870708 /nfs/dbraw/zinc/87/07/08/583870708.db2.gz BRRPBBWRIVVQTI-UHFFFAOYSA-N -1 1 335.368 -0.873 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nnn[n-]1)C(=O)N[C@H]1CCOC1 ZINC000825930343 583870886 /nfs/dbraw/zinc/87/08/86/583870886.db2.gz UAUHGVLZQVMFTR-QMMMGPOBSA-N -1 1 335.372 -0.465 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nn[n-]n1)C(=O)N[C@H]1CCOC1 ZINC000825930343 583870887 /nfs/dbraw/zinc/87/08/87/583870887.db2.gz UAUHGVLZQVMFTR-QMMMGPOBSA-N -1 1 335.372 -0.465 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nnn[n-]1)C(=O)[C@H](O)C(C)(C)C ZINC000825923832 583870986 /nfs/dbraw/zinc/87/09/86/583870986.db2.gz YCIVUTYXPYPPDH-JTQLQIEISA-N -1 1 336.400 -0.030 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nn[n-]n1)C(=O)[C@H](O)C(C)(C)C ZINC000825923832 583870989 /nfs/dbraw/zinc/87/09/89/583870989.db2.gz YCIVUTYXPYPPDH-JTQLQIEISA-N -1 1 336.400 -0.030 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nnn[n-]1)C(=O)CNC(=O)C1CC1 ZINC000825929159 583871098 /nfs/dbraw/zinc/87/10/98/583871098.db2.gz BXYVMPYTXVLMRI-UHFFFAOYSA-N -1 1 347.383 -0.911 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nn[n-]n1)C(=O)CNC(=O)C1CC1 ZINC000825929159 583871103 /nfs/dbraw/zinc/87/11/03/583871103.db2.gz BXYVMPYTXVLMRI-UHFFFAOYSA-N -1 1 347.383 -0.911 20 0 EBADMM CN1C(=O)N[C@]2(CCC[C@@H]2CNc2ccc(-c3nnn[n-]3)nn2)C1=O ZINC000826018014 583873806 /nfs/dbraw/zinc/87/38/06/583873806.db2.gz QFTJDKZUWLMSMV-CLAHSXSESA-N -1 1 343.351 -0.211 20 0 EBADMM CN1C(=O)N[C@]2(CCC[C@@H]2CNc2ccc(-c3nn[n-]n3)nn2)C1=O ZINC000826018014 583873808 /nfs/dbraw/zinc/87/38/08/583873808.db2.gz QFTJDKZUWLMSMV-CLAHSXSESA-N -1 1 343.351 -0.211 20 0 EBADMM CN1CC[C@@H](NS(=O)(=O)c2ccc(F)cc2-c2nn[n-]n2)C1=O ZINC000826028964 583874294 /nfs/dbraw/zinc/87/42/94/583874294.db2.gz LGGMXSKISUXKFX-SECBINFHSA-N -1 1 340.340 -0.485 20 0 EBADMM CN1CN(S(=O)(=O)c2ccc(Cl)cc2-c2nn[n-]n2)CC1=O ZINC000826044514 583874934 /nfs/dbraw/zinc/87/49/34/583874934.db2.gz GYHMGKPWJAACSK-UHFFFAOYSA-N -1 1 342.768 -0.060 20 0 EBADMM CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000826050824 583875494 /nfs/dbraw/zinc/87/54/94/583875494.db2.gz FHESKJUEZLHSOM-BDAKNGLRSA-N -1 1 333.352 -0.263 20 0 EBADMM CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000826050824 583875495 /nfs/dbraw/zinc/87/54/95/583875495.db2.gz FHESKJUEZLHSOM-BDAKNGLRSA-N -1 1 333.352 -0.263 20 0 EBADMM CNC(=O)[C@@H](C)NS(=O)(=O)c1cccc(Cl)c1-c1nnn[n-]1 ZINC000826050919 583875520 /nfs/dbraw/zinc/87/55/20/583875520.db2.gz HZJULOOLLMHDEE-ZCFIWIBFSA-N -1 1 344.784 -0.067 20 0 EBADMM CNC(=O)[C@@H](C)NS(=O)(=O)c1cccc(Cl)c1-c1nn[n-]n1 ZINC000826050919 583875522 /nfs/dbraw/zinc/87/55/22/583875522.db2.gz HZJULOOLLMHDEE-ZCFIWIBFSA-N -1 1 344.784 -0.067 20 0 EBADMM COC(=O)[C@@]1(F)CCN(C(=O)Cc2noc(C)c2-c2nnn[n-]2)C1 ZINC000826124981 583880630 /nfs/dbraw/zinc/88/06/30/583880630.db2.gz ZDKPRMWLWOJICL-CYBMUJFWSA-N -1 1 338.299 -0.181 20 0 EBADMM COC(=O)[C@@]1(F)CCN(C(=O)Cc2noc(C)c2-c2nn[n-]n2)C1 ZINC000826124981 583880633 /nfs/dbraw/zinc/88/06/33/583880633.db2.gz ZDKPRMWLWOJICL-CYBMUJFWSA-N -1 1 338.299 -0.181 20 0 EBADMM COC(=O)[C@@H]1CN(C(=O)Cc2noc(C)c2-c2nnn[n-]2)C[C@@H]1C ZINC000826133789 583881823 /nfs/dbraw/zinc/88/18/23/583881823.db2.gz PQPXWVJMKUOPED-IONNQARKSA-N -1 1 334.336 -0.027 20 0 EBADMM COC(=O)[C@@H]1CN(C(=O)Cc2noc(C)c2-c2nn[n-]n2)C[C@@H]1C ZINC000826133789 583881824 /nfs/dbraw/zinc/88/18/24/583881824.db2.gz PQPXWVJMKUOPED-IONNQARKSA-N -1 1 334.336 -0.027 20 0 EBADMM COCCS(=O)(=O)CCCn1cccc(-c2nn[n-]n2)c1=O ZINC000826163256 583886347 /nfs/dbraw/zinc/88/63/47/583886347.db2.gz MHDTUZMDLRYDFX-UHFFFAOYSA-N -1 1 327.366 -0.520 20 0 EBADMM Cc1onc(CC(=O)N2CC(=O)N[C@H]3CCCC[C@H]32)c1-c1nnn[n-]1 ZINC000826343669 583892092 /nfs/dbraw/zinc/89/20/92/583892092.db2.gz JQGPRFLPYNSHFI-GXSJLCMTSA-N -1 1 345.363 -0.025 20 0 EBADMM Cc1onc(CC(=O)N2CC(=O)N[C@H]3CCCC[C@H]32)c1-c1nn[n-]n1 ZINC000826343669 583892094 /nfs/dbraw/zinc/89/20/94/583892094.db2.gz JQGPRFLPYNSHFI-GXSJLCMTSA-N -1 1 345.363 -0.025 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NCCCCn2ccnc2)n(C)c1=O ZINC000826355936 583893369 /nfs/dbraw/zinc/89/33/69/583893369.db2.gz UBYLGUCVFMOCLB-UHFFFAOYSA-N -1 1 345.367 -0.647 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NCC[C@H]2CCCCO2)n(C)c1=O ZINC000826355732 583893376 /nfs/dbraw/zinc/89/33/76/583893376.db2.gz LFGOSNBFFPTUNP-SECBINFHSA-N -1 1 335.368 -0.365 20 0 EBADMM Cc1onc(CC(=O)NCCSCCCO)c1-c1nnn[n-]1 ZINC000826347999 583893512 /nfs/dbraw/zinc/89/35/12/583893512.db2.gz PBYXOOYPXZHVFQ-UHFFFAOYSA-N -1 1 326.382 -0.063 20 0 EBADMM Cc1onc(CC(=O)NCCSCCCO)c1-c1nn[n-]n1 ZINC000826347999 583893513 /nfs/dbraw/zinc/89/35/13/583893513.db2.gz PBYXOOYPXZHVFQ-UHFFFAOYSA-N -1 1 326.382 -0.063 20 0 EBADMM Cn1cc([C@@H](CO)NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)cn1 ZINC000826359094 583894095 /nfs/dbraw/zinc/89/40/95/583894095.db2.gz VMTZNBAPBGGBCV-SECBINFHSA-N -1 1 332.328 -0.811 20 0 EBADMM Cn1cc([C@@H](CO)NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)cn1 ZINC000826359094 583894096 /nfs/dbraw/zinc/89/40/96/583894096.db2.gz VMTZNBAPBGGBCV-SECBINFHSA-N -1 1 332.328 -0.811 20 0 EBADMM Cn1ccc(N2CCC[C@H](Nc3ccc(-c4nnn[n-]4)nn3)C2=O)n1 ZINC000826363648 583894742 /nfs/dbraw/zinc/89/47/42/583894742.db2.gz WACBCXAKNXLUHZ-JTQLQIEISA-N -1 1 340.351 -0.002 20 0 EBADMM Cn1ccc(N2CCC[C@H](Nc3ccc(-c4nn[n-]n4)nn3)C2=O)n1 ZINC000826363648 583894744 /nfs/dbraw/zinc/89/47/44/583894744.db2.gz WACBCXAKNXLUHZ-JTQLQIEISA-N -1 1 340.351 -0.002 20 0 EBADMM Cn1cnc(CNS(=O)(=O)c2cccc(F)c2-c2nnn[n-]2)n1 ZINC000826364724 583894780 /nfs/dbraw/zinc/89/47/80/583894780.db2.gz IGSHANCOCUHGJI-UHFFFAOYSA-N -1 1 338.328 -0.387 20 0 EBADMM Cn1cnc(CNS(=O)(=O)c2cccc(F)c2-c2nn[n-]n2)n1 ZINC000826364724 583894782 /nfs/dbraw/zinc/89/47/82/583894782.db2.gz IGSHANCOCUHGJI-UHFFFAOYSA-N -1 1 338.328 -0.387 20 0 EBADMM O=C(Cn1cc(NCc2ccc(-c3nnn[n-]3)o2)cn1)NCCO ZINC000826424559 583900806 /nfs/dbraw/zinc/90/08/06/583900806.db2.gz RKNYYFHDBIVURO-UHFFFAOYSA-N -1 1 332.324 -0.623 20 0 EBADMM O=C(Cn1cc(NCc2ccc(-c3nn[n-]n3)o2)cn1)NCCO ZINC000826424559 583900807 /nfs/dbraw/zinc/90/08/07/583900807.db2.gz RKNYYFHDBIVURO-UHFFFAOYSA-N -1 1 332.324 -0.623 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@H](CCO)c1ccccc1 ZINC000826424896 583900812 /nfs/dbraw/zinc/90/08/12/583900812.db2.gz HTQCQDPJTVTDSR-LLVKDONJSA-N -1 1 328.336 -0.302 20 0 EBADMM O=C(c1cccc(-c2nc(=O)o[n-]2)c1)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000826833405 583911112 /nfs/dbraw/zinc/91/11/12/583911112.db2.gz CPMMZLJCGSNBLE-JTQLQIEISA-N -1 1 343.303 -0.243 20 0 EBADMM CCCc1nc([C@@H]2CN(C(=O)COCCNC(=O)[O-])CCO2)n[nH]1 ZINC000826954686 583912802 /nfs/dbraw/zinc/91/28/02/583912802.db2.gz UOLOTZFSIYMFEC-JTQLQIEISA-N -1 1 341.368 -0.059 20 0 EBADMM CCCc1n[nH]c([C@@H]2CN(C(=O)COCCNC(=O)[O-])CCO2)n1 ZINC000826954686 583912804 /nfs/dbraw/zinc/91/28/04/583912804.db2.gz UOLOTZFSIYMFEC-JTQLQIEISA-N -1 1 341.368 -0.059 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)C(C)(C)NS(C)(=O)=O)CC1 ZINC000827356086 583919609 /nfs/dbraw/zinc/91/96/09/583919609.db2.gz OOWSCBLCKNMGMA-SNVBAGLBSA-N -1 1 335.426 -0.678 20 0 EBADMM CCc1nnc([C@H]2CN(C(=O)[C@H]3CN(C(=O)[O-])CCO3)CCO2)[nH]1 ZINC000827621649 583925014 /nfs/dbraw/zinc/92/50/14/583925014.db2.gz UBLTZZDQTCJOEM-NXEZZACHSA-N -1 1 339.352 -0.354 20 0 EBADMM CN(CC(=O)N1CC[C@H](N(C)C(=O)[O-])C1)c1ncnc2[nH]cnc21 ZINC000828091129 583933477 /nfs/dbraw/zinc/93/34/77/583933477.db2.gz VDBWVDIQHPAHCJ-VIFPVBQESA-N -1 1 333.352 0.000 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000828473612 583939960 /nfs/dbraw/zinc/93/99/60/583939960.db2.gz YPYAZWDFEHMNIO-RWMBFGLXSA-N -1 1 349.453 -0.179 20 0 EBADMM CC[C@H](C)[C@H](NC(=O)CN1CCN([C@@H](CC)CO)CC1)C(=O)[O-] ZINC000828717693 583946344 /nfs/dbraw/zinc/94/63/44/583946344.db2.gz KEXRGUPZTQOPFZ-YDHLFZDLSA-N -1 1 329.441 -0.010 20 0 EBADMM C[C@@H](C(=O)N1CCC[C@H](C(=O)N2CCN(C(=O)[O-])CC2)C1)N(C)C ZINC000829784494 583958258 /nfs/dbraw/zinc/95/82/58/583958258.db2.gz VPGIBOFVWXGQOG-STQMWFEESA-N -1 1 340.424 -0.003 20 0 EBADMM CCOCCN1CCN(C(=O)Cn2cc(C(=O)[O-])nn2)C[C@H]1CC ZINC000830011548 583961722 /nfs/dbraw/zinc/96/17/22/583961722.db2.gz JLRZTYJHALHVEO-GFCCVEGCSA-N -1 1 339.396 -0.064 20 0 EBADMM Cc1nnc([C@@H]2CN(C(=O)C[C@@H]3CN(C(=O)[O-])CCO3)CCO2)[nH]1 ZINC000830676847 583969424 /nfs/dbraw/zinc/96/94/24/583969424.db2.gz AYQJMNGIHIYHLW-MNOVXSKESA-N -1 1 339.352 -0.218 20 0 EBADMM C[C@H](NS(C)(=O)=O)C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831144035 583977186 /nfs/dbraw/zinc/97/71/86/583977186.db2.gz CAEBSTMXMMKJHS-LURJTMIESA-N -1 1 331.316 -0.939 20 0 EBADMM O=C([O-])N1C[C@@H](O)C[C@H]1C(=O)N1CCCN(C[C@@H]2CCCO2)CC1 ZINC000831271383 583981152 /nfs/dbraw/zinc/98/11/52/583981152.db2.gz BHGHEIXWWQRXEX-IHRRRGAJSA-N -1 1 341.408 -0.187 20 0 EBADMM O=C(C[C@H]1CN(C(=O)[O-])CCO1)NCCCCN1CCOCC1 ZINC000831380630 583982094 /nfs/dbraw/zinc/98/20/94/583982094.db2.gz GHTXBVIEDVMVMI-ZDUSSCGKSA-N -1 1 329.397 -0.016 20 0 EBADMM O=C([O-])N1CCO[C@H](CC(=O)N2CC[C@H](CN3CCOCC3)C2)C1 ZINC000832060175 583995549 /nfs/dbraw/zinc/99/55/49/583995549.db2.gz HLVPBYSJHLXBEM-ZIAGYGMSSA-N -1 1 341.408 -0.064 20 0 EBADMM COC(=O)[C@@H]1CN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)C[C@H]1C ZINC000832497357 583998720 /nfs/dbraw/zinc/99/87/20/583998720.db2.gz ALTHMLLJDBFSMS-MWLCHTKSSA-N -1 1 345.359 -0.452 20 0 EBADMM Cn1cc(S(=O)(=O)NCCN2CCC(CC(=O)[O-])CC2)cn1 ZINC000833052617 584003468 /nfs/dbraw/zinc/00/34/68/584003468.db2.gz AANYVSVFZZVVCI-UHFFFAOYSA-N -1 1 330.410 -0.115 20 0 EBADMM O=C([O-])CS(=O)(=O)Cc1ccc(CNC(=O)c2cnn[nH]2)cc1 ZINC000833263158 584007826 /nfs/dbraw/zinc/00/78/26/584007826.db2.gz HQFVQJVVXSUXOB-UHFFFAOYSA-N -1 1 338.345 -0.266 20 0 EBADMM O=C([O-])c1cc(CN[C@@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c[nH]1 ZINC000833296697 584008389 /nfs/dbraw/zinc/00/83/89/584008389.db2.gz QJUUSJIKRXQZHM-OLZOCXBDSA-N -1 1 343.405 -0.700 20 0 EBADMM CC(C)CN(CCC(=O)[O-])Cc1nc2c([nH]1)n(C)c(=O)n(C)c2=O ZINC000833486846 584010982 /nfs/dbraw/zinc/01/09/82/584010982.db2.gz SNBRTUMVAHAUJK-UHFFFAOYSA-N -1 1 337.380 -0.107 20 0 EBADMM C[C@]1(C2CC2)NC(=O)N(CC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])C1=O ZINC000833563621 584011957 /nfs/dbraw/zinc/01/19/57/584011957.db2.gz LBSDRKQBKLKYQY-ZUZCIYMTSA-N -1 1 349.347 -0.758 20 0 EBADMM COCCN1CC[C@H](NC(=O)N2CCC([C@@H](O)C(=O)[O-])CC2)C1 ZINC000833724386 584015482 /nfs/dbraw/zinc/01/54/82/584015482.db2.gz LBYROCNIVVPCQC-QWHCGFSZSA-N -1 1 329.397 -0.426 20 0 EBADMM COCCN1CC[C@@H](NC(=O)C(=O)Nc2cc(C(=O)[O-])n(C)c2)C1 ZINC000833725113 584015512 /nfs/dbraw/zinc/01/55/12/584015512.db2.gz ZYUORARGRSCEDK-SNVBAGLBSA-N -1 1 338.364 -0.501 20 0 EBADMM O=C([O-])N1CC(CNC(=O)N2CCN([C@@H]3CCC[C@@H]3O)CC2)C1 ZINC000834065861 584025671 /nfs/dbraw/zinc/02/56/71/584025671.db2.gz CGXXUKJLAIXAAQ-OLZOCXBDSA-N -1 1 326.397 -0.163 20 0 EBADMM O=C([O-])N1CC(OCCC(=O)N2CCN([C@@H]3CCC[C@H]3O)CC2)C1 ZINC000834075582 584026052 /nfs/dbraw/zinc/02/60/52/584026052.db2.gz ZYPDGOQGLDQJPP-ZIAGYGMSSA-N -1 1 341.408 -0.187 20 0 EBADMM CC(C)[C@H](CO)O[N-]C(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000836900921 584045861 /nfs/dbraw/zinc/04/58/61/584045861.db2.gz YPDATGNKIRDOHJ-KBPBESRZSA-N -1 1 343.424 -0.768 20 0 EBADMM CC(C)[C@H]([N-]C(=O)C(F)(F)F)C(=O)N1CC(N2CC[C@@H](O)C2)C1 ZINC000844000084 584085421 /nfs/dbraw/zinc/08/54/21/584085421.db2.gz ASSVMUURRKPWAC-MNOVXSKESA-N -1 1 337.342 -0.033 20 0 EBADMM CCOC(=O)c1cnc(NC(=O)CCN2CCCS2(=O)=O)[n-]1 ZINC000846650228 584129402 /nfs/dbraw/zinc/12/94/02/584129402.db2.gz FUEPINZIHOZVFU-UHFFFAOYSA-N -1 1 330.366 -0.050 20 0 EBADMM CCOC(=O)c1c[n-]c(NC(=O)CCN2CCCS2(=O)=O)n1 ZINC000846650228 584129403 /nfs/dbraw/zinc/12/94/03/584129403.db2.gz FUEPINZIHOZVFU-UHFFFAOYSA-N -1 1 330.366 -0.050 20 0 EBADMM CNS(=O)(=O)N1CCC[C@H](NC(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC000849231836 584167079 /nfs/dbraw/zinc/16/70/79/584167079.db2.gz HYKNZCNARWJYBE-WDSKDSINSA-N -1 1 333.288 -0.953 20 0 EBADMM CCNS(=O)(=O)N1CCC[C@H](NC(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC000849233063 584167096 /nfs/dbraw/zinc/16/70/96/584167096.db2.gz WGDHZMZPUDWRIN-NKWVEPMBSA-N -1 1 347.315 -0.563 20 0 EBADMM Cn1nncc1C(=O)N1CCC[C@H](NC(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC000851700570 584200406 /nfs/dbraw/zinc/20/04/06/584200406.db2.gz CAFASJPXBFYZQP-POYBYMJQSA-N -1 1 349.269 -0.449 20 0 EBADMM Cn1c2ncn(CCC(=O)[N-]Oc3ccccc3)c2c(=O)n(C)c1=O ZINC000854538169 584242274 /nfs/dbraw/zinc/24/22/74/584242274.db2.gz DVFFSGWQPAKYHX-UHFFFAOYSA-N -1 1 343.343 -0.066 20 0 EBADMM COC(=O)[C@@H](F)C(Nc1cccnc1)=C1C(=O)[N-]C(=S)NC1=O ZINC000009874431 584254028 /nfs/dbraw/zinc/25/40/28/584254028.db2.gz QNPKACBEOFSBQM-QMMMGPOBSA-N -1 1 338.320 -0.210 20 0 EBADMM CC(=O)NCc1ccc(CNC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC000855205977 584256797 /nfs/dbraw/zinc/25/67/97/584256797.db2.gz CPYYZOPNQIFOIQ-UHFFFAOYSA-N -1 1 344.371 -0.121 20 0 EBADMM CC(C)[C@H](CO)O[N-]C(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000857287719 584276807 /nfs/dbraw/zinc/27/68/07/584276807.db2.gz SBTYAQSFNNSSNR-UTUOFQBUSA-N -1 1 348.421 -0.913 20 0 EBADMM O=C(NN1CC(=O)[N-]C1=O)[C@@H]1CC(=O)N(c2ccc(F)c(F)c2)C1 ZINC000858542929 584288988 /nfs/dbraw/zinc/28/89/88/584288988.db2.gz ODKNNNXHESYCKG-SSDOTTSWSA-N -1 1 338.270 -0.099 20 0 EBADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)[C@@H]3COC(=O)N3)CC2)n1 ZINC000861346549 584328190 /nfs/dbraw/zinc/32/81/90/584328190.db2.gz ANQBDKHSBOORHJ-VIFPVBQESA-N -1 1 337.336 -0.204 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC(NS(C)(=O)=O)C1 ZINC000862841105 584360003 /nfs/dbraw/zinc/36/00/03/584360003.db2.gz FNPQZCAJJMXAFP-UHFFFAOYSA-N -1 1 328.394 -0.012 20 0 EBADMM COC(=O)COCC[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000867562573 584411103 /nfs/dbraw/zinc/41/11/03/584411103.db2.gz ZTNXWPNDYVQHHT-UHFFFAOYSA-N -1 1 345.299 -0.093 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC[C@@H]2CCCS2)c(=O)n(C)c1=O ZINC000867663949 584413629 /nfs/dbraw/zinc/41/36/29/584413629.db2.gz QMGWTNAQAXSBQG-VIFPVBQESA-N -1 1 333.435 -0.352 20 0 EBADMM C[C@@H](CS(C)(=O)=O)NC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875286008 584496121 /nfs/dbraw/zinc/49/61/21/584496121.db2.gz YBVHHXNGRUIHDT-ZETCQYMHSA-N -1 1 345.343 -0.261 20 0 EBADMM Cn1c(=O)c2ccc(C(=O)NC[C@@H]3COCCN3)cc2[n-]c1=S ZINC000877039590 584528649 /nfs/dbraw/zinc/52/86/49/584528649.db2.gz HCXYAGQJNLVSJJ-SNVBAGLBSA-N -1 1 334.401 -0.060 20 0 EBADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-][C@H]1CCN(C(C)(C)C)C1=O ZINC000882299578 584587604 /nfs/dbraw/zinc/58/76/04/584587604.db2.gz KJHFKEWBGUJYPC-GWNMQOMSSA-N -1 1 340.471 -0.205 20 0 EBADMM O=C(CCCN1C(=O)c2ccccc2C1=O)NN1CC(=O)[N-]C1=O ZINC000025612639 584594351 /nfs/dbraw/zinc/59/43/51/584594351.db2.gz CAJQNFQLNAUCFA-UHFFFAOYSA-N -1 1 330.300 -0.354 20 0 EBADMM CO[C@]1(C(=O)OCCC[N-]C(=O)C(F)(F)F)CCS(=O)(=O)C1 ZINC000885763226 584652130 /nfs/dbraw/zinc/65/21/30/584652130.db2.gz AWPZWHLUOSUDAV-SNVBAGLBSA-N -1 1 347.311 -0.198 20 0 EBADMM O=C([O-])CSCC(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC000740487083 597102203 /nfs/dbraw/zinc/10/22/03/597102203.db2.gz PCDCVPBXFHRYEZ-LBPRGKRZSA-N -1 1 331.438 -0.673 20 0 EBADMM O=S(=O)(c1ccc(-c2nnn[n-]2)nc1)N1CCN(C2CC2)CC1 ZINC000738355738 598269654 /nfs/dbraw/zinc/26/96/54/598269654.db2.gz RAYSYSRVXCCGTO-UHFFFAOYSA-N -1 1 335.393 -0.270 20 0 EBADMM O=S(=O)(c1ccc(-c2nn[n-]n2)nc1)N1CCN(C2CC2)CC1 ZINC000738355738 598269655 /nfs/dbraw/zinc/26/96/55/598269655.db2.gz RAYSYSRVXCCGTO-UHFFFAOYSA-N -1 1 335.393 -0.270 20 0 EBADMM O=C([O-])c1ccc(C(=O)N[C@@H]2CCN(CCN3CCOCC3)C2)nc1 ZINC000740109689 600622840 /nfs/dbraw/zinc/62/28/40/600622840.db2.gz DIINLEYNDCUISJ-CQSZACIVSA-N -1 1 348.403 -0.084 20 0 EBADMM C[C@H]1CN2CCC[C@H]2CN1S(=O)(=O)c1cnn(CC(=O)[O-])c1 ZINC000316448674 600748108 /nfs/dbraw/zinc/74/81/08/600748108.db2.gz NVOGGFPOYJQYCV-QWRGUYRKSA-N -1 1 328.394 -0.175 20 0 EBADMM O=C([O-])NC[C@@H]1CCN(CC(=O)N2CCN(c3cnccn3)CC2)C1 ZINC000740644522 601936404 /nfs/dbraw/zinc/93/64/04/601936404.db2.gz ZAQHWHUEFVPCPP-ZDUSSCGKSA-N -1 1 348.407 -0.285 20 0 EBADMM CN(C)CC(=O)N1CCN(C(=O)C2(NC(=O)[O-])CCCC2)CC1 ZINC000739604112 602269270 /nfs/dbraw/zinc/26/92/70/602269270.db2.gz OCZQQXLKCUYSTH-UHFFFAOYSA-N -1 1 326.397 -0.201 20 0 EBADMM CSCC[C@@H](NC(=O)[O-])C(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000740014780 602500593 /nfs/dbraw/zinc/50/05/93/602500593.db2.gz BZUSCZXTLNDRDO-HTQZYQBOSA-N -1 1 330.370 -0.511 20 0 EBADMM COC1(C(=O)N2CCO[C@@H](c3nn[nH]n3)C2)CCN(C(=O)[O-])CC1 ZINC000739798819 602501341 /nfs/dbraw/zinc/50/13/41/602501341.db2.gz KYPWGDSCBZKQQL-SECBINFHSA-N -1 1 340.340 -0.741 20 0 EBADMM CN1CCN(C)[C@H](CNC(=O)NC[C@@H]2CCCN(C(=O)[O-])C2)C1 ZINC000739733523 602588059 /nfs/dbraw/zinc/58/80/59/602588059.db2.gz DKANWEKYYGEJIP-QWHCGFSZSA-N -1 1 327.429 -0.079 20 0 EBADMM O=C([O-])N1CCc2cc(NC(=O)C(=O)NCc3nn[nH]n3)ccc21 ZINC000740451955 602763915 /nfs/dbraw/zinc/76/39/15/602763915.db2.gz CZQKFICHIUBJGM-UHFFFAOYSA-N -1 1 331.292 -0.505 20 0 EBADMM O=C([O-])N1CCC[C@H]1C(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC000740400059 602771097 /nfs/dbraw/zinc/77/10/97/602771097.db2.gz OMJCSUBQZNMOFK-KBPBESRZSA-N -1 1 340.424 -0.349 20 0 EBADMM O=C([O-])N1CCOC[C@@H]1C(=O)N1CC[C@@H](CN2CCOCC2)C1 ZINC000740344368 602971201 /nfs/dbraw/zinc/97/12/01/602971201.db2.gz GOYQPOKYYWHKMX-QWHCGFSZSA-N -1 1 327.381 -0.454 20 0 EBADMM O=C([O-])N1CC[C@@H](CN2CCN(C(=O)CN3CCOCC3)CC2)C1 ZINC000740501472 602978163 /nfs/dbraw/zinc/97/81/63/602978163.db2.gz VJVTVGFNIDURID-AWEZNQCLSA-N -1 1 340.424 -0.537 20 0 EBADMM O=C([O-])NCc1n[nH]c(-c2ccc(NC(=O)Cn3cnnn3)cc2)n1 ZINC000740681615 603057715 /nfs/dbraw/zinc/05/77/15/603057715.db2.gz QACQPGVYAHRCBO-UHFFFAOYSA-N -1 1 343.307 -0.136 20 0 EBADMM O=C([O-])NCc1nc(-c2ccc(NC(=O)Cn3cnnn3)cc2)n[nH]1 ZINC000740681615 603057719 /nfs/dbraw/zinc/05/77/19/603057719.db2.gz QACQPGVYAHRCBO-UHFFFAOYSA-N -1 1 343.307 -0.136 20 0 EBADMM O=C([O-])N1C[C@@H](O)C[C@@H]1C(=O)N1CCC(CN2CCOCC2)CC1 ZINC000740341503 603071192 /nfs/dbraw/zinc/07/11/92/603071192.db2.gz ORUCJDAVQLPZPC-UONOGXRCSA-N -1 1 341.408 -0.330 20 0 EBADMM CC(Nc1ccc(S(N)(=O)=O)cc1)=C1C(=O)[N-]C(=S)NC1=O ZINC000054501766 649957321 /nfs/dbraw/zinc/95/73/21/649957321.db2.gz AGNOASJCIQZDSY-UHFFFAOYSA-N -1 1 340.386 -0.449 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@]2(C)CCNC2=O)c(C(F)(F)F)n1 ZINC000907810065 650090897 /nfs/dbraw/zinc/09/08/97/650090897.db2.gz CDIYGFXMPCJPRN-VIFPVBQESA-N -1 1 326.300 -0.004 20 0 EBADMM COc1ccc(OCCN(C)C(=O)[C@]2(C(=O)[O-])CNCCO2)cc1 ZINC000912079001 650200148 /nfs/dbraw/zinc/20/01/48/650200148.db2.gz DOSVYPKGSJUROL-INIZCTEOSA-N -1 1 338.360 -0.024 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C(N)=O)c1ccccc1 ZINC000912531057 650206696 /nfs/dbraw/zinc/20/66/96/650206696.db2.gz SRGHCQQFMCUAMD-SNVBAGLBSA-N -1 1 338.345 -0.299 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)C(=O)NC(C)(C)C ZINC000912534655 650206906 /nfs/dbraw/zinc/20/69/06/650206906.db2.gz NIOWQRQAFGTOCS-SSDOTTSWSA-N -1 1 332.382 -0.222 20 0 EBADMM COC(=O)C[C@@H]([N-]S(=O)(=O)c1n[nH]cc1C(=O)OC)C1CC1 ZINC000912540147 650206991 /nfs/dbraw/zinc/20/69/91/650206991.db2.gz CKJDGPKZIMAUFB-SECBINFHSA-N -1 1 331.350 -0.184 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1ccc(C(N)=O)nc1 ZINC000912543200 650207681 /nfs/dbraw/zinc/20/76/81/650207681.db2.gz SZGGJSOHZQADIM-UHFFFAOYSA-N -1 1 339.333 -0.831 20 0 EBADMM CCO[C@@H]1C[C@@H]([N-]S(=O)(=O)c2n[nH]cc2C(=O)OC)[C@H]1OC ZINC000912548263 650207862 /nfs/dbraw/zinc/20/78/62/650207862.db2.gz UTTCNXGMYCWCGI-OPRDCNLKSA-N -1 1 333.366 -0.333 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)C1N=NC(=O)N1C ZINC000912555256 650208503 /nfs/dbraw/zinc/20/85/03/650208503.db2.gz BAJSVRYTSPLGSB-RXMQYKEDSA-N -1 1 330.326 -0.930 20 0 EBADMM CCn1nc(C)c(C[N-]S(=O)(=O)c2n[nH]cc2C(=O)OC)n1 ZINC000912556867 650208913 /nfs/dbraw/zinc/20/89/13/650208913.db2.gz PPTFKKFVGTUUBW-UHFFFAOYSA-N -1 1 328.354 -0.405 20 0 EBADMM O=C([C@H]1COCCN1CC(F)F)N1CCC(c2nn[n-]n2)CC1 ZINC000913744564 650244577 /nfs/dbraw/zinc/24/45/77/650244577.db2.gz UHEZQVSJJRMXES-SNVBAGLBSA-N -1 1 330.339 -0.128 20 0 EBADMM O=C(C[N-]S(=O)(=O)[C@@H]1COC[C@H]1O)N1CCCc2ccccc21 ZINC000913966772 650249518 /nfs/dbraw/zinc/24/95/18/650249518.db2.gz REPSBTGPVCUNOO-ZIAGYGMSSA-N -1 1 340.401 -0.355 20 0 EBADMM O=C1[C@@H]([N-]S(=O)(=O)[C@@H]2COC[C@H]2O)CN1c1ccc(F)cc1 ZINC000914348606 650258368 /nfs/dbraw/zinc/25/83/68/650258368.db2.gz VZHMRVZKZYHGJL-QJPTWQEYSA-N -1 1 330.337 -0.780 20 0 EBADMM O=S(=O)([N-][C@H](CO)CN1CCOCC1)c1ccc(F)nc1F ZINC000918970198 650293111 /nfs/dbraw/zinc/29/31/11/650293111.db2.gz FLIIKXLMTYKSAU-VIFPVBQESA-N -1 1 337.348 -0.669 20 0 EBADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@H](C)[C@@H]1CN(C)CCN1C ZINC000919980359 650307030 /nfs/dbraw/zinc/30/70/30/650307030.db2.gz CLPPYGMZTUVFTB-NEPJUHHUSA-N -1 1 340.515 -0.037 20 0 EBADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@H](C)[C@H]1CN(C)CCN1C ZINC000919983230 650307284 /nfs/dbraw/zinc/30/72/84/650307284.db2.gz ZLOSCBWOVPZNEW-BFKGZMGPSA-N -1 1 326.488 -0.427 20 0 EBADMM C[C@@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)[C@H]1CN(C)CCN1C ZINC000920021810 650308253 /nfs/dbraw/zinc/30/82/53/650308253.db2.gz RZAFEXZMJIALEF-NXEZZACHSA-N -1 1 335.861 -0.014 20 0 EBADMM Cc1nc(S(=O)(=O)[N-]C[C@@]2(CO)COCCN2C)sc1C ZINC000921339866 650318177 /nfs/dbraw/zinc/31/81/77/650318177.db2.gz NWXMWFBSGHDDHY-GFCCVEGCSA-N -1 1 335.451 -0.269 20 0 EBADMM CCOC[C@H](O)C[N@H+]1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC000923255892 650336970 /nfs/dbraw/zinc/33/69/70/650336970.db2.gz TVCIWLJKJPETFJ-KXUCPTDWSA-N -1 1 342.314 -0.020 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@@H]2CCNC(=O)C2)C1 ZINC000937135886 651648611 /nfs/dbraw/zinc/64/86/11/651648611.db2.gz DRHUCLZGKWIQQR-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)[C@H]1COCCN1C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937212434 651680277 /nfs/dbraw/zinc/68/02/77/651680277.db2.gz WABUFZYWPRXCNR-QWHCGFSZSA-N -1 1 348.403 -0.209 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)CN2CN=NC2=O)C1 ZINC000937214046 651681817 /nfs/dbraw/zinc/68/18/17/651681817.db2.gz LHKRBXNIEFUATO-JTQLQIEISA-N -1 1 346.347 -0.543 20 0 EBADMM CN(C(=O)c1nnn(C)n1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216374 651684517 /nfs/dbraw/zinc/68/45/17/651684517.db2.gz AWHOLKQSTKISDZ-VIFPVBQESA-N -1 1 331.336 -0.703 20 0 EBADMM CN(C(=O)[C@H]1CC(=O)N(C)C1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216437 651684996 /nfs/dbraw/zinc/68/49/96/651684996.db2.gz CNJWPFYTUXLAPP-RYUDHWBXSA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C(=O)[C@]1(C)CCNC1=O)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937217574 651685596 /nfs/dbraw/zinc/68/55/96/651685596.db2.gz WXWCYKJDWDLCEL-PIGZYNQJSA-N -1 1 346.387 -0.014 20 0 EBADMM CSCCO[N-]C(=O)C(=O)NC[C@@H]1CCN1C1CCOCC1 ZINC000932961002 651705145 /nfs/dbraw/zinc/70/51/45/651705145.db2.gz XLRRCJSMYFEOSO-LBPRGKRZSA-N -1 1 331.438 -0.233 20 0 EBADMM CCN(CC1CCN(C(=O)C(N)=O)CC1)C(=O)c1ncccc1[O-] ZINC000936450796 651791477 /nfs/dbraw/zinc/79/14/77/651791477.db2.gz SXWFUUGYHXLLPD-UHFFFAOYSA-N -1 1 334.376 -0.027 20 0 EBADMM O=C(CC1CC1)N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000937597725 651853425 /nfs/dbraw/zinc/85/34/25/651853425.db2.gz SBULKMPRGKUDLN-JTQLQIEISA-N -1 1 330.348 -0.452 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CCN(C(=O)CCc3c[nH]nn3)C2)c1[O-] ZINC000937837217 651940508 /nfs/dbraw/zinc/94/05/08/651940508.db2.gz XGXFFJUGBXPWCH-JTQLQIEISA-N -1 1 333.352 -0.495 20 0 EBADMM CS(=O)(=O)CC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937862143 651957830 /nfs/dbraw/zinc/95/78/30/651957830.db2.gz ZIFRESBRGWPSRT-VIFPVBQESA-N -1 1 327.362 -0.838 20 0 EBADMM O=C(CCc1nnc[nH]1)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937862144 651957991 /nfs/dbraw/zinc/95/79/91/651957991.db2.gz ZIJYJTGCHZGLTE-JTQLQIEISA-N -1 1 330.348 -0.131 20 0 EBADMM O=C(CCc1nc[nH]n1)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937862144 651957999 /nfs/dbraw/zinc/95/79/99/651957999.db2.gz ZIJYJTGCHZGLTE-JTQLQIEISA-N -1 1 330.348 -0.131 20 0 EBADMM CN1C[C@H](C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)CCC1=O ZINC000937864758 651959958 /nfs/dbraw/zinc/95/99/58/651959958.db2.gz WKVBIHHMNSLWAV-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)[C@H]2CCC(=O)NC2)C1)c1ncccc1[O-] ZINC000937864247 651960023 /nfs/dbraw/zinc/96/00/23/651960023.db2.gz OCJKWJWCBOROFX-QWRGUYRKSA-N -1 1 332.360 -0.356 20 0 EBADMM CO[C@@H](C)C(=O)N1CC[C@H](N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000936807499 652151977 /nfs/dbraw/zinc/15/19/77/652151977.db2.gz SRUMTWXMMKMFMK-UWVGGRQHSA-N -1 1 348.363 -0.875 20 0 EBADMM C[C@@]1(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)CNC(=O)C1 ZINC000938296915 652182372 /nfs/dbraw/zinc/18/23/72/652182372.db2.gz DTTMGYPZGQFIBT-QFYYESIMSA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)[C@@H]2CC23CC3)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000938315072 652193126 /nfs/dbraw/zinc/19/31/26/652193126.db2.gz ZCGNGGZTMGCODC-UWVGGRQHSA-N -1 1 342.359 -0.452 20 0 EBADMM CC(C)(C(N)=O)C(=O)N1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1[O-] ZINC000936966700 652328093 /nfs/dbraw/zinc/32/80/93/652328093.db2.gz BSHNCQNLEFQTDT-UWVGGRQHSA-N -1 1 332.360 -0.276 20 0 EBADMM Cn1cc(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)[nH]c1=O ZINC000938649882 652651754 /nfs/dbraw/zinc/65/17/54/652651754.db2.gz HBYMYHBTYAAVRW-VIFPVBQESA-N -1 1 331.332 -0.129 20 0 EBADMM O=C(Cn1nccn1)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000944042659 652655341 /nfs/dbraw/zinc/65/53/41/652655341.db2.gz ROSIYOWHHNJVMJ-LLVKDONJSA-N -1 1 330.348 -0.200 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC000938663213 652657860 /nfs/dbraw/zinc/65/78/60/652657860.db2.gz MXPPYPSJOAXAQN-VXGBXAGGSA-N -1 1 346.387 -0.062 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@@H]1CCC(=O)N1 ZINC000944100204 652676216 /nfs/dbraw/zinc/67/62/16/652676216.db2.gz PXQQNEOUDDRWPL-HOSYDEDBSA-N -1 1 348.359 -0.979 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@@H]1CNC(=O)C1CC1 ZINC000944138333 652691845 /nfs/dbraw/zinc/69/18/45/652691845.db2.gz BYZATMFTSDYOLN-GFCCVEGCSA-N -1 1 334.376 -0.556 20 0 EBADMM CN(C)C(=O)CN1CC(N2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC000941387908 652709159 /nfs/dbraw/zinc/70/91/59/652709159.db2.gz PRHBEKIVVDVPII-UHFFFAOYSA-N -1 1 347.419 -0.683 20 0 EBADMM C[C@]1(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)CCNC1=O ZINC000938809619 652717740 /nfs/dbraw/zinc/71/77/40/652717740.db2.gz TUHFKKLQWFCNNQ-QFYYESIMSA-N -1 1 332.360 -0.356 20 0 EBADMM Cn1[n-]c(CN2CC(N3CCN(C(=O)C4(C)CC4)CC3)C2)nc1=O ZINC000941418396 652727166 /nfs/dbraw/zinc/72/71/66/652727166.db2.gz RSHKMSCFMKGYNP-UHFFFAOYSA-N -1 1 334.424 -0.763 20 0 EBADMM CC(=O)N1CCC2(C1)CCN(C(=O)c1cc(=O)n3[n-]cnc3n1)CC2 ZINC000944397895 652754378 /nfs/dbraw/zinc/75/43/78/652754378.db2.gz SDBAWZZKZBZJIV-UHFFFAOYSA-N -1 1 344.375 -0.108 20 0 EBADMM C[C@H]1C[C@@H]1CC(=O)N1CCN(C2CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC000941551258 652798415 /nfs/dbraw/zinc/79/84/15/652798415.db2.gz YAURSMXXCQWWII-QWHCGFSZSA-N -1 1 348.451 -0.517 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CCC1 ZINC000939030353 652810706 /nfs/dbraw/zinc/81/07/06/652810706.db2.gz GBDZCDFZKVBKGE-SNVBAGLBSA-N -1 1 330.348 -0.452 20 0 EBADMM CCCN1CC(N2CCN(C(=O)c3c[n-]n4c3nccc4=O)CC2)C1 ZINC000941595104 652822087 /nfs/dbraw/zinc/82/20/87/652822087.db2.gz DLGDUTMGZGSDTA-UHFFFAOYSA-N -1 1 344.419 -0.125 20 0 EBADMM CO[C@@H]1CN(C(=O)c2cncnc2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941600629 652825456 /nfs/dbraw/zinc/82/54/56/652825456.db2.gz DOBVHRIKRQYXOB-DGCLKSJQSA-N -1 1 343.343 -0.153 20 0 EBADMM CO[C@@H]1CN(C(=O)c2cn(C)cn2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941600875 652826050 /nfs/dbraw/zinc/82/60/50/652826050.db2.gz JKGDLJODABWWIV-ZWNOBZJWSA-N -1 1 345.359 -0.210 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncc[nH]2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941602530 652827062 /nfs/dbraw/zinc/82/70/62/652827062.db2.gz DGJJEQNKXOAVIB-MWLCHTKSSA-N -1 1 331.332 -0.220 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)c2nnn(C)n2)C1 ZINC000939130823 652836776 /nfs/dbraw/zinc/83/67/76/652836776.db2.gz FRKLNVXGSOGOFH-VIFPVBQESA-N -1 1 331.336 -0.703 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H](NC(=O)c2ccco2)C1 ZINC000939144327 652843113 /nfs/dbraw/zinc/84/31/13/652843113.db2.gz QDROJXFGJFIUFB-LLVKDONJSA-N -1 1 346.343 -0.449 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)[C@@H]2CCCO2)CCO1)c1ncccc1[O-] ZINC000944561316 652860880 /nfs/dbraw/zinc/86/08/80/652860880.db2.gz QQQHXWIAACGIIF-YPMHNXCESA-N -1 1 335.360 -0.077 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2n[nH]cc2F)CCO1)c1ncccc1[O-] ZINC000944560959 652860977 /nfs/dbraw/zinc/86/09/77/652860977.db2.gz ACKHBFMNQYRDQS-VIFPVBQESA-N -1 1 349.322 -0.080 20 0 EBADMM Cn1nccc1C(=O)N1CCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944561870 652861412 /nfs/dbraw/zinc/86/14/12/652861412.db2.gz VUEQDEUWTQNQNR-NSHDSACASA-N -1 1 345.359 -0.208 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ccc[nH]2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000941664753 652866282 /nfs/dbraw/zinc/86/62/82/652866282.db2.gz ZMLVNEQLZQNYSI-SECBINFHSA-N -1 1 341.331 -0.610 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)C1=COCCO1 ZINC000941765975 652904518 /nfs/dbraw/zinc/90/45/18/652904518.db2.gz WFLWFPFMJKQLKB-GHMZBOCLSA-N -1 1 337.380 -0.677 20 0 EBADMM Cc1cnc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)cn1 ZINC000941773097 652905105 /nfs/dbraw/zinc/90/51/05/652905105.db2.gz UCAVMOSLZYQXTJ-JQWIXIFHSA-N -1 1 345.407 -0.153 20 0 EBADMM Cc1cnn(CC(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)c1 ZINC000941866704 652934862 /nfs/dbraw/zinc/93/48/62/652934862.db2.gz RUZQZVCFZXEFJB-CHWSQXEVSA-N -1 1 347.423 -0.360 20 0 EBADMM Cc1cnn(CC(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)c1 ZINC000941866706 652934895 /nfs/dbraw/zinc/93/48/95/652934895.db2.gz RUZQZVCFZXEFJB-QWHCGFSZSA-N -1 1 347.423 -0.360 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1ccnn1C ZINC000941876369 652939448 /nfs/dbraw/zinc/93/94/48/652939448.db2.gz GJCUGCUVPPSXER-QWRGUYRKSA-N -1 1 333.396 -0.518 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@@H]2C)c1[O-] ZINC000941964253 653008925 /nfs/dbraw/zinc/00/89/25/653008925.db2.gz PZLKYQSGAHHHRW-IONNQARKSA-N -1 1 335.368 -0.112 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@@H]2C)c1[O-] ZINC000941964253 653008928 /nfs/dbraw/zinc/00/89/28/653008928.db2.gz PZLKYQSGAHHHRW-IONNQARKSA-N -1 1 335.368 -0.112 20 0 EBADMM C[C@H]1CN(Cc2nnnn2C)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC000941989758 653013914 /nfs/dbraw/zinc/01/39/14/653013914.db2.gz QFGDTEFJNJBBBQ-QWRGUYRKSA-N -1 1 331.380 -0.049 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@]2(C)CCNC2=O)C1 ZINC000939687359 653022686 /nfs/dbraw/zinc/02/26/86/653022686.db2.gz PZFMFORPRYGKKK-PIGZYNQJSA-N -1 1 346.387 -0.014 20 0 EBADMM Cc1nnc(CC(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)o1 ZINC000942024746 653023615 /nfs/dbraw/zinc/02/36/15/653023615.db2.gz MWQTVDJMEFGETG-GXSJLCMTSA-N -1 1 349.395 -0.631 20 0 EBADMM Cc1ncn(C)c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC000942153722 653046059 /nfs/dbraw/zinc/04/60/59/653046059.db2.gz RLBGSWAUYXQWNW-PWSUYJOCSA-N -1 1 347.423 -0.209 20 0 EBADMM Cc1ncn(C)c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1C ZINC000942153721 653046293 /nfs/dbraw/zinc/04/62/93/653046293.db2.gz RLBGSWAUYXQWNW-JQWIXIFHSA-N -1 1 347.423 -0.209 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC=CC1 ZINC000939819095 653053154 /nfs/dbraw/zinc/05/31/54/653053154.db2.gz HVXVSOIOSIIDJK-LLVKDONJSA-N -1 1 342.359 -0.286 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)c1c[nH]c(=O)cn1 ZINC000942294950 653075704 /nfs/dbraw/zinc/07/57/04/653075704.db2.gz OEXRJYNBZSLSRP-SECBINFHSA-N -1 1 329.316 -0.485 20 0 EBADMM Cc1nc(CN2CC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)[C@@H](C)C2)n[nH]1 ZINC000942303093 653078055 /nfs/dbraw/zinc/07/80/55/653078055.db2.gz KWVJLCQJZSNJEJ-CBAPKCEASA-N -1 1 348.367 -0.650 20 0 EBADMM CN1C[C@H](C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)NC1=O ZINC000940130292 653084510 /nfs/dbraw/zinc/08/45/10/653084510.db2.gz KQUIXGRFHQQGIB-NXEZZACHSA-N -1 1 333.348 -0.859 20 0 EBADMM O=C(C[C@H]1CC(=O)NC1=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940130682 653084634 /nfs/dbraw/zinc/08/46/34/653084634.db2.gz SKVKZTINTNYDCT-NXEZZACHSA-N -1 1 346.343 -0.829 20 0 EBADMM O=C(C[C@H]1CCC(=O)N1)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940131625 653085178 /nfs/dbraw/zinc/08/51/78/653085178.db2.gz GWVUNPLHXBVYGH-GHMZBOCLSA-N -1 1 332.360 -0.213 20 0 EBADMM Cn1cc(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)[nH]c1=O ZINC000940139078 653085338 /nfs/dbraw/zinc/08/53/38/653085338.db2.gz PMUBODQRLCDHCC-SECBINFHSA-N -1 1 331.332 -0.129 20 0 EBADMM NC(=O)[C@@H]1CC[C@H](C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)O1 ZINC000942422435 653095838 /nfs/dbraw/zinc/09/58/38/653095838.db2.gz SVMBWVXNGUKXEL-ADEWGFFLSA-N -1 1 348.359 -0.849 20 0 EBADMM Cn1cc(C(=O)NC2CCN(C(=O)c3ncccc3[O-])CC2)nn1 ZINC000946533289 653144458 /nfs/dbraw/zinc/14/44/58/653144458.db2.gz QEQIVBXPMOZBBQ-UHFFFAOYSA-N -1 1 330.348 -0.050 20 0 EBADMM CCCC(=O)N1CCO[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000944682262 653152416 /nfs/dbraw/zinc/15/24/16/653152416.db2.gz OHPSPYZTKNMIHN-SNVBAGLBSA-N -1 1 348.363 -0.825 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1)c1ncccn1 ZINC000944743053 653232983 /nfs/dbraw/zinc/23/29/83/653232983.db2.gz XLLSUDAVUUXASK-NSHDSACASA-N -1 1 343.343 -0.152 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@@H]3CCOC3)C(C)(C)C2)nc1=O ZINC000940611381 653243584 /nfs/dbraw/zinc/24/35/84/653243584.db2.gz YBCOSYMRYLAZPM-VXGBXAGGSA-N -1 1 337.424 -0.138 20 0 EBADMM CCN(C(=O)c1cc(=O)n2[n-]cnc2n1)[C@@H]1CCN(C(=O)C2CC2)C1 ZINC000942877247 653247766 /nfs/dbraw/zinc/24/77/66/653247766.db2.gz RZSOTGYWBPXVLK-LLVKDONJSA-N -1 1 344.375 -0.109 20 0 EBADMM CCN(C(=O)[C@H]1CNC(=O)N1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000942950908 653273145 /nfs/dbraw/zinc/27/31/45/653273145.db2.gz SSRLQQKRLFWRDA-GHMZBOCLSA-N -1 1 347.375 -0.468 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)Cn2cccn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947053506 653301636 /nfs/dbraw/zinc/30/16/36/653301636.db2.gz ZWOMUEPRHJCGJP-RYUDHWBXSA-N -1 1 333.396 -0.526 20 0 EBADMM Cc1ncncc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H](C)C1 ZINC000947085681 653308109 /nfs/dbraw/zinc/30/81/09/653308109.db2.gz XJXFVJZRRNGPLF-JQWIXIFHSA-N -1 1 345.407 -0.010 20 0 EBADMM C[C@H]1C[C@H](NC(=O)Cc2cc[nH]n2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947148562 653327620 /nfs/dbraw/zinc/32/76/20/653327620.db2.gz QVSFVKRRETXRNP-WDEREUQCSA-N -1 1 333.396 -0.457 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)Cc2cc[nH]n2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947148559 653327667 /nfs/dbraw/zinc/32/76/67/653327667.db2.gz QVSFVKRRETXRNP-GHMZBOCLSA-N -1 1 333.396 -0.457 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2ncn(C)n2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947277821 653354670 /nfs/dbraw/zinc/35/46/70/653354670.db2.gz UJUFFLIYTUZZIA-VHSXEESVSA-N -1 1 334.384 -0.980 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)Cc2ccn(C)n2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947303832 653363292 /nfs/dbraw/zinc/36/32/92/653363292.db2.gz APRVJCOAEDBTHQ-VXGBXAGGSA-N -1 1 347.423 -0.446 20 0 EBADMM O=C(Cc1ccc(=O)[nH]c1)N1CCC(NC(=O)c2cnn[nH]2)CC1 ZINC000947460278 653407345 /nfs/dbraw/zinc/40/73/45/653407345.db2.gz WFPIYJIRBZONGC-UHFFFAOYSA-N -1 1 330.348 -0.131 20 0 EBADMM Cn1ncc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)n1 ZINC000941097486 653411567 /nfs/dbraw/zinc/41/15/67/653411567.db2.gz OYCXLWRUQRKMRX-NSHDSACASA-N -1 1 348.411 -0.733 20 0 EBADMM CC1(C(=O)NC2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC2)CC1 ZINC000947498360 653415381 /nfs/dbraw/zinc/41/53/81/653415381.db2.gz ZPGUGFULRGCACJ-UHFFFAOYSA-N -1 1 344.375 -0.062 20 0 EBADMM Cc1nnccc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@H](C)C1 ZINC000947502361 653417286 /nfs/dbraw/zinc/41/72/86/653417286.db2.gz NLEDWMHBYXMHPD-ZYHUDNBSSA-N -1 1 345.407 -0.010 20 0 EBADMM Cc1[nH+]ccn1CC(=O)N1CC[C@@H](NC(=O)c2cnc[nH]c2=O)C1 ZINC000941172071 653440787 /nfs/dbraw/zinc/44/07/87/653440787.db2.gz BAWTWUSNDVAGJO-LLVKDONJSA-N -1 1 330.348 -0.282 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1ccncn1 ZINC000943716916 653458485 /nfs/dbraw/zinc/45/84/85/653458485.db2.gz GFGSEMTUMOMALL-WDEREUQCSA-N -1 1 331.380 -0.461 20 0 EBADMM Cc1nonc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000941251584 653469602 /nfs/dbraw/zinc/46/96/02/653469602.db2.gz ZAKJSKUVWRZINK-SNVBAGLBSA-N -1 1 349.395 -0.170 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CCC(NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000943826401 653478746 /nfs/dbraw/zinc/47/87/46/653478746.db2.gz NXZCLLHNUUJGLH-ONGXEEELSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(Cn1cnnn1)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000943855893 653481689 /nfs/dbraw/zinc/48/16/89/653481689.db2.gz WEQORUMGYGAGCG-SNVBAGLBSA-N -1 1 331.336 -0.805 20 0 EBADMM CC(=O)N1CCC[C@@H]([C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000945550084 653487836 /nfs/dbraw/zinc/48/78/36/653487836.db2.gz ORSSUADLNFYBRX-MWLCHTKSSA-N -1 1 332.364 -0.206 20 0 EBADMM CS(=O)(=O)CC(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000943897179 653488807 /nfs/dbraw/zinc/48/88/07/653488807.db2.gz CKOIAQGAYONAJB-SNVBAGLBSA-N -1 1 341.389 -0.447 20 0 EBADMM CC(=O)N[C@@H](C)[C@@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000945656824 653495285 /nfs/dbraw/zinc/49/52/85/653495285.db2.gz IVVLNPAKLXYKCC-WCQYABFASA-N -1 1 336.392 -0.310 20 0 EBADMM CCC(=O)N1CC[C@@H]2[C@H](CCN2C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000945816256 653509888 /nfs/dbraw/zinc/50/98/88/653509888.db2.gz SREQTBUVRWWNSM-ZYHUDNBSSA-N -1 1 344.375 -0.109 20 0 EBADMM O=C(NC1CCN(C(=O)[C@@H]2CNC(=O)N2)CC1)c1ncccc1[O-] ZINC000948056058 653516888 /nfs/dbraw/zinc/51/68/88/653516888.db2.gz MIPOORQXOHQALL-JTQLQIEISA-N -1 1 333.348 -0.811 20 0 EBADMM Cc1nonc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1C ZINC000945945630 653521646 /nfs/dbraw/zinc/52/16/46/653521646.db2.gz XKLUAXRCPZTDKQ-WCBMZHEXSA-N -1 1 335.368 -0.560 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)CN1CCOCC1 ZINC000948618688 653562901 /nfs/dbraw/zinc/56/29/01/653562901.db2.gz ATAGJFQEXFFMOF-CHWSQXEVSA-N -1 1 348.403 -0.304 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1cn(C)nn1 ZINC000948630028 653564238 /nfs/dbraw/zinc/56/42/38/653564238.db2.gz HWIOHAHBFKWIKC-NXEZZACHSA-N -1 1 330.348 -0.194 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1cnn(C)n1 ZINC000948630294 653564383 /nfs/dbraw/zinc/56/43/83/653564383.db2.gz XIDGDVPBAWIUJC-MWLCHTKSSA-N -1 1 330.348 -0.194 20 0 EBADMM O=C(NCC1CCN(C(=O)c2ccn[nH]2)CC1)c1n[nH]c(=O)[n-]c1=O ZINC000948818606 653573907 /nfs/dbraw/zinc/57/39/07/653573907.db2.gz UZLJZUZIPJJDGK-UHFFFAOYSA-N -1 1 347.335 -0.712 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)Cc3ccc(F)cn3)CC2)nc1=O ZINC000948921271 653582381 /nfs/dbraw/zinc/58/23/81/653582381.db2.gz HUQVHWAGIIPVCV-UHFFFAOYSA-N -1 1 334.355 -0.471 20 0 EBADMM O=C(NC[C@@H]1c2ccccc2CCN1CCO)c1n[nH]c(=O)[n-]c1=O ZINC000948935319 653583358 /nfs/dbraw/zinc/58/33/58/653583358.db2.gz FLGJGDGGOMGZJO-GFCCVEGCSA-N -1 1 345.359 -0.396 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cccc4ncnn43)CC2)nc1=O ZINC000949134373 653807432 /nfs/dbraw/zinc/80/74/32/653807432.db2.gz PEDIEAJRNNTFAK-UHFFFAOYSA-N -1 1 342.363 -0.891 20 0 EBADMM CN1CCOC[C@@H]1C(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC000949478285 653902252 /nfs/dbraw/zinc/90/22/52/653902252.db2.gz SCJRKYMUYHVKGO-VXGBXAGGSA-N -1 1 334.376 -0.552 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N1CCC(CNC(=O)c2ncccc2[O-])CC1 ZINC000949489915 653907997 /nfs/dbraw/zinc/90/79/97/653907997.db2.gz HDCJHFIWBLMNOJ-NEPJUHHUSA-N -1 1 346.387 -0.123 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1ccc(=O)[nH]n1)c1ncccc1[O-] ZINC000949531122 653921233 /nfs/dbraw/zinc/92/12/33/653921233.db2.gz NBRWZVSMPLPNFE-VIFPVBQESA-N -1 1 329.316 -0.073 20 0 EBADMM Cn1cc(CCC(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])nn1 ZINC000949541311 653923941 /nfs/dbraw/zinc/92/39/41/653923941.db2.gz FAIRQGOOJZLKSA-LBPRGKRZSA-N -1 1 344.375 -0.121 20 0 EBADMM Cn1cc(OCC(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])cn1 ZINC000949538630 653924083 /nfs/dbraw/zinc/92/40/83/653924083.db2.gz NRQCNAAVICVPFH-LLVKDONJSA-N -1 1 345.359 -0.070 20 0 EBADMM CN1C(=O)CC[C@@H]1C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949542215 653924358 /nfs/dbraw/zinc/92/43/58/653924358.db2.gz ZDHJAKTVBBOTHT-WDEREUQCSA-N -1 1 332.360 -0.261 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])cc1=O ZINC000949541676 653924680 /nfs/dbraw/zinc/92/46/80/653924680.db2.gz NHHAUDCZSSYQIA-JTQLQIEISA-N -1 1 343.343 -0.475 20 0 EBADMM O=C(N[C@@H]1CCCN(C(=O)C2CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000949634575 653940381 /nfs/dbraw/zinc/94/03/81/653940381.db2.gz TUECHMMPKHKFIX-SNVBAGLBSA-N -1 1 330.348 -0.452 20 0 EBADMM CCCC(=O)N1CCC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000949742411 653962217 /nfs/dbraw/zinc/96/22/17/653962217.db2.gz GEEZMWJEPXPJRJ-SNVBAGLBSA-N -1 1 332.364 -0.062 20 0 EBADMM NC(=O)c1cc(C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])c[nH]1 ZINC000950095952 654035616 /nfs/dbraw/zinc/03/56/16/654035616.db2.gz IOJBVAVDHRKBCG-SNVBAGLBSA-N -1 1 343.343 -0.141 20 0 EBADMM NC(=O)c1cc(C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])c[nH]1 ZINC000950095951 654035724 /nfs/dbraw/zinc/03/57/24/654035724.db2.gz IOJBVAVDHRKBCG-JTQLQIEISA-N -1 1 343.343 -0.141 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@H](NC(=O)C(F)F)C1 ZINC000951409032 654118658 /nfs/dbraw/zinc/11/86/58/654118658.db2.gz YWVKUCVDMYSILQ-LURJTMIESA-N -1 1 346.290 -0.118 20 0 EBADMM CCN1C[C@H](C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])CC1=O ZINC000950405189 654121161 /nfs/dbraw/zinc/12/11/61/654121161.db2.gz WANVAEULCDAXOP-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM CCN1C[C@H](C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])CC1=O ZINC000950405192 654121448 /nfs/dbraw/zinc/12/14/48/654121448.db2.gz WANVAEULCDAXOP-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)[C@@H]3CCCc4nc[nH]c43)CC2)nc1=O ZINC000950433416 654131395 /nfs/dbraw/zinc/13/13/95/654131395.db2.gz DPHQRTWWXOVDEZ-LLVKDONJSA-N -1 1 345.407 -0.404 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1ncccc1[O-])c1cn[nH]c(=O)c1 ZINC000950526840 654165063 /nfs/dbraw/zinc/16/50/63/654165063.db2.gz HMELSOKKOZYHQC-JTQLQIEISA-N -1 1 329.316 -0.073 20 0 EBADMM O=C(N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CNC(=O)N1 ZINC000950542594 654173125 /nfs/dbraw/zinc/17/31/25/654173125.db2.gz FKGGZNTTYSORSR-UWVGGRQHSA-N -1 1 333.348 -0.811 20 0 EBADMM Cn1cc(C(=O)N[C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)nn1 ZINC000950543127 654173150 /nfs/dbraw/zinc/17/31/50/654173150.db2.gz RHPBZSMTCOFMSG-SNVBAGLBSA-N -1 1 330.348 -0.050 20 0 EBADMM O=C(N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCNC1=O ZINC000950546648 654174896 /nfs/dbraw/zinc/17/48/96/654174896.db2.gz SAFWDBDVNRSMDZ-WDEREUQCSA-N -1 1 332.360 -0.356 20 0 EBADMM CN1C(=O)CC[C@H]1C(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC000951602592 654208549 /nfs/dbraw/zinc/20/85/49/654208549.db2.gz OKYHJURHRJAKCF-MNOVXSKESA-N -1 1 332.360 -0.261 20 0 EBADMM CCN(C(=O)[C@H]1CC[C@H](OC)C1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950649040 654208676 /nfs/dbraw/zinc/20/86/76/654208676.db2.gz DMLLFGTWHINAKQ-AAEUAGOBSA-N -1 1 337.424 -0.044 20 0 EBADMM CN1CC[C@H](C(=O)N2CCC[C@H](NC(=O)c3ncccc3[O-])C2)C1=O ZINC000951692299 654250050 /nfs/dbraw/zinc/25/00/50/654250050.db2.gz XRKHEAIVWOZITO-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM CCN(C(=O)c1cccc(=O)n1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000951722779 654257637 /nfs/dbraw/zinc/25/76/37/654257637.db2.gz MIQXDYNSUXLOIO-UHFFFAOYSA-N -1 1 346.391 -0.846 20 0 EBADMM Cc1nocc1CN1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000951777617 654278903 /nfs/dbraw/zinc/27/89/03/654278903.db2.gz YBDHEARXWGLGBK-UHFFFAOYSA-N -1 1 347.375 -0.432 20 0 EBADMM CCn1nc(C)c(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c1C ZINC000951781183 654280533 /nfs/dbraw/zinc/28/05/33/654280533.db2.gz MSSBCOKVGFLRRW-UHFFFAOYSA-N -1 1 347.423 -0.100 20 0 EBADMM O=C(CCn1cnnn1)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000951805336 654289384 /nfs/dbraw/zinc/28/93/84/654289384.db2.gz GGMSBWZCWRTGHY-LLVKDONJSA-N -1 1 345.363 -0.415 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCN1C(=O)c1cn[nH]c1 ZINC000951222248 654423176 /nfs/dbraw/zinc/42/31/76/654423176.db2.gz IKWNPPULTQIJDE-QMMMGPOBSA-N -1 1 348.319 -0.736 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCN1C(=O)c1ccc[nH]1 ZINC000951222278 654423995 /nfs/dbraw/zinc/42/39/95/654423995.db2.gz JBAQIYUCJWAAGT-QMMMGPOBSA-N -1 1 347.331 -0.131 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCN1C(=O)c1ccon1 ZINC000951223051 654425019 /nfs/dbraw/zinc/42/50/19/654425019.db2.gz XLWPVVYHWSMZJN-SSDOTTSWSA-N -1 1 349.303 -0.471 20 0 EBADMM CC[C@@H](F)C(=O)N1CC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951224758 654425317 /nfs/dbraw/zinc/42/53/17/654425317.db2.gz NBYPLLJTBHBFLQ-RKDXNWHRSA-N -1 1 336.327 -0.504 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)[C@H]1CC12CC2)c1cc(=O)n2[n-]cnc2n1 ZINC000951224651 654425368 /nfs/dbraw/zinc/42/53/68/654425368.db2.gz KENKMTDEHMWBMS-NXEZZACHSA-N -1 1 342.359 -0.452 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951224436 654425790 /nfs/dbraw/zinc/42/57/90/654425790.db2.gz FEQNORYSNQACTA-OPRDCNLKSA-N -1 1 330.348 -0.596 20 0 EBADMM NC(=O)C(=O)N1CCC([C@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC000952305186 654438287 /nfs/dbraw/zinc/43/82/87/654438287.db2.gz KSNVRKBMEYGJMV-LBPRGKRZSA-N -1 1 346.387 -0.027 20 0 EBADMM NC(=O)C(=O)NC1C[C@H]2CCC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000952421355 654456687 /nfs/dbraw/zinc/45/66/87/654456687.db2.gz ZLJPEXLAUBAKNK-FGWVZKOKSA-N -1 1 332.360 -0.086 20 0 EBADMM NC(=O)CC(=O)N1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000952529184 654470907 /nfs/dbraw/zinc/47/09/07/654470907.db2.gz MCOMYSQHRNIICO-MNOVXSKESA-N -1 1 332.360 -0.132 20 0 EBADMM CCC(=O)N1C[C@H]2CCC[C@@H](C1)N2C(=O)CCn1cc[n-]c(=O)c1=O ZINC000952624581 654485703 /nfs/dbraw/zinc/48/57/03/654485703.db2.gz FXVJHVWUFKEDNA-BETUJISGSA-N -1 1 348.403 -0.071 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H]2CCN(C(C)=O)[C@@H]2C1 ZINC000953372172 654567881 /nfs/dbraw/zinc/56/78/81/654567881.db2.gz HXRSZRTYFYMWSV-NXEZZACHSA-N -1 1 336.348 -0.021 20 0 EBADMM CCC(=O)N1CC[C@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@@H]21 ZINC000953378743 654569155 /nfs/dbraw/zinc/56/91/55/654569155.db2.gz MKOWAKOFIAUJDP-OLZOCXBDSA-N -1 1 348.403 -0.214 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(N(C)C(=O)CC2CC2)C1 ZINC000953497374 654592339 /nfs/dbraw/zinc/59/23/39/654592339.db2.gz ZHRAHMLERUBFFM-UHFFFAOYSA-N -1 1 336.348 -0.021 20 0 EBADMM CN(C(=O)c1ccc[nH]1)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000953716160 654615487 /nfs/dbraw/zinc/61/54/87/654615487.db2.gz KJTQDBSROAGRFA-UHFFFAOYSA-N -1 1 341.331 -0.658 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cc1cc[nH]n1 ZINC000965762015 724484598 /nfs/dbraw/zinc/48/45/98/724484598.db2.gz KISVJGMVFZZFDK-CMPLNLGQSA-N -1 1 333.396 -0.599 20 0 EBADMM Cc1c(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)nnn1C ZINC000965829392 724527017 /nfs/dbraw/zinc/52/70/17/724527017.db2.gz OVOIXCFWQQTPJA-ONGXEEELSA-N -1 1 348.411 -0.814 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C1=CCOCC1 ZINC000965937246 724543536 /nfs/dbraw/zinc/54/35/36/724543536.db2.gz ZWXITEZIDWCIQF-WCQYABFASA-N -1 1 335.408 -0.218 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cc1cncnc1 ZINC000965962608 724549718 /nfs/dbraw/zinc/54/97/18/724549718.db2.gz CKJNLFMFUPIRDP-DGCLKSJQSA-N -1 1 345.407 -0.532 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CN(C(=O)C2CC2)C[C@@H]1C ZINC000966019820 724559929 /nfs/dbraw/zinc/55/99/29/724559929.db2.gz FXKJNQONYLLOBY-CBAPKCEASA-N -1 1 336.348 -0.117 20 0 EBADMM C[C@@H]1CN(C(=O)CC2CC2)C[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000966041769 724561221 /nfs/dbraw/zinc/56/12/21/724561221.db2.gz FXJFBFTVBHYOGH-SKDRFNHKSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@H]1CN(C(=O)c2ccn[nH]2)C[C@@H]1NC(=O)c1cnc([O-])n(C)c1=O ZINC000966179483 724583337 /nfs/dbraw/zinc/58/33/37/724583337.db2.gz MQLZWXFQWBLEQO-KWQFWETISA-N -1 1 346.347 -0.901 20 0 EBADMM CCNC(=O)C[N-]S(=O)(=O)c1nc(C)n(C)c1Br ZINC000385621171 719413477 /nfs/dbraw/zinc/41/34/77/719413477.db2.gz QHAWCRFAKHRBHC-UHFFFAOYSA-N -1 1 339.215 -0.095 20 0 EBADMM Cn1nnc(CNS(=O)(=O)c2cc(C(=O)[O-])cc(F)c2F)n1 ZINC000398096115 719415703 /nfs/dbraw/zinc/41/57/03/719415703.db2.gz QTMQKSWPPBVKAF-UHFFFAOYSA-N -1 1 333.276 -0.335 20 0 EBADMM CC(=O)N[C@H]1CCCN(C(=O)CN2CC[C@H](CNC(=O)[O-])C2)C1 ZINC000738544222 719419167 /nfs/dbraw/zinc/41/91/67/719419167.db2.gz XGWZUZZOVOKJAU-OLZOCXBDSA-N -1 1 326.397 -0.297 20 0 EBADMM Cn1c(=O)cc(N2CC[C@](C)([N-]C(=O)C(F)(F)F)C2)n(C)c1=O ZINC000866283560 719421120 /nfs/dbraw/zinc/42/11/20/719421120.db2.gz XDAJBOTWLZYSQU-LBPRGKRZSA-N -1 1 334.298 -0.269 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CCN(C(=O)CCc3c[nH]nn3)C2)c1[O-] ZINC000936112564 719486713 /nfs/dbraw/zinc/48/67/13/719486713.db2.gz XGXFFJUGBXPWCH-SNVBAGLBSA-N -1 1 333.352 -0.495 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)C[C@H]2CCC(=O)N2)C1 ZINC000954124110 719569262 /nfs/dbraw/zinc/56/92/62/719569262.db2.gz LUGAZPADUNVKFX-SNVBAGLBSA-N -1 1 332.360 -0.261 20 0 EBADMM COC(=O)[C@H]1C[C@H]1C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954124044 719569450 /nfs/dbraw/zinc/56/94/50/719569450.db2.gz JIQAOZBSENGMDV-MNOVXSKESA-N -1 1 333.344 -0.121 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)CCc2cn(C)nn2)C1 ZINC000954127755 719570913 /nfs/dbraw/zinc/57/09/13/719570913.db2.gz FLTXMZVTDSSCKP-UHFFFAOYSA-N -1 1 344.375 -0.169 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CCCNC2=O)C1 ZINC000954128091 719571436 /nfs/dbraw/zinc/57/14/36/719571436.db2.gz RFODRMFYVBMRFZ-LLVKDONJSA-N -1 1 332.360 -0.404 20 0 EBADMM CCN1C[C@H](C(=O)N(C)C2CN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC000954487760 719741781 /nfs/dbraw/zinc/74/17/81/719741781.db2.gz XHKSXTHORUJVJY-LLVKDONJSA-N -1 1 346.387 -0.062 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1cnn(C)c1N ZINC000966341683 724618191 /nfs/dbraw/zinc/61/81/91/724618191.db2.gz BFMPUPWLDMQVHH-GXSJLCMTSA-N -1 1 344.375 -0.007 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)c1cnn(C)c1N ZINC000966341689 724618346 /nfs/dbraw/zinc/61/83/46/724618346.db2.gz BFMPUPWLDMQVHH-ONGXEEELSA-N -1 1 344.375 -0.007 20 0 EBADMM CCN1CCOC[C@@H]1C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954684078 719838538 /nfs/dbraw/zinc/83/85/38/719838538.db2.gz PSGZGHSHBUUQHK-CYBMUJFWSA-N -1 1 348.403 -0.209 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C1CN(C(=O)[C@H]2CC23CC3)C1 ZINC000954829475 719925176 /nfs/dbraw/zinc/92/51/76/719925176.db2.gz YEQQJYAEBPBOLS-SECBINFHSA-N -1 1 348.359 -0.021 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)C2=CCCC2)C1 ZINC000954831761 719925995 /nfs/dbraw/zinc/92/59/95/719925995.db2.gz LFENKBXWAXMXFW-UHFFFAOYSA-N -1 1 342.359 -0.189 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(N(C)C(=O)[C@@H]2CC23CC3)C1 ZINC000955430168 720173782 /nfs/dbraw/zinc/17/37/82/720173782.db2.gz DUZTWNMEGRTRJF-VIFPVBQESA-N -1 1 348.359 -0.021 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN1C(=O)C1CC1 ZINC000955464873 720179439 /nfs/dbraw/zinc/17/94/39/720179439.db2.gz LFFJJAIDXOYMQR-GXSJLCMTSA-N -1 1 344.375 -0.063 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)Cn1cnnn1 ZINC000955535602 720186941 /nfs/dbraw/zinc/18/69/41/720186941.db2.gz JQWAPMHOXSLKPJ-QWRGUYRKSA-N -1 1 345.363 -0.417 20 0 EBADMM C[C@H]1C[C@H](NC(=O)Cn2ncnn2)CCN1C(=O)c1ncccc1[O-] ZINC000955648933 720209753 /nfs/dbraw/zinc/20/97/53/720209753.db2.gz HIZAVRNDUBEHLM-WDEREUQCSA-N -1 1 345.363 -0.417 20 0 EBADMM CN1C[C@H](C(=O)NC[C@@H]2CCCN2C(=O)c2ncccc2[O-])NC1=O ZINC000955980040 720315595 /nfs/dbraw/zinc/31/55/95/720315595.db2.gz XQVUJPBHRXDCST-WDEREUQCSA-N -1 1 347.375 -0.468 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)NC[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000955979057 720315698 /nfs/dbraw/zinc/31/56/98/720315698.db2.gz WNAJSEVTSAKDKE-AXFHLTTASA-N -1 1 332.360 -0.371 20 0 EBADMM O=C(Cn1ncnn1)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000956026558 720333473 /nfs/dbraw/zinc/33/34/73/720333473.db2.gz UMLVFIUVBOOJEL-JTQLQIEISA-N -1 1 331.336 -0.805 20 0 EBADMM CC1(NC(=O)[C@@H]2CNC(=O)N2)CCN(C(=O)c2ncccc2[O-])CC1 ZINC000956473761 720435696 /nfs/dbraw/zinc/43/56/96/720435696.db2.gz RSLUBYZKJNBQDJ-JTQLQIEISA-N -1 1 347.375 -0.421 20 0 EBADMM CC(C)C(=O)N[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1C ZINC000966588643 724690863 /nfs/dbraw/zinc/69/08/63/724690863.db2.gz BZVFTLNOAZNPIJ-NEPJUHHUSA-N -1 1 336.392 -0.454 20 0 EBADMM C[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H]1NC(=O)C(F)F ZINC000966591040 724691492 /nfs/dbraw/zinc/69/14/92/724691492.db2.gz HSFGCCRRHORDIH-IUCAKERBSA-N -1 1 344.318 -0.845 20 0 EBADMM CC(C)C(=O)N[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1C ZINC000966605600 724696149 /nfs/dbraw/zinc/69/61/49/724696149.db2.gz AOQSSEMHDFRXKI-ONGXEEELSA-N -1 1 332.364 -0.350 20 0 EBADMM C[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1NC(=O)C1(C)CC1 ZINC000966606614 724696679 /nfs/dbraw/zinc/69/66/79/724696679.db2.gz CKBDZASAHVCVHX-KOLCDFICSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(Cc1cncs1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000966726053 724729225 /nfs/dbraw/zinc/72/92/25/724729225.db2.gz AMGSTQKEOPTSSL-PWSUYJOCSA-N -1 1 348.384 -0.212 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H]1CN(C(=O)C(N)=O)C[C@H]1C ZINC000966766577 724747093 /nfs/dbraw/zinc/74/70/93/724747093.db2.gz VYPPMPOUOPVHQD-SCZZXKLOSA-N -1 1 349.391 -0.324 20 0 EBADMM Cc1ncn(C)c1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1C ZINC000966879937 724774452 /nfs/dbraw/zinc/77/44/52/724774452.db2.gz RPOCLQSJIFCHAG-CMPLNLGQSA-N -1 1 347.423 -0.209 20 0 EBADMM Cc1ncn(C)c1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1C ZINC000966879940 724774486 /nfs/dbraw/zinc/77/44/86/724774486.db2.gz RPOCLQSJIFCHAG-ZYHUDNBSSA-N -1 1 347.423 -0.209 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1C ZINC000966885232 724775316 /nfs/dbraw/zinc/77/53/16/724775316.db2.gz YZHKHZCHBGRQEB-WPRPVWTQSA-N -1 1 349.395 -0.514 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cnc[nH]c1=O ZINC000966896585 724778438 /nfs/dbraw/zinc/77/84/38/724778438.db2.gz UGPSXDDAQUSBJM-GXSJLCMTSA-N -1 1 347.379 -0.756 20 0 EBADMM CN1CCOC[C@@H]1C(=O)N[C@@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC000956838738 722115819 /nfs/dbraw/zinc/11/58/19/722115819.db2.gz KLJXOOJFAFGQMH-PXAZEXFGSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)Cn2cnnn2)C1 ZINC000956848690 722119040 /nfs/dbraw/zinc/11/90/40/722119040.db2.gz DPPKJFGZLJLVBG-CQSZACIVSA-N -1 1 331.336 -0.805 20 0 EBADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)CS(C)(=O)=O)C1 ZINC000956849739 722119432 /nfs/dbraw/zinc/11/94/32/722119432.db2.gz XQEWJRHMNNWCMH-CQSZACIVSA-N -1 1 341.389 -0.447 20 0 EBADMM Cn1ncc(C(=O)N2CC[C@](C)(NC(=O)c3ncccc3[O-])C2)n1 ZINC000956849696 722119490 /nfs/dbraw/zinc/11/94/90/722119490.db2.gz XCRKRMYPWKTVFE-HNNXBMFYSA-N -1 1 330.348 -0.050 20 0 EBADMM CCN(C(=O)c1cn(CC)cn1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000957283063 722189306 /nfs/dbraw/zinc/18/93/06/722189306.db2.gz HNOZSYZQCVCGFL-UHFFFAOYSA-N -1 1 333.396 -0.329 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(CCn2cccn2)CC1 ZINC000957315046 722193610 /nfs/dbraw/zinc/19/36/10/722193610.db2.gz VAZUAHGQQNIVRU-UHFFFAOYSA-N -1 1 348.363 -0.449 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCN(Cc2ccccn2)CC1 ZINC000957315081 722193729 /nfs/dbraw/zinc/19/37/29/722193729.db2.gz WHSBWDACXDUGGF-UHFFFAOYSA-N -1 1 339.359 -0.229 20 0 EBADMM Cc1ccc(CC(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cn1 ZINC000957665068 722232075 /nfs/dbraw/zinc/23/20/75/722232075.db2.gz CSLKOEKRPYEERM-UHFFFAOYSA-N -1 1 330.392 -0.301 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2CCN(C)C2=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000957879927 722256622 /nfs/dbraw/zinc/25/66/22/722256622.db2.gz QYJMQINLHILEBQ-GRYCIOLGSA-N -1 1 346.387 -0.158 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2CN(Cc3conc3C)C[C@@H]2O)c1[O-] ZINC000957975124 722280008 /nfs/dbraw/zinc/28/00/08/722280008.db2.gz MWAKZPHCJLIZIR-PWSUYJOCSA-N -1 1 335.364 -0.057 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2CN(Cc3cnoc3C)C[C@@H]2O)c1[O-] ZINC000957976310 722280391 /nfs/dbraw/zinc/28/03/91/722280391.db2.gz WONFTSBPLFHZDP-NEPJUHHUSA-N -1 1 335.364 -0.057 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)co1 ZINC000958015325 722289199 /nfs/dbraw/zinc/28/91/99/722289199.db2.gz KNTMAPIFVNVBRT-RYUDHWBXSA-N -1 1 335.364 -0.767 20 0 EBADMM O=C(NC[C@H]1C[N@@H+](Cc2cncc(F)c2)C[C@H]1O)c1cnc[nH]c1=O ZINC000958167831 722317269 /nfs/dbraw/zinc/31/72/69/722317269.db2.gz QMZBDYGOXUJVMM-SMDDNHRTSA-N -1 1 347.350 -0.061 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(Cc3cc(=O)n4[n-]ccc4n3)C[C@H]21)c1ccn[nH]1 ZINC000958297672 722340117 /nfs/dbraw/zinc/34/01/17/722340117.db2.gz LASRZNYIRZIAIQ-HBYGRHMLSA-N -1 1 339.359 -0.394 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CN(C(=O)C(N)=O)C[C@H]1C ZINC000958341853 722349126 /nfs/dbraw/zinc/34/91/26/722349126.db2.gz VYPPMPOUOPVHQD-PSASIEDQSA-N -1 1 349.391 -0.324 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c(C)[nH]1 ZINC000958444934 722367142 /nfs/dbraw/zinc/36/71/42/722367142.db2.gz UGQMNLSSRTVCBF-DGCLKSJQSA-N -1 1 348.407 -0.724 20 0 EBADMM C[C@H](C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)n1cccc1 ZINC000958586957 722396182 /nfs/dbraw/zinc/39/61/82/722396182.db2.gz MXFCBADYWOPPHW-NMKXLXIOSA-N -1 1 330.392 -0.283 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ccc(F)cn2)nc1=O ZINC000958629654 722405520 /nfs/dbraw/zinc/40/55/20/722405520.db2.gz MDDFLVRRQATKMQ-IWIIMEHWSA-N -1 1 332.339 -0.497 20 0 EBADMM O=C(N[C@@H]1[C@H]2C[N@@H+](CCN3CCNC3=O)C[C@H]21)c1ncccc1O ZINC000958646084 722409261 /nfs/dbraw/zinc/40/92/61/722409261.db2.gz ASFBWYLLJHBNQS-PJXYFTJBSA-N -1 1 331.376 -0.528 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)C2=COCCO2)C[C@@H]1O ZINC000958830196 722603931 /nfs/dbraw/zinc/60/39/31/722603931.db2.gz JSDOWONPOZUGHQ-JQWIXIFHSA-N -1 1 349.343 -0.681 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)Cc2cnoc2)C[C@@H]1O ZINC000958830542 722604432 /nfs/dbraw/zinc/60/44/32/722604432.db2.gz YUPNJTLZYIUCBE-AAEUAGOBSA-N -1 1 346.343 -0.338 20 0 EBADMM COC1CC(C(=O)N2C[C@H](O)[C@@H](N(C)C(=O)c3ncccc3[O-])C2)C1 ZINC000958830853 722604775 /nfs/dbraw/zinc/60/47/75/722604775.db2.gz NCWGKTOUJTXNMT-BUCSNLDVSA-N -1 1 349.387 -0.144 20 0 EBADMM CCC(=O)N1CC[C@@H]([C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000959000572 722681955 /nfs/dbraw/zinc/68/19/55/722681955.db2.gz ZZIUFWQACXNSQG-NXEZZACHSA-N -1 1 332.364 -0.206 20 0 EBADMM CCC(=O)N1CC[C@@H]([C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000959000574 722681969 /nfs/dbraw/zinc/68/19/69/722681969.db2.gz ZZIUFWQACXNSQG-VHSXEESVSA-N -1 1 332.364 -0.206 20 0 EBADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)[C@@H]1CCN(C(=O)C2CC2)C1 ZINC000958992963 722681987 /nfs/dbraw/zinc/68/19/87/722681987.db2.gz DIXCBNKFFAJKJW-MWLCHTKSSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@H](NC(=O)C1CC1)[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000959208735 722698601 /nfs/dbraw/zinc/69/86/01/722698601.db2.gz RBGIUFNKBGDIOR-AAEUAGOBSA-N -1 1 348.403 -0.310 20 0 EBADMM O=C(Cn1nccn1)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001018962098 728756452 /nfs/dbraw/zinc/75/64/52/728756452.db2.gz FSFJOYRGMURDEM-UHFFFAOYSA-N -1 1 342.359 -0.247 20 0 EBADMM C[C@H]1CN(C(=O)Cn2ncnn2)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000959480057 722727715 /nfs/dbraw/zinc/72/77/15/722727715.db2.gz GHSAEKNSNDKBGE-WDEREUQCSA-N -1 1 345.363 -0.702 20 0 EBADMM CN1C[C@H](C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CC1=O ZINC000960023613 722784082 /nfs/dbraw/zinc/78/40/82/722784082.db2.gz HPBRJNJQZFNYEE-MNOVXSKESA-N -1 1 336.396 -0.541 20 0 EBADMM CO[C@@H](C)C(=O)N1CCO[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC000960090316 722791489 /nfs/dbraw/zinc/79/14/89/722791489.db2.gz HIAPJNSOZFBNRG-GMXVVIOVSA-N -1 1 335.360 -0.126 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C2C=CC=CC=C2)nc1=O ZINC000960116629 722795666 /nfs/dbraw/zinc/79/56/66/722795666.db2.gz KTYCHINXMCEYJU-NHAGDIPZSA-N -1 1 327.388 -0.047 20 0 EBADMM Cn1cc(CCC(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)nn1 ZINC000960229511 722808505 /nfs/dbraw/zinc/80/85/05/722808505.db2.gz BMFXMRPUMUCLLR-LBPRGKRZSA-N -1 1 348.411 -0.258 20 0 EBADMM CC(C)[C@@H](O)C(=O)N1CCO[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC000960324050 722818709 /nfs/dbraw/zinc/81/87/09/722818709.db2.gz DGGHKDIWYIEGRY-NJZAAPMLSA-N -1 1 349.387 -0.144 20 0 EBADMM CCc1c[nH]c(CC(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)n1 ZINC000960331921 722819531 /nfs/dbraw/zinc/81/95/31/722819531.db2.gz ZFMQSIHJAWMODS-HBYGRHMLSA-N -1 1 345.407 -0.817 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)CCn1cncn1 ZINC000960437031 722829512 /nfs/dbraw/zinc/82/95/12/722829512.db2.gz VUUSWMIOJKINHO-LBPRGKRZSA-N -1 1 348.411 -0.787 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)c1cn[nH]c(=O)c1 ZINC000960692789 722853944 /nfs/dbraw/zinc/85/39/44/722853944.db2.gz BQFMPRJGIIJPQM-NSHDSACASA-N -1 1 347.379 -0.659 20 0 EBADMM CCc1c(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)cnn1C ZINC000960763522 722862357 /nfs/dbraw/zinc/86/23/57/722862357.db2.gz FYECCMRSYUNSSO-YABSGUDNSA-N -1 1 345.407 -0.736 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)c1c[nH]c(=O)n1C ZINC000960801466 722866509 /nfs/dbraw/zinc/86/65/09/722866509.db2.gz LUSOELAHAAQNCI-JTQLQIEISA-N -1 1 349.395 -0.716 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC000960816111 722868140 /nfs/dbraw/zinc/86/81/40/722868140.db2.gz OCZSCXIFSCEDFN-NMKXLXIOSA-N -1 1 335.408 -0.576 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)c1ncccn1 ZINC000960947832 722881209 /nfs/dbraw/zinc/88/12/09/722881209.db2.gz AQXSOANRATTXOI-LLVKDONJSA-N -1 1 331.380 -0.365 20 0 EBADMM O=C(c1cnc2n[nH]cc2c1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019020737 728775222 /nfs/dbraw/zinc/77/52/22/728775222.db2.gz VPEIXNJAKBTIQB-JTQLQIEISA-N -1 1 328.336 -0.214 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C[C@H]2CC(C)(C)CO2)nc1=O ZINC000961016369 722888023 /nfs/dbraw/zinc/88/80/23/722888023.db2.gz NEKMCBXRZGTDNH-UUIJZJDISA-N -1 1 349.435 -0.140 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1cc[n+]([O-])cc1 ZINC000961356982 723009091 /nfs/dbraw/zinc/00/90/91/723009091.db2.gz QCKUGAKPDKWXDG-YPMHNXCESA-N -1 1 344.327 -0.964 20 0 EBADMM Cc1cncc(CC(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)c1 ZINC000961357380 723009121 /nfs/dbraw/zinc/00/91/21/723009121.db2.gz LLNBIFAFHKCCIB-VIKVFOODSA-N -1 1 342.403 -0.399 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@H]2CN(C(=O)[C@H]3CCNC3=O)C[C@H]2C1 ZINC000961426490 723017267 /nfs/dbraw/zinc/01/72/67/723017267.db2.gz BGXYFNKZZJLGIY-TUAOUCFPSA-N -1 1 344.371 -0.546 20 0 EBADMM Cc1cc(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2cnc([O-])n(C)c2=O)on1 ZINC000961470906 723024318 /nfs/dbraw/zinc/02/43/18/723024318.db2.gz UYTUHFUAHNOITK-ITGUQSILSA-N -1 1 345.359 -0.357 20 0 EBADMM Cn1nccc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000961838704 723096181 /nfs/dbraw/zinc/09/61/81/723096181.db2.gz JQINWYDZJRXVHF-SKDRFNHKSA-N -1 1 331.332 -0.864 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)C1=COCCC1 ZINC000961862419 723102751 /nfs/dbraw/zinc/10/27/51/723102751.db2.gz PVQBBPNGRVQPKN-YPMHNXCESA-N -1 1 333.344 -0.217 20 0 EBADMM Cc1nnc2ccc(C(=O)N3CC[C@H](NCc4n[nH]c(=O)[n-]4)C3)cn12 ZINC001019069739 728789164 /nfs/dbraw/zinc/78/91/64/728789164.db2.gz GBRFWMHVIWNFHT-NSHDSACASA-N -1 1 342.363 -0.134 20 0 EBADMM Cc1ncc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)[nH]1 ZINC000961902255 723112142 /nfs/dbraw/zinc/11/21/42/723112142.db2.gz TYAUWOSHHBRODH-PWSUYJOCSA-N -1 1 331.332 -0.566 20 0 EBADMM Cc1cnc([C@H](C)N[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)cn1 ZINC001019094986 728794986 /nfs/dbraw/zinc/79/49/86/728794986.db2.gz WDPVFBASBSSFBO-UWVGGRQHSA-N -1 1 345.363 -0.053 20 0 EBADMM COc1ccnc(CN[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)c1 ZINC001019094903 728795009 /nfs/dbraw/zinc/79/50/09/728795009.db2.gz RTAYTWTZRYJVHB-VIFPVBQESA-N -1 1 346.347 -0.309 20 0 EBADMM CC(C)n1cc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)nn1 ZINC000962160651 723184015 /nfs/dbraw/zinc/18/40/15/723184015.db2.gz XZZHODDTAHHGMC-IWIIMEHWSA-N -1 1 346.395 -0.859 20 0 EBADMM CC[C@@H](C(N)=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC000962276321 723213573 /nfs/dbraw/zinc/21/35/73/723213573.db2.gz BWMXAVDHYHGOLE-RBPQBLHMSA-N -1 1 345.359 -0.148 20 0 EBADMM CCn1cnc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)c1 ZINC000962313938 723224473 /nfs/dbraw/zinc/22/44/73/723224473.db2.gz VBKWQRZDLSPXNB-IWIIMEHWSA-N -1 1 331.380 -0.815 20 0 EBADMM C[C@H](C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)c1cccnc1 ZINC000962317889 723225653 /nfs/dbraw/zinc/22/56/53/723225653.db2.gz PWGMJVOZADLFMO-MUYACECFSA-N -1 1 342.403 -0.147 20 0 EBADMM CC(=O)N1CCC[C@H](C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001019111386 728800133 /nfs/dbraw/zinc/80/01/33/728800133.db2.gz NXZCRYMGIMCWTI-RYUDHWBXSA-N -1 1 336.396 -0.541 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ccnc(F)c2)C[C@@H]1O)c1ncccc1[O-] ZINC000962434321 723251156 /nfs/dbraw/zinc/25/11/56/723251156.db2.gz IDPWFJFJRSUFMC-PWSUYJOCSA-N -1 1 346.318 -0.063 20 0 EBADMM CCn1nncc1C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962434976 723251993 /nfs/dbraw/zinc/25/19/93/723251993.db2.gz NAGCHOKQYAGDFG-SKDRFNHKSA-N -1 1 346.347 -0.986 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)[C@@H]2CCCOC2)C[C@@H]1O)c1ncccc1[O-] ZINC000962435026 723252066 /nfs/dbraw/zinc/25/20/66/723252066.db2.gz NIOSVLZUYAXOQG-WZRBSPASSA-N -1 1 335.360 -0.485 20 0 EBADMM Cc1nc(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)c[nH]1 ZINC000962435301 723252346 /nfs/dbraw/zinc/25/23/46/723252346.db2.gz PBARTSOSVXEVRT-PWSUYJOCSA-N -1 1 331.332 -0.566 20 0 EBADMM Cc1nnsc1C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962435898 723252449 /nfs/dbraw/zinc/25/24/49/723252449.db2.gz WTXJJTRCWVDENG-SCZZXKLOSA-N -1 1 349.372 -0.438 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ccc(=O)[nH]c2)C[C@@H]1O)c1ncccc1[O-] ZINC000962436970 723252715 /nfs/dbraw/zinc/25/27/15/723252715.db2.gz MZUALTIPOYTCHQ-PWSUYJOCSA-N -1 1 344.327 -0.497 20 0 EBADMM CCc1ncoc1C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962436893 723253066 /nfs/dbraw/zinc/25/30/66/723253066.db2.gz JVDOEDKZASECLN-PWSUYJOCSA-N -1 1 346.343 -0.047 20 0 EBADMM Cc1cc(=O)c(C(=O)NC2CC(CNCc3n[nH]c(=O)[n-]3)C2)c[nH]1 ZINC000962491073 723266287 /nfs/dbraw/zinc/26/62/87/723266287.db2.gz ABZYXBAMPZLMCK-UHFFFAOYSA-N -1 1 332.364 -0.195 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)[C@H]1CC1(F)F ZINC000962752058 723317740 /nfs/dbraw/zinc/31/77/40/723317740.db2.gz XHZIBRBJBAWYJG-MRTMQBJTSA-N -1 1 327.287 -0.256 20 0 EBADMM CC(=O)N1CC[C@H]2[C@H]1CCCN2C(=O)CCn1cc[n-]c(=O)c1=O ZINC000963412735 723419356 /nfs/dbraw/zinc/41/93/56/723419356.db2.gz NNXIEJOFAXPFKK-OLZOCXBDSA-N -1 1 334.376 -0.462 20 0 EBADMM CC(=O)N1CC[C@H]2[C@@H]1CCCN2C(=O)CCn1cc[n-]c(=O)c1=O ZINC000963412737 723419559 /nfs/dbraw/zinc/41/95/59/723419559.db2.gz NNXIEJOFAXPFKK-STQMWFEESA-N -1 1 334.376 -0.462 20 0 EBADMM CCC(=O)N1CCC[C@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]21 ZINC000963604945 723456785 /nfs/dbraw/zinc/45/67/85/723456785.db2.gz MUVPIJXEGAFOEQ-CMPLNLGQSA-N -1 1 344.375 -0.109 20 0 EBADMM O=C(C[C@H]1CCCOC1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000963685458 723465700 /nfs/dbraw/zinc/46/57/00/723465700.db2.gz SQZNQHOOZLVDFA-BZPMIXESSA-N -1 1 349.387 -0.095 20 0 EBADMM C[C@@H](N[C@H]1CCN(C(=O)c2cnc([O-])n(C)c2=O)C1)c1cnccn1 ZINC001019227795 728830789 /nfs/dbraw/zinc/83/07/89/728830789.db2.gz UHXUMGUIIVIWEU-MNOVXSKESA-N -1 1 344.375 -0.159 20 0 EBADMM CCn1nncc1CN[C@H]1CCN(C(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001019227778 728830869 /nfs/dbraw/zinc/83/08/69/728830869.db2.gz SSPVEWAYGOVRKM-JTQLQIEISA-N -1 1 347.379 -0.898 20 0 EBADMM Cn1cc(C(=O)NC[C@H]2COCCN2C(=O)c2ncccc2[O-])cn1 ZINC000964073338 723784384 /nfs/dbraw/zinc/78/43/84/723784384.db2.gz UFOXWNPCHYHQMP-LBPRGKRZSA-N -1 1 345.359 -0.208 20 0 EBADMM O=C(Cc1cc[nH]n1)N1CCOC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000964151514 723838642 /nfs/dbraw/zinc/83/86/42/723838642.db2.gz AIGFLNAWYRUPEP-GFCCVEGCSA-N -1 1 345.359 -0.290 20 0 EBADMM O=C(Cc1ccn[nH]1)N1CCOC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000964151514 723838647 /nfs/dbraw/zinc/83/86/47/723838647.db2.gz AIGFLNAWYRUPEP-GFCCVEGCSA-N -1 1 345.359 -0.290 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2COCCN2C(=O)c2ccn[nH]2)c1[O-] ZINC000964154830 723840622 /nfs/dbraw/zinc/84/06/22/723840622.db2.gz KPTFUKUKNGPNES-SECBINFHSA-N -1 1 334.336 -0.582 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)[C@]12C[C@H]1COC2)c1ncccc1[O-] ZINC000964154913 723841402 /nfs/dbraw/zinc/84/14/02/723841402.db2.gz NNLLCWGUUIOYGC-PRXAMGSTSA-N -1 1 347.371 -0.219 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)[C@@H]1CCOC1)c1ncccc1[O-] ZINC000964156672 723842544 /nfs/dbraw/zinc/84/25/44/723842544.db2.gz WJGPOTGAZNEHNS-VXGBXAGGSA-N -1 1 335.360 -0.219 20 0 EBADMM Cn1cncc1C(=O)NC[C@@H]1COCCN1C(=O)c1ncccc1[O-] ZINC000964242729 723906298 /nfs/dbraw/zinc/90/62/98/723906298.db2.gz JJGNNJURRDSXLH-LLVKDONJSA-N -1 1 345.359 -0.208 20 0 EBADMM CCC(=O)N1CCCC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000964385660 724004318 /nfs/dbraw/zinc/00/43/18/724004318.db2.gz NCBYOWKIKLGMLN-SNVBAGLBSA-N -1 1 332.364 -0.062 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCCC[C@@H]1CNC(=O)C1CC1 ZINC000964531749 724025192 /nfs/dbraw/zinc/02/51/92/724025192.db2.gz QEZXNDODXOEYPY-CYBMUJFWSA-N -1 1 348.403 -0.166 20 0 EBADMM O=C(Cn1cnnn1)N1CCC[C@@H](NC(=O)c2ncccc2[O-])CC1 ZINC000964848076 724065977 /nfs/dbraw/zinc/06/59/77/724065977.db2.gz JFAZGCDLNAUSPA-LLVKDONJSA-N -1 1 345.363 -0.415 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N[C@@H]1CCCN(C(=O)c2ccn[nH]2)CC1 ZINC000965061692 724110674 /nfs/dbraw/zinc/11/06/74/724110674.db2.gz LMXBQZDXXSMYBU-SECBINFHSA-N -1 1 349.351 -0.777 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cnsn1 ZINC000965219090 724147083 /nfs/dbraw/zinc/14/70/83/724147083.db2.gz MZVIYVUFPLJLSS-PSASIEDQSA-N -1 1 337.409 -0.400 20 0 EBADMM C[C@@H]1CCN(CCn2cncn2)C[C@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC000967256266 724856884 /nfs/dbraw/zinc/85/68/84/724856884.db2.gz KCOVIQYXYUEVFJ-NXEZZACHSA-N -1 1 348.367 -0.985 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCCO[C@@H](CNC(C)=O)C1 ZINC001019498120 728901581 /nfs/dbraw/zinc/90/15/81/728901581.db2.gz SVRALPYJGUOYFK-VIFPVBQESA-N -1 1 340.336 -0.736 20 0 EBADMM CC(=O)NCc1ccc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)o1 ZINC001019522873 728907610 /nfs/dbraw/zinc/90/76/10/728907610.db2.gz MVYVTAIVKCAQCI-JTQLQIEISA-N -1 1 348.363 -0.256 20 0 EBADMM CCN(C(=O)[C@@H]1CCC(=O)NC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000968132442 724967252 /nfs/dbraw/zinc/96/72/52/724967252.db2.gz NVLBOQGQNFEJQJ-LLVKDONJSA-N -1 1 346.387 -0.014 20 0 EBADMM CCN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)C(C)C)C1 ZINC000968152209 724970633 /nfs/dbraw/zinc/97/06/33/724970633.db2.gz CNNYUTWLGMKCRN-UHFFFAOYSA-N -1 1 332.364 -0.254 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cn(C)c(=O)[nH]1 ZINC000968230844 724986886 /nfs/dbraw/zinc/98/68/86/724986886.db2.gz GCQKUCDRRYWKAA-NXEZZACHSA-N -1 1 349.395 -0.812 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cc1c[nH]cn1 ZINC000968361110 725054991 /nfs/dbraw/zinc/05/49/91/725054991.db2.gz RFPJVWKZNVPGFT-ZYHUDNBSSA-N -1 1 333.396 -0.599 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)Cc1c[nH]cn1 ZINC000968361109 725055312 /nfs/dbraw/zinc/05/53/12/725055312.db2.gz RFPJVWKZNVPGFT-PWSUYJOCSA-N -1 1 333.396 -0.599 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ccn(C)n1 ZINC000968646661 725095364 /nfs/dbraw/zinc/09/53/64/725095364.db2.gz FWOAPZMPCAUPJC-ZYHUDNBSSA-N -1 1 333.396 -0.518 20 0 EBADMM Cc1nccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)n1 ZINC000968664305 725098130 /nfs/dbraw/zinc/09/81/30/725098130.db2.gz FJGUZJGXZUXPRJ-GXFFZTMASA-N -1 1 345.407 -0.153 20 0 EBADMM CN(C)c1cnc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC000968667430 725098819 /nfs/dbraw/zinc/09/88/19/725098819.db2.gz PVRSDFZXSIKWBN-SECBINFHSA-N -1 1 332.368 -0.629 20 0 EBADMM CCCc1c(C(=O)N2C[C@@H](NC(=O)c3cnn[nH]3)[C@@H](O)C2)cnn1C ZINC000968707195 725106277 /nfs/dbraw/zinc/10/62/77/725106277.db2.gz FLKQVRLNWZNQSE-YPMHNXCESA-N -1 1 347.379 -0.894 20 0 EBADMM O=C(CC(C1CC1)C1CC1)N1C[C@@H](NC(=O)c2cnn[nH]2)[C@@H](O)C1 ZINC000968704763 725106408 /nfs/dbraw/zinc/10/64/08/725106408.db2.gz WSHJMHBRJPJBFK-KGLIPLIRSA-N -1 1 333.392 -0.068 20 0 EBADMM O=C(Cn1cc(C2CC2)cn1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969140538 725155942 /nfs/dbraw/zinc/15/59/42/725155942.db2.gz KVOCNYSXCXZMRB-GFCCVEGCSA-N -1 1 331.380 -0.025 20 0 EBADMM Cn1nccc1[C@@H]1C[C@H]1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969425902 725179418 /nfs/dbraw/zinc/17/94/18/725179418.db2.gz MXAOFHFVFBTKOH-GMTAPVOTSA-N -1 1 331.380 -0.262 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H](C)C2CN(CCN3CCNC3=O)C2)c1[O-] ZINC000969500191 725185552 /nfs/dbraw/zinc/18/55/52/725185552.db2.gz JDEDOOUTXHSBHT-VIFPVBQESA-N -1 1 336.396 -0.501 20 0 EBADMM Cc1cnc(CN[C@@H]2CCN(C(=O)c3cnc([O-])n(C)c3=O)C2)cn1 ZINC000969553857 725192355 /nfs/dbraw/zinc/19/23/55/725192355.db2.gz ZWPLOUJURVVMFI-LLVKDONJSA-N -1 1 344.375 -0.411 20 0 EBADMM Cc1ccc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC000969637972 725199122 /nfs/dbraw/zinc/19/91/22/725199122.db2.gz NKLBJFGKYDHAOX-JTQLQIEISA-N -1 1 331.380 -0.543 20 0 EBADMM Cc1ccc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC000969637973 725199185 /nfs/dbraw/zinc/19/91/85/725199185.db2.gz NKLBJFGKYDHAOX-SNVBAGLBSA-N -1 1 331.380 -0.543 20 0 EBADMM CC[C@@H]1CCO[C@@H]1C(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969881712 725225041 /nfs/dbraw/zinc/22/50/41/725225041.db2.gz XKRFPIMAJMVEGS-GYSYKLTISA-N -1 1 337.424 -0.140 20 0 EBADMM Cc1ncn(C)c1C(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969900071 725226400 /nfs/dbraw/zinc/22/64/00/725226400.db2.gz MFBITVVFFLHHNG-SECBINFHSA-N -1 1 333.396 -0.599 20 0 EBADMM Cc1nc([C@@H](C)N2CC([C@H](C)NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC000970059358 725244944 /nfs/dbraw/zinc/24/49/44/725244944.db2.gz NNNZZHJORIFWKI-NKWVEPMBSA-N -1 1 348.367 -0.479 20 0 EBADMM COc1ccnc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC000970260267 725269649 /nfs/dbraw/zinc/26/96/49/725269649.db2.gz SNXASRPTAWGXFR-SNVBAGLBSA-N -1 1 346.391 -0.238 20 0 EBADMM COc1ncccc1C(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970364511 725284217 /nfs/dbraw/zinc/28/42/17/725284217.db2.gz VBWWFZUQXDBKDF-SNVBAGLBSA-N -1 1 346.391 -0.238 20 0 EBADMM C[C@@H](NC(=O)c1cc(C2CC2)n[nH]1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970476491 725294698 /nfs/dbraw/zinc/29/46/98/725294698.db2.gz NDTKUXQJGLZXIX-SECBINFHSA-N -1 1 345.407 -0.041 20 0 EBADMM O=C(C[C@H]1CCCCC(=O)N1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970579739 725301587 /nfs/dbraw/zinc/30/15/87/725301587.db2.gz KJYGBKFSXQKKIY-GHMZBOCLSA-N -1 1 336.396 -0.350 20 0 EBADMM Cc1csc(=O)n1CC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970694737 725318359 /nfs/dbraw/zinc/31/83/59/725318359.db2.gz NLGGQPYWVRASLL-SECBINFHSA-N -1 1 338.393 -0.567 20 0 EBADMM Cc1nc(C)c(CC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c(=O)[nH]1 ZINC000970706225 725319225 /nfs/dbraw/zinc/31/92/25/725319225.db2.gz YLTSSNMZDMVTJK-SNVBAGLBSA-N -1 1 347.379 -0.444 20 0 EBADMM O=C([C@H]1CCc2nncn2C1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970782420 725327466 /nfs/dbraw/zinc/32/74/66/725327466.db2.gz GWIPTKMZDNZWEM-VHSXEESVSA-N -1 1 332.368 -0.945 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)c2ccnc(F)c2)C1 ZINC000971187952 725353385 /nfs/dbraw/zinc/35/33/85/725353385.db2.gz UNSOCAZTFGTPDV-NSHDSACASA-N -1 1 334.355 -0.011 20 0 EBADMM Cc1cc(CC(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC000971325497 725359135 /nfs/dbraw/zinc/35/91/35/725359135.db2.gz HHDAXNIVGMUSAN-GFCCVEGCSA-N -1 1 333.396 -0.585 20 0 EBADMM CCn1ccc(CC(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000971566869 725422092 /nfs/dbraw/zinc/42/20/92/725422092.db2.gz KWLGLASSARWVTI-ZDUSSCGKSA-N -1 1 347.423 -0.400 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)CCc2ccn(C)n2)C1 ZINC000971622128 725425647 /nfs/dbraw/zinc/42/56/47/725425647.db2.gz RKPWBNZWHNCNCA-ZDUSSCGKSA-N -1 1 347.423 -0.493 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)c2ccc(=O)n(C)c2)C1 ZINC000971853796 725442411 /nfs/dbraw/zinc/44/24/11/725442411.db2.gz JGHZLWTYDLHJFD-GFCCVEGCSA-N -1 1 346.391 -0.846 20 0 EBADMM CCc1c(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC000971856061 725442683 /nfs/dbraw/zinc/44/26/83/725442683.db2.gz KGPGGBIYGMTPEC-NSHDSACASA-N -1 1 347.423 -0.249 20 0 EBADMM Cc1nn(C)cc1CN(C)[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000971869169 725444082 /nfs/dbraw/zinc/44/40/82/725444082.db2.gz IYXIZBNWSYGLSL-NSHDSACASA-N -1 1 347.379 -0.329 20 0 EBADMM COc1ccc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC000971895946 725446515 /nfs/dbraw/zinc/44/65/15/725446515.db2.gz KXDNWTADKHFVHM-LBPRGKRZSA-N -1 1 346.391 -0.142 20 0 EBADMM O=C(Cn1nccn1)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000971900400 725446951 /nfs/dbraw/zinc/44/69/51/725446951.db2.gz RXRINOLXBDFNMB-VXGBXAGGSA-N -1 1 342.359 -0.248 20 0 EBADMM CO[C@@H](C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1)C1CCC1 ZINC000972025889 725456407 /nfs/dbraw/zinc/45/64/07/725456407.db2.gz WJYGCKFYQSKCGU-GXTWGEPZSA-N -1 1 337.424 -0.044 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@]3(CCN(C(=O)C(C)(C)F)C3)C2)nc1=O ZINC000972219307 725480400 /nfs/dbraw/zinc/48/04/00/725480400.db2.gz VRRRSQAVMJELFG-HNNXBMFYSA-N -1 1 341.387 -0.340 20 0 EBADMM CC[C@H](C(N)=O)N1CCO[C@@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000972355975 725505747 /nfs/dbraw/zinc/50/57/47/725505747.db2.gz SGCZZPRUCWQIKT-PXAZEXFGSA-N -1 1 348.403 -0.032 20 0 EBADMM CC[C@H](C(N)=O)N1CCO[C@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000972355977 725505822 /nfs/dbraw/zinc/50/58/22/725505822.db2.gz SGCZZPRUCWQIKT-SJKOYZFVSA-N -1 1 348.403 -0.032 20 0 EBADMM CCn1nc(C)cc1C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000972407109 725516457 /nfs/dbraw/zinc/51/64/57/725516457.db2.gz BJZMNEUCLSBFBW-GFCCVEGCSA-N -1 1 347.423 -0.020 20 0 EBADMM CN(Cc1cnon1)[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000972555507 725549047 /nfs/dbraw/zinc/54/90/47/725549047.db2.gz PBGBQYAZFBIEOX-GFCCVEGCSA-N -1 1 348.363 -0.957 20 0 EBADMM CCn1cnc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC000972744005 725589661 /nfs/dbraw/zinc/58/96/61/725589661.db2.gz VZTDJVJQAZCQRC-LLVKDONJSA-N -1 1 333.396 -0.329 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@@H]3CCCOC3)C(C)(C)C2)nc1=O ZINC000972851356 725597871 /nfs/dbraw/zinc/59/78/71/725597871.db2.gz DZWYGQWYMNHZOY-VXGBXAGGSA-N -1 1 337.424 -0.138 20 0 EBADMM O=C(N[C@H]1C[C@H](NC(=O)c2ccc[nH]2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000972962426 725612910 /nfs/dbraw/zinc/61/29/10/725612910.db2.gz CRMJATPGRXKYKY-KYZUINATSA-N -1 1 341.331 -0.564 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@H](NC(=O)c2ccon2)C1 ZINC000973070287 725628583 /nfs/dbraw/zinc/62/85/83/725628583.db2.gz SJHIWEWLTDOGQN-LJGSYFOKSA-N -1 1 349.303 -0.425 20 0 EBADMM CN1CCO[C@H](C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000973129888 725650419 /nfs/dbraw/zinc/65/04/19/725650419.db2.gz OMUKLFLFNIWOIC-GVXVVHGQSA-N -1 1 334.376 -0.505 20 0 EBADMM O=C(CN1CCOCC1=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973200024 725668205 /nfs/dbraw/zinc/66/82/05/725668205.db2.gz WGXCBZGIHYFPRK-XYPYZODXSA-N -1 1 348.359 -0.977 20 0 EBADMM CC(C)c1nnnn1CC(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000991957053 725687626 /nfs/dbraw/zinc/68/76/26/725687626.db2.gz XAZTUZRLFMZRBG-UHFFFAOYSA-N -1 1 345.363 -0.462 20 0 EBADMM O=C(Cn1cncn1)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC000992055439 725710990 /nfs/dbraw/zinc/71/09/90/725710990.db2.gz JNWZRTKYFZGGKN-UHFFFAOYSA-N -1 1 330.348 -0.297 20 0 EBADMM O=C(N[C@H]1C[C@H](NC(=O)[C@H]2CC23CC3)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000973465731 725755962 /nfs/dbraw/zinc/75/59/62/725755962.db2.gz MBLXKDSPRFAEJQ-OPRDCNLKSA-N -1 1 342.359 -0.405 20 0 EBADMM C[C@H]1C[C@H]1CC(=O)N[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000973465780 725756038 /nfs/dbraw/zinc/75/60/38/725756038.db2.gz PPHXBRYIFKYQTN-NAKRPEOUSA-N -1 1 344.375 -0.159 20 0 EBADMM CC(=O)N1CCC[C@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC[C@@H]21 ZINC000973692349 725790689 /nfs/dbraw/zinc/79/06/89/725790689.db2.gz HJSIKQJUHMHEPQ-AAEUAGOBSA-N -1 1 344.375 -0.109 20 0 EBADMM CC(=O)N1CC[C@H]2[C@H](CCCN2C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000973747107 725797056 /nfs/dbraw/zinc/79/70/56/725797056.db2.gz IXVQGICUZALENT-KGLIPLIRSA-N -1 1 348.403 -0.214 20 0 EBADMM Cc1cnc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)cn1 ZINC000993024842 725851559 /nfs/dbraw/zinc/85/15/59/725851559.db2.gz WIHBYYPERMMBOC-NWDGAFQWSA-N -1 1 345.407 -0.010 20 0 EBADMM Cc1cnc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)cn1 ZINC000993024865 725851892 /nfs/dbraw/zinc/85/18/92/725851892.db2.gz WIHBYYPERMMBOC-VXGBXAGGSA-N -1 1 345.407 -0.010 20 0 EBADMM NC(=O)N1CCC[C@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974055370 725858580 /nfs/dbraw/zinc/85/85/80/725858580.db2.gz FUOVONVQRGRKEU-AXFHLTTASA-N -1 1 347.375 -0.293 20 0 EBADMM C[C@]1(C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)CNC(=O)C1 ZINC000974056507 725859221 /nfs/dbraw/zinc/85/92/21/725859221.db2.gz RTHKQTGORKBQHZ-KCWFYHRYSA-N -1 1 332.360 -0.310 20 0 EBADMM CCN1CCO[C@H](C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000974057185 725859247 /nfs/dbraw/zinc/85/92/47/725859247.db2.gz YIOBHVFEGKWJBU-SCRDCRAPSA-N -1 1 348.403 -0.115 20 0 EBADMM C[C@@H]1[C@H](NC(=O)C2=CCOCC2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993229025 725864389 /nfs/dbraw/zinc/86/43/89/725864389.db2.gz JPIUIGSOUYFPQD-DGCLKSJQSA-N -1 1 335.408 -0.076 20 0 EBADMM Cc1ccnc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)n1 ZINC000993286872 725869140 /nfs/dbraw/zinc/86/91/40/725869140.db2.gz ROXUNRFQIZESKK-NWDGAFQWSA-N -1 1 345.407 -0.010 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2ncn(C)n2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993300027 725870424 /nfs/dbraw/zinc/87/04/24/725870424.db2.gz ODLUKQUAWBUNPQ-NXEZZACHSA-N -1 1 334.384 -0.980 20 0 EBADMM CC(C)N1C[C@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC000993781584 725940161 /nfs/dbraw/zinc/94/01/61/725940161.db2.gz LXQSHHCFRLRBPI-LLVKDONJSA-N -1 1 346.387 -0.015 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2cn(C)c(=O)[nH]2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993795586 725944124 /nfs/dbraw/zinc/94/41/24/725944124.db2.gz YLKZCCDQWCRIPC-VHSXEESVSA-N -1 1 349.395 -0.670 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2CCNC2=O)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000993925795 725963375 /nfs/dbraw/zinc/96/33/75/725963375.db2.gz MFWCCVFYVNXQSN-IJLUTSLNSA-N -1 1 346.387 -0.252 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2COC(=O)N2)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000993931032 725964250 /nfs/dbraw/zinc/96/42/50/725964250.db2.gz SERFSMPANJLEBB-MXWKQRLJSA-N -1 1 348.359 -0.280 20 0 EBADMM COCCN1CCC[C@@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)[C@@H]1C ZINC000994011851 725971557 /nfs/dbraw/zinc/97/15/57/725971557.db2.gz SZHHNKLORNFKGP-WCQYABFASA-N -1 1 348.407 -0.313 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2cccnn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000994060078 725976386 /nfs/dbraw/zinc/97/63/86/725976386.db2.gz MNTDDNYMCVZVGT-QWRGUYRKSA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000994073313 725976983 /nfs/dbraw/zinc/97/69/83/725976983.db2.gz TUACORBEBUZAEI-QWRGUYRKSA-N -1 1 331.380 -0.319 20 0 EBADMM CN1CC[C@H](CC(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1=O ZINC000994108905 725979841 /nfs/dbraw/zinc/97/98/41/725979841.db2.gz YDPIOZQLTOFIEB-SNVBAGLBSA-N -1 1 332.360 -0.404 20 0 EBADMM CC(C)C(=O)N1CC[C@@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)[C@@H]2C1 ZINC000974574132 726040243 /nfs/dbraw/zinc/04/02/43/726040243.db2.gz DRPDOEWBJWXHKX-ZYHUDNBSSA-N -1 1 344.375 -0.254 20 0 EBADMM CC(C)CC(=O)N1CC(n2cc(CNC(=O)c3cnn[nH]3)nn2)C1 ZINC000994703395 726054428 /nfs/dbraw/zinc/05/44/28/726054428.db2.gz CFILBYLQIXDUOG-UHFFFAOYSA-N -1 1 332.368 -0.244 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)[C@@]3(F)CCOC3)C(C)(C)C2)nc1=O ZINC000974966553 726088359 /nfs/dbraw/zinc/08/83/59/726088359.db2.gz BVYPDWQYLARQEZ-ZUZCIYMTSA-N -1 1 341.387 -0.436 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CC[C@@H](C[NH2+]Cc3nc(=O)n(C)[n-]3)C2)c1[O-] ZINC000994881114 726108337 /nfs/dbraw/zinc/10/83/37/726108337.db2.gz OAPAMLOEMGFZOH-NXEZZACHSA-N -1 1 349.395 -0.466 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N1C[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000975220186 726115195 /nfs/dbraw/zinc/11/51/95/726115195.db2.gz BTYWSSGNOBXDLC-WISYIIOYSA-N -1 1 344.371 -0.419 20 0 EBADMM O=C(NC[C@H]1CCCN(C(=O)[C@@H]2CCNC2=O)C1)c1ncccc1[O-] ZINC000975262950 726125470 /nfs/dbraw/zinc/12/54/70/726125470.db2.gz YQGKSCCPAZOVMA-VXGBXAGGSA-N -1 1 346.387 -0.108 20 0 EBADMM O=C(Cn1cncn1)N1C[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000975279104 726127713 /nfs/dbraw/zinc/12/77/13/726127713.db2.gz XAJGNIQSEWXWCR-VXGBXAGGSA-N -1 1 342.359 -0.248 20 0 EBADMM O=C(N[C@@H]1CC[C@@H](CNCc2ncccn2)C1)c1n[nH]c(=O)[n-]c1=O ZINC000995010379 726143030 /nfs/dbraw/zinc/14/30/30/726143030.db2.gz XHAIYZQOJUNKRC-NXEZZACHSA-N -1 1 345.363 -0.239 20 0 EBADMM Cn1nnc(C(=O)NC[C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)n1 ZINC000975459341 726158125 /nfs/dbraw/zinc/15/81/25/726158125.db2.gz NTDVVDIKHPODER-JTQLQIEISA-N -1 1 345.363 -0.407 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N[C@@H]1[C@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@H]21 ZINC000976096388 726200951 /nfs/dbraw/zinc/20/09/51/726200951.db2.gz JJXGPOWZZJFLQK-ZXPJVPCYSA-N -1 1 346.387 -0.844 20 0 EBADMM O=C(N[C@H]1CC(=O)N(C2CN(C(=O)C3CC3)C2)C1)c1ncccc1[O-] ZINC000995500672 726261710 /nfs/dbraw/zinc/26/17/10/726261710.db2.gz PYSNIMWFABEFDR-NSHDSACASA-N -1 1 344.371 -0.261 20 0 EBADMM CC1(C)CN(C(=O)[C@@H]2COCCO2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995503589 726263118 /nfs/dbraw/zinc/26/31/18/726263118.db2.gz MZDBHMICUJJBRC-UWVGGRQHSA-N -1 1 325.369 -0.748 20 0 EBADMM CN1CCO[C@@H](C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)C1 ZINC000995596387 726278123 /nfs/dbraw/zinc/27/81/23/726278123.db2.gz HCBFAFBZKNJDCS-MNOVXSKESA-N -1 1 338.412 -0.833 20 0 EBADMM CC1(C)CN(C(=O)C[C@H]2COC(=O)C2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995669707 726285539 /nfs/dbraw/zinc/28/55/39/726285539.db2.gz PGDSIXJSLBKVQC-NXEZZACHSA-N -1 1 337.380 -0.210 20 0 EBADMM CC1(C)CN(C(=O)CN2CCOCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995692567 726288745 /nfs/dbraw/zinc/28/87/45/726288745.db2.gz FDDSVRPSOMNJHH-LLVKDONJSA-N -1 1 338.412 -0.831 20 0 EBADMM CS(=O)(=O)CC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976406317 726294960 /nfs/dbraw/zinc/29/49/60/726294960.db2.gz VLODNLICIYVPKE-GDGBQDQQSA-N -1 1 339.373 -0.982 20 0 EBADMM CC(C)n1cnc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001051735290 735360459 /nfs/dbraw/zinc/36/04/59/735360459.db2.gz LGZCVWBEZVUQJH-LLVKDONJSA-N -1 1 349.395 -0.082 20 0 EBADMM Cn1cnc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)cc1=O ZINC000995913194 726312246 /nfs/dbraw/zinc/31/22/46/726312246.db2.gz GIZOKKLJNHPYMF-JTQLQIEISA-N -1 1 347.379 -0.756 20 0 EBADMM Cc1cncc(CC(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001051736610 735361469 /nfs/dbraw/zinc/36/14/69/735361469.db2.gz BXKNQJIMHXVHIV-ZDUSSCGKSA-N -1 1 346.391 -0.227 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)c1cn[nH]c(=O)c1 ZINC000976674800 726382105 /nfs/dbraw/zinc/38/21/05/726382105.db2.gz HKGLIICUOUPTHC-IWIIMEHWSA-N -1 1 341.327 -0.217 20 0 EBADMM COc1c(=O)[nH]c(=O)[nH]c1C(=O)NC1CN(C(=O)c2cc(C)[nH]n2)C1 ZINC000996396256 726384994 /nfs/dbraw/zinc/38/49/94/726384994.db2.gz IWAOOWKCCMBIEB-UHFFFAOYSA-N -1 1 348.319 -0.818 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)c2cc(C)n[nH]2)C1 ZINC000996396256 726384998 /nfs/dbraw/zinc/38/49/98/726384998.db2.gz IWAOOWKCCMBIEB-UHFFFAOYSA-N -1 1 348.319 -0.818 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)c2cc[nH]c2)C1 ZINC000996396168 726385008 /nfs/dbraw/zinc/38/50/08/726385008.db2.gz HQZSLYCDXWWNHJ-UHFFFAOYSA-N -1 1 333.304 -0.521 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)c2ncoc2C)C1 ZINC000996397799 726386202 /nfs/dbraw/zinc/38/62/02/726386202.db2.gz RCDHXZWJUKGONP-UHFFFAOYSA-N -1 1 349.303 -0.553 20 0 EBADMM O=C(NC1CN(C(=O)[C@@H]2CC[C@H](F)C2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996403842 726388063 /nfs/dbraw/zinc/38/80/63/726388063.db2.gz BNFBUTMKLJVUHT-BDAKNGLRSA-N -1 1 348.338 -0.504 20 0 EBADMM CC(C)[C@H]1C[C@@H]1C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996404451 726388529 /nfs/dbraw/zinc/38/85/29/726388529.db2.gz FVVGUKRNZSMAAR-MNOVXSKESA-N -1 1 344.375 -0.350 20 0 EBADMM CC(C)(C)CC(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996409633 726389423 /nfs/dbraw/zinc/38/94/23/726389423.db2.gz WRQPODRFUGTLBD-UHFFFAOYSA-N -1 1 332.364 -0.206 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)c1cnc[nH]c1=O ZINC000976712843 726396457 /nfs/dbraw/zinc/39/64/57/726396457.db2.gz HDIJTELDMXJFOS-IAZYJMLFSA-N -1 1 341.327 -0.217 20 0 EBADMM CN(C)c1ccc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)nn1 ZINC000996505816 726407364 /nfs/dbraw/zinc/40/73/64/726407364.db2.gz BIVOJKRHKUGNES-UHFFFAOYSA-N -1 1 342.359 -0.102 20 0 EBADMM COc1cnc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)nc1 ZINC000996830539 726429130 /nfs/dbraw/zinc/42/91/30/726429130.db2.gz CZMAMJLCESBFEJ-UHFFFAOYSA-N -1 1 329.316 -0.160 20 0 EBADMM O=C(NC1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1)[C@H]1CCNC1=O ZINC000997428438 726452197 /nfs/dbraw/zinc/45/21/97/726452197.db2.gz XOJSDDJWCMJNGU-JTQLQIEISA-N -1 1 345.359 -0.864 20 0 EBADMM O=C(CC1OCCCO1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999012421 726504517 /nfs/dbraw/zinc/50/45/17/726504517.db2.gz YWYJHAKALLOUFA-JTQLQIEISA-N -1 1 325.369 -0.256 20 0 EBADMM CN(C(=O)c1n[nH]c(=O)[n-]c1=O)C1CC(NCc2cnns2)C1 ZINC000999056922 726505914 /nfs/dbraw/zinc/50/59/14/726505914.db2.gz JMTMLVCHDVUQIS-UHFFFAOYSA-N -1 1 337.365 -0.473 20 0 EBADMM Cc1cc(CNC2CC(N(C)C(=O)c3n[nH]c(=O)[n-]c3=O)C2)nn1C ZINC000999061074 726506105 /nfs/dbraw/zinc/50/61/05/726506105.db2.gz LTWLRZZYYHUKIX-UHFFFAOYSA-N -1 1 347.379 -0.282 20 0 EBADMM COc1cc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)ncn1 ZINC000999168138 726509655 /nfs/dbraw/zinc/50/96/55/726509655.db2.gz DVHVZIJBIMNJOA-VIFPVBQESA-N -1 1 333.352 -0.297 20 0 EBADMM CC[C@]1(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CCNC1=O ZINC000999404406 726518756 /nfs/dbraw/zinc/51/87/56/726518756.db2.gz ZZDCPBPTDDWFSO-BMIGLBTASA-N -1 1 336.396 -0.493 20 0 EBADMM Cc1cc(OCC(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)no1 ZINC000999422659 726519495 /nfs/dbraw/zinc/51/94/95/726519495.db2.gz RGPWXDPWHCKLIV-SNVBAGLBSA-N -1 1 336.352 -0.034 20 0 EBADMM CN1CC[C@H](CC(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)C1=O ZINC000999455813 726519988 /nfs/dbraw/zinc/51/99/88/726519988.db2.gz IZUPBNVKPGTMJG-GHMZBOCLSA-N -1 1 336.396 -0.541 20 0 EBADMM Cc1nccnc1CN[C@@H]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000999623882 726525254 /nfs/dbraw/zinc/52/52/54/726525254.db2.gz ZFEVOKQPIVMJTG-SNVBAGLBSA-N -1 1 345.363 -0.224 20 0 EBADMM O=C(C[C@H]1CCCC(=O)N1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999653212 726526038 /nfs/dbraw/zinc/52/60/38/726526038.db2.gz NSGSBANRJOLKKW-MNOVXSKESA-N -1 1 336.396 -0.350 20 0 EBADMM Cc1noc(CCC(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000999845306 726534646 /nfs/dbraw/zinc/53/46/46/726534646.db2.gz JUPQXPVXCBWBLD-SNVBAGLBSA-N -1 1 335.368 -0.085 20 0 EBADMM NC(=O)Cc1occc1C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000014558 726550403 /nfs/dbraw/zinc/55/04/03/726550403.db2.gz LJQYAUNPBGXYBA-SECBINFHSA-N -1 1 348.363 -0.475 20 0 EBADMM Cn1cc(OCC(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001000017233 726550678 /nfs/dbraw/zinc/55/06/78/726550678.db2.gz WUDWLAVEWQBAQM-SNVBAGLBSA-N -1 1 335.368 -0.597 20 0 EBADMM C[C@H](C(=O)NC1CN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCC(=O)N1 ZINC001000348679 726564687 /nfs/dbraw/zinc/56/46/87/726564687.db2.gz VJUDZIHLODQUPP-ONGXEEELSA-N -1 1 332.360 -0.358 20 0 EBADMM Cc1cc(C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)no1 ZINC001000424003 726566655 /nfs/dbraw/zinc/56/66/55/726566655.db2.gz PHTFBPOSEATCLX-UHFFFAOYSA-N -1 1 332.364 -0.033 20 0 EBADMM O=C(c1ccc2nncn2c1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000465301 726567720 /nfs/dbraw/zinc/56/77/20/726567720.db2.gz RTVRIUHKBXTXSF-NSHDSACASA-N -1 1 342.363 -0.053 20 0 EBADMM CCn1ccnc1CN[C@@H]1CCCN(C(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC001000787776 726577490 /nfs/dbraw/zinc/57/74/90/726577490.db2.gz BUIJYXCWLOECML-LLVKDONJSA-N -1 1 349.395 -0.314 20 0 EBADMM C[C@H]1C[C@H](C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)CO1 ZINC001000863496 726581238 /nfs/dbraw/zinc/58/12/38/726581238.db2.gz SWJZTLKZSAKMMO-AAEUAGOBSA-N -1 1 335.408 -0.218 20 0 EBADMM O=C([C@H]1CCc2nncn2C1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000991203 726586374 /nfs/dbraw/zinc/58/63/74/726586374.db2.gz IKCTYHUFDNSAOO-WDEREUQCSA-N -1 1 346.395 -0.555 20 0 EBADMM Cc1c(C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)nnn1C ZINC001001283180 726600521 /nfs/dbraw/zinc/60/05/21/726600521.db2.gz OLOTYYKWJPINPU-UHFFFAOYSA-N -1 1 330.348 -0.274 20 0 EBADMM COC(=O)[C@@H]1C[C@@H]1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001535714 726611064 /nfs/dbraw/zinc/61/10/64/726611064.db2.gz BXPMMLVKTZGYEB-WDEREUQCSA-N -1 1 333.344 -0.216 20 0 EBADMM C[C@H]1CCN(C(=O)C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)C1 ZINC001001542594 726611202 /nfs/dbraw/zinc/61/12/02/726611202.db2.gz WUQCSKANIJOJFA-NSHDSACASA-N -1 1 346.387 -0.156 20 0 EBADMM CN1C(=O)CCC[C@@H]1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001541629 726611239 /nfs/dbraw/zinc/61/12/39/726611239.db2.gz MUEINEKVUSUPFR-GFCCVEGCSA-N -1 1 346.387 -0.014 20 0 EBADMM NC(=O)[C@@H]1CC[C@H](C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)C1 ZINC001001543533 726611326 /nfs/dbraw/zinc/61/13/26/726611326.db2.gz CHEWLCMHIGEXLZ-NEPJUHHUSA-N -1 1 346.387 -0.123 20 0 EBADMM CCN1CCOC[C@@H]1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001543927 726611350 /nfs/dbraw/zinc/61/13/50/726611350.db2.gz DZAZPDMOEJRIOG-CYBMUJFWSA-N -1 1 348.403 -0.304 20 0 EBADMM CC(=O)N1CC(C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001001597548 726612639 /nfs/dbraw/zinc/61/26/39/726612639.db2.gz CADLLMXEPLQZSS-UHFFFAOYSA-N -1 1 332.360 -0.546 20 0 EBADMM O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-])[C@@H]1COC(=O)N1 ZINC001002350433 726639223 /nfs/dbraw/zinc/63/92/23/726639223.db2.gz BZLBIUQVIXHWMK-ZNSHCXBVSA-N -1 1 346.343 -0.243 20 0 EBADMM CN1C[C@H](C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC001002460822 726641544 /nfs/dbraw/zinc/64/15/44/726641544.db2.gz XEMNKABKSVGDBR-LLVKDONJSA-N -1 1 332.360 -0.546 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)c3cnn4c3CCC4)CC2)nc1=O ZINC001002970621 726656520 /nfs/dbraw/zinc/65/65/20/726656520.db2.gz QVSYLYZYFVTVFO-UHFFFAOYSA-N -1 1 345.407 -0.355 20 0 EBADMM NC(=O)c1cc(C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)co1 ZINC001003290482 726668033 /nfs/dbraw/zinc/66/80/33/726668033.db2.gz MLULWRIOAYOYLV-UHFFFAOYSA-N -1 1 344.327 -0.019 20 0 EBADMM CC[C@H](F)C(=O)N1CC(CNC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC001003315932 726669408 /nfs/dbraw/zinc/66/94/08/726669408.db2.gz ZBQNTNROJIHQAF-QMMMGPOBSA-N -1 1 342.327 -0.167 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CN(C(=O)[C@H]2CC23CC3)C1 ZINC001003315706 726669427 /nfs/dbraw/zinc/66/94/27/726669427.db2.gz YNWLUGJUTYIKBT-SECBINFHSA-N -1 1 348.359 -0.115 20 0 EBADMM C[C@H]1COCC[C@@H]1C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001003579594 726681341 /nfs/dbraw/zinc/68/13/41/726681341.db2.gz JHOVMRHLPPINAU-AAEUAGOBSA-N -1 1 337.424 -0.138 20 0 EBADMM CC(=O)N(C)C1CCC(NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001003965222 726696441 /nfs/dbraw/zinc/69/64/41/726696441.db2.gz NBFUIKBOJKTVJE-UHFFFAOYSA-N -1 1 332.364 -0.063 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)c3ccc(=O)[nH]c3)CC2)nc1=O ZINC001004091610 726699127 /nfs/dbraw/zinc/69/91/27/726699127.db2.gz WVZSBQMFWHTCGN-UHFFFAOYSA-N -1 1 332.364 -0.397 20 0 EBADMM C[C@@H]1CCN(C(=O)Cn2cnnn2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001004750306 726714942 /nfs/dbraw/zinc/71/49/42/726714942.db2.gz JZNHEJMWQXXAFR-GHMZBOCLSA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@@H]1CCN(C(=O)Cn2cnnn2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001004750308 726715008 /nfs/dbraw/zinc/71/50/08/726715008.db2.gz JZNHEJMWQXXAFR-MNOVXSKESA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@@H]1NC(=O)C(N)=O ZINC001005218405 726729724 /nfs/dbraw/zinc/72/97/24/726729724.db2.gz QXXWBWKSJMQLBA-KCJUWKMLSA-N -1 1 347.375 -0.488 20 0 EBADMM C[C@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@@H]1NC(=O)C(N)=O ZINC001005218407 726729752 /nfs/dbraw/zinc/72/97/52/726729752.db2.gz QXXWBWKSJMQLBA-KWQFWETISA-N -1 1 347.375 -0.488 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CC([C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001005911908 726747797 /nfs/dbraw/zinc/74/77/97/726747797.db2.gz LEKQZSQIXGASHX-ZCFIWIBFSA-N -1 1 333.352 -0.259 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CCC(=O)N2)C1 ZINC001005953599 726749842 /nfs/dbraw/zinc/74/98/42/726749842.db2.gz TVIHIMBZGFWKSV-MWLCHTKSSA-N -1 1 332.360 -0.358 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)c2cnn(C)c2N)C1 ZINC001005953688 726749900 /nfs/dbraw/zinc/74/99/00/726749900.db2.gz WDCCSWLCHYOEJW-VIFPVBQESA-N -1 1 344.375 -0.007 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)c2nnn(C)n2)C1 ZINC001005956564 726750074 /nfs/dbraw/zinc/75/00/74/726750074.db2.gz LNAKOSPRZWENGY-MRVPVSSYSA-N -1 1 331.336 -0.799 20 0 EBADMM C[C@@H](NC(=O)[C@H]1COC(=O)N1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006003479 726751708 /nfs/dbraw/zinc/75/17/08/726751708.db2.gz AYDAPZVSXPEKPD-PSASIEDQSA-N -1 1 334.332 -0.528 20 0 EBADMM C[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)C2(C)CC2)C1 ZINC001006468912 726770426 /nfs/dbraw/zinc/77/04/26/726770426.db2.gz SAIZINSRQPAOIG-VIFPVBQESA-N -1 1 344.375 -0.206 20 0 EBADMM Cc1ncc(C(=O)N2CC([C@H](C)NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC001006490220 726771232 /nfs/dbraw/zinc/77/12/32/726771232.db2.gz CONJQMIONBDDDM-LURJTMIESA-N -1 1 347.335 -0.795 20 0 EBADMM Cc1ncc(CC(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)o1 ZINC001007488226 726794588 /nfs/dbraw/zinc/79/45/88/726794588.db2.gz MEBQOPRJXXTIMI-NSHDSACASA-N -1 1 334.380 -0.272 20 0 EBADMM C[C@@H](O)CN1CCC[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001008891882 726823858 /nfs/dbraw/zinc/82/38/58/726823858.db2.gz KUXUOJJNUMEEKE-MNOVXSKESA-N -1 1 334.380 -0.967 20 0 EBADMM O=C(NC[C@@H]1[C@H]2CN(C(=O)[C@@H]3CCC(=O)N3)C[C@H]21)c1ncccc1[O-] ZINC001008978248 726837832 /nfs/dbraw/zinc/83/78/32/726837832.db2.gz QTPMWWOPWVBKFK-YFKTTZPYSA-N -1 1 344.371 -0.500 20 0 EBADMM Cn1cnc(C(=O)NC[C@@H]2[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)n1 ZINC001009021479 726846354 /nfs/dbraw/zinc/84/63/54/726846354.db2.gz MOELXTIGUWQPOS-RTCCRHLQSA-N -1 1 342.359 -0.336 20 0 EBADMM Cc1nonc1CC(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001009038441 726849464 /nfs/dbraw/zinc/84/94/64/726849464.db2.gz OKGFRQMQGSRXBC-JTQLQIEISA-N -1 1 335.368 -0.877 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H]1CN(C(=O)CC(N)=O)CCO1 ZINC001009667338 726955555 /nfs/dbraw/zinc/95/55/55/726955555.db2.gz SUYOHNKLUMWDAM-MWLCHTKSSA-N -1 1 336.348 -0.992 20 0 EBADMM C[C@H](NC(=O)c1nnc[nH]1)[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001009674771 726958419 /nfs/dbraw/zinc/95/84/19/726958419.db2.gz AMLAUNISODHLAJ-ONGXEEELSA-N -1 1 346.347 -0.435 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(C(=O)C(C)(C)F)CC1 ZINC001009783063 727000487 /nfs/dbraw/zinc/00/04/87/727000487.db2.gz FAABARWNXNDGKW-UHFFFAOYSA-N -1 1 342.327 -0.071 20 0 EBADMM C[C@H]1C[C@H]1C(=O)N1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001010046572 727022322 /nfs/dbraw/zinc/02/23/22/727022322.db2.gz IQVFNTFDBXQAHV-NWDGAFQWSA-N -1 1 334.376 -0.747 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(C(=O)[C@@H]2C[C@H]2C)CC1 ZINC001010048323 727022572 /nfs/dbraw/zinc/02/25/72/727022572.db2.gz NPKQTLISPQOUNW-RKDXNWHRSA-N -1 1 336.348 -0.163 20 0 EBADMM C[C@H]1C[C@H](CNC(=O)c2nnn(C)n2)CN1C(=O)c1ncccc1[O-] ZINC001010122074 727032779 /nfs/dbraw/zinc/03/27/79/727032779.db2.gz KUUUNHJYIUXUOF-VHSXEESVSA-N -1 1 345.363 -0.409 20 0 EBADMM NC(=O)CC(=O)N1CCCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001010629916 727117392 /nfs/dbraw/zinc/11/73/92/727117392.db2.gz OPMYMPZQCWHOSH-UHFFFAOYSA-N -1 1 332.360 -0.273 20 0 EBADMM O=C(CN1CC[C@H](NC(=O)c2ncccc2[O-])C1)N1CCOCC1 ZINC001010730893 727135739 /nfs/dbraw/zinc/13/57/39/727135739.db2.gz ILMPEAMCWLMPQK-LBPRGKRZSA-N -1 1 334.376 -0.550 20 0 EBADMM Cc1c(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)ccn1C ZINC001051811884 735410141 /nfs/dbraw/zinc/41/01/41/735410141.db2.gz KJCJLQMXRNQKNX-NSHDSACASA-N -1 1 334.380 -0.212 20 0 EBADMM CC(=O)N1C[C@]2(C)CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@]2(C)C1 ZINC001010904554 727165251 /nfs/dbraw/zinc/16/52/51/727165251.db2.gz ZKEQGNGJPJSHLC-IYBDPMFKSA-N -1 1 344.375 -0.252 20 0 EBADMM CN1C[C@@H](C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)CCC1=O ZINC001011036218 727186213 /nfs/dbraw/zinc/18/62/13/727186213.db2.gz MZUZMIZQQNPXET-LBPRGKRZSA-N -1 1 346.387 -0.060 20 0 EBADMM CN1C(=O)CC[C@@H]1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011036875 727186632 /nfs/dbraw/zinc/18/66/32/727186632.db2.gz XPDZBFADXRHPSE-LLVKDONJSA-N -1 1 332.360 -0.308 20 0 EBADMM C[C@@H]1OCCO[C@@H]1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011036840 727186665 /nfs/dbraw/zinc/18/66/65/727186665.db2.gz VSUIZNDLYVYCMG-FZMZJTMJSA-N -1 1 335.360 -0.125 20 0 EBADMM NC(=O)[C@@H]1CC[C@H](C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)O1 ZINC001011036711 727186746 /nfs/dbraw/zinc/18/67/46/727186746.db2.gz XMFAMFYDFQPNOP-NWDGAFQWSA-N -1 1 348.359 -0.896 20 0 EBADMM CCN1CCOC[C@H]1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011037906 727187179 /nfs/dbraw/zinc/18/71/79/727187179.db2.gz SGORDDMGJRCWJM-ZDUSSCGKSA-N -1 1 348.403 -0.208 20 0 EBADMM O=C(CCc1cn[nH]n1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011037724 727187189 /nfs/dbraw/zinc/18/71/89/727187189.db2.gz KGMAZDHMFNGZRX-UHFFFAOYSA-N -1 1 330.348 -0.178 20 0 EBADMM O=C(CCc1c[nH]nn1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011037724 727187192 /nfs/dbraw/zinc/18/71/92/727187192.db2.gz KGMAZDHMFNGZRX-UHFFFAOYSA-N -1 1 330.348 -0.178 20 0 EBADMM CCn1nc(C)cc1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051841627 735423424 /nfs/dbraw/zinc/42/34/24/735423424.db2.gz LAWFUERXAHAVSZ-NSHDSACASA-N -1 1 349.395 -0.334 20 0 EBADMM O=C(CCc1cn[nH]c1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051861813 735432726 /nfs/dbraw/zinc/43/27/26/735432726.db2.gz OGBFMLAXPSTCEM-LLVKDONJSA-N -1 1 335.368 -0.817 20 0 EBADMM CC1(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)CCOCC1 ZINC001051868336 735435366 /nfs/dbraw/zinc/43/53/66/735435366.db2.gz DPNKNQHRHZLQNL-LLVKDONJSA-N -1 1 339.396 -0.356 20 0 EBADMM O=C(c1cn[nH]n1)N1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC001011152400 727476636 /nfs/dbraw/zinc/47/66/36/727476636.db2.gz JEDOSAAQSHAMBB-UHFFFAOYSA-N -1 1 343.347 -0.224 20 0 EBADMM CC1(C(=O)N2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC2)CC1 ZINC001011242413 727498421 /nfs/dbraw/zinc/49/84/21/727498421.db2.gz XEWKNOBBBKFTAQ-UHFFFAOYSA-N -1 1 330.348 -0.498 20 0 EBADMM Cc1cc(C(=O)N2CC[C@@H](NC(=O)Cn3c(=O)[n-][nH]c3=O)[C@H]2C)[nH]n1 ZINC001011543242 727539219 /nfs/dbraw/zinc/53/92/19/727539219.db2.gz URERGTPMDWRWMS-RKDXNWHRSA-N -1 1 349.351 -0.860 20 0 EBADMM Cc1ncc(C(=O)N2CC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)[C@H]2C)[nH]1 ZINC001011565763 727542681 /nfs/dbraw/zinc/54/26/81/727542681.db2.gz BUKYBJHSEGUUBQ-HTRCEHHLSA-N -1 1 347.335 -0.653 20 0 EBADMM C[C@@H]1C[C@H]1CC(=O)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001011637142 727551990 /nfs/dbraw/zinc/55/19/90/727551990.db2.gz BTUROWBPLQFTBL-MNOVXSKESA-N -1 1 344.375 -0.252 20 0 EBADMM C[C@H]1C[C@@H]1CC(=O)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001011637144 727552014 /nfs/dbraw/zinc/55/20/14/727552014.db2.gz BTUROWBPLQFTBL-WDEREUQCSA-N -1 1 344.375 -0.252 20 0 EBADMM C[C@@H]1[C@H](NC(=O)CN2CCOCC2)CCN1C(=O)c1ncccc1[O-] ZINC001011671002 727556363 /nfs/dbraw/zinc/55/63/63/727556363.db2.gz CZJNOWFTDBVLIW-CHWSQXEVSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)Cn1ncnn1 ZINC001011782003 727575668 /nfs/dbraw/zinc/57/56/68/727575668.db2.gz KFFHTBITGITTNR-UWVGGRQHSA-N -1 1 331.336 -0.807 20 0 EBADMM CC(C)C(=O)N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H]1C ZINC001011912676 727602514 /nfs/dbraw/zinc/60/25/14/727602514.db2.gz KWLKDGIHWQQEKR-NXEZZACHSA-N -1 1 332.364 -0.207 20 0 EBADMM C[C@@H]1[C@H](NC(=O)C2CC2)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001011914647 727602811 /nfs/dbraw/zinc/60/28/11/727602811.db2.gz UEAPBGMXUCXKCW-PSASIEDQSA-N -1 1 330.348 -0.453 20 0 EBADMM Cc1nc(C(=O)N[C@@H]2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)[C@H]2C)c[nH]1 ZINC001011941190 727606442 /nfs/dbraw/zinc/60/64/42/727606442.db2.gz CZOAIMQSUDKDFX-IONNQARKSA-N -1 1 349.351 -0.860 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CCn1cnnn1 ZINC001012009474 727621057 /nfs/dbraw/zinc/62/10/57/727621057.db2.gz NJZZJSOAAAJLHV-GHMZBOCLSA-N -1 1 345.363 -0.417 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CCN1C(=O)C(N)=O ZINC001012022046 727625044 /nfs/dbraw/zinc/62/50/44/727625044.db2.gz JQZHYVUXSWZNKC-XVKPBYJWSA-N -1 1 333.348 -0.736 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CN1C(=O)C1CC1 ZINC001012135870 727639660 /nfs/dbraw/zinc/63/96/60/727639660.db2.gz SLMMDPQOEOQJAF-PSASIEDQSA-N -1 1 330.348 -0.453 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CN1C(=O)C1CCC1 ZINC001012140940 727639693 /nfs/dbraw/zinc/63/96/93/727639693.db2.gz GFRLMMSWNWKUNW-GXSJLCMTSA-N -1 1 344.375 -0.063 20 0 EBADMM CCCC(=O)N1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC001012192814 727642879 /nfs/dbraw/zinc/64/28/79/727642879.db2.gz IDDAQJJUOVOVIM-NXEZZACHSA-N -1 1 332.364 -0.063 20 0 EBADMM O=C(CCc1cnccn1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051893928 735450589 /nfs/dbraw/zinc/45/05/89/735450589.db2.gz WODVUJOWMBOKRZ-LBPRGKRZSA-N -1 1 347.379 -0.750 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2cn(C)nn2)CN1C(=O)c1ncccc1[O-] ZINC001012510067 727673799 /nfs/dbraw/zinc/67/37/99/727673799.db2.gz KDPGFRHKRIPCEL-UWVGGRQHSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1ncn(C)n1 ZINC001012556025 727681161 /nfs/dbraw/zinc/68/11/61/727681161.db2.gz BCJVMLWPZNHEFU-NXEZZACHSA-N -1 1 330.348 -0.051 20 0 EBADMM O=C(C[C@@H]1CCCOC1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051937711 735475029 /nfs/dbraw/zinc/47/50/29/735475029.db2.gz ZBDZUKZXIRKZDM-RYUDHWBXSA-N -1 1 339.396 -0.356 20 0 EBADMM Cc1ccc(CC(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001051934298 735472439 /nfs/dbraw/zinc/47/24/39/735472439.db2.gz ONYPJPNAYUNXBJ-CYBMUJFWSA-N -1 1 346.391 -0.227 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H]1C[C@@H](C)N(C(=O)C(N)=O)C1 ZINC001013990276 727865319 /nfs/dbraw/zinc/86/53/19/727865319.db2.gz PBEJURXPYBHZMQ-SCZZXKLOSA-N -1 1 349.391 -0.181 20 0 EBADMM CC(=O)N[C@]12CCC[C@H]1N(C(=O)CCn1cc[n-]c(=O)c1=O)CC2 ZINC001014114934 727901802 /nfs/dbraw/zinc/90/18/02/727901802.db2.gz SDAMJCLDTYMAOC-WBMJQRKESA-N -1 1 334.376 -0.414 20 0 EBADMM CC(=O)N[C@@H]1CCC[C@@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@@H]21 ZINC001014597533 727974878 /nfs/dbraw/zinc/97/48/78/727974878.db2.gz JTIILJAKQZPSOO-HZSPNIEDSA-N -1 1 348.403 -0.310 20 0 EBADMM CC(C)Cn1nccc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001014603161 727975850 /nfs/dbraw/zinc/97/58/50/727975850.db2.gz NMWKJIUBXIBDGZ-LBPRGKRZSA-N -1 1 347.423 -0.035 20 0 EBADMM CCOc1ccc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001014609065 727977446 /nfs/dbraw/zinc/97/74/46/727977446.db2.gz CEHVLWMXLJXXKH-GFCCVEGCSA-N -1 1 346.391 -0.094 20 0 EBADMM C[C@@H]1[C@H](NC(=O)C2CC2)CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001014676937 727990723 /nfs/dbraw/zinc/99/07/23/727990723.db2.gz CXSGSVBOBWRVGX-MWLCHTKSSA-N -1 1 344.375 -0.063 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)C2CC2)CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001014676935 727991000 /nfs/dbraw/zinc/99/10/00/727991000.db2.gz CXSGSVBOBWRVGX-KOLCDFICSA-N -1 1 344.375 -0.063 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ncnc4[nH]ccc43)C2)nc1=O ZINC001015102424 728078896 /nfs/dbraw/zinc/07/88/96/728078896.db2.gz BXXGQXAHFGQUFA-VIFPVBQESA-N -1 1 342.363 -0.664 20 0 EBADMM O=C(N[C@@H]1CCN(Cc2ccc(CO)cc2)C1)c1n[nH]c(=O)[n-]c1=O ZINC001015108834 728080537 /nfs/dbraw/zinc/08/05/37/728080537.db2.gz DTKIURZOXQCFSH-GFCCVEGCSA-N -1 1 345.359 -0.221 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2nnn(C)n2)CCCN1C(=O)c1ncccc1[O-] ZINC001015129780 728089854 /nfs/dbraw/zinc/08/98/54/728089854.db2.gz VEUKDCAGAIRPLR-ZJUUUORDSA-N -1 1 345.363 -0.266 20 0 EBADMM CC(C)n1cnc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001015389776 728409773 /nfs/dbraw/zinc/40/97/73/728409773.db2.gz WNYGWNBBMXNWGT-NSHDSACASA-N -1 1 333.396 -0.110 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ccc4n[nH]cc4c3)C2)nc1=O ZINC001015626850 728425131 /nfs/dbraw/zinc/42/51/31/728425131.db2.gz PCIBEWMQFUIKBM-GFCCVEGCSA-N -1 1 341.375 -0.011 20 0 EBADMM COc1c(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c(C)nn1C ZINC001015784544 728431488 /nfs/dbraw/zinc/43/14/88/728431488.db2.gz KBGNKTFLQZPVGH-SNVBAGLBSA-N -1 1 349.395 -0.837 20 0 EBADMM CCn1nc(C)c(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC001015860763 728436093 /nfs/dbraw/zinc/43/60/93/728436093.db2.gz PTCMLAJMXSPNOW-LBPRGKRZSA-N -1 1 347.423 -0.054 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cccc4[nH]cnc43)C2)nc1=O ZINC001015890476 728437500 /nfs/dbraw/zinc/43/75/00/728437500.db2.gz PRRWKNAVAMXHRY-JTQLQIEISA-N -1 1 341.375 -0.011 20 0 EBADMM CCOc1cnc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001016422738 728471033 /nfs/dbraw/zinc/47/10/33/728471033.db2.gz FCDNLSMGTPNNQU-JTQLQIEISA-N -1 1 347.379 -0.699 20 0 EBADMM CN(C(=O)[C@@H]1CCNC1=O)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016834421 728515067 /nfs/dbraw/zinc/51/50/67/728515067.db2.gz WIBJEXNFMAWLQC-VQXHTEKXSA-N -1 1 332.360 -0.358 20 0 EBADMM NC(=O)CN1CCC2(C[C@H]2NC(=O)c2ccc3oc(=O)nc-3[n-]2)CC1 ZINC001016927381 728527185 /nfs/dbraw/zinc/52/71/85/728527185.db2.gz VYLYYGUWUUQTOM-LLVKDONJSA-N -1 1 345.359 -0.002 20 0 EBADMM CC(C)(C(N)=O)C(=O)N1CCC(C2(NC(=O)c3cnn[nH]3)CC2)CC1 ZINC001017177095 728552186 /nfs/dbraw/zinc/55/21/86/728552186.db2.gz XUBFUCXKIFYGIM-UHFFFAOYSA-N -1 1 348.407 -0.183 20 0 EBADMM O=C(NCC1=CCN(C(=O)c2ncccc2[O-])CC1)[C@H]1CNC(=O)N1 ZINC001017688381 728604434 /nfs/dbraw/zinc/60/44/34/728604434.db2.gz JOXXUAAILVOOCW-LLVKDONJSA-N -1 1 345.359 -0.643 20 0 EBADMM CCC(=O)N[C@H]1CC[C@H](C)N(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001017863591 728620995 /nfs/dbraw/zinc/62/09/95/728620995.db2.gz JYUGWULKGQGBHP-UWVGGRQHSA-N -1 1 332.364 -0.063 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)Cn2cnnn2)CN1C(=O)c1ncccc1[O-] ZINC001017959998 728625073 /nfs/dbraw/zinc/62/50/73/728625073.db2.gz CJKCHFPCNKRVIC-GHMZBOCLSA-N -1 1 345.363 -0.417 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)c2ccnnc2)nc1=O ZINC001017989873 728627607 /nfs/dbraw/zinc/62/76/07/728627607.db2.gz RFVPJUMXPLUSFT-TXEJJXNPSA-N -1 1 329.364 -0.613 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)[C@H]2C[C@]23CCOC3)nc1=O ZINC001018157796 728639389 /nfs/dbraw/zinc/63/93/89/728639389.db2.gz RJXFVSBZIUSLPF-ZHRONYKTSA-N -1 1 347.419 -0.290 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2cnn[nH]2)CN1C(=O)c1cn(C)c(=O)n1C ZINC001018251312 728649900 /nfs/dbraw/zinc/64/99/00/728649900.db2.gz QSMNUWFFISHXAJ-UWVGGRQHSA-N -1 1 347.379 -0.735 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)Cc2ccc[nH]2)nc1=O ZINC001018284800 728653547 /nfs/dbraw/zinc/65/35/47/728653547.db2.gz GROSGRFGIBCUKP-BETUJISGSA-N -1 1 330.392 -0.146 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CN1C(=O)c1ccn[nH]1 ZINC001018292071 728654047 /nfs/dbraw/zinc/65/40/47/728654047.db2.gz UVZUKYKWQOIXDB-SFYZADRCSA-N -1 1 347.335 -0.571 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2nnn(C)n2)CN1C(=O)c1ncccc1[O-] ZINC001018329659 728658545 /nfs/dbraw/zinc/65/85/45/728658545.db2.gz KLVJZPFGYRZNIS-VHSXEESVSA-N -1 1 345.363 -0.266 20 0 EBADMM CC(=O)NC[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)CC[C@H]1C ZINC001018589782 728678031 /nfs/dbraw/zinc/67/80/31/728678031.db2.gz KHULUVKTGFSTNN-YPMHNXCESA-N -1 1 336.392 -0.453 20 0 EBADMM O=C(Cn1cnnn1)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001018761959 728688343 /nfs/dbraw/zinc/68/83/43/728688343.db2.gz WIAMALHTNDIMDR-UHFFFAOYSA-N -1 1 343.347 -0.852 20 0 EBADMM Cc1c(CCC(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)cnn1C ZINC001018920054 728702581 /nfs/dbraw/zinc/70/25/81/728702581.db2.gz RGGTXJUHPOCDMU-LBPRGKRZSA-N -1 1 333.396 -0.124 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CC[C@H](NCc2ncccn2)C1 ZINC001019650697 728962533 /nfs/dbraw/zinc/96/25/33/728962533.db2.gz OUKVVEQFYWBRIP-JTQLQIEISA-N -1 1 340.347 -0.788 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2ncccc2[O-])CCCO1)c1cnon1 ZINC001019655906 728965083 /nfs/dbraw/zinc/96/50/83/728965083.db2.gz QQIXEHXAMUWMCU-JTQLQIEISA-N -1 1 347.331 -0.169 20 0 EBADMM O=C([C@@H]1CCc2nncn2C1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019807283 728997342 /nfs/dbraw/zinc/99/73/42/728997342.db2.gz GWIPTKMZDNZWEM-ZJUUUORDSA-N -1 1 332.368 -0.945 20 0 EBADMM COCC(=O)N1CC[C@@H]2OCCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC001019832842 729004005 /nfs/dbraw/zinc/00/40/05/729004005.db2.gz LPTPUWGHTJGNHG-AAEUAGOBSA-N -1 1 335.360 -0.125 20 0 EBADMM CO[C@@H](C)C(=O)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H]1C ZINC001020097551 729071656 /nfs/dbraw/zinc/07/16/56/729071656.db2.gz JZCHNPXCAQQZTR-GUBZILKMSA-N -1 1 348.363 -0.828 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@H]1COCCN1C ZINC001020196903 729096345 /nfs/dbraw/zinc/09/63/45/729096345.db2.gz BSBAKVJYISAJQX-RWMBFGLXSA-N -1 1 348.403 -0.163 20 0 EBADMM Cc1ncoc1CN[C@H]1C[C@H](NC(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001020460590 729175555 /nfs/dbraw/zinc/17/55/55/729175555.db2.gz HIIHHRAOJLHIOL-MGCOHNPYSA-N -1 1 333.348 -0.167 20 0 EBADMM Cc1nnc([C@H](C)N[C@H]2C[C@H](NC(=O)c3cnc([O-])n(C)c3=O)C2)[nH]1 ZINC001020461012 729175575 /nfs/dbraw/zinc/17/55/75/729175575.db2.gz ZNOKIMAFQNDLQC-HGNGGELXSA-N -1 1 347.379 -0.476 20 0 EBADMM C[C@@H](C(=O)NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1)n1cncn1 ZINC001021235452 729296478 /nfs/dbraw/zinc/29/64/78/729296478.db2.gz NHXXGFWITIDBLM-YEJSDXFRSA-N -1 1 346.395 -0.025 20 0 EBADMM O=C(C[C@@H]1CCNC1=O)NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001021280434 729302667 /nfs/dbraw/zinc/30/26/67/729302667.db2.gz YXIRZTRPDNHBML-YEJSDXFRSA-N -1 1 348.407 -0.352 20 0 EBADMM CN1C[C@@H](C(=O)NC2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[n-]2)NC1=O ZINC001021346543 729306821 /nfs/dbraw/zinc/30/68/21/729306821.db2.gz OEBOSJFJGLBGBQ-GYIHNLGQSA-N -1 1 349.395 -0.855 20 0 EBADMM CN1C(=O)CC[C@@H]1C(=O)NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001021358733 729307964 /nfs/dbraw/zinc/30/79/64/729307964.db2.gz XYQHRLCJDRYUQQ-FGNRJIRKSA-N -1 1 348.407 -0.257 20 0 EBADMM O=C(Cn1nccn1)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001021445240 729314391 /nfs/dbraw/zinc/31/43/91/729314391.db2.gz ZARIHEUARPBHBS-NWDGAFQWSA-N -1 1 342.359 -0.248 20 0 EBADMM Cc1nnc([C@@H](C)N[C@H]2C[C@@H](NC(=O)c3cnc([O-])n(C)c3=O)C2)[nH]1 ZINC001022530558 729504184 /nfs/dbraw/zinc/50/41/84/729504184.db2.gz ZNOKIMAFQNDLQC-QNSHHTMESA-N -1 1 347.379 -0.476 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)c1cncs1 ZINC001023140395 729618638 /nfs/dbraw/zinc/61/86/38/729618638.db2.gz BLCVOFAPOOZCFY-PSASIEDQSA-N -1 1 334.357 -0.141 20 0 EBADMM Cc1nn(C)cc1C(=O)NC[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001023273773 729654529 /nfs/dbraw/zinc/65/45/29/729654529.db2.gz QEZOYGUWTOGWTJ-GFCCVEGCSA-N -1 1 347.423 -0.208 20 0 EBADMM Cn1cncc1CN1CCC[C@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001023468009 729695863 /nfs/dbraw/zinc/69/58/63/729695863.db2.gz HCFKTSBNWMVWDT-SNVBAGLBSA-N -1 1 347.379 -0.342 20 0 EBADMM Cc1nc(CN2CCC[C@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001023467792 729695908 /nfs/dbraw/zinc/69/59/08/729695908.db2.gz ADWBGGXUFSIWKY-SECBINFHSA-N -1 1 348.367 -0.649 20 0 EBADMM CS[C@H](C)C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023488516 729702403 /nfs/dbraw/zinc/70/24/03/729702403.db2.gz LMQIUTBKSSYYCC-FXPVBKGRSA-N -1 1 325.390 -0.160 20 0 EBADMM O=C(Cc1cc[nH]n1)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023488584 729702545 /nfs/dbraw/zinc/70/25/45/729702545.db2.gz OJIKTQACJLRZHE-ZYHUDNBSSA-N -1 1 331.332 -0.946 20 0 EBADMM O=C(Cc1ccn[nH]1)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023488584 729702551 /nfs/dbraw/zinc/70/25/51/729702551.db2.gz OJIKTQACJLRZHE-ZYHUDNBSSA-N -1 1 331.332 -0.946 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)C2=COCCC2)C[C@H]1O)c1ncccc1[O-] ZINC001023488682 729702751 /nfs/dbraw/zinc/70/27/51/729702751.db2.gz SCCZEIYNRKIXIN-DGCLKSJQSA-N -1 1 333.344 -0.217 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)C2CCOCC2)C[C@H]1O)c1ncccc1[O-] ZINC001023488808 729702757 /nfs/dbraw/zinc/70/27/57/729702757.db2.gz YDHOGLAFNSLMMW-DGCLKSJQSA-N -1 1 335.360 -0.485 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)C1=CCOCC1 ZINC001023489052 729702956 /nfs/dbraw/zinc/70/29/56/729702956.db2.gz KEBQRTFODQTTLS-DGCLKSJQSA-N -1 1 333.344 -0.565 20 0 EBADMM CCn1nccc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001023503153 729707235 /nfs/dbraw/zinc/70/72/35/729707235.db2.gz NDZYOCNMLATLHI-ZWNOBZJWSA-N -1 1 345.359 -0.381 20 0 EBADMM Cn1nccc1CC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001023533398 729715488 /nfs/dbraw/zinc/71/54/88/729715488.db2.gz AAJIIEBPUMKQQF-DGCLKSJQSA-N -1 1 345.359 -0.935 20 0 EBADMM CNC(=O)CN1CCC[C@H](CNC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001023636952 729743779 /nfs/dbraw/zinc/74/37/79/729743779.db2.gz YHEVTXYMICUJPC-LLVKDONJSA-N -1 1 346.391 -0.790 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H]2CNC(=O)c2cnsn2)nc1=O ZINC001024341705 729875069 /nfs/dbraw/zinc/87/50/69/729875069.db2.gz JYJXJTVLFJGNNM-VIFPVBQESA-N -1 1 337.409 -0.256 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H]2CNC(=O)c2nccnc2N)nc1=O ZINC001024370277 729878693 /nfs/dbraw/zinc/87/86/93/729878693.db2.gz PZZRVKKRAZWEQM-JTQLQIEISA-N -1 1 346.395 -0.735 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)ncn1 ZINC001024396901 729881581 /nfs/dbraw/zinc/88/15/81/729881581.db2.gz WEJFZOGKGVOXSP-GFCCVEGCSA-N -1 1 345.407 -0.009 20 0 EBADMM O=C(C[C@H]1COC(=O)C1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024927467 729942903 /nfs/dbraw/zinc/94/29/03/729942903.db2.gz QTHLEVLQMPIRKR-GHMZBOCLSA-N -1 1 337.380 -0.066 20 0 EBADMM Cn1ncc(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)c1N ZINC001024936858 729944189 /nfs/dbraw/zinc/94/41/89/729944189.db2.gz NTXTWWAPOPVYKL-SECBINFHSA-N -1 1 334.384 -0.390 20 0 EBADMM Cc1nnc(CC(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)[nH]1 ZINC001024959046 729946484 /nfs/dbraw/zinc/94/64/84/729946484.db2.gz JZCLMSVLJBYLME-SNVBAGLBSA-N -1 1 334.384 -0.350 20 0 EBADMM C[C@@H]1OCCO[C@@H]1C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024989487 729983085 /nfs/dbraw/zinc/98/30/85/729983085.db2.gz MVIYBLCXVKAKQK-LOWVWBTDSA-N -1 1 339.396 -0.215 20 0 EBADMM O=C(c1cn[nH]c(=O)c1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024992755 729983567 /nfs/dbraw/zinc/98/35/67/729983567.db2.gz WEGCZHYQQXUDAO-SNVBAGLBSA-N -1 1 333.352 -0.210 20 0 EBADMM Cn1nc(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)ccc1=O ZINC001025154170 730007781 /nfs/dbraw/zinc/00/77/81/730007781.db2.gz PBPMDRFIEMBZQT-SNVBAGLBSA-N -1 1 347.379 -0.612 20 0 EBADMM Cn1cc(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)n(C)c1=O ZINC001025169996 730009666 /nfs/dbraw/zinc/00/96/66/730009666.db2.gz SEMOZLHWYMPRKB-SNVBAGLBSA-N -1 1 349.395 -0.668 20 0 EBADMM CCC(=O)N[C@@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C12CCC2 ZINC001025826687 730098604 /nfs/dbraw/zinc/09/86/04/730098604.db2.gz ATGRQSVTUHPWAX-MNOVXSKESA-N -1 1 344.375 -0.015 20 0 EBADMM CO[C@H]1CCCC[C@H]1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001026152712 730127077 /nfs/dbraw/zinc/12/70/77/730127077.db2.gz PZZLOZVFSFHEOR-BIGJJFBESA-N -1 1 349.435 -0.140 20 0 EBADMM Cn1cnnc1CNC1CCC(NC(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001026522391 730148536 /nfs/dbraw/zinc/14/85/36/730148536.db2.gz FLXYAJJTBPHEBJ-UHFFFAOYSA-N -1 1 348.367 -0.758 20 0 EBADMM Cc1noc(CNC[C@@H]2CCN(C(=O)c3cnc([O-])n(C)c3=O)C2)n1 ZINC001026986159 730178872 /nfs/dbraw/zinc/17/88/72/730178872.db2.gz JATONVOUGZJGGW-JTQLQIEISA-N -1 1 348.363 -0.571 20 0 EBADMM O=C([C@@H]1CCCS1(=O)=O)N1CC[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001027225067 730200897 /nfs/dbraw/zinc/20/08/97/730200897.db2.gz DNNHLILBCVKHCX-UWVGGRQHSA-N -1 1 343.409 -0.974 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H]2CNC(=O)c2cc[nH]c(=O)c2)nc1=O ZINC001027821632 730241096 /nfs/dbraw/zinc/24/10/96/730241096.db2.gz OBTAGYZEPNOCDA-LLVKDONJSA-N -1 1 332.364 -0.397 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H]2CNC(=O)c2cn3c(n2)CCC3)nc1=O ZINC001027890509 730246196 /nfs/dbraw/zinc/24/61/96/730246196.db2.gz BOFMVWUAOLUTFI-LLVKDONJSA-N -1 1 345.407 -0.355 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H]2CNC(=O)c2cnc3n2CCC3)nc1=O ZINC001027940769 730249561 /nfs/dbraw/zinc/24/95/61/730249561.db2.gz UHYLIYWMUKKRIV-LLVKDONJSA-N -1 1 345.407 -0.355 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)nc(C)n1 ZINC001028200642 730273879 /nfs/dbraw/zinc/27/38/79/730273879.db2.gz TUCUMNXGATUDET-GFCCVEGCSA-N -1 1 345.407 -0.090 20 0 EBADMM CCCn1cc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)nn1 ZINC001028230413 730276784 /nfs/dbraw/zinc/27/67/84/730276784.db2.gz NOVKSRDKMXIULR-LLVKDONJSA-N -1 1 348.411 -0.496 20 0 EBADMM Cc1nccc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001028324524 730283611 /nfs/dbraw/zinc/28/36/11/730283611.db2.gz RNHZFEDIVIHXHE-LLVKDONJSA-N -1 1 331.380 -0.399 20 0 EBADMM O=C(NC[C@@H]1CCN(Cc2cc(=O)n3[n-]ccc3n2)C1)c1cn[nH]c1 ZINC001028421711 730291637 /nfs/dbraw/zinc/29/16/37/730291637.db2.gz WTLQWCHOSRSPQB-NSHDSACASA-N -1 1 341.375 -0.002 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)c3ccncc3F)C2)nc1=O ZINC001028454161 730294884 /nfs/dbraw/zinc/29/48/84/730294884.db2.gz OEXHUQJRTKHCIV-SNVBAGLBSA-N -1 1 334.355 -0.106 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)c3cnn4c3CCC4)C2)nc1=O ZINC001028580210 730316272 /nfs/dbraw/zinc/31/62/72/730316272.db2.gz SLRWVCRMWSBYQO-LLVKDONJSA-N -1 1 345.407 -0.497 20 0 EBADMM Cc1ncc(CN2CC[C@@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)cn1 ZINC001028661979 730334637 /nfs/dbraw/zinc/33/46/37/730334637.db2.gz RKHYHMCGKVYYIS-JTQLQIEISA-N -1 1 345.363 -0.367 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)c3ccc(C(N)=O)o3)C2)nc1=O ZINC001028676571 730337369 /nfs/dbraw/zinc/33/73/69/730337369.db2.gz FWONOPHGZBRXFL-SECBINFHSA-N -1 1 348.363 -0.948 20 0 EBADMM Cn1cncc1CN1CC[C@@H](CNC(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001028732994 730346225 /nfs/dbraw/zinc/34/62/25/730346225.db2.gz UXQWPVXABVEYKL-NSHDSACASA-N -1 1 346.391 -0.529 20 0 EBADMM O=C(NC[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCCc2n[nH]nc21 ZINC001028766087 730353397 /nfs/dbraw/zinc/35/33/97/730353397.db2.gz MIAGGGCHPVOBSL-VHSXEESVSA-N -1 1 346.395 -0.313 20 0 EBADMM CNC(=O)[C@H](C)N1CC[C@@H](CNC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001028853798 730363471 /nfs/dbraw/zinc/36/34/71/730363471.db2.gz PTMUZNQAMKJXBF-QWRGUYRKSA-N -1 1 346.391 -0.791 20 0 EBADMM O=C(NC[C@H]1CCN(Cc2cc(=O)n3[n-]ccc3n2)C1)c1cnon1 ZINC001028882584 730366859 /nfs/dbraw/zinc/36/68/59/730366859.db2.gz JASZLFUWILLFGM-SNVBAGLBSA-N -1 1 343.347 -0.343 20 0 EBADMM O=C(NC[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCc2nc[nH]c2C1 ZINC001028917493 730370344 /nfs/dbraw/zinc/37/03/44/730370344.db2.gz LNLUUWGAQGIVCV-WDEREUQCSA-N -1 1 345.407 -0.023 20 0 EBADMM Cc1[nH]nc(C(=O)N2C[C@H]3CC[C@@H](C2)N3[C@H]2CCCNC2=O)c1[O-] ZINC001029273129 730395888 /nfs/dbraw/zinc/39/58/88/730395888.db2.gz RAEADKPAUSDYRX-TUAOUCFPSA-N -1 1 333.392 -0.009 20 0 EBADMM Cc1n[nH]cc1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029415668 730403903 /nfs/dbraw/zinc/40/39/03/730403903.db2.gz VDXMZULELQEATQ-PHIMTYICSA-N -1 1 331.380 -0.371 20 0 EBADMM Cc1ccnn1CC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029497025 730407963 /nfs/dbraw/zinc/40/79/63/730407963.db2.gz XAJYSVUVQSMZPC-BETUJISGSA-N -1 1 345.407 -0.511 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)[C@H]2CN(C)CCO2)C1 ZINC001029687135 730484082 /nfs/dbraw/zinc/48/40/82/730484082.db2.gz BBWIRFPTYIXIFY-VXGBXAGGSA-N -1 1 338.412 -0.879 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)[C@H]2CCCNC2=O)C1 ZINC001029761534 730496778 /nfs/dbraw/zinc/49/67/78/730496778.db2.gz UICSJOUVVUUVQW-MNOVXSKESA-N -1 1 336.396 -0.683 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)[C@@H]2CCN(C)C2=O)C1 ZINC001029810742 730505732 /nfs/dbraw/zinc/50/57/32/730505732.db2.gz QHBTWELJIDEJCK-WDEREUQCSA-N -1 1 336.396 -0.731 20 0 EBADMM COc1cncc(C(=O)N2CC[C@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001029861290 730514003 /nfs/dbraw/zinc/51/40/03/730514003.db2.gz MJHRBQQYJFPORU-SNVBAGLBSA-N -1 1 347.379 -0.097 20 0 EBADMM CCn1cc(C(=O)N2CC[C@@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)nn1 ZINC001029880426 730517854 /nfs/dbraw/zinc/51/78/54/730517854.db2.gz YYIBLDYWADXQCD-JTQLQIEISA-N -1 1 334.384 -0.284 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)c2cccn(C)c2=O)C1 ZINC001029889935 730519775 /nfs/dbraw/zinc/51/97/75/730519775.db2.gz STTUXWQTENNDND-LLVKDONJSA-N -1 1 346.391 -0.197 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)CCn2ccnn2)CC1 ZINC001029960142 730528783 /nfs/dbraw/zinc/52/87/83/730528783.db2.gz SWUVMXYPSNXJPJ-UHFFFAOYSA-N -1 1 348.411 -0.138 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)Cn2cncn2)CC1 ZINC001030012303 730534881 /nfs/dbraw/zinc/53/48/81/730534881.db2.gz IPUDCBOBJCEAFQ-UHFFFAOYSA-N -1 1 334.384 -0.528 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@@H]3CCCc4n[nH]cc43)C2)nc1=O ZINC001030314651 730567048 /nfs/dbraw/zinc/56/70/48/730567048.db2.gz XCPHLJAAVCGBLT-SNVBAGLBSA-N -1 1 331.380 -0.748 20 0 EBADMM Cn1ncc(Cl)c1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030320846 730568285 /nfs/dbraw/zinc/56/82/85/730568285.db2.gz OUWUYINFQQSXRF-UHFFFAOYSA-N -1 1 325.760 -0.891 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cccc4c3OCCO4)C2)nc1=O ZINC001030398225 730579682 /nfs/dbraw/zinc/57/96/82/730579682.db2.gz BEWUBDGUQXVBOI-UHFFFAOYSA-N -1 1 345.359 -0.506 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cn(C(C)(C)C)nn3)C2)nc1=O ZINC001030410127 730581903 /nfs/dbraw/zinc/58/19/03/730581903.db2.gz BHSHPUWPTDDOIG-UHFFFAOYSA-N -1 1 334.384 -0.931 20 0 EBADMM Cc1cn2cc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)sc2n1 ZINC001030443593 730586663 /nfs/dbraw/zinc/58/66/63/730586663.db2.gz VSBAQIWFVGQKGU-UHFFFAOYSA-N -1 1 347.404 -0.260 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@@H]3CCCn4nccc43)C2)nc1=O ZINC001030546988 730602991 /nfs/dbraw/zinc/60/29/91/730602991.db2.gz WKRCJBJHQRKREI-LLVKDONJSA-N -1 1 331.380 -0.817 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ncoc3C(F)(F)F)C2)nc1=O ZINC001030573944 730606684 /nfs/dbraw/zinc/60/66/84/730606684.db2.gz SVWHSPIPGOLBCE-UHFFFAOYSA-N -1 1 346.269 -0.271 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ccn4ccccc34)C2)nc1=O ZINC001030660298 730621360 /nfs/dbraw/zinc/62/13/60/730621360.db2.gz FIBCRTDJLKHWCE-UHFFFAOYSA-N -1 1 326.360 -0.025 20 0 EBADMM C[C@@H]1C[C@@H](CN2CC(NC(=O)c3cnc([O-])n(C)c3=O)C2)CCO1 ZINC001030779797 730636925 /nfs/dbraw/zinc/63/69/25/730636925.db2.gz JNLUTDKXSFEKEJ-MNOVXSKESA-N -1 1 336.392 -0.285 20 0 EBADMM Cn1cnc2ccc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)nc21 ZINC001030951953 730657482 /nfs/dbraw/zinc/65/74/82/730657482.db2.gz AKPKZPVUGZLPNI-UHFFFAOYSA-N -1 1 342.363 -0.996 20 0 EBADMM COCc1ccccc1CC(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031089550 730673622 /nfs/dbraw/zinc/67/36/22/730673622.db2.gz DOXJKXXBQAAZHO-UHFFFAOYSA-N -1 1 345.403 -0.202 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(Cc2ccccn2)C1 ZINC001031249552 730692927 /nfs/dbraw/zinc/69/29/27/730692927.db2.gz BPEAUORMYMXOAG-UHFFFAOYSA-N -1 1 331.332 -0.094 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(Cc2nncs2)C1 ZINC001031249948 730693639 /nfs/dbraw/zinc/69/36/39/730693639.db2.gz MKVQPPLJQZKNNW-UHFFFAOYSA-N -1 1 338.349 -0.638 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN([C@H](C)c2nnc(C)[nH]2)C1 ZINC001031250196 730693918 /nfs/dbraw/zinc/69/39/18/730693918.db2.gz XNLUNUVURGWHKB-ZCFIWIBFSA-N -1 1 349.351 -0.502 20 0 EBADMM Cc1ncc(CN2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)cn1 ZINC001031251449 730695108 /nfs/dbraw/zinc/69/51/08/730695108.db2.gz LCZFSTIVZAVIQA-UHFFFAOYSA-N -1 1 340.347 -0.870 20 0 EBADMM O=C(NC1CN(Cc2cncs2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001031252159 730695142 /nfs/dbraw/zinc/69/51/42/730695142.db2.gz BEMMGLLSIVVZAA-UHFFFAOYSA-N -1 1 331.361 -0.512 20 0 EBADMM CC1(C)CO[C@H](CN2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)C1 ZINC001031252456 730695478 /nfs/dbraw/zinc/69/54/78/730695478.db2.gz GWAFLTDGJOWDRS-NSHDSACASA-N -1 1 346.391 -0.353 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@@H]3Cc4cccnc4C3)C2)nc1=O ZINC001031312844 730700713 /nfs/dbraw/zinc/70/07/13/730700713.db2.gz UJYQWRIMCATRKF-LLVKDONJSA-N -1 1 328.376 -0.781 20 0 EBADMM Cn1nnc2ccc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)cc21 ZINC001031318912 730701888 /nfs/dbraw/zinc/70/18/88/730701888.db2.gz ARQSJTQHDNIANG-UHFFFAOYSA-N -1 1 342.363 -0.996 20 0 EBADMM COc1ccc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)cc1OC ZINC001031339162 730705485 /nfs/dbraw/zinc/70/54/85/730705485.db2.gz FWEBPQDAPRVYJX-UHFFFAOYSA-N -1 1 347.375 -0.260 20 0 EBADMM CCc1nc(CC(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)cs1 ZINC001031432047 730722579 /nfs/dbraw/zinc/72/25/79/730722579.db2.gz KMUITVFOWNGJCC-UHFFFAOYSA-N -1 1 336.421 -0.330 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@H]3CCc4cncn4C3)C2)nc1=O ZINC001031783648 730768854 /nfs/dbraw/zinc/76/88/54/730768854.db2.gz DCWWNTMTPZVQTK-LBPRGKRZSA-N -1 1 345.407 -0.885 20 0 EBADMM Cc1cc(C)nc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001031800827 730770638 /nfs/dbraw/zinc/77/06/38/730770638.db2.gz FEKGDFFPJWOGRA-UHFFFAOYSA-N -1 1 330.392 -0.018 20 0 EBADMM CCOc1cc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)on1 ZINC001031851125 730780464 /nfs/dbraw/zinc/78/04/64/730780464.db2.gz IYIZXNQKBJVMGR-UHFFFAOYSA-N -1 1 336.352 -0.643 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cnn4cccnc34)C2)nc1=O ZINC001031892770 730786262 /nfs/dbraw/zinc/78/62/62/730786262.db2.gz SDVONRKVQUIMJQ-UHFFFAOYSA-N -1 1 342.363 -0.987 20 0 EBADMM O=C(NCC1CN(Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CCCc2n[nH]nc21 ZINC001031931916 730794669 /nfs/dbraw/zinc/79/46/69/730794669.db2.gz ZAMSGXZROZAOPE-VIFPVBQESA-N -1 1 332.368 -0.704 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@H]3Cc4ccccc43)C2)nc1=O ZINC001031943829 730795770 /nfs/dbraw/zinc/79/57/70/730795770.db2.gz JUPGQXLOIBOMRH-AWEZNQCLSA-N -1 1 327.388 -0.004 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cnc4cccnn43)C2)nc1=O ZINC001032033449 730805399 /nfs/dbraw/zinc/80/53/99/730805399.db2.gz GMRMVCDYLBHFJK-UHFFFAOYSA-N -1 1 342.363 -0.987 20 0 EBADMM Cn1ncc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1C1CC1 ZINC001032225481 730832085 /nfs/dbraw/zinc/83/20/85/730832085.db2.gz FAZPUIZSBNRPND-UHFFFAOYSA-N -1 1 345.407 -0.419 20 0 EBADMM Cc1cncc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)c1 ZINC001032329389 730842238 /nfs/dbraw/zinc/84/22/38/730842238.db2.gz JLNZZJUALWWRBL-STQMWFEESA-N -1 1 328.376 -0.089 20 0 EBADMM CS(=O)(=O)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1[O-] ZINC001032369356 730846893 /nfs/dbraw/zinc/84/68/93/730846893.db2.gz BWOBMOLWMPYCRH-QWRGUYRKSA-N -1 1 325.390 -0.270 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)Cc2ccc(F)cn2)nc1=O ZINC001032480958 730870942 /nfs/dbraw/zinc/87/09/42/730870942.db2.gz HBKVSUQABPUUON-STQMWFEESA-N -1 1 346.366 -0.330 20 0 EBADMM COc1cc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)ccn1 ZINC001032493490 730874313 /nfs/dbraw/zinc/87/43/13/730874313.db2.gz VZGCGPXRZTUBEW-RYUDHWBXSA-N -1 1 344.375 -0.389 20 0 EBADMM Cc1c(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)ccn1C ZINC001032613002 730899682 /nfs/dbraw/zinc/89/96/82/730899682.db2.gz CHSDFFNZKVJVHG-RYUDHWBXSA-N -1 1 330.392 -0.146 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)c2cc(C3CC3)n[nH]2)nc1=O ZINC001032644794 730904820 /nfs/dbraw/zinc/90/48/20/730904820.db2.gz VVBGYQGSVYPKCC-QWRGUYRKSA-N -1 1 343.391 -0.192 20 0 EBADMM Cc1cc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)ncn1 ZINC001033016291 730957333 /nfs/dbraw/zinc/95/73/33/730957333.db2.gz ZXIUIUIVHOYRCJ-LLVKDONJSA-N -1 1 331.380 -0.447 20 0 EBADMM CN(C(=O)[C@H]1CCCOCC1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033043748 730962056 /nfs/dbraw/zinc/96/20/56/730962056.db2.gz QKRPOKQSHIYNOA-QWHCGFSZSA-N -1 1 337.424 -0.042 20 0 EBADMM CN(C(=O)c1cn2c(n1)CCC2)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033090254 730969441 /nfs/dbraw/zinc/96/94/41/730969441.db2.gz LUULZTFZBPOKFI-NSHDSACASA-N -1 1 345.407 -0.402 20 0 EBADMM CCc1n[nH]cc1C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033220941 730990236 /nfs/dbraw/zinc/99/02/36/730990236.db2.gz NTZHJHFXYRYSGU-JTQLQIEISA-N -1 1 333.396 -0.260 20 0 EBADMM CN(C(=O)c1ccc(C(N)=O)o1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033247662 730995273 /nfs/dbraw/zinc/99/52/73/730995273.db2.gz NEUGZZRWFCLVHM-VIFPVBQESA-N -1 1 348.363 -0.853 20 0 EBADMM CN(C(=O)c1cn(C)ccc1=O)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033257337 730997333 /nfs/dbraw/zinc/99/73/33/730997333.db2.gz PKDWRUPYXPJJLU-NSHDSACASA-N -1 1 346.391 -0.846 20 0 EBADMM Cc1cnn(C)c1C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033339528 731010896 /nfs/dbraw/zinc/01/08/96/731010896.db2.gz WPWCSEIHQIABHH-LLVKDONJSA-N -1 1 333.396 -0.503 20 0 EBADMM CN(C(=O)CC1=CCOCC1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033384183 731018190 /nfs/dbraw/zinc/01/81/90/731018190.db2.gz QOTAWBJLDRUXLV-CYBMUJFWSA-N -1 1 335.408 -0.122 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033509178 731033240 /nfs/dbraw/zinc/03/32/40/731033240.db2.gz DNJFMRRVMFGYJR-LLVKDONJSA-N -1 1 333.396 -0.205 20 0 EBADMM COc1c(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001033626434 731049943 /nfs/dbraw/zinc/04/99/43/731049943.db2.gz ALPRQSZRCBLXFM-JTQLQIEISA-N -1 1 349.395 -0.803 20 0 EBADMM CCN(C(=O)c1cnc(C)n1C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033940150 731092487 /nfs/dbraw/zinc/09/24/87/731092487.db2.gz LHFMTDUYTIYLJW-LBPRGKRZSA-N -1 1 347.423 -0.113 20 0 EBADMM CCN(C(=O)c1nc(C)c[nH]1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033981123 731095390 /nfs/dbraw/zinc/09/53/90/731095390.db2.gz CIYPXBVCBPZYGW-LLVKDONJSA-N -1 1 333.396 -0.123 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H](NC(=O)c3cnccn3)C2)nc1=O ZINC001034093846 731102476 /nfs/dbraw/zinc/10/24/76/731102476.db2.gz WJGQFHREDLIANB-LLVKDONJSA-N -1 1 331.380 -0.317 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC001034117796 731104193 /nfs/dbraw/zinc/10/41/93/731104193.db2.gz PXIAYQPMEHXGJP-NSHDSACASA-N -1 1 333.396 -0.076 20 0 EBADMM Cc1nnccc1C(=O)N[C@@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034292933 731118569 /nfs/dbraw/zinc/11/85/69/731118569.db2.gz UHWKCODNQVTEJE-GFCCVEGCSA-N -1 1 345.407 -0.009 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H](NC(=O)Cc3c[nH]cn3)C2)nc1=O ZINC001034418351 731129927 /nfs/dbraw/zinc/12/99/27/731129927.db2.gz DZBCLPQURBDOEV-LLVKDONJSA-N -1 1 333.396 -0.455 20 0 EBADMM Cn1ncc(C(=O)N[C@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001034452975 731133289 /nfs/dbraw/zinc/13/32/89/731133289.db2.gz RFICGKYQGFFAQD-JTQLQIEISA-N -1 1 334.384 -0.979 20 0 EBADMM Cn1ncc(C(=O)N[C@@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001034452976 731133319 /nfs/dbraw/zinc/13/33/19/731133319.db2.gz RFICGKYQGFFAQD-SNVBAGLBSA-N -1 1 334.384 -0.979 20 0 EBADMM Cc1nonc1CC(=O)N[C@@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034463787 731133801 /nfs/dbraw/zinc/13/38/01/731133801.db2.gz AOJIUGAANCNUTC-LLVKDONJSA-N -1 1 349.395 -0.487 20 0 EBADMM Cc1nonc1CN[C@H]1CC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001034681652 731150335 /nfs/dbraw/zinc/15/03/35/731150335.db2.gz ZHKBUQUVGAIGIV-KYZUINATSA-N -1 1 349.351 -0.195 20 0 EBADMM Cn1ccc(CCC(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)n1 ZINC001034950894 731166813 /nfs/dbraw/zinc/16/68/13/731166813.db2.gz KBHFDAAPVSDTRM-GFCCVEGCSA-N -1 1 333.396 -0.043 20 0 EBADMM Cc1cc(=O)c(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)cn1C ZINC001034966340 731169834 /nfs/dbraw/zinc/16/98/34/731169834.db2.gz AKRSMNMEPUJVGM-LLVKDONJSA-N -1 1 346.391 -0.088 20 0 EBADMM CCN1CCOC[C@@H]1C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034979294 731171831 /nfs/dbraw/zinc/17/18/31/731171831.db2.gz CCJIDFFWFHZFPR-VXGBXAGGSA-N -1 1 338.412 -0.688 20 0 EBADMM O=C(c1ccnc2n[nH]nc21)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034990606 731174057 /nfs/dbraw/zinc/17/40/57/731174057.db2.gz UECQRZPHURZDHF-MRVPVSSYSA-N -1 1 343.351 -0.429 20 0 EBADMM O=C(c1nc[nH]n1)N1CCC2(CCN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035239614 731199967 /nfs/dbraw/zinc/19/99/67/731199967.db2.gz MJGLMCMYIOMPNU-UHFFFAOYSA-N -1 1 332.368 -0.243 20 0 EBADMM Cc1ccc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)cn1 ZINC001035290902 731206145 /nfs/dbraw/zinc/20/61/45/731206145.db2.gz PKUKYFZZOIAYJU-ZDUSSCGKSA-N -1 1 346.391 -0.557 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)[C@@H]3CC=CCC3)C2)nc1=O ZINC001035295580 731207114 /nfs/dbraw/zinc/20/71/14/731207114.db2.gz ZYCRRPHNKMNQIS-OLZOCXBDSA-N -1 1 335.408 -0.218 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)C3=COCCC3)C2)nc1=O ZINC001035310566 731211248 /nfs/dbraw/zinc/21/12/48/731211248.db2.gz RFRLFRZIJGIGQB-GFCCVEGCSA-N -1 1 337.380 -0.880 20 0 EBADMM Cc1cc(C)c(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)o1 ZINC001035327891 731216598 /nfs/dbraw/zinc/21/65/98/731216598.db2.gz ZOGKIHNQTHCJRJ-GFCCVEGCSA-N -1 1 349.391 -0.051 20 0 EBADMM O=C(NC[C@@H]1CN([C@@H]2CCC(=O)NC2=O)CCO1)c1ncccc1[O-] ZINC001035343340 731221723 /nfs/dbraw/zinc/22/17/23/731221723.db2.gz HVZDTKCVZLPGNQ-GHMZBOCLSA-N -1 1 348.359 -0.977 20 0 EBADMM C[C@@H](C(=O)N(C)C)N1CCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001035344335 731222486 /nfs/dbraw/zinc/22/24/86/731222486.db2.gz QCRSTIFRKNIKAZ-NWDGAFQWSA-N -1 1 336.392 -0.306 20 0 EBADMM Cc1coc(C)c1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035351669 731225086 /nfs/dbraw/zinc/22/50/86/731225086.db2.gz DXPNTOUKDJEKGQ-GFCCVEGCSA-N -1 1 349.391 -0.051 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCN2C[C@@H](O)Cn2cccn2)c1[O-] ZINC001038162437 731289245 /nfs/dbraw/zinc/28/92/45/731289245.db2.gz VOVUUTSXYVZLMW-NWDGAFQWSA-N -1 1 334.380 -0.515 20 0 EBADMM Cn1ncc(Cl)c1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038247598 731298370 /nfs/dbraw/zinc/29/83/70/731298370.db2.gz JBKYCUGMWUQYQY-QMMMGPOBSA-N -1 1 339.787 -0.500 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cn(C3CCC3)nn2)nc1=O ZINC001038258909 731299965 /nfs/dbraw/zinc/29/99/65/731299965.db2.gz UGYQSFNPDKBUKA-NSHDSACASA-N -1 1 346.395 -0.571 20 0 EBADMM CCOc1ccc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001038310534 731305285 /nfs/dbraw/zinc/30/52/85/731305285.db2.gz JLDFNKIDMZYUTG-LBPRGKRZSA-N -1 1 346.391 -0.094 20 0 EBADMM CC(C)Cn1nccc1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038312022 731305590 /nfs/dbraw/zinc/30/55/90/731305590.db2.gz QQROUBUYMGEMBM-GFCCVEGCSA-N -1 1 347.423 -0.035 20 0 EBADMM COCc1cncc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)c1 ZINC001038354995 731311590 /nfs/dbraw/zinc/31/15/90/731311590.db2.gz QWMQHPAEPVYJFX-ZDUSSCGKSA-N -1 1 346.391 -0.346 20 0 EBADMM CCc1nn(C)cc1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038376685 731315210 /nfs/dbraw/zinc/31/52/10/731315210.db2.gz WXTLOOUWLHHNGA-SNVBAGLBSA-N -1 1 333.396 -0.591 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cc3cccn3cn2)nc1=O ZINC001038394138 731318416 /nfs/dbraw/zinc/31/84/16/731318416.db2.gz MPVOFOGLMGYFFB-LBPRGKRZSA-N -1 1 341.375 -0.240 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@@H]2CCn3cncc3C2)nc1=O ZINC001038418991 731321289 /nfs/dbraw/zinc/32/12/89/731321289.db2.gz RVDGUSJITWIYSJ-VXGBXAGGSA-N -1 1 345.407 -0.742 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@@H]2CCn3ccnc3C2)nc1=O ZINC001038424876 731323567 /nfs/dbraw/zinc/32/35/67/731323567.db2.gz WDTANAGZXQITST-VXGBXAGGSA-N -1 1 345.407 -0.742 20 0 EBADMM CCc1nnsc1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038475781 731332665 /nfs/dbraw/zinc/33/26/65/731332665.db2.gz GMESVKQKJYPPRO-QMMMGPOBSA-N -1 1 337.409 -0.473 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cnc(Cl)n2C)nc1=O ZINC001038512341 731337349 /nfs/dbraw/zinc/33/73/49/731337349.db2.gz YZTZNERQBFLVTA-MRVPVSSYSA-N -1 1 339.787 -0.500 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cnn3cccnc23)nc1=O ZINC001038590009 731349503 /nfs/dbraw/zinc/34/95/03/731349503.db2.gz UWKVOUGSEUBBHZ-SNVBAGLBSA-N -1 1 342.363 -0.845 20 0 EBADMM CC(C)N1CCO[C@H](C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001038626708 731356273 /nfs/dbraw/zinc/35/62/73/731356273.db2.gz HLBPHJAGUHPRPV-NEPJUHHUSA-N -1 1 338.412 -0.690 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cccn3ccnc23)nc1=O ZINC001038804886 731378905 /nfs/dbraw/zinc/37/89/05/731378905.db2.gz QJPZVUFEDQTJHN-LLVKDONJSA-N -1 1 341.375 -0.240 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cccc3[nH]cnc32)nc1=O ZINC001038840773 731383886 /nfs/dbraw/zinc/38/38/86/731383886.db2.gz XLYBWVDOTLSNIB-JTQLQIEISA-N -1 1 341.375 -0.011 20 0 EBADMM COc1cccc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001038876356 731387803 /nfs/dbraw/zinc/38/78/03/731387803.db2.gz LBFDVEUMMBUGHB-JTQLQIEISA-N -1 1 332.364 -0.484 20 0 EBADMM O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[n-]1)[C@H]1CCc2[nH]cnc2C1 ZINC001038893852 731388690 /nfs/dbraw/zinc/38/86/90/731388690.db2.gz OOMOUFLWEULRCH-UWVGGRQHSA-N -1 1 331.380 -0.271 20 0 EBADMM O=C(NC[C@H]1CCN1Cc1ccccn1)c1cc(=O)n2[n-]cnc2n1 ZINC001038922782 731393239 /nfs/dbraw/zinc/39/32/39/731393239.db2.gz QCDSLADIJWNBQO-GFCCVEGCSA-N -1 1 339.359 -0.183 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cnn3cc[nH]c23)nc1=O ZINC001038951477 731396678 /nfs/dbraw/zinc/39/66/78/731396678.db2.gz YJYRNFLZMYVJCO-VIFPVBQESA-N -1 1 330.352 -0.912 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cn3ccccc3n2)nc1=O ZINC001038990387 731399654 /nfs/dbraw/zinc/39/96/54/731399654.db2.gz YOLAPUPFDGXSDY-LLVKDONJSA-N -1 1 341.375 -0.240 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@@H]2CCc3c[nH]nc3C2)nc1=O ZINC001039045412 731410974 /nfs/dbraw/zinc/41/09/74/731410974.db2.gz GPLRHMWNJHEDHX-PWSUYJOCSA-N -1 1 345.407 -0.673 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CC[C@@H](C2)N3C(=O)c2cnns2)nc1=O ZINC001039340642 731428187 /nfs/dbraw/zinc/42/81/87/731428187.db2.gz GOWZNNZVXGKMGN-ZJUUUORDSA-N -1 1 349.420 -0.161 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CC[C@@H](C2)N3C(=O)c2ncccn2)nc1=O ZINC001039456624 731436462 /nfs/dbraw/zinc/43/64/62/731436462.db2.gz FYMCYCLBBRALDF-NEPJUHHUSA-N -1 1 343.391 -0.223 20 0 EBADMM O=C(CC1(O)CCC1)NC[C@@]1(O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001039670348 731484460 /nfs/dbraw/zinc/48/44/60/731484460.db2.gz SGRUHSOVVJIRHN-KRWDZBQOSA-N -1 1 349.387 -0.215 20 0 EBADMM Cn1ccc(C(=O)NC[C@]2(O)CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001039760640 731523713 /nfs/dbraw/zinc/52/37/13/731523713.db2.gz QLSKXESKNOECIF-MRXNPFEDSA-N -1 1 345.359 -0.472 20 0 EBADMM CN1CC(C(=O)N2C[C@H]3CCC[C@@H](C2)N3Cc2n[nH]c(=O)[n-]2)=NC1=O ZINC001039893539 731558053 /nfs/dbraw/zinc/55/80/53/731558053.db2.gz JEZQYRSIQQMZPO-AOOOYVTPSA-N -1 1 347.379 -0.172 20 0 EBADMM O=C(NC[C@@]1(O)CCN(C(=O)c2ncccc2[O-])C1)[C@H]1CCCO1 ZINC001040104364 731601361 /nfs/dbraw/zinc/60/13/61/731601361.db2.gz NSXNWOQMMDZQKJ-WBMJQRKESA-N -1 1 335.360 -0.341 20 0 EBADMM C[C@H]1[C@@H](Nc2ncccn2)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001040151053 731624709 /nfs/dbraw/zinc/62/47/09/731624709.db2.gz HQYKKYUXLGLJOU-RYUDHWBXSA-N -1 1 344.375 -0.182 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(CCN(C(=O)c4cnccn4)C3)C2)nc1=O ZINC001041031691 731967056 /nfs/dbraw/zinc/96/70/56/731967056.db2.gz WWUYFUVUUNPFDQ-MRXNPFEDSA-N -1 1 343.391 -0.363 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC[C@]3(CCN([C@H]4CCNC4=O)C3)C2)c1[O-] ZINC001041208644 732032111 /nfs/dbraw/zinc/03/21/11/732032111.db2.gz WWVYJMQAMLLGGR-ZBEGNZNMSA-N -1 1 333.392 -0.150 20 0 EBADMM CC(=O)CCCC(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001041447150 732129995 /nfs/dbraw/zinc/12/99/95/732129995.db2.gz CMWOYZMRVPHDQF-UHFFFAOYSA-N -1 1 335.360 -0.150 20 0 EBADMM Cc1ocnc1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001041814913 732230778 /nfs/dbraw/zinc/23/07/78/732230778.db2.gz QKSGOOFMBRUJGE-UHFFFAOYSA-N -1 1 332.316 -0.299 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)C4=CCOCC4)C[C@H]32)nc1=O ZINC001042024917 732280406 /nfs/dbraw/zinc/28/04/06/732280406.db2.gz AJSJXGDJQGGUBT-TZMCWYRMSA-N -1 1 347.419 -0.122 20 0 EBADMM CCn1cc(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)cn1 ZINC001042167056 732340622 /nfs/dbraw/zinc/34/06/22/732340622.db2.gz NWPSDVHMSRVDHR-UHFFFAOYSA-N -1 1 345.359 -0.380 20 0 EBADMM Cc1[nH]nc(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)c1C ZINC001042193666 732350723 /nfs/dbraw/zinc/35/07/23/732350723.db2.gz AMWXFUMKNZSYRD-UHFFFAOYSA-N -1 1 345.359 -0.256 20 0 EBADMM O=C(CCc1c[nH]nn1)N1CC[C@@H]2CCN(Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001042240875 732371790 /nfs/dbraw/zinc/37/17/90/732371790.db2.gz OPMVITDHSILIKO-CMPLNLGQSA-N -1 1 346.395 -0.316 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)c4cnon4)C[C@@H]32)nc1=O ZINC001042275293 732386511 /nfs/dbraw/zinc/38/65/11/732386511.db2.gz AKZIAGZFKORGLJ-ONGXEEELSA-N -1 1 333.352 -0.772 20 0 EBADMM O=C(CCn1cccn1)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042712858 732545960 /nfs/dbraw/zinc/54/59/60/732545960.db2.gz JOOCBIUHLKACCW-UHFFFAOYSA-N -1 1 345.359 -0.623 20 0 EBADMM Cn1cccc1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042712848 732546093 /nfs/dbraw/zinc/54/60/93/732546093.db2.gz JFLHJBGXVRFDEA-UHFFFAOYSA-N -1 1 330.344 -0.257 20 0 EBADMM Cc1cc(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)on1 ZINC001042712485 732546275 /nfs/dbraw/zinc/54/62/75/732546275.db2.gz AQAIZHNWAFWVPV-UHFFFAOYSA-N -1 1 332.316 -0.299 20 0 EBADMM CO[C@H](C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1)C1CCC1 ZINC001042719383 732550086 /nfs/dbraw/zinc/55/00/86/732550086.db2.gz BURXMJWSVCIUNE-AWEZNQCLSA-N -1 1 349.387 -0.095 20 0 EBADMM CO[C@H]1CCC[C@H]1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042719968 732550413 /nfs/dbraw/zinc/55/04/13/732550413.db2.gz OTJRMRHDVQHWIE-YPMHNXCESA-N -1 1 349.387 -0.095 20 0 EBADMM O=C(C[C@H]1CCCOC1)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042720151 732551220 /nfs/dbraw/zinc/55/12/20/732551220.db2.gz SBLLYAAWPSFINS-GFCCVEGCSA-N -1 1 349.387 -0.093 20 0 EBADMM C[C@@H]1OCCC[C@H]1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001042808926 732596504 /nfs/dbraw/zinc/59/65/04/732596504.db2.gz FVVZMGCBPZZAJT-NWDGAFQWSA-N -1 1 349.387 -0.095 20 0 EBADMM CN(C(=O)c1cc(C2CC2)nn1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042815436 732601751 /nfs/dbraw/zinc/60/17/51/732601751.db2.gz KOTMNXQHOAPTRI-UHFFFAOYSA-N -1 1 345.407 -0.324 20 0 EBADMM O=C(NC[C@H]1CCN(c2nccn3nnnc23)C1)c1ncccc1[O-] ZINC001061589913 738632179 /nfs/dbraw/zinc/63/21/79/738632179.db2.gz ODXMCRINZGFLSE-SNVBAGLBSA-N -1 1 340.347 -0.124 20 0 EBADMM Cc1ccncc1CC(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042963520 732685830 /nfs/dbraw/zinc/68/58/30/732685830.db2.gz RJGVYWIBKCMWLW-UHFFFAOYSA-N -1 1 330.392 -0.303 20 0 EBADMM CCc1c[nH]c(CC(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001042969922 732690113 /nfs/dbraw/zinc/69/01/13/732690113.db2.gz RZTJTLAXRXZUDB-UHFFFAOYSA-N -1 1 333.396 -0.721 20 0 EBADMM CN(C(=O)[C@@H]1CCc2cncn2C1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043141176 732784670 /nfs/dbraw/zinc/78/46/70/732784670.db2.gz YODZOVMGABVTSF-LLVKDONJSA-N -1 1 345.407 -0.790 20 0 EBADMM CN(C(=O)c1onc2c1CCCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043332561 732874134 /nfs/dbraw/zinc/87/41/34/732874134.db2.gz RSDJPQRNMOJWOI-UHFFFAOYSA-N -1 1 346.391 -0.068 20 0 EBADMM CN(C(=O)c1ccn2ccnc2c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043331560 732874277 /nfs/dbraw/zinc/87/42/77/732874277.db2.gz WFTBXNWJQSREKE-UHFFFAOYSA-N -1 1 341.375 -0.288 20 0 EBADMM C[C@H]1C[C@@H](C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)CO1 ZINC001043626082 733030543 /nfs/dbraw/zinc/03/05/43/733030543.db2.gz PDBBHNBJDJVYAH-WDEREUQCSA-N -1 1 335.360 -0.485 20 0 EBADMM CO[C@H]1CC[C@H](C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001043637707 733035954 /nfs/dbraw/zinc/03/59/54/733035954.db2.gz APQXCSAWAZNOCH-RYUDHWBXSA-N -1 1 349.387 -0.095 20 0 EBADMM COCC(C)(C)C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001043867822 733163293 /nfs/dbraw/zinc/16/32/93/733163293.db2.gz BXQLVYGTDZHSFM-UHFFFAOYSA-N -1 1 337.376 -0.237 20 0 EBADMM COc1cc(CC(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)ccn1 ZINC001043881647 733173806 /nfs/dbraw/zinc/17/38/06/733173806.db2.gz CTDXFKIPRMNSAV-UHFFFAOYSA-N -1 1 346.391 -0.603 20 0 EBADMM CN(C(=O)[C@@H]1Cc2ccncc2C1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043884659 733175433 /nfs/dbraw/zinc/17/54/33/733175433.db2.gz HQGRCIGQHQDTLF-GFCCVEGCSA-N -1 1 342.403 -0.439 20 0 EBADMM CN(C(=O)[C@@H]1C[C@H]1c1cnn(C)c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043939835 733210761 /nfs/dbraw/zinc/21/07/61/733210761.db2.gz WZDRKXVCMCZTLX-QWHCGFSZSA-N -1 1 345.407 -0.712 20 0 EBADMM C[C@@H]1OCC[C@]1(C)C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001043943694 733212566 /nfs/dbraw/zinc/21/25/66/733212566.db2.gz DASVKMJKYZELLU-ZBEGNZNMSA-N -1 1 349.387 -0.095 20 0 EBADMM O=C(Cn1ccnc1)NC[C@@H]1COCCN1C(=O)c1ncccc1[O-] ZINC001061682181 738692779 /nfs/dbraw/zinc/69/27/79/738692779.db2.gz FORKIVWYRPPIRH-GFCCVEGCSA-N -1 1 345.359 -0.359 20 0 EBADMM CC[C@@H]1C[C@H](C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)CCO1 ZINC001044154675 733305860 /nfs/dbraw/zinc/30/58/60/733305860.db2.gz YNOPHPXBNQSVDM-DGCLKSJQSA-N -1 1 337.424 -0.044 20 0 EBADMM CN(C(=O)c1c2c(nn1C)CCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044177303 733317339 /nfs/dbraw/zinc/31/73/39/733317339.db2.gz KKCXAOKDUZQWLL-UHFFFAOYSA-N -1 1 345.407 -0.713 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C1CN(Cc2cnn(C)c2)C1 ZINC001044180195 733319446 /nfs/dbraw/zinc/31/94/46/733319446.db2.gz GOQFKJLTHDPOPX-UHFFFAOYSA-N -1 1 348.363 -0.414 20 0 EBADMM Cc1oncc1CN1CC(N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001044181680 733321209 /nfs/dbraw/zinc/32/12/09/733321209.db2.gz GBXWPPDTFBCSCE-UHFFFAOYSA-N -1 1 343.347 -0.330 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(Cc2cncs2)C1 ZINC001044181581 733321470 /nfs/dbraw/zinc/32/14/70/733321470.db2.gz CGLVYWHIKGWSOQ-UHFFFAOYSA-N -1 1 345.388 -0.170 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(Cc2csnn2)C1 ZINC001044181908 733321732 /nfs/dbraw/zinc/32/17/32/733321732.db2.gz LLQUEFJTYFFAQJ-UHFFFAOYSA-N -1 1 346.376 -0.775 20 0 EBADMM C[C@H](C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1)c1cccnc1 ZINC001044199787 733325831 /nfs/dbraw/zinc/32/58/31/733325831.db2.gz VOGPPMNPXLEFKW-NSHDSACASA-N -1 1 330.392 -0.050 20 0 EBADMM COc1cccnc1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044230108 733331561 /nfs/dbraw/zinc/33/15/61/733331561.db2.gz KEGIGNFONKAFFB-UHFFFAOYSA-N -1 1 332.364 -0.532 20 0 EBADMM Cc1nc([C@@H](C)N2CC(N(C)C(=O)Cn3c(=O)[n-][nH]c3=O)C2)n[nH]1 ZINC001044236698 733333566 /nfs/dbraw/zinc/33/35/66/733333566.db2.gz PIPWHFRFXSTBMG-SSDOTTSWSA-N -1 1 336.356 -0.980 20 0 EBADMM CN(C(=O)[C@H]1CCc2c[nH]nc2C1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044320646 733380301 /nfs/dbraw/zinc/38/03/01/733380301.db2.gz NQHSHLTYELHIQU-JTQLQIEISA-N -1 1 345.407 -0.721 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C1CS(=O)(=O)C1 ZINC001044609590 733463683 /nfs/dbraw/zinc/46/36/83/733463683.db2.gz HRTULRSDJKFXTF-WCBMZHEXSA-N -1 1 343.409 -0.976 20 0 EBADMM Cc1nnc(CN[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)[C@@H](C)C2)o1 ZINC001044663844 733474793 /nfs/dbraw/zinc/47/47/93/733474793.db2.gz NWSZAZSRDKVHRC-IONNQARKSA-N -1 1 349.351 -0.243 20 0 EBADMM Cc1nonc1CN[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)[C@@H](C)C1 ZINC001044663776 733475001 /nfs/dbraw/zinc/47/50/01/733475001.db2.gz NTZGPGIRRXIDBD-IONNQARKSA-N -1 1 349.351 -0.243 20 0 EBADMM C[C@H]1C[C@H](NCc2cnon2)CCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001044664941 733475318 /nfs/dbraw/zinc/47/53/18/733475318.db2.gz WFZIPNNYRYYOLA-JGVFFNPUSA-N -1 1 335.324 -0.551 20 0 EBADMM Cc1cc(OCC(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)no1 ZINC001045075909 733573924 /nfs/dbraw/zinc/57/39/24/733573924.db2.gz RWSFALIHXCTEBB-JTQLQIEISA-N -1 1 336.352 -0.034 20 0 EBADMM CC[C@]1(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CCNC1=O ZINC001045075646 733574053 /nfs/dbraw/zinc/57/40/53/733574053.db2.gz CGFCTSSUAHDIQP-BONVTDFDSA-N -1 1 336.396 -0.493 20 0 EBADMM Cn1ccc(CNC[C@@H]2CCCN2C(=O)c2n[nH]c(=O)[n-]c2=O)n1 ZINC001045106320 733583662 /nfs/dbraw/zinc/58/36/62/733583662.db2.gz JDTPUWBGUQSDLS-JTQLQIEISA-N -1 1 333.352 -0.589 20 0 EBADMM C[C@@]1(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)CCOC1 ZINC001045299518 733658588 /nfs/dbraw/zinc/65/85/88/733658588.db2.gz ZLUZMGPLVQHTNT-OAHLLOKOSA-N -1 1 335.360 -0.483 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)CCO1 ZINC001045312415 733665786 /nfs/dbraw/zinc/66/57/86/733665786.db2.gz ZTTUFXZMSWQJNI-NEPJUHHUSA-N -1 1 349.387 -0.095 20 0 EBADMM Cc1cc(C(=O)NC2(C)CCN(Cc3nc(=O)n(C)[n-]3)CC2)ncn1 ZINC001045407690 733688626 /nfs/dbraw/zinc/68/86/26/733688626.db2.gz BNVMFDHZGRMGIA-UHFFFAOYSA-N -1 1 345.407 -0.009 20 0 EBADMM Cn1ncc(C(=O)NC2(C)CCN(Cc3nc(=O)n(C)[n-]3)CC2)c1N ZINC001045417014 733690943 /nfs/dbraw/zinc/69/09/43/733690943.db2.gz QVIUTJPEUUJXJN-UHFFFAOYSA-N -1 1 348.411 -0.791 20 0 EBADMM Cc1cnn(C)c1C(=O)NC1(C)CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001045529426 733715231 /nfs/dbraw/zinc/71/52/31/733715231.db2.gz TYGOZIDAFPXVRZ-UHFFFAOYSA-N -1 1 347.423 -0.065 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@]2(C)CCN(CCN3CCNC3=O)C2)c1[O-] ZINC001046238584 733891256 /nfs/dbraw/zinc/89/12/56/733891256.db2.gz HEDQOWUWNSEDGE-OAHLLOKOSA-N -1 1 336.396 -0.357 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](C)(NC(=O)c3cnn4c3CCC4)C2)nc1=O ZINC001046366616 733918411 /nfs/dbraw/zinc/91/84/11/733918411.db2.gz HCXNNYRNVSRVMT-MRXNPFEDSA-N -1 1 345.407 -0.355 20 0 EBADMM Cc1nc([C@@H](C)N2CC[C@](C)(NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001046451332 733938877 /nfs/dbraw/zinc/93/88/77/733938877.db2.gz AETVXSZZZSOIBX-UOWDBTKRSA-N -1 1 348.367 -0.335 20 0 EBADMM CCn1ccc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001046677284 733999744 /nfs/dbraw/zinc/99/97/44/733999744.db2.gz JYOZAKVNXJCEJE-OAHLLOKOSA-N -1 1 333.396 -0.281 20 0 EBADMM Cc1ccc(C(=O)N(C)[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)cn1 ZINC001047301815 734122588 /nfs/dbraw/zinc/12/25/88/734122588.db2.gz GWGNRAMNOKXOOL-STQMWFEESA-N -1 1 346.391 -0.871 20 0 EBADMM Cc1ccncc1C(=O)N(C)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047333062 734140047 /nfs/dbraw/zinc/14/00/47/734140047.db2.gz NGYOQESLMYUPNP-STQMWFEESA-N -1 1 346.391 -0.871 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(Cc2nncn2C)C[C@@H]1O ZINC001047358953 734152020 /nfs/dbraw/zinc/15/20/20/734152020.db2.gz NZUXVBLSWWGIMR-JQWIXIFHSA-N -1 1 332.364 -0.767 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(Cc2nccn2C)C[C@@H]1O ZINC001047358747 734152061 /nfs/dbraw/zinc/15/20/61/734152061.db2.gz JESCUWFNAPRGPK-AAEUAGOBSA-N -1 1 331.376 -0.162 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(Cc2cnsn2)C[C@@H]1O ZINC001047358962 734152139 /nfs/dbraw/zinc/15/21/39/734152139.db2.gz OLCQSSGBHAIBRG-JQWIXIFHSA-N -1 1 335.389 -0.044 20 0 EBADMM C[C@@H](c1nnnn1C)N1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001047359461 734152377 /nfs/dbraw/zinc/15/23/77/734152377.db2.gz WRAAZJFREIKGLU-NHCYSSNCSA-N -1 1 347.379 -0.811 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1C[N@@H+](CCc2ccnn2C)C[C@@H]1O ZINC001047359195 734152406 /nfs/dbraw/zinc/15/24/06/734152406.db2.gz RJABHKWPROJLBH-ZFWWWQNUSA-N -1 1 345.403 -0.120 20 0 EBADMM CN(C(=O)[C@H]1CCCC12CC2)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047428495 734189838 /nfs/dbraw/zinc/18/98/38/734189838.db2.gz JVBMHLDUGROYCC-AGIUHOORSA-N -1 1 349.435 -0.308 20 0 EBADMM Cc1nonc1CN[C@H](C)[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001047893726 734318823 /nfs/dbraw/zinc/31/88/23/734318823.db2.gz GSQBGAMEPUVXJJ-VXNVDRBHSA-N -1 1 349.351 -0.385 20 0 EBADMM C[C@H]1CN(C(=O)c2cnc([O-])n(C)c2=O)C[C@@H]1CNCc1cnon1 ZINC001048337398 734392957 /nfs/dbraw/zinc/39/29/57/734392957.db2.gz HXMZARXWPCKJOA-UWVGGRQHSA-N -1 1 348.363 -0.633 20 0 EBADMM COCCCC(=O)N1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001048722232 734482885 /nfs/dbraw/zinc/48/28/85/734482885.db2.gz IEGZJLMABXVPMQ-AAEUAGOBSA-N -1 1 337.376 -0.143 20 0 EBADMM CNC(=O)CN1C[C@@H]2CN(C(=O)c3ccc4oc(=O)nc-4[n-]3)C[C@@H]2C1 ZINC001049169757 734674161 /nfs/dbraw/zinc/67/41/61/734674161.db2.gz SKXRYYKALDXSIH-AOOOYVTPSA-N -1 1 345.359 -0.322 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@@H]2CCCO2)nc1=O ZINC001049309284 734706578 /nfs/dbraw/zinc/70/65/78/734706578.db2.gz VWWZRKIDGQLECQ-XQQFMLRXSA-N -1 1 335.408 -0.147 20 0 EBADMM Cn1cc(C(=O)N2CCC[C@H]3[C@@H]2CCN3Cc2nc(=O)n(C)[n-]2)cn1 ZINC001049319378 734708938 /nfs/dbraw/zinc/70/89/38/734708938.db2.gz VVWCRUWMOFPRED-STQMWFEESA-N -1 1 345.407 -0.279 20 0 EBADMM Cn1nccc1C(=O)N1CCC[C@@H]2[C@@H]1CCN2Cc1nc(=O)n(C)[n-]1 ZINC001049373670 734716058 /nfs/dbraw/zinc/71/60/58/734716058.db2.gz PJKFYYGLGMOHFN-NEPJUHHUSA-N -1 1 345.407 -0.279 20 0 EBADMM CCC(=O)N1CC[C@@H]([C@@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001049640783 734771197 /nfs/dbraw/zinc/77/11/97/734771197.db2.gz ZMJHYEILLFRRLR-VXGBXAGGSA-N -1 1 336.392 -0.310 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@H]2CCCN3C(=O)[C@H]2CCCO2)nc1=O ZINC001049915439 734806715 /nfs/dbraw/zinc/80/67/15/734806715.db2.gz VWWZRKIDGQLECQ-FRRDWIJNSA-N -1 1 335.408 -0.147 20 0 EBADMM Cc1nonc1C(=O)N1CCC[C@H]2[C@H]1CCN2Cc1nc(=O)n(C)[n-]1 ZINC001049932885 734809797 /nfs/dbraw/zinc/80/97/97/734809797.db2.gz OSXFDEUOMDBRQA-WDEREUQCSA-N -1 1 347.379 -0.321 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)CNC(N)=O)C1 ZINC001049984653 734818508 /nfs/dbraw/zinc/81/85/08/734818508.db2.gz VOVXAMNKNGBIDG-UWVGGRQHSA-N -1 1 335.364 -0.578 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCCN(C(=O)[C@H]4CCOC4)[C@@H]3C2)nc1=O ZINC001049990597 734820317 /nfs/dbraw/zinc/82/03/17/734820317.db2.gz JUUUVXQGJXUISR-RWMBFGLXSA-N -1 1 335.408 -0.432 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCCN(C(=O)c4cccnn4)[C@@H]3C2)nc1=O ZINC001050169277 734859170 /nfs/dbraw/zinc/85/91/70/734859170.db2.gz DZYUDDNWBNBUFT-WCQYABFASA-N -1 1 343.391 -0.365 20 0 EBADMM NC(=O)NCC(=O)NC[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001062149584 738865809 /nfs/dbraw/zinc/86/58/09/738865809.db2.gz LIWISYHTEQISMJ-SNVBAGLBSA-N -1 1 335.364 -0.434 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)c2ccc(F)cc2)nc1=O ZINC001050792805 734961043 /nfs/dbraw/zinc/96/10/43/734961043.db2.gz SGEWYWGPLJOTCY-CYBMUJFWSA-N -1 1 349.366 -0.122 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)c2cscn2)nc1=O ZINC001050847219 734974520 /nfs/dbraw/zinc/97/45/20/734974520.db2.gz JUBZSPUDQDIVMV-SECBINFHSA-N -1 1 338.393 -0.804 20 0 EBADMM Cn1nncc1CN1CCOC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001050891186 734990723 /nfs/dbraw/zinc/99/07/23/734990723.db2.gz NXKZBYQJYRFUHW-LBPRGKRZSA-N -1 1 332.364 -0.454 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)C2C=CC=CC=C2)nc1=O ZINC001050940576 735006861 /nfs/dbraw/zinc/00/68/61/735006861.db2.gz SBYMKUTYIPPUSJ-AWEZNQCLSA-N -1 1 345.403 -0.276 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)c2cc(F)c[nH]2)nc1=O ZINC001051030480 735040471 /nfs/dbraw/zinc/04/04/71/735040471.db2.gz AOFRZGBFACFFNB-SNVBAGLBSA-N -1 1 338.343 -0.794 20 0 EBADMM Cc1coc(C(=O)NC[C@H]2COCCN2Cc2nc(=O)n(C)[n-]2)c1 ZINC001051077281 735058908 /nfs/dbraw/zinc/05/89/08/735058908.db2.gz CSOJPYWOIAQTSC-NSHDSACASA-N -1 1 335.364 -0.359 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)[C@H]2C[C@H]3C[C@H]3C2)nc1=O ZINC001051082140 735060437 /nfs/dbraw/zinc/06/04/37/735060437.db2.gz UHYQOLSWABSRFF-LOWDOPEQSA-N -1 1 335.408 -0.529 20 0 EBADMM O=C(NC[C@@H]1COCCN1CCCF)c1cc(=O)n2[n-]cnc2n1 ZINC001051210523 735099773 /nfs/dbraw/zinc/09/97/73/735099773.db2.gz WVZGWEWRQGLZJQ-SNVBAGLBSA-N -1 1 338.343 -0.792 20 0 EBADMM COCC(=O)N[C@@H](C)[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001051248534 735111416 /nfs/dbraw/zinc/11/14/16/735111416.db2.gz CIEODIISWNORGY-UWVGGRQHSA-N -1 1 348.363 -0.969 20 0 EBADMM O=C(C[C@@H]1CCCO1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051462462 735161984 /nfs/dbraw/zinc/16/19/84/735161984.db2.gz CXOUIQHZTNQCSP-QWRGUYRKSA-N -1 1 325.369 -0.604 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)nn1C ZINC001051473532 735166615 /nfs/dbraw/zinc/16/66/15/735166615.db2.gz OSSHBLGLWRECIS-JTQLQIEISA-N -1 1 335.368 -0.817 20 0 EBADMM O=C(C[C@H]1CCCCO1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051539567 735224638 /nfs/dbraw/zinc/22/46/38/735224638.db2.gz IGHFZPLFDUFMIR-NEPJUHHUSA-N -1 1 339.396 -0.214 20 0 EBADMM O=C(c1cncc(F)c1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051539321 735224795 /nfs/dbraw/zinc/22/47/95/735224795.db2.gz HGPJDUXYDFMZHM-NSHDSACASA-N -1 1 336.327 -0.325 20 0 EBADMM Cc1ccc(CC(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)o1 ZINC001051556033 735230637 /nfs/dbraw/zinc/23/06/37/735230637.db2.gz QPPDQUPUHYGCBO-GFCCVEGCSA-N -1 1 335.364 -0.029 20 0 EBADMM Cc1ccc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)nn1 ZINC001051610917 735263668 /nfs/dbraw/zinc/26/36/68/735263668.db2.gz FRTCEHKGKUDGOQ-JTQLQIEISA-N -1 1 333.352 -0.760 20 0 EBADMM O=C(c1cn2c(n1)CCC2)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051625329 735271799 /nfs/dbraw/zinc/27/17/99/735271799.db2.gz YYFOBNBIIVUBEO-SNVBAGLBSA-N -1 1 347.379 -0.716 20 0 EBADMM C[C@@H](C(N)=O)N1CCC[C@H](NC(=O)c2c[n-]n3c2nccc3=O)CC1 ZINC001052774861 735687264 /nfs/dbraw/zinc/68/72/64/735687264.db2.gz LUGJJKJPYSMGSZ-QWRGUYRKSA-N -1 1 346.391 -0.519 20 0 EBADMM C[C@H](C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1)C1CC1 ZINC001053206886 735778298 /nfs/dbraw/zinc/77/82/98/735778298.db2.gz YBJJVFZBCZSCJZ-NSHDSACASA-N -1 1 335.408 -0.432 20 0 EBADMM CCC(C)(C)C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053398511 735860759 /nfs/dbraw/zinc/86/07/59/735860759.db2.gz JEAOHGRYWFBGFG-UHFFFAOYSA-N -1 1 337.424 -0.042 20 0 EBADMM C[C@H]1C[C@H]1CC(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053410418 735864922 /nfs/dbraw/zinc/86/49/22/735864922.db2.gz OJPLWUBFDNNEJZ-RYUDHWBXSA-N -1 1 335.408 -0.432 20 0 EBADMM CC(C)C1(C(=O)N2CCOC3(CN(Cc4nc(=O)n(C)[n-]4)C3)C2)CC1 ZINC001053422871 735867037 /nfs/dbraw/zinc/86/70/37/735867037.db2.gz UVKYRMZIRQUSQV-UHFFFAOYSA-N -1 1 349.435 -0.042 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053438893 735874439 /nfs/dbraw/zinc/87/44/39/735874439.db2.gz UREQXMJFHSBNQQ-VXGBXAGGSA-N -1 1 335.408 -0.432 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCOC2(CN(CC3CC3)C2)C1 ZINC001053474080 735889126 /nfs/dbraw/zinc/88/91/26/735889126.db2.gz BTWSXTDOQRNHRT-UHFFFAOYSA-N -1 1 348.403 -0.750 20 0 EBADMM CCCN1CC2(C1)CN(C(=O)c1[nH]c(=O)[n-]c(=O)c1OC)CCO2 ZINC001053507790 735909320 /nfs/dbraw/zinc/90/93/20/735909320.db2.gz SIDVYDXZVCPSMX-UHFFFAOYSA-N -1 1 338.364 -0.167 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CC[C@@H](CNC(=O)c2ccoc2)O3)nc1=O ZINC001053574359 735929432 /nfs/dbraw/zinc/92/94/32/735929432.db2.gz BRPCZXXXCQHHOG-LBPRGKRZSA-N -1 1 347.375 -0.135 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2COC3(CN(Cc4nc(=O)n(C)[n-]4)C3)C2)no1 ZINC001053731934 735982284 /nfs/dbraw/zinc/98/22/84/735982284.db2.gz HPIBZKGMOGAEHK-JTQLQIEISA-N -1 1 348.363 -0.822 20 0 EBADMM O=C(NC1(CNC(=O)C(F)F)CCC1)c1cc(=O)n2[n-]cnc2n1 ZINC001062373700 738909226 /nfs/dbraw/zinc/90/92/26/738909226.db2.gz LQQXDDJZUZMKMP-UHFFFAOYSA-N -1 1 340.290 -0.549 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1(CNC(=O)C(F)F)CCC1 ZINC001062373674 738909425 /nfs/dbraw/zinc/90/94/25/738909425.db2.gz KXUUJITZPLAHAW-UHFFFAOYSA-N -1 1 344.318 -0.653 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)C2=COCCC2)CO3)nc1=O ZINC001053754059 735995639 /nfs/dbraw/zinc/99/56/39/735995639.db2.gz GJAQEVKXZSUKDP-GFCCVEGCSA-N -1 1 349.391 -0.738 20 0 EBADMM O=C(N[C@H]1COC2(CN([C@H]3CCCNC3=O)C2)C1)c1ncccc1[O-] ZINC001053784557 736014002 /nfs/dbraw/zinc/01/40/02/736014002.db2.gz RCJBIAOYDRBKNO-NEPJUHHUSA-N -1 1 346.387 -0.361 20 0 EBADMM O=C(N[C@H]1COC2(CN([C@@H]3CCCNC3=O)C2)C1)c1ncccc1[O-] ZINC001053784560 736014512 /nfs/dbraw/zinc/01/45/12/736014512.db2.gz RCJBIAOYDRBKNO-VXGBXAGGSA-N -1 1 346.387 -0.361 20 0 EBADMM CC[C@@H](C(N)=O)N1CC2(C1)C[C@@H](NC(=O)c1ncccc1[O-])CO2 ZINC001053784740 736014748 /nfs/dbraw/zinc/01/47/48/736014748.db2.gz VRPGXIKDMGUEMN-MNOVXSKESA-N -1 1 334.376 -0.376 20 0 EBADMM Cc1cc(C(=O)N[C@H]2COC3(CN(Cc4nc(=O)n(C)[n-]4)C3)C2)co1 ZINC001053788502 736017598 /nfs/dbraw/zinc/01/75/98/736017598.db2.gz SGGYVUFQEHTFKY-GFCCVEGCSA-N -1 1 347.375 -0.217 20 0 EBADMM C[C@H]1CN(C(=O)CCc2ccncn2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054697657 736233058 /nfs/dbraw/zinc/23/30/58/736233058.db2.gz WCYIOMNRPAGNDE-CMPLNLGQSA-N -1 1 331.380 -0.130 20 0 EBADMM C[C@H]1CN(C(=O)c2cccn3nnnc23)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054715017 736234704 /nfs/dbraw/zinc/23/47/04/736234704.db2.gz KLRDJCFZUYMTPV-WPRPVWTQSA-N -1 1 343.351 -0.802 20 0 EBADMM C[C@@H]1CN(C(=O)c2cc3n(n2)CCC3)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054713053 736234805 /nfs/dbraw/zinc/23/48/05/736234805.db2.gz BDHMVSCACXVGIH-BXKDBHETSA-N -1 1 331.380 -0.097 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnc3n2CCC3)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054761545 736242690 /nfs/dbraw/zinc/24/26/90/736242690.db2.gz QFFOYYURYCXCKJ-NXEZZACHSA-N -1 1 331.380 -0.097 20 0 EBADMM C[C@@H]1CN(C(=O)c2cc(CN(C)C)on2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054829090 736252665 /nfs/dbraw/zinc/25/26/65/736252665.db2.gz DZMMQIDUGVPCFS-BXKDBHETSA-N -1 1 349.395 -0.190 20 0 EBADMM C[C@@H]1CN(C(=O)c2n[nH]c3c2CCC3)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054847347 736254274 /nfs/dbraw/zinc/25/42/74/736254274.db2.gz GJWXOIYMXDPCJU-KCJUWKMLSA-N -1 1 331.380 -0.028 20 0 EBADMM Cc1nocc1CN[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1C ZINC001054860847 736257315 /nfs/dbraw/zinc/25/73/15/736257315.db2.gz RVYAZPQRQQKIFF-XCBNKYQSSA-N -1 1 334.336 -0.170 20 0 EBADMM Cc1cc(CN[C@@H]2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@@H]2C)ncn1 ZINC001054859091 736257619 /nfs/dbraw/zinc/25/76/19/736257619.db2.gz HONFJNOMFQDYIW-GZMMTYOYSA-N -1 1 345.363 -0.368 20 0 EBADMM C[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@H]1NCc1nccs1 ZINC001054862335 736257746 /nfs/dbraw/zinc/25/77/46/736257746.db2.gz CGQGQFVMFUNTLA-SFYZADRCSA-N -1 1 336.377 -0.010 20 0 EBADMM CCn1nncc1CN[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1C ZINC001054862830 736258504 /nfs/dbraw/zinc/25/85/04/736258504.db2.gz LUDAKNKCOYHBMK-SCZZXKLOSA-N -1 1 348.367 -0.856 20 0 EBADMM C[C@@H]1CN(C(=O)c2cccc3ncnn32)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054866845 736259236 /nfs/dbraw/zinc/25/92/36/736259236.db2.gz NSBZGUXIIFCWDX-NXEZZACHSA-N -1 1 342.363 -0.197 20 0 EBADMM C[C@H]1CN(C(=O)c2ccc(C(N)=O)o2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054886174 736262549 /nfs/dbraw/zinc/26/25/49/736262549.db2.gz ZXAWVLNLSICRSB-YUMQZZPRSA-N -1 1 334.336 -0.548 20 0 EBADMM COc1cc(C(=O)N2C[C@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)nn1C ZINC001054888830 736263303 /nfs/dbraw/zinc/26/33/03/736263303.db2.gz QSYFFRVMFITGFX-WCBMZHEXSA-N -1 1 335.368 -0.497 20 0 EBADMM Cc1cc(CC(=O)N2C[C@@H](C)[C@@H](NCc3n[nH]c(=O)[n-]3)C2)n(C)n1 ZINC001054898607 736265374 /nfs/dbraw/zinc/26/53/74/736265374.db2.gz OQZUYRNTBSYENR-SKDRFNHKSA-N -1 1 333.396 -0.269 20 0 EBADMM COc1coc(C(=O)N2C[C@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC001054907377 736266803 /nfs/dbraw/zinc/26/68/03/736266803.db2.gz VWZQIBOONPCEHP-DTWKUNHWSA-N -1 1 349.347 -0.278 20 0 EBADMM C[C@H]1CN(C(=O)CCc2nccn2C)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054925875 736269423 /nfs/dbraw/zinc/26/94/23/736269423.db2.gz WGRBFGYVXCTZMO-WDEREUQCSA-N -1 1 333.396 -0.187 20 0 EBADMM CCn1cc(CC(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)nn1 ZINC001054925514 736269448 /nfs/dbraw/zinc/26/94/48/736269448.db2.gz QGLDOWVTPUHRBF-MWLCHTKSSA-N -1 1 334.384 -0.699 20 0 EBADMM CCn1cc(CC(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H](C)C2)nn1 ZINC001054925515 736269492 /nfs/dbraw/zinc/26/94/92/736269492.db2.gz QGLDOWVTPUHRBF-ONGXEEELSA-N -1 1 334.384 -0.699 20 0 EBADMM COc1nn(C)cc1C(=O)N1C[C@@H](C)[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001054968486 736274728 /nfs/dbraw/zinc/27/47/28/736274728.db2.gz IZJKNBGDNPWGNR-SCZZXKLOSA-N -1 1 335.368 -0.497 20 0 EBADMM C[C@H]1CN(C(=O)c2ccn3nnnc3c2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054969606 736275567 /nfs/dbraw/zinc/27/55/67/736275567.db2.gz SCAOMVFFGXBSMK-WPRPVWTQSA-N -1 1 343.351 -0.802 20 0 EBADMM C[C@H]1CN(C(=O)c2ccn3nnnc3c2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054969605 736275812 /nfs/dbraw/zinc/27/58/12/736275812.db2.gz SCAOMVFFGXBSMK-WCBMZHEXSA-N -1 1 343.351 -0.802 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC001055152131 736298238 /nfs/dbraw/zinc/29/82/38/736298238.db2.gz VJHUOZOXOLQSGH-RTXFEEFZSA-N -1 1 346.387 -0.158 20 0 EBADMM O=C(N[C@@]1(CO)CCCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCOC1 ZINC001055815005 736496198 /nfs/dbraw/zinc/49/61/98/736496198.db2.gz FZNALCAZERYCJJ-PXAZEXFGSA-N -1 1 349.387 -0.093 20 0 EBADMM COCCC(=O)N1CCC[C@](CO)(NC(=O)c2ncccc2[O-])C1 ZINC001055900195 736541296 /nfs/dbraw/zinc/54/12/96/736541296.db2.gz HAFDYHLVNYZFDV-INIZCTEOSA-N -1 1 337.376 -0.093 20 0 EBADMM O=C(N[C@@]1(CO)CCCN(C(=O)[C@H]2CCOC2)C1)c1ncccc1[O-] ZINC001055900681 736541438 /nfs/dbraw/zinc/54/14/38/736541438.db2.gz RIVAGSAYOYWWLR-SJCJKPOMSA-N -1 1 349.387 -0.093 20 0 EBADMM COCCCC(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057078909 736966596 /nfs/dbraw/zinc/96/65/96/736966596.db2.gz BHZYDNWALWKVQI-UHFFFAOYSA-N -1 1 325.365 -0.236 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1cnns1)c1ncccc1[O-] ZINC001057090199 736979758 /nfs/dbraw/zinc/97/97/58/736979758.db2.gz BWXDLPMNAZMZEK-UHFFFAOYSA-N -1 1 337.361 -0.497 20 0 EBADMM Cc1cc(C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])n(C)n1 ZINC001057105562 736993413 /nfs/dbraw/zinc/99/34/13/736993413.db2.gz WRLJMEYODAIKLB-UHFFFAOYSA-N -1 1 347.375 -0.306 20 0 EBADMM O=C(NCCN(CCO)C(=O)[C@H]1CCCOC1)c1ncccc1[O-] ZINC001057182214 737055204 /nfs/dbraw/zinc/05/52/04/737055204.db2.gz YIVGDUPZTUDPSN-LBPRGKRZSA-N -1 1 337.376 -0.236 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccc1[O-])[C@@H]1CCCOC1 ZINC001057410278 737229955 /nfs/dbraw/zinc/22/99/55/737229955.db2.gz KPIHQBXJKGAYJH-GFCCVEGCSA-N -1 1 337.376 -0.236 20 0 EBADMM CCn1ncc(C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])n1 ZINC001057410117 737230137 /nfs/dbraw/zinc/23/01/37/737230137.db2.gz CSHUTRCKFYIYSI-UHFFFAOYSA-N -1 1 348.363 -0.737 20 0 EBADMM CCn1nccc1C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410347 737230566 /nfs/dbraw/zinc/23/05/66/737230566.db2.gz NDVAXTFIOSGYNX-UHFFFAOYSA-N -1 1 347.375 -0.132 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccc1[O-])[C@@H]1CCCCO1 ZINC001057410333 737230812 /nfs/dbraw/zinc/23/08/12/737230812.db2.gz MLRGRPXJRNESHM-ZDUSSCGKSA-N -1 1 337.376 -0.093 20 0 EBADMM C[C@H]1C[C@@H](C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])CO1 ZINC001057413464 737234065 /nfs/dbraw/zinc/23/40/65/737234065.db2.gz XORWSMIXHTXSPF-NWDGAFQWSA-N -1 1 337.376 -0.237 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])CO1 ZINC001057948911 737551912 /nfs/dbraw/zinc/55/19/12/737551912.db2.gz ORNOATUDHQCWMK-VXGBXAGGSA-N -1 1 337.376 -0.237 20 0 EBADMM Cn1c(=O)[nH]cc1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001058298351 737767296 /nfs/dbraw/zinc/76/72/96/737767296.db2.gz AHJBWIMWHGEXON-UHFFFAOYSA-N -1 1 349.347 -0.909 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001058366411 737797034 /nfs/dbraw/zinc/79/70/34/737797034.db2.gz AENRXAWPSKFIKO-UHFFFAOYSA-N -1 1 347.375 -0.008 20 0 EBADMM O=C(N[C@H]1C[C@@H](Nc2ncccn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001059681249 738226634 /nfs/dbraw/zinc/22/66/34/738226634.db2.gz SPFWFVDCVAKUDA-DTORHVGOSA-N -1 1 326.320 -0.420 20 0 EBADMM O=C(NCC[C@H]1CCN(C(=O)[C@@H]2CNC(=O)N2)C1)c1ncccc1[O-] ZINC001060258375 738332448 /nfs/dbraw/zinc/33/24/48/738332448.db2.gz FIDTZEYMEAEBJX-QWRGUYRKSA-N -1 1 347.375 -0.563 20 0 EBADMM NC(=O)NCC(=O)N[C@H]1CC[C@H](CNC(=O)c2ncccc2[O-])CC1 ZINC001060658966 738384366 /nfs/dbraw/zinc/38/43/66/738384366.db2.gz NMVJURNFLVIXEF-XYPYZODXSA-N -1 1 349.391 -0.140 20 0 EBADMM NC(=O)NC1(C(=O)NCC2(NC(=O)c3ncccc3[O-])CCC2)CC1 ZINC001062823365 738994037 /nfs/dbraw/zinc/99/40/37/738994037.db2.gz JLGQQUTZQVFABR-UHFFFAOYSA-N -1 1 347.375 -0.243 20 0 EBADMM C[C@H](NC(N)=O)C(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839411 739002722 /nfs/dbraw/zinc/00/27/22/739002722.db2.gz PSBRUIJYPGOBEC-VIFPVBQESA-N -1 1 335.364 -0.387 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1(NC(=O)C(F)F)CCC1 ZINC001063651406 739172689 /nfs/dbraw/zinc/17/26/89/739172689.db2.gz SQGYZJGSADJUGM-UHFFFAOYSA-N -1 1 344.318 -0.653 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)NC1(CNC(=O)c2cc(=O)n3[n-]cnc3n2)CCC1 ZINC001063715766 739185716 /nfs/dbraw/zinc/18/57/16/739185716.db2.gz YDUQCRFZAXLZRF-NXEZZACHSA-N -1 1 344.375 -0.158 20 0 EBADMM C[C@H]1C[C@H]1C(=O)NC1(CNC(=O)c2cc(=O)n3[n-]cnc3n2)CCC1 ZINC001063715771 739185843 /nfs/dbraw/zinc/18/58/43/739185843.db2.gz YDUQCRFZAXLZRF-VHSXEESVSA-N -1 1 344.375 -0.158 20 0 EBADMM NC(=O)NCC(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC001066079333 739908505 /nfs/dbraw/zinc/90/85/05/739908505.db2.gz XMXQYEBMIKXWRO-JTQLQIEISA-N -1 1 335.364 -0.434 20 0 EBADMM CN(CCCN(C)C(=O)c1cnc([O-])n(C)c1=O)C(=O)c1ccn[nH]1 ZINC001066896125 740061856 /nfs/dbraw/zinc/06/18/56/740061856.db2.gz NNBCZYZTCDALNC-UHFFFAOYSA-N -1 1 348.363 -0.557 20 0 EBADMM C[C@H](NC(N)=O)C(=O)N(C)CCCN(C)C(=O)c1ncccc1[O-] ZINC001067255197 740113556 /nfs/dbraw/zinc/11/35/56/740113556.db2.gz LJVKEXVGEMONKP-JTQLQIEISA-N -1 1 337.380 -0.236 20 0 EBADMM CN(CCCN(C)C(=O)[C@H]1CN(C)C(=O)N1)C(=O)c1ncccc1[O-] ZINC001067254595 740113777 /nfs/dbraw/zinc/11/37/77/740113777.db2.gz FEFLYALQOZUUES-LLVKDONJSA-N -1 1 349.391 -0.269 20 0 EBADMM CO[C@H](C)C(=O)N[C@H]1COC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001068731850 740428192 /nfs/dbraw/zinc/42/81/92/740428192.db2.gz GHZRGZWLBKYBSO-GHMZBOCLSA-N -1 1 335.360 -0.078 20 0 EBADMM C[C@@H]1[C@H](Nc2ncccn2)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001068870795 740476044 /nfs/dbraw/zinc/47/60/44/740476044.db2.gz HQYKKYUXLGLJOU-VXGBXAGGSA-N -1 1 344.375 -0.182 20 0 EBADMM Cn1[n-]c(CN2CCn3ncc(CNC(=O)C4CC4)c3C2)nc1=O ZINC001069849908 740589718 /nfs/dbraw/zinc/58/97/18/740589718.db2.gz QGKKAEQACZITJZ-UHFFFAOYSA-N -1 1 331.380 -0.653 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)NCc1cnn2c1CN(Cc1nc(=O)n(C)[n-]1)CC2 ZINC001069851304 740590085 /nfs/dbraw/zinc/59/00/85/740590085.db2.gz ZFYWQCVOSOIEDZ-PWSUYJOCSA-N -1 1 345.407 -0.407 20 0 EBADMM NC(=O)CC(=O)NC1(CNC(=O)c2ncccc2[O-])CCOCC1 ZINC001070047151 740673074 /nfs/dbraw/zinc/67/30/74/740673074.db2.gz HELPDXMDOKKUCT-UHFFFAOYSA-N -1 1 336.348 -0.942 20 0 EBADMM CC(=O)NCc1ccncc1O[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001070383638 740801414 /nfs/dbraw/zinc/80/14/14/740801414.db2.gz LKTYEMKYPQAEOM-ZDUSSCGKSA-N -1 1 346.391 -0.207 20 0 EBADMM CN(C)C(=O)CC(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001070748328 740936055 /nfs/dbraw/zinc/93/60/55/740936055.db2.gz BABCCTVZQCUCRW-LLVKDONJSA-N -1 1 334.376 -0.014 20 0 EBADMM CCCC(=O)N1CCC(=O)NCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001070931459 740978848 /nfs/dbraw/zinc/97/88/48/740978848.db2.gz XAFWLMZADCSHNI-UHFFFAOYSA-N -1 1 338.412 -0.941 20 0 EBADMM CCCC(=O)N[C@@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001071013427 741036383 /nfs/dbraw/zinc/03/63/83/741036383.db2.gz CRKUJKALUZVUBI-SNVBAGLBSA-N -1 1 332.364 -0.062 20 0 EBADMM C[C@H]1CN(C(=O)C2CC2)C[C@@]2(CCN(Cc3nc(=O)n(C)[n-]3)C2)O1 ZINC001071116357 741062771 /nfs/dbraw/zinc/06/27/71/741062771.db2.gz NATOGFZOSUKBFI-ZBEGNZNMSA-N -1 1 335.408 -0.290 20 0 EBADMM CNC(=O)CN1CC[C@@]2(C1)CN(C(=O)c1ncccc1[O-])C[C@@H](C)O2 ZINC001071161668 741072377 /nfs/dbraw/zinc/07/23/77/741072377.db2.gz NSBUHHRVPSIJBE-SJKOYZFVSA-N -1 1 348.403 -0.161 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC001071376222 741129861 /nfs/dbraw/zinc/12/98/61/741129861.db2.gz QCCVYNMZKYXMEP-PWSUYJOCSA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2cncnc2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071447323 741138223 /nfs/dbraw/zinc/13/82/23/741138223.db2.gz LMQUQKYPIMXPSO-CMPLNLGQSA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2cn(C)nn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071466652 741141882 /nfs/dbraw/zinc/14/18/82/741141882.db2.gz FRAKPPUFTDMXBB-UWVGGRQHSA-N -1 1 334.384 -0.980 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)Cc2cncnc2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071469718 741142851 /nfs/dbraw/zinc/14/28/51/741142851.db2.gz AQCUXYDCGVJGNP-YPMHNXCESA-N -1 1 345.407 -0.390 20 0 EBADMM Cc1c[nH]nc1C(=O)N[C@@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071490827 741145464 /nfs/dbraw/zinc/14/54/64/741145464.db2.gz RSRUIBAPJGTDHJ-GHMZBOCLSA-N -1 1 333.396 -0.077 20 0 EBADMM Cc1cn(C)nc1C(=O)N[C@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071504481 741148566 /nfs/dbraw/zinc/14/85/66/741148566.db2.gz GBCZKXGVAQFTLR-RYUDHWBXSA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2cn[nH]c(=O)c2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071594682 741174215 /nfs/dbraw/zinc/17/42/15/741174215.db2.gz WKIWLGPXQHJACD-ONGXEEELSA-N -1 1 347.379 -0.613 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2cnc[nH]c2=O)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071599818 741175420 /nfs/dbraw/zinc/17/54/20/741175420.db2.gz ATXLOGRITPCLPX-ZJUUUORDSA-N -1 1 347.379 -0.613 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)Cc2cnoc2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071759228 741213039 /nfs/dbraw/zinc/21/30/39/741213039.db2.gz FWWWBPQAWXEINK-CMPLNLGQSA-N -1 1 334.380 -0.192 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071802701 741219312 /nfs/dbraw/zinc/21/93/12/741219312.db2.gz ATSOASNLXKUMTF-VHSXEESVSA-N -1 1 349.395 -0.670 20 0 EBADMM CCC(=O)NCC(=O)N1C[C@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001071815662 741222546 /nfs/dbraw/zinc/22/25/46/741222546.db2.gz PYILDAAXXYNDKY-WDEREUQCSA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)Cc2c[nH]cn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071847514 741232740 /nfs/dbraw/zinc/23/27/40/741232740.db2.gz LIHOGKRLDMTKFQ-GHMZBOCLSA-N -1 1 333.396 -0.457 20 0 EBADMM Cc1c[nH]c(C(=O)N[C@@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001071881354 741238482 /nfs/dbraw/zinc/23/84/82/741238482.db2.gz YCRSVRNNOKDYAN-WDEREUQCSA-N -1 1 333.396 -0.077 20 0 EBADMM CCn1cc(C(=O)N[C@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001071941065 741256225 /nfs/dbraw/zinc/25/62/25/741256225.db2.gz CSSHSYHHYKDODD-QWRGUYRKSA-N -1 1 348.411 -0.497 20 0 EBADMM CNC(=O)NCC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001071952489 741263699 /nfs/dbraw/zinc/26/36/99/741263699.db2.gz MUBJUDKTMRNVJN-VHSXEESVSA-N -1 1 335.364 -0.707 20 0 EBADMM CCNC(=O)CC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001071952814 741263932 /nfs/dbraw/zinc/26/39/32/741263932.db2.gz QZTBEPJEGGBNDI-WDEREUQCSA-N -1 1 334.376 -0.110 20 0 EBADMM Cc1nonc1C(=O)N[C@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001072116014 741308383 /nfs/dbraw/zinc/30/83/83/741308383.db2.gz GHTNRZRBIVOGBL-WPRPVWTQSA-N -1 1 335.368 -0.417 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@H]1NC(=O)CC(N)=O ZINC001072121326 741309182 /nfs/dbraw/zinc/30/91/82/741309182.db2.gz GREFDLZMMFFQIY-LDYMZIIASA-N -1 1 347.375 -0.488 20 0 EBADMM Cc1nc[nH]c1C(=O)N[C@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001072125270 741311288 /nfs/dbraw/zinc/31/12/88/741311288.db2.gz BPZNTQAVYDRQRC-ONGXEEELSA-N -1 1 333.396 -0.077 20 0 EBADMM CCCC(=O)N[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC001072426288 741395491 /nfs/dbraw/zinc/39/54/91/741395491.db2.gz GBEFZKOJJGJDJT-MWLCHTKSSA-N -1 1 332.364 -0.206 20 0 EBADMM Cc1cc(CC(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)[nH]n1 ZINC001072610206 741439727 /nfs/dbraw/zinc/43/97/27/741439727.db2.gz UESROUNFNVWYND-UHFFFAOYSA-N -1 1 345.407 -0.583 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)c4cc[nH]c(=O)c4)C3)C2)nc1=O ZINC001072615531 741443309 /nfs/dbraw/zinc/44/33/09/741443309.db2.gz RGYPCURIEWAQII-UHFFFAOYSA-N -1 1 344.375 -0.443 20 0 EBADMM C[C@H]1C[C@@H](C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)CO1 ZINC001072871428 741509465 /nfs/dbraw/zinc/50/94/65/741509465.db2.gz IIAIGXNJEFZXNL-NWDGAFQWSA-N -1 1 335.408 -0.432 20 0 EBADMM Cc1ncoc1CN1CCC2(CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)C1 ZINC001072898259 741515296 /nfs/dbraw/zinc/51/52/96/741515296.db2.gz QCNZAIXVHJAJGU-UHFFFAOYSA-N -1 1 346.347 -0.073 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)CC4=CCOCC4)C3)C2)nc1=O ZINC001072943406 741526140 /nfs/dbraw/zinc/52/61/40/741526140.db2.gz VDRYRGUAWUFYSS-UHFFFAOYSA-N -1 1 347.419 -0.121 20 0 EBADMM C[C@H](NC(N)=O)C(=O)N1CCC(C)(NC(=O)c2ncccc2[O-])CC1 ZINC001072977808 741533025 /nfs/dbraw/zinc/53/30/25/741533025.db2.gz QKZUJCAUQJSGKH-JTQLQIEISA-N -1 1 349.391 -0.045 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)c4ccnnc4)C3)C2)nc1=O ZINC001073011099 741541009 /nfs/dbraw/zinc/54/10/09/741541009.db2.gz PKJMOIVYGKMNOB-UHFFFAOYSA-N -1 1 329.364 -0.754 20 0 EBADMM Cc1ccnn1CC(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001073022120 741543326 /nfs/dbraw/zinc/54/33/26/741543326.db2.gz ATGKEOCGPIXMSY-UHFFFAOYSA-N -1 1 345.407 -0.652 20 0 EBADMM Cc1nocc1CN1CCC2(CN(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)C1 ZINC001073152970 741571600 /nfs/dbraw/zinc/57/16/00/741571600.db2.gz QFDHGPIQWKYXBL-UHFFFAOYSA-N -1 1 348.363 -0.280 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@H](CNC(=O)c3ccon3)C2)nc1=O ZINC001073541281 741640530 /nfs/dbraw/zinc/64/05/30/741640530.db2.gz MEMIBOZYYDDVAI-SNVBAGLBSA-N -1 1 336.352 -0.883 20 0 EBADMM CN1CCC[C@@H]1C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)CCCO1 ZINC001073554375 741649799 /nfs/dbraw/zinc/64/97/99/741649799.db2.gz GOHGJAJEBDOKFN-VXGBXAGGSA-N -1 1 338.412 -0.688 20 0 EBADMM CN1CCC[C@H]1C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)CCCO1 ZINC001073554372 741649827 /nfs/dbraw/zinc/64/98/27/741649827.db2.gz GOHGJAJEBDOKFN-NEPJUHHUSA-N -1 1 338.412 -0.688 20 0 EBADMM O=C(CN1CCCO[C@H](CNC(=O)c2ncccc2[O-])C1)NC1CC1 ZINC001073583695 741668658 /nfs/dbraw/zinc/66/86/58/741668658.db2.gz CABLQPXJKMIBKX-CYBMUJFWSA-N -1 1 348.403 -0.114 20 0 EBADMM O=C(NC[C@H]1CN([C@H]2CCNC2=O)CCCO1)c1ncccc1[O-] ZINC001073584467 741669417 /nfs/dbraw/zinc/66/94/17/741669417.db2.gz URNZSGPNGIITEH-RYUDHWBXSA-N -1 1 334.376 -0.504 20 0 EBADMM CC(=O)NCC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001073656917 741699013 /nfs/dbraw/zinc/69/90/13/741699013.db2.gz WRRQKGAIKGKBFM-CMPLNLGQSA-N -1 1 334.376 -0.110 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@@H](CNC(=O)[C@H]3CC34CC4)C2)nc1=O ZINC001073788702 741743455 /nfs/dbraw/zinc/74/34/55/741743455.db2.gz OXQOACMWKFQHDE-NWDGAFQWSA-N -1 1 335.408 -0.384 20 0 EBADMM C[C@H]1CN(C(=O)CCNC(N)=O)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC001073901244 741782588 /nfs/dbraw/zinc/78/25/88/741782588.db2.gz UDVIMBNBRRSLSX-QWRGUYRKSA-N -1 1 349.391 -0.188 20 0 EBADMM CNC(=O)NCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001074010909 741806084 /nfs/dbraw/zinc/80/60/84/741806084.db2.gz LJDROKXHRBDLJN-MNOVXSKESA-N -1 1 349.391 -0.317 20 0 EBADMM C[C@@H]1CN(C(=O)C2CC2)CC[C@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001074064052 741824457 /nfs/dbraw/zinc/82/44/57/741824457.db2.gz UOPHSZJKOVOYRN-DGCLKSJQSA-N -1 1 348.403 -0.310 20 0 EBADMM Cc1nonc1C(=O)N1CCCC[C@@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001074105213 741832293 /nfs/dbraw/zinc/83/22/93/741832293.db2.gz CZJZAXPFNPRVRB-LLVKDONJSA-N -1 1 349.395 -0.073 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H]3CCN(C(=O)c4ccc[nH]4)C[C@@H]32)nc1=O ZINC001074170244 741848288 /nfs/dbraw/zinc/84/82/88/741848288.db2.gz KQLXTLIHAWLTJZ-STQMWFEESA-N -1 1 346.391 -0.448 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CC[C@H]2OCCN(CC3CCC3)[C@H]2C1 ZINC001074285456 741888779 /nfs/dbraw/zinc/88/87/79/741888779.db2.gz BDBDPNZBKCEKNT-NWDGAFQWSA-N -1 1 349.391 -0.002 20 0 EBADMM O=C(NCC1(O)CCN(C(=O)c2cn[nH]c2)CC1)c1ncccc1[O-] ZINC001074493234 741962519 /nfs/dbraw/zinc/96/25/19/741962519.db2.gz NGNPCKPGTQQCEQ-UHFFFAOYSA-N -1 1 345.359 -0.093 20 0 EBADMM O=C(NCC1(O)CCN(C(=O)c2ncccc2[O-])CC1)c1ncc[nH]1 ZINC001074559277 741996755 /nfs/dbraw/zinc/99/67/55/741996755.db2.gz XSTPEIXRIFBJPX-UHFFFAOYSA-N -1 1 345.359 -0.093 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CNC(N)=O ZINC001074943618 742140511 /nfs/dbraw/zinc/14/05/11/742140511.db2.gz KTSMJIXWDYVWNT-VHSXEESVSA-N -1 1 335.364 -0.435 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)CCNC(N)=O)CCN1C(=O)c1ncccc1[O-] ZINC001074986470 742150875 /nfs/dbraw/zinc/15/08/75/742150875.db2.gz LZFXUOJPJIIUDG-QWRGUYRKSA-N -1 1 349.391 -0.045 20 0 EBADMM COCCC(=O)NCC[C@H](C)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001075223323 742201275 /nfs/dbraw/zinc/20/12/75/742201275.db2.gz ZIUQXPFVJDOEKC-VIFPVBQESA-N -1 1 336.352 -0.921 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)c4ccccn4)[C@@H]3C2)nc1=O ZINC001075486560 742233043 /nfs/dbraw/zinc/23/30/43/742233043.db2.gz FOGTWHLFKZXHEM-WCQYABFASA-N -1 1 328.376 -0.150 20 0 EBADMM Cc1cc(C(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)on1 ZINC001075542922 742244912 /nfs/dbraw/zinc/24/49/12/742244912.db2.gz JHTQDSGYYYMNBU-WDEREUQCSA-N -1 1 332.364 -0.249 20 0 EBADMM C[C@@H](CCNC(=O)c1ccc[nH]1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001075587332 742258079 /nfs/dbraw/zinc/25/80/79/742258079.db2.gz AYHMKBUXAFHKPM-VIFPVBQESA-N -1 1 343.347 -0.316 20 0 EBADMM Cc1cnn(CC(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)c1 ZINC001075594566 742258764 /nfs/dbraw/zinc/25/87/64/742258764.db2.gz ATGDKOGEEQJBNT-QWHCGFSZSA-N -1 1 345.407 -0.654 20 0 EBADMM C[C@@H](CCNC(=O)c1ccoc1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001075602087 742259707 /nfs/dbraw/zinc/25/97/07/742259707.db2.gz IMRIKCAPAFFCRU-VIFPVBQESA-N -1 1 344.331 -0.051 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)Cc4cncnc4)[C@@H]3C2)nc1=O ZINC001075738591 742285356 /nfs/dbraw/zinc/28/53/56/742285356.db2.gz LGMDRQWBCQUEGI-QWHCGFSZSA-N -1 1 343.391 -0.826 20 0 EBADMM Cc1ncn(C)c1C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001075913588 742327578 /nfs/dbraw/zinc/32/75/78/742327578.db2.gz ZANJGQPHXZLTMP-NWDGAFQWSA-N -1 1 345.407 -0.503 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)CCn4ccnc4)[C@@H]3C2)nc1=O ZINC001076106059 742376997 /nfs/dbraw/zinc/37/69/97/742376997.db2.gz SXWRGGWJCHGZPA-QWHCGFSZSA-N -1 1 345.407 -0.572 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)CN1CN=NC1=O ZINC001076244987 742418933 /nfs/dbraw/zinc/41/89/33/742418933.db2.gz ISQHFHBABFBHII-VIFPVBQESA-N -1 1 334.336 -0.591 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)CS(C)(=O)=O ZINC001076243971 742419072 /nfs/dbraw/zinc/41/90/72/742419072.db2.gz FVZJPTSABCQZTF-VIFPVBQESA-N -1 1 329.378 -0.544 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)c3ccc(F)cc3)C2)nc1=O ZINC001076682109 742533028 /nfs/dbraw/zinc/53/30/28/742533028.db2.gz KSEHJKNKECOEIO-VXGBXAGGSA-N -1 1 335.339 -0.777 20 0 EBADMM C[C@@H](CCNC(=O)c1cc[nH]c1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001076874489 742609912 /nfs/dbraw/zinc/60/99/12/742609912.db2.gz HWCBEROTUUBYAQ-NSHDSACASA-N -1 1 347.375 -0.420 20 0 EBADMM CCc1nnc(CN2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)n1C ZINC001076916709 742642116 /nfs/dbraw/zinc/64/21/16/742642116.db2.gz YHGUMOSDCYBMJQ-ZYHUDNBSSA-N -1 1 346.391 -0.547 20 0 EBADMM O=C(N[C@@H]1CN(Cc2nnnn2C2CC2)C[C@H]1O)c1ncccc1[O-] ZINC001076917224 742642506 /nfs/dbraw/zinc/64/25/06/742642506.db2.gz WDONEODJIRNXET-ZYHUDNBSSA-N -1 1 345.363 -0.916 20 0 EBADMM CCc1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)o1 ZINC001077244191 742806739 /nfs/dbraw/zinc/80/67/39/742806739.db2.gz ZEWKZCVNVXOSLF-GHMZBOCLSA-N -1 1 335.364 -0.761 20 0 EBADMM Cc1cc(C)nc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c1 ZINC001077277047 742830966 /nfs/dbraw/zinc/83/09/66/742830966.db2.gz FLTDPXYVQMYJRD-CHWSQXEVSA-N -1 1 346.391 -0.905 20 0 EBADMM C[C@@H](c1ccccc1)N1C[C@@H](O)[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001077311058 742876100 /nfs/dbraw/zinc/87/61/00/742876100.db2.gz PFZPFPAEAIMUMA-MVWJERBFSA-N -1 1 345.359 -0.181 20 0 EBADMM C[C@@H](CCNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)c1ccoc1 ZINC001077402466 742956302 /nfs/dbraw/zinc/95/63/02/742956302.db2.gz PFZFDCHMYLEPJN-NSHDSACASA-N -1 1 348.359 -0.156 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)C(C3CC3)C3CC3)C2)nc1=O ZINC001077971209 743382636 /nfs/dbraw/zinc/38/26/36/743382636.db2.gz CYDQTMBIQQCKEW-VXGBXAGGSA-N -1 1 335.408 -0.794 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)Cc3cccc(F)c3)C2)nc1=O ZINC001078131674 743489089 /nfs/dbraw/zinc/48/90/89/743489089.db2.gz SEXAZLXXKFQTEM-CHWSQXEVSA-N -1 1 349.366 -0.849 20 0 EBADMM Cc1cnc(C(=O)N2CCCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001078325679 743585746 /nfs/dbraw/zinc/58/57/46/743585746.db2.gz MAYNXINJPZZTSQ-LLVKDONJSA-N -1 1 347.379 -0.370 20 0 EBADMM Cn1nccc1C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078354298 743601198 /nfs/dbraw/zinc/60/11/98/743601198.db2.gz FJHDIXJCCFIKPI-SNVBAGLBSA-N -1 1 335.368 -0.735 20 0 EBADMM O=C(CCc1ncc[nH]1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078390661 743624100 /nfs/dbraw/zinc/62/41/00/743624100.db2.gz CEUMRFWHJOSIIV-LLVKDONJSA-N -1 1 349.395 -0.427 20 0 EBADMM Cc1ncn(C)c1C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078415425 743639182 /nfs/dbraw/zinc/63/91/82/743639182.db2.gz YXKZPNKUGMMKSH-LLVKDONJSA-N -1 1 349.395 -0.427 20 0 EBADMM C[C@@H](CCNC(=O)[C@@]1(C)CCNC1=O)NC(=O)c1ncccc1[O-] ZINC001078451150 743654142 /nfs/dbraw/zinc/65/41/42/743654142.db2.gz QKLKQTWVMIRYQL-MGPLVRAMSA-N -1 1 334.376 -0.062 20 0 EBADMM Cc1nocc1C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078458743 743660243 /nfs/dbraw/zinc/66/02/43/743660243.db2.gz PUBFRKHSETYKMF-JTQLQIEISA-N -1 1 336.352 -0.172 20 0 EBADMM C[C@@H]1CC[C@H](C(=O)N2CCCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)O1 ZINC001078475148 743666106 /nfs/dbraw/zinc/66/61/06/743666106.db2.gz GGUKMTQNABJMBM-GRYCIOLGSA-N -1 1 339.396 -0.215 20 0 EBADMM O=C(Cc1ncc[nH]1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078492058 743674959 /nfs/dbraw/zinc/67/49/59/743674959.db2.gz FUJVHHMDOGRBKI-JTQLQIEISA-N -1 1 335.368 -0.817 20 0 EBADMM O=C(CN1CN=NC1=O)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078601822 743705666 /nfs/dbraw/zinc/70/56/66/743705666.db2.gz MNCDIMVEQKHUEI-IUCAKERBSA-N -1 1 348.367 -0.975 20 0 EBADMM Cn1cc(C(=O)N[C@@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)nn1 ZINC001078617310 743708806 /nfs/dbraw/zinc/70/88/06/743708806.db2.gz KMRZKKFJCDOIRN-VHSXEESVSA-N -1 1 332.368 -0.530 20 0 EBADMM O=C(N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2)c1cnc[nH]c1=O ZINC001078655208 743716356 /nfs/dbraw/zinc/71/63/56/743716356.db2.gz ZCKWKHXXNIEQGH-ZJUUUORDSA-N -1 1 345.363 -0.163 20 0 EBADMM O=C(NC1CCC(NC(=O)C2CC2)CC1)c1cc(=O)n2[n-]cnc2n1 ZINC000979980833 805675332 /nfs/dbraw/zinc/67/53/32/805675332.db2.gz QXQBJFSCSOONNP-UHFFFAOYSA-N -1 1 344.375 -0.015 20 0 EBADMM CC(C)C(=O)N[C@H]1C[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000980056521 805710678 /nfs/dbraw/zinc/71/06/78/805710678.db2.gz PZSNVEYXOYLILD-MGCOHNPYSA-N -1 1 332.364 -0.302 20 0 EBADMM NC(=O)[C@H]1CC[C@H]1C(=O)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000980115011 805746497 /nfs/dbraw/zinc/74/64/97/805746497.db2.gz MWQYEMWGSUURHC-FIQHERPVSA-N -1 1 346.387 -0.077 20 0 EBADMM Cc1nc[nH]c1CC(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000980266497 805823418 /nfs/dbraw/zinc/82/34/18/805823418.db2.gz YUNRWDCCHIJYIB-NSHDSACASA-N -1 1 333.396 -0.585 20 0 EBADMM C[C@H](C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1)c1cnn(C)c1 ZINC000980583775 805952791 /nfs/dbraw/zinc/95/27/91/805952791.db2.gz QYVIKZFTVXBBPG-WCQYABFASA-N -1 1 347.423 -0.322 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)c3cccc(=O)[nH]3)CC2)nc1=O ZINC000980902623 806041491 /nfs/dbraw/zinc/04/14/91/806041491.db2.gz PXFZBPACWCJHAZ-UHFFFAOYSA-N -1 1 332.364 -0.443 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CCC[C@@H]([NH2+]Cc3nc(=O)n(C)[n-]3)C2)c1[O-] ZINC000981020101 806059097 /nfs/dbraw/zinc/05/90/97/806059097.db2.gz JMBKLUYBHLFWQR-ZJUUUORDSA-N -1 1 349.395 -0.324 20 0 EBADMM O=C(N[C@@H]1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C1CS(=O)(=O)C1 ZINC000981110964 806087341 /nfs/dbraw/zinc/08/73/41/806087341.db2.gz SRAIBAGXFKWZKB-VHSXEESVSA-N -1 1 343.409 -0.928 20 0 EBADMM O=C(N[C@@H]1CCC[C@H](NCc2ncccn2)C1)c1n[nH]c(=O)[n-]c1=O ZINC000981200758 806106532 /nfs/dbraw/zinc/10/65/32/806106532.db2.gz BSRKZQXCWQULSF-VHSXEESVSA-N -1 1 345.363 -0.096 20 0 EBADMM O=C(NC[C@H]1CC[C@@H](NC(=O)[C@H]2CCNC2=O)C1)c1ncccc1[O-] ZINC000981212440 806111452 /nfs/dbraw/zinc/11/14/52/806111452.db2.gz CWSXVUVIEMWFIS-TUAOUCFPSA-N -1 1 346.387 -0.062 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)CCn3ccnc3)CC2)nc1=O ZINC000981717979 806248701 /nfs/dbraw/zinc/24/87/01/806248701.db2.gz WTSNQQHFFRPVOU-UHFFFAOYSA-N -1 1 333.396 -0.571 20 0 EBADMM C[C@@H](C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1)c1cncnc1 ZINC000981816973 806289075 /nfs/dbraw/zinc/28/90/75/806289075.db2.gz TWOZZZHRVRBFMO-GFCCVEGCSA-N -1 1 345.407 -0.264 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)N2CC3(C2)CCCN(Cc2nc(=O)n(C)[n-]2)C3)CO1 ZINC000981859601 806306157 /nfs/dbraw/zinc/30/61/57/806306157.db2.gz SGKBHFGMKKCOHN-CHWSQXEVSA-N -1 1 349.435 -0.042 20 0 EBADMM Cc1ccc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)c(=O)[nH]1 ZINC000982032998 806377383 /nfs/dbraw/zinc/37/73/83/806377383.db2.gz CIPAMKIIUACLPH-UHFFFAOYSA-N -1 1 346.391 -0.134 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)c4ccncn4)C3)C2)nc1=O ZINC000982073794 806392682 /nfs/dbraw/zinc/39/26/82/806392682.db2.gz FDFYJQDTYNESJH-UHFFFAOYSA-N -1 1 343.391 -0.363 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)[C@@]4(C)CCOC4)C3)C2)nc1=O ZINC000982089390 806395143 /nfs/dbraw/zinc/39/51/43/806395143.db2.gz WSQOZTZXPAPLHG-INIZCTEOSA-N -1 1 349.435 -0.041 20 0 EBADMM C[C@H]1OCC[C@H]1C(=O)N1CC2(C1)CCCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC000982084171 806396094 /nfs/dbraw/zinc/39/60/94/806396094.db2.gz GCWNBMGPYLKEQL-CHWSQXEVSA-N -1 1 349.435 -0.042 20 0 EBADMM C/C=C(/C)C(=O)N1CC[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000982626875 806594134 /nfs/dbraw/zinc/59/41/34/806594134.db2.gz VIFBNGSOLWAEMP-CGXZDELUSA-N -1 1 344.375 -0.038 20 0 EBADMM CN1CCOC[C@H]1C(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982656679 806609177 /nfs/dbraw/zinc/60/91/77/806609177.db2.gz DPDIAQBMPIXWQW-STQMWFEESA-N -1 1 348.403 -0.304 20 0 EBADMM CC1(C(=O)N2CC[C@H](CNC(=O)c3cc(=O)n4[n-]cnc4n3)C2)CC1 ZINC000982858665 806737353 /nfs/dbraw/zinc/73/73/53/806737353.db2.gz NYHAYGBFPIRMJQ-SNVBAGLBSA-N -1 1 344.375 -0.204 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)NC[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000982998132 806829467 /nfs/dbraw/zinc/82/94/67/806829467.db2.gz YGCOPOCZSQLISW-JHJVBQTASA-N -1 1 348.403 -0.453 20 0 EBADMM CN1C[C@H](C(=O)N2CC[C@H](CNC(=O)c3ncccc3[O-])C2)NC1=O ZINC000983089702 806878439 /nfs/dbraw/zinc/87/84/39/806878439.db2.gz WQRZPRFNCDYXJJ-GHMZBOCLSA-N -1 1 347.375 -0.611 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)c2c[nH]c(=O)cn2)C1)c1ncccc1[O-] ZINC000983196015 806934826 /nfs/dbraw/zinc/93/48/26/806934826.db2.gz RDNVYFFINQPNBH-SNVBAGLBSA-N -1 1 343.343 -0.237 20 0 EBADMM C[C@@]1(C(=O)N2CC[C@H](CNC(=O)c3ncccc3[O-])C2)CCNC1=O ZINC000983307968 806979111 /nfs/dbraw/zinc/97/91/11/806979111.db2.gz QQCGTNPZHWBXHZ-PIGZYNQJSA-N -1 1 346.387 -0.108 20 0 EBADMM CC[C@@H](C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000976859437 803911202 /nfs/dbraw/zinc/91/12/02/803911202.db2.gz MXTSKPLKPFOQLT-DNJQJEMRSA-N -1 1 344.375 -0.350 20 0 EBADMM Cc1c[nH]c(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)n1 ZINC000977296314 804269092 /nfs/dbraw/zinc/26/90/92/804269092.db2.gz SOWXDZXAEXVERK-SNVBAGLBSA-N -1 1 333.396 -0.220 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)C[C@H]1CCNC1=O)C(=O)c1ncccc1[O-] ZINC000977598478 804406958 /nfs/dbraw/zinc/40/69/58/804406958.db2.gz ISFSIDRYFBAATG-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@H]1CCN1C(=O)[C@H]1CCCNC1=O)C(=O)c1ncccc1[O-] ZINC000977598436 804407140 /nfs/dbraw/zinc/40/71/40/804407140.db2.gz IGCUEOWYUIMCEU-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@H]1CCN1C(=O)[C@H]1CN(C)C(=O)N1)C(=O)c1ncccc1[O-] ZINC000977622023 804418630 /nfs/dbraw/zinc/41/86/30/804418630.db2.gz VPAGAUFMUBYUND-GHMZBOCLSA-N -1 1 347.375 -0.516 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)[C@H]1CCNC(=O)C1)C(=O)c1ncccc1[O-] ZINC000977620480 804418641 /nfs/dbraw/zinc/41/86/41/804418641.db2.gz RXTPLTNZBMRCOR-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@H]1CCN1C(=O)[C@@H]1CCNC(=O)C1)C(=O)c1ncccc1[O-] ZINC000977620492 804419179 /nfs/dbraw/zinc/41/91/79/804419179.db2.gz RXTPLTNZBMRCOR-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)Cn3ccnc3)C(C)(C)C2)nc1=O ZINC000977664501 804454064 /nfs/dbraw/zinc/45/40/64/804454064.db2.gz GDHKZKONURGQHB-LLVKDONJSA-N -1 1 333.396 -0.668 20 0 EBADMM CO[C@H](C)C(=O)N1CC[C@H]1CN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000978052612 804634887 /nfs/dbraw/zinc/63/48/87/804634887.db2.gz JQDWLNFBIJGTHM-ZJUUUORDSA-N -1 1 348.363 -0.875 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C[C@@H]1CCN1C(=O)C(F)F ZINC000978056230 804636466 /nfs/dbraw/zinc/63/64/66/804636466.db2.gz RBKBYDODULICCT-LURJTMIESA-N -1 1 346.290 -0.166 20 0 EBADMM Cc1ncc(C(=O)N2CC[C@@H]2CN(C)C(=O)c2n[nH]c(=O)[n-]c2=O)[nH]1 ZINC000978072126 804645785 /nfs/dbraw/zinc/64/57/85/804645785.db2.gz MXSALHKHKQPCEK-MRVPVSSYSA-N -1 1 347.335 -0.699 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@@H]1CN(C)C(=O)N1 ZINC000978141131 804687300 /nfs/dbraw/zinc/68/73/00/804687300.db2.gz DIENQHXJEBSLTR-MNOVXSKESA-N -1 1 347.375 -0.516 20 0 EBADMM CN1C[C@@H](C(=O)NC[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000978908053 805033262 /nfs/dbraw/zinc/03/32/62/805033262.db2.gz JXSFOGJSJNTSSA-SDDRHHMPSA-N -1 1 346.387 -0.110 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)on1 ZINC000979221088 805217129 /nfs/dbraw/zinc/21/71/29/805217129.db2.gz WGNORIGKRLCAHJ-KOLCDFICSA-N -1 1 336.352 -0.174 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)CCn2cccn2)CCO1 ZINC000979259020 805245119 /nfs/dbraw/zinc/24/51/19/805245119.db2.gz RWBHUVHRBOFDOJ-NEPJUHHUSA-N -1 1 349.395 -0.497 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2cn(C)cn2)CCO1 ZINC000979285272 805263799 /nfs/dbraw/zinc/26/37/99/805263799.db2.gz BRBSJHVVXOUCBE-MWLCHTKSSA-N -1 1 335.368 -0.737 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2cncn2C)CCO1 ZINC000979344270 805307649 /nfs/dbraw/zinc/30/76/49/805307649.db2.gz NIMBBVUTVIDKAF-ONGXEEELSA-N -1 1 335.368 -0.737 20 0 EBADMM Cc1cnn(C)c1C(=O)N1CCO[C@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979344968 805310085 /nfs/dbraw/zinc/31/00/85/805310085.db2.gz OQEZRKCMBBOUDO-QWRGUYRKSA-N -1 1 349.395 -0.428 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2csnn2)CCO1 ZINC000979357223 805320839 /nfs/dbraw/zinc/32/08/39/805320839.db2.gz DWJPJQQWMBORRE-VXNVDRBHSA-N -1 1 339.381 -0.619 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2ccnnc2)CCO1 ZINC000979394078 805347064 /nfs/dbraw/zinc/34/70/64/805347064.db2.gz TXMASEPWCUYOMH-KOLCDFICSA-N -1 1 333.352 -0.680 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2ccnnc2)CCO1 ZINC000979394080 805347247 /nfs/dbraw/zinc/34/72/47/805347247.db2.gz TXMASEPWCUYOMH-MWLCHTKSSA-N -1 1 333.352 -0.680 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2cccnn2)CCO1 ZINC000979418746 805362843 /nfs/dbraw/zinc/36/28/43/805362843.db2.gz UTZQBBCLJLESNJ-KOLCDFICSA-N -1 1 333.352 -0.680 20 0 EBADMM Cc1cnoc1C(=O)N1CCO[C@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979418508 805363284 /nfs/dbraw/zinc/36/32/84/805363284.db2.gz UERJCNSPNLCBMR-UWVGGRQHSA-N -1 1 336.352 -0.174 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2nccs2)CCO1 ZINC000979439679 805380533 /nfs/dbraw/zinc/38/05/33/805380533.db2.gz XYETXEHMJRJFMQ-RKDXNWHRSA-N -1 1 338.393 -0.014 20 0 EBADMM O=C(NC[C@@H]1C[C@@H](NC(=O)[C@H]2CCC(=O)N2)C1)c1ncccc1[O-] ZINC000979662995 805475774 /nfs/dbraw/zinc/47/57/74/805475774.db2.gz BLLWQMZGRAESJG-GMTAPVOTSA-N -1 1 332.360 -0.310 20 0 EBADMM O=C(NC[C@@H]1C[C@@H](NC(=O)[C@@H]2CCC(=O)NC2)C1)c1ncccc1[O-] ZINC000979667745 805478860 /nfs/dbraw/zinc/47/88/60/805478860.db2.gz LSKBHPMUBWWYSG-IJLUTSLNSA-N -1 1 346.387 -0.062 20 0 EBADMM O=C(C[C@@H]1CCNC1=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000979676848 805484721 /nfs/dbraw/zinc/48/47/21/805484721.db2.gz ZWMDVSVJAXUBEQ-SRVKXCTJSA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCCN(C(=O)[C@@H]2COC(=O)N2)C1 ZINC000984140271 807186958 /nfs/dbraw/zinc/18/69/58/807186958.db2.gz KANGRGAFQFBJEW-QWRGUYRKSA-N -1 1 348.359 -0.041 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)c2nccnc2N)CC1 ZINC000985343161 807489231 /nfs/dbraw/zinc/48/92/31/807489231.db2.gz BMQQURGLVOLMFI-UHFFFAOYSA-N -1 1 332.368 -0.381 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)[C@H]2CN(C)CCO2)CC1 ZINC000985351143 807490856 /nfs/dbraw/zinc/49/08/56/807490856.db2.gz BRGSVEXGYUBXMW-GFCCVEGCSA-N -1 1 338.412 -0.736 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCc1ccn(C)n1 ZINC000986337302 807678756 /nfs/dbraw/zinc/67/87/56/807678756.db2.gz VIZPNDRABWPGRM-JQWIXIFHSA-N -1 1 333.396 -0.044 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccn(C)c(=O)c1 ZINC000986442408 807702232 /nfs/dbraw/zinc/70/22/32/807702232.db2.gz WJXWYFDCPFLGAM-ONGXEEELSA-N -1 1 332.364 -0.398 20 0 EBADMM Cc1ncoc1CN[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)[C@@H]1C ZINC000986490753 807718575 /nfs/dbraw/zinc/71/85/75/807718575.db2.gz XNTKVZAHFHRTOV-BDAKNGLRSA-N -1 1 334.336 -0.028 20 0 EBADMM CCn1ccc(CN[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)[C@@H]2C)n1 ZINC000986487990 807718957 /nfs/dbraw/zinc/71/89/57/807718957.db2.gz NFVJHBFNEWDKCR-KOLCDFICSA-N -1 1 347.379 -0.108 20 0 EBADMM COCCn1ccc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)n1 ZINC000986540318 807730671 /nfs/dbraw/zinc/73/06/71/807730671.db2.gz LDFZQXRKJLISPS-GHMZBOCLSA-N -1 1 349.395 -0.254 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)Cc1ccc(=O)[nH]c1 ZINC000986580145 807740287 /nfs/dbraw/zinc/74/02/87/807740287.db2.gz IJMJUPZWQLKSOW-ONGXEEELSA-N -1 1 332.364 -0.067 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCc1nccn1C ZINC000986598180 807749140 /nfs/dbraw/zinc/74/91/40/807749140.db2.gz BPYHKDYZCSONIZ-GHMZBOCLSA-N -1 1 333.396 -0.044 20 0 EBADMM CC(=O)N1CCCCC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000986800716 807779194 /nfs/dbraw/zinc/77/91/94/807779194.db2.gz IFZKUUOGXZJHMN-NSHDSACASA-N -1 1 332.364 -0.062 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cccc(=O)n1C ZINC000986912534 807794731 /nfs/dbraw/zinc/79/47/31/807794731.db2.gz FXEBAACEHIMSKJ-ZJUUUORDSA-N -1 1 332.364 -0.398 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCc1cnn(C)n1 ZINC000986964499 807808232 /nfs/dbraw/zinc/80/82/32/807808232.db2.gz ZJGAJUGVDAVQRC-ONGXEEELSA-N -1 1 334.384 -0.649 20 0 EBADMM C[C@H]1[C@H](NCc2cnon2)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000987196713 807866278 /nfs/dbraw/zinc/86/62/78/807866278.db2.gz MJJUFCAWBGMUID-CMPLNLGQSA-N -1 1 348.363 -0.911 20 0 EBADMM C[C@@H]1[C@H](NCc2cnon2)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000987355932 807898398 /nfs/dbraw/zinc/89/83/98/807898398.db2.gz UCHOMFLUHNGBOV-PSASIEDQSA-N -1 1 344.335 -0.807 20 0 EBADMM Cc1ccc(=O)n(CC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)n1 ZINC000987383714 807906267 /nfs/dbraw/zinc/90/62/67/807906267.db2.gz HQTULCYWPGARLS-WDEREUQCSA-N -1 1 347.379 -0.845 20 0 EBADMM CCn1ccc(CN[C@@H]2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)[C@@H]2C)n1 ZINC000987421960 807915162 /nfs/dbraw/zinc/91/51/62/807915162.db2.gz OOQAPYGJLOKSDT-ZYHUDNBSSA-N -1 1 349.395 -0.315 20 0 EBADMM Cc1cc(NC(=O)C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)no1 ZINC000987512628 807949794 /nfs/dbraw/zinc/94/97/94/807949794.db2.gz DVUCFJBVICJONU-RKDXNWHRSA-N -1 1 349.351 -0.476 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CCN(C(=O)CCc3c[nH]nn3)[C@@H]2C)c1[O-] ZINC000987604590 807970194 /nfs/dbraw/zinc/97/01/94/807970194.db2.gz ZCJJXZDCOQDCRT-KOLCDFICSA-N -1 1 347.379 -0.106 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)Cn1ncnn1 ZINC000987683949 807991426 /nfs/dbraw/zinc/99/14/26/807991426.db2.gz KFFHTBITGITTNR-ZJUUUORDSA-N -1 1 331.336 -0.807 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@H]1CNC(=O)N1 ZINC000987685850 807991584 /nfs/dbraw/zinc/99/15/84/807991584.db2.gz TVXYNKALWWQQTI-KXUCPTDWSA-N -1 1 333.348 -0.812 20 0 EBADMM Cc1cccn(CC(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)c1=O ZINC000988839501 808299160 /nfs/dbraw/zinc/29/91/60/808299160.db2.gz TYZFFITUBKPPQP-NEPJUHHUSA-N -1 1 346.391 -0.240 20 0 EBADMM Cc1cc(OCC(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)no1 ZINC000988990397 808345686 /nfs/dbraw/zinc/34/56/86/808345686.db2.gz PPFDOKABVQRDJV-WCBMZHEXSA-N -1 1 336.352 -0.035 20 0 EBADMM CCn1ccc(CN[C@H]2C[C@H](C)N(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC000989108808 808376311 /nfs/dbraw/zinc/37/63/11/808376311.db2.gz CLOHKHQZJVOQNJ-ONGXEEELSA-N -1 1 347.379 -0.108 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccnc2n[nH]nc21 ZINC000989160381 808391427 /nfs/dbraw/zinc/39/14/27/808391427.db2.gz OSCCGHSGVSCMBW-YUMQZZPRSA-N -1 1 343.351 -0.431 20 0 EBADMM Cc1cc(CC(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)n(C)n1 ZINC000989177986 808394829 /nfs/dbraw/zinc/39/48/29/808394829.db2.gz CJQRUGLPIYYDBM-MNOVXSKESA-N -1 1 333.396 -0.126 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)[C@@H]1C ZINC000989325411 808445034 /nfs/dbraw/zinc/44/50/34/808445034.db2.gz OBOUVOZFZZVMHZ-RNJOBUHISA-N -1 1 348.403 -0.312 20 0 EBADMM CC(C)c1nnnn1CC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC000989537441 808499242 /nfs/dbraw/zinc/49/92/42/808499242.db2.gz UMFVHQXKLCMMEO-ZJUUUORDSA-N -1 1 349.399 -0.601 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)C[C@@H]3CCCOC3)CC2)nc1=O ZINC000989682593 808556601 /nfs/dbraw/zinc/55/66/01/808556601.db2.gz QLZUOFNZOJFXMN-ZDUSSCGKSA-N -1 1 337.424 -0.041 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)C[C@H]3CCCOC3)CC2)nc1=O ZINC000989682592 808556953 /nfs/dbraw/zinc/55/69/53/808556953.db2.gz QLZUOFNZOJFXMN-CYBMUJFWSA-N -1 1 337.424 -0.041 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc(N(C)C)nn1 ZINC000989690918 808560777 /nfs/dbraw/zinc/56/07/77/808560777.db2.gz PUGXAJTZXNCEKX-NXEZZACHSA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@@H]1C[C@@H](NCc2nccn2C)CN1C(=O)Cn1c(=O)[n-][nH]c1=O ZINC000989722756 808568603 /nfs/dbraw/zinc/56/86/03/808568603.db2.gz LPMUQSXVSRMOCL-NXEZZACHSA-N -1 1 335.368 -0.798 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)Cn4cccn4)CCC[C@@H]23)nc1=O ZINC000989951346 808625584 /nfs/dbraw/zinc/62/55/84/808625584.db2.gz MGCCYDOBYZEXIW-MLGOLLRUSA-N -1 1 345.407 -0.382 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)c4cnsn4)CCC[C@H]23)nc1=O ZINC000989968652 808628907 /nfs/dbraw/zinc/62/89/07/808628907.db2.gz AAIKHVPLZKZRGP-IINYFYTJSA-N -1 1 349.420 -0.113 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)Cc4ccon4)CCC[C@@H]23)nc1=O ZINC000990080549 808651236 /nfs/dbraw/zinc/65/12/36/808651236.db2.gz HWLUGKUYUNSJPL-MLGOLLRUSA-N -1 1 346.391 -0.048 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)[C@@H]1CC=CCC1 ZINC000990213906 808702832 /nfs/dbraw/zinc/70/28/32/808702832.db2.gz YUVDCOZPGGIQPJ-SNVBAGLBSA-N -1 1 342.359 -0.286 20 0 EBADMM Cn1cnc(C(=O)N[C@@]23CCC[C@@H]2N(Cc2nc(=O)n(C)[n-]2)CC3)c1 ZINC000990236497 808707738 /nfs/dbraw/zinc/70/77/38/808707738.db2.gz LVGRUUHWTXIXKX-BLLLJJGKSA-N -1 1 345.407 -0.231 20 0 EBADMM Cc1ccoc1C(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000990243869 808711428 /nfs/dbraw/zinc/71/14/28/808711428.db2.gz ABICKUYEMYEMJK-UHFFFAOYSA-N -1 1 342.315 -0.427 20 0 EBADMM O=C(C=C1CCC1)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000990775386 808910213 /nfs/dbraw/zinc/91/02/13/808910213.db2.gz SBGGQDHONOGMHD-UHFFFAOYSA-N -1 1 332.360 -0.636 20 0 EBADMM COc1ccc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)nn1 ZINC000990969244 809018909 /nfs/dbraw/zinc/01/89/09/809018909.db2.gz BVQWAOBPXPWSOA-UHFFFAOYSA-N -1 1 329.316 -0.160 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)nc1 ZINC000990974580 809020461 /nfs/dbraw/zinc/02/04/61/809020461.db2.gz IDDQRQDLPFMKRF-UHFFFAOYSA-N -1 1 341.327 -0.465 20 0 EBADMM O=C(Cn1cccn1)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC000991284556 809236891 /nfs/dbraw/zinc/23/68/91/809236891.db2.gz NLAUORLNNWMZDO-DGCLKSJQSA-N -1 1 345.359 -0.625 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1ccon1 ZINC000991301888 809259677 /nfs/dbraw/zinc/25/96/77/809259677.db2.gz FABBSIUCMNSXAL-SKDRFNHKSA-N -1 1 332.316 -0.219 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1ccn[nH]1 ZINC000991308328 809269714 /nfs/dbraw/zinc/26/97/14/809269714.db2.gz NJWUMMRMCHDTSY-CABZTGNLSA-N -1 1 331.332 -0.484 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)c1cocn1 ZINC000991342866 809330606 /nfs/dbraw/zinc/33/06/06/809330606.db2.gz YNHDCOUPABDELF-BXKDBHETSA-N -1 1 332.316 -0.219 20 0 EBADMM O=C(Cc1ccon1)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC000991343954 809331968 /nfs/dbraw/zinc/33/19/68/809331968.db2.gz PHMNHUWTFKIWNN-DGCLKSJQSA-N -1 1 346.343 -0.291 20 0 EBADMM Cc1ncc(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]2O)[nH]1 ZINC000991345224 809334489 /nfs/dbraw/zinc/33/44/89/809334489.db2.gz SSQDQYAGMXSSID-ZWNOBZJWSA-N -1 1 345.359 -0.176 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cn[nH]c2)C[C@@H]1O)c1ncccc1[O-] ZINC000991405688 809422702 /nfs/dbraw/zinc/42/27/02/809422702.db2.gz HALIGPWSFZRXQI-PWSUYJOCSA-N -1 1 331.332 -0.484 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ccnnc2)C[C@@H]1O)c1ncccc1[O-] ZINC000991405663 809422772 /nfs/dbraw/zinc/42/27/72/809422772.db2.gz ACMBLWKCMQKFHI-AAEUAGOBSA-N -1 1 343.343 -0.417 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ccnnc2)C[C@H]1O)c1ncccc1[O-] ZINC000991405665 809422940 /nfs/dbraw/zinc/42/29/40/809422940.db2.gz ACMBLWKCMQKFHI-DGCLKSJQSA-N -1 1 343.343 -0.417 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cnsn2)C[C@@H]1O)c1ncccc1[O-] ZINC000991407828 809426264 /nfs/dbraw/zinc/42/62/64/809426264.db2.gz KISZRSIGKUYTPV-KWQFWETISA-N -1 1 349.372 -0.356 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cc[nH]n2)C[C@H]1O)c1ncccc1[O-] ZINC000991409516 809427909 /nfs/dbraw/zinc/42/79/09/809427909.db2.gz OCQRLKQNAQUBIV-BXKDBHETSA-N -1 1 331.332 -0.484 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ccn[nH]2)C[C@H]1O)c1ncccc1[O-] ZINC000991409516 809427913 /nfs/dbraw/zinc/42/79/13/809427913.db2.gz OCQRLKQNAQUBIV-BXKDBHETSA-N -1 1 331.332 -0.484 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cnccn2)C[C@@H]1O)c1ncccc1[O-] ZINC000991411356 809428981 /nfs/dbraw/zinc/42/89/81/809428981.db2.gz UHHYAHYSICAAFU-MFKMUULPSA-N -1 1 343.343 -0.417 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2csnn2)C[C@@H]1O)c1ncccc1[O-] ZINC000991422372 809443474 /nfs/dbraw/zinc/44/34/74/809443474.db2.gz HEFVIHBXIHWZML-KWQFWETISA-N -1 1 349.372 -0.356 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cnon2)C[C@@H]1O)c1ncccc1[O-] ZINC000991422735 809444658 /nfs/dbraw/zinc/44/46/58/809444658.db2.gz HRIOLADQRQLZEH-KWQFWETISA-N -1 1 333.304 -0.824 20 0 EBADMM O=C(Cc1cnc[nH]1)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991423584 809446410 /nfs/dbraw/zinc/44/64/10/809446410.db2.gz JMNHYPCMWLYFJA-WCQYABFASA-N -1 1 345.359 -0.555 20 0 EBADMM Cn1nccc1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991430224 809459219 /nfs/dbraw/zinc/45/92/19/809459219.db2.gz SSTFPCNFASTPBE-MFKMUULPSA-N -1 1 345.359 -0.474 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cnco2)C[C@@H]1O)c1ncccc1[O-] ZINC000991432776 809463984 /nfs/dbraw/zinc/46/39/84/809463984.db2.gz XHWFMWDNQVFCPD-ONGXEEELSA-N -1 1 332.316 -0.219 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991434431 809466592 /nfs/dbraw/zinc/46/65/92/809466592.db2.gz ZNOROCXKXILLNJ-GXSJLCMTSA-N -1 1 346.347 -0.781 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991434431 809466600 /nfs/dbraw/zinc/46/66/00/809466600.db2.gz ZNOROCXKXILLNJ-GXSJLCMTSA-N -1 1 346.347 -0.781 20 0 EBADMM Cc1c[nH]nc1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC000991468518 809523601 /nfs/dbraw/zinc/52/36/01/809523601.db2.gz HVLXDXWBCGBFFL-CMPLNLGQSA-N -1 1 345.359 -0.176 20 0 EBADMM Cc1c[nH]c(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]2O)n1 ZINC000991498582 809566798 /nfs/dbraw/zinc/56/67/98/809566798.db2.gz CUIHMBAMVIOLSB-PWSUYJOCSA-N -1 1 345.359 -0.176 20 0 EBADMM Cc1c[nH]c(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]2O)n1 ZINC000991498585 809566837 /nfs/dbraw/zinc/56/68/37/809566837.db2.gz CUIHMBAMVIOLSB-ZYHUDNBSSA-N -1 1 345.359 -0.176 20 0 EBADMM O=C(Cn1cncn1)N1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncccc1[O-])C2 ZINC001002203741 809687609 /nfs/dbraw/zinc/68/76/09/809687609.db2.gz RYLWWYNNBMXNOX-UTUOFQBUSA-N -1 1 342.359 -0.059 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)c3cc[n+]([O-])cc3)CC2)nc1=O ZINC001002273490 809691762 /nfs/dbraw/zinc/69/17/62/809691762.db2.gz RUZJTHCTGNMHLL-UHFFFAOYSA-N -1 1 332.364 -0.864 20 0 EBADMM CN(C[C@H]1CCCN1C(=O)Cn1ncnn1)C(=O)c1ncccc1[O-] ZINC001002527290 809732627 /nfs/dbraw/zinc/73/26/27/809732627.db2.gz YGSVOBXDTQLGEO-LLVKDONJSA-N -1 1 345.363 -0.463 20 0 EBADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)c1cn[nH]c(=O)c1 ZINC001002550734 809740590 /nfs/dbraw/zinc/74/05/90/809740590.db2.gz JOCQIQMSMFQIRC-UHFFFAOYSA-N -1 1 329.316 -0.215 20 0 EBADMM COCC(=O)N1CC[C@@H](C)[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001005060059 809838752 /nfs/dbraw/zinc/83/87/52/809838752.db2.gz ZQKRBGMZIODPCV-MWLCHTKSSA-N -1 1 348.363 -0.969 20 0 EBADMM C[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1nnn(C)n1 ZINC001005139557 809839349 /nfs/dbraw/zinc/83/93/49/809839349.db2.gz ZFOPCLXHMDJUMB-NXEZZACHSA-N -1 1 345.363 -0.409 20 0 EBADMM C[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)c1nnn(C)n1 ZINC001005139558 809839594 /nfs/dbraw/zinc/83/95/94/809839594.db2.gz ZFOPCLXHMDJUMB-UWVGGRQHSA-N -1 1 345.363 -0.409 20 0 EBADMM C[C@@H](NC(=O)C1CCC1)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001005557991 809858679 /nfs/dbraw/zinc/85/86/79/809858679.db2.gz DQWCDIOQXPCFCU-LLVKDONJSA-N -1 1 348.403 -0.310 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)c3ccc(=O)n(C)c3)C2)nc1=O ZINC001007770495 810017177 /nfs/dbraw/zinc/01/71/77/810017177.db2.gz MWHWYNHLEZGMPS-GFCCVEGCSA-N -1 1 346.391 -0.799 20 0 EBADMM Cc1cc(C)n(CC(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001007846988 810028884 /nfs/dbraw/zinc/02/88/84/810028884.db2.gz UAINQOWUXIBNEZ-CYBMUJFWSA-N -1 1 347.423 -0.297 20 0 EBADMM Cc1nn(C)c(C)c1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001008120040 810084629 /nfs/dbraw/zinc/08/46/29/810084629.db2.gz IHGPDQMLOYGXNH-LBPRGKRZSA-N -1 1 347.423 -0.147 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)[C@@]3(F)CCOC3)C2)nc1=O ZINC001008314359 810133240 /nfs/dbraw/zinc/13/32/40/810133240.db2.gz OGHPGKUWYZZVFZ-IINYFYTJSA-N -1 1 327.360 -0.682 20 0 EBADMM O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CCCc2n[nH]nc21 ZINC001008345202 810140801 /nfs/dbraw/zinc/14/08/01/810140801.db2.gz UGIRIYKGYUQLDL-UWVGGRQHSA-N -1 1 346.395 -0.171 20 0 EBADMM CC1(C)CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@@H]1CCNC1=O ZINC001008399736 810152843 /nfs/dbraw/zinc/15/28/43/810152843.db2.gz GWBTURHGNMFYEJ-ZYHUDNBSSA-N -1 1 346.387 -0.110 20 0 EBADMM Cc1nc(C)c(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)[nH]1 ZINC001008569264 810196336 /nfs/dbraw/zinc/19/63/36/810196336.db2.gz ODDFLISOJBTLEV-NSHDSACASA-N -1 1 333.396 -0.157 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCO[C@@H]([C@@H](C)NC(C)=O)C1 ZINC001009714856 810256128 /nfs/dbraw/zinc/25/61/28/810256128.db2.gz ODZKQPQPSRNZGY-VXNVDRBHSA-N -1 1 340.336 -0.738 20 0 EBADMM C[C@H]1[C@H](NC(=O)CN2CN=NC2=O)CCN1C(=O)c1ncccc1[O-] ZINC001011674131 810344814 /nfs/dbraw/zinc/34/48/14/810344814.db2.gz RCHMGFLTPHVDMG-VHSXEESVSA-N -1 1 346.347 -0.496 20 0 EBADMM CCOCCO[C@H](C)C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001216830298 810392834 /nfs/dbraw/zinc/39/28/34/810392834.db2.gz OFXTUAJGWVRCDO-IJLUTSLNSA-N -1 1 341.412 -0.112 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cnc4cc[nH]c4c3)C2)nc1=O ZINC001014880041 810452093 /nfs/dbraw/zinc/45/20/93/810452093.db2.gz YOZKCYNXELGQCE-NSHDSACASA-N -1 1 341.375 -0.011 20 0 EBADMM Cn1[nH]cnc1=NC(=O)[C@@H](N)CCc1cc(=O)oc2cc([O-])ccc12 ZINC001218501482 810495405 /nfs/dbraw/zinc/49/54/05/810495405.db2.gz PIBULMFBCFMFAX-LBPRGKRZSA-N -1 1 343.343 -0.052 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)c1cncnc1 ZINC001015606733 810538185 /nfs/dbraw/zinc/53/81/85/810538185.db2.gz FOVOFHNZRIMRGT-CMPLNLGQSA-N -1 1 331.380 -0.607 20 0 EBADMM C[S@](=O)CC[C@H](N)C(=O)Nc1ccc2c(c1)S(=O)(=O)[N-]C2=O ZINC001218961886 810549048 /nfs/dbraw/zinc/54/90/48/810549048.db2.gz FFSCTFKSRNCFKD-FPVGNUTFSA-N -1 1 345.402 -0.847 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)Cn3cc(Cl)cn3)C2)nc1=O ZINC001015775807 810579173 /nfs/dbraw/zinc/57/91/73/810579173.db2.gz SOOGXUDFPBWEPO-SNVBAGLBSA-N -1 1 339.787 -0.651 20 0 EBADMM Cc1cc(=O)c(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c[nH]1 ZINC001015938968 810620766 /nfs/dbraw/zinc/62/07/66/810620766.db2.gz QQDZZFVUBOLDKU-JTQLQIEISA-N -1 1 332.364 -0.891 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCN(Cc2ccn(C)n2)C1 ZINC001015986176 810639678 /nfs/dbraw/zinc/63/96/78/810639678.db2.gz BRBQYNWANWHDGB-VIFPVBQESA-N -1 1 348.363 -0.366 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCN(Cc2nncn2C)C1 ZINC001015989568 810644359 /nfs/dbraw/zinc/64/43/59/810644359.db2.gz SLCCZICDCFOORZ-QMMMGPOBSA-N -1 1 349.351 -0.971 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@H]3Cc4cccnc4C3)C2)nc1=O ZINC001016103932 810664504 /nfs/dbraw/zinc/66/45/04/810664504.db2.gz MRBSOOGRINBXON-QWHCGFSZSA-N -1 1 342.403 -0.391 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N[C@H]1CCN(CCO[C@@H]2CC2(F)F)C1 ZINC001016111539 810667735 /nfs/dbraw/zinc/66/77/35/810667735.db2.gz SDQYDYAWTCLXMU-DTWKUNHWSA-N -1 1 347.322 -0.696 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ccc4n[nH]nc4c3)C2)nc1=O ZINC001016230187 810709732 /nfs/dbraw/zinc/70/97/32/810709732.db2.gz RMTLBXNLLFSFNI-SNVBAGLBSA-N -1 1 342.363 -0.616 20 0 EBADMM C[C@H](NC(=O)CN1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1)C1CC1 ZINC001219503504 810725076 /nfs/dbraw/zinc/72/50/76/810725076.db2.gz AJNUEORHDBZRTI-SUHUHFCYSA-N -1 1 348.403 -0.523 20 0 EBADMM CN(C(=O)c1cnnn1C)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016455736 810727508 /nfs/dbraw/zinc/72/75/08/810727508.db2.gz IZBRDFJCSGUEOV-UHFFFAOYSA-N -1 1 330.348 -0.051 20 0 EBADMM CC1CC(CN2CCO[C@@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)C1 ZINC001035432052 810796508 /nfs/dbraw/zinc/79/65/08/810796508.db2.gz NJEIOECTEIEDMR-ILDUYXDCSA-N -1 1 337.380 -0.241 20 0 EBADMM CC(C)CCN1CCO[C@H](CNC(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001035468689 810824770 /nfs/dbraw/zinc/82/47/70/810824770.db2.gz ZZPSGHLUJVSYBV-GFCCVEGCSA-N -1 1 338.408 -0.037 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O)C(C)(C)C ZINC001219882052 810893343 /nfs/dbraw/zinc/89/33/43/810893343.db2.gz RFKWMUPPTYVFAD-MXWKQRLJSA-N -1 1 325.413 -0.548 20 0 EBADMM CCC(CC)[C@@H](C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001219915804 810907190 /nfs/dbraw/zinc/90/71/90/810907190.db2.gz YAMAWRNYVDNCJE-RTXFEEFZSA-N -1 1 339.440 -0.158 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)Cc2cc[nH]n2)CC3)nc1=O ZINC001035673518 810985183 /nfs/dbraw/zinc/98/51/83/810985183.db2.gz HWSYGBOBQFOBIB-UHFFFAOYSA-N -1 1 345.407 -0.501 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)CC(C)(C)O)CC3)nc1=O ZINC001035696256 810992988 /nfs/dbraw/zinc/99/29/88/810992988.db2.gz MVORLBIMPZZTPX-UHFFFAOYSA-N -1 1 337.424 -0.306 20 0 EBADMM C[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC[C@H]1NCc1cnon1 ZINC001036093612 811095422 /nfs/dbraw/zinc/09/54/22/811095422.db2.gz MNUNHDFHJGMJQA-VXNVDRBHSA-N -1 1 335.324 -0.694 20 0 EBADMM CC(C)OCCN1C[C@@H](NC(=O)c2c[n-]n3c2nccc3=O)[C@@H](O)C1 ZINC001220501481 811127905 /nfs/dbraw/zinc/12/79/05/811127905.db2.gz ZNYAMDQQSRYEQT-OLZOCXBDSA-N -1 1 349.391 -0.778 20 0 EBADMM C[C@H](NCc1cnon1)[C@@H]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001036426004 811156808 /nfs/dbraw/zinc/15/68/08/811156808.db2.gz PHFNUDHCMCJYBH-DTWKUNHWSA-N -1 1 349.351 -0.304 20 0 EBADMM CCC[C@@](C)(CC)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001220615590 811178906 /nfs/dbraw/zinc/17/89/06/811178906.db2.gz IVESCUKYYKFYOG-BFQNTYOBSA-N -1 1 339.440 -0.014 20 0 EBADMM C[C@H]1CCCN(C(=O)c2c[nH]c(=O)n2C)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036569684 811178960 /nfs/dbraw/zinc/17/89/60/811178960.db2.gz RKOPHJSXENMBPL-UWVGGRQHSA-N -1 1 349.395 -0.020 20 0 EBADMM Cn1cc(C(=O)N2CC[C@@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001036642650 811193244 /nfs/dbraw/zinc/19/32/44/811193244.db2.gz UBAKPHHIWDVWHJ-ZYHUDNBSSA-N -1 1 331.380 -0.020 20 0 EBADMM O=C(CCn1cncn1)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036644516 811194553 /nfs/dbraw/zinc/19/45/53/811194553.db2.gz XGGJMYHFNSELFQ-NWDGAFQWSA-N -1 1 346.395 -0.385 20 0 EBADMM O=C(Cc1cc[nH]n1)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036676540 811207056 /nfs/dbraw/zinc/20/70/56/811207056.db2.gz NZGPPAULOFZJBG-JQWIXIFHSA-N -1 1 331.380 -0.101 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001036707162 811220475 /nfs/dbraw/zinc/22/04/75/811220475.db2.gz JYTUKPSKVOOCCB-ZJUUUORDSA-N -1 1 332.368 -0.625 20 0 EBADMM Cn1ccc(CC(=O)N2CC[C@@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001036711524 811222840 /nfs/dbraw/zinc/22/28/40/811222840.db2.gz DBRUFSPAFBAKSK-DGCLKSJQSA-N -1 1 345.407 -0.091 20 0 EBADMM O=C(c1c[nH]c(=O)cn1)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036802830 811262420 /nfs/dbraw/zinc/26/24/20/811262420.db2.gz JPHUZLKDGGLVDD-ONGXEEELSA-N -1 1 345.363 -0.670 20 0 EBADMM NC(=O)CN1CC[C@H]2CN(C(=O)c3ccc4oc(=O)nc-4[n-]3)CC[C@@H]21 ZINC001036870658 811297362 /nfs/dbraw/zinc/29/73/62/811297362.db2.gz YVLYGMLUSFAEQY-ONGXEEELSA-N -1 1 345.359 -0.050 20 0 EBADMM O=C(Cn1cncn1)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036886938 811300962 /nfs/dbraw/zinc/30/09/62/811300962.db2.gz JUFIGYCFBWLIAI-WDEREUQCSA-N -1 1 332.368 -0.775 20 0 EBADMM Cc1nonc1C(=O)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036909372 811312410 /nfs/dbraw/zinc/31/24/10/811312410.db2.gz CTBIHHPWLMHWFE-UWVGGRQHSA-N -1 1 333.352 -0.062 20 0 EBADMM Cn1ncnc1CNC[C@@H]1CCC[C@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001037038324 811346830 /nfs/dbraw/zinc/34/68/30/811346830.db2.gz LIGKAIVJBZVOJX-DTWKUNHWSA-N -1 1 348.367 -0.900 20 0 EBADMM Cc1nonc1CNC[C@@H]1CCC[C@@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001037037737 811347048 /nfs/dbraw/zinc/34/70/48/811347048.db2.gz CQFIUYHGFRQYCP-IUCAKERBSA-N -1 1 349.351 -0.337 20 0 EBADMM Cc1nc(C)c(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)o1 ZINC001079528031 811575560 /nfs/dbraw/zinc/57/55/60/811575560.db2.gz QHHDIXRWCPRFKZ-LDYMZIIASA-N -1 1 334.380 -0.036 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cc[nH]c(=O)c1 ZINC001079561877 811590981 /nfs/dbraw/zinc/59/09/81/811590981.db2.gz UEZQUIWSRACFIS-MWLCHTKSSA-N -1 1 332.364 -0.541 20 0 EBADMM CC(C)C[C@@H](C(N)=O)C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001222150089 811604106 /nfs/dbraw/zinc/60/41/06/811604106.db2.gz SLFBFERERKRDEP-MNOVXSKESA-N -1 1 338.412 -0.262 20 0 EBADMM C[C@@H]1CN([C@H]2CCC(=O)NC2=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001079611350 811608076 /nfs/dbraw/zinc/60/80/76/811608076.db2.gz ZBRWTVNWNHKWGT-MXWKQRLJSA-N -1 1 332.360 -0.358 20 0 EBADMM CCO[C@@H](C)C(=O)N1CC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1 ZINC001222314619 811617592 /nfs/dbraw/zinc/61/75/92/811617592.db2.gz UJDKTZULKLSBGJ-XQQFMLRXSA-N -1 1 337.424 -0.044 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)CCn3cncn3)CC2)nc1=O ZINC001222381926 811626269 /nfs/dbraw/zinc/62/62/69/811626269.db2.gz RFFKRKOFKCKLDI-UHFFFAOYSA-N -1 1 348.411 -0.882 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H](C)CC(N)=O)C1 ZINC001079655550 811639565 /nfs/dbraw/zinc/63/95/65/811639565.db2.gz GWEVFNUAYBKXFC-JTQLQIEISA-N -1 1 334.376 -0.028 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)C[C@H]3CCOC3)CC2)nc1=O ZINC001222700937 811656829 /nfs/dbraw/zinc/65/68/29/811656829.db2.gz MIVRPJHPAKOQCO-CYBMUJFWSA-N -1 1 337.424 -0.137 20 0 EBADMM C(OC[C@@H]1COCCOCCOCCOCCO1)c1nn[n-]n1 ZINC001223027159 811678412 /nfs/dbraw/zinc/67/84/12/811678412.db2.gz MJOMLKHTZZQDCN-LBPRGKRZSA-N -1 1 332.357 -0.819 20 0 EBADMM C[C@@H](CC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)NC(N)=O ZINC001226214609 811852670 /nfs/dbraw/zinc/85/26/70/811852670.db2.gz OTJWWMPBPMWLPL-IVZWLZJFSA-N -1 1 339.400 -0.526 20 0 EBADMM O=S(=O)([O-])C[C@H](C[NH+]1CCOCC1)Oc1nc2cccnc2[n-]1 ZINC001226667449 811876992 /nfs/dbraw/zinc/87/69/92/811876992.db2.gz BVQPIRGNNWPWRE-JTQLQIEISA-N -1 1 342.377 -0.075 20 0 EBADMM O=C([O-])C[C@H](O)C(=O)Oc1ncnc2[nH]nc(Br)c21 ZINC001226666605 811877257 /nfs/dbraw/zinc/87/72/57/811877257.db2.gz MMGPNNLKAQIVCZ-VKHMYHEASA-N -1 1 331.082 -0.144 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)S(C)(=O)=O ZINC001227113396 811903889 /nfs/dbraw/zinc/90/38/89/811903889.db2.gz GKUBTQPBLMHNKP-OPRDCNLKSA-N -1 1 345.425 -0.540 20 0 EBADMM COC(=O)c1n[n-]c(OC[C@@H]2COCCOCCOCCO2)n1 ZINC001228312392 811974528 /nfs/dbraw/zinc/97/45/28/811974528.db2.gz SGQCSOQSLJVIGN-JTQLQIEISA-N -1 1 331.325 -0.581 20 0 EBADMM COC(=O)c1nc(OC[C@@H]2COCCOCCOCCO2)n[n-]1 ZINC001228312392 811974533 /nfs/dbraw/zinc/97/45/33/811974533.db2.gz SGQCSOQSLJVIGN-JTQLQIEISA-N -1 1 331.325 -0.581 20 0 EBADMM COC(=O)c1n[n-]c(O[C@@H]2C(=O)O[C@@H]3[C@H]4OC(C)(C)O[C@H]4O[C@H]23)n1 ZINC001228311193 811975372 /nfs/dbraw/zinc/97/53/72/811975372.db2.gz KDXMBOWSLNCSLW-UXGUFYPISA-N -1 1 341.276 -0.860 20 0 EBADMM COC(=O)c1nc(O[C@@H]2C(=O)O[C@@H]3[C@H]4OC(C)(C)O[C@H]4O[C@H]23)n[n-]1 ZINC001228311193 811975378 /nfs/dbraw/zinc/97/53/78/811975378.db2.gz KDXMBOWSLNCSLW-UXGUFYPISA-N -1 1 341.276 -0.860 20 0 EBADMM CC[C@@H](C(N)=O)N1C[C@@H](C)[C@H](NC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001080576653 812071007 /nfs/dbraw/zinc/07/10/07/812071007.db2.gz YTIYAHDHOBMKKD-JLLWLGSASA-N -1 1 346.391 -0.663 20 0 EBADMM COCCC(=O)NC1(CO)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001080646684 812110586 /nfs/dbraw/zinc/11/05/86/812110586.db2.gz LDCJAEFWXFZHDG-UHFFFAOYSA-N -1 1 337.376 -0.093 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ncccc1F ZINC001080668681 812124716 /nfs/dbraw/zinc/12/47/16/812124716.db2.gz LIZXQLHJGKSATM-MWLCHTKSSA-N -1 1 334.355 -0.107 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)CCn1cccn1 ZINC001230806364 812237017 /nfs/dbraw/zinc/23/70/17/812237017.db2.gz KXZDTTYBOGIFEU-GFCCVEGCSA-N -1 1 333.396 -0.572 20 0 EBADMM C[C@@H](C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)n1cccn1 ZINC001230848166 812247086 /nfs/dbraw/zinc/24/70/86/812247086.db2.gz BJDQCGUTLYMNPG-NWDGAFQWSA-N -1 1 333.396 -0.401 20 0 EBADMM COCCCCC(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001231139719 812275757 /nfs/dbraw/zinc/27/57/57/812275757.db2.gz QZKLLOKKWFBHAJ-LBPRGKRZSA-N -1 1 325.413 -0.042 20 0 EBADMM Cc1cc(CC(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)no1 ZINC001231334451 812292981 /nfs/dbraw/zinc/29/29/81/812292981.db2.gz KSIJBEVMNXNHTM-LBPRGKRZSA-N -1 1 334.380 -0.320 20 0 EBADMM COC(=O)c1nc[n-]c(=O)c1OC[C@H]1OC(=O)[C@@H]2OC(C)(C)O[C@H]12 ZINC001233759533 812339480 /nfs/dbraw/zinc/33/94/80/812339480.db2.gz PGDZVRDUWLZKPR-GTNGPMTGSA-N -1 1 340.288 -0.207 20 0 EBADMM CO[C@H](C)CCC(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001233829024 812352276 /nfs/dbraw/zinc/35/22/76/812352276.db2.gz RPNQMTQNCRGZPG-NEPJUHHUSA-N -1 1 325.413 -0.044 20 0 EBADMM O=c1c([O-])c(O[C@H]2CCN3Cc4ccccc4N=C23)c(=O)c(=O)c1=O ZINC001234378314 812420034 /nfs/dbraw/zinc/42/00/34/812420034.db2.gz KFAGLKBCMSSVPI-JTQLQIEISA-N -1 1 340.291 -0.595 20 0 EBADMM NC(=O)c1nc[n-]c(=O)c1OC[C@@H]1COCCOCCOCCO1 ZINC001234471648 812429196 /nfs/dbraw/zinc/42/91/96/812429196.db2.gz FYHFJRRLWTVPJY-JTQLQIEISA-N -1 1 343.336 -0.892 20 0 EBADMM CCc1c[nH]c(CC(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001235534726 812497004 /nfs/dbraw/zinc/49/70/04/812497004.db2.gz DCRWDOJSDLWDDY-GFCCVEGCSA-N -1 1 347.423 -0.331 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)Cc1cncs1 ZINC001235602603 812500449 /nfs/dbraw/zinc/50/04/49/812500449.db2.gz RCBPNNSREMAUPL-SNVBAGLBSA-N -1 1 336.421 -0.160 20 0 EBADMM O=C(CCCC[C@@H]1SC[C@H]2NC(=O)N[C@@H]12)NCc1nn[n-]n1 ZINC001251775953 812632278 /nfs/dbraw/zinc/63/22/78/812632278.db2.gz ZLRSUTDMWKQPEM-VHSKPIJISA-N -1 1 325.398 -0.458 20 0 EBADMM CC(C)(C)OC(=O)[C@H](CC(N)=O)[N-]S(=O)(=O)C1CCOCC1 ZINC001259917880 812814335 /nfs/dbraw/zinc/81/43/35/812814335.db2.gz PURJZVNICUDTIE-JTQLQIEISA-N -1 1 336.410 -0.330 20 0 EBADMM CSC[C@@](C)(O)CNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001259926753 812815759 /nfs/dbraw/zinc/81/57/59/812815759.db2.gz ZIAVPSLFBMHQJG-AWEZNQCLSA-N -1 1 337.405 -0.490 20 0 EBADMM CN1C(=O)Cc2cc(S(=O)(=O)NCC[P@](=O)([O-])O)ccc21 ZINC001260162594 812826444 /nfs/dbraw/zinc/82/64/44/812826444.db2.gz HBCPAOINEGKNHZ-UHFFFAOYSA-N -1 1 334.290 -0.339 20 0 EBADMM CCOC(=O)c1nnc(C[N-]S(=O)(=O)c2cn(C)c(C)n2)o1 ZINC001260550739 812836214 /nfs/dbraw/zinc/83/62/14/812836214.db2.gz XHTPJOWUEGDQSH-UHFFFAOYSA-N -1 1 329.338 -0.233 20 0 EBADMM COC(=O)[C@H](Cc1ccccc1)[N-]S(=O)(=O)CS(C)(=O)=O ZINC001260582856 812836512 /nfs/dbraw/zinc/83/65/12/812836512.db2.gz FYMHWUMOTRARGA-NSHDSACASA-N -1 1 335.403 -0.308 20 0 EBADMM O=c1[nH]c(=O)c2cc(S(=O)(=O)NCC[P@@](=O)([O-])O)ccc2[nH]1 ZINC001260619349 812844958 /nfs/dbraw/zinc/84/49/58/812844958.db2.gz OUBBKZWPIHANHO-UHFFFAOYSA-N -1 1 349.261 -0.503 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CCc2nnc[nH]2)c1 ZINC001261210888 812881995 /nfs/dbraw/zinc/88/19/95/812881995.db2.gz CPYJPSHHCPRXDX-UHFFFAOYSA-N -1 1 325.350 -0.010 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cncs1 ZINC001081412035 812885551 /nfs/dbraw/zinc/88/55/51/812885551.db2.gz GCXOSEZOQTXWIA-RKDXNWHRSA-N -1 1 338.393 -0.806 20 0 EBADMM O=C(COCC1CC1)N1C[C@H](O)[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001081424373 812910779 /nfs/dbraw/zinc/91/07/79/812910779.db2.gz DPDYWZRQCJSYOA-OCCSQVGLSA-N -1 1 349.387 -0.237 20 0 EBADMM CC(C)OCC(=O)N1C[C@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001081424833 812914132 /nfs/dbraw/zinc/91/41/32/812914132.db2.gz KBUPEVAOKVETFP-AAEUAGOBSA-N -1 1 337.376 -0.239 20 0 EBADMM C[C@@H](CNC(=O)C(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1)C(=O)[O-] ZINC001261554819 812936991 /nfs/dbraw/zinc/93/69/91/812936991.db2.gz ODCSOCXBGFASAG-SDDRHHMPSA-N -1 1 329.397 -0.561 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cscn1 ZINC001081438019 812945612 /nfs/dbraw/zinc/94/56/12/812945612.db2.gz QVYOULJIKMRZAW-PSASIEDQSA-N -1 1 338.393 -0.806 20 0 EBADMM CCCNC(=O)[C@H](C)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001262732245 813101117 /nfs/dbraw/zinc/10/11/17/813101117.db2.gz ZVCINSVBDJSZPM-VIFPVBQESA-N -1 1 332.364 -0.689 20 0 EBADMM COCC1(C(=O)NC[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)CC1 ZINC001081596379 813263490 /nfs/dbraw/zinc/26/34/90/813263490.db2.gz XPRKTOFDUMAHCK-YPMHNXCESA-N -1 1 349.387 -0.237 20 0 EBADMM Cc1c[nH]nc1C(=O)NCCCN1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001265243259 813271575 /nfs/dbraw/zinc/27/15/75/813271575.db2.gz LKRPQRLGVZCGQS-UHFFFAOYSA-N -1 1 348.411 -0.520 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)/C=C\c2ccc[nH]2)nc1=O ZINC001265713281 813362236 /nfs/dbraw/zinc/36/22/36/813362236.db2.gz IGVMAMSGINXRAF-YAUCQGAQSA-N -1 1 328.376 -0.304 20 0 EBADMM Cc1nnc(CN2CC[C@@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)o1 ZINC001266346683 813541367 /nfs/dbraw/zinc/54/13/67/813541367.db2.gz GFYAPDRIQMTICQ-LLVKDONJSA-N -1 1 348.363 -0.991 20 0 EBADMM CN(CCNC(=O)Cc1n[nH]c2ccccc12)Cc1nc(=O)n(C)[n-]1 ZINC001266362603 813552084 /nfs/dbraw/zinc/55/20/84/813552084.db2.gz NGXPKBCAUIUCIA-UHFFFAOYSA-N -1 1 343.391 -0.225 20 0 EBADMM C[C@@H](CNC(=O)c1ccnc(-n2ccnc2)c1)NCc1n[nH]c(=O)[n-]1 ZINC001266995683 813724719 /nfs/dbraw/zinc/72/47/19/813724719.db2.gz FAPVEDMMAKEHOU-JTQLQIEISA-N -1 1 342.363 -0.001 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@]1(C)C=CCC1 ZINC001082033934 813728439 /nfs/dbraw/zinc/72/84/39/813728439.db2.gz ZKVBSPFLYZCPPP-XHBSWPGZSA-N -1 1 335.408 -0.220 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001082048401 813737317 /nfs/dbraw/zinc/73/73/17/813737317.db2.gz TXLRGOHCDPAGRO-KSSYENDESA-N -1 1 335.408 -0.530 20 0 EBADMM CO[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CN1CC[C@@H](C)C1 ZINC001082135199 813785276 /nfs/dbraw/zinc/78/52/76/813785276.db2.gz SSPXKQKKTHFZRM-IJLUTSLNSA-N -1 1 338.412 -0.833 20 0 EBADMM Cc1oncc1CN(C)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001267221576 813792511 /nfs/dbraw/zinc/79/25/11/813792511.db2.gz NISDUZXSHSEQMZ-UHFFFAOYSA-N -1 1 335.364 -0.529 20 0 EBADMM Cc1cc(CNCCN(C)C(=O)CCc2n[nH]c(=O)[n-]c2=O)on1 ZINC001267394950 813876168 /nfs/dbraw/zinc/87/61/68/813876168.db2.gz JBDWMUKCZXZWLW-UHFFFAOYSA-N -1 1 336.352 -0.240 20 0 EBADMM O=C([N-]CCCN1CC(NC(=O)c2cncnc2)C1)C(F)(F)F ZINC001267642708 813965862 /nfs/dbraw/zinc/96/58/62/813965862.db2.gz BIIFLEBQHJGFHD-UHFFFAOYSA-N -1 1 331.298 -0.041 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2CCN(C)C(=O)C2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082599768 813977401 /nfs/dbraw/zinc/97/74/01/813977401.db2.gz JMUPABUCPUCVNE-OUAUKWLOSA-N -1 1 336.396 -0.685 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2CCc3cncn3C2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082604442 813977841 /nfs/dbraw/zinc/97/78/41/813977841.db2.gz PNQDURCIYZIAFO-NTZNESFSSA-N -1 1 345.407 -0.094 20 0 EBADMM C[C@@H]1CN(C(=O)C[C@H]2CCCC(=O)N2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082632059 813982702 /nfs/dbraw/zinc/98/27/02/813982702.db2.gz IMPTYHFADDOQSU-GMTAPVOTSA-N -1 1 336.396 -0.494 20 0 EBADMM COCCCNC(=O)CN1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001267678384 813983260 /nfs/dbraw/zinc/98/32/60/813983260.db2.gz BIVICCPGJPUDHC-UHFFFAOYSA-N -1 1 336.392 -0.399 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2CCCS2(=O)=O)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082931668 814027446 /nfs/dbraw/zinc/02/74/46/814027446.db2.gz JZTIZFYMSPIFOT-BBBLOLIVSA-N -1 1 343.409 -0.976 20 0 EBADMM C[C@@H](C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1)c1cnn(C)c1 ZINC001082968137 814034616 /nfs/dbraw/zinc/03/46/16/814034616.db2.gz NBDWMIKEPBQBKX-CKYFFXLPSA-N -1 1 333.396 -0.016 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)c4ccncc4)[C@H]3C2)nc1=O ZINC001082978601 814038889 /nfs/dbraw/zinc/03/88/89/814038889.db2.gz OWVFTODEKDBCHO-QWHCGFSZSA-N -1 1 344.375 -0.771 20 0 EBADMM NC(=O)C1(C(=O)NCCC2CCN(Cc3n[nH]c(=O)[n-]3)CC2)CC1 ZINC001267946222 814073089 /nfs/dbraw/zinc/07/30/89/814073089.db2.gz KULYLFOKKUMOPH-UHFFFAOYSA-N -1 1 336.396 -0.506 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)C4CC=CC4)[C@H]3C2)nc1=O ZINC001083031958 814081470 /nfs/dbraw/zinc/08/14/70/814081470.db2.gz ZOYRQDWWEUYEAM-QWHCGFSZSA-N -1 1 333.392 -0.514 20 0 EBADMM Cn1cnc(C(=O)NC2CN(CCC[N-]C(=O)C(F)(F)F)C2)n1 ZINC001268298047 814176908 /nfs/dbraw/zinc/17/69/08/814176908.db2.gz XTOCKUWAKQPTSK-UHFFFAOYSA-N -1 1 334.302 -0.702 20 0 EBADMM CC(C)[C@H](F)C(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21 ZINC001083168537 814178657 /nfs/dbraw/zinc/17/86/57/814178657.db2.gz ZPQMXTKXNKAVSJ-LOWVWBTDSA-N -1 1 341.387 -0.486 20 0 EBADMM Cc1nc([C@H](C)N2CC(NC(=O)CCn3cc[n-]c(=O)c3=O)C2)no1 ZINC001268463917 814252998 /nfs/dbraw/zinc/25/29/98/814252998.db2.gz WEZBSSCRZHVPME-VIFPVBQESA-N -1 1 348.363 -0.820 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1c(C)nn(C)c1OC ZINC001268480275 814259208 /nfs/dbraw/zinc/25/92/08/814259208.db2.gz FLHAQJRHCNDPSJ-UHFFFAOYSA-N -1 1 337.384 -0.187 20 0 EBADMM Cc1cc(=O)c(C(=O)NC/C=C\CNCc2nc(=O)n(C)[n-]2)cn1C ZINC001268553620 814288900 /nfs/dbraw/zinc/28/89/00/814288900.db2.gz ZDSZPAWULXRCKI-PLNGDYQASA-N -1 1 346.391 -0.809 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@@H]3CCC(F)(F)C3)[C@@H](O)C2)nc1=O ZINC001083510455 814505997 /nfs/dbraw/zinc/50/59/97/814505997.db2.gz FHPTWRFLFZLWCB-BBBLOLIVSA-N -1 1 345.350 -0.795 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C[C@@H]3C=CCCC3)[C@@H](O)C2)nc1=O ZINC001083563067 814566211 /nfs/dbraw/zinc/56/62/11/814566211.db2.gz YVKLGQXVRUHGTR-UPJWGTAASA-N -1 1 335.408 -0.484 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@@H]3CCC=CCCC3)[C@@H](O)C2)nc1=O ZINC001083563683 814566617 /nfs/dbraw/zinc/56/66/17/814566617.db2.gz AKXHSCCGKLGGDQ-MCIONIFRSA-N -1 1 349.435 -0.094 20 0 EBADMM O=C(NCCn1ccnn1)[C@@]12C[C@@H]1CN(C(=O)c1ncccc1[O-])C2 ZINC001269346276 814651122 /nfs/dbraw/zinc/65/11/22/814651122.db2.gz MBGPPKKZBUTWFI-BDJLRTHQSA-N -1 1 342.359 -0.343 20 0 EBADMM CC(=O)[C@@H](C)N1C(=O)COCC12CN(C(=O)c1ccc([O-])cn1)C2 ZINC001269354459 814660388 /nfs/dbraw/zinc/66/03/88/814660388.db2.gz JXUMVMNFRAHCHJ-SNVBAGLBSA-N -1 1 333.344 -0.182 20 0 EBADMM COCCCN1CC2(CN(C(=O)c3ccc([O-])cn3)C2)OCC1=O ZINC001269354675 814660499 /nfs/dbraw/zinc/66/04/99/814660499.db2.gz QYPQBFFLMKWCRK-UHFFFAOYSA-N -1 1 335.360 -0.123 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C[C@@H]3C[C@H]3C3CC3)[C@@H](O)C2)nc1=O ZINC001083655035 814665449 /nfs/dbraw/zinc/66/54/49/814665449.db2.gz RKOIYZVPJNPNJZ-RVMXOQNASA-N -1 1 335.408 -0.794 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C3(C4CC4)CCC3)[C@@H](O)C2)nc1=O ZINC001083675172 814683533 /nfs/dbraw/zinc/68/35/33/814683533.db2.gz PJOSKJNXVFTUDL-NEPJUHHUSA-N -1 1 335.408 -0.650 20 0 EBADMM CN1CC[C@H](C(=O)NC2(CNCc3n[nH]c(=O)[n-]3)CCCC2)C1=O ZINC001269572054 814732581 /nfs/dbraw/zinc/73/25/81/814732581.db2.gz FZBHNURFMDSXBT-SNVBAGLBSA-N -1 1 336.396 -0.493 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@@]2(CCN(CC(F)(F)F)C2=O)C1 ZINC001269576662 814733659 /nfs/dbraw/zinc/73/36/59/814733659.db2.gz RMPIBRPVKIKEKX-LLVKDONJSA-N -1 1 332.286 -0.245 20 0 EBADMM CCCCN1C[C@@]2(F)CN(C(=O)Cc3nn[n-]n3)C[C@@]2(F)C1=O ZINC001269576194 814734136 /nfs/dbraw/zinc/73/41/36/814734136.db2.gz GSUIINBKWNPWJC-CHWSQXEVSA-N -1 1 328.323 -0.357 20 0 EBADMM COC(C)(C)CN1CC[C@@]2(CCCN2C(=O)Cc2nn[n-]n2)C1=O ZINC001269577641 814735429 /nfs/dbraw/zinc/73/54/29/814735429.db2.gz MDMPPMKAEPIIDS-HNNXBMFYSA-N -1 1 336.396 -0.239 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC[C@@]12CCN(CC1CCOCC1)C2=O ZINC001269577816 814735777 /nfs/dbraw/zinc/73/57/77/814735777.db2.gz OJPFTWXHDHTBLN-INIZCTEOSA-N -1 1 348.407 -0.238 20 0 EBADMM Cc1ncc(CO)c(C(=O)N2CC3(C2)CCOC[C@H]3C(N)=O)c1[O-] ZINC001269980166 815655293 /nfs/dbraw/zinc/65/52/93/815655293.db2.gz PBCJYZSFLVQSJZ-NSHDSACASA-N -1 1 335.360 -0.448 20 0 EBADMM O=C(Nc1cn[nH]c1)C1=NO[C@@H]2CN(C(=O)c3ccc([O-])cn3)C[C@H]12 ZINC001270139049 815697949 /nfs/dbraw/zinc/69/79/49/815697949.db2.gz PXLOBQIRLMHRTE-CMPLNLGQSA-N -1 1 342.315 -0.024 20 0 EBADMM O=C(NC12CC(C(=O)NCCn3ccnn3)(C1)C2)c1ccc([O-])cn1 ZINC001270138951 815698086 /nfs/dbraw/zinc/69/80/86/815698086.db2.gz NLRNKZGCQNZPHS-UHFFFAOYSA-N -1 1 342.359 -0.152 20 0 EBADMM COC1(CC(=O)N2CCC3(CN(C(=O)Cc4nn[n-]n4)C3)C2)CCC1 ZINC001270182500 815713247 /nfs/dbraw/zinc/71/32/47/815713247.db2.gz GXEYCJFJMXVPFO-UHFFFAOYSA-N -1 1 348.407 -0.238 20 0 EBADMM O=C(Cc1nn[n-]n1)N1[C@@H]2C[C@H]1CN(C(=O)NCC(F)(F)F)C2 ZINC001270186462 815715605 /nfs/dbraw/zinc/71/56/05/815715605.db2.gz WHVAUCSAXBOELW-KNVOCYPGSA-N -1 1 333.274 -0.701 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CN(C/C=C\Cl)C[C@@H]1O ZINC001083949909 815733046 /nfs/dbraw/zinc/73/30/46/815733046.db2.gz RVIMPXGFTUYMPU-ZCMHJKFASA-N -1 1 344.755 -0.576 20 0 EBADMM CN(C)S(=O)(=O)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001270257986 815736152 /nfs/dbraw/zinc/73/61/52/815736152.db2.gz JFZGSKVLIXTMRX-UHFFFAOYSA-N -1 1 340.405 -0.259 20 0 EBADMM CN(C)S(=O)(=O)N1C[C@H]2C[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC001270258096 815736446 /nfs/dbraw/zinc/73/64/46/815736446.db2.gz LIMVSVKOOJEKRW-AOOOYVTPSA-N -1 1 326.378 -0.508 20 0 EBADMM O=C(Cn1nn[n-]c1=S)N1CC[C@H]2CN(Cc3cn[nH]c3)C[C@H]21 ZINC001270298813 815744858 /nfs/dbraw/zinc/74/48/58/815744858.db2.gz BHRHIEDHZNXIKU-WDEREUQCSA-N -1 1 334.409 -0.582 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)cc1 ZINC001083988859 815757502 /nfs/dbraw/zinc/75/75/02/815757502.db2.gz OHSJJJJOYCOGPM-OLZOCXBDSA-N -1 1 331.376 -0.608 20 0 EBADMM Cc1cc(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)no1 ZINC001084175648 815860453 /nfs/dbraw/zinc/86/04/53/815860453.db2.gz RZTZCEDTQNSHFG-ZYHUDNBSSA-N -1 1 332.364 -0.249 20 0 EBADMM Cn1cc(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)cn1 ZINC001084179324 815861510 /nfs/dbraw/zinc/86/15/10/815861510.db2.gz ITVGQNLHBLYETM-ZYHUDNBSSA-N -1 1 331.380 -0.812 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4cnsn4)[C@@H]3C2)nc1=O ZINC001084205917 815865936 /nfs/dbraw/zinc/86/59/36/815865936.db2.gz MZIXPFWTKUXOPW-PSASIEDQSA-N -1 1 335.393 -0.694 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)C4=COCCC4)[C@@H]3C2)nc1=O ZINC001084227291 815869741 /nfs/dbraw/zinc/86/97/41/815869741.db2.gz VBFFNFUDHWTDHK-DGCLKSJQSA-N -1 1 333.392 -0.165 20 0 EBADMM O=C(Cc1nn[n-]n1)N[C@@H]1[C@H]2CC[C@H](C2)[C@@H]1C(=O)Nc1cn[nH]c1 ZINC001270785871 815888590 /nfs/dbraw/zinc/88/85/90/815888590.db2.gz XOGSJUAEQJFNQL-BOOASOPXSA-N -1 1 330.352 -0.365 20 0 EBADMM Cc1noc(NC(=O)[C@]23C[C@H]2CCN3C(=O)Cc2nn[n-]n2)c1C ZINC001270785512 815889743 /nfs/dbraw/zinc/88/97/43/815889743.db2.gz VAQNZMCYHJKHJE-OTYXRUKQSA-N -1 1 331.336 -0.023 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCc2cc(C(=O)NCC3COC3)[nH]c2C1 ZINC001270789214 815892516 /nfs/dbraw/zinc/89/25/16/815892516.db2.gz PDYNLPKLJNPCOM-UHFFFAOYSA-N -1 1 345.363 -0.969 20 0 EBADMM CCn1ncc(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)n1 ZINC001084325712 815895296 /nfs/dbraw/zinc/89/52/96/815895296.db2.gz GAYBGZHJNYZZSJ-ZYHUDNBSSA-N -1 1 346.395 -0.934 20 0 EBADMM O=C(Cn1nn[n-]c1=S)NC12CC(C(=O)NCc3cnc[nH]3)(C1)C2 ZINC001270938208 815934581 /nfs/dbraw/zinc/93/45/81/815934581.db2.gz BLMYTYWWSNEJQJ-UHFFFAOYSA-N -1 1 348.392 -0.960 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)[C@]4(F)CCOC4)[C@@H]3C2)nc1=O ZINC001084606505 815947226 /nfs/dbraw/zinc/94/72/26/815947226.db2.gz XIFLJMZESLFJGM-HFAKWTLXSA-N -1 1 339.371 -0.730 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)Cc4cscn4)[C@@H]3C2)nc1=O ZINC001084704773 815964894 /nfs/dbraw/zinc/96/48/94/815964894.db2.gz RKBIIXBMTIRPPC-ZYHUDNBSSA-N -1 1 348.432 -0.160 20 0 EBADMM Cc1nonc1C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001084868101 815996599 /nfs/dbraw/zinc/99/65/99/815996599.db2.gz RWXHYWJJFYRETM-NXEZZACHSA-N -1 1 333.352 -0.854 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)C1 ZINC001085182845 816027043 /nfs/dbraw/zinc/02/70/43/816027043.db2.gz VKDIMBDQDSPNBP-VXGBXAGGSA-N -1 1 336.396 -0.494 20 0 EBADMM C[C@]1(C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)CNC(=O)C1 ZINC001085283077 816035937 /nfs/dbraw/zinc/03/59/37/816035937.db2.gz BGLWSQUISBEHOS-IQMDTDKHSA-N -1 1 336.396 -0.447 20 0 EBADMM CN1CC[C@@H](C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)C1=O ZINC001085302497 816038659 /nfs/dbraw/zinc/03/86/59/816038659.db2.gz RKKQRURGZAYGIU-HBNTYKKESA-N -1 1 336.396 -0.494 20 0 EBADMM O=C(C[C@H]1CCC(=O)N1)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001085361406 816043647 /nfs/dbraw/zinc/04/36/47/816043647.db2.gz ZNXVQJJYUKPEBC-GMTAPVOTSA-N -1 1 336.396 -0.304 20 0 EBADMM Cc1[nH]nc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)c1C ZINC001085522417 816061848 /nfs/dbraw/zinc/06/18/48/816061848.db2.gz MVVOULHFFIRURB-NSHDSACASA-N -1 1 333.396 -0.205 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1cccc(=O)[nH]1 ZINC001085533711 816066501 /nfs/dbraw/zinc/06/65/01/816066501.db2.gz SHHSQJXKGVKRPM-SNVBAGLBSA-N -1 1 332.364 -0.445 20 0 EBADMM Cc1cc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)ncn1 ZINC001085543126 816068109 /nfs/dbraw/zinc/06/81/09/816068109.db2.gz PXYZSWLUQQQHCH-LLVKDONJSA-N -1 1 331.380 -0.447 20 0 EBADMM CN(C[C@H]1CCN1[C@H]1CCC(=O)NC1=O)C(=O)c1ncccc1[O-] ZINC001085562599 816072697 /nfs/dbraw/zinc/07/26/97/816072697.db2.gz PAXNFCWJPBPEPX-MNOVXSKESA-N -1 1 332.360 -0.261 20 0 EBADMM CC[C@@H](C(N)=O)N1C(=O)COCC12CN(C(=O)c1cncc([O-])c1)C2 ZINC001271387051 816094224 /nfs/dbraw/zinc/09/42/24/816094224.db2.gz PQCKCHJCIGEYHA-LBPRGKRZSA-N -1 1 348.359 -0.896 20 0 EBADMM CN(C[C@@H]1CCN1CCn1cccn1)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001085699709 816115755 /nfs/dbraw/zinc/11/57/55/816115755.db2.gz UNZDHUAZNQDVAD-JTQLQIEISA-N -1 1 333.352 -0.674 20 0 EBADMM CN(C[C@@H]1CCN1CCn1cccn1)C(=O)c1cnc([O-])n(C)c1=O ZINC001085759563 816132929 /nfs/dbraw/zinc/13/29/29/816132929.db2.gz KGNRQOBGNRSJLM-LBPRGKRZSA-N -1 1 346.391 -0.471 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1cccc(=O)n1C ZINC001085810534 816152707 /nfs/dbraw/zinc/15/27/07/816152707.db2.gz HIQSGAVAUNIDJL-LLVKDONJSA-N -1 1 346.391 -0.846 20 0 EBADMM Cn1ccc(=O)c(C(=O)NC[C@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001086145118 816248458 /nfs/dbraw/zinc/24/84/58/816248458.db2.gz XBCUIPODOXQOIE-AOOOYVTPSA-N -1 1 332.364 -0.493 20 0 EBADMM Cn1ccnc1CN[C@H]1C[C@@H](CNC(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001086165727 816253471 /nfs/dbraw/zinc/25/34/71/816253471.db2.gz DENBMPKQEUXLOJ-PHIMTYICSA-N -1 1 346.391 -0.482 20 0 EBADMM O=C(N[C@]1(CO)CCC[N@@H+](CCOCCO)C1)c1ncccc1[O-] ZINC001271956938 816271559 /nfs/dbraw/zinc/27/15/59/816271559.db2.gz GXYZFRKQTIDIAF-MRXNPFEDSA-N -1 1 339.392 -0.647 20 0 EBADMM Cn1ncnc1CN[C@@H]1CC[C@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001086746626 816399566 /nfs/dbraw/zinc/39/95/66/816399566.db2.gz ITPIGZZXZKQTDF-DTWKUNHWSA-N -1 1 348.367 -0.900 20 0 EBADMM COCCOCN1CCO[C@H]2CN(C(=O)c3cncc([O-])c3)C[C@@H]21 ZINC001272672259 816504642 /nfs/dbraw/zinc/50/46/42/816504642.db2.gz GLWDSUOJPLAAID-GJZGRUSLSA-N -1 1 337.376 -0.067 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2[nH]c(=O)[nH]c2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087613169 816540432 /nfs/dbraw/zinc/54/04/32/816540432.db2.gz AEKWZQKNWPQKEX-VHSXEESVSA-N -1 1 349.395 -0.372 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2cnn(C)n2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087761160 816556389 /nfs/dbraw/zinc/55/63/89/816556389.db2.gz CVSIKFGSVUZAJA-GXSJLCMTSA-N -1 1 334.384 -0.980 20 0 EBADMM CN(C)C(=O)CCN1C(=O)COCC12CN(Cc1ccncc1[O-])C2 ZINC001273079835 816583192 /nfs/dbraw/zinc/58/31/92/816583192.db2.gz LGSJDQQFWIKUMZ-UHFFFAOYSA-N -1 1 348.403 -0.321 20 0 EBADMM CC[C@@H](C(N)=O)N1C[C@@]2(CC[N@@H+](Cc3ncccc3O)C2)OCC1=O ZINC001273326255 816645274 /nfs/dbraw/zinc/64/52/74/816645274.db2.gz YRKBHNBASLDQRX-GUYCJALGSA-N -1 1 348.403 -0.146 20 0 EBADMM CC[C@H](C(N)=O)N1C(=O)COCC12CN(Cc1ncccc1[O-])C2 ZINC001273325632 816645328 /nfs/dbraw/zinc/64/53/28/816645328.db2.gz OOJZBFIAZAYFDR-GFCCVEGCSA-N -1 1 334.376 -0.536 20 0 EBADMM Cc1nonc1C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C1 ZINC001088220684 816651164 /nfs/dbraw/zinc/65/11/64/816651164.db2.gz PIPHUYDJUFSHIO-MNOVXSKESA-N -1 1 347.379 -0.606 20 0 EBADMM O=C([C@H]1COCCO1)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088268366 816657436 /nfs/dbraw/zinc/65/74/36/816657436.db2.gz MSFPUKJGLBUNJT-VXGBXAGGSA-N -1 1 339.396 -0.214 20 0 EBADMM Cn1nncc1C(=O)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088306922 816661014 /nfs/dbraw/zinc/66/10/14/816661014.db2.gz HUDZEVUOGHCELR-SNVBAGLBSA-N -1 1 334.384 -0.187 20 0 EBADMM O=C([C@H]1COC(=O)N1)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088307209 816661155 /nfs/dbraw/zinc/66/11/55/816661155.db2.gz QMGHJVHULYCCDZ-NXEZZACHSA-N -1 1 338.368 -0.521 20 0 EBADMM CN(C)C(=O)CN1CC2(C1)CN(C(=O)c1c[nH]c(=S)[n-]c1=O)C2 ZINC001273780255 816760101 /nfs/dbraw/zinc/76/01/01/816760101.db2.gz UTJVJYBKBUJEKZ-UHFFFAOYSA-N -1 1 337.405 -0.683 20 0 EBADMM COc1cncc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)n1 ZINC001088900501 816760770 /nfs/dbraw/zinc/76/07/70/816760770.db2.gz OAMJMGKIRPNTRQ-ZJUUUORDSA-N -1 1 347.379 -0.700 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)nc(C)n1 ZINC001088919318 816763788 /nfs/dbraw/zinc/76/37/88/816763788.db2.gz ZTRHHZYEAQDCCK-PWSUYJOCSA-N -1 1 345.407 -0.092 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ccc(=O)[nH]c2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001089019082 816779090 /nfs/dbraw/zinc/77/90/90/816779090.db2.gz SGTOGHHVBQVFBF-KOLCDFICSA-N -1 1 332.364 -0.398 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cn2ccccc2=O)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001089030874 816786006 /nfs/dbraw/zinc/78/60/06/816786006.db2.gz DLTBEKAYLVGBJJ-NEPJUHHUSA-N -1 1 346.391 -0.951 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCCN1C(=O)[C@]12C[C@H]1COC2 ZINC001089180069 816814243 /nfs/dbraw/zinc/81/42/43/816814243.db2.gz LPFKXDUFJQQOIM-DCGLDWPTSA-N -1 1 349.435 -0.042 20 0 EBADMM O=C(CNC/C=C\CNC(=O)c1c[n-]n2c1nccc2=O)N1CCC1 ZINC001274421386 816953304 /nfs/dbraw/zinc/95/33/04/816953304.db2.gz JQKOYAKFJGTQLJ-UPHRSURJSA-N -1 1 344.375 -0.870 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C3CCCCC3)[C@@H](O)C2)nc1=O ZINC001090023817 816972450 /nfs/dbraw/zinc/97/24/50/816972450.db2.gz URXUSIMYVJEXQI-OLZOCXBDSA-N -1 1 337.424 -0.260 20 0 EBADMM Cc1cccc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)n1 ZINC001090094311 817019032 /nfs/dbraw/zinc/01/90/32/817019032.db2.gz UAKVWWIUNBRMJY-YPMHNXCESA-N -1 1 346.391 -0.823 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C3CC(F)(F)C3)[C@@H](O)C2)nc1=O ZINC001090183892 817100465 /nfs/dbraw/zinc/10/04/65/817100465.db2.gz YSENZVGQRHVZJX-UWVGGRQHSA-N -1 1 345.350 -0.795 20 0 EBADMM Cc1nnc(CN2CC[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)[nH]1 ZINC001090215440 817131696 /nfs/dbraw/zinc/13/16/96/817131696.db2.gz DWZYEQDHDMBXJH-PWSUYJOCSA-N -1 1 332.364 -0.421 20 0 EBADMM O=C(CN1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1)N1CCC1 ZINC001090215762 817133584 /nfs/dbraw/zinc/13/35/84/817133584.db2.gz JGZAZWKQILBPHK-DGCLKSJQSA-N -1 1 334.376 -0.816 20 0 EBADMM Cn1nccc1CN1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001090215753 817133941 /nfs/dbraw/zinc/13/39/41/817133941.db2.gz JFAWCKMZCZFIFW-GXTWGEPZSA-N -1 1 331.376 -0.114 20 0 EBADMM Cc1ccc([O-])c(C(=O)N2C[C@H]3C[C@@]3(C(=O)NCC(=O)N(C)C)C2)n1 ZINC001274974208 817135085 /nfs/dbraw/zinc/13/50/85/817135085.db2.gz INSBTAQVKSBQGR-PIGZYNQJSA-N -1 1 346.387 -0.238 20 0 EBADMM Cc1cc[nH]c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001090235597 817164164 /nfs/dbraw/zinc/16/41/64/817164164.db2.gz ZCYRCKKQROOPOM-WDEREUQCSA-N -1 1 334.380 -0.890 20 0 EBADMM O=C(Nc1nnco1)[C@@H]1CC12CN(C(=O)c1c[nH]c(=S)[n-]c1=O)C2 ZINC001275247155 817175905 /nfs/dbraw/zinc/17/59/05/817175905.db2.gz HOKIOIHWORUHLK-ZETCQYMHSA-N -1 1 348.344 -0.045 20 0 EBADMM NC(=O)[C@H]1CN(C(=O)c2c[nH]c(=S)[n-]c2=O)C[C@H]2CCCO[C@H]21 ZINC001275247300 817177290 /nfs/dbraw/zinc/17/72/90/817177290.db2.gz MLQWCCMOMIAAOU-FKTZTGRPSA-N -1 1 338.389 -0.177 20 0 EBADMM C[C@H]1c2ncc(CC(N)=O)n2CCN1C(=O)c1c[nH]c(=S)[n-]c1=O ZINC001275247350 817177309 /nfs/dbraw/zinc/17/73/09/817177309.db2.gz OLCBVPRHTXXOCD-ZETCQYMHSA-N -1 1 348.388 -0.088 20 0 EBADMM NC(=O)[C@@H]1CCSC12CN(C(=O)c1c[nH]c(=S)[n-]c1=O)C2 ZINC001275247230 817177559 /nfs/dbraw/zinc/17/75/59/817177559.db2.gz JFGOVPQABGXQRH-ZETCQYMHSA-N -1 1 326.403 -0.096 20 0 EBADMM Cn1ncnc1C(=O)N1C[C@@H]2COC[C@H](C1)N2Cc1ccncc1[O-] ZINC001275996425 817334890 /nfs/dbraw/zinc/33/48/90/817334890.db2.gz IXEVLOFDXNQJEB-BETUJISGSA-N -1 1 344.375 -0.359 20 0 EBADMM COCCN(C)C(=O)c1[nH]nc2c1CN(C(=O)c1cc(=O)[nH][n-]1)C2 ZINC001276024610 817342556 /nfs/dbraw/zinc/34/25/56/817342556.db2.gz BDHCGWKYJRVVDY-UHFFFAOYSA-N -1 1 334.336 -0.287 20 0 EBADMM Cn1nnnc1NC(=O)[C@H]1CC12CN(C(=O)c1ccc(F)c(=O)[n-]1)C2 ZINC001276297750 817383234 /nfs/dbraw/zinc/38/32/34/817383234.db2.gz RBEWLNUIJBUOIV-SSDOTTSWSA-N -1 1 347.310 -0.449 20 0 EBADMM CSc1ncc(C(=O)N2CCc3n[nH]c(C(N)=O)c3C2)c(=O)[n-]1 ZINC001276544888 817422235 /nfs/dbraw/zinc/42/22/35/817422235.db2.gz QWLQYGKLINACSH-UHFFFAOYSA-N -1 1 334.361 -0.075 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C3(C4CC4)CCC3)[C@@H](O)C2)nc1=O ZINC001090463742 817437741 /nfs/dbraw/zinc/43/77/41/817437741.db2.gz PGJFBMMSSLQYON-OLZOCXBDSA-N -1 1 349.435 -0.260 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C3CC4(CCC4)C3)[C@H](O)C2)nc1=O ZINC001090495818 817475258 /nfs/dbraw/zinc/47/52/58/817475258.db2.gz JLSGTDLGOZWYIF-QWHCGFSZSA-N -1 1 349.435 -0.260 20 0 EBADMM Cc1coc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c1 ZINC001090503459 817488067 /nfs/dbraw/zinc/48/80/67/817488067.db2.gz JRTROWRUDDTAIM-WDEREUQCSA-N -1 1 335.364 -0.625 20 0 EBADMM Cc1ncccc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001090531206 817511323 /nfs/dbraw/zinc/51/13/23/817511323.db2.gz JEXFEQSCVPXLSF-QWHCGFSZSA-N -1 1 346.391 -0.823 20 0 EBADMM Cc1c(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)ccn1C ZINC001090553396 817535300 /nfs/dbraw/zinc/53/53/00/817535300.db2.gz ALRWPFUYLPHTLX-QWHCGFSZSA-N -1 1 348.407 -0.879 20 0 EBADMM C[C@@H](CCC[NH2+]Cc1nc(=O)n(C)[n-]1)NC(=O)c1cn(C)ccc1=O ZINC001277247404 817538213 /nfs/dbraw/zinc/53/82/13/817538213.db2.gz UYHZNHOTQZPBCF-NSHDSACASA-N -1 1 348.407 -0.505 20 0 EBADMM CC[C@H](C)CN1CCn2c(nnc2C(=O)N=c2nn[n-]n2C)C[C@H]1C ZINC001278124017 817704277 /nfs/dbraw/zinc/70/42/77/817704277.db2.gz QGVKTVQMWGDJDJ-WDEREUQCSA-N -1 1 347.427 -0.232 20 0 EBADMM Cn1[n-]c(CN[C@H](CO)CNC(=O)c2ccc3cc[nH]c3c2)nc1=O ZINC001278165755 817715439 /nfs/dbraw/zinc/71/54/39/817715439.db2.gz ZNZPRJYHAVGCKT-LBPRGKRZSA-N -1 1 344.375 -0.530 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C3CCC=CCC3)[C@H](O)C2)nc1=O ZINC001090821151 817778225 /nfs/dbraw/zinc/77/82/25/817778225.db2.gz YPJHSIINHOWIRL-UONOGXRCSA-N -1 1 349.435 -0.094 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H](O)CN(C)C(=O)C1(C)CC=CC1 ZINC001278873183 817878413 /nfs/dbraw/zinc/87/84/13/817878413.db2.gz BXGFLWVEOMBUSD-LBPRGKRZSA-N -1 1 337.424 -0.284 20 0 EBADMM CC(C)(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CCCNC1=O ZINC001278972667 817897759 /nfs/dbraw/zinc/89/77/59/817897759.db2.gz GUJHJBYDUNWCCM-NXEZZACHSA-N -1 1 338.412 -0.343 20 0 EBADMM Cn1ccc(=O)c(C(=O)NCC2CC(NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001091136429 817902158 /nfs/dbraw/zinc/90/21/58/817902158.db2.gz XBCUIPODOXQOIE-UHFFFAOYSA-N -1 1 332.364 -0.493 20 0 EBADMM COCCN(CCNC(=O)C(=O)C(C)(C)C)Cc1nc(=O)n(C)[n-]1 ZINC001279040558 817902890 /nfs/dbraw/zinc/90/28/90/817902890.db2.gz FSYQQPCNXKALQZ-UHFFFAOYSA-N -1 1 341.412 -0.712 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)[C@@H]4CCCOC4)C[C@]3(C)C2)nc1=O ZINC001091536082 818004276 /nfs/dbraw/zinc/00/42/76/818004276.db2.gz IYGLHTATRDVIRI-XNJGSVPQSA-N -1 1 349.435 -0.185 20 0 EBADMM O=C([C@H]1CC12CCOCC2)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001279920363 818006172 /nfs/dbraw/zinc/00/61/72/818006172.db2.gz SSROGRLSXOASTI-LLVKDONJSA-N -1 1 339.396 -0.763 20 0 EBADMM Cn1[n-]c(CN2CC(OC3CCN(C(=O)C(F)F)CC3)C2)nc1=O ZINC001093495398 818035203 /nfs/dbraw/zinc/03/52/03/818035203.db2.gz XVPAWUIKKZINPP-UHFFFAOYSA-N -1 1 345.350 -0.435 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CC[C@@H](CNC(=O)C2CC2)C1 ZINC001281236711 818084181 /nfs/dbraw/zinc/08/41/81/818084181.db2.gz YGVLVEIHJVSBQG-DGCLKSJQSA-N -1 1 348.403 -0.262 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H](C)NC(=O)c1cnc2cccnn21 ZINC001282204624 818375589 /nfs/dbraw/zinc/37/55/89/818375589.db2.gz RWUDNEWKYREGAA-RKDXNWHRSA-N -1 1 330.352 -0.150 20 0 EBADMM COC[C@@H](C)N1CC(N2C[C@@H](NC(=O)c3ncccc3[O-])CC2=O)C1 ZINC001094731275 818382132 /nfs/dbraw/zinc/38/21/32/818382132.db2.gz MGYCGMTVSLNDEO-NEPJUHHUSA-N -1 1 348.403 -0.163 20 0 EBADMM COCCCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001282413699 818409617 /nfs/dbraw/zinc/40/96/17/818409617.db2.gz FSMBGWREMKURRB-WCQYABFASA-N -1 1 337.376 -0.095 20 0 EBADMM C[C@H](CNC(=O)c1cn2cccnc2n1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001282424280 818424763 /nfs/dbraw/zinc/42/47/63/818424763.db2.gz MTISGMZYUBVDGA-SNVBAGLBSA-N -1 1 344.379 -0.599 20 0 EBADMM C[C@@H](CNC(=O)c1cn2cccnc2n1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001282424278 818424805 /nfs/dbraw/zinc/42/48/05/818424805.db2.gz MTISGMZYUBVDGA-JTQLQIEISA-N -1 1 344.379 -0.599 20 0 EBADMM CO[C@H]1CCC[C@@H]1C(=O)NCCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001283088759 818639251 /nfs/dbraw/zinc/63/92/51/818639251.db2.gz JMIINNSLWGXIRI-ONGXEEELSA-N -1 1 348.363 -0.921 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@H]2[C@@H]4COC[C@@H]42)C3)nc1=O ZINC001095881370 818702134 /nfs/dbraw/zinc/70/21/34/818702134.db2.gz CZZXZFZSNDGVRV-ANXLEDKDSA-N -1 1 333.392 -0.778 20 0 EBADMM CN1CCC[C@@H]1C(=O)NCCCNC(=O)c1c[n-]n2c1nccc2=O ZINC001283255552 818722006 /nfs/dbraw/zinc/72/20/06/818722006.db2.gz ZYRFWQXQUJWQFB-GFCCVEGCSA-N -1 1 346.391 -0.647 20 0 EBADMM CCn1ccc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)n1 ZINC001096102790 818729755 /nfs/dbraw/zinc/72/97/55/818729755.db2.gz IIADDXXVJIFLEN-WXHSDQCUSA-N -1 1 345.407 -0.140 20 0 EBADMM C[C@@H](O)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cn1[n-]cc3c(=O)ncnc1-3)C2 ZINC001096191203 818742622 /nfs/dbraw/zinc/74/26/22/818742622.db2.gz QLDQMDSDEVWCEZ-AAXDQBDMSA-N -1 1 346.391 -0.827 20 0 EBADMM Cc1coc(C(=O)NCCOCCN(C)Cc2nc(=O)n(C)[n-]2)c1 ZINC001283404997 818797657 /nfs/dbraw/zinc/79/76/57/818797657.db2.gz AHMFWBRITBOGOI-UHFFFAOYSA-N -1 1 337.380 -0.112 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CCN(c2ncc(F)cn2)C1 ZINC001096402618 818818116 /nfs/dbraw/zinc/81/81/16/818818116.db2.gz FVOQSXFGYYUJOY-NSHDSACASA-N -1 1 348.338 -0.749 20 0 EBADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)[C@@H]1CCCN1C(N)=O ZINC001283529011 818841942 /nfs/dbraw/zinc/84/19/42/818841942.db2.gz MJZNFRGNNKGCBV-NSHDSACASA-N -1 1 349.391 -0.091 20 0 EBADMM Cn1[nH]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)c2ncccc2[O-])C3)nc1=O ZINC001096990605 818921785 /nfs/dbraw/zinc/92/17/85/818921785.db2.gz LOCBMIWQDACKSF-MXWKQRLJSA-N -1 1 344.375 -0.256 20 0 EBADMM Cc1ccc(N[C@H]2C[C@@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)nn1 ZINC001097202190 818954268 /nfs/dbraw/zinc/95/42/68/818954268.db2.gz RYHBMDPVHDXPPU-TXEJJXNPSA-N -1 1 344.375 -0.216 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CCN(C(=O)[C@@H]2CC23CC3)C1 ZINC001283875613 819012029 /nfs/dbraw/zinc/01/20/29/819012029.db2.gz FSIWVPAIGQPHMV-RYUDHWBXSA-N -1 1 346.387 -0.556 20 0 EBADMM Cc1nc(C)c(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)[nH]1 ZINC001097867944 819045709 /nfs/dbraw/zinc/04/57/09/819045709.db2.gz RZRMFEUHXRSNLP-UTUOFQBUSA-N -1 1 345.407 -0.016 20 0 EBADMM Cc1nonc1CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001098131645 819097161 /nfs/dbraw/zinc/09/71/61/819097161.db2.gz NBSOHVFAMVDMGW-JLLWLGSASA-N -1 1 347.379 -0.736 20 0 EBADMM CNC(=O)CC(=O)N1CCC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001284110154 819099544 /nfs/dbraw/zinc/09/95/44/819099544.db2.gz OVIWYVNQMBNOJG-NSHDSACASA-N -1 1 334.376 -0.108 20 0 EBADMM C[C@H](CNC(=O)c1cncs1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001284202538 819131008 /nfs/dbraw/zinc/13/10/08/819131008.db2.gz DXXWEBQWYGTDTD-SSDOTTSWSA-N -1 1 347.360 -0.578 20 0 EBADMM CSCCC(=O)N[C@H](C)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001284284694 819167057 /nfs/dbraw/zinc/16/70/57/819167057.db2.gz UPBOXNROWYKVLR-SNVBAGLBSA-N -1 1 342.421 -0.699 20 0 EBADMM NC(=O)C(=O)NCc1ccc(CCNC(=O)c2ncccc2[O-])cc1 ZINC001284387216 819211892 /nfs/dbraw/zinc/21/18/92/819211892.db2.gz XDNXMTBLFJPSJH-UHFFFAOYSA-N -1 1 342.355 -0.139 20 0 EBADMM O=C(Cc1ccc[nH]1)N1CCC(N(CCO)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001098569420 819250470 /nfs/dbraw/zinc/25/04/70/819250470.db2.gz KULOEBIJRXFEPJ-UHFFFAOYSA-N -1 1 348.407 -0.134 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CC2(CCN(CC(N)=O)CC2)O1 ZINC001098589713 819263287 /nfs/dbraw/zinc/26/32/87/819263287.db2.gz KWDGVLCMZFUFSI-LBPRGKRZSA-N -1 1 348.403 -0.032 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC[C@@H](n3cc(CNCCCO)nn3)C2)c1[O-] ZINC001098657528 819299681 /nfs/dbraw/zinc/29/96/81/819299681.db2.gz WWRXSCYCVYTAED-GFCCVEGCSA-N -1 1 349.395 -0.426 20 0 EBADMM COCC(=O)NC[C@@H]1CCC(C)(C)CN1Cc1nc(=O)n(C)[n-]1 ZINC001098833780 819377057 /nfs/dbraw/zinc/37/70/57/819377057.db2.gz UYYJIFURJUATIM-NSHDSACASA-N -1 1 325.413 -0.138 20 0 EBADMM CC1(CC(=O)N2CC(NC(=O)CCn3cc[n-]c(=O)c3=O)C2)CC1 ZINC001284922915 819411169 /nfs/dbraw/zinc/41/11/69/819411169.db2.gz HIWUVARHKYXDTI-UHFFFAOYSA-N -1 1 334.376 -0.556 20 0 EBADMM C[C@H]1C[C@@H]1CC(=O)N1CC(CNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001284979017 819441476 /nfs/dbraw/zinc/44/14/76/819441476.db2.gz GDQRYNVLMOJMMD-WCQYABFASA-N -1 1 348.403 -0.453 20 0 EBADMM C[C@H](CCNC(=O)CCn1cc[n-]c(=O)c1=O)Nc1ncccn1 ZINC001099293871 819446431 /nfs/dbraw/zinc/44/64/31/819446431.db2.gz QMNAASREWUWSKS-LLVKDONJSA-N -1 1 332.364 -0.276 20 0 EBADMM CC1(C)CC[C@@H](CNC(=O)Cc2nnc[nH]2)N(Cc2n[nH]c(=O)[n-]2)C1 ZINC001099304530 819449381 /nfs/dbraw/zinc/44/93/81/819449381.db2.gz RUEPTHRSLFAFJO-JTQLQIEISA-N -1 1 348.411 -0.022 20 0 EBADMM C[C@@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)Nc1cnc(F)cn1 ZINC001099313382 819450132 /nfs/dbraw/zinc/45/01/32/819450132.db2.gz BBCZQZNHWZEUSD-QMMMGPOBSA-N -1 1 346.326 -0.033 20 0 EBADMM C[C@@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)Nc1cc(F)ncn1 ZINC001099313541 819450494 /nfs/dbraw/zinc/45/04/94/819450494.db2.gz DSPLPTBYEUYUJT-QMMMGPOBSA-N -1 1 346.326 -0.033 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)/C=C\c3ccco3)[C@H](O)C2)nc1=O ZINC001099641523 819531588 /nfs/dbraw/zinc/53/15/88/819531588.db2.gz CXGXWDOMZIYUKX-OEFFGFFESA-N -1 1 347.375 -0.534 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CCN(CCOCC(F)F)C[C@@H]2O)c1[O-] ZINC001099772411 819682973 /nfs/dbraw/zinc/68/29/73/819682973.db2.gz LHZPEJMIMWDXRE-UWVGGRQHSA-N -1 1 348.350 -0.130 20 0 EBADMM CCC(=CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O)CC ZINC001099781105 819690236 /nfs/dbraw/zinc/69/02/36/819690236.db2.gz RAXKYZKUUOGONZ-STQMWFEESA-N -1 1 337.424 -0.094 20 0 EBADMM C/C=C(\C)C(=O)NC[C@H](C)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001285642904 819704826 /nfs/dbraw/zinc/70/48/26/819704826.db2.gz PBQJTBRJEZRPOD-JVOXIWMLSA-N -1 1 336.392 -0.144 20 0 EBADMM C[C@@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)c1ccn(C)c1 ZINC001285723946 819739795 /nfs/dbraw/zinc/73/97/95/819739795.db2.gz OSEZTNDZIFCAGN-VIFPVBQESA-N -1 1 343.347 -0.696 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NC1(CNC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001285944065 819838282 /nfs/dbraw/zinc/83/82/82/819838282.db2.gz UTKAEXFPHSYPFB-QWRGUYRKSA-N -1 1 334.376 -0.652 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C3(CCF)CC3)[C@@H](O)C2)nc1=O ZINC001099933734 819933787 /nfs/dbraw/zinc/93/37/87/819933787.db2.gz TVTBBIJRSQTKED-QWRGUYRKSA-N -1 1 341.387 -0.700 20 0 EBADMM C[C@@H](NC(=O)C1(C(N)=O)CC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001286210426 819950411 /nfs/dbraw/zinc/95/04/11/819950411.db2.gz NNTQCTCOLANCJA-SECBINFHSA-N -1 1 332.360 -0.371 20 0 EBADMM CCC(C)(C)C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001099981791 819988179 /nfs/dbraw/zinc/98/81/79/819988179.db2.gz SVNAYIMQYBNUCD-WDEREUQCSA-N -1 1 325.413 -0.404 20 0 EBADMM Cc1nn(C)c(=O)c(C(=O)NCCNC(=O)c2ncccc2[O-])c1C ZINC001292666863 820581318 /nfs/dbraw/zinc/58/13/18/820581318.db2.gz XMIPVOHAVWHBMD-UHFFFAOYSA-N -1 1 345.359 -0.342 20 0 EBADMM C[C@H](C(=O)NCCCNC(=O)CCn1cc[n-]c(=O)c1=O)C1CC1 ZINC001293225276 820726610 /nfs/dbraw/zinc/72/66/10/820726610.db2.gz OZIUMMXPPITXOD-NSHDSACASA-N -1 1 336.392 -0.405 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCN(c1ncccn1)C1CC1 ZINC001101366212 820769061 /nfs/dbraw/zinc/76/90/61/820769061.db2.gz GWZOGIZXWLUKDH-UHFFFAOYSA-N -1 1 344.375 -0.498 20 0 EBADMM CN(CCCNC(=O)C1CC=CC1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001294001988 820854331 /nfs/dbraw/zinc/85/43/31/820854331.db2.gz JETNDTCTMUUQJU-UHFFFAOYSA-N -1 1 348.403 -0.142 20 0 EBADMM COc1ccnc(NCCN(C)C(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001101699245 820884711 /nfs/dbraw/zinc/88/47/11/820884711.db2.gz QGNQPPZVPKTLRY-UHFFFAOYSA-N -1 1 344.335 -0.600 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N(C)CCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001294190504 820894975 /nfs/dbraw/zinc/89/49/75/820894975.db2.gz JRHXUXJXQYPCFO-VXGBXAGGSA-N -1 1 336.392 -0.453 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)CCn1cccn1 ZINC001101739742 820901556 /nfs/dbraw/zinc/90/15/56/820901556.db2.gz XRHGEISDKUZFLG-VXGBXAGGSA-N -1 1 333.396 -0.019 20 0 EBADMM COCCc1noc(CN(C)C(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001295065073 821045947 /nfs/dbraw/zinc/04/59/47/821045947.db2.gz XPYHNBLIECJDAU-UHFFFAOYSA-N -1 1 337.336 -0.843 20 0 EBADMM C[C@@H](CNC(=O)c1ccco1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001295402599 821090958 /nfs/dbraw/zinc/09/09/58/821090958.db2.gz XCLZWEIPFSSONI-QMMMGPOBSA-N -1 1 330.304 -0.441 20 0 EBADMM C[C@@H](CNC(=O)c1ccoc1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001295421512 821092506 /nfs/dbraw/zinc/09/25/06/821092506.db2.gz FEDOBOXMVGCZIT-QMMMGPOBSA-N -1 1 330.304 -0.441 20 0 EBADMM Cc1[nH]ccc1C(=O)NCCN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001296037732 821196782 /nfs/dbraw/zinc/19/67/82/821196782.db2.gz QDCHHIQBEFSXTC-UHFFFAOYSA-N -1 1 343.347 -0.444 20 0 EBADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)c1cnc2n[nH]nc2c1 ZINC001296046496 821197769 /nfs/dbraw/zinc/19/77/69/821197769.db2.gz XIGYOOVYCRTBLA-UHFFFAOYSA-N -1 1 341.331 -0.045 20 0 EBADMM Cc1ocnc1C(=O)N(C)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001296153081 821217780 /nfs/dbraw/zinc/21/77/80/821217780.db2.gz HLLXOWJQPOEUAT-UHFFFAOYSA-N -1 1 349.347 -0.888 20 0 EBADMM CC(C)[C@H](F)C(=O)NCCN(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001296198358 821223852 /nfs/dbraw/zinc/22/38/52/821223852.db2.gz OCTVJYAVKDOQCR-LBPRGKRZSA-N -1 1 342.371 -0.505 20 0 EBADMM C[C@H](C(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C)n1cncn1 ZINC001102879811 821225185 /nfs/dbraw/zinc/22/51/85/821225185.db2.gz OLJBZNDOTCVWLA-IJLUTSLNSA-N -1 1 348.411 -0.063 20 0 EBADMM CCN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)[C@H]1C[C@@H]1C ZINC001296336606 821240318 /nfs/dbraw/zinc/24/03/18/821240318.db2.gz CKBWHBQCTKDLGZ-RYUDHWBXSA-N -1 1 336.392 -0.453 20 0 EBADMM O=C(CC(=O)N1CCSC[C@@H]1c1nn[n-]n1)NCC(F)(F)F ZINC001296690975 821277832 /nfs/dbraw/zinc/27/78/32/821277832.db2.gz SDDWIOMDKIURRI-ZCFIWIBFSA-N -1 1 338.315 -0.115 20 0 EBADMM CCCC1(C(=O)N2CC(NC(=O)CCn3cc[n-]c(=O)c3=O)C2)CC1 ZINC001297096614 821321711 /nfs/dbraw/zinc/32/17/11/821321711.db2.gz HEJCZSDNMSLTOR-UHFFFAOYSA-N -1 1 348.403 -0.166 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)CCn1cnnn1 ZINC001103569400 821327395 /nfs/dbraw/zinc/32/73/95/821327395.db2.gz HEMNCCCITCALBJ-GHMZBOCLSA-N -1 1 349.399 -0.839 20 0 EBADMM CCNC(=O)CC(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001104095650 821400887 /nfs/dbraw/zinc/40/08/87/821400887.db2.gz CRQMTXSTXMCWFY-GHMZBOCLSA-N -1 1 338.412 -0.389 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)CNC(=O)[C@H]1CC12CC2 ZINC001297773294 821416022 /nfs/dbraw/zinc/41/60/22/821416022.db2.gz XAVKQFQRYZNXHV-VXGBXAGGSA-N -1 1 348.403 -0.405 20 0 EBADMM C[C@@]1(C(=O)NC/C=C\CNC(=O)c2ncccc2[O-])CNC(=O)C1 ZINC001298357346 821515988 /nfs/dbraw/zinc/51/59/88/821515988.db2.gz UPFITBXZUDQORH-YPQKKHBXSA-N -1 1 332.360 -0.284 20 0 EBADMM COC[C@H](OC)C(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001104648978 821527867 /nfs/dbraw/zinc/52/78/67/821527867.db2.gz SZSYTKHKZAXEQL-UTUOFQBUSA-N -1 1 341.412 -0.254 20 0 EBADMM CC/C=C(/C)C(=O)N[C@H](C)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001298539300 821567935 /nfs/dbraw/zinc/56/79/35/821567935.db2.gz FMZHBTPFEDEWTJ-DKRCXCIFSA-N -1 1 336.392 -0.096 20 0 EBADMM C[C@H](CNC(=O)[C@@H]1CC12CC2)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001298650416 821581559 /nfs/dbraw/zinc/58/15/59/821581559.db2.gz DLTKIFOOEFCXOJ-MNOVXSKESA-N -1 1 334.376 -0.652 20 0 EBADMM Cc1cc[nH]c1C(=O)NC[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001298826251 821605378 /nfs/dbraw/zinc/60/53/78/821605378.db2.gz XRWFAVLMZRQYFN-NSHDSACASA-N -1 1 347.375 -0.502 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)C1(C)CCC1 ZINC001298839257 821607377 /nfs/dbraw/zinc/60/73/77/821607377.db2.gz UOCKSNPZRIFGKE-NSHDSACASA-N -1 1 336.392 -0.262 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)[C@@]1(C)C=CCC1 ZINC001298846053 821607538 /nfs/dbraw/zinc/60/75/38/821607538.db2.gz DVOFRXZCUBKLIA-SJCJKPOMSA-N -1 1 348.403 -0.096 20 0 EBADMM CC(C)[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)Nc1ncccn1 ZINC001105201311 821616745 /nfs/dbraw/zinc/61/67/45/821616745.db2.gz DYNNBWSJVQESHF-LBPRGKRZSA-N -1 1 346.391 -0.030 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCCCS(C)(=O)=O)[n-]n1 ZINC001309603690 821779794 /nfs/dbraw/zinc/77/97/94/821779794.db2.gz FKGQJDXIJOODDO-UHFFFAOYSA-N -1 1 339.395 -0.701 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCCCS(C)(=O)=O)n[n-]1 ZINC001309603690 821779796 /nfs/dbraw/zinc/77/97/96/821779796.db2.gz FKGQJDXIJOODDO-UHFFFAOYSA-N -1 1 339.395 -0.701 20 0 EBADMM CCOCCOCC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001316800299 821861661 /nfs/dbraw/zinc/86/16/61/821861661.db2.gz UVNOLRRVCRYBJS-NEPJUHHUSA-N -1 1 341.412 -0.760 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H](O)CNc2ncnc3[nH]cnc32)c1[O-] ZINC001105819958 821889797 /nfs/dbraw/zinc/88/97/97/821889797.db2.gz ONWWMHCKCDPLGG-SSDOTTSWSA-N -1 1 332.324 -0.756 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H](O)CNc2ncnc3[nH]cnc32)c1[O-] ZINC001105819959 821889819 /nfs/dbraw/zinc/88/98/19/821889819.db2.gz ONWWMHCKCDPLGG-ZETCQYMHSA-N -1 1 332.324 -0.756 20 0 EBADMM Cc1cc(CN(C)CCCNC(=O)CCn2cc[n-]c(=O)c2=O)no1 ZINC001316857026 821894319 /nfs/dbraw/zinc/89/43/19/821894319.db2.gz QZBJZUROJYQVDM-UHFFFAOYSA-N -1 1 349.391 -0.139 20 0 EBADMM Cn1ccnc1CN1CC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001316990547 821963974 /nfs/dbraw/zinc/96/39/74/821963974.db2.gz PSJJIHSOWNFYEC-GFCCVEGCSA-N -1 1 346.391 -0.949 20 0 EBADMM Cc1oc2ncn(C)c(=O)c2c1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001318427975 822314562 /nfs/dbraw/zinc/31/45/62/822314562.db2.gz HWDFQQMVHBWCEW-MRVPVSSYSA-N -1 1 345.319 -0.438 20 0 EBADMM CC[C@@H](C)C(=O)NCc1cnn2c1CN(Cc1nc(=O)n(C)[n-]1)CC2 ZINC001128203129 828427244 /nfs/dbraw/zinc/42/72/44/828427244.db2.gz QYUZXPZQDADIMO-LLVKDONJSA-N -1 1 347.423 -0.017 20 0 EBADMM CC[C@H](C)C(=O)NCc1cn(C2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001107132654 823742459 /nfs/dbraw/zinc/74/24/59/823742459.db2.gz CEHZDENOORNDJB-JTQLQIEISA-N -1 1 348.411 -0.581 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@](C)(CNC(=O)c3ccon3)C2)nc1=O ZINC001107773551 823956517 /nfs/dbraw/zinc/95/65/17/823956517.db2.gz OBZKQZIPERIGCO-AWEZNQCLSA-N -1 1 336.352 -0.883 20 0 EBADMM CCOCCC(=O)NC[C@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107806825 823973435 /nfs/dbraw/zinc/97/34/35/823973435.db2.gz MGFBKXJQFMBHTK-OAHLLOKOSA-N -1 1 341.412 -0.758 20 0 EBADMM CN1CC[C@@H](N2CCO[C@@](C)(CNC(=O)c3ncccc3[O-])C2)C1=O ZINC001107889843 824020646 /nfs/dbraw/zinc/02/06/46/824020646.db2.gz FBOCTIPOAFGGOD-PXAZEXFGSA-N -1 1 348.403 -0.161 20 0 EBADMM COC[C@H](O)CN1CCO[C@@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001107890689 824021013 /nfs/dbraw/zinc/02/10/13/824021013.db2.gz TUUIFVCEPJVUIL-WBMJQRKESA-N -1 1 339.392 -0.385 20 0 EBADMM CN(C)C(=O)CN1CCO[C@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001107890191 824021329 /nfs/dbraw/zinc/02/13/29/824021329.db2.gz JUFUCCFKXRLPIN-MRXNPFEDSA-N -1 1 336.392 -0.304 20 0 EBADMM C[C@]1(CNC(=O)c2ncccc2[O-])CN(CC(=O)N2CCC2)CCO1 ZINC001107890838 824021971 /nfs/dbraw/zinc/02/19/71/824021971.db2.gz XJTHAOBBSRDAJH-KRWDZBQOSA-N -1 1 348.403 -0.160 20 0 EBADMM Cc1c[nH]c(C(=O)NC[C@]2(C)CN(Cc3nc(=O)n(C)[n-]3)CCO2)n1 ZINC001108269362 824187740 /nfs/dbraw/zinc/18/77/40/824187740.db2.gz CTYHXRAZOOSYBK-OAHLLOKOSA-N -1 1 349.395 -0.839 20 0 EBADMM C[C@H](F)CCN1CCO[C@@](C)(CNC(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC001108368429 824241250 /nfs/dbraw/zinc/24/12/50/824241250.db2.gz XQJHTLQJROTKLM-HZMBPMFUSA-N -1 1 345.375 -0.356 20 0 EBADMM COc1ccnc(NC[C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001108461406 824295570 /nfs/dbraw/zinc/29/55/70/824295570.db2.gz OAFFFFWRPODLIG-QMMMGPOBSA-N -1 1 344.335 -0.553 20 0 EBADMM C[C@@H](CN(C)c1cc(F)ncn1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001109039118 824475047 /nfs/dbraw/zinc/47/50/47/824475047.db2.gz IBQVABZAZBLJNB-QMMMGPOBSA-N -1 1 346.326 -0.399 20 0 EBADMM Cc1ccnc(N(C)C[C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001109039536 824475971 /nfs/dbraw/zinc/47/59/71/824475971.db2.gz PCHGKDIRIYIWJY-SNVBAGLBSA-N -1 1 342.363 -0.229 20 0 EBADMM Cc1nsc(NC2(CNC(=O)c3cc(=O)n4[n-]cnc4n3)CC2)n1 ZINC001110182583 824672906 /nfs/dbraw/zinc/67/29/06/824672906.db2.gz ONICHRCIRYHPKN-UHFFFAOYSA-N -1 1 346.376 -0.048 20 0 EBADMM O=C(CN1CCN(C(=O)c2ncccc2[O-])CC1)NC[C@H]1CCOC1 ZINC001112784106 825638187 /nfs/dbraw/zinc/63/81/87/825638187.db2.gz QQGLWPOCTKYDME-CYBMUJFWSA-N -1 1 348.403 -0.302 20 0 EBADMM COCCCN1CCN(C(=O)CCc2n[nH]c(=O)[n-]c2=O)CC1 ZINC001113062151 825743133 /nfs/dbraw/zinc/74/31/33/825743133.db2.gz KOFQKGIGOPZNOX-UHFFFAOYSA-N -1 1 325.369 -0.604 20 0 EBADMM Cn1ncc(C(=O)N2CCN(CCC[N-]C(=O)C(F)(F)F)CC2)n1 ZINC001113428252 825870777 /nfs/dbraw/zinc/87/07/77/825870777.db2.gz MRQZYFJXJBWLGI-UHFFFAOYSA-N -1 1 348.329 -0.359 20 0 EBADMM CCNC(=O)CCCC(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001113556444 825918503 /nfs/dbraw/zinc/91/85/03/825918503.db2.gz ZQQQWGVDNGMTCR-UHFFFAOYSA-N -1 1 338.412 -0.941 20 0 EBADMM Cc1ccnc(N(C)[C@@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001113656127 825966968 /nfs/dbraw/zinc/96/69/68/825966968.db2.gz YDOUQBZBQCBXIL-LBPRGKRZSA-N -1 1 346.391 -0.334 20 0 EBADMM CSCC(=O)N[C@@H]1[C@H]2CN(Cc3cc(=O)n4[n-]ccc4n3)C[C@H]21 ZINC001113831809 826039294 /nfs/dbraw/zinc/03/92/94/826039294.db2.gz UKZIOFGXPYFTQU-HBYGRHMLSA-N -1 1 333.417 -0.068 20 0 EBADMM C[C@@H](CC(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)n1cccn1 ZINC001115089852 826417518 /nfs/dbraw/zinc/41/75/18/826417518.db2.gz VWGRHLBPWNMUMK-UUIJZJDISA-N -1 1 345.407 -0.497 20 0 EBADMM Cn1nc(CNC(=O)CCn2cc[n-]c(=O)c2=O)cc1C(F)(F)F ZINC001180276972 833068951 /nfs/dbraw/zinc/06/89/51/833068951.db2.gz OZBTTYMNKUQSEN-UHFFFAOYSA-N -1 1 345.281 -0.005 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cc(=O)n2[n-]cnc2n1)Nc1ncc(F)cn1 ZINC001115778104 826584571 /nfs/dbraw/zinc/58/45/71/826584571.db2.gz RBCFSBXDIWLAQM-QMMMGPOBSA-N -1 1 346.326 -0.081 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)Cn2c3ccccc3oc2=O)n1 ZINC001115940285 826592086 /nfs/dbraw/zinc/59/20/86/826592086.db2.gz DWQZACXLDOTLBJ-UHFFFAOYSA-N -1 1 337.317 -0.245 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)Cn2c3ccccc3oc2=O)[n-]1 ZINC001115940285 826592087 /nfs/dbraw/zinc/59/20/87/826592087.db2.gz DWQZACXLDOTLBJ-UHFFFAOYSA-N -1 1 337.317 -0.245 20 0 EBADMM COC(=O)[C@H]1C[C@@H](OC)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001116492938 826622642 /nfs/dbraw/zinc/62/26/42/826622642.db2.gz WOIOHVAPMSPKDP-WDEREUQCSA-N -1 1 339.348 -0.894 20 0 EBADMM C[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C1(S(C)(=O)=O)CC1 ZINC001117259357 826705033 /nfs/dbraw/zinc/70/50/33/826705033.db2.gz RKGZGLACSCIHDV-VIFPVBQESA-N -1 1 329.378 -0.991 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCC(=O)N3CCCCC3)ccnc1-2 ZINC001117375981 826733788 /nfs/dbraw/zinc/73/37/88/826733788.db2.gz XQBSJTMACKYBMY-UHFFFAOYSA-N -1 1 344.375 -0.591 20 0 EBADMM Cc1nn(C)c(C)c1CNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001117381591 826736316 /nfs/dbraw/zinc/73/63/16/826736316.db2.gz XLBLKSPTTMXHOM-UHFFFAOYSA-N -1 1 341.375 -0.053 20 0 EBADMM CC(C)Cn1ncc(NC(=O)C(=O)NN2CC(=O)[N-]C2=O)c1C1CC1 ZINC001117438872 826749537 /nfs/dbraw/zinc/74/95/37/826749537.db2.gz ROHCIZUQKODVLD-UHFFFAOYSA-N -1 1 348.363 -0.062 20 0 EBADMM CN(CC(=O)N1CCCC1)C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001118470826 826935788 /nfs/dbraw/zinc/93/57/88/826935788.db2.gz FUCHQJPWLKIXDP-UHFFFAOYSA-N -1 1 344.375 -0.639 20 0 EBADMM O=C([O-])CNC(=O)CN1C[C@@H]2C(=O)N(Cc3ccccc3)C(=O)[C@@H]2C1 ZINC001118568987 826961744 /nfs/dbraw/zinc/96/17/44/826961744.db2.gz MKKYRKPLQRMELJ-BETUJISGSA-N -1 1 345.355 -0.696 20 0 EBADMM C[C@@H](CN(C)C(=O)c1n[nH]c(=O)[n-]c1=O)Nc1ncnc2[nH]cnc21 ZINC001120928022 827272605 /nfs/dbraw/zinc/27/26/05/827272605.db2.gz GBKVDZLVLGAHDN-LURJTMIESA-N -1 1 345.323 -0.478 20 0 EBADMM Cn1nnc2c1ncnc2N[C@@H](CO)CNC(=O)c1ncccc1[O-] ZINC001121338872 827345781 /nfs/dbraw/zinc/34/57/81/827345781.db2.gz CWMDZGBSSKLBPJ-MRVPVSSYSA-N -1 1 344.335 -0.938 20 0 EBADMM Cc1cc(N[C@H](CO)CNC(=O)c2ncccc2[O-])n2ncnc2n1 ZINC001121340140 827346909 /nfs/dbraw/zinc/34/69/09/827346909.db2.gz RXYZZPZDDVYIAZ-JTQLQIEISA-N -1 1 343.347 -0.264 20 0 EBADMM CC(=O)O[C@H]1CO[C@H](C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)S1 ZINC001182208101 833215396 /nfs/dbraw/zinc/21/53/96/833215396.db2.gz NSBNVJXWNNFPLT-MOFOKWOHSA-N -1 1 341.305 -0.367 20 0 EBADMM C[C@@H]1CCCN(C(=O)CCNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001124082173 827755757 /nfs/dbraw/zinc/75/57/57/827755757.db2.gz JPDYTBPBNAYEIN-GFCCVEGCSA-N -1 1 336.392 -0.309 20 0 EBADMM CN(C)c1nc(NC(=O)CN(C)S(C)(=O)=O)c(N=O)c(=O)[n-]1 ZINC001182876330 833264979 /nfs/dbraw/zinc/26/49/79/833264979.db2.gz VYQRXVINTMFBPM-UHFFFAOYSA-N -1 1 332.342 -0.524 20 0 EBADMM CN1C[C@@H](C(=O)NCC[N-]C(=O)C(F)(F)Br)NC1=O ZINC001183078539 833280003 /nfs/dbraw/zinc/28/00/03/833280003.db2.gz BHJUREPJHJMLON-YFKPBYRVSA-N -1 1 343.128 -0.770 20 0 EBADMM CC[C@H](CO)C(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001183174469 833282782 /nfs/dbraw/zinc/28/27/82/833282782.db2.gz SHUWCJLZHQHBFD-KOLCDFICSA-N -1 1 326.353 -0.954 20 0 EBADMM O=C(Cn1cc(F)c(=O)[n-]c1=O)N1C[C@H](CO)[C@@H](C(F)(F)F)C1 ZINC001183655389 833298574 /nfs/dbraw/zinc/29/85/74/833298574.db2.gz VBUMWYBWEFFPCC-RQJHMYQMSA-N -1 1 339.245 -0.283 20 0 EBADMM Cc1n[nH]c(C(=O)NCCN[C@H](C)C(=O)Nc2ncccn2)c1[O-] ZINC001125734431 828129395 /nfs/dbraw/zinc/12/93/95/828129395.db2.gz PJWJBHVCYJOKHM-SECBINFHSA-N -1 1 333.352 -0.440 20 0 EBADMM Cc1n[nH]c(C(=O)NCCNCC(=O)Nc2c(C)nn(C)c2C)c1[O-] ZINC001125745456 828129428 /nfs/dbraw/zinc/12/94/28/828129428.db2.gz QTDWWCWRQQINHI-UHFFFAOYSA-N -1 1 349.395 -0.268 20 0 EBADMM Cn1ncc2c1nc(CNCCNC(=O)c1ncccc1[O-])[nH]c2=O ZINC001126125388 828155922 /nfs/dbraw/zinc/15/59/22/828155922.db2.gz UZFGRSPMAPTGJF-UHFFFAOYSA-N -1 1 343.347 -0.311 20 0 EBADMM CN(C(=O)CCn1cc[n-]c(=O)c1=O)C1CC(Nc2ncccn2)C1 ZINC001126977966 828238094 /nfs/dbraw/zinc/23/80/94/828238094.db2.gz YCQDDPASPIRWBR-UHFFFAOYSA-N -1 1 344.375 -0.182 20 0 EBADMM NC(=O)C([N-]S(=O)(=O)Cc1ccc(Cl)cc1Cl)C(N)=O ZINC001184520893 833332627 /nfs/dbraw/zinc/33/26/27/833332627.db2.gz CSXKKRDLYWDKSN-UHFFFAOYSA-N -1 1 340.188 -0.248 20 0 EBADMM COC(=O)[C@@H](CC(N)=O)[N-]S(=O)(=O)Cc1cc(F)cc(F)c1 ZINC001185167764 833348308 /nfs/dbraw/zinc/34/83/08/833348308.db2.gz SPQQGQMPDKDHDI-SNVBAGLBSA-N -1 1 336.316 -0.199 20 0 EBADMM CN1CCN(C)[C@H](C(=O)Nc2ccc3c(c2)S(=O)(=O)[N-]C3=O)C1 ZINC001185255565 833352335 /nfs/dbraw/zinc/35/23/35/833352335.db2.gz OQTIELOISRFDSG-NSHDSACASA-N -1 1 338.389 -0.697 20 0 EBADMM CCO[C@H]1COCC[C@@H]1CC(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001131497278 829145681 /nfs/dbraw/zinc/14/56/81/829145681.db2.gz UXYGBBNRBLXENY-MNOVXSKESA-N -1 1 327.385 -0.452 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)[C@@H]1COCCN1CC1CCC1 ZINC001131825827 829232949 /nfs/dbraw/zinc/23/29/49/829232949.db2.gz USNXPLDCNQRYLD-LBPRGKRZSA-N -1 1 338.412 -0.783 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])CN1CC(=O)NC(N)=O ZINC001131971991 829286933 /nfs/dbraw/zinc/28/69/33/829286933.db2.gz OCGKSYSCUOTXCE-UWVGGRQHSA-N -1 1 335.364 -0.435 20 0 EBADMM O=C(CCNC(=O)C1CCC1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001186274276 833394431 /nfs/dbraw/zinc/39/44/31/833394431.db2.gz BGDAZGZVSBBWTL-LLVKDONJSA-N -1 1 336.396 -0.493 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1ccc(-n2ccnc2)nc1 ZINC001132123134 829344448 /nfs/dbraw/zinc/34/44/48/829344448.db2.gz UOMZCPJJQSNTKS-UHFFFAOYSA-N -1 1 328.336 -0.389 20 0 EBADMM Cn1ncc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)c1-n1cccc1 ZINC001132153742 829360787 /nfs/dbraw/zinc/36/07/87/829360787.db2.gz KREKWWOOJLGVHE-UHFFFAOYSA-N -1 1 330.352 -0.446 20 0 EBADMM CCOc1cccc(CNCCNC(=O)c2cnc([O-])n(C)c2=O)n1 ZINC001132242309 829391902 /nfs/dbraw/zinc/39/19/02/829391902.db2.gz MKQPITFFZBANQR-UHFFFAOYSA-N -1 1 347.375 -0.201 20 0 EBADMM COc1ncccc1CNCCNC(=O)c1cnc([O-])n(C)c1=O ZINC001132242549 829392066 /nfs/dbraw/zinc/39/20/66/829392066.db2.gz SDJUFNKAVBTHPB-UHFFFAOYSA-N -1 1 333.348 -0.591 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)CCc2c[nH]nn2)CN1Cc1n[nH]c(=O)[n-]1 ZINC001132315422 829411084 /nfs/dbraw/zinc/41/10/84/829411084.db2.gz SWXXZMFTMPEVNR-ONGXEEELSA-N -1 1 334.384 -0.270 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cccc(-n2cncn2)c1 ZINC001133597754 829644623 /nfs/dbraw/zinc/64/46/23/829644623.db2.gz ZVHWMJYFGIVASL-UHFFFAOYSA-N -1 1 328.336 -0.389 20 0 EBADMM C[C@@H](C[C@H](C)NC(=O)c1n[nH]c(=O)[n-]c1=O)NCc1ncccn1 ZINC001134862860 829860488 /nfs/dbraw/zinc/86/04/88/829860488.db2.gz DFRKJPYNGZBLQD-IUCAKERBSA-N -1 1 333.352 -0.241 20 0 EBADMM C[C@H](C[C@H](C)NC(=O)c1n[nH]c(=O)[n-]c1=O)NCc1nncs1 ZINC001134868610 829861755 /nfs/dbraw/zinc/86/17/55/829861755.db2.gz STAGNTGMYNNDNK-RQJHMYQMSA-N -1 1 339.381 -0.179 20 0 EBADMM COCC[C@H](C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001211163157 837403164 /nfs/dbraw/zinc/40/31/64/837403164.db2.gz FTEUEUPXSNFBSU-QJPTWQEYSA-N -1 1 325.413 -0.283 20 0 EBADMM C[C@H](C[C@H](C)NCc1cnon1)NC(=O)c1cnc([O-])n(C)c1=O ZINC001135165517 829912372 /nfs/dbraw/zinc/91/23/72/829912372.db2.gz GUFRHWQMKIMEIB-DTWKUNHWSA-N -1 1 336.352 -0.444 20 0 EBADMM C[C@H](C[C@H](C)NCc1ncnn1C)NC(=O)c1cnc([O-])n(C)c1=O ZINC001135175996 829915712 /nfs/dbraw/zinc/91/57/12/829915712.db2.gz LWTBBUUXMFZBIT-VHSXEESVSA-N -1 1 349.395 -0.699 20 0 EBADMM C[C@H](NC(=O)CC1CCCC1)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001135295070 829940842 /nfs/dbraw/zinc/94/08/42/829940842.db2.gz BLCPYPCAJJYUOB-JTQLQIEISA-N -1 1 338.412 -0.199 20 0 EBADMM Cc1nnc([C@@H](C)NCCNC(=O)CCn2cc[n-]c(=O)c2=O)o1 ZINC001135390835 829960289 /nfs/dbraw/zinc/96/02/89/829960289.db2.gz QDRYYXIWTXJSQT-SECBINFHSA-N -1 1 336.352 -0.915 20 0 EBADMM CC(C)c1ocnc1CNCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001135390823 829960492 /nfs/dbraw/zinc/96/04/92/829960492.db2.gz PXJAMBONXSZYSH-UHFFFAOYSA-N -1 1 349.391 -0.056 20 0 EBADMM COc1cccn2ncc(C(=O)NCCNCc3n[nH]c(=O)[n-]3)c12 ZINC001135414456 829964342 /nfs/dbraw/zinc/96/43/42/829964342.db2.gz ZSKJYMFEXABFRY-UHFFFAOYSA-N -1 1 331.336 -0.314 20 0 EBADMM Cc1noc(C)c1CNCCNC(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC001135465896 829972454 /nfs/dbraw/zinc/97/24/54/829972454.db2.gz VCVXJSHBJGVXFC-UHFFFAOYSA-N -1 1 345.363 -0.418 20 0 EBADMM C[C@H](C[C@H](C)NCc1nncs1)NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001136036866 830069064 /nfs/dbraw/zinc/06/90/64/830069064.db2.gz ZEUGICFTXFSOKL-JGVFFNPUSA-N -1 1 341.397 -0.386 20 0 EBADMM O=C(Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-])C1(O)COC1 ZINC001137783914 830095425 /nfs/dbraw/zinc/09/54/25/830095425.db2.gz OHKAWXMLMQPWOK-UHFFFAOYSA-N -1 1 328.346 -0.467 20 0 EBADMM CC(C)(C)OC(=O)N1CCC(O)(CC(=O)[N-]OCC(N)=O)CC1 ZINC001137887385 830095830 /nfs/dbraw/zinc/09/58/30/830095830.db2.gz FUWUUZIYQWGESO-UHFFFAOYSA-N -1 1 331.369 -0.328 20 0 EBADMM COC(=O)[C@H]1CCS(=O)(=O)C12CN(Cc1ncccc1[O-])C2 ZINC001138394308 830100127 /nfs/dbraw/zinc/10/01/27/830100127.db2.gz NELJAJQQFGWXAR-SNVBAGLBSA-N -1 1 326.374 -0.051 20 0 EBADMM COC(=O)[C@@H]1CCS(=O)(=O)C12CN(Cc1ccncc1[O-])C2 ZINC001140281027 830106388 /nfs/dbraw/zinc/10/63/88/830106388.db2.gz UDXCSXRNMAEVEI-NSHDSACASA-N -1 1 326.374 -0.051 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)C1CCOCC1 ZINC001143020397 830140163 /nfs/dbraw/zinc/14/01/63/830140163.db2.gz GPYJQPLFFHBECE-LBPRGKRZSA-N -1 1 338.364 -0.546 20 0 EBADMM O=C(NCCOP(=O)([O-])[O-])[C@@H]1[C@@H](O)CC[N@@H+]1Cc1ccccc1 ZINC001143675664 830155632 /nfs/dbraw/zinc/15/56/32/830155632.db2.gz JPWWBYFWOABQSZ-STQMWFEESA-N -1 1 344.304 -0.153 20 0 EBADMM O=C(NCCOP(=O)([O-])[O-])[C@@H]1[C@@H](O)CC[N@H+]1Cc1ccccc1 ZINC001143675664 830155636 /nfs/dbraw/zinc/15/56/36/830155636.db2.gz JPWWBYFWOABQSZ-STQMWFEESA-N -1 1 344.304 -0.153 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1cncnc1-n1cccn1 ZINC001143869376 830156816 /nfs/dbraw/zinc/15/68/16/830156816.db2.gz BQCRPVJAPJPPDP-UHFFFAOYSA-N -1 1 327.304 -0.459 20 0 EBADMM CSCCC(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001144063012 830157765 /nfs/dbraw/zinc/15/77/65/830157765.db2.gz MFZYRFHOKYHAGR-JTQLQIEISA-N -1 1 328.394 -0.219 20 0 EBADMM COC(=O)[C@H]1C[C@H](O)CN(C(=O)c2c(C)[nH]c(=O)[n-]c2=S)C1 ZINC001144923676 830171978 /nfs/dbraw/zinc/17/19/78/830171978.db2.gz SUERKVQLHSFVHI-YUMQZZPRSA-N -1 1 327.362 -0.225 20 0 EBADMM CCS(=O)(=O)CC(=O)N[C@H](C)C[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001146595237 830211295 /nfs/dbraw/zinc/21/12/95/830211295.db2.gz XWZZUGFWNNZKHJ-DTWKUNHWSA-N -1 1 333.414 -0.682 20 0 EBADMM O=C(CCNC(=O)c1cscn1)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001147371657 830330353 /nfs/dbraw/zinc/33/03/53/830330353.db2.gz YKPMAJDJNXUWNP-VIFPVBQESA-N -1 1 337.361 -0.202 20 0 EBADMM Cc1cnc([C@H](C)NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)o1 ZINC001147712716 830399644 /nfs/dbraw/zinc/39/96/44/830399644.db2.gz WMVSNPMJMZMRJS-VIFPVBQESA-N -1 1 331.336 -0.205 20 0 EBADMM COC(=O)CNC(=O)CNC(=O)c1ccc(-n2[n-]c(C)cc2=O)cc1 ZINC001148341011 830472848 /nfs/dbraw/zinc/47/28/48/830472848.db2.gz UIEAMLJYQLULAA-UHFFFAOYSA-N -1 1 346.343 -0.182 20 0 EBADMM CC[C@@H](F)C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001150150335 830825943 /nfs/dbraw/zinc/82/59/43/830825943.db2.gz XJSBGBSULDAGMT-WDEREUQCSA-N -1 1 329.376 -0.436 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1ccccc1-n1cnnn1 ZINC001150670994 830955377 /nfs/dbraw/zinc/95/53/77/830955377.db2.gz FWEUSYBSOUHECL-UHFFFAOYSA-N -1 1 329.324 -0.994 20 0 EBADMM O=C(CN1CCCC1)NC[C@H]1CN(Cc2n[nH]c(=O)[n-]2)CCCO1 ZINC001150715383 830968908 /nfs/dbraw/zinc/96/89/08/830968908.db2.gz KFSZEZWRRBYSKP-LBPRGKRZSA-N -1 1 338.412 -0.687 20 0 EBADMM Cc1cc2nc(C)cc(C(=O)NCCNCc3n[nH]c(=O)[n-]3)n2n1 ZINC001151441954 831078517 /nfs/dbraw/zinc/07/85/17/831078517.db2.gz SHUNJXVMYMWFGR-UHFFFAOYSA-N -1 1 330.352 -0.311 20 0 EBADMM O=C(CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001153002666 831201815 /nfs/dbraw/zinc/20/18/15/831201815.db2.gz WNCLMYKHKXNXGI-GARJFASQSA-N -1 1 330.348 -0.533 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C(=O)C(C)(C)C ZINC001212038071 837525941 /nfs/dbraw/zinc/52/59/41/837525941.db2.gz NEXOOKZBUUVXCK-NXEZZACHSA-N -1 1 339.396 -0.961 20 0 EBADMM Cc1cn2nc(C(=O)N3CCC[C@@H](Cc4nn[n-]n4)C3)cc2c(=O)[nH]1 ZINC001155134838 831387706 /nfs/dbraw/zinc/38/77/06/831387706.db2.gz NCRJCLDXTAKJDV-JTQLQIEISA-N -1 1 342.363 -0.061 20 0 EBADMM O=C(CCCN1C(=O)CCC1=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001155134992 831387736 /nfs/dbraw/zinc/38/77/36/831387736.db2.gz PLHOVJKYTMHCER-NSHDSACASA-N -1 1 334.380 -0.090 20 0 EBADMM Cc1nnc2ccc(C(=O)N3CCC(O)(c4nn[n-]n4)CC3)cn12 ZINC001155516141 831410603 /nfs/dbraw/zinc/41/06/03/831410603.db2.gz NEUVZQMLKDTOBL-UHFFFAOYSA-N -1 1 328.336 -0.325 20 0 EBADMM CCN(Cc1nc(=O)n(C)[n-]1)[C@H](C)CNC(=O)c1c[nH]c(C)cc1=O ZINC001156188822 831461218 /nfs/dbraw/zinc/46/12/18/831461218.db2.gz PATKCIPZRJOXOX-LLVKDONJSA-N -1 1 348.407 -0.254 20 0 EBADMM Cc1nc(CNCCCNC(=O)CCc2n[nH]c(=O)[n-]c2=O)co1 ZINC001161715111 831985476 /nfs/dbraw/zinc/98/54/76/831985476.db2.gz DDPSNFSWBXYIMP-UHFFFAOYSA-N -1 1 336.352 -0.192 20 0 EBADMM O=C1[N-]S(=O)(=O)c2cc(NCc3nnc4n3CCCNC4)ccc21 ZINC001163022437 832076115 /nfs/dbraw/zinc/07/61/15/832076115.db2.gz XXTGUWGRKHPPRD-UHFFFAOYSA-N -1 1 348.388 -0.184 20 0 EBADMM Cn1cc(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)ncc1=O ZINC001166285963 832253713 /nfs/dbraw/zinc/25/37/13/832253713.db2.gz JHZUBNRPOGENMX-UHFFFAOYSA-N -1 1 347.379 -0.706 20 0 EBADMM CN(CCCNC(=O)[C@@H]1CCCN1C(N)=O)C(=O)c1ncccc1[O-] ZINC001351658717 832265337 /nfs/dbraw/zinc/26/53/37/832265337.db2.gz FEAWIIQAEGHJCC-NSHDSACASA-N -1 1 349.391 -0.091 20 0 EBADMM CN1C(=O)CC[C@H]1C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001166663347 832277581 /nfs/dbraw/zinc/27/75/81/832277581.db2.gz NPVURCPPCZWKKC-JTQLQIEISA-N -1 1 336.396 -0.493 20 0 EBADMM CCN(CCCNC(=O)[C@@H]1CN(C)C(=O)N1)C(=O)c1ncccc1[O-] ZINC001352284560 832317579 /nfs/dbraw/zinc/31/75/79/832317579.db2.gz WYRRSZHDOROXTJ-NSHDSACASA-N -1 1 349.391 -0.221 20 0 EBADMM Cn1nc(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)ccc1=O ZINC001167992948 832386239 /nfs/dbraw/zinc/38/62/39/832386239.db2.gz MQQANADDJHZLRG-UHFFFAOYSA-N -1 1 347.379 -0.706 20 0 EBADMM Cc1conc1CNCCCNC(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC001168653621 832431428 /nfs/dbraw/zinc/43/14/28/832431428.db2.gz YBGWHIWWJIMKPA-UHFFFAOYSA-N -1 1 345.363 -0.336 20 0 EBADMM COCCCNC(=O)CN(C)C(=O)CN1CCC[C@@H](C(=O)[O-])C1 ZINC001354026789 832458045 /nfs/dbraw/zinc/45/80/45/832458045.db2.gz GLERTELCNSNIBI-GFCCVEGCSA-N -1 1 329.397 -0.606 20 0 EBADMM CN1CCN(c2cc(Nc3[n-]c(=O)nc4nc[nH]c43)ncn2)CC1=O ZINC001170227937 832492605 /nfs/dbraw/zinc/49/26/05/832492605.db2.gz XUZHAYAUZVBBBA-UHFFFAOYSA-N -1 1 341.335 -0.130 20 0 EBADMM Cc1cc(C(=O)N[C@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)no1 ZINC001354557226 832501915 /nfs/dbraw/zinc/50/19/15/832501915.db2.gz PMRBIILGXHUSGD-SECBINFHSA-N -1 1 349.347 -0.842 20 0 EBADMM CCN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)[C@@H]1C[C@H]1C ZINC001356125699 832602493 /nfs/dbraw/zinc/60/24/93/832602493.db2.gz CKBWHBQCTKDLGZ-VXGBXAGGSA-N -1 1 336.392 -0.453 20 0 EBADMM O=C(Cc1nn[n-]n1)N1C[C@H]2OCC(=O)N(c3cccc(F)c3)[C@@H]2C1 ZINC001176839656 832693402 /nfs/dbraw/zinc/69/34/02/832693402.db2.gz AQGWRRYELYKIQJ-VXGBXAGGSA-N -1 1 346.322 -0.476 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC2(C[C@@H](c3cccnc3)C(=O)N2)CC1 ZINC001176839645 832693567 /nfs/dbraw/zinc/69/35/67/832693567.db2.gz AINIYDGZFKKZTI-LBPRGKRZSA-N -1 1 341.375 -0.198 20 0 EBADMM C[C@](Cn1cccn1)(NCC(=O)[N-]OCc1ccccc1)C(N)=O ZINC001176861018 832696406 /nfs/dbraw/zinc/69/64/06/832696406.db2.gz SAVUKSFLVSSJAV-MRXNPFEDSA-N -1 1 331.376 -0.035 20 0 EBADMM O=C(CCNC(=O)Cc1ccon1)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001177516659 832789499 /nfs/dbraw/zinc/78/94/99/832789499.db2.gz BLCJFYMMSPGIHW-NSHDSACASA-N -1 1 335.320 -0.741 20 0 EBADMM CCOC(=O)[C@H]1c2[nH]cnc2CCN1C(=O)Cn1nn[n-]c1=S ZINC001177738210 832801076 /nfs/dbraw/zinc/80/10/76/832801076.db2.gz DDAQSUZXDXBDCD-SNVBAGLBSA-N -1 1 337.365 -0.626 20 0 EBADMM O=C(CNC(=O)c1cnccc1C(F)(F)F)NCc1nn[n-]n1 ZINC001177751452 832801725 /nfs/dbraw/zinc/80/17/25/832801725.db2.gz OMCPKKHQTXGINP-UHFFFAOYSA-N -1 1 329.242 -0.340 20 0 EBADMM NS(=O)(=O)CCCCCC(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001177869444 832806447 /nfs/dbraw/zinc/80/64/47/832806447.db2.gz AXHPVHJGXKCWRH-NSHDSACASA-N -1 1 344.441 -0.170 20 0 EBADMM COCCCCC(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001187226313 833912599 /nfs/dbraw/zinc/91/25/99/833912599.db2.gz SCACROISNJJVOF-QWHCGFSZSA-N -1 1 337.424 -0.042 20 0 EBADMM CC[C@H](C)[C@H](NC(C)=O)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001188011066 833994100 /nfs/dbraw/zinc/99/41/00/833994100.db2.gz ZJILOXBAVHBVNG-NDMJEZRESA-N -1 1 338.412 -0.248 20 0 EBADMM CCCC[C@@H](NC(N)=O)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001188368571 834038227 /nfs/dbraw/zinc/03/82/27/834038227.db2.gz AYTCLZHBAYAVEI-NXEZZACHSA-N -1 1 339.400 -0.572 20 0 EBADMM CCOC(=O)C[C@H]([N-]S(=O)(=O)CCC(=O)OC)C(=O)OCC ZINC001188403726 834044438 /nfs/dbraw/zinc/04/44/38/834044438.db2.gz YSSUJSZOYHAQJX-VIFPVBQESA-N -1 1 339.366 -0.646 20 0 EBADMM C[C@H](OCC1CC1)C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001189232630 834138132 /nfs/dbraw/zinc/13/81/32/834138132.db2.gz QILDEGXZBNSUNY-AAEUAGOBSA-N -1 1 337.424 -0.044 20 0 EBADMM CO[C@@H](C)CCC(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001189798144 834193650 /nfs/dbraw/zinc/19/36/50/834193650.db2.gz QSKCRTYBKCXHIK-RYUDHWBXSA-N -1 1 325.413 -0.044 20 0 EBADMM CCC(=O)N[C@H](C)C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001190307397 834254471 /nfs/dbraw/zinc/25/44/71/834254471.db2.gz IZUZHSRZFGKMQE-GHMZBOCLSA-N -1 1 338.412 -0.944 20 0 EBADMM O=C(N[C@@H]1CCC(=O)NC1=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190629752 834304910 /nfs/dbraw/zinc/30/49/10/834304910.db2.gz WITGGXGBPPSXOI-SNVBAGLBSA-N -1 1 327.300 -0.221 20 0 EBADMM C[C@H](NC(=O)CN1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC001192419216 834664867 /nfs/dbraw/zinc/66/48/67/834664867.db2.gz AJNUEORHDBZRTI-ZKYQVNSYSA-N -1 1 348.403 -0.523 20 0 EBADMM CC(=O)NC(C)(C)C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001192887871 834753808 /nfs/dbraw/zinc/75/38/08/834753808.db2.gz HZRRKBZIVWXJSX-LLVKDONJSA-N -1 1 338.412 -0.944 20 0 EBADMM COCCN(C)[C@H]1CCN(C(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001192906679 834757999 /nfs/dbraw/zinc/75/79/99/834757999.db2.gz PDZWXLORWOUSBA-NSHDSACASA-N -1 1 334.380 -0.750 20 0 EBADMM COCCN(C)[C@@H]1CCN(C(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001192906678 834758837 /nfs/dbraw/zinc/75/88/37/834758837.db2.gz PDZWXLORWOUSBA-LLVKDONJSA-N -1 1 334.380 -0.750 20 0 EBADMM CS(=O)(=O)c1cncc(C(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)c1 ZINC001194122222 835030488 /nfs/dbraw/zinc/03/04/88/835030488.db2.gz ULZCGXWLOKHBPR-UHFFFAOYSA-N -1 1 325.306 -0.479 20 0 EBADMM CC(=O)N1CC(=O)NC[C@@]12CCN(C(=O)c1nc(C)ccc1[O-])C2 ZINC001195322178 835214944 /nfs/dbraw/zinc/21/49/44/835214944.db2.gz ABOSYJGOKWOJMH-MRXNPFEDSA-N -1 1 332.360 -0.341 20 0 EBADMM Cc1cc[nH]c1C(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001195506566 835235155 /nfs/dbraw/zinc/23/51/55/835235155.db2.gz XMFKPIGXLDZJGR-NSHDSACASA-N -1 1 333.348 -0.022 20 0 EBADMM CNC(=O)NC(=O)CN1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001195597211 835253567 /nfs/dbraw/zinc/25/35/67/835253567.db2.gz MTEQBPXSTVQAME-UHFFFAOYSA-N -1 1 335.364 -0.609 20 0 EBADMM CS(=O)(=O)Cc1ncccc1C(=O)Nc1c(N)[nH]c(=O)[n-]c1=O ZINC001196048735 835336706 /nfs/dbraw/zinc/33/67/06/835336706.db2.gz FXBGVHUZCIXGET-UHFFFAOYSA-N -1 1 339.333 -0.338 20 0 EBADMM C[C@@H](CC(C)(C)C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001196717409 835451771 /nfs/dbraw/zinc/45/17/71/835451771.db2.gz QMCQOBAUGONTJT-QJPTWQEYSA-N -1 1 339.440 -0.158 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)CCCn3cncn3)CC2)nc1=O ZINC001197698883 835597100 /nfs/dbraw/zinc/59/71/00/835597100.db2.gz NETWAJMFRRSZTG-UHFFFAOYSA-N -1 1 348.411 -0.785 20 0 EBADMM CCOC(=O)c1nnc(C[N-]S(=O)(=O)c2cnc(C)n2C)o1 ZINC001198414778 835679888 /nfs/dbraw/zinc/67/98/88/835679888.db2.gz AHXFVTPBVINEHR-UHFFFAOYSA-N -1 1 329.338 -0.233 20 0 EBADMM COCCOc1cnc(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cn1 ZINC001199132240 835770222 /nfs/dbraw/zinc/77/02/22/835770222.db2.gz QEQRSRJJCKSPMT-UHFFFAOYSA-N -1 1 347.291 -0.217 20 0 EBADMM O=C(CCn1cncn1)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202481306 836307478 /nfs/dbraw/zinc/30/74/78/836307478.db2.gz RWKZNBJSQCRFRV-GHMZBOCLSA-N -1 1 346.395 -0.291 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202535249 836312998 /nfs/dbraw/zinc/31/29/98/836312998.db2.gz ZNKJQLLMASHYIE-WDEREUQCSA-N -1 1 336.396 -0.494 20 0 EBADMM CC(C)(C(N)=O)C(=O)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001203059339 836391262 /nfs/dbraw/zinc/39/12/62/836391262.db2.gz LPULFGYVXCQGNH-RKDXNWHRSA-N -1 1 336.396 -0.461 20 0 EBADMM NC(=O)C1(C(=O)N[C@@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)CC1 ZINC001203338356 836422795 /nfs/dbraw/zinc/42/27/95/836422795.db2.gz ALXDVFPEASCICN-RKDXNWHRSA-N -1 1 334.380 -0.707 20 0 EBADMM CO[C@H](C)CCC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001206894357 836695455 /nfs/dbraw/zinc/69/54/55/836695455.db2.gz HBFSAHVLAYRPRO-IJLUTSLNSA-N -1 1 325.413 -0.140 20 0 EBADMM C[C@@H](CC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)NC(N)=O ZINC001208041123 836930279 /nfs/dbraw/zinc/93/02/79/836930279.db2.gz CKLZTVYRZPOIAP-IUCAKERBSA-N -1 1 325.373 -0.962 20 0 EBADMM COCCO[C@@H](C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001209098290 837134521 /nfs/dbraw/zinc/13/45/21/837134521.db2.gz HUHKXWZGKYJSTK-GRYCIOLGSA-N -1 1 341.412 -0.904 20 0 EBADMM O=C(COC[C@@H]1CCOC1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001209920367 837214338 /nfs/dbraw/zinc/21/43/38/837214338.db2.gz GFDASIRMMCOCDF-VXGBXAGGSA-N -1 1 339.396 -0.356 20 0 EBADMM CS(=O)(=O)CCCC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210097747 837236062 /nfs/dbraw/zinc/23/60/62/837236062.db2.gz BTLOUVTVGZOYKX-SNVBAGLBSA-N -1 1 345.425 -0.584 20 0 EBADMM COCCCOCC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210551523 837297565 /nfs/dbraw/zinc/29/75/65/837297565.db2.gz ISLRLGYHLZIRLS-NSHDSACASA-N -1 1 327.385 -0.356 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CCc1cncn1C ZINC001210717854 837324908 /nfs/dbraw/zinc/32/49/08/837324908.db2.gz LYHHHDVOFPMMRA-DGCLKSJQSA-N -1 1 347.423 -0.589 20 0 EBADMM CC(=O)N(C)CCCC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210878812 837342233 /nfs/dbraw/zinc/34/22/33/837342233.db2.gz WASGLQMYXHMWKS-LBPRGKRZSA-N -1 1 338.412 -0.151 20 0 EBADMM CC(C)(C)C(=O)NCC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001211048349 837375040 /nfs/dbraw/zinc/37/50/40/837375040.db2.gz CSHVZGRGMWLOOR-SNVBAGLBSA-N -1 1 338.412 -0.247 20 0 EBADMM CCN(CC)C(=O)c1ccccc1NC(=O)C(=O)NCc1nn[n-]n1 ZINC001318739171 838029687 /nfs/dbraw/zinc/02/96/87/838029687.db2.gz NKWZIFHGLFUISD-UHFFFAOYSA-N -1 1 345.363 -0.063 20 0 EBADMM Cn1c(=O)c2ccc(C(=O)NCc3nc(=O)o[n-]3)cc2[nH]c1=S ZINC001320120214 838234806 /nfs/dbraw/zinc/23/48/06/838234806.db2.gz NEBCLPIIFBOZMF-UHFFFAOYSA-N -1 1 333.329 -0.172 20 0 EBADMM Cn1cc(Cc2noc(C[N-]S(=O)(=O)c3cnn(C)c3)n2)cn1 ZINC001320482013 838777944 /nfs/dbraw/zinc/77/79/44/838777944.db2.gz FBUWZJZXEKZIBV-UHFFFAOYSA-N -1 1 337.365 -0.394 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1OC[C@@H]2COCC[C@H]12 ZINC001320801214 838840076 /nfs/dbraw/zinc/84/00/76/838840076.db2.gz CYXZHBXIZWXBSR-GMXVVIOVSA-N -1 1 339.396 -0.500 20 0 EBADMM O=C(c1ccc(-n2ccnn2)cc1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001321175619 838929100 /nfs/dbraw/zinc/92/91/00/838929100.db2.gz ONVGDWOMKRANAL-UHFFFAOYSA-N -1 1 340.347 -0.096 20 0 EBADMM O=C(CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@H]21)NN1CC(=O)[N-]C1=O ZINC001321509470 839007141 /nfs/dbraw/zinc/00/71/41/839007141.db2.gz UZQYJPJLEFHCSY-DKCNOQQISA-N -1 1 341.393 -0.705 20 0 EBADMM O=C(NN1CC(=O)[N-]C1=O)c1ccc(C[C@@H]2CC(=O)NC2=O)cc1 ZINC001322134192 839141164 /nfs/dbraw/zinc/14/11/64/839141164.db2.gz QBYHHEDRLNJGLC-SNVBAGLBSA-N -1 1 330.300 -0.912 20 0 EBADMM C[C@@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)c1ccnc2[nH]cnc21 ZINC001322169778 839147740 /nfs/dbraw/zinc/14/77/40/839147740.db2.gz SPQIGSWYQHYUSG-VIFPVBQESA-N -1 1 344.379 -0.370 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCC[C@@H](CC(N)=O)C3)ccnc1-2 ZINC001322926505 839298435 /nfs/dbraw/zinc/29/84/35/839298435.db2.gz SQGHMCAFCYSYKN-JTQLQIEISA-N -1 1 344.375 -0.606 20 0 EBADMM C[C@H](Cn1cncn1)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001322994927 839316208 /nfs/dbraw/zinc/31/62/08/839316208.db2.gz ZMMVIJCSMYIINU-SECBINFHSA-N -1 1 328.336 -0.923 20 0 EBADMM COC(=O)C1CCN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1 ZINC001323664446 839495769 /nfs/dbraw/zinc/49/57/69/839495769.db2.gz IZBTVTOKPWVAJP-UHFFFAOYSA-N -1 1 345.359 -0.308 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCCc2nncn2C)co1 ZINC001324340562 839632279 /nfs/dbraw/zinc/63/22/79/839632279.db2.gz NTUFDSYKNHVBKQ-UHFFFAOYSA-N -1 1 327.366 -0.321 20 0 EBADMM Cn1nc(C2CCCCC2)cc1NC(=O)C(=O)NN1CC(=O)[N-]C1=O ZINC001324879211 839729197 /nfs/dbraw/zinc/72/91/97/839729197.db2.gz ULNAWZDKNIAKTO-UHFFFAOYSA-N -1 1 348.363 -0.011 20 0 EBADMM COc1ccc(C(=O)N(CCO)CCNCc2n[nH]c(=O)[n-]2)cn1 ZINC001326056016 840017953 /nfs/dbraw/zinc/01/79/53/840017953.db2.gz GONXYUWLBLHFBX-UHFFFAOYSA-N -1 1 336.352 -0.862 20 0 EBADMM COCCOCC(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001326690251 840177669 /nfs/dbraw/zinc/17/76/69/840177669.db2.gz BQURQKNXWOPFTQ-LLVKDONJSA-N -1 1 327.385 -0.356 20 0 EBADMM CCO[N-]C(=O)CNC(=O)C(=O)N1CCN(CCC(C)(C)C)CC1 ZINC001326745304 840190755 /nfs/dbraw/zinc/19/07/55/840190755.db2.gz FHQCGYIUDCWMMD-UHFFFAOYSA-N -1 1 342.440 -0.249 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@H](CO)Cc1cccnc1 ZINC001326793255 840201887 /nfs/dbraw/zinc/20/18/87/840201887.db2.gz QGHZOHHNIGZRGF-CYBMUJFWSA-N -1 1 332.360 -0.711 20 0 EBADMM COCCN1CC[C@@H](NC(=O)C(=O)NCC2(C(=O)[O-])CCC2)C1 ZINC001327498606 840436920 /nfs/dbraw/zinc/43/69/20/840436920.db2.gz ASSRJCMJTHYRDC-LLVKDONJSA-N -1 1 327.381 -0.806 20 0 EBADMM COC[C@H](CCNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)OC ZINC001327608015 840473030 /nfs/dbraw/zinc/47/30/30/840473030.db2.gz RIVTWWHEQIKKPH-JTQLQIEISA-N -1 1 335.364 -0.552 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCCCN3CCCC3=O)ccnc1-2 ZINC001329023626 840819087 /nfs/dbraw/zinc/81/90/87/840819087.db2.gz PPYUYBSIEMZXSV-UHFFFAOYSA-N -1 1 344.375 -0.591 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCCOC[C@@H]1CCOC1 ZINC001329229576 840879690 /nfs/dbraw/zinc/87/96/90/840879690.db2.gz AOHUZEIZHVRWAJ-LBPRGKRZSA-N -1 1 325.365 -0.514 20 0 EBADMM C[C@H](CNC(=O)c1cnc2cccnn21)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001329573267 840955831 /nfs/dbraw/zinc/95/58/31/840955831.db2.gz LXUWCNQREONBRX-SNVBAGLBSA-N -1 1 344.379 -0.599 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)CS1(=O)=O ZINC001331265717 841382175 /nfs/dbraw/zinc/38/21/75/841382175.db2.gz YYAKEHJGNCORJE-UWVGGRQHSA-N -1 1 329.378 -0.991 20 0 EBADMM CC(C)C[C@@H](C(N)=O)C(=O)N(C)C[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001331647127 841448794 /nfs/dbraw/zinc/44/87/94/841448794.db2.gz MCBCXMPWOSQQAS-UWVGGRQHSA-N -1 1 326.401 -0.406 20 0 EBADMM CN(C(=O)c1nn(C)cc1I)c1nn[n-]n1 ZINC001332679639 841716658 /nfs/dbraw/zinc/71/66/58/841716658.db2.gz GLLMINRPOVUWCI-UHFFFAOYSA-N -1 1 333.093 -0.186 20 0 EBADMM CN1CC[C@H](C(=O)N[C@H](CNCc2n[nH]c(=O)[n-]2)C(C)(C)C)C1=O ZINC001332842837 841751194 /nfs/dbraw/zinc/75/11/94/841751194.db2.gz NFWOFFZAVKNQFT-NXEZZACHSA-N -1 1 338.412 -0.391 20 0 EBADMM C[C@H](CNC(=O)c1ccon1)N(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001334163947 842004897 /nfs/dbraw/zinc/00/48/97/842004897.db2.gz PLEAMPDKZJCLFD-MRVPVSSYSA-N -1 1 345.319 -0.704 20 0 EBADMM CC(C)C(=O)N[C@H](C)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001334938890 842151420 /nfs/dbraw/zinc/15/14/20/842151420.db2.gz BJRDWPHPCIKPAP-LLVKDONJSA-N -1 1 336.392 -0.454 20 0 EBADMM CN(CCOCCNC(=O)c1ncccc1[O-])C(=O)c1cn[nH]n1 ZINC001336577641 842498356 /nfs/dbraw/zinc/49/83/56/842498356.db2.gz ZGQUNQMJUKWIHM-UHFFFAOYSA-N -1 1 334.336 -0.576 20 0 EBADMM COC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC001339221004 842902206 /nfs/dbraw/zinc/90/22/06/842902206.db2.gz KADGYCPCXTZFBV-VIFPVBQESA-N -1 1 349.372 -0.526 20 0 EBADMM CN(C)C(=O)CC(=O)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001339886320 842949224 /nfs/dbraw/zinc/94/92/24/842949224.db2.gz BHYYGOCLPAXYBU-UHFFFAOYSA-N -1 1 346.387 -0.060 20 0 EBADMM C[C@@H](CNC(=O)[C@@]1(C(=O)[O-])CNCCO1)N1CCc2ccccc21 ZINC001340044616 842965894 /nfs/dbraw/zinc/96/58/94/842965894.db2.gz KYZMBWVIXMBPBZ-YVEFUNNKSA-N -1 1 333.388 -0.003 20 0 EBADMM C[C@@H]1CN(C(=O)[C@]2(C(=O)[O-])CNCCO2)C[C@H](C(F)(F)F)O1 ZINC001340735453 843026829 /nfs/dbraw/zinc/02/68/29/843026829.db2.gz KCNUGLVMZCOLJN-XLDPMVHQSA-N -1 1 326.271 -0.392 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1CNC(=O)CC(N)=O ZINC001340861359 843038958 /nfs/dbraw/zinc/03/89/58/843038958.db2.gz WUPQGWMRQSLZMJ-MNOVXSKESA-N -1 1 334.376 -0.123 20 0 EBADMM O=C([O-])[C@]1(C(=O)NC[C@H]2CC[C@@H](C(F)(F)F)O2)CNCCO1 ZINC001340929658 843045436 /nfs/dbraw/zinc/04/54/36/843045436.db2.gz CJNKYJHGFXEFLE-VHSKPIJISA-N -1 1 326.271 -0.344 20 0 EBADMM COc1ccc(F)cc1CCNC(=O)[C@]1(C(=O)[O-])CNCCO1 ZINC001342477512 843164354 /nfs/dbraw/zinc/16/43/54/843164354.db2.gz ZBMZPPFYEOAYBI-HNNXBMFYSA-N -1 1 326.324 -0.064 20 0 EBADMM COc1ncc(C(=O)OCCc2c(C)nc3nc[n-]n3c2=O)cn1 ZINC001342670649 843173695 /nfs/dbraw/zinc/17/36/95/843173695.db2.gz BIXRGAASSIHDBV-UHFFFAOYSA-N -1 1 330.304 -0.076 20 0 EBADMM NC(=O)N1CCCC[C@H]1C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001348838989 843755438 /nfs/dbraw/zinc/75/54/38/843755438.db2.gz PBFXKHODVOFFJM-JTQLQIEISA-N -1 1 335.364 -0.434 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCN(CC[S@@](C)=O)CC1 ZINC001348974563 843781339 /nfs/dbraw/zinc/78/13/39/843781339.db2.gz CSYXEABDECJHTK-JOCHJYFZSA-N -1 1 344.462 -0.084 20 0 EBADMM O=C([O-])[C@@H](Cc1cnc[nH]1)NC(=O)[C@H]1CCCN1c1ncccn1 ZINC000323938709 971063718 /nfs/dbraw/zinc/06/37/18/971063718.db2.gz IYOSZZHZTOYJTF-VXGBXAGGSA-N -1 1 330.348 -0.019 20 0 EBADMM CC(=O)NC(C)(C)C(=O)NC[C@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001573463369 945953868 /nfs/dbraw/zinc/95/38/68/945953868.db2.gz VUYYARDHDSLPQS-QMMMGPOBSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NC(C)(C)C(=O)NC[C@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001573463369 945953877 /nfs/dbraw/zinc/95/38/77/945953877.db2.gz VUYYARDHDSLPQS-QMMMGPOBSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NC(C)(C)C(=O)N[C@@H](C)CNc1cncc(-c2nnn[n-]2)n1 ZINC001573463926 945962928 /nfs/dbraw/zinc/96/29/28/945962928.db2.gz DGKZGLMMJPQHCN-QMMMGPOBSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NC(C)(C)C(=O)N[C@@H](C)CNc1cncc(-c2nn[n-]n2)n1 ZINC001573463926 945962932 /nfs/dbraw/zinc/96/29/32/945962932.db2.gz DGKZGLMMJPQHCN-QMMMGPOBSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NC(C)(C)C(=O)N[C@@H](C)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001573464563 945967441 /nfs/dbraw/zinc/96/74/41/945967441.db2.gz MMFXQJDBDBZDLO-QMMMGPOBSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NC(C)(C)C(=O)N[C@@H](C)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001573464563 945967448 /nfs/dbraw/zinc/96/74/48/945967448.db2.gz MMFXQJDBDBZDLO-QMMMGPOBSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)[C@@H](C)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001573469394 946006706 /nfs/dbraw/zinc/00/67/06/946006706.db2.gz UZZKOVAZPNJXSI-IUCAKERBSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)[C@@H](C)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001573469394 946006714 /nfs/dbraw/zinc/00/67/14/946006714.db2.gz UZZKOVAZPNJXSI-IUCAKERBSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@@H](C)N(C)c1nccnc1-c1nnn[n-]1 ZINC001573475981 946110232 /nfs/dbraw/zinc/11/02/32/946110232.db2.gz BUMPKZFMDRZUSE-BDAKNGLRSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@@H](C)N(C)c1nccnc1-c1nn[n-]n1 ZINC001573475981 946110241 /nfs/dbraw/zinc/11/02/41/946110241.db2.gz BUMPKZFMDRZUSE-BDAKNGLRSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@H](C)CCNc1nccnc1-c1nnn[n-]1 ZINC001573476062 946112078 /nfs/dbraw/zinc/11/20/78/946112078.db2.gz CORMWXKHSSWILH-BDAKNGLRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@H](C)CCNc1nccnc1-c1nn[n-]n1 ZINC001573476062 946112084 /nfs/dbraw/zinc/11/20/84/946112084.db2.gz CORMWXKHSSWILH-BDAKNGLRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@H](C)CNc1nccnc1-c1nnn[n-]1 ZINC001573476133 946113144 /nfs/dbraw/zinc/11/31/44/946113144.db2.gz CTXZAYDZVCAAEG-BDAKNGLRSA-N -1 1 347.383 -0.655 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@H](C)CNc1nccnc1-c1nn[n-]n1 ZINC001573476133 946113153 /nfs/dbraw/zinc/11/31/53/946113153.db2.gz CTXZAYDZVCAAEG-BDAKNGLRSA-N -1 1 347.383 -0.655 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001573476195 946117143 /nfs/dbraw/zinc/11/71/43/946117143.db2.gz DJLLZUWDLVYJIR-HTQZYQBOSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001573476195 946117148 /nfs/dbraw/zinc/11/71/48/946117148.db2.gz DJLLZUWDLVYJIR-HTQZYQBOSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@@H](C)CN(C)c1nccnc1-c1nnn[n-]1 ZINC001573476411 946118412 /nfs/dbraw/zinc/11/84/12/946118412.db2.gz GOIJLVMFVCCKLI-IUCAKERBSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@@H](C)CN(C)c1nccnc1-c1nn[n-]n1 ZINC001573476411 946118418 /nfs/dbraw/zinc/11/84/18/946118418.db2.gz GOIJLVMFVCCKLI-IUCAKERBSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)NCC(=O)N(C)[C@H](C)CNc1cncc(-c2nnn[n-]2)n1 ZINC001573496873 946334129 /nfs/dbraw/zinc/33/41/29/946334129.db2.gz FSLQKODDBRLTBE-MRVPVSSYSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)NCC(=O)N(C)[C@H](C)CNc1cncc(-c2nn[n-]n2)n1 ZINC001573496873 946334141 /nfs/dbraw/zinc/33/41/41/946334141.db2.gz FSLQKODDBRLTBE-MRVPVSSYSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)NCC(=O)N(C)CCCN(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001573499973 946370833 /nfs/dbraw/zinc/37/08/33/946370833.db2.gz HQDAMIJTOYPDLS-UHFFFAOYSA-N -1 1 347.383 -0.923 20 0 EBADMM CC(=O)NCC(=O)N(C)CCCN(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001573499973 946370844 /nfs/dbraw/zinc/37/08/44/946370844.db2.gz HQDAMIJTOYPDLS-UHFFFAOYSA-N -1 1 347.383 -0.923 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)[C@H](C)Nc1nc(C)cc(-c2nnn[n-]2)n1 ZINC001573510745 946480194 /nfs/dbraw/zinc/48/01/94/946480194.db2.gz KMISUAAVEMUFLB-IUCAKERBSA-N -1 1 347.383 -0.594 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)[C@H](C)Nc1nc(C)cc(-c2nn[n-]n2)n1 ZINC001573510745 946480199 /nfs/dbraw/zinc/48/01/99/946480199.db2.gz KMISUAAVEMUFLB-IUCAKERBSA-N -1 1 347.383 -0.594 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)C[C@@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001573511431 946484711 /nfs/dbraw/zinc/48/47/11/946484711.db2.gz PWMHQRNKABDLIC-RKDXNWHRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)C[C@@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001573511431 946484715 /nfs/dbraw/zinc/48/47/15/946484715.db2.gz PWMHQRNKABDLIC-RKDXNWHRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NCC(=O)NC[C@@H](Nc1ccc(-c2nnn[n-]2)nn1)C(C)C ZINC001573517498 946574147 /nfs/dbraw/zinc/57/41/47/946574147.db2.gz LKVABEUTCPGJSC-LLVKDONJSA-N -1 1 347.383 -0.655 20 0 EBADMM CC(=O)NCC(=O)NC[C@@H](Nc1ccc(-c2nn[n-]n2)nn1)C(C)C ZINC001573517498 946574150 /nfs/dbraw/zinc/57/41/50/946574150.db2.gz LKVABEUTCPGJSC-LLVKDONJSA-N -1 1 347.383 -0.655 20 0 EBADMM CC(=O)NCC[N@@H+](C)Cc1cc(=O)n2[n-]cc(-c3nnn[n-]3)c2n1 ZINC001573528421 946662643 /nfs/dbraw/zinc/66/26/43/946662643.db2.gz BPPZPDGECQEMFC-UHFFFAOYSA-N -1 1 331.340 -0.817 20 0 EBADMM CC(=O)NCC[N@H+](C)Cc1cc(=O)n2[n-]cc(-c3nnn[n-]3)c2n1 ZINC001573528421 946662649 /nfs/dbraw/zinc/66/26/49/946662649.db2.gz BPPZPDGECQEMFC-UHFFFAOYSA-N -1 1 331.340 -0.817 20 0 EBADMM C[C@H](C(=O)N(C)C[C@@H](O)CNc1ccnc(-c2nn[n-]n2)n1)C1CC1 ZINC001573596924 947434138 /nfs/dbraw/zinc/43/41/38/947434138.db2.gz MADPULSVSZLDIO-ONGXEEELSA-N -1 1 346.395 -0.644 20 0 EBADMM C[C@@H](C(=O)N(C)CCNc1cnc(-c2nnn[n-]2)cn1)n1cccn1 ZINC001573605643 947531664 /nfs/dbraw/zinc/53/16/64/947531664.db2.gz XUOZSNIHTOMRBU-JTQLQIEISA-N -1 1 342.367 -0.015 20 0 EBADMM C[C@@H](C(=O)N(C)CCNc1cnc(-c2nn[n-]n2)cn1)n1cccn1 ZINC001573605643 947531672 /nfs/dbraw/zinc/53/16/72/947531672.db2.gz XUOZSNIHTOMRBU-JTQLQIEISA-N -1 1 342.367 -0.015 20 0 EBADMM C[C@H](C(=O)N(C)CCNc1nccnc1-c1nnn[n-]1)n1cncn1 ZINC001573605685 947532969 /nfs/dbraw/zinc/53/29/69/947532969.db2.gz YKCBDHKNMOFJRB-SECBINFHSA-N -1 1 343.355 -0.620 20 0 EBADMM C[C@H](C(=O)N(C)CCNc1nccnc1-c1nn[n-]n1)n1cncn1 ZINC001573605685 947532977 /nfs/dbraw/zinc/53/29/77/947532977.db2.gz YKCBDHKNMOFJRB-SECBINFHSA-N -1 1 343.355 -0.620 20 0 EBADMM C[C@H](C(=O)N1C[C@H](CO)OC(C)(C)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573607598 947551397 /nfs/dbraw/zinc/55/13/97/947551397.db2.gz PAGNDTMZYNTXFT-RKDXNWHRSA-N -1 1 336.356 -0.983 20 0 EBADMM C[C@H](C(=O)N1CC[C@@H](n2cncn2)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573622571 947680238 /nfs/dbraw/zinc/68/02/38/947680238.db2.gz BAPDXGLYGHHSPK-RKDXNWHRSA-N -1 1 329.328 -0.916 20 0 EBADMM C[C@H](C(=O)N1CCCn2nncc2C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573638377 947838301 /nfs/dbraw/zinc/83/83/01/947838301.db2.gz MBMDATSYJFVFLQ-MRVPVSSYSA-N -1 1 329.328 -0.957 20 0 EBADMM C[C@@H](C(=O)N1CCCn2nncc2C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573638378 947838486 /nfs/dbraw/zinc/83/84/86/947838486.db2.gz MBMDATSYJFVFLQ-QMMMGPOBSA-N -1 1 329.328 -0.957 20 0 EBADMM CC(=O)CCCC(=O)NC[C@H](O)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001573380329 947856257 /nfs/dbraw/zinc/85/62/57/947856257.db2.gz BBCHWEYSASLHBF-SNVBAGLBSA-N -1 1 348.367 -0.695 20 0 EBADMM CC(=O)CCCC(=O)NC[C@H](O)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001573380329 947856263 /nfs/dbraw/zinc/85/62/63/947856263.db2.gz BBCHWEYSASLHBF-SNVBAGLBSA-N -1 1 348.367 -0.695 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)C[C@@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001573390071 947928413 /nfs/dbraw/zinc/92/84/13/947928413.db2.gz VZZSAAVJEVIBCJ-SECBINFHSA-N -1 1 347.383 -0.606 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)C[C@@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001573390071 947928420 /nfs/dbraw/zinc/92/84/20/947928420.db2.gz VZZSAAVJEVIBCJ-SECBINFHSA-N -1 1 347.383 -0.606 20 0 EBADMM C[C@@H](C(=O)NC1(CO)CCSCC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573662789 948028585 /nfs/dbraw/zinc/02/85/85/948028585.db2.gz GPGSRLWDHXRXCQ-QMMMGPOBSA-N -1 1 338.397 -0.607 20 0 EBADMM CC(=O)N(C)CC(=O)NCC[C@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001573406778 948058408 /nfs/dbraw/zinc/05/84/08/948058408.db2.gz SGUDGEVXEVEENI-VIFPVBQESA-N -1 1 347.383 -0.558 20 0 EBADMM CC(=O)N(C)CC(=O)NCC[C@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001573406778 948058416 /nfs/dbraw/zinc/05/84/16/948058416.db2.gz SGUDGEVXEVEENI-VIFPVBQESA-N -1 1 347.383 -0.558 20 0 EBADMM CC(=O)NCCN(C(=O)C1CN([C@H]2CCOC2)C1)[C@@H](C)C(=O)[O-] ZINC001602753913 971462859 /nfs/dbraw/zinc/46/28/59/971462859.db2.gz VOCXBHUKBJOJIV-GWCFXTLKSA-N -1 1 327.381 -0.855 20 0 EBADMM C[C@@H](C(=O)NC[C@H](CO)Nc1ccnc(-c2nn[n-]n2)n1)C1CCC1 ZINC001573681918 948216722 /nfs/dbraw/zinc/21/67/22/948216722.db2.gz YYJPSNZOYKEXHB-MWLCHTKSSA-N -1 1 346.395 -0.596 20 0 EBADMM C[C@@H](C(=O)NC[C@H](O)CNc1ccnc(-c2nn[n-]n2)n1)C1CC1 ZINC001573691207 948237976 /nfs/dbraw/zinc/23/79/76/948237976.db2.gz IIAOCECBNRCGRG-PSASIEDQSA-N -1 1 332.368 -0.986 20 0 EBADMM C[C@H](C(=O)NCc1cc(C2CC2)nn1C)n1cnc(-c2nn[n-]n2)n1 ZINC001573728367 948561132 /nfs/dbraw/zinc/56/11/32/948561132.db2.gz DSQDRVUTYWRJGN-MRVPVSSYSA-N -1 1 342.367 -0.054 20 0 EBADMM C[C@H]([C@@H](C)C(=O)NCc1ccnc(-c2nnn[n-]2)c1)S(C)(=O)=O ZINC001573729708 948571510 /nfs/dbraw/zinc/57/15/10/948571510.db2.gz JFIFTVVLBOLVSX-RKDXNWHRSA-N -1 1 338.393 -0.053 20 0 EBADMM C[C@H]([C@@H](C)C(=O)NCc1ccnc(-c2nn[n-]n2)c1)S(C)(=O)=O ZINC001573729708 948571516 /nfs/dbraw/zinc/57/15/16/948571516.db2.gz JFIFTVVLBOLVSX-RKDXNWHRSA-N -1 1 338.393 -0.053 20 0 EBADMM C[C@H](C(=O)NCc1cc2n(n1)CCCC2)n1cnc(-c2nn[n-]n2)n1 ZINC001573730546 948582182 /nfs/dbraw/zinc/58/21/82/948582182.db2.gz RAAHBCGRTCTEKS-SECBINFHSA-N -1 1 342.367 -0.132 20 0 EBADMM Cc1nc(-c2nnn[n-]2)cc(N2CC(n3cc([C@@H](C)O)nn3)C2)n1 ZINC001570926471 948690952 /nfs/dbraw/zinc/69/09/52/948690952.db2.gz ACNMKMBUQIKAPA-SSDOTTSWSA-N -1 1 328.340 -0.329 20 0 EBADMM Cc1nc(-c2nn[n-]n2)cc(N2CC(n3cc([C@@H](C)O)nn3)C2)n1 ZINC001570926471 948690956 /nfs/dbraw/zinc/69/09/56/948690956.db2.gz ACNMKMBUQIKAPA-SSDOTTSWSA-N -1 1 328.340 -0.329 20 0 EBADMM Cc1ccnc([C@H](C)NC(=O)[C@@H](C)n2cnc(-c3nn[n-]n3)n2)n1 ZINC001570926990 948702669 /nfs/dbraw/zinc/70/26/69/948702669.db2.gz MENZOPLSLRXUNE-DTWKUNHWSA-N -1 1 328.340 -0.005 20 0 EBADMM O=C(c1cn[nH]n1)N1CC[C@@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001570927108 948704023 /nfs/dbraw/zinc/70/40/23/948704023.db2.gz KTKIKEVDRACKEJ-SSDOTTSWSA-N -1 1 327.312 -0.899 20 0 EBADMM O=C(c1cn[nH]n1)N1CC[C@@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001570927108 948704026 /nfs/dbraw/zinc/70/40/26/948704026.db2.gz KTKIKEVDRACKEJ-SSDOTTSWSA-N -1 1 327.312 -0.899 20 0 EBADMM O=c1[nH]cc(-c2nn[n-]n2)c(=O)n1C[C@H]1COc2ccccc2O1 ZINC001570927574 948714192 /nfs/dbraw/zinc/71/41/92/948714192.db2.gz SWLWRIIJVVGPEC-QMMMGPOBSA-N -1 1 328.288 -0.031 20 0 EBADMM CNC(=O)c1cccc(Cn2c(=O)[nH]cc(-c3nn[n-]n3)c2=O)c1 ZINC001570927555 948714517 /nfs/dbraw/zinc/71/45/17/948714517.db2.gz RACNTVCFHQSYGG-UHFFFAOYSA-N -1 1 327.304 -0.463 20 0 EBADMM C[C@@H](C(=O)N[C@]12C[C@H]1COC21CCC1)n1cnc(-c2nn[n-]n2)n1 ZINC001570927709 948717158 /nfs/dbraw/zinc/71/71/58/948717158.db2.gz JCHPLUKDFFEBRN-UINNMSKDSA-N -1 1 330.352 -0.153 20 0 EBADMM COC(=O)[C@H](CO)NC(=O)c1[nH]c2ccccc2c1-c1nn[n-]n1 ZINC001570927741 948718037 /nfs/dbraw/zinc/71/80/37/948718037.db2.gz KJLNJQYVKGQFEI-VIFPVBQESA-N -1 1 330.304 -0.388 20 0 EBADMM COC[C@@H](O)CCNC(=O)NCc1nc(-c2nnn[n-]2)cs1 ZINC001570927901 948721092 /nfs/dbraw/zinc/72/10/92/948721092.db2.gz SYCHJEFVEAVYBM-ZETCQYMHSA-N -1 1 327.370 -0.480 20 0 EBADMM COC[C@@H](O)CCNC(=O)NCc1nc(-c2nn[n-]n2)cs1 ZINC001570927901 948721098 /nfs/dbraw/zinc/72/10/98/948721098.db2.gz SYCHJEFVEAVYBM-ZETCQYMHSA-N -1 1 327.370 -0.480 20 0 EBADMM CCc1nc(-c2nnn[n-]2)cc(N2CC[C@H]3OCC(=O)N[C@@H]3C2)n1 ZINC001570928349 948731629 /nfs/dbraw/zinc/73/16/29/948731629.db2.gz PCRPQCCGFZBKEU-NXEZZACHSA-N -1 1 330.352 -0.687 20 0 EBADMM CCc1nc(-c2nn[n-]n2)cc(N2CC[C@H]3OCC(=O)N[C@@H]3C2)n1 ZINC001570928349 948731635 /nfs/dbraw/zinc/73/16/35/948731635.db2.gz PCRPQCCGFZBKEU-NXEZZACHSA-N -1 1 330.352 -0.687 20 0 EBADMM C[C@@H](C(=O)Nc1ccnn1[C@H]1CCOC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573754307 948739218 /nfs/dbraw/zinc/73/92/18/948739218.db2.gz DXQATGJSNKXXEZ-IUCAKERBSA-N -1 1 344.339 -0.184 20 0 EBADMM CC(C)(F)C(=O)N[C@@H]1[C@H]2CN(c3cncc(-c4nnn[n-]4)n3)C[C@H]21 ZINC001570929307 948763842 /nfs/dbraw/zinc/76/38/42/948763842.db2.gz QURAQZARXYJUFI-VROVMSAKSA-N -1 1 332.343 -0.044 20 0 EBADMM CC(C)(F)C(=O)N[C@@H]1[C@H]2CN(c3cncc(-c4nn[n-]n4)n3)C[C@H]21 ZINC001570929307 948763854 /nfs/dbraw/zinc/76/38/54/948763854.db2.gz QURAQZARXYJUFI-VROVMSAKSA-N -1 1 332.343 -0.044 20 0 EBADMM CC(=O)N1CCC[C@H](N(CCO)c2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570930120 948801498 /nfs/dbraw/zinc/80/14/98/948801498.db2.gz CLGHGCYNEUJOPJ-NSHDSACASA-N -1 1 332.368 -0.534 20 0 EBADMM CCC(=O)N1CCCO[C@H](CNc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570931130 948837121 /nfs/dbraw/zinc/83/71/21/948837121.db2.gz SCYCYUMUIWIHHE-SNVBAGLBSA-N -1 1 332.368 -0.482 20 0 EBADMM Cn1c(=O)[nH]c(N2CCC[C@H](CCO)CC2)c(-c2nn[n-]n2)c1=O ZINC001570933510 948894018 /nfs/dbraw/zinc/89/40/18/948894018.db2.gz OVQVXOYXAAXMLW-VIFPVBQESA-N -1 1 335.368 -0.757 20 0 EBADMM CC[C@H](C)NC(=O)[C@@H](C)Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[n-]n1 ZINC001570933656 948902579 /nfs/dbraw/zinc/90/25/79/948902579.db2.gz BQSMEFGYMYVYPC-NKWVEPMBSA-N -1 1 336.356 -0.619 20 0 EBADMM Cc1onc(CC(=O)N2C[C@@H](CO)OC(C)(C)C2)c1-c1nnn[n-]1 ZINC001570933696 948905839 /nfs/dbraw/zinc/90/58/39/948905839.db2.gz COQLBWAGALBJFM-VIFPVBQESA-N -1 1 336.352 -0.296 20 0 EBADMM Cc1onc(CC(=O)N2C[C@@H](CO)OC(C)(C)C2)c1-c1nn[n-]n1 ZINC001570933696 948905849 /nfs/dbraw/zinc/90/58/49/948905849.db2.gz COQLBWAGALBJFM-VIFPVBQESA-N -1 1 336.352 -0.296 20 0 EBADMM Cc1nsc(NC(=O)N2CC[C@@H](NC(N)=O)C2)c1-c1nnn[n-]1 ZINC001570933994 948919155 /nfs/dbraw/zinc/91/91/55/948919155.db2.gz AIKYGPJNNSETJK-ZCFIWIBFSA-N -1 1 337.369 -0.094 20 0 EBADMM Cc1nsc(NC(=O)N2CC[C@@H](NC(N)=O)C2)c1-c1nn[n-]n1 ZINC001570933994 948919165 /nfs/dbraw/zinc/91/91/65/948919165.db2.gz AIKYGPJNNSETJK-ZCFIWIBFSA-N -1 1 337.369 -0.094 20 0 EBADMM C[C@@H](CNC(=O)CCn1cccc1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574923302 948941332 /nfs/dbraw/zinc/94/13/32/948941332.db2.gz JGFOLLZHJMOIIU-NSHDSACASA-N -1 1 341.379 -0.113 20 0 EBADMM C[C@H](CNC(=O)CCn1cncn1)Nc1nccnc1-c1nnn[n-]1 ZINC001574923341 948947008 /nfs/dbraw/zinc/94/70/08/948947008.db2.gz KCOFPQPSXKKYRS-SECBINFHSA-N -1 1 343.355 -0.745 20 0 EBADMM C[C@H](CNC(=O)CCn1cncn1)Nc1nccnc1-c1nn[n-]n1 ZINC001574923341 948947020 /nfs/dbraw/zinc/94/70/20/948947020.db2.gz KCOFPQPSXKKYRS-SECBINFHSA-N -1 1 343.355 -0.745 20 0 EBADMM C[C@H](CNC(=O)CCn1ccnn1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574923503 948953877 /nfs/dbraw/zinc/95/38/77/948953877.db2.gz OLLSUIKGHQOCJK-SECBINFHSA-N -1 1 343.355 -0.745 20 0 EBADMM C[C@H](CNC(=O)CCn1ccnn1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574923503 948953886 /nfs/dbraw/zinc/95/38/86/948953886.db2.gz OLLSUIKGHQOCJK-SECBINFHSA-N -1 1 343.355 -0.745 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)NC[C@@H](O)[C@@H]1CCCO1 ZINC001570934910 948959955 /nfs/dbraw/zinc/95/99/55/948959955.db2.gz OHQLQIAYUYCPRR-ZJUUUORDSA-N -1 1 334.336 -0.455 20 0 EBADMM C[C@H]1CO[C@H](C(=O)N(C)CCCc2[nH]nc(N)c2-c2nnn[n-]2)C1 ZINC001570935145 948969048 /nfs/dbraw/zinc/96/90/48/948969048.db2.gz QAARPNOLXYTYQD-SCZZXKLOSA-N -1 1 334.384 -0.012 20 0 EBADMM C[C@H]1CO[C@H](C(=O)N(C)CCCc2[nH]nc(N)c2-c2nn[n-]n2)C1 ZINC001570935145 948969055 /nfs/dbraw/zinc/96/90/55/948969055.db2.gz QAARPNOLXYTYQD-SCZZXKLOSA-N -1 1 334.384 -0.012 20 0 EBADMM O=C(N[C@@H]1CCN(c2ccnc(-c3nn[n-]n3)n2)C1)c1cncnc1 ZINC001570935253 948973452 /nfs/dbraw/zinc/97/34/52/948973452.db2.gz MRZMPBMURSCJSW-SNVBAGLBSA-N -1 1 338.335 -0.545 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)[C@@H]1CCNC1=O ZINC001574690393 949003655 /nfs/dbraw/zinc/00/36/55/949003655.db2.gz OTENSODUWWCJTF-DTWKUNHWSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)[C@@H]1CCNC1=O ZINC001574690393 949003672 /nfs/dbraw/zinc/00/36/72/949003672.db2.gz OTENSODUWWCJTF-DTWKUNHWSA-N -1 1 345.367 -0.901 20 0 EBADMM O=C(N[C@H]1CCN(c2ccnc(-c3nn[n-]n3)n2)C1)c1ccncn1 ZINC001570936616 949041783 /nfs/dbraw/zinc/04/17/83/949041783.db2.gz ZSGNKVHHSVQGPW-VIFPVBQESA-N -1 1 338.335 -0.545 20 0 EBADMM O=C(Cc1ccc[nH]1)N[C@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C1 ZINC001570936954 949062256 /nfs/dbraw/zinc/06/22/56/949062256.db2.gz UMWHICDBIGVXKH-NSHDSACASA-N -1 1 339.363 -0.078 20 0 EBADMM O=C(Cc1ccc[nH]1)N[C@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C1 ZINC001570936954 949062265 /nfs/dbraw/zinc/06/22/65/949062265.db2.gz UMWHICDBIGVXKH-NSHDSACASA-N -1 1 339.363 -0.078 20 0 EBADMM C[C@H](CNC(=O)CNC(=O)C1CC1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574928697 949065566 /nfs/dbraw/zinc/06/55/66/949065566.db2.gz QZOZJTPTHSGAEB-MRVPVSSYSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@H](CNC(=O)CNC(=O)C1CC1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574928697 949065548 /nfs/dbraw/zinc/06/55/48/949065548.db2.gz QZOZJTPTHSGAEB-MRVPVSSYSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@H](CN(C)C(=O)C(=O)C(C)(C)C)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574694106 949070251 /nfs/dbraw/zinc/07/02/51/949070251.db2.gz LAYCNUNKXBYCHL-SECBINFHSA-N -1 1 346.395 -0.047 20 0 EBADMM CN(C(=O)c1cn[nH]c1)[C@H]1CCN(c2cnc(-c3nnn[n-]3)cn2)C1 ZINC001570937309 949074021 /nfs/dbraw/zinc/07/40/21/949074021.db2.gz BKGJSXYTMJUCEI-JTQLQIEISA-N -1 1 340.351 -0.269 20 0 EBADMM CN(C(=O)c1cn[nH]c1)[C@H]1CCN(c2cnc(-c3nn[n-]n3)cn2)C1 ZINC001570937309 949074041 /nfs/dbraw/zinc/07/40/41/949074041.db2.gz BKGJSXYTMJUCEI-JTQLQIEISA-N -1 1 340.351 -0.269 20 0 EBADMM O=C(Cc1cc[nH]n1)N1CC[C@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570938376 949100677 /nfs/dbraw/zinc/10/06/77/949100677.db2.gz RKEOOBOUHKIFGK-JTQLQIEISA-N -1 1 340.351 -0.943 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@H](Nc3ccnc(-c4nn[n-]n4)n3)C2)c1 ZINC001570938403 949104795 /nfs/dbraw/zinc/10/47/95/949104795.db2.gz RZMBNZKIQJBXHF-VIFPVBQESA-N -1 1 340.351 -0.861 20 0 EBADMM CN(c1ccnc(-c2nn[n-]n2)n1)[C@@H]1CCN(C(=O)c2cnon2)C1 ZINC001570938654 949113192 /nfs/dbraw/zinc/11/31/92/949113192.db2.gz GWGYDYGMNPELEV-MRVPVSSYSA-N -1 1 342.323 -0.609 20 0 EBADMM C[C@@H](CNC(=O)COCC1CC1)Nc1nccnc1-c1nn[n-]n1 ZINC001574932026 949126680 /nfs/dbraw/zinc/12/66/80/949126680.db2.gz TZHWBQXBXGFSAB-VIFPVBQESA-N -1 1 332.368 0.000 20 0 EBADMM C[C@@H](CNC(=O)COCC1CC1)Nc1nccnc1-c1nnn[n-]1 ZINC001574932026 949126664 /nfs/dbraw/zinc/12/66/64/949126664.db2.gz TZHWBQXBXGFSAB-VIFPVBQESA-N -1 1 332.368 0.000 20 0 EBADMM C[C@@H](CNC(=O)COCC(F)F)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574931760 949126856 /nfs/dbraw/zinc/12/68/56/949126856.db2.gz RWBHHBXNVIOIJZ-ZETCQYMHSA-N -1 1 342.310 -0.145 20 0 EBADMM C[C@@H](CNC(=O)COCC(F)F)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574931760 949126839 /nfs/dbraw/zinc/12/68/39/949126839.db2.gz RWBHHBXNVIOIJZ-ZETCQYMHSA-N -1 1 342.310 -0.145 20 0 EBADMM O=C(Cc1ccon1)N1CC[C@H](Nc2cncc(-c3nnn[n-]3)n2)C1 ZINC001570940017 949166419 /nfs/dbraw/zinc/16/64/19/949166419.db2.gz JAXGRGGVBNOXKI-JTQLQIEISA-N -1 1 341.335 -0.100 20 0 EBADMM O=C(Cc1ccon1)N1CC[C@H](Nc2cncc(-c3nn[n-]n3)n2)C1 ZINC001570940017 949166436 /nfs/dbraw/zinc/16/64/36/949166436.db2.gz JAXGRGGVBNOXKI-JTQLQIEISA-N -1 1 341.335 -0.100 20 0 EBADMM O=C(N1CC[C@@H](Nc2cncc(-c3nnn[n-]3)n2)C1)[C@]12C[C@H]1COC2 ZINC001570940747 949192964 /nfs/dbraw/zinc/19/29/64/949192964.db2.gz OVEMDMWVHNBOQQ-WMFXKJRFSA-N -1 1 342.363 -0.294 20 0 EBADMM O=C(N1CC[C@@H](Nc2cncc(-c3nn[n-]n3)n2)C1)[C@]12C[C@H]1COC2 ZINC001570940747 949192982 /nfs/dbraw/zinc/19/29/82/949192982.db2.gz OVEMDMWVHNBOQQ-WMFXKJRFSA-N -1 1 342.363 -0.294 20 0 EBADMM Cc1ocnc1C(=O)N1CC[C@@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570940896 949196993 /nfs/dbraw/zinc/19/69/93/949196993.db2.gz OKWRLOPGWAUHNA-SECBINFHSA-N -1 1 341.335 -0.298 20 0 EBADMM CC(C)CC(=O)N(C)C[C@@H](O)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001574100686 949201000 /nfs/dbraw/zinc/20/10/00/949201000.db2.gz WXNIGZKYDJIOLC-JTQLQIEISA-N -1 1 334.384 -0.644 20 0 EBADMM Cc1ocnc1C(=O)N[C@H]1CCN(c2cncc(-c3nnn[n-]3)n2)C1 ZINC001570941551 949234283 /nfs/dbraw/zinc/23/42/83/949234283.db2.gz WKAGCJQXPJJCQN-VIFPVBQESA-N -1 1 341.335 -0.038 20 0 EBADMM Cc1ocnc1C(=O)N[C@H]1CCN(c2cncc(-c3nn[n-]n3)n2)C1 ZINC001570941551 949234299 /nfs/dbraw/zinc/23/42/99/949234299.db2.gz WKAGCJQXPJJCQN-VIFPVBQESA-N -1 1 341.335 -0.038 20 0 EBADMM C[C@@H](CNC(=O)Cc1ccn(C)n1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574941773 949314857 /nfs/dbraw/zinc/31/48/57/949314857.db2.gz HHYLSYUGWBAUBM-VIFPVBQESA-N -1 1 342.367 -0.451 20 0 EBADMM C[C@@H](CNC(=O)Cc1ccn(C)n1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574941773 949314876 /nfs/dbraw/zinc/31/48/76/949314876.db2.gz HHYLSYUGWBAUBM-VIFPVBQESA-N -1 1 342.367 -0.451 20 0 EBADMM C[C@@H](CNC(=O)Cc1ccc[nH]1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001574941903 949321320 /nfs/dbraw/zinc/32/13/20/949321320.db2.gz UXUQJWRNFJBEOF-SNVBAGLBSA-N -1 1 341.379 -0.186 20 0 EBADMM C[C@H](CNC(=O)Cc1cc[nH]n1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574942005 949329296 /nfs/dbraw/zinc/32/92/96/949329296.db2.gz ILYOTUCFQPSIAY-MRVPVSSYSA-N -1 1 328.340 -0.461 20 0 EBADMM C[C@H](CNC(=O)Cc1cc[nH]n1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574942005 949329316 /nfs/dbraw/zinc/32/93/16/949329316.db2.gz ILYOTUCFQPSIAY-MRVPVSSYSA-N -1 1 328.340 -0.461 20 0 EBADMM C[C@@H]1CCC[C@@H]1NC(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001576154235 949330568 /nfs/dbraw/zinc/33/05/68/949330568.db2.gz CTKHKDXUVXDXAD-SCZZXKLOSA-N -1 1 348.367 -0.383 20 0 EBADMM C[C@H]1C(=O)CCCN1C(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001575863799 949353404 /nfs/dbraw/zinc/35/34/04/949353404.db2.gz FOTDOPGEGNESJZ-QMMMGPOBSA-N -1 1 347.335 -0.901 20 0 EBADMM C[C@H](CNC(=O)Cc1ccon1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574942700 949363032 /nfs/dbraw/zinc/36/30/32/949363032.db2.gz RHEWAJDFBMUQCX-MRVPVSSYSA-N -1 1 329.324 -0.196 20 0 EBADMM C[C@H](CNC(=O)Cc1ccon1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574942700 949363053 /nfs/dbraw/zinc/36/30/53/949363053.db2.gz RHEWAJDFBMUQCX-MRVPVSSYSA-N -1 1 329.324 -0.196 20 0 EBADMM C[C@@H](CNC(=O)Cc1ccn(C)n1)Nc1nccnc1-c1nnn[n-]1 ZINC001574942771 949363864 /nfs/dbraw/zinc/36/38/64/949363864.db2.gz SNTFWMRNWWCMMC-VIFPVBQESA-N -1 1 342.367 -0.451 20 0 EBADMM C[C@@H](CNC(=O)Cc1ccn(C)n1)Nc1nccnc1-c1nn[n-]n1 ZINC001574942771 949363884 /nfs/dbraw/zinc/36/38/84/949363884.db2.gz SNTFWMRNWWCMMC-VIFPVBQESA-N -1 1 342.367 -0.451 20 0 EBADMM CC(C)(C)C(=O)N[C@H]1CCN(c2cncc(-c3nnn[n-]3)n2)C[C@H]1O ZINC001573800007 949385509 /nfs/dbraw/zinc/38/55/09/949385509.db2.gz UAMYILITBOFJNI-GXSJLCMTSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)(C)C(=O)N[C@H]1CCN(c2cncc(-c3nn[n-]n3)n2)C[C@H]1O ZINC001573800007 949385530 /nfs/dbraw/zinc/38/55/30/949385530.db2.gz UAMYILITBOFJNI-GXSJLCMTSA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@H](CNC(=O)Cc1ncc[nH]1)CNc1nccnc1-c1nnn[n-]1 ZINC001574945035 949406958 /nfs/dbraw/zinc/40/69/58/949406958.db2.gz JCLNZGWCEFKGMI-SECBINFHSA-N -1 1 342.367 -0.213 20 0 EBADMM C[C@H](CNC(=O)Cc1ncc[nH]1)CNc1nccnc1-c1nn[n-]n1 ZINC001574945035 949406967 /nfs/dbraw/zinc/40/69/67/949406967.db2.gz JCLNZGWCEFKGMI-SECBINFHSA-N -1 1 342.367 -0.213 20 0 EBADMM Cc1nocc1C(=O)Nc1[nH]nc(N2CCOCC2)c1-c1nnn[n-]1 ZINC001570944234 949410998 /nfs/dbraw/zinc/41/09/98/949410998.db2.gz KGOTXNAZMVBBLQ-UHFFFAOYSA-N -1 1 345.323 -0.025 20 0 EBADMM Cc1nocc1C(=O)Nc1[nH]nc(N2CCOCC2)c1-c1nn[n-]n1 ZINC001570944234 949411014 /nfs/dbraw/zinc/41/10/14/949411014.db2.gz KGOTXNAZMVBBLQ-UHFFFAOYSA-N -1 1 345.323 -0.025 20 0 EBADMM C[C@@H](CNC(=O)Cc1cnoc1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001574945958 949423637 /nfs/dbraw/zinc/42/36/37/949423637.db2.gz QHDKUNHCZPDVLZ-VIFPVBQESA-N -1 1 343.351 -0.172 20 0 EBADMM C[C@@H](CNC(=O)Cc1cnoc1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001574945958 949423652 /nfs/dbraw/zinc/42/36/52/949423652.db2.gz QHDKUNHCZPDVLZ-VIFPVBQESA-N -1 1 343.351 -0.172 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1CC1(F)F)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574705536 949431653 /nfs/dbraw/zinc/43/16/53/949431653.db2.gz UNKYRYAXXNUNGF-JGVFFNPUSA-N -1 1 338.322 -0.007 20 0 EBADMM C[C@@H]1C[C@@H]1[C@H]1C[C@@H]1CNC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570944768 949440473 /nfs/dbraw/zinc/44/04/73/949440473.db2.gz XOMMSTPFOUKIMB-IMSYWVGJSA-N -1 1 345.363 -0.463 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1CCCOC1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574705931 949444599 /nfs/dbraw/zinc/44/45/99/949444599.db2.gz KYKSYNJTZADHNA-QWRGUYRKSA-N -1 1 346.395 -0.236 20 0 EBADMM C[C@@H](O)[C@H](NC(=O)C1CC1)C(=O)NCc1ccnc(-c2nnn[n-]2)c1 ZINC001570944900 949445822 /nfs/dbraw/zinc/44/58/22/949445822.db2.gz ZXQGZIODBUKGJT-PELKAZGASA-N -1 1 345.363 -0.847 20 0 EBADMM C[C@@H](O)[C@H](NC(=O)C1CC1)C(=O)NCc1ccnc(-c2nn[n-]n2)c1 ZINC001570944900 949445833 /nfs/dbraw/zinc/44/58/33/949445833.db2.gz ZXQGZIODBUKGJT-PELKAZGASA-N -1 1 345.363 -0.847 20 0 EBADMM CC(C)(C)C(=O)NCC(=O)NCCNc1nccnc1-c1nnn[n-]1 ZINC001573804491 949470744 /nfs/dbraw/zinc/47/07/44/949470744.db2.gz WGTQHBKGLGQCDE-UHFFFAOYSA-N -1 1 347.383 -0.653 20 0 EBADMM CC(C)(C)C(=O)NCC(=O)NCCNc1nccnc1-c1nn[n-]n1 ZINC001573804491 949470757 /nfs/dbraw/zinc/47/07/57/949470757.db2.gz WGTQHBKGLGQCDE-UHFFFAOYSA-N -1 1 347.383 -0.653 20 0 EBADMM C[C@@H](CNC(=O)Cn1ccnc1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001574949337 949489837 /nfs/dbraw/zinc/48/98/37/949489837.db2.gz UHEXRSLGVXUAPL-SNVBAGLBSA-N -1 1 342.367 -0.861 20 0 EBADMM C[C@]1(C(=O)N2CC[C@H](Nc3ccnc(-c4nn[n-]n4)n3)C2)CCOC1 ZINC001575537516 949497149 /nfs/dbraw/zinc/49/71/49/949497149.db2.gz WKZVTZDYMMNCNP-BONVTDFDSA-N -1 1 344.379 -0.482 20 0 EBADMM C[C@H]1CCCC[C@@]12CC(=O)NN2C(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC001576158528 949499397 /nfs/dbraw/zinc/49/93/97/949499397.db2.gz UAWQRXHYAROUOS-LKFCYVNXSA-N -1 1 345.367 -0.329 20 0 EBADMM CCc1nc(-c2nnn[n-]2)cc(N2C[C@@H](O)[C@H](NC(=O)C(C)C)C2)n1 ZINC001570945837 949505550 /nfs/dbraw/zinc/50/55/50/949505550.db2.gz DXJQQYPOTFSKNO-GHMZBOCLSA-N -1 1 346.395 -0.459 20 0 EBADMM CCc1nc(-c2nn[n-]n2)cc(N2C[C@@H](O)[C@H](NC(=O)C(C)C)C2)n1 ZINC001570945837 949505567 /nfs/dbraw/zinc/50/55/67/949505567.db2.gz DXJQQYPOTFSKNO-GHMZBOCLSA-N -1 1 346.395 -0.459 20 0 EBADMM CN(c1ccc(-c2nnn[n-]2)nn1)[C@@H]1CCN(C(=O)[C@@H]2CCCO2)C1 ZINC001570945787 949505849 /nfs/dbraw/zinc/50/58/49/949505849.db2.gz INESKBMMLBKSLN-PWSUYJOCSA-N -1 1 344.379 -0.127 20 0 EBADMM CN(c1ccc(-c2nn[n-]n2)nn1)[C@@H]1CCN(C(=O)[C@@H]2CCCO2)C1 ZINC001570945787 949505866 /nfs/dbraw/zinc/50/58/66/949505866.db2.gz INESKBMMLBKSLN-PWSUYJOCSA-N -1 1 344.379 -0.127 20 0 EBADMM CO[C@@H](C)C(=O)N1CCCC[C@H]1CNc1ccnc(-c2nn[n-]n2)n1 ZINC001570946267 949525909 /nfs/dbraw/zinc/52/59/09/949525909.db2.gz JXDUJPPWVCXKPO-QWRGUYRKSA-N -1 1 346.395 -0.094 20 0 EBADMM O=C(N[C@H]1C[C@H](Nc2ccc(-c3nnn[n-]3)nn2)C1)[C@H]1COCCO1 ZINC001570946363 949533119 /nfs/dbraw/zinc/53/31/19/949533119.db2.gz MFOTWFWSEDQCKA-FXPVBKGRSA-N -1 1 346.351 -0.869 20 0 EBADMM O=C(N[C@H]1C[C@H](Nc2ccc(-c3nn[n-]n3)nn2)C1)[C@H]1COCCO1 ZINC001570946363 949533139 /nfs/dbraw/zinc/53/31/39/949533139.db2.gz MFOTWFWSEDQCKA-FXPVBKGRSA-N -1 1 346.351 -0.869 20 0 EBADMM CC(C)[C@@H](O)C(=O)N1C[C@@H](C)[C@H](Nc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001570946785 949542875 /nfs/dbraw/zinc/54/28/75/949542875.db2.gz PQYOOYUYIXKJLR-IRUJWGPZSA-N -1 1 346.395 -0.068 20 0 EBADMM CC(C)[C@@H](O)C(=O)N1C[C@@H](C)[C@H](Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001570946785 949542892 /nfs/dbraw/zinc/54/28/92/949542892.db2.gz PQYOOYUYIXKJLR-IRUJWGPZSA-N -1 1 346.395 -0.068 20 0 EBADMM O=C(N[C@H]1C[C@H](CNc2nccnc2-c2nnn[n-]2)C1)[C@H]1CCOC1 ZINC001570947267 949561275 /nfs/dbraw/zinc/56/12/75/949561275.db2.gz WMXKYEXBCDSLJS-DCAQKATOSA-N -1 1 344.379 0.000 20 0 EBADMM O=C(N[C@H]1C[C@H](CNc2nccnc2-c2nn[n-]n2)C1)[C@H]1CCOC1 ZINC001570947267 949561288 /nfs/dbraw/zinc/56/12/88/949561288.db2.gz WMXKYEXBCDSLJS-DCAQKATOSA-N -1 1 344.379 0.000 20 0 EBADMM CC(C)n1nnc([N-]S(=O)(=O)c2ccc(-c3nn[nH]n3)o2)n1 ZINC001574473227 949562313 /nfs/dbraw/zinc/56/23/13/949562313.db2.gz YSPARYYROURIKI-UHFFFAOYSA-N -1 1 325.314 -0.172 20 0 EBADMM O=C(N[C@H]1C[C@H](CNc2nccnc2-c2nnn[n-]2)C1)[C@@H]1CCOC1 ZINC001570947271 949564059 /nfs/dbraw/zinc/56/40/59/949564059.db2.gz WMXKYEXBCDSLJS-GMTAPVOTSA-N -1 1 344.379 0.000 20 0 EBADMM O=C(N[C@H]1C[C@H](CNc2nccnc2-c2nn[n-]n2)C1)[C@@H]1CCOC1 ZINC001570947271 949564073 /nfs/dbraw/zinc/56/40/73/949564073.db2.gz WMXKYEXBCDSLJS-GMTAPVOTSA-N -1 1 344.379 0.000 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1CCOC1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574711962 949564968 /nfs/dbraw/zinc/56/49/68/949564968.db2.gz ORJDPYOIEWBJDR-UWVGGRQHSA-N -1 1 332.368 -0.048 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1CCOC1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574711962 949564981 /nfs/dbraw/zinc/56/49/81/949564981.db2.gz ORJDPYOIEWBJDR-UWVGGRQHSA-N -1 1 332.368 -0.048 20 0 EBADMM CCO[C@@H]1C[C@H]1C(=O)N[C@H]1C[C@@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570947400 949568439 /nfs/dbraw/zinc/56/84/39/949568439.db2.gz YXQPASIQUMDJHN-LMLFDSFASA-N -1 1 344.379 -0.437 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1C[C@H]2OC(C)(C)O[C@H]2C1)n1cnc(-c2nn[n-]n2)n1 ZINC001570948443 949606675 /nfs/dbraw/zinc/60/66/75/949606675.db2.gz GDNIDUMCXSAQJY-AATLWQCWSA-N -1 1 348.367 -0.182 20 0 EBADMM O=C(N1CCN(c2nccnc2-c2nnn[n-]2)CC1)[C@@]1(F)CCOC1 ZINC001570948466 949608499 /nfs/dbraw/zinc/60/84/99/949608499.db2.gz GHRQTULYUKXLLE-CQSZACIVSA-N -1 1 348.342 -0.566 20 0 EBADMM O=C(N1CCN(c2nccnc2-c2nn[n-]n2)CC1)[C@@]1(F)CCOC1 ZINC001570948466 949608513 /nfs/dbraw/zinc/60/85/13/949608513.db2.gz GHRQTULYUKXLLE-CQSZACIVSA-N -1 1 348.342 -0.566 20 0 EBADMM C[C@@H](CNC(=O)[C@]12C[C@H]1COC2)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001574957729 949629740 /nfs/dbraw/zinc/62/97/40/949629740.db2.gz GUTFIAATYLSPPI-FTGAXOIBSA-N -1 1 344.379 -0.143 20 0 EBADMM C[C@@H](CNC(=O)[C@]12C[C@H]1COC2)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001574957729 949629753 /nfs/dbraw/zinc/62/97/53/949629753.db2.gz GUTFIAATYLSPPI-FTGAXOIBSA-N -1 1 344.379 -0.143 20 0 EBADMM C[C@@H](NC(=O)CCCC(N)=O)[C@@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575272628 949651999 /nfs/dbraw/zinc/65/19/99/949651999.db2.gz RIZAESPFDVJMHK-RKDXNWHRSA-N -1 1 347.383 -0.383 20 0 EBADMM C[C@@H](NC(=O)CCCC(N)=O)[C@@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575272628 949652014 /nfs/dbraw/zinc/65/20/14/949652014.db2.gz RIZAESPFDVJMHK-RKDXNWHRSA-N -1 1 347.383 -0.383 20 0 EBADMM C[C@H]1C[C@@H](CNC(=O)Cn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)CCO1 ZINC001570950138 949669665 /nfs/dbraw/zinc/66/96/65/949669665.db2.gz DTNONMNCUPAPAJ-IUCAKERBSA-N -1 1 349.351 -0.940 20 0 EBADMM C[C@@H](NC(=O)COCC1CC1)[C@H](C)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575281224 949800577 /nfs/dbraw/zinc/80/05/77/949800577.db2.gz DWRFKEFNVKSHPO-VHSXEESVSA-N -1 1 346.395 -0.190 20 0 EBADMM CC(C)(C)CC(=O)Cn1c(=O)c(-c2nn[n-]n2)cn(CCO)c1=O ZINC001573826652 949911752 /nfs/dbraw/zinc/91/17/52/949911752.db2.gz INXGGMCBLAXPCC-UHFFFAOYSA-N -1 1 336.352 -0.812 20 0 EBADMM CC1(C(=O)N[C@@H]2CCN(c3ccc(-c4nnn[n-]4)nn3)C[C@@H]2O)CC1 ZINC001575569794 949929214 /nfs/dbraw/zinc/92/92/14/949929214.db2.gz OBFHYBQIDDONQM-KOLCDFICSA-N -1 1 344.379 -0.487 20 0 EBADMM CC1(C(=O)N[C@@H]2CCN(c3ccc(-c4nn[n-]n4)nn3)C[C@@H]2O)CC1 ZINC001575569794 949929234 /nfs/dbraw/zinc/92/92/34/949929234.db2.gz OBFHYBQIDDONQM-KOLCDFICSA-N -1 1 344.379 -0.487 20 0 EBADMM CC1(C(=O)N[C@@H]2CCN(c3nccnc3-c3nnn[n-]3)C[C@H]2O)CC1 ZINC001575570608 949944838 /nfs/dbraw/zinc/94/48/38/949944838.db2.gz TUIBUCZQOMGEDF-NXEZZACHSA-N -1 1 344.379 -0.487 20 0 EBADMM CC1(C(=O)N[C@@H]2CCN(c3nccnc3-c3nn[n-]n3)C[C@H]2O)CC1 ZINC001575570608 949944859 /nfs/dbraw/zinc/94/48/59/949944859.db2.gz TUIBUCZQOMGEDF-NXEZZACHSA-N -1 1 344.379 -0.487 20 0 EBADMM C[C@@H](CN(C)C(=O)Cc1ccon1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574728662 950001551 /nfs/dbraw/zinc/00/15/51/950001551.db2.gz GPEUUFPYMKXOMK-VIFPVBQESA-N -1 1 343.351 -0.432 20 0 EBADMM O=C([O-])C1=NO[C@@H](C(=O)N2CCN([C@@H]3CCNC(=O)CC3)CC2)C1 ZINC001595285254 950010375 /nfs/dbraw/zinc/01/03/75/950010375.db2.gz IUGUINKOAXZDSC-CMPLNLGQSA-N -1 1 338.364 -0.971 20 0 EBADMM C[C@H](CN(C)C(=O)Cc1ncc[nH]1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574731263 950048385 /nfs/dbraw/zinc/04/83/85/950048385.db2.gz CHIFLBAXSGUWNN-SECBINFHSA-N -1 1 342.367 -0.119 20 0 EBADMM C[C@H](CN(C)C(=O)Cc1ncc[nH]1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574731263 950048406 /nfs/dbraw/zinc/04/84/06/950048406.db2.gz CHIFLBAXSGUWNN-SECBINFHSA-N -1 1 342.367 -0.119 20 0 EBADMM CC1(C(=O)NC[C@]2(O)CCN(c3ccc(-c4nnn[n-]4)nn3)C2)CC1 ZINC001575584221 950166236 /nfs/dbraw/zinc/16/62/36/950166236.db2.gz WWPJHLDRTZZMOA-OAHLLOKOSA-N -1 1 344.379 -0.486 20 0 EBADMM CC1(C(=O)NC[C@]2(O)CCN(c3ccc(-c4nn[n-]n4)nn3)C2)CC1 ZINC001575584221 950166248 /nfs/dbraw/zinc/16/62/48/950166248.db2.gz WWPJHLDRTZZMOA-OAHLLOKOSA-N -1 1 344.379 -0.486 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cn[nH]n1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574741376 950239522 /nfs/dbraw/zinc/23/95/22/950239522.db2.gz ANRKHLPUYSEOIE-ZETCQYMHSA-N -1 1 329.328 -0.653 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cn[nH]n1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574741376 950239529 /nfs/dbraw/zinc/23/95/29/950239529.db2.gz ANRKHLPUYSEOIE-ZETCQYMHSA-N -1 1 329.328 -0.653 20 0 EBADMM C[C@@H](CC(=O)NC[C@@H](O)CNc1ccnc(-c2nn[n-]n2)n1)C1CC1 ZINC001574498361 950272433 /nfs/dbraw/zinc/27/24/33/950272433.db2.gz MSOABJZHAZLOFU-ONGXEEELSA-N -1 1 346.395 -0.596 20 0 EBADMM CC(C)(C)NC(=O)[C@H]1CCCN1C(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC001573855611 950382245 /nfs/dbraw/zinc/38/22/45/950382245.db2.gz YPBFDUCZLMVDBX-SECBINFHSA-N -1 1 347.383 -0.636 20 0 EBADMM CC(C)(C)OCC(=O)NCC1(Nc2ccnc(-c3nn[n-]n3)n2)CC1 ZINC001573870109 950586004 /nfs/dbraw/zinc/58/60/04/950586004.db2.gz DUOVTCWZPKCSKV-UHFFFAOYSA-N -1 1 346.395 -0.046 20 0 EBADMM CCN1CC[C@@H]1CNC(=O)N[C@H]1CCCCN(CC(=O)[O-])C1=O ZINC001589741139 950586661 /nfs/dbraw/zinc/58/66/61/950586661.db2.gz BYKFZZCDJNBKPQ-NEPJUHHUSA-N -1 1 326.397 -0.155 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cn(C)nn1)Nc1nccnc1-c1nnn[n-]1 ZINC001574759818 950648739 /nfs/dbraw/zinc/64/87/39/950648739.db2.gz FRSGNHPWRPTRIE-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cn(C)nn1)Nc1nccnc1-c1nn[n-]n1 ZINC001574759818 950648748 /nfs/dbraw/zinc/64/87/48/950648748.db2.gz FRSGNHPWRPTRIE-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CN(C)C(=O)c1ccn(C)n1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574759945 950651787 /nfs/dbraw/zinc/65/17/87/950651787.db2.gz QNVKVVWILCLNAG-SECBINFHSA-N -1 1 342.367 -0.615 20 0 EBADMM CCN1CCCN(C(=O)C(=O)NCC2(C(=O)[O-])CCOCC2)CC1 ZINC001589745178 950685470 /nfs/dbraw/zinc/68/54/70/950685470.db2.gz ZZWUUGJHHYMNHK-UHFFFAOYSA-N -1 1 341.408 -0.462 20 0 EBADMM C[C@H](CN(C)C(=O)c1cn(C)cn1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574761590 950696314 /nfs/dbraw/zinc/69/63/14/950696314.db2.gz SUWLSYKYGCOPFB-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CN(C)C(=O)c1cn(C)cn1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574761590 950696331 /nfs/dbraw/zinc/69/63/31/950696331.db2.gz SUWLSYKYGCOPFB-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CN(C)C(=O)c1ccon1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574762473 950709059 /nfs/dbraw/zinc/70/90/59/950709059.db2.gz YCDKSZVLNFFPQC-MRVPVSSYSA-N -1 1 329.324 -0.361 20 0 EBADMM CC1(C)CC(C(=O)NC[C@@H](CO)Nc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001575628275 950713088 /nfs/dbraw/zinc/71/30/88/950713088.db2.gz BORCIBLGBXHSNS-JTQLQIEISA-N -1 1 346.395 -0.018 20 0 EBADMM CC1(C)CC(C(=O)NC[C@@H](CO)Nc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001575628275 950713111 /nfs/dbraw/zinc/71/31/11/950713111.db2.gz BORCIBLGBXHSNS-JTQLQIEISA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](C(=O)N1Cc2cncnc2[C@@H](C)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001576216642 950742236 /nfs/dbraw/zinc/74/22/36/950742236.db2.gz FCKQTGSEIAKRJJ-DTWKUNHWSA-N -1 1 340.351 -0.045 20 0 EBADMM CC(C)CCC(=O)NC[C@@H](O)CNc1cncc(-c2nnn[n-]2)n1 ZINC001574182455 950755479 /nfs/dbraw/zinc/75/54/79/950755479.db2.gz VSYLZOSIBXOZJE-JTQLQIEISA-N -1 1 334.384 -0.018 20 0 EBADMM CC(C)CCC(=O)NC[C@@H](O)CNc1cncc(-c2nn[n-]n2)n1 ZINC001574182455 950755496 /nfs/dbraw/zinc/75/54/96/950755496.db2.gz VSYLZOSIBXOZJE-JTQLQIEISA-N -1 1 334.384 -0.018 20 0 EBADMM C[C@H](CN(C)C(=O)c1ncn(C)n1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574766333 950821071 /nfs/dbraw/zinc/82/10/71/950821071.db2.gz IGVCBRNUJNWMJN-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CN(C)C(=O)c1ncn(C)n1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574766333 950821089 /nfs/dbraw/zinc/82/10/89/950821089.db2.gz IGVCBRNUJNWMJN-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM CC(C)(C)n1nnc(C(=O)NCc2nc(-c3nnn[n-]3)cs2)n1 ZINC001573893397 950881269 /nfs/dbraw/zinc/88/12/69/950881269.db2.gz DFJRSNISEGPQMF-UHFFFAOYSA-N -1 1 334.369 -0.005 20 0 EBADMM CC(C)(C)n1nnc(C(=O)NCc2nc(-c3nn[n-]n3)cs2)n1 ZINC001573893397 950881288 /nfs/dbraw/zinc/88/12/88/950881288.db2.gz DFJRSNISEGPQMF-UHFFFAOYSA-N -1 1 334.369 -0.005 20 0 EBADMM C[C@H](CN(C)C(=O)c1cnsn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574768549 950890196 /nfs/dbraw/zinc/89/01/96/950890196.db2.gz ZALUTBDHSKRFPL-SSDOTTSWSA-N -1 1 346.380 -0.497 20 0 EBADMM O=C([O-])CC1(C(=O)N2CCO[C@H](c3nn[nH]n3)C2)CCOCC1 ZINC001594973366 950890369 /nfs/dbraw/zinc/89/03/69/950890369.db2.gz ZZVGWALGNWICFI-VIFPVBQESA-N -1 1 325.325 -0.629 20 0 EBADMM C[C@@H]1[C@H](Nc2nccnc2-c2nnn[n-]2)CCN1C(=O)c1cn[nH]n1 ZINC001575935749 950891468 /nfs/dbraw/zinc/89/14/68/950891468.db2.gz BBABFRDQQMWHGD-HTQZYQBOSA-N -1 1 341.339 -0.510 20 0 EBADMM C[C@@H]1[C@H](Nc2nccnc2-c2nn[n-]n2)CCN1C(=O)c1cn[nH]n1 ZINC001575935749 950891476 /nfs/dbraw/zinc/89/14/76/950891476.db2.gz BBABFRDQQMWHGD-HTQZYQBOSA-N -1 1 341.339 -0.510 20 0 EBADMM CC1(C)C[C@@H]1C(=O)N(CCO)CCNc1cnc(-c2nnn[n-]2)cn1 ZINC001575643172 950963027 /nfs/dbraw/zinc/96/30/27/950963027.db2.gz ZBMAYBCUVVADEW-SNVBAGLBSA-N -1 1 346.395 -0.064 20 0 EBADMM CC1(C)C[C@@H]1C(=O)N(CCO)CCNc1cnc(-c2nn[n-]n2)cn1 ZINC001575643172 950963045 /nfs/dbraw/zinc/96/30/45/950963045.db2.gz ZBMAYBCUVVADEW-SNVBAGLBSA-N -1 1 346.395 -0.064 20 0 EBADMM CC1(C)C[C@@H]1C(=O)N(CCO)CCNc1ccc(-c2nnn[n-]2)nn1 ZINC001575643098 950963085 /nfs/dbraw/zinc/96/30/85/950963085.db2.gz XTASVBWQZVMORB-SNVBAGLBSA-N -1 1 346.395 -0.064 20 0 EBADMM CC1(C)C[C@@H]1C(=O)N(CCO)CCNc1ccc(-c2nn[n-]n2)nn1 ZINC001575643098 950963101 /nfs/dbraw/zinc/96/31/01/950963101.db2.gz XTASVBWQZVMORB-SNVBAGLBSA-N -1 1 346.395 -0.064 20 0 EBADMM C[C@H]1C[C@H](C(=O)N(C)CCN(C)c2ccc(-c3nnn[n-]3)nn2)CO1 ZINC001575938419 950981613 /nfs/dbraw/zinc/98/16/13/950981613.db2.gz LFKOWRZMDWGQAS-QWRGUYRKSA-N -1 1 346.395 -0.024 20 0 EBADMM C[C@H]1C[C@H](C(=O)N(C)CCN(C)c2ccc(-c3nn[n-]n3)nn2)CO1 ZINC001575938419 950981623 /nfs/dbraw/zinc/98/16/23/950981623.db2.gz LFKOWRZMDWGQAS-QWRGUYRKSA-N -1 1 346.395 -0.024 20 0 EBADMM C[C@@H](CNC(=O)c1ccc(=O)[nH]n1)Nc1nccnc1-c1nnn[n-]1 ZINC001575018231 951017270 /nfs/dbraw/zinc/01/72/70/951017270.db2.gz MMNYQUZWZBVOLQ-ZETCQYMHSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@@H](CNC(=O)c1ccc(=O)[nH]n1)Nc1nccnc1-c1nn[n-]n1 ZINC001575018231 951017281 /nfs/dbraw/zinc/01/72/81/951017281.db2.gz MMNYQUZWZBVOLQ-ZETCQYMHSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@@H](CNC(=O)c1cccn1C)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575031860 951206216 /nfs/dbraw/zinc/20/62/16/951206216.db2.gz KQHQSEDKBFHVNF-VIFPVBQESA-N -1 1 327.352 -0.353 20 0 EBADMM C[C@@H](CNC(=O)c1cccnn1)CNc1nccnc1-c1nnn[n-]1 ZINC001575032167 951218644 /nfs/dbraw/zinc/21/86/44/951218644.db2.gz SHILCAWBDCDJIE-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1cccnn1)CNc1nccnc1-c1nn[n-]n1 ZINC001575032167 951218653 /nfs/dbraw/zinc/21/86/53/951218653.db2.gz SHILCAWBDCDJIE-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1cccnn1)Nc1nccnc1-c1nnn[n-]1 ZINC001575032266 951221501 /nfs/dbraw/zinc/22/15/01/951221501.db2.gz VLORUDOBDIEDPW-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@@H](CNC(=O)c1cccnn1)Nc1nccnc1-c1nn[n-]n1 ZINC001575032266 951221510 /nfs/dbraw/zinc/22/15/10/951221510.db2.gz VLORUDOBDIEDPW-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1ccncc1F)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575034102 951237617 /nfs/dbraw/zinc/23/76/17/951237617.db2.gz IARJGRAVLMWKSM-MRVPVSSYSA-N -1 1 343.326 -0.157 20 0 EBADMM C[C@@H](CNC(=O)c1ccncn1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001575034641 951255122 /nfs/dbraw/zinc/25/51/22/951255122.db2.gz PCYKOJCRAXGXSV-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1ccncn1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575034641 951255134 /nfs/dbraw/zinc/25/51/34/951255134.db2.gz PCYKOJCRAXGXSV-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@H](CNC(=O)c1ccnnc1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575034932 951259657 /nfs/dbraw/zinc/25/96/57/951259657.db2.gz QRZJIWMVSJFCKA-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1ccnnc1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575034932 951259665 /nfs/dbraw/zinc/25/96/65/951259665.db2.gz QRZJIWMVSJFCKA-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1ccn(C)n1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001575036512 951294113 /nfs/dbraw/zinc/29/41/13/951294113.db2.gz TZFSEZVFBBCYTP-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1ccn(C)n1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575036512 951294123 /nfs/dbraw/zinc/29/41/23/951294123.db2.gz TZFSEZVFBBCYTP-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1ccn(C)c1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575036702 951301509 /nfs/dbraw/zinc/30/15/09/951301509.db2.gz WHTCIUXEISGOKX-SECBINFHSA-N -1 1 327.352 -0.353 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)cn1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575042278 951357875 /nfs/dbraw/zinc/35/78/75/951357875.db2.gz HYEURJLPQDYERT-VIFPVBQESA-N -1 1 342.367 -0.710 20 0 EBADMM C[C@@H](CNC(=O)c1cn[nH]c1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001575044653 951417892 /nfs/dbraw/zinc/41/78/92/951417892.db2.gz UNDURIFKJNGMIH-QMMMGPOBSA-N -1 1 328.340 -0.366 20 0 EBADMM C[C@@H](CNC(=O)c1cn[nH]c1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575044653 951417898 /nfs/dbraw/zinc/41/78/98/951417898.db2.gz UNDURIFKJNGMIH-QMMMGPOBSA-N -1 1 328.340 -0.366 20 0 EBADMM C[C@H](CNC(=O)c1cncc(F)c1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575045575 951426946 /nfs/dbraw/zinc/42/69/46/951426946.db2.gz DOTOUQCCGAOTJS-MRVPVSSYSA-N -1 1 343.326 -0.157 20 0 EBADMM C[C@@H](CNC(=O)c1cncn1C)Nc1nccnc1-c1nnn[n-]1 ZINC001575045545 951427430 /nfs/dbraw/zinc/42/74/30/951427430.db2.gz CURAGONOQLEJHL-QMMMGPOBSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@@H](CNC(=O)c1cncn1C)Nc1nccnc1-c1nn[n-]n1 ZINC001575045545 951427436 /nfs/dbraw/zinc/42/74/36/951427436.db2.gz CURAGONOQLEJHL-QMMMGPOBSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@H](CNC(=O)c1cncn1C)Nc1nccnc1-c1nnn[n-]1 ZINC001575045544 951427714 /nfs/dbraw/zinc/42/77/14/951427714.db2.gz CURAGONOQLEJHL-MRVPVSSYSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@H](CNC(=O)c1cncn1C)Nc1nccnc1-c1nn[n-]n1 ZINC001575045544 951427717 /nfs/dbraw/zinc/42/77/17/951427717.db2.gz CURAGONOQLEJHL-MRVPVSSYSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@@H](CNC(=O)c1cncnc1)CNc1nccnc1-c1nnn[n-]1 ZINC001575045933 951435719 /nfs/dbraw/zinc/43/57/19/951435719.db2.gz JQBPNEZIFQJKSV-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1cncnc1)CNc1nccnc1-c1nn[n-]n1 ZINC001575045933 951435725 /nfs/dbraw/zinc/43/57/25/951435725.db2.gz JQBPNEZIFQJKSV-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@H](CNC(=O)c1cnccn1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575046978 951450114 /nfs/dbraw/zinc/45/01/14/951450114.db2.gz WLEFVARLFIHTPA-VIFPVBQESA-N -1 1 340.351 -0.653 20 0 EBADMM C[C@@H](CNC(=O)c1cncnc1)Nc1nccnc1-c1nnn[n-]1 ZINC001575047194 951454566 /nfs/dbraw/zinc/45/45/66/951454566.db2.gz ZCSUEAJPWIITSG-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@@H](CNC(=O)c1cncnc1)Nc1nccnc1-c1nn[n-]n1 ZINC001575047194 951454574 /nfs/dbraw/zinc/45/45/74/951454574.db2.gz ZCSUEAJPWIITSG-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1cncnc1)Nc1nccnc1-c1nnn[n-]1 ZINC001575047193 951455074 /nfs/dbraw/zinc/45/50/74/951455074.db2.gz ZCSUEAJPWIITSG-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1cncnc1)Nc1nccnc1-c1nn[n-]n1 ZINC001575047193 951455079 /nfs/dbraw/zinc/45/50/79/951455079.db2.gz ZCSUEAJPWIITSG-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)c1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575051933 951457178 /nfs/dbraw/zinc/45/71/78/951457178.db2.gz BHXSGCYRMZBAKZ-MRVPVSSYSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)c1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575051933 951457180 /nfs/dbraw/zinc/45/71/80/951457180.db2.gz BHXSGCYRMZBAKZ-MRVPVSSYSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@@H](CNC(=O)c1cnns1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575054662 951495858 /nfs/dbraw/zinc/49/58/58/951495858.db2.gz JTCIAWVHEZAIOU-ZETCQYMHSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@@H](CNC(=O)c1cnns1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575054662 951495863 /nfs/dbraw/zinc/49/58/63/951495863.db2.gz JTCIAWVHEZAIOU-ZETCQYMHSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@@H](CNC(=O)c1cnon1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575055035 951498217 /nfs/dbraw/zinc/49/82/17/951498217.db2.gz MEGYHOWWYRSIQG-ZETCQYMHSA-N -1 1 330.312 -0.706 20 0 EBADMM C[C@H](CNC(=O)c1csnn1)CNc1nccnc1-c1nnn[n-]1 ZINC001575060643 951545187 /nfs/dbraw/zinc/54/51/87/951545187.db2.gz BTZCNSKTBQKHJJ-ZETCQYMHSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@H](CNC(=O)c1csnn1)CNc1nccnc1-c1nn[n-]n1 ZINC001575060643 951545192 /nfs/dbraw/zinc/54/51/92/951545192.db2.gz BTZCNSKTBQKHJJ-ZETCQYMHSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@H](CNC(=O)c1n[nH]cc1F)Nc1nccnc1-c1nnn[n-]1 ZINC001575060777 951547582 /nfs/dbraw/zinc/54/75/82/951547582.db2.gz GJFWAGWDDHECII-ZCFIWIBFSA-N -1 1 332.303 -0.251 20 0 EBADMM C[C@H](CNC(=O)c1n[nH]cc1F)Nc1nccnc1-c1nn[n-]n1 ZINC001575060777 951547587 /nfs/dbraw/zinc/54/75/87/951547587.db2.gz GJFWAGWDDHECII-ZCFIWIBFSA-N -1 1 332.303 -0.251 20 0 EBADMM C[C@@H](CNC(=O)c1ncccn1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001575067150 951604952 /nfs/dbraw/zinc/60/49/52/951604952.db2.gz IWHZLVRDHKUREM-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1ncccn1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575067150 951604962 /nfs/dbraw/zinc/60/49/62/951604962.db2.gz IWHZLVRDHKUREM-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1ncccn1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575067694 951618333 /nfs/dbraw/zinc/61/83/33/951618333.db2.gz OEMTVIPYKXVKCX-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1ncccn1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575067694 951618339 /nfs/dbraw/zinc/61/83/39/951618339.db2.gz OEMTVIPYKXVKCX-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1ncn(C)n1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575067859 951625232 /nfs/dbraw/zinc/62/52/32/951625232.db2.gz PTHPLHYLPMBQLW-QMMMGPOBSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@@H](CNC(=O)c1ncn(C)n1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575067859 951625237 /nfs/dbraw/zinc/62/52/37/951625237.db2.gz PTHPLHYLPMBQLW-QMMMGPOBSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@H](CNC(=O)c1ncccn1)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001575067873 951625569 /nfs/dbraw/zinc/62/55/69/951625569.db2.gz QBDUMMPLYFMNJQ-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@H](CNC(=O)c1ncccn1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001575067873 951625574 /nfs/dbraw/zinc/62/55/74/951625574.db2.gz QBDUMMPLYFMNJQ-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)CCCC(N)=O ZINC001575133370 952103809 /nfs/dbraw/zinc/10/38/09/952103809.db2.gz KIYDTACJXSDZED-VIFPVBQESA-N -1 1 347.383 -0.429 20 0 EBADMM C[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)CCCC(N)=O ZINC001575133370 952103814 /nfs/dbraw/zinc/10/38/14/952103814.db2.gz KIYDTACJXSDZED-VIFPVBQESA-N -1 1 347.383 -0.429 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1cncn1C ZINC001575143624 952154648 /nfs/dbraw/zinc/15/46/48/952154648.db2.gz BRJMBTJYLNAPBS-SECBINFHSA-N -1 1 342.367 -0.615 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1n[nH]cc1F ZINC001575144312 952165194 /nfs/dbraw/zinc/16/51/94/952165194.db2.gz HSRWYLUCYRGBGR-ZETCQYMHSA-N -1 1 346.330 -0.487 20 0 EBADMM C[C@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)[C@H]1COCCO1 ZINC001575153438 952210367 /nfs/dbraw/zinc/21/03/67/952210367.db2.gz HLAAGWBKPQIZFO-MWLCHTKSSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)[C@H]1COCCO1 ZINC001575153438 952210370 /nfs/dbraw/zinc/21/03/70/952210370.db2.gz HLAAGWBKPQIZFO-MWLCHTKSSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)CCCC(N)=O ZINC001575154361 952221342 /nfs/dbraw/zinc/22/13/42/952221342.db2.gz RCFQYVHNVDQMRT-SECBINFHSA-N -1 1 347.383 -0.429 20 0 EBADMM C[C@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)CCCC(N)=O ZINC001575154361 952221349 /nfs/dbraw/zinc/22/13/49/952221349.db2.gz RCFQYVHNVDQMRT-SECBINFHSA-N -1 1 347.383 -0.429 20 0 EBADMM C[C@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)c1cnn(C)n1 ZINC001575154377 952222379 /nfs/dbraw/zinc/22/23/79/952222379.db2.gz BWSSJNPWCIJYNZ-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)c1cnn(C)n1 ZINC001575154377 952222385 /nfs/dbraw/zinc/22/23/85/952222385.db2.gz BWSSJNPWCIJYNZ-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)[C@@H]1COC(=O)N1 ZINC001575154712 952228961 /nfs/dbraw/zinc/22/89/61/952228961.db2.gz VDNAAVMGTFUXOD-CBAPKCEASA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)[C@@H]1COC(=O)N1 ZINC001575154712 952228972 /nfs/dbraw/zinc/22/89/72/952228972.db2.gz VDNAAVMGTFUXOD-CBAPKCEASA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)[C@@H]1COC(=O)N1 ZINC001575154711 952230312 /nfs/dbraw/zinc/23/03/12/952230312.db2.gz VDNAAVMGTFUXOD-APPZFPTMSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)[C@@H]1COC(=O)N1 ZINC001575154711 952230320 /nfs/dbraw/zinc/23/03/20/952230320.db2.gz VDNAAVMGTFUXOD-APPZFPTMSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)[C@@H]1CCOC1 ZINC001575155165 952234482 /nfs/dbraw/zinc/23/44/82/952234482.db2.gz WZKOSXQMKHHUEV-NXEZZACHSA-N -1 1 332.368 -0.048 20 0 EBADMM C[C@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)[C@@H]1CCOC1 ZINC001575155165 952234486 /nfs/dbraw/zinc/23/44/86/952234486.db2.gz WZKOSXQMKHHUEV-NXEZZACHSA-N -1 1 332.368 -0.048 20 0 EBADMM O=C([O-])[C@@H]1CC[C@H]1C(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC001595179913 952248001 /nfs/dbraw/zinc/24/80/01/952248001.db2.gz QMWJJADOZPXWRA-BFHYXJOUSA-N -1 1 325.409 -0.380 20 0 EBADMM C[C@@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)Cc1nnc[nH]1 ZINC001575157377 952264072 /nfs/dbraw/zinc/26/40/72/952264072.db2.gz LZXHLRVLQXZXGL-QMMMGPOBSA-N -1 1 343.355 -0.724 20 0 EBADMM C[C@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)CCCC(N)=O ZINC001575157699 952266383 /nfs/dbraw/zinc/26/63/83/952266383.db2.gz OVNMSBIRWJEOSO-SECBINFHSA-N -1 1 347.383 -0.429 20 0 EBADMM C[C@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)CCCC(N)=O ZINC001575157699 952266387 /nfs/dbraw/zinc/26/63/87/952266387.db2.gz OVNMSBIRWJEOSO-SECBINFHSA-N -1 1 347.383 -0.429 20 0 EBADMM O=C([O-])[C@]12COC[C@H]1CN(C(=O)NCCCCN1CCOCC1)C2 ZINC001594757201 953472978 /nfs/dbraw/zinc/47/29/78/953472978.db2.gz MBOUXSMOHVNAPH-CZUORRHYSA-N -1 1 341.408 -0.159 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)C(=O)N2CCO[C@@H](C(=O)[O-])C2)CN1C1CC1 ZINC001589288081 953581156 /nfs/dbraw/zinc/58/11/56/953581156.db2.gz AMVWKLNWIUQECS-CKYFFXLPSA-N -1 1 325.365 -0.960 20 0 EBADMM O=C([O-])C1(C(=O)NC[C@H]2CN3CCC2CC3)CCS(=O)(=O)CC1 ZINC001594858789 954258906 /nfs/dbraw/zinc/25/89/06/954258906.db2.gz BUVCBWIDXMNNEJ-LBPRGKRZSA-N -1 1 344.433 -0.276 20 0 EBADMM C[C@H]1CN2CCN1C[C@@H]2C(=O)Nc1nc2n(c1C(=O)[O-])CCOC2 ZINC001589406089 954463739 /nfs/dbraw/zinc/46/37/39/954463739.db2.gz GGPFLDKZLKIWMT-VHSXEESVSA-N -1 1 335.364 -0.562 20 0 EBADMM CN(Cc1nnc[nH]1)C(=O)C(=O)Nc1ccn(C(C)(C)C(=O)[O-])n1 ZINC001593765638 954515471 /nfs/dbraw/zinc/51/54/71/954515471.db2.gz YUIMFCMKIGOKNB-UHFFFAOYSA-N -1 1 335.324 -0.582 20 0 EBADMM CN1CCN(C2CN(C(=O)[C@@H]3CO[C@H](CCC(=O)[O-])C3)C2)CC1 ZINC001593785529 954698322 /nfs/dbraw/zinc/69/83/22/954698322.db2.gz XJLKDDYRVAXVSS-GXTWGEPZSA-N -1 1 325.409 -0.286 20 0 EBADMM O=C([O-])[C@H]1CS(=O)(=O)CCN1C(=O)CCCCc1c[nH]nn1 ZINC001594960182 955008712 /nfs/dbraw/zinc/00/87/12/955008712.db2.gz RKQOQAZMSZBNEE-SNVBAGLBSA-N -1 1 330.366 -0.772 20 0 EBADMM COC(=O)[C@H]1CN(CC(=O)[O-])[C@H](C)CN1CC(=O)OC(C)(C)C ZINC001593828411 955124431 /nfs/dbraw/zinc/12/44/31/955124431.db2.gz PCTHJZNELANUPW-GHMZBOCLSA-N -1 1 330.381 -0.040 20 0 EBADMM C[C@@H](CCN1CCN(C)CC1)NS(=O)(=O)N1CCC[C@H]1C(=O)[O-] ZINC001589014339 955419149 /nfs/dbraw/zinc/41/91/49/955419149.db2.gz VDZAQGOAWNTEES-STQMWFEESA-N -1 1 348.469 -0.604 20 0 EBADMM CC(C)[C@H](CNC(=O)C(=O)NC[C@H](C)C(=O)[O-])N1CCN(C)CC1 ZINC001589036835 955557012 /nfs/dbraw/zinc/55/70/12/955557012.db2.gz NFIODYCDEGSZCL-STQMWFEESA-N -1 1 342.440 -0.789 20 0 EBADMM Cn1cnnc1CN1CCC[C@H](NC(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC001594559213 955792988 /nfs/dbraw/zinc/79/29/88/955792988.db2.gz ATDUPJQUONZFSZ-IONNQARKSA-N -1 1 335.286 -0.089 20 0 EBADMM C[C@H](CS(C)(=O)=O)N(C)C(=O)NC1CCN(CC(=O)[O-])CC1 ZINC001589075918 955811019 /nfs/dbraw/zinc/81/10/19/955811019.db2.gz ATVVSPVLRGCTJD-SNVBAGLBSA-N -1 1 335.426 -0.390 20 0 EBADMM C[C@](O)(CNC(=O)Cn1c(=O)c2ccccc2[nH]c1=S)C(=O)[O-] ZINC001589140380 956264103 /nfs/dbraw/zinc/26/41/03/956264103.db2.gz JVVMUPRIWCLUJJ-AWEZNQCLSA-N -1 1 337.357 -0.363 20 0 EBADMM COCCN(C)CCNC(=O)C(=O)N1CC[C@H](C(=O)[O-])[C@H](C)C1 ZINC001593995867 956896743 /nfs/dbraw/zinc/89/67/43/956896743.db2.gz ZEIOAZKRFPXOQJ-NEPJUHHUSA-N -1 1 329.397 -0.750 20 0 EBADMM COCCN(CCC(=O)[O-])C(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC001594004714 956953412 /nfs/dbraw/zinc/95/34/12/956953412.db2.gz RWNPLAHIXTULEJ-CHWSQXEVSA-N -1 1 330.429 -0.247 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)N2CCC[C@H](CC(=O)[O-])C2)C1 ZINC001594014998 957024658 /nfs/dbraw/zinc/02/46/58/957024658.db2.gz NHXSZXIUCRWKBJ-OLZOCXBDSA-N -1 1 341.408 -0.463 20 0 EBADMM O=C([O-])c1ccccc1CC(=O)N1CCC(O)(c2nn[nH]n2)CC1 ZINC001574810974 957876850 /nfs/dbraw/zinc/87/68/50/957876850.db2.gz RJTRNLGMMDMYLN-UHFFFAOYSA-N -1 1 331.332 -0.049 20 0 EBADMM O=C([O-])c1cccc(CCNC(=O)NCC(=O)N2CCNCC2)c1 ZINC000391691651 972358019 /nfs/dbraw/zinc/35/80/19/972358019.db2.gz LBVFBMZIOCIYNF-UHFFFAOYSA-N -1 1 334.376 -0.342 20 0 EBADMM C[C@@H](NC(=O)NCCOCCOCC(=O)[O-])[C@@H]1CN(C)CCN1C ZINC001603232791 972358690 /nfs/dbraw/zinc/35/86/90/972358690.db2.gz XVWDAFXPMBIOFG-OLZOCXBDSA-N -1 1 346.428 -0.962 20 0 EBADMM CC(C)CN(Cc1nn[nH]n1)C(=O)[C@H]1CCCN(CC(=O)[O-])C1=O ZINC001573523322 959135109 /nfs/dbraw/zinc/13/51/09/959135109.db2.gz YEJLALYVTQRIGB-JTQLQIEISA-N -1 1 338.368 -0.493 20 0 EBADMM CC(C)(C)OC(=O)CNC(=O)c1cc(C(=O)NCC(=O)[O-])[nH]n1 ZINC001588670973 960523550 /nfs/dbraw/zinc/52/35/50/960523550.db2.gz UIERRVPWVFILGJ-UHFFFAOYSA-N -1 1 326.309 -0.704 20 0 EBADMM CS[C@H]1CN(S(=O)(=O)N2CCC[C@H]2C(=O)[O-])C[C@H]1N(C)C ZINC001604957409 972532435 /nfs/dbraw/zinc/53/24/35/972532435.db2.gz KIYAOSLRCBKXLD-AXFHLTTASA-N -1 1 337.467 -0.242 20 0 EBADMM Cn1ncc(C(=O)N2CCC[C@@H](NC(=O)C(F)(F)F)[C@H]2C(=O)[O-])n1 ZINC001574467889 961595526 /nfs/dbraw/zinc/59/55/26/961595526.db2.gz XARNHRIBECFOSL-SVRRBLITSA-N -1 1 349.269 -0.449 20 0 EBADMM O=C([O-])C1=NO[C@@H](C(=O)N2CCCN(C[C@@H]3CCCO3)CC2)C1 ZINC001574640225 962338743 /nfs/dbraw/zinc/33/87/43/962338743.db2.gz LZEQCIYQIVOKMX-WCQYABFASA-N -1 1 325.365 -0.071 20 0 EBADMM Cn1nnnc1CNC1CC2(C1)CC(NC(=O)[C@@H]1C[C@@H]1C(=O)[O-])C2 ZINC001571221389 962540648 /nfs/dbraw/zinc/54/06/48/962540648.db2.gz JQXCCUAFDMOJNV-PRFPDFQISA-N -1 1 334.380 -0.552 20 0 EBADMM C[C@]1(C2CCN([C@H]3CCN(CC(=O)[O-])C3=O)CC2)COC(=O)N1 ZINC001589240627 969931551 /nfs/dbraw/zinc/93/15/51/969931551.db2.gz YDYJEAAPPLXWNH-XHDPSFHLSA-N -1 1 325.365 -0.118 20 0 EBADMM O=C([O-])[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1C[C@@H]1CNC(=O)C1 ZINC001606555109 970336681 /nfs/dbraw/zinc/33/66/81/970336681.db2.gz FJYJYHNLKGRLHU-XKSSXDPKSA-N -1 1 337.298 -0.281 20 0 EBADMM O=C([O-])CN1CCN(C(=O)[C@@H]2CC(=O)N(CC(F)(F)F)C2)CC1 ZINC000319497808 970542152 /nfs/dbraw/zinc/54/21/52/970542152.db2.gz FVHRUHTYNVZFSQ-SECBINFHSA-N -1 1 337.298 -0.374 20 0 EBADMM CN1C(=O)c2ccccc2[C@@H]1CNCCS(=O)(=O)CC(=O)[O-] ZINC001604201510 972655995 /nfs/dbraw/zinc/65/59/95/972655995.db2.gz VLSPVSYTFPLKPG-LBPRGKRZSA-N -1 1 326.374 -0.098 20 0 EBADMM O=C([O-])[C@]1(C(=O)N[C@@H]2CCN(CCN3CCOCC3)C2)CCCO1 ZINC001605922600 972807980 /nfs/dbraw/zinc/80/79/80/972807980.db2.gz DJYAAPPYVNVRJA-CZUORRHYSA-N -1 1 341.408 -0.857 20 0 EBADMM C[C@@H]1CN(S(=O)(=O)CCCN2CCN(C)CC2)CC[C@@H]1C(=O)[O-] ZINC001603463874 973324725 /nfs/dbraw/zinc/32/47/25/973324725.db2.gz MHLDYXNNWMIQMD-KGLIPLIRSA-N -1 1 347.481 -0.004 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CCC[C@@H](NC(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC001605394389 973370472 /nfs/dbraw/zinc/37/04/72/973370472.db2.gz JSSZCHPNPXUHDT-SVRRBLITSA-N -1 1 349.269 -0.151 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CCC[C@H](NC(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC001605394390 973370594 /nfs/dbraw/zinc/37/05/94/973370594.db2.gz JSSZCHPNPXUHDT-XPUUQOCRSA-N -1 1 349.269 -0.151 20 0 EBADMM COC[C@]1(C(=O)[O-])CN(C(=O)C2CN([C@@H]3CCOC3)C2)CCO1 ZINC001604550436 973555992 /nfs/dbraw/zinc/55/59/92/973555992.db2.gz IDXNSCYSSJWHLQ-DOMZBBRYSA-N -1 1 328.365 -0.964 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@H]2CCC3N=NC(=O)N3C2)CC1 ZINC001592406216 977983072 /nfs/dbraw/zinc/98/30/72/977983072.db2.gz PGHJEOOYUGKGHS-QWRGUYRKSA-N -1 1 337.380 -0.447 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)CCS(=O)(=O)N(C)C)CC1 ZINC001592410791 978005021 /nfs/dbraw/zinc/00/50/21/978005021.db2.gz QOCKCULHVHAQLH-NSHDSACASA-N -1 1 335.426 -0.725 20 0 EBADMM C[C@H](C[C@H](C)Nc1ccnc(C(=O)[O-])n1)NC(=O)Cc1nnc[nH]1 ZINC001592454284 978162425 /nfs/dbraw/zinc/16/24/25/978162425.db2.gz VHGAZWNCYFNKJS-DTWKUNHWSA-N -1 1 333.352 -0.347 20 0 EBADMM C[C@@H](CN(C)C(=O)CCc1nc[nH]n1)Nc1ccnc(C(=O)[O-])n1 ZINC001592568398 978462695 /nfs/dbraw/zinc/46/26/95/978462695.db2.gz YOXCXFDBDWBAEC-VIFPVBQESA-N -1 1 333.352 -0.394 20 0 EBADMM CC[C@@H](C)[C@@H](NC(=O)CN1CCN(CCO)C(C)(C)C1)C(=O)[O-] ZINC001594894075 982314639 /nfs/dbraw/zinc/31/46/39/982314639.db2.gz MDUCUVKIYZYBMC-TZMCWYRMSA-N -1 1 329.441 -0.010 20 0 EBADMM CCCNC(=O)CN(C)C(=O)CN1CCSC[C@@H](C(=O)[O-])C1 ZINC001595921818 983034167 /nfs/dbraw/zinc/03/41/67/983034167.db2.gz DLFCCXXBBUIKPP-NSHDSACASA-N -1 1 331.438 -0.279 20 0 EBADMM CCCNC(=O)CN(C)C(=O)CN1CCN(CCC(=O)[O-])C[C@H]1C ZINC001595922603 983041518 /nfs/dbraw/zinc/04/15/18/983041518.db2.gz QFITWOAFMQNHNB-CYBMUJFWSA-N -1 1 342.440 -0.548 20 0 EBADMM CCN(CC)S(=O)(=O)CC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001596278339 983699538 /nfs/dbraw/zinc/69/95/38/983699538.db2.gz VLSMTQFAOGSIKL-JTQLQIEISA-N -1 1 332.382 -0.807 20 0 EBADMM CC[N@@H+]1CCO[C@H](C(=O)N2C[C@@H](C(=O)[O-])C3(CC(C(=O)[O-])C3)C2)C1 ZINC001596442578 984138106 /nfs/dbraw/zinc/13/81/06/984138106.db2.gz NGGWYNAQOYVPEE-SLMGRZLQSA-N -1 1 340.376 -0.269 20 0 EBADMM CC[N@H+]1CCO[C@H](C(=O)N2C[C@@H](C(=O)[O-])C3(CC(C(=O)[O-])C3)C2)C1 ZINC001596442578 984138110 /nfs/dbraw/zinc/13/81/10/984138110.db2.gz NGGWYNAQOYVPEE-SLMGRZLQSA-N -1 1 340.376 -0.269 20 0 EBADMM CC[N@@H+]1CCO[C@H](C(=O)N2C[C@H](C(=O)[O-])C3(CC(C(=O)[O-])C3)C2)C1 ZINC001596442576 984138302 /nfs/dbraw/zinc/13/83/02/984138302.db2.gz NGGWYNAQOYVPEE-QNGPIJNLSA-N -1 1 340.376 -0.269 20 0 EBADMM CC[N@H+]1CCO[C@H](C(=O)N2C[C@H](C(=O)[O-])C3(CC(C(=O)[O-])C3)C2)C1 ZINC001596442576 984138303 /nfs/dbraw/zinc/13/83/03/984138303.db2.gz NGGWYNAQOYVPEE-QNGPIJNLSA-N -1 1 340.376 -0.269 20 0 EBADMM CCOC(=O)[C@@H]1CCCCN1C(=O)CN1CC[C@](O)(C(=O)[O-])C1 ZINC001596570767 984465223 /nfs/dbraw/zinc/46/52/23/984465223.db2.gz SUIXELPNMWXVKC-XHDPSFHLSA-N -1 1 328.365 -0.548 20 0 EBADMM CCOC(=O)c1c(C)[n-]nc1S(=O)(=O)NCC[N@@H+](C)CC(=O)[O-] ZINC001596696655 984840784 /nfs/dbraw/zinc/84/07/84/984840784.db2.gz LZBREPQRNFODKG-UHFFFAOYSA-N -1 1 348.381 -0.810 20 0 EBADMM C[C@H]1CN(C(=O)c2ccc(NS(C)(=O)=O)nc2)C[C@@H](C(=O)[O-])O1 ZINC001599924975 985187521 /nfs/dbraw/zinc/18/75/21/985187521.db2.gz XCPQSBUZJAYGNF-WPRPVWTQSA-N -1 1 343.361 -0.233 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NCCC1CS(=O)(=O)C1 ZINC001594516324 985897922 /nfs/dbraw/zinc/89/79/22/985897922.db2.gz OAKFGXNZVIWESX-LLVKDONJSA-N -1 1 347.437 -0.389 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2[C@H]3CN(C(=O)[C@H]4C[C@@H]4C(=O)[O-])C[C@H]32)c1C ZINC001599967049 985925259 /nfs/dbraw/zinc/92/52/59/985925259.db2.gz AOBSWBNTDISURH-HKLXJQGRSA-N -1 1 332.360 -0.066 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1CC(=O)N=[S@@](C)(=O)N(C)C ZINC001594521849 985996595 /nfs/dbraw/zinc/99/65/95/985996595.db2.gz KHGDHHJZFLWFAL-RKFFSXRUSA-N -1 1 334.442 -0.432 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cn([C@@H]2CC[C@H]2O)nn1 ZINC001594523079 986021767 /nfs/dbraw/zinc/02/17/67/986021767.db2.gz ZPSSPGBGMLIFIW-CYZMBNFOSA-N -1 1 337.380 -0.405 20 0 EBADMM CCS(=O)(CC)=NS(=O)(=O)NC[C@@]1(C(=O)[O-])CCOC1 ZINC001597245658 987224710 /nfs/dbraw/zinc/22/47/10/987224710.db2.gz KUAJTIKKKSDUBJ-JTQLQIEISA-N -1 1 328.412 -0.180 20 0 EBADMM CCS(=O)(CC)=NS(=O)(=O)N[C@@]1(CC(=O)[O-])CCOC1 ZINC001597246701 987229158 /nfs/dbraw/zinc/22/91/58/987229158.db2.gz XTBOLSSEZXIRRJ-SNVBAGLBSA-N -1 1 328.412 -0.038 20 0 EBADMM C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CC[C@H](C(=O)[O-])[C@H]1C ZINC001589553844 987295275 /nfs/dbraw/zinc/29/52/75/987295275.db2.gz SYBSOKSBNGFNJV-HLTSFMKQSA-N -1 1 338.364 -0.968 20 0 EBADMM CSCC[C@H](NC(N)=O)C(=O)N1CCN(CCC(=O)[O-])[C@@H](C)C1 ZINC001599801554 988185952 /nfs/dbraw/zinc/18/59/52/988185952.db2.gz NGTKUDOYZMDTIW-QWRGUYRKSA-N -1 1 346.453 -0.216 20 0 EBADMM C[C@@H]1CNCCN1C(=O)C(=O)N1CC[C@](C(=O)[O-])(C(F)(F)F)C1 ZINC001599872948 989378318 /nfs/dbraw/zinc/37/83/18/989378318.db2.gz PEABEDOFVKFENG-PELKAZGASA-N -1 1 337.298 -0.328 20 0 EBADMM C[C@@H]1C[C@H](C(=O)N2CCSC[C@@H]2c2nn[nH]n2)O[C@H]1C(=O)[O-] ZINC001599873804 989391306 /nfs/dbraw/zinc/39/13/06/989391306.db2.gz INSXBLNVYBQDIQ-FNCVBFRFSA-N -1 1 327.366 -0.306 20 0 EBADMM CCc1onc(C)c1NC(=O)C(=O)N1CCN(C)C[C@H](C(=O)[O-])C1 ZINC001597815965 989443938 /nfs/dbraw/zinc/44/39/38/989443938.db2.gz MIRVWJNFXTUINA-JTQLQIEISA-N -1 1 338.364 -0.041 20 0 EBADMM C[C@@H]1C[C@H](C(=O)[O-])CCN1CCNS(=O)(=O)c1cnn(C)c1 ZINC001599878719 989454156 /nfs/dbraw/zinc/45/41/56/989454156.db2.gz JBKMKNLQWDJROF-GHMZBOCLSA-N -1 1 330.410 -0.117 20 0 EBADMM CC(C)(CCNS(=O)(=O)c1cc(C(=O)[O-])no1)S(C)(=O)=O ZINC001590689468 990404924 /nfs/dbraw/zinc/40/49/24/990404924.db2.gz KQCOBTUWAAVFIJ-UHFFFAOYSA-N -1 1 340.379 -0.136 20 0 EBADMM CC(C)(CO)N1CCN(C(=O)CN2CCC[C@@H](C(=O)[O-])C2=O)CC1 ZINC001590783994 990603055 /nfs/dbraw/zinc/60/30/55/990603055.db2.gz ZQNNXXRVTCISRX-GFCCVEGCSA-N -1 1 341.408 -0.775 20 0 EBADMM CC(C)(N)c1cc(C(=O)N(CCN2CCOCC2)CC(=O)[O-])on1 ZINC001590832253 990682822 /nfs/dbraw/zinc/68/28/22/990682822.db2.gz NJECTEYZQZWYDX-UHFFFAOYSA-N -1 1 340.380 -0.273 20 0 EBADMM CN(CC(=O)N1CCNCC1)S(=O)(=O)CCCCCC(=O)[O-] ZINC001598380337 991579909 /nfs/dbraw/zinc/57/99/09/991579909.db2.gz DGHSICYPLUUZDE-UHFFFAOYSA-N -1 1 335.426 -0.675 20 0 EBADMM CN(CC(=O)N1CCNCC1)C(=O)c1cccc(OCC(=O)[O-])c1 ZINC001598380974 991588847 /nfs/dbraw/zinc/58/88/47/991588847.db2.gz UJQQYVJXSDIVDX-UHFFFAOYSA-N -1 1 335.360 -0.346 20 0 EBADMM CN(CC(=O)N[C@H](C[C@@H]1CCCO1)C(=O)[O-])c1ncnc2[nH]cnc21 ZINC001598387849 991625221 /nfs/dbraw/zinc/62/52/21/991625221.db2.gz XEENROMYGXNBKM-VHSXEESVSA-N -1 1 348.363 -0.072 20 0 EBADMM COc1ncc(C(=O)N(CCN2CCN(C)CC2)CC(=O)[O-])cn1 ZINC001599733658 991742394 /nfs/dbraw/zinc/74/23/94/991742394.db2.gz XFZJIDVEGUKLCO-UHFFFAOYSA-N -1 1 337.380 -0.741 20 0 EBADMM CS(=O)(=O)Cc1n[n-]c(C[NH2+][C@@H]2C[C@@H]3C[C@@H](C(=O)[O-])C[C@@H]23)n1 ZINC001599753417 992020898 /nfs/dbraw/zinc/02/08/98/992020898.db2.gz PPSWDVLJAWAZAF-SGIHWFKDSA-N -1 1 328.394 -0.062 20 0 EBADMM CS(=O)(=O)Nc1ccc(C(=O)N[C@]2(C(=O)[O-])CCOC2)cn1 ZINC001599760854 992120134 /nfs/dbraw/zinc/12/01/34/992120134.db2.gz PUKWKQYCOKMNGE-GFCCVEGCSA-N -1 1 329.334 -0.573 20 0 EBADMM CS(=O)(=O)Nc1ccc(C(=O)NC[C@]2(C(=O)[O-])CCOC2)cn1 ZINC001599761305 992125241 /nfs/dbraw/zinc/12/52/41/992125241.db2.gz VNANRHYQKOGWSF-CYBMUJFWSA-N -1 1 343.361 -0.326 20 0 EBADMM CN1C(=O)N[C@@H](c2ccccc2)C(C(=O)[O-])=C1Cn1nnnc1CN ZINC001598555486 993051559 /nfs/dbraw/zinc/05/15/59/993051559.db2.gz NPUZESPWRXCCEK-ZDUSSCGKSA-N -1 1 343.347 -0.133 20 0 EBADMM Cn1ccc(-c2cc(C(=O)N3CC(=O)N(C)C[C@H]3C(=O)[O-])n[nH]2)c1 ZINC001598565401 993138220 /nfs/dbraw/zinc/13/82/20/993138220.db2.gz UAWQBSFLIPXULW-LBPRGKRZSA-N -1 1 331.332 -0.217 20 0 EBADMM CN1CCN(C)[C@@H](CNC(=O)NCCc2ncc(C(=O)[O-])s2)C1 ZINC001598613984 993842867 /nfs/dbraw/zinc/84/28/67/993842867.db2.gz IFRZGQPSAZISFD-JTQLQIEISA-N -1 1 341.437 -0.071 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)c2ccc3nncn3c2)CC1 ZINC001598615278 993867271 /nfs/dbraw/zinc/86/72/71/993867271.db2.gz ARQVBCVXSPOMLY-UHFFFAOYSA-N -1 1 346.391 -0.497 20 0 EBADMM CN(CCCN1CCN(C)CC1)S(=O)(=O)c1n[nH]cc1C(=O)[O-] ZINC001598615505 993872364 /nfs/dbraw/zinc/87/23/64/993872364.db2.gz FTGQCRVHUBLFIC-UHFFFAOYSA-N -1 1 345.425 -0.634 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)[C@H]2CCc3c[nH]nc3C2)CC1 ZINC001598617727 993924192 /nfs/dbraw/zinc/92/41/92/993924192.db2.gz DVNYVRZEHGLLGK-ZDUSSCGKSA-N -1 1 349.435 -0.325 20 0 EBADMM CN(C)C(=O)CCCC(=O)N(CCN1CCN(C)CC1)CC(=O)[O-] ZINC001598617919 993929230 /nfs/dbraw/zinc/92/92/30/993929230.db2.gz LLJWHPHDCOEVDO-UHFFFAOYSA-N -1 1 342.440 -0.595 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)[C@H]2CCCC(=O)N2C)CC1 ZINC001598618310 993937821 /nfs/dbraw/zinc/93/78/21/993937821.db2.gz ZMEBRUYCINGFQR-CYBMUJFWSA-N -1 1 340.424 -0.842 20 0 EBADMM CN1CCN(S(=O)(=O)Cc2noc(C3CC3)n2)C[C@@H](C(=O)[O-])C1 ZINC001598620628 994000084 /nfs/dbraw/zinc/00/00/84/994000084.db2.gz GEFBJDMRHWERIR-JTQLQIEISA-N -1 1 344.393 -0.275 20 0 EBADMM CNc1ncc(C(=O)N(CCN2CCN(C)CC2)CC(=O)[O-])s1 ZINC001598681425 994934911 /nfs/dbraw/zinc/93/49/11/994934911.db2.gz RCIVEQQCRKEHJD-UHFFFAOYSA-N -1 1 341.437 -0.041 20 0 EBADMM C[C@H](O)CN1CCN(C(=O)NCCn2cc(C(=O)[O-])nn2)[C@H](C)C1 ZINC001593462742 995389041 /nfs/dbraw/zinc/38/90/41/995389041.db2.gz ONTXLJVHKMGWNG-MNOVXSKESA-N -1 1 340.384 -0.927 20 0 EBADMM C[C@@H](O)CN1CCN(C(=O)NCCn2cc(C(=O)[O-])nn2)[C@@H](C)C1 ZINC001593462744 995389176 /nfs/dbraw/zinc/38/91/76/995389176.db2.gz ONTXLJVHKMGWNG-WDEREUQCSA-N -1 1 340.384 -0.927 20 0 EBADMM C[C@H](O)CN1CCN(C(=O)NCCn2cc(C(=O)[O-])nn2)[C@@H](C)C1 ZINC001593462743 995389561 /nfs/dbraw/zinc/38/95/61/995389561.db2.gz ONTXLJVHKMGWNG-QWRGUYRKSA-N -1 1 340.384 -0.927 20 0 EBADMM COC(=O)[C@H]1CN(C(=O)CCSc2nnc(C)[nH]2)C[C@@H]1C(=O)[O-] ZINC001598808226 996207052 /nfs/dbraw/zinc/20/70/52/996207052.db2.gz DKCJECPODLAHIK-IUCAKERBSA-N -1 1 342.377 -0.072 20 0 EBADMM COC(=O)[C@@H]1CN(CC(=O)N(C)Cc2cnn(C)c2)C[C@H]1C(=O)[O-] ZINC001598823727 996359087 /nfs/dbraw/zinc/35/90/87/996359087.db2.gz WWYCWPHLPWEMTB-VXGBXAGGSA-N -1 1 338.364 -0.816 20 0 EBADMM COC(=O)[C@H]1CSCCN([C@@H]2CCN(CCC(=O)[O-])C2=O)C1 ZINC001598827120 996394957 /nfs/dbraw/zinc/39/49/57/996394957.db2.gz XLIUYRXIJKAALA-GHMZBOCLSA-N -1 1 330.406 -0.100 20 0 EBADMM COC(=O)[C@H]1CSCCN([C@H]2CCN(CCC(=O)[O-])C2=O)C1 ZINC001598827121 996395181 /nfs/dbraw/zinc/39/51/81/996395181.db2.gz XLIUYRXIJKAALA-MNOVXSKESA-N -1 1 330.406 -0.100 20 0 EBADMM COC(=O)CC[C@H](C(=O)[O-])N1CCC[C@@H](S(=O)(=O)N(C)C)C1 ZINC001598847413 996577294 /nfs/dbraw/zinc/57/72/94/996577294.db2.gz LHKHENKLBMGBNS-GHMZBOCLSA-N -1 1 336.410 -0.251 20 0 EBADMM COC[C@]1(C(=O)NCCOc2ccc(C(=O)[O-])cc2)CNCCO1 ZINC001599184203 996997047 /nfs/dbraw/zinc/99/70/47/996997047.db2.gz MQFLHXQOMIPJPM-INIZCTEOSA-N -1 1 338.360 -0.115 20 0 EBADMM COc1ccc(C(=O)[O-])cc1S(=O)(=O)NCc1n[nH]c(=O)n1C ZINC001599502939 997158249 /nfs/dbraw/zinc/15/82/49/997158249.db2.gz DCZCPRZOAYLIIY-UHFFFAOYSA-N -1 1 342.333 -0.294 20 0 EBADMM COC[C@@]1(C(=O)[O-])CC[N@H+]([C@@H]2CCCN(CCC(=O)[O-])C2=O)C1 ZINC001599195771 997212166 /nfs/dbraw/zinc/21/21/66/997212166.db2.gz UBCPTBRBPKCSRJ-IAQYHMDHSA-N -1 1 328.365 -0.125 20 0 EBADMM COCCCCCNC(=O)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC001599222459 997695711 /nfs/dbraw/zinc/69/57/11/997695711.db2.gz FKJWHHPZKZISOV-UHFFFAOYSA-N -1 1 343.424 -0.073 20 0 EBADMM COC(=O)c1cc(NC(=O)C(=O)N2CCNC[C@@H]2C)ccc1C(=O)[O-] ZINC001598937088 997888496 /nfs/dbraw/zinc/88/84/96/997888496.db2.gz JUFSTHXFYNQNKF-VIFPVBQESA-N -1 1 349.343 -0.070 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)Nc2cc(C)[nH]c2C(=O)[O-])C1 ZINC001599239409 998009331 /nfs/dbraw/zinc/00/93/31/998009331.db2.gz BODIAZHSPVVSHS-JTQLQIEISA-N -1 1 338.364 -0.203 20 0 EBADMM COCCOCCc1ncc(C(=O)N2C[C@@H](C)O[C@H](C(=O)[O-])C2)[nH]1 ZINC001599251571 998241585 /nfs/dbraw/zinc/24/15/85/998241585.db2.gz MUYVUTJPIZKIKF-PWSUYJOCSA-N -1 1 341.364 -0.071 20 0 EBADMM C[N@H+](CCNC(=O)c1cnc[nH]c1=O)C[C@@H]1CCS(=O)(=O)C1 ZINC001480877891 1125800143 /nfs/dbraw/zinc/80/01/43/1125800143.db2.gz LVOCFMJORGSMLL-JTQLQIEISA-N -1 1 328.394 -0.722 20 0 EBADMM CN(C)C(=O)CC(=O)N(C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001687209948 1125817481 /nfs/dbraw/zinc/81/74/81/1125817481.db2.gz SCSZOMOIVGLDJB-LLVKDONJSA-N -1 1 334.376 -0.062 20 0 EBADMM COCCCN1CC[C@@H]1CN(C)C(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC001691066983 1125829129 /nfs/dbraw/zinc/82/91/29/1125829129.db2.gz ZHPQQTDOZLLFKM-GFCCVEGCSA-N -1 1 348.407 -0.360 20 0 EBADMM Cc1[nH]nc(C(=O)N(C)CCNCC(=O)Nc2nncs2)c1[O-] ZINC001691292675 1125848607 /nfs/dbraw/zinc/84/86/07/1125848607.db2.gz IFKWJBTXEVJLGG-UHFFFAOYSA-N -1 1 339.381 -0.424 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1c[nH]nc1[C@@H]1CCCO1 ZINC001691444396 1125880328 /nfs/dbraw/zinc/88/03/28/1125880328.db2.gz NALLXCBHRNQEMO-JTQLQIEISA-N -1 1 335.368 -0.053 20 0 EBADMM CN(CCNC(=O)c1ccc2[nH]c(=O)oc2c1)Cc1nc(=O)n(C)[n-]1 ZINC001480951502 1125892194 /nfs/dbraw/zinc/89/21/94/1125892194.db2.gz DBKDYXVRJGHOOZ-UHFFFAOYSA-N -1 1 346.347 -0.183 20 0 EBADMM CCN(CCNC(=O)C[C@@](C)(O)C1CC1)Cc1nc(=O)n(C)[n-]1 ZINC001480969342 1125901761 /nfs/dbraw/zinc/90/17/61/1125901761.db2.gz JLWBZWISTOEKPM-OAHLLOKOSA-N -1 1 325.413 -0.402 20 0 EBADMM CCN(CCNC(=O)[C@@H]1Cc2cccnc2C1)Cc1nc(=O)n(C)[n-]1 ZINC001481014162 1125907325 /nfs/dbraw/zinc/90/73/25/1125907325.db2.gz BPJUPCIMXMCGKY-CYBMUJFWSA-N -1 1 344.419 -0.144 20 0 EBADMM Cc1nonc1CC(=O)NC[C@@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001481063547 1125916122 /nfs/dbraw/zinc/91/61/22/1125916122.db2.gz SWLAKJPNHMWNPW-JTQLQIEISA-N -1 1 335.368 -0.877 20 0 EBADMM COCc1ncsc1C(=O)N(C)CCNCc1n[nH]c(=O)[n-]1 ZINC001481289815 1125966793 /nfs/dbraw/zinc/96/67/93/1125966793.db2.gz XAVPTOVHLFHIQR-UHFFFAOYSA-N -1 1 326.382 -0.025 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1cnnn1-c1ccccc1 ZINC001691494994 1126001689 /nfs/dbraw/zinc/00/16/89/1126001689.db2.gz APPLJALEXBEJAK-UHFFFAOYSA-N -1 1 342.363 -0.047 20 0 EBADMM CC(C)c1noc(CC[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)n1 ZINC001414209523 1126095645 /nfs/dbraw/zinc/09/56/45/1126095645.db2.gz CQPOPBIMMSSJQD-UHFFFAOYSA-N -1 1 343.365 -0.487 20 0 EBADMM COCC1(C(=O)N(C)[C@@H](C)CNCc2n[nH]c(=O)[n-]2)CCOCC1 ZINC001482291177 1126120855 /nfs/dbraw/zinc/12/08/55/1126120855.db2.gz CNQPJLVUVQEOEK-NSHDSACASA-N -1 1 341.412 -0.110 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)C[C@@H](C)OC)C1 ZINC001409036666 1126159951 /nfs/dbraw/zinc/15/99/51/1126159951.db2.gz GYVSCWIAPMCTDB-SSDOTTSWSA-N -1 1 340.336 -0.738 20 0 EBADMM CN(CCN(C)C(=O)COCc1ccncc1)Cc1nc(=O)n(C)[n-]1 ZINC001482638826 1126243578 /nfs/dbraw/zinc/24/35/78/1126243578.db2.gz XELUYVPIPKRRCE-UHFFFAOYSA-N -1 1 348.407 -0.390 20 0 EBADMM COCc1sccc1C(=O)NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001482741969 1126273781 /nfs/dbraw/zinc/27/37/81/1126273781.db2.gz NFWIVONSLDCNCT-QMMMGPOBSA-N -1 1 341.393 -0.401 20 0 EBADMM COCc1sccc1C(=O)NC[C@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001482741966 1126273968 /nfs/dbraw/zinc/27/39/68/1126273968.db2.gz NFWIVONSLDCNCT-MRVPVSSYSA-N -1 1 341.393 -0.401 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)CS(=O)(=O)C(C)(C)C ZINC001409423621 1126366031 /nfs/dbraw/zinc/36/60/31/1126366031.db2.gz REFGQXSLLMAILJ-IUCAKERBSA-N -1 1 347.441 -0.294 20 0 EBADMM COCC1(C(=O)NC[C@H](C)N(C)Cc2nc(=O)n(C)[n-]2)CCC1 ZINC001409573145 1126378241 /nfs/dbraw/zinc/37/82/41/1126378241.db2.gz BLKRLINZIXTRKT-NSHDSACASA-N -1 1 325.413 -0.138 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CNC(=O)c2ccccc21 ZINC001483236341 1126382268 /nfs/dbraw/zinc/38/22/68/1126382268.db2.gz YDEMKNUUFKUVMS-CABZTGNLSA-N -1 1 344.375 -0.368 20 0 EBADMM C[C@@H](CNC(=O)CCn1cncn1)CNC(=O)c1ncccc1[O-] ZINC001409655617 1126388945 /nfs/dbraw/zinc/38/89/45/1126388945.db2.gz SONNPZABERHBTQ-NSHDSACASA-N -1 1 332.364 -0.049 20 0 EBADMM C[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)CNC(=O)[C@@H]1CC1(C)C ZINC001409719902 1126400098 /nfs/dbraw/zinc/40/00/98/1126400098.db2.gz REDVRMXHCOITPQ-UWVGGRQHSA-N -1 1 346.391 -0.054 20 0 EBADMM C[C@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)c1cn(C)ccc1=O ZINC001483365247 1126420612 /nfs/dbraw/zinc/42/06/12/1126420612.db2.gz NGNSFUWLDBZQPV-SNVBAGLBSA-N -1 1 334.380 -0.943 20 0 EBADMM Cc1ccc(C(=O)N[C@H](C)CN(C)Cc2nc(=O)n(C)[n-]2)c(=O)[nH]1 ZINC001483386907 1126422409 /nfs/dbraw/zinc/42/24/09/1126422409.db2.gz DEAUVQQQZBXFQV-SNVBAGLBSA-N -1 1 334.380 -0.232 20 0 EBADMM C[C@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)CCc1ncccn1 ZINC001483398181 1126423628 /nfs/dbraw/zinc/42/36/28/1126423628.db2.gz QIHACVSLFNXSJH-LLVKDONJSA-N -1 1 333.396 -0.532 20 0 EBADMM Cc1cnc(CNCCCN(C)C(=O)c2n[nH]c(=O)[n-]c2=O)nc1 ZINC001483477873 1126430100 /nfs/dbraw/zinc/43/01/00/1126430100.db2.gz FMGXQKLFWBPUEP-UHFFFAOYSA-N -1 1 333.352 -0.367 20 0 EBADMM CN(CCCNCc1nncs1)C(=O)Cn1c(=O)[n-][nH]c1=O ZINC001483506434 1126431795 /nfs/dbraw/zinc/43/17/95/1126431795.db2.gz KSTOEVZPHREPQK-UHFFFAOYSA-N -1 1 327.370 -0.821 20 0 EBADMM Cn1cc(CNC2(CNC(=O)CCc3n[nH]c(=O)[n-]c3=O)CC2)cn1 ZINC001483747058 1126468313 /nfs/dbraw/zinc/46/83/13/1126468313.db2.gz ZEENWCMOTAKJQD-UHFFFAOYSA-N -1 1 347.379 -0.613 20 0 EBADMM COC[C@H]([N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F)C(=O)OC ZINC001365684068 1126469309 /nfs/dbraw/zinc/46/93/09/1126469309.db2.gz MIGOSEIPZHQVAG-YFKPBYRVSA-N -1 1 331.272 -0.105 20 0 EBADMM Cc1c(CCC(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001483864637 1126484416 /nfs/dbraw/zinc/48/44/16/1126484416.db2.gz LMFUTOIIMZKYFM-UHFFFAOYSA-N -1 1 347.423 -0.574 20 0 EBADMM CN(CC(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1)C(=O)C1CC1 ZINC001483932794 1126490422 /nfs/dbraw/zinc/49/04/22/1126490422.db2.gz QKTGSDLCFKTHBK-NSHDSACASA-N -1 1 336.396 -0.541 20 0 EBADMM Cc1[nH]ccc1C(=O)NC[C@@]1(O)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001484211923 1126521310 /nfs/dbraw/zinc/52/13/10/1126521310.db2.gz LVPUNAGMDRYGBE-HNNXBMFYSA-N -1 1 334.380 -0.888 20 0 EBADMM Cc1[nH]ccc1C(=O)NC[C@]1(O)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001484211924 1126521351 /nfs/dbraw/zinc/52/13/51/1126521351.db2.gz LVPUNAGMDRYGBE-OAHLLOKOSA-N -1 1 334.380 -0.888 20 0 EBADMM C/C(=C/C(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001484586335 1126605864 /nfs/dbraw/zinc/60/58/64/1126605864.db2.gz LDSXVESAZCLGMT-YLBKJLTLSA-N -1 1 335.408 -0.218 20 0 EBADMM O=C(CC1OCCCO1)NCC1(NCc2n[nH]c(=O)[n-]2)CCC1 ZINC001484631415 1126612849 /nfs/dbraw/zinc/61/28/49/1126612849.db2.gz ZBPJZOJPTRECTO-UHFFFAOYSA-N -1 1 325.369 -0.208 20 0 EBADMM COC[C@H](C)CC(=O)N1CCC(O)(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001484962590 1126656730 /nfs/dbraw/zinc/65/67/30/1126656730.db2.gz BLJUCRCNDWDREY-LLVKDONJSA-N -1 1 341.412 -0.374 20 0 EBADMM COCC(=O)NC[C@H]1c2ccccc2CCN1Cc1nc(=O)n(C)[n-]1 ZINC001485005141 1126662768 /nfs/dbraw/zinc/66/27/68/1126662768.db2.gz PXQGYLTVNSJXKN-AWEZNQCLSA-N -1 1 345.403 -0.030 20 0 EBADMM CCO[C@H](C(=O)NC[C@@H](C)N(C)Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001485299798 1126686628 /nfs/dbraw/zinc/68/66/28/1126686628.db2.gz AAUIIMIGHHLNCB-MFKMUULPSA-N -1 1 325.413 -0.140 20 0 EBADMM CCNC(=O)NC(=O)CN1CC([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001485500754 1126734208 /nfs/dbraw/zinc/73/42/08/1126734208.db2.gz NDRBFGWTMBQYIT-JTQLQIEISA-N -1 1 349.391 -0.317 20 0 EBADMM COC[C@H](C)C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC001485565954 1126753449 /nfs/dbraw/zinc/75/34/49/1126753449.db2.gz YJRVFYZHDDWXMV-QWRGUYRKSA-N -1 1 325.413 -0.283 20 0 EBADMM CN(CCOCCNC(=O)[C@@H]1CC1(C)C)Cc1nc(=O)n(C)[n-]1 ZINC001485773325 1126832794 /nfs/dbraw/zinc/83/27/94/1126832794.db2.gz MXGKWYGEKLFTOC-NSHDSACASA-N -1 1 325.413 -0.281 20 0 EBADMM CN(C(=O)c1cccn(C)c1=O)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001485831864 1126881302 /nfs/dbraw/zinc/88/13/02/1126881302.db2.gz IJLJDXJJTMXVJV-LBPRGKRZSA-N -1 1 346.391 -0.151 20 0 EBADMM C[C@@H](CN(C)C(=O)COCc1ccnn1C)NCc1n[nH]c(=O)[n-]1 ZINC001485888631 1126908192 /nfs/dbraw/zinc/90/81/92/1126908192.db2.gz JEFPCPBQGGTPTN-JTQLQIEISA-N -1 1 337.384 -0.603 20 0 EBADMM COCc1nn(C)cc1C(=O)N(C)C[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001485893425 1126910224 /nfs/dbraw/zinc/91/02/24/1126910224.db2.gz KGBWVGBBNASTQQ-SECBINFHSA-N -1 1 337.384 -0.360 20 0 EBADMM C[C@@H](CN(C)C(=O)C[C@@H]1CCCS1(=O)=O)NCc1n[nH]c(=O)[n-]1 ZINC001485907278 1126912603 /nfs/dbraw/zinc/91/26/03/1126912603.db2.gz WPFCROYFLHSYDH-UWVGGRQHSA-N -1 1 345.425 -0.586 20 0 EBADMM C[C@H](CN(C)C(=O)C(C)(C)S(C)(=O)=O)NCc1n[nH]c(=O)[n-]1 ZINC001485929681 1126922584 /nfs/dbraw/zinc/92/25/84/1126922584.db2.gz CBYMJMVTYWFZOI-MRVPVSSYSA-N -1 1 333.414 -0.730 20 0 EBADMM O=C(C[C@@H]1CCCO1)NC[C@H](CO)NCc1cc(=O)n2[n-]ccc2n1 ZINC001485976042 1126934854 /nfs/dbraw/zinc/93/48/54/1126934854.db2.gz JAPGEBJGSZHQOH-OLZOCXBDSA-N -1 1 349.391 -0.842 20 0 EBADMM Cn1cc(CN[C@H](CO)CNC(=O)c2ccc3oc(=O)nc-3[n-]2)cn1 ZINC001486049545 1127019067 /nfs/dbraw/zinc/01/90/67/1127019067.db2.gz FLIIDYRZEWDFII-JTQLQIEISA-N -1 1 346.347 -0.458 20 0 EBADMM COC[C@H](OC)C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001486242150 1127065455 /nfs/dbraw/zinc/06/54/55/1127065455.db2.gz DHANUCRUTBLDGQ-VHSXEESVSA-N -1 1 327.385 -0.502 20 0 EBADMM CCc1nnsc1C(=O)N(C)C[C@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001486284828 1127081722 /nfs/dbraw/zinc/08/17/22/1127081722.db2.gz BEAMNUCYLYNACS-SSDOTTSWSA-N -1 1 341.397 -0.853 20 0 EBADMM CN(C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)c1cncc(Cl)c1 ZINC001486305300 1127109759 /nfs/dbraw/zinc/10/97/59/1127109759.db2.gz CMKROMRTTOKXFD-JTQLQIEISA-N -1 1 340.771 -0.219 20 0 EBADMM Cc1nnc(CN(C)C[C@@H](O)CN(C)C(=O)c2ncccc2[O-])[nH]1 ZINC001486330438 1127132994 /nfs/dbraw/zinc/13/29/94/1127132994.db2.gz LYTWHPBYRLAEDH-LLVKDONJSA-N -1 1 334.380 -0.221 20 0 EBADMM Cn1ccnc1CNC[C@@H](NC(=O)c1n[nH]c(=O)[n-]c1=O)C(C)(C)C ZINC001486402090 1127168509 /nfs/dbraw/zinc/16/85/09/1127168509.db2.gz FLFALSHOOGBAQY-SECBINFHSA-N -1 1 349.395 -0.049 20 0 EBADMM Cc1nnc(CC(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)o1 ZINC001486506857 1127191077 /nfs/dbraw/zinc/19/10/77/1127191077.db2.gz QRFHVNGQSNAFMI-UHFFFAOYSA-N -1 1 347.379 -0.709 20 0 EBADMM C[C@@H](NC(=O)CCCF)[C@@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001486722365 1127244498 /nfs/dbraw/zinc/24/44/98/1127244498.db2.gz MNOMCFASDOMLKZ-GHMZBOCLSA-N -1 1 342.371 -0.314 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)C1CCC(NC(=O)c2cnn[nH]2)CC1 ZINC001486736323 1127249874 /nfs/dbraw/zinc/24/98/74/1127249874.db2.gz CJJFMIVAURSOOZ-OIKLOGQESA-N -1 1 336.396 -0.171 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)N(C)C(=O)c1ccco1 ZINC001486928204 1127340120 /nfs/dbraw/zinc/34/01/20/1127340120.db2.gz AZOJRKFPXBCIAJ-LLVKDONJSA-N -1 1 348.359 -0.203 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)N(C)C(=O)[C@@H]1C[C@H]1C ZINC001486930116 1127341029 /nfs/dbraw/zinc/34/10/29/1127341029.db2.gz XULJMPYMIOAILV-IJLUTSLNSA-N -1 1 336.392 -0.454 20 0 EBADMM O=C(NC1(CCO)CN(C(=O)c2ncccc2[O-])C1)c1cn[nH]c1 ZINC001487022007 1127371098 /nfs/dbraw/zinc/37/10/98/1127371098.db2.gz IVWNPWUNKXLFIZ-UHFFFAOYSA-N -1 1 331.332 -0.483 20 0 EBADMM O=C(NC1(CCO)CN(C(=O)C2=COCCO2)C1)c1ncccc1[O-] ZINC001487030524 1127373159 /nfs/dbraw/zinc/37/31/59/1127373159.db2.gz NKRVJXYZCPKKGB-UHFFFAOYSA-N -1 1 349.343 -0.631 20 0 EBADMM CCCC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)Cn1cnnn1 ZINC001487095595 1127404114 /nfs/dbraw/zinc/40/41/14/1127404114.db2.gz HRRSOTWAZVCEIH-NSHDSACASA-N -1 1 347.379 -0.121 20 0 EBADMM COCC(=O)N[C@@H](C)C1CN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC001487140868 1127410742 /nfs/dbraw/zinc/41/07/42/1127410742.db2.gz LUJRYYRACXMZLA-ZETCQYMHSA-N -1 1 340.336 -0.881 20 0 EBADMM CCC(=O)N1C[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C(C)(C)C1 ZINC001487262741 1127449520 /nfs/dbraw/zinc/44/95/20/1127449520.db2.gz BJJJUHAEBDLLCK-NSHDSACASA-N -1 1 336.392 -0.310 20 0 EBADMM COc1c(C(=O)NC[C@H](O)CNC(=O)c2ncccc2[O-])cnn1C ZINC001410107095 1127570031 /nfs/dbraw/zinc/57/00/31/1127570031.db2.gz QFHHPTCZRPFLFG-VIFPVBQESA-N -1 1 349.347 -0.950 20 0 EBADMM CC(C)[C@@H](C)C(=O)N[C@H](C)CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001410307849 1127671943 /nfs/dbraw/zinc/67/19/43/1127671943.db2.gz SMRZXJRPYYFETO-NXEZZACHSA-N -1 1 334.380 -0.056 20 0 EBADMM CN(C(=O)c1c[nH]c(=O)cn1)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001410318759 1127677810 /nfs/dbraw/zinc/67/78/10/1127677810.db2.gz XUVUVUGEQXEGQX-JTQLQIEISA-N -1 1 333.352 -0.766 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001410369183 1127690926 /nfs/dbraw/zinc/69/09/26/1127690926.db2.gz VEXLXHVXIFZXSA-IUCAKERBSA-N -1 1 349.391 -0.181 20 0 EBADMM CN1CCO[C@H](C(=O)NCC2(NC(=O)c3ncccc3[O-])CC2)C1 ZINC001410683879 1127811827 /nfs/dbraw/zinc/81/18/27/1127811827.db2.gz FVWCNQKPKBGLCG-LBPRGKRZSA-N -1 1 334.376 -0.504 20 0 EBADMM C[C@@H]1[C@@H]([NH2+]Cc2nc(=O)n(C)[n-]2)CCN1C(=O)COCC(F)F ZINC001410851451 1127916494 /nfs/dbraw/zinc/91/64/94/1127916494.db2.gz NQSDBVUWPSTXQN-BDAKNGLRSA-N -1 1 333.339 -0.531 20 0 EBADMM CN(C(=O)CCCn1c(=O)[n-][nH]c1=O)C1CN(C(=O)c2ccn[nH]2)C1 ZINC001410892888 1127932386 /nfs/dbraw/zinc/93/23/86/1127932386.db2.gz BZMSVRHCPFVTDU-UHFFFAOYSA-N -1 1 349.351 -0.824 20 0 EBADMM C[C@H]1[C@@H](NC(=O)CC2(O)CCC2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001410916027 1127941898 /nfs/dbraw/zinc/94/18/98/1127941898.db2.gz HIQCWNWEVDTDMJ-RYUDHWBXSA-N -1 1 337.424 -0.117 20 0 EBADMM CC[C@@H](NC(C)=O)C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001410999628 1127966957 /nfs/dbraw/zinc/96/69/57/1127966957.db2.gz WYPYYXUCQXPJCA-MNOVXSKESA-N -1 1 338.412 -0.248 20 0 EBADMM CCC(=O)N1CC[C@@](C)(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001411044974 1127985974 /nfs/dbraw/zinc/98/59/74/1127985974.db2.gz XNLBJKCUUCCANL-HNNXBMFYSA-N -1 1 332.364 -0.204 20 0 EBADMM CN(C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1C[C@H]1c1ccncc1 ZINC001411052807 1127988786 /nfs/dbraw/zinc/98/87/86/1127988786.db2.gz CYDNWDHIEGDEEN-RWMBFGLXSA-N -1 1 346.391 -0.382 20 0 EBADMM CN(C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)c1ccc(Cl)cn1 ZINC001411138101 1128032685 /nfs/dbraw/zinc/03/26/85/1128032685.db2.gz GNZUZXYBCJVASW-VIFPVBQESA-N -1 1 340.771 -0.219 20 0 EBADMM CC(C)(C)[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CO[C@@H]1CCOC1 ZINC001411206106 1128091734 /nfs/dbraw/zinc/09/17/34/1128091734.db2.gz BNAHKLFHGBJZIW-MNOVXSKESA-N -1 1 341.412 -0.064 20 0 EBADMM O=C(Cn1cnnn1)NCC1CC(NC(=O)c2ncccc2[O-])C1 ZINC001411311711 1128139933 /nfs/dbraw/zinc/13/99/33/1128139933.db2.gz ZVQBJGPBZWOWLU-UHFFFAOYSA-N -1 1 331.336 -0.902 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2CN(CCS(C)(=O)=O)C[C@H]2C)c1[O-] ZINC001092548327 1128252398 /nfs/dbraw/zinc/25/23/98/1128252398.db2.gz KFMICAFCBLDYNI-MWLCHTKSSA-N -1 1 344.437 -0.234 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)[C@H]1CCNC(=O)C1 ZINC001092541427 1128254144 /nfs/dbraw/zinc/25/41/44/1128254144.db2.gz JSPDRFMAWSRHDF-OUAUKWLOSA-N -1 1 336.396 -0.779 20 0 EBADMM Cc1nonc1C(=O)N1C[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@]2(C)C1 ZINC001092320325 1128224036 /nfs/dbraw/zinc/22/40/36/1128224036.db2.gz LINXNUZQINJZFH-BMIGLBTASA-N -1 1 347.379 -0.606 20 0 EBADMM CC(C)C(=O)N[C@@H]1CC2(CN(Cc3nc(=O)n(C)[n-]3)C2)n2ccnc21 ZINC001092350709 1128226490 /nfs/dbraw/zinc/22/64/90/1128226490.db2.gz VNLRTYPFGICREQ-LLVKDONJSA-N -1 1 345.407 -0.267 20 0 EBADMM C[C@H]1C[C@H]1C(=O)N1CC(n2cc(CNCc3nc(=O)n(C)[n-]3)nn2)C1 ZINC001093302011 1128313257 /nfs/dbraw/zinc/31/32/57/1128313257.db2.gz CIICAGDJSOTPPD-JOYOIKCWSA-N -1 1 346.395 -0.971 20 0 EBADMM C[C@@H](CCCNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CN(C)C(=O)N1 ZINC001487526962 1128364172 /nfs/dbraw/zinc/36/41/72/1128364172.db2.gz LOVFDJPRLAEFDA-WDEREUQCSA-N -1 1 349.391 -0.175 20 0 EBADMM C[C@H](CC(=O)N[C@@H](C)CN(C)C(=O)c1ncccc1[O-])NC(N)=O ZINC001487755540 1128418235 /nfs/dbraw/zinc/41/82/35/1128418235.db2.gz KTKKECLCTARSAE-ZJUUUORDSA-N -1 1 337.380 -0.189 20 0 EBADMM Cc1cc(CCC(=O)NC[C@@H](CO)NC(=O)c2ncccc2[O-])no1 ZINC001488093564 1128595588 /nfs/dbraw/zinc/59/55/88/1128595588.db2.gz XDPJCJDLYLZJGK-LBPRGKRZSA-N -1 1 348.359 -0.077 20 0 EBADMM CC[C@H](OC)C(=O)NC[C@@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001488351863 1128689720 /nfs/dbraw/zinc/68/97/20/1128689720.db2.gz VYLDIFHYYAFIGJ-PWSUYJOCSA-N -1 1 325.365 -0.239 20 0 EBADMM Cc1nnccc1C(=O)N(C)C[C@@H](O)CNC(=O)c1ncccc1[O-] ZINC001488384549 1128761481 /nfs/dbraw/zinc/76/14/81/1128761481.db2.gz QAYHFLDTVMFNOR-NSHDSACASA-N -1 1 345.359 -0.251 20 0 EBADMM CCC(=O)NC[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C(C)(C)C ZINC001488508585 1128778105 /nfs/dbraw/zinc/77/81/05/1128778105.db2.gz BBJFJVLTUWRKSZ-NSHDSACASA-N -1 1 338.408 -0.016 20 0 EBADMM COCC(=O)N(CCO)[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001490022672 1129096702 /nfs/dbraw/zinc/09/67/02/1129096702.db2.gz HQPOPWOESGSFAT-LBPRGKRZSA-N -1 1 337.376 -0.141 20 0 EBADMM CCN(CCCNC(=O)c1cnn(C)c1OC)Cc1n[nH]c(=O)[n-]1 ZINC001490356734 1129173420 /nfs/dbraw/zinc/17/34/20/1129173420.db2.gz KOTSSWPGLIRLCI-UHFFFAOYSA-N -1 1 337.384 -0.106 20 0 EBADMM O=C(NC[C@@H](NCc1n[nH]c(=O)[n-]1)C1CC1)[C@@H]1CCNC(=O)CC1 ZINC001490689367 1129247466 /nfs/dbraw/zinc/24/74/66/1129247466.db2.gz NFXWXXKKTVGRRJ-WDEREUQCSA-N -1 1 336.396 -0.589 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@@H]2CCS(=O)(=O)C2)co1 ZINC001649172104 1129271888 /nfs/dbraw/zinc/27/18/88/1129271888.db2.gz HJVJIGUMHRZIKY-QMMMGPOBSA-N -1 1 337.375 -0.221 20 0 EBADMM CN(C[C@@H]1CCOC1)C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC001411855910 1129394306 /nfs/dbraw/zinc/39/43/06/1129394306.db2.gz XDVCKCOJPOXSEW-VIFPVBQESA-N -1 1 345.377 -0.848 20 0 EBADMM COC(=O)[C@@H]1C[C@H](OC)CCN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001411950720 1129411227 /nfs/dbraw/zinc/41/12/27/1129411227.db2.gz MPYRJNKVOWYEAF-SFYZADRCSA-N -1 1 341.320 -0.311 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1C[C@@H](O)CN1c1ccncn1)c1nn[n-]n1 ZINC001411991046 1129421518 /nfs/dbraw/zinc/42/15/18/1129421518.db2.gz NMRLZPFXVUBWBR-MXWKQRLJSA-N -1 1 332.368 -0.809 20 0 EBADMM CCOC(=O)c1nc([C@H](C)NC(=O)C[C@H]2CCS(=O)(=O)C2)n[n-]1 ZINC001412328404 1129501115 /nfs/dbraw/zinc/50/11/15/1129501115.db2.gz VHRBYQLPBBJKJI-DTWKUNHWSA-N -1 1 344.393 -0.017 20 0 EBADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C[C@H]2CCS(=O)(=O)C2)[n-]1 ZINC001412328404 1129501119 /nfs/dbraw/zinc/50/11/19/1129501119.db2.gz VHRBYQLPBBJKJI-DTWKUNHWSA-N -1 1 344.393 -0.017 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C[C@H]2CCS(=O)(=O)C2)n1 ZINC001412328404 1129501122 /nfs/dbraw/zinc/50/11/22/1129501122.db2.gz VHRBYQLPBBJKJI-DTWKUNHWSA-N -1 1 344.393 -0.017 20 0 EBADMM CNS(=O)(=O)c1cc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)co1 ZINC001412483389 1129539121 /nfs/dbraw/zinc/53/91/21/1129539121.db2.gz AIHCVHLUVNAYPE-ZETCQYMHSA-N -1 1 326.338 -0.670 20 0 EBADMM NS(=O)(=O)Cc1cccc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)c1 ZINC001412493891 1129541525 /nfs/dbraw/zinc/54/15/25/1129541525.db2.gz WULNJMQHFWKFCL-LLVKDONJSA-N -1 1 336.377 -0.382 20 0 EBADMM COC(=O)C1([C@]2(O)CCCN(C(=O)CCc3nn[n-]n3)C2)CCC1 ZINC001412710561 1129598261 /nfs/dbraw/zinc/59/82/61/1129598261.db2.gz XEQMEAGTUWRQQY-HNNXBMFYSA-N -1 1 337.380 -0.171 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCS(=O)(=O)C(C)(C)C1 ZINC001412728181 1129603029 /nfs/dbraw/zinc/60/30/29/1129603029.db2.gz LYEHNWSVQSMMGC-SSDOTTSWSA-N -1 1 345.377 -0.018 20 0 EBADMM O=C(NC[C@@H](CO)NC(=O)c1ncccc1F)c1ncccc1[O-] ZINC001412803928 1129661265 /nfs/dbraw/zinc/66/12/65/1129661265.db2.gz FGHISCFBKZVLMO-VIFPVBQESA-N -1 1 334.307 -0.158 20 0 EBADMM COc1ccc(C(=O)N[C@@H](CO)CNC(=O)c2ncccc2[O-])cn1 ZINC001412803802 1129661479 /nfs/dbraw/zinc/66/14/79/1129661479.db2.gz DDHVYCGETFEPCX-LLVKDONJSA-N -1 1 346.343 -0.289 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)C[C@@H]2C=CS(=O)(=O)C2)n[n-]1 ZINC001412834279 1129700316 /nfs/dbraw/zinc/70/03/16/1129700316.db2.gz BLNPKEFILNRYPP-QMMMGPOBSA-N -1 1 328.350 -0.454 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)C[C@@H]2C=CS(=O)(=O)C2)n1 ZINC001412834279 1129700321 /nfs/dbraw/zinc/70/03/21/1129700321.db2.gz BLNPKEFILNRYPP-QMMMGPOBSA-N -1 1 328.350 -0.454 20 0 EBADMM CCN1CCO[C@H](C(=O)Nc2n[n-]c(OCCO)c2C(=O)OC)C1 ZINC001412854222 1129730937 /nfs/dbraw/zinc/73/09/37/1129730937.db2.gz PLFFCPYDLFNTQB-VIFPVBQESA-N -1 1 342.352 -0.773 20 0 EBADMM CCN1CCO[C@H](C(=O)Nc2[n-]nc(OCCO)c2C(=O)OC)C1 ZINC001412854222 1129730940 /nfs/dbraw/zinc/73/09/40/1129730940.db2.gz PLFFCPYDLFNTQB-VIFPVBQESA-N -1 1 342.352 -0.773 20 0 EBADMM CC(=O)NCc1ccc(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)cc1 ZINC001412899870 1129763826 /nfs/dbraw/zinc/76/38/26/1129763826.db2.gz NKLQEKIQZDZJIT-UHFFFAOYSA-N -1 1 344.375 -0.040 20 0 EBADMM COC(=O)c1ccc(CC(=O)N2CCC(O)(c3nn[n-]n3)CC2)cn1 ZINC001412896412 1129766124 /nfs/dbraw/zinc/76/61/24/1129766124.db2.gz HICDHYVRBMJJGS-UHFFFAOYSA-N -1 1 346.347 -0.566 20 0 EBADMM COc1cc2[n-]cc(C(=O)N3C[C@@H](O)[C@H](CO)C3)c(=O)c2c(OC)c1 ZINC001413067347 1129912895 /nfs/dbraw/zinc/91/28/95/1129912895.db2.gz HPTUHUONMRZGLF-TVQRCGJNSA-N -1 1 348.355 -0.030 20 0 EBADMM CNC(=O)Cn1cnc([N-]C(=O)c2ccc(S(C)(=O)=O)s2)n1 ZINC001361432324 1131407259 /nfs/dbraw/zinc/40/72/59/1131407259.db2.gz LQTDGHWNLQNREZ-UHFFFAOYSA-N -1 1 343.390 -0.259 20 0 EBADMM CN(C(=O)[C@H]1CN(C)C(=O)CN1C(=O)OC(C)(C)C)c1nn[n-]n1 ZINC001361436984 1131408534 /nfs/dbraw/zinc/40/85/34/1131408534.db2.gz UHTSQWSJPIISRR-MRVPVSSYSA-N -1 1 339.356 -0.760 20 0 EBADMM CNC(=O)NC[C@@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)CCO1 ZINC001413275175 1129984320 /nfs/dbraw/zinc/98/43/20/1129984320.db2.gz ZXDKRMNJMUPHMM-SNVBAGLBSA-N -1 1 335.364 -0.170 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@]2(C)CCO[C@H]2C2CC2)c(=O)[nH]c1=O ZINC001413315936 1130010428 /nfs/dbraw/zinc/01/04/28/1130010428.db2.gz DCXGJWQWGPFPFE-GXFFZTMASA-N -1 1 329.378 -0.278 20 0 EBADMM CCS(=O)(=O)C[C@H](C)NS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001413322299 1130014310 /nfs/dbraw/zinc/01/43/10/1130014310.db2.gz TXJCDUAFPDOKNC-ZETCQYMHSA-N -1 1 339.395 -0.702 20 0 EBADMM CCS(=O)(=O)C[C@H](C)NS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001413322299 1130014317 /nfs/dbraw/zinc/01/43/17/1130014317.db2.gz TXJCDUAFPDOKNC-ZETCQYMHSA-N -1 1 339.395 -0.702 20 0 EBADMM O=C(CS[C@@H]1CCS(=O)(=O)C1)NCc1nc([O-])cc(=O)[nH]1 ZINC001413326477 1130015351 /nfs/dbraw/zinc/01/53/51/1130015351.db2.gz LCGHEAZYNGEAMO-SSDOTTSWSA-N -1 1 333.391 -0.576 20 0 EBADMM CN(C[C@H](O)CNC(=O)c1ncccc1[O-])C(=O)Cc1ccc[nH]1 ZINC001413330608 1130025809 /nfs/dbraw/zinc/02/58/09/1130025809.db2.gz MBALHCVXGTWKNK-GFCCVEGCSA-N -1 1 332.360 -0.093 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H](OC(=O)N(C)C)C2)[n-]n1 ZINC001413356988 1130044480 /nfs/dbraw/zinc/04/44/80/1130044480.db2.gz PBKGVBCJVIGGIX-MRVPVSSYSA-N -1 1 346.365 -0.343 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H](OC(=O)N(C)C)C2)n[n-]1 ZINC001413356988 1130044488 /nfs/dbraw/zinc/04/44/88/1130044488.db2.gz PBKGVBCJVIGGIX-MRVPVSSYSA-N -1 1 346.365 -0.343 20 0 EBADMM CN(C[C@@H](O)CN(C)C(=O)c1ncccc1[O-])C(=O)c1ccnnc1 ZINC001413376279 1130060172 /nfs/dbraw/zinc/06/01/72/1130060172.db2.gz NQYJQAAEGOEBHW-GFCCVEGCSA-N -1 1 345.359 -0.218 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)C2N=NC(=O)N2C)o1 ZINC001413385400 1130065342 /nfs/dbraw/zinc/06/53/42/1130065342.db2.gz LWRMHGCWSYCRNA-ZCFIWIBFSA-N -1 1 330.322 -0.060 20 0 EBADMM O=C(C1CCN(c2cnccn2)CC1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001413385606 1130065460 /nfs/dbraw/zinc/06/54/60/1130065460.db2.gz HMELMNLYNOCIQS-GFCCVEGCSA-N -1 1 344.379 -0.194 20 0 EBADMM O=C(C[C@H]1CCCS(=O)(=O)C1)N1CCOC[C@H]1c1nn[n-]n1 ZINC001413385591 1130065487 /nfs/dbraw/zinc/06/54/87/1130065487.db2.gz HBJYXFHIJVTGMH-ZJUUUORDSA-N -1 1 329.382 -0.686 20 0 EBADMM O=C(C[C@H]1NC(=O)c2ccccc21)N1CCOC[C@H]1c1nn[n-]n1 ZINC001413390225 1130068622 /nfs/dbraw/zinc/06/86/22/1130068622.db2.gz WNGSUXNYEDYHSL-NEPJUHHUSA-N -1 1 328.332 -0.026 20 0 EBADMM Cn1cc(N2C[C@H](C(=O)N3CCC(c4nn[n-]n4)CC3)CC2=O)cn1 ZINC001413424243 1130082286 /nfs/dbraw/zinc/08/22/86/1130082286.db2.gz DZIVCVHGOPUUMX-LLVKDONJSA-N -1 1 344.379 -0.308 20 0 EBADMM CSCc1nc(C[N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C)n[nH]1 ZINC001413446455 1130090969 /nfs/dbraw/zinc/09/09/69/1130090969.db2.gz SEHPXVLKZGCWPX-LJQANCHMSA-N -1 1 342.472 -0.424 20 0 EBADMM COCCn1cc(CNC(=O)c2ncc(C)cc2[O-])c(=O)[nH]c1=O ZINC001413460512 1130096172 /nfs/dbraw/zinc/09/61/72/1130096172.db2.gz MTRSHRJUGGFYFK-UHFFFAOYSA-N -1 1 334.332 -0.066 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC(CO)(CO)CC2)co1 ZINC001413520212 1130121617 /nfs/dbraw/zinc/12/16/17/1130121617.db2.gz UKCLPTUEXCFKLV-UHFFFAOYSA-N -1 1 332.378 -0.605 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001413546460 1130129002 /nfs/dbraw/zinc/12/90/02/1130129002.db2.gz FIBYHXJJXXFYMB-UHFFFAOYSA-N -1 1 334.376 -0.015 20 0 EBADMM O=C(CNC(=O)C1CC1)NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001490965431 1130274248 /nfs/dbraw/zinc/27/42/48/1130274248.db2.gz ZRXHYNZFEAXFFQ-YOGCLGLASA-N -1 1 348.407 -0.352 20 0 EBADMM CCCOCC(=O)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001490992037 1130282048 /nfs/dbraw/zinc/28/20/48/1130282048.db2.gz MJYWFTULWKEVJT-UHFFFAOYSA-N -1 1 348.363 -0.871 20 0 EBADMM COC[C@H](C)C(=O)NC[C@@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001491204786 1130361162 /nfs/dbraw/zinc/36/11/62/1130361162.db2.gz LXEVMSLCKWRUPT-RYUDHWBXSA-N -1 1 325.413 -0.138 20 0 EBADMM C[C@@H]1CC[C@H](C(=O)NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001491298679 1130391449 /nfs/dbraw/zinc/39/14/49/1130391449.db2.gz WGGCBTMTIQCEPI-ZJUUUORDSA-N -1 1 332.364 -0.300 20 0 EBADMM Cc1cn2c(n1)C[C@H](C(=O)NC[C@@H](C)NCc1n[nH]c(=O)[n-]1)CC2 ZINC001491494265 1130469541 /nfs/dbraw/zinc/46/95/41/1130469541.db2.gz CQRDMFPTZHZXTP-MWLCHTKSSA-N -1 1 333.396 -0.128 20 0 EBADMM Cn1nc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c2ccccc2c1=O ZINC001251768122 1130536550 /nfs/dbraw/zinc/53/65/50/1130536550.db2.gz PWAOKIJUTFKXPE-NSHDSACASA-N -1 1 341.331 -0.340 20 0 EBADMM C[C@H](CNC(=O)CN1C(=O)CCC1=O)N(C)C(=O)c1ncccc1[O-] ZINC001280472394 1130571535 /nfs/dbraw/zinc/57/15/35/1130571535.db2.gz MYDSYOPOUIZQBC-SNVBAGLBSA-N -1 1 348.359 -0.487 20 0 EBADMM C[C@H](CCNC(=O)C1(S(C)(=O)=O)CCC1)NCc1n[nH]c(=O)[n-]1 ZINC001492105386 1130582271 /nfs/dbraw/zinc/58/22/71/1130582271.db2.gz MXDKSQSFCIQXCV-SECBINFHSA-N -1 1 345.425 -0.538 20 0 EBADMM NC(=O)NCCC(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC001492089057 1130585131 /nfs/dbraw/zinc/58/51/31/1130585131.db2.gz PYJXPSSQWTVAKP-UHFFFAOYSA-N -1 1 335.364 -0.434 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[S@](=O)[C@@H](C)[C@@H]2C)[n-]n1 ZINC001475247130 1130704935 /nfs/dbraw/zinc/70/49/35/1130704935.db2.gz LFBHNYYOLLNYPD-VQXVYSPMSA-N -1 1 335.407 -0.274 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[S@](=O)[C@@H](C)[C@@H]2C)n[n-]1 ZINC001475247130 1130704942 /nfs/dbraw/zinc/70/49/42/1130704942.db2.gz LFBHNYYOLLNYPD-VQXVYSPMSA-N -1 1 335.407 -0.274 20 0 EBADMM COCC(=O)N(C)C[C@H]1CCN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001414098659 1130753826 /nfs/dbraw/zinc/75/38/26/1130753826.db2.gz OOPZYPHKRXFOPC-MRVPVSSYSA-N -1 1 340.336 -0.784 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)NC[C@@H]1CCCNC1=O ZINC001413855672 1130815370 /nfs/dbraw/zinc/81/53/70/1130815370.db2.gz MWVQXLYDVJXCBZ-VIFPVBQESA-N -1 1 335.364 -0.420 20 0 EBADMM CN(CCN(C)C(=O)c1ccoc1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001357162692 1131055710 /nfs/dbraw/zinc/05/57/10/1131055710.db2.gz HMJKCXYRMFLYFJ-UHFFFAOYSA-N -1 1 348.359 -0.250 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)Cc1ccco1 ZINC001358335115 1131180455 /nfs/dbraw/zinc/18/04/55/1131180455.db2.gz FFHYTLAXOSGCTP-LLVKDONJSA-N -1 1 348.359 -0.617 20 0 EBADMM CC(=O)N1CC[C@@H](NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC001413900607 1131217023 /nfs/dbraw/zinc/21/70/23/1131217023.db2.gz IKZXVYZOZDBLCM-SNVBAGLBSA-N -1 1 335.364 -0.325 20 0 EBADMM Cc1cc(=O)n2[n-]c(NC(=O)CC3(CS(C)(=O)=O)CC3)nc2n1 ZINC001361584769 1131424164 /nfs/dbraw/zinc/42/41/64/1131424164.db2.gz UGNAJXMAGREWAT-UHFFFAOYSA-N -1 1 339.377 -0.121 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC(=O)NCCc1ccccc1 ZINC001361651944 1131435337 /nfs/dbraw/zinc/43/53/37/1131435337.db2.gz RIJYKCCYXPYFQT-UHFFFAOYSA-N -1 1 346.343 -0.015 20 0 EBADMM C[C@@H](NC(=O)c1ccc(F)c([O-])c1)C(=O)N1CCS(=O)(=O)CC1 ZINC001361744984 1131448399 /nfs/dbraw/zinc/44/83/99/1131448399.db2.gz PBVVCFMUWQWPDL-SECBINFHSA-N -1 1 344.364 -0.093 20 0 EBADMM CCOC(=O)N1CSC[C@@H]1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001361785159 1131454927 /nfs/dbraw/zinc/45/49/27/1131454927.db2.gz RRBNVCBZRXNSCK-BDAKNGLRSA-N -1 1 342.381 -0.369 20 0 EBADMM CCOC(=O)N1CSC[C@@H]1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001361785170 1131455637 /nfs/dbraw/zinc/45/56/37/1131455637.db2.gz RRBNVCBZRXNSCK-RKDXNWHRSA-N -1 1 342.381 -0.369 20 0 EBADMM O=C(CN1CCN(C(=O)CCc2nn[n-]n2)CC1)NC1CCCCC1 ZINC001361913993 1131478004 /nfs/dbraw/zinc/47/80/04/1131478004.db2.gz QOITVCNOZVCINZ-UHFFFAOYSA-N -1 1 349.439 -0.275 20 0 EBADMM CCO[C@@H](C(=O)N1CCO[C@@H](c2nn[n-]n2)C1)C1CCOCC1 ZINC001361924523 1131481108 /nfs/dbraw/zinc/48/11/08/1131481108.db2.gz NKMOVZQAZCSJJB-VXGBXAGGSA-N -1 1 325.369 -0.069 20 0 EBADMM CS(=O)(=O)NC[C@H]1CCCCN1C(=O)CCCc1nn[n-]n1 ZINC001361937795 1131483340 /nfs/dbraw/zinc/48/33/40/1131483340.db2.gz KGWALRZGBQNJQC-SNVBAGLBSA-N -1 1 330.414 -0.547 20 0 EBADMM COc1ccnc(N2CCN(C(=O)CCCc3nn[n-]n3)CC2)n1 ZINC001361975598 1131490424 /nfs/dbraw/zinc/49/04/24/1131490424.db2.gz OVAQXEMGEJZIGU-UHFFFAOYSA-N -1 1 332.368 -0.330 20 0 EBADMM C[C@H](NC(=O)c1cccc(-n2[n-]nnc2=N)c1)[C@@H]1CN(C)CCN1C ZINC001361981917 1131491966 /nfs/dbraw/zinc/49/19/66/1131491966.db2.gz RCTYUWMHYKILOE-FZMZJTMJSA-N -1 1 344.423 -0.561 20 0 EBADMM O=C(NCc1nn[n-]n1)[C@@H](O)[C@@](O)(c1ccccc1)C(F)(F)F ZINC001362038390 1131501699 /nfs/dbraw/zinc/50/16/99/1131501699.db2.gz IUMJSKGAMGYOQS-KOLCDFICSA-N -1 1 331.254 -0.373 20 0 EBADMM CC(C)(C)OC(=O)NC[C@@H]1OCC[C@@H]1C(=O)NCc1nn[n-]n1 ZINC001362062853 1131507537 /nfs/dbraw/zinc/50/75/37/1131507537.db2.gz XXRGCUDSGCZVAC-IUCAKERBSA-N -1 1 326.357 -0.254 20 0 EBADMM O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ccc([O-])cn1 ZINC001362113593 1131518155 /nfs/dbraw/zinc/51/81/55/1131518155.db2.gz NPZSQRHKFHDWKQ-CHWSQXEVSA-N -1 1 341.389 -0.985 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCN2C(=O)OCC[C@H]2C1 ZINC001362151416 1131526258 /nfs/dbraw/zinc/52/62/58/1131526258.db2.gz BJIAVJGVIYXXMR-JTQLQIEISA-N -1 1 334.332 -0.430 20 0 EBADMM COC[C@](C)(CC(=O)OC)NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001362158922 1131527826 /nfs/dbraw/zinc/52/78/26/1131527826.db2.gz PKDZBUIJKQQNPG-ZDUSSCGKSA-N -1 1 329.309 -0.406 20 0 EBADMM CS(=O)(=O)N[C@H]1CCC[C@H]1CNC(=O)CCCc1nn[n-]n1 ZINC001362193703 1131533621 /nfs/dbraw/zinc/53/36/21/1131533621.db2.gz SRTCJMPXMUBIAI-UWVGGRQHSA-N -1 1 330.414 -0.644 20 0 EBADMM COC(=O)c1cccn(CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c1=O ZINC001362209709 1131537250 /nfs/dbraw/zinc/53/72/50/1131537250.db2.gz QJRCSUQXRWZTNI-SNVBAGLBSA-N -1 1 346.347 -0.446 20 0 EBADMM O=C(CCc1nn[n-]n1)N1CCN(C(=O)c2ccccc2O)CC1 ZINC001362234414 1131541723 /nfs/dbraw/zinc/54/17/23/1131541723.db2.gz RVOGTCZPZGCELJ-UHFFFAOYSA-N -1 1 330.348 -0.178 20 0 EBADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)NCC1(CO)COC1 ZINC001362268294 1131548964 /nfs/dbraw/zinc/54/89/64/1131548964.db2.gz AFYYIPRICLTAJQ-UHFFFAOYSA-N -1 1 344.371 -0.192 20 0 EBADMM CN(C(=O)CCCc1nn[n-]n1)C1CCN(S(C)(=O)=O)CC1 ZINC001362273412 1131549869 /nfs/dbraw/zinc/54/98/69/1131549869.db2.gz UAOCNRLPVOHRCA-UHFFFAOYSA-N -1 1 330.414 -0.595 20 0 EBADMM O=C(c1c[n-]n2c1nccc2=O)N1CC[C@@H](CN2CCOCC2)C1 ZINC001362303184 1131556226 /nfs/dbraw/zinc/55/62/26/1131556226.db2.gz SCXDGXLEUGUYGO-LBPRGKRZSA-N -1 1 331.376 -0.183 20 0 EBADMM Cn1nc2c(c1C(=O)N1CCC(O)(c3nn[n-]n3)CC1)CCCC2 ZINC001362391363 1131573931 /nfs/dbraw/zinc/57/39/31/1131573931.db2.gz VSKGMYZSDDASGN-UHFFFAOYSA-N -1 1 331.380 -0.064 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCO[C@]2(CCOC2)C1 ZINC001362394737 1131574951 /nfs/dbraw/zinc/57/49/51/1131574951.db2.gz MDMOWDJICVTJDU-XLKFXECMSA-N -1 1 325.321 -0.036 20 0 EBADMM O=C(C[C@H]1CCCS1(=O)=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC001362412821 1131578512 /nfs/dbraw/zinc/57/85/12/1131578512.db2.gz WZGMYFAHMWPQLN-RKDXNWHRSA-N -1 1 331.423 -0.217 20 0 EBADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@H]1CC[C@@H](C(N)=O)O1 ZINC001362468396 1131589203 /nfs/dbraw/zinc/58/92/03/1131589203.db2.gz BIMQWALBFZGRBR-SFYZADRCSA-N -1 1 326.378 -0.025 20 0 EBADMM CSc1ncc(C(=O)N[C@H](CO)CN2CCOCC2)c(=O)[n-]1 ZINC001362544638 1131607262 /nfs/dbraw/zinc/60/72/62/1131607262.db2.gz OISMESAAEAYJSV-VIFPVBQESA-N -1 1 328.394 -0.673 20 0 EBADMM CC(C)(C)OC(=O)N1CC(NC(=O)c2cncc([O-])c2)(C(N)=O)C1 ZINC001362571556 1131614363 /nfs/dbraw/zinc/61/43/63/1131614363.db2.gz RSGOAKLETKGPTG-UHFFFAOYSA-N -1 1 336.348 -0.008 20 0 EBADMM COCc1nnc2n1CCN(C(=O)c1[nH]c(=O)[n-]c(=O)c1OC)C2 ZINC001362641937 1131630673 /nfs/dbraw/zinc/63/06/73/1131630673.db2.gz CPTIDUGUMNDDPJ-UHFFFAOYSA-N -1 1 336.308 -0.710 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@@H](C)S(=O)(=O)[C@@H](C)C1 ZINC001362669723 1131637243 /nfs/dbraw/zinc/63/72/43/1131637243.db2.gz BXBSRLZPTPLIOI-KNVOCYPGSA-N -1 1 331.350 -0.456 20 0 EBADMM NS(=O)(=O)c1sccc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362668470 1131637398 /nfs/dbraw/zinc/63/73/98/1131637398.db2.gz ZBMIVZVLJTWVHL-SSDOTTSWSA-N -1 1 342.406 -0.072 20 0 EBADMM O=C(CN1CCCCS1(=O)=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362667932 1131637435 /nfs/dbraw/zinc/63/74/35/1131637435.db2.gz XGXBDUMWPRPQMT-JTQLQIEISA-N -1 1 328.398 -0.669 20 0 EBADMM COC(=O)[C@H]1CC[C@H](C(=O)OC)CN1C(=O)CCCc1nn[n-]n1 ZINC001362670486 1131637874 /nfs/dbraw/zinc/63/78/74/1131637874.db2.gz IRFGUISMWRSNKT-VHSXEESVSA-N -1 1 339.352 -0.524 20 0 EBADMM O=C(C[C@H]1C=CS(=O)(=O)C1)N1CCSC[C@H]1c1nn[n-]n1 ZINC001362674143 1131638619 /nfs/dbraw/zinc/63/86/19/1131638619.db2.gz QXNFQVQMHDUOKR-BDAKNGLRSA-N -1 1 329.407 -0.235 20 0 EBADMM CO[C@H]1CCn2cc(C(=O)N3CCC(O)(c4nn[n-]n4)CC3)nc2C1 ZINC001362727544 1131655652 /nfs/dbraw/zinc/65/56/52/1131655652.db2.gz SOCCYWKJOSBTPA-JTQLQIEISA-N -1 1 347.379 -0.519 20 0 EBADMM CN(C[C@@H](O)CN(C)C(=O)OC(C)(C)C)C(=O)CCc1nn[n-]n1 ZINC001362738651 1131659416 /nfs/dbraw/zinc/65/94/16/1131659416.db2.gz KZFCVQSUDCGIPH-SNVBAGLBSA-N -1 1 342.400 -0.182 20 0 EBADMM COc1ccccc1C(=O)NCC(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC001362749182 1131662702 /nfs/dbraw/zinc/66/27/02/1131662702.db2.gz XDIJJTVXHOXTJI-NSHDSACASA-N -1 1 346.347 -0.462 20 0 EBADMM CC(C)(C)OC(=O)N1CC[C@@H](NC(=O)CCc2nn[n-]n2)[C@@H](O)C1 ZINC001362792868 1131675636 /nfs/dbraw/zinc/67/56/36/1131675636.db2.gz JSSBCRCIQBLXSY-ZJUUUORDSA-N -1 1 340.384 -0.381 20 0 EBADMM O=C([C@@H]1CC12CCS(=O)(=O)CC2)N1CC[C@H](c2nn[n-]n2)C1 ZINC001362824759 1131686380 /nfs/dbraw/zinc/68/63/80/1131686380.db2.gz YZKCRBRCCMUPDG-UWVGGRQHSA-N -1 1 325.394 -0.270 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC(NC(=O)NC)CC2)o1 ZINC001362865778 1131699588 /nfs/dbraw/zinc/69/95/88/1131699588.db2.gz JVGQTEBYNFBTPX-UHFFFAOYSA-N -1 1 344.393 -0.279 20 0 EBADMM COC[C@@H](NC(=O)[C@]1(c2ccccc2)CCC(=O)NC1)c1nn[n-]n1 ZINC001362904319 1131709162 /nfs/dbraw/zinc/70/91/62/1131709162.db2.gz APPQUOAKLINSPS-MLGOLLRUSA-N -1 1 344.375 -0.149 20 0 EBADMM CN(Cc1nnc2n1CCNC2=O)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001362906956 1131709759 /nfs/dbraw/zinc/70/97/59/1131709759.db2.gz CHULWNWVMHBIAF-UHFFFAOYSA-N -1 1 343.347 -0.333 20 0 EBADMM Cc1cc(C(=O)N[C@H](CO)C[NH+]2CCCC2)[n-]c2nc(=O)[n-]c(=O)c1-2 ZINC001362962239 1131725710 /nfs/dbraw/zinc/72/57/10/1131725710.db2.gz JTSCIBZGRJPODP-JTQLQIEISA-N -1 1 347.375 -0.069 20 0 EBADMM Nc1nnn(CC(=O)N2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)n1 ZINC001362963957 1131726880 /nfs/dbraw/zinc/72/68/80/1131726880.db2.gz WJFLBSJLEDQHSE-SSDOTTSWSA-N -1 1 335.290 -0.828 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@H](C)O[C@H](C(=O)OC)C2)co1 ZINC001363001038 1131740466 /nfs/dbraw/zinc/74/04/66/1131740466.db2.gz QGXKITYYXBCBQD-WPRPVWTQSA-N -1 1 346.361 -0.410 20 0 EBADMM CNS(=O)(=O)CC(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC001363042745 1131759069 /nfs/dbraw/zinc/75/90/69/1131759069.db2.gz VFIWSATZYPFXRT-QMMMGPOBSA-N -1 1 330.366 -0.597 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCOC12CCOCC2 ZINC001363046062 1131760558 /nfs/dbraw/zinc/76/05/58/1131760558.db2.gz YGRQELPRJHDOLN-QMMMGPOBSA-N -1 1 325.321 -0.036 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@@]23CCOC3)o1 ZINC001363071115 1131771228 /nfs/dbraw/zinc/77/12/28/1131771228.db2.gz MTIIILXQAGSEOJ-ZDUSSCGKSA-N -1 1 343.361 -0.952 20 0 EBADMM CSCC[C@H](NC(=O)C[C@H]1CCS(=O)(=O)C1)c1nn[n-]n1 ZINC001363100490 1131784740 /nfs/dbraw/zinc/78/47/40/1131784740.db2.gz RMQNPIQPDXZGKC-BDAKNGLRSA-N -1 1 333.439 -0.065 20 0 EBADMM COC(=O)[C@@H]1CO[C@H](CCC(=O)N2CCOC[C@@H]2c2nn[n-]n2)C1 ZINC001363116790 1131791254 /nfs/dbraw/zinc/79/12/54/1131791254.db2.gz PLBXAPYCGMDRBD-HBNTYKKESA-N -1 1 339.352 -0.542 20 0 EBADMM CN(C(=O)CCNS(=O)(=O)c1ccccc1F)c1nn[n-]n1 ZINC001363145692 1131803021 /nfs/dbraw/zinc/80/30/21/1131803021.db2.gz UXSFOOKPYQVASR-UHFFFAOYSA-N -1 1 328.329 -0.330 20 0 EBADMM CN(C(=O)CCC(=O)N1CCN(c2ncccn2)CC1)c1nn[n-]n1 ZINC001363149758 1131804427 /nfs/dbraw/zinc/80/44/27/1131804427.db2.gz JFRBFTYVNLHCME-UHFFFAOYSA-N -1 1 345.367 -0.919 20 0 EBADMM CSc1nc(C)c(CCC(=O)N2CC(O)(C(N)=O)C2)c(=O)[n-]1 ZINC001363182388 1131820044 /nfs/dbraw/zinc/82/00/44/1131820044.db2.gz BRPFFEBIXCZZCT-UHFFFAOYSA-N -1 1 326.378 -0.796 20 0 EBADMM CSc1ncc(C(=O)NCC(C)(C)CS(N)(=O)=O)c(=O)[n-]1 ZINC001363257380 1131855176 /nfs/dbraw/zinc/85/51/76/1131855176.db2.gz ZNWOEOFNANOQAK-UHFFFAOYSA-N -1 1 334.423 -0.051 20 0 EBADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@H]1[C@H]2CC[C@]3(COC(=O)[C@H]13)O2 ZINC001363275429 1131865560 /nfs/dbraw/zinc/86/55/60/1131865560.db2.gz HBEWMCHLVCAMPA-ADGXKJENSA-N -1 1 335.364 -0.095 20 0 EBADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@H]1CCCCN1S(C)(=O)=O ZINC001363275206 1131866922 /nfs/dbraw/zinc/86/69/22/1131866922.db2.gz DNKLSUHTURPZLE-NSHDSACASA-N -1 1 344.441 -0.002 20 0 EBADMM O=C(CS[C@@H]1CCS(=O)(=O)C1)N1CCC(c2nn[n-]n2)CC1 ZINC001363282112 1131870970 /nfs/dbraw/zinc/87/09/70/1131870970.db2.gz NPADELVQZQYXBC-SNVBAGLBSA-N -1 1 345.450 -0.174 20 0 EBADMM COC(=O)[C@@]1(CNC(=O)c2ncccc2[O-])C[C@@H]1S(C)(=O)=O ZINC001363290566 1131876901 /nfs/dbraw/zinc/87/69/01/1131876901.db2.gz DFWXHXXLQRGJPQ-TVQRCGJNSA-N -1 1 328.346 -0.507 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H]2CCOC[C@H]2OC)o1 ZINC001363309477 1131889044 /nfs/dbraw/zinc/88/90/44/1131889044.db2.gz XSQLEZQKYZIHJV-MWLCHTKSSA-N -1 1 332.378 -0.031 20 0 EBADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)COCC(=O)OC ZINC001363349760 1131910361 /nfs/dbraw/zinc/91/03/61/1131910361.db2.gz JPNQFGKAONMWIW-VIFPVBQESA-N -1 1 340.336 -0.166 20 0 EBADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)COCC(=O)OC ZINC001363349760 1131910367 /nfs/dbraw/zinc/91/03/67/1131910367.db2.gz JPNQFGKAONMWIW-VIFPVBQESA-N -1 1 340.336 -0.166 20 0 EBADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)COCC(=O)OC ZINC001363349760 1131910374 /nfs/dbraw/zinc/91/03/74/1131910374.db2.gz JPNQFGKAONMWIW-VIFPVBQESA-N -1 1 340.336 -0.166 20 0 EBADMM CC(C)[C@@H]1C[C@H]1NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC001363394566 1131930332 /nfs/dbraw/zinc/93/03/32/1131930332.db2.gz MZUNAOPETSFPMO-DTWKUNHWSA-N -1 1 329.378 -0.183 20 0 EBADMM O=C(c1cnc2c(c1)NC(=O)CS2)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001363409307 1131937905 /nfs/dbraw/zinc/93/79/05/1131937905.db2.gz FKOSSHVNKAJQMW-SECBINFHSA-N -1 1 347.360 -0.147 20 0 EBADMM C[C@H](NC(=O)c1ccccc1)C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001363410565 1131938543 /nfs/dbraw/zinc/93/85/43/1131938543.db2.gz LWBVKTVAUHZFRM-CMPLNLGQSA-N -1 1 330.348 -0.082 20 0 EBADMM COC[C@H](NC(=O)COc1ccc2c(c1)CCC(=O)N2)c1nn[n-]n1 ZINC001363419326 1131942443 /nfs/dbraw/zinc/94/24/43/1131942443.db2.gz WEWKGHBTXSWKIN-LBPRGKRZSA-N -1 1 346.347 -0.033 20 0 EBADMM COC[C@H](NC(=O)c1cc(S(C)(=O)=O)ccc1F)c1nn[n-]n1 ZINC001363428964 1131945578 /nfs/dbraw/zinc/94/55/78/1131945578.db2.gz CCFHVLPIWWHKKP-JTQLQIEISA-N -1 1 343.340 -0.140 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2[C@H](C)C(=O)N[C@@H](C)[C@@H]2C)co1 ZINC001363467769 1131961793 /nfs/dbraw/zinc/96/17/93/1131961793.db2.gz GOUJJZMWNGQLMI-XHNCKOQMSA-N -1 1 329.378 -0.075 20 0 EBADMM CS(=O)(=O)N1CC[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001363470083 1131962795 /nfs/dbraw/zinc/96/27/95/1131962795.db2.gz RUFKRBMBYWJFTC-SECBINFHSA-N -1 1 326.378 -0.177 20 0 EBADMM CN(C(=O)[C@@H](O)[C@](O)(c1ccccc1)C(F)(F)F)c1nn[n-]n1 ZINC001363486952 1131969028 /nfs/dbraw/zinc/96/90/28/1131969028.db2.gz BUPROFXKHSGGBZ-LDYMZIIASA-N -1 1 331.254 -0.027 20 0 EBADMM CN(CCO)S(=O)(=O)c1ccc(C(=O)N(C)c2nn[n-]n2)cc1 ZINC001363491704 1131971901 /nfs/dbraw/zinc/97/19/01/1131971901.db2.gz UONLYPIJWGPHTN-UHFFFAOYSA-N -1 1 340.365 -0.911 20 0 EBADMM NC(=O)N[C@@H](CC(=O)N1CCOC[C@@H]1c1nn[n-]n1)c1ccccc1 ZINC001363512284 1131984493 /nfs/dbraw/zinc/98/44/93/1131984493.db2.gz LXAFGYQSCOMPCQ-NWDGAFQWSA-N -1 1 345.363 -0.101 20 0 EBADMM Cc1nc(N2CCOCC2)[n-]c(=O)c1CCC(=O)N1CC(C(N)=O)C1 ZINC001363517756 1131987292 /nfs/dbraw/zinc/98/72/92/1131987292.db2.gz GSTDYLCHLUAIDX-UHFFFAOYSA-N -1 1 349.391 -0.796 20 0 EBADMM CC(C)(C)[C@@H](NC(N)=O)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001363528490 1131996373 /nfs/dbraw/zinc/99/63/73/1131996373.db2.gz PGCXFBFDOUFCDJ-NSHDSACASA-N -1 1 337.380 -0.046 20 0 EBADMM C[C@@H]1CCN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)CCO1 ZINC001363579649 1132020422 /nfs/dbraw/zinc/02/04/22/1132020422.db2.gz SJPLIFUHUJQPDM-SECBINFHSA-N -1 1 345.377 -0.706 20 0 EBADMM COC[C@@H](NC(=O)c1cc(Br)n(C)n1)c1nn[n-]n1 ZINC001363584508 1132023236 /nfs/dbraw/zinc/02/32/36/1132023236.db2.gz FYTIOQPDTYCRCG-ZCFIWIBFSA-N -1 1 330.146 -0.187 20 0 EBADMM CCOC(=O)C1(CC(=O)N[C@H](COC)c2nn[n-]n2)CCOCC1 ZINC001363588495 1132024144 /nfs/dbraw/zinc/02/41/44/1132024144.db2.gz NQEDQIMDIIHTFJ-SNVBAGLBSA-N -1 1 341.368 -0.247 20 0 EBADMM COC[C@@H](NC(=O)c1cccc(C(=O)N2CCCC2)n1)c1nn[n-]n1 ZINC001363591541 1132026245 /nfs/dbraw/zinc/02/62/45/1132026245.db2.gz UMXRTPNHGYABMZ-GFCCVEGCSA-N -1 1 345.363 -0.052 20 0 EBADMM CNS(=O)(=O)c1ccc(CCNC(=O)CCc2nn[n-]n2)cc1 ZINC001363624394 1132035709 /nfs/dbraw/zinc/03/57/09/1132035709.db2.gz AJZWBLGGJCHRRH-UHFFFAOYSA-N -1 1 338.393 -0.601 20 0 EBADMM CSc1nc(CNC(=O)CN2CCS(=O)(=O)CC2)cc(=O)[n-]1 ZINC001363630131 1132038369 /nfs/dbraw/zinc/03/83/69/1132038369.db2.gz IRNJWXLZQSQFAP-UHFFFAOYSA-N -1 1 346.434 -0.749 20 0 EBADMM CCS(=O)(=O)N(C)CC(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC001363635669 1132039335 /nfs/dbraw/zinc/03/93/35/1132039335.db2.gz WDYWZVJURWFHEC-UHFFFAOYSA-N -1 1 334.423 -0.198 20 0 EBADMM CS(=O)(=O)C[C@@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)CCO1 ZINC001363658056 1132046950 /nfs/dbraw/zinc/04/69/50/1132046950.db2.gz XTBQKMIVWGTFQF-JTQLQIEISA-N -1 1 341.389 -0.055 20 0 EBADMM COC(=O)c1cc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)n(C)n1 ZINC001363690127 1132057942 /nfs/dbraw/zinc/05/79/42/1132057942.db2.gz PYKUHTRUFQJNDO-UHFFFAOYSA-N -1 1 347.331 -0.295 20 0 EBADMM C[C@@H](CS(C)(=O)=O)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001363694855 1132059517 /nfs/dbraw/zinc/05/95/17/1132059517.db2.gz ZUHKNSSNNXDQEA-QMMMGPOBSA-N -1 1 329.378 -0.448 20 0 EBADMM COC(=O)CCCC(=O)Nc1n[n-]c(OCCO)c1C(=O)OC ZINC001363728035 1132070722 /nfs/dbraw/zinc/07/07/22/1132070722.db2.gz JYPXYUPSDIUFAU-UHFFFAOYSA-N -1 1 329.309 -0.151 20 0 EBADMM COC(=O)CCCC(=O)Nc1[n-]nc(OCCO)c1C(=O)OC ZINC001363728035 1132070729 /nfs/dbraw/zinc/07/07/29/1132070729.db2.gz JYPXYUPSDIUFAU-UHFFFAOYSA-N -1 1 329.309 -0.151 20 0 EBADMM Cn1[n-]c(Cn2cc(S(=O)(=O)N3CCCC3)ccc2=O)nc1=O ZINC001363749027 1132074898 /nfs/dbraw/zinc/07/48/98/1132074898.db2.gz ONRSGYBKRAIALA-UHFFFAOYSA-N -1 1 339.377 -0.897 20 0 EBADMM COc1nscc1S(=O)(=O)[N-]CCS(=O)(=O)NC(C)C ZINC001363766426 1132080726 /nfs/dbraw/zinc/08/07/26/1132080726.db2.gz IVXZBMKNEAAQQH-UHFFFAOYSA-N -1 1 343.452 -0.242 20 0 EBADMM CO[C@@]1(C)C[C@H]([N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)C1(C)C ZINC001363784278 1132087613 /nfs/dbraw/zinc/08/76/13/1132087613.db2.gz HRXKYSSYRREFGR-ZANVPECISA-N -1 1 331.394 -0.032 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2CCO[C@@H](C3CC3)C2)c(=O)[nH]c1=O ZINC001363856457 1132112654 /nfs/dbraw/zinc/11/26/54/1132112654.db2.gz VTFJLTXVQPAHKQ-VHSXEESVSA-N -1 1 329.378 -0.278 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCN2C[C@H]3CC[C@@H](C2)O3)[n-]n1 ZINC001363861249 1132113975 /nfs/dbraw/zinc/11/39/75/1132113975.db2.gz LGOUVPBNEANSMV-AOOOYVTPSA-N -1 1 344.393 -0.662 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCN2C[C@H]3CC[C@@H](C2)O3)n[n-]1 ZINC001363861249 1132113981 /nfs/dbraw/zinc/11/39/81/1132113981.db2.gz LGOUVPBNEANSMV-AOOOYVTPSA-N -1 1 344.393 -0.662 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CC[C@@H]2NC(=O)CC[C@@H]2C1 ZINC001363882309 1132118235 /nfs/dbraw/zinc/11/82/35/1132118235.db2.gz BSHVSVJFEIOFEZ-MNOVXSKESA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CC[C@H]2NC(=O)CC[C@@H]2C1 ZINC001363882307 1132118364 /nfs/dbraw/zinc/11/83/64/1132118364.db2.gz BSHVSVJFEIOFEZ-GHMZBOCLSA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(CCCc1nn[n-]n1)NCC1C[C@H]2CC[C@@H](C1)S2(=O)=O ZINC001363895761 1132122907 /nfs/dbraw/zinc/12/29/07/1132122907.db2.gz KSUUPEHRZPMYAC-FGWVZKOKSA-N -1 1 327.410 -0.006 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC3(CNC(=O)C3)CC2)[n-]n1 ZINC001363952791 1132141235 /nfs/dbraw/zinc/14/12/35/1132141235.db2.gz WZWGPQPCZCPKAL-UHFFFAOYSA-N -1 1 342.377 -0.513 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC3(CNC(=O)C3)CC2)n[n-]1 ZINC001363952791 1132141242 /nfs/dbraw/zinc/14/12/42/1132141242.db2.gz WZWGPQPCZCPKAL-UHFFFAOYSA-N -1 1 342.377 -0.513 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCN(C3CC3)C(=O)C2)[n-]n1 ZINC001363957821 1132143359 /nfs/dbraw/zinc/14/33/59/1132143359.db2.gz CEBVSZWAMWSPQO-UHFFFAOYSA-N -1 1 328.350 -0.808 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCN(C3CC3)C(=O)C2)n[n-]1 ZINC001363957821 1132143362 /nfs/dbraw/zinc/14/33/62/1132143362.db2.gz CEBVSZWAMWSPQO-UHFFFAOYSA-N -1 1 328.350 -0.808 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)CCc2cnccn2)[n-]n1 ZINC001363961486 1132144898 /nfs/dbraw/zinc/14/48/98/1132144898.db2.gz DXDHNTTUTDZIQM-UHFFFAOYSA-N -1 1 325.350 -0.151 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)CCc2cnccn2)n[n-]1 ZINC001363961486 1132144901 /nfs/dbraw/zinc/14/49/01/1132144901.db2.gz DXDHNTTUTDZIQM-UHFFFAOYSA-N -1 1 325.350 -0.151 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H](C(=O)OC)[C@@H]2C)[n-]n1 ZINC001363965930 1132145774 /nfs/dbraw/zinc/14/57/74/1132145774.db2.gz IUDJHZQWTBDZRN-YUMQZZPRSA-N -1 1 331.350 -0.232 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H](C(=O)OC)[C@@H]2C)n[n-]1 ZINC001363965930 1132145778 /nfs/dbraw/zinc/14/57/78/1132145778.db2.gz IUDJHZQWTBDZRN-YUMQZZPRSA-N -1 1 331.350 -0.232 20 0 EBADMM C[C@H]([N-]S(=O)(=O)c1nc[nH]c1Br)C(=O)NCCF ZINC001363973821 1132150064 /nfs/dbraw/zinc/15/00/64/1132150064.db2.gz VFSQWFNTJWHKRG-YFKPBYRVSA-N -1 1 343.178 -0.075 20 0 EBADMM CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@@H]1CCN(C)C1=O ZINC001363988215 1132155249 /nfs/dbraw/zinc/15/52/49/1132155249.db2.gz LEQCKYSNIHVOFN-MRVPVSSYSA-N -1 1 330.366 -0.596 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCO[C@H]1C(=O)OC ZINC001363994240 1132157561 /nfs/dbraw/zinc/15/75/61/1132157561.db2.gz BCRHDBZCTXGSGQ-DTWKUNHWSA-N -1 1 347.349 -0.805 20 0 EBADMM CCOC(=O)c1nc([C@@H](C)NC(=O)N2CCS(=O)(=O)CC2)n[n-]1 ZINC001363994169 1132157889 /nfs/dbraw/zinc/15/78/89/1132157889.db2.gz AOZAFJKWEMQESR-MRVPVSSYSA-N -1 1 345.381 -0.518 20 0 EBADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)N2CCS(=O)(=O)CC2)[n-]1 ZINC001363994169 1132157893 /nfs/dbraw/zinc/15/78/93/1132157893.db2.gz AOZAFJKWEMQESR-MRVPVSSYSA-N -1 1 345.381 -0.518 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)N2CCS(=O)(=O)CC2)n1 ZINC001363994169 1132157895 /nfs/dbraw/zinc/15/78/95/1132157895.db2.gz AOZAFJKWEMQESR-MRVPVSSYSA-N -1 1 345.381 -0.518 20 0 EBADMM COC(=O)C1CCC(S(=O)(=O)[N-][C@](C)(CO)C(=O)OC)CC1 ZINC001364007144 1132163631 /nfs/dbraw/zinc/16/36/31/1132163631.db2.gz XKIFKLGIRZPUQS-SRHKJQAYSA-N -1 1 337.394 -0.438 20 0 EBADMM COC[C@H](NC(=O)CCNC(=O)c1ccc(F)cc1)c1nn[n-]n1 ZINC001364035368 1132176070 /nfs/dbraw/zinc/17/60/70/1132176070.db2.gz QJGUIWOZNLWPCK-NSHDSACASA-N -1 1 336.327 -0.037 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCCC23OCCO3)[n-]n1 ZINC001364041947 1132179717 /nfs/dbraw/zinc/17/97/17/1132179717.db2.gz VVLJWKTWURHKNT-VIFPVBQESA-N -1 1 331.350 -0.230 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCCC23OCCO3)n[n-]1 ZINC001364041947 1132179719 /nfs/dbraw/zinc/17/97/19/1132179719.db2.gz VVLJWKTWURHKNT-VIFPVBQESA-N -1 1 331.350 -0.230 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-][C@@H]2C(=O)NCC23CCOCC3)c1Cl ZINC001364049852 1132184186 /nfs/dbraw/zinc/18/41/86/1132184186.db2.gz OMHZPGBRAOMVJA-MRVPVSSYSA-N -1 1 348.812 -0.353 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCCN(CCF)C2)[n-]n1 ZINC001364052904 1132185721 /nfs/dbraw/zinc/18/57/21/1132185721.db2.gz MUJBMFSQRRKMQR-SECBINFHSA-N -1 1 334.373 -0.092 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCCN(CCF)C2)n[n-]1 ZINC001364052904 1132185727 /nfs/dbraw/zinc/18/57/27/1132185727.db2.gz MUJBMFSQRRKMQR-SECBINFHSA-N -1 1 334.373 -0.092 20 0 EBADMM CCOC(=O)CC1([N-]S(=O)(=O)[C@H]2CC(=O)N(C3CC3)C2)COC1 ZINC001364059330 1132189271 /nfs/dbraw/zinc/18/92/71/1132189271.db2.gz FUAQCFOOQWILLE-NSHDSACASA-N -1 1 346.405 -0.609 20 0 EBADMM C[C@H]1CCN(S(=O)(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC001364075861 1132197699 /nfs/dbraw/zinc/19/76/99/1132197699.db2.gz MVUZMCUIWAELSG-VIFPVBQESA-N -1 1 328.394 -0.069 20 0 EBADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-]C[C@H](O)c1cncs1 ZINC001364076431 1132198302 /nfs/dbraw/zinc/19/83/02/1132198302.db2.gz UEQCKHRJUAGLLH-ULCDLSAGSA-N -1 1 328.441 -0.415 20 0 EBADMM CNC(=O)C1([N-]S(=O)(=O)c2cc(C(=O)OC)co2)CCOCC1 ZINC001364079253 1132199105 /nfs/dbraw/zinc/19/91/05/1132199105.db2.gz JJOGLTAEPQMITA-UHFFFAOYSA-N -1 1 346.361 -0.360 20 0 EBADMM Cn1cccc(NC(=O)NCc2nc(CS(C)(=O)=O)n[n-]2)c1=O ZINC001364094056 1132204468 /nfs/dbraw/zinc/20/44/68/1132204468.db2.gz GWHMAYGQHQGKSW-UHFFFAOYSA-N -1 1 340.365 -0.630 20 0 EBADMM Cc1cc(C(=O)N(C)CC[N@H+](C)CCO)[n-]c2nc(=O)[n-]c(=O)c1-2 ZINC001364190333 1132230627 /nfs/dbraw/zinc/23/06/27/1132230627.db2.gz CVTBGWKHKZEOEK-UHFFFAOYSA-N -1 1 335.364 -0.259 20 0 EBADMM Cc1cc(C(=O)N(C)CC[N@@H+](C)CCO)[n-]c2nc(=O)[n-]c(=O)c1-2 ZINC001364190333 1132230634 /nfs/dbraw/zinc/23/06/34/1132230634.db2.gz CVTBGWKHKZEOEK-UHFFFAOYSA-N -1 1 335.364 -0.259 20 0 EBADMM CC(=O)NCC(=O)NCC(=O)NCCc1c(F)cc([O-])cc1F ZINC001364235183 1132248293 /nfs/dbraw/zinc/24/82/93/1132248293.db2.gz LJFZDCPZYIAVNC-UHFFFAOYSA-N -1 1 329.303 -0.419 20 0 EBADMM COC[C@](C)(O)CNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001364336331 1132280937 /nfs/dbraw/zinc/28/09/37/1132280937.db2.gz VZXVBKXUDJJPRS-CQSZACIVSA-N -1 1 326.353 -0.549 20 0 EBADMM COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)c1ccc(F)c([O-])c1 ZINC001364393980 1132303936 /nfs/dbraw/zinc/30/39/36/1132303936.db2.gz QXTVYXFWYHQASF-JTQLQIEISA-N -1 1 331.321 -0.057 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@@H](OC)C[C@]2(C)CO)co1 ZINC001364441275 1132317705 /nfs/dbraw/zinc/31/77/05/1132317705.db2.gz YIOOQTCPSBIBMW-GXFFZTMASA-N -1 1 332.378 -0.200 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)c1cn([C@@H]2CC[C@H]2O)nn1 ZINC001364548232 1132358640 /nfs/dbraw/zinc/35/86/40/1132358640.db2.gz GAJMMMYYOWDWNE-VXGBXAGGSA-N -1 1 346.347 -0.528 20 0 EBADMM COC(=O)[C@@H](NCc1nc2c(c(=O)[n-]1)COCC2)c1ccnn1C ZINC001364729773 1132406369 /nfs/dbraw/zinc/40/63/69/1132406369.db2.gz WZIAWCNSMTXEFZ-ZDUSSCGKSA-N -1 1 333.348 -0.008 20 0 EBADMM NC(=O)[C@H]1CC[C@@H](C[N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)O1 ZINC001364793676 1132428795 /nfs/dbraw/zinc/42/87/95/1132428795.db2.gz KYHAWSFSYQZJCE-NTSWFWBYSA-N -1 1 342.299 -0.260 20 0 EBADMM COC[C@H](NC(=O)c1csc(S(C)(=O)=O)c1)c1nn[n-]n1 ZINC001364815873 1132437260 /nfs/dbraw/zinc/43/72/60/1132437260.db2.gz RFDIVFQDHCJCDP-ZETCQYMHSA-N -1 1 331.379 -0.218 20 0 EBADMM CNC(=O)[C@@H](C)[N-]S(=O)(=O)N=[S@@](=O)(c1ccccc1)N(C)C ZINC001364815624 1132437378 /nfs/dbraw/zinc/43/73/78/1132437378.db2.gz POIIDFBRNKRWIY-UZJPJQLHSA-N -1 1 348.450 -0.041 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCC2(OC)CCOCC2)[n-]n1 ZINC001364828179 1132444511 /nfs/dbraw/zinc/44/45/11/1132444511.db2.gz BAYJOZYPDPDZBZ-UHFFFAOYSA-N -1 1 333.366 -0.330 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCC2(OC)CCOCC2)n[n-]1 ZINC001364828179 1132444514 /nfs/dbraw/zinc/44/45/14/1132444514.db2.gz BAYJOZYPDPDZBZ-UHFFFAOYSA-N -1 1 333.366 -0.330 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCN(C(C)(C)C)C2=O)[n-]n1 ZINC001364870092 1132460956 /nfs/dbraw/zinc/46/09/56/1132460956.db2.gz QFGZZAUGNOTFJM-QMMMGPOBSA-N -1 1 344.393 -0.126 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCN(C(C)(C)C)C2=O)n[n-]1 ZINC001364870092 1132460962 /nfs/dbraw/zinc/46/09/62/1132460962.db2.gz QFGZZAUGNOTFJM-QMMMGPOBSA-N -1 1 344.393 -0.126 20 0 EBADMM CCN1C[C@@H](CNS(=O)(=O)c2cc(C(=O)OC)n[n-]2)CC1=O ZINC001364877653 1132465439 /nfs/dbraw/zinc/46/54/39/1132465439.db2.gz OOAUQZSANJXUEZ-MRVPVSSYSA-N -1 1 330.366 -0.657 20 0 EBADMM CCN1C[C@@H](CNS(=O)(=O)c2cc(C(=O)OC)[n-]n2)CC1=O ZINC001364877653 1132465443 /nfs/dbraw/zinc/46/54/43/1132465443.db2.gz OOAUQZSANJXUEZ-MRVPVSSYSA-N -1 1 330.366 -0.657 20 0 EBADMM O=S(=O)([N-]C[C@@H](O)Cn1ccnn1)c1c[nH]nc1C(F)(F)F ZINC001364883219 1132468307 /nfs/dbraw/zinc/46/83/07/1132468307.db2.gz KYHIMFZLHJKCFD-ZCFIWIBFSA-N -1 1 340.287 -0.641 20 0 EBADMM C[C@H]1CN(C(=O)CN2CCN(C(=O)c3ncccc3[O-])CC2)CCO1 ZINC001364944882 1132497693 /nfs/dbraw/zinc/49/76/93/1132497693.db2.gz JTKSOZYFOUKARB-ZDUSSCGKSA-N -1 1 348.403 -0.208 20 0 EBADMM Cc1noc(C)c1CC[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001365032447 1132524081 /nfs/dbraw/zinc/52/40/81/1132524081.db2.gz BDAOBYPOKATFDW-UHFFFAOYSA-N -1 1 328.350 -0.388 20 0 EBADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@H](C(=O)OC)C1CC1 ZINC001365133059 1132554454 /nfs/dbraw/zinc/55/44/54/1132554454.db2.gz FUWLQIMEBYWOCQ-VIFPVBQESA-N -1 1 331.350 -0.265 20 0 EBADMM COC(=O)[C@H]1COCCN1S(=O)(=O)c1cc(OC)ccc1[O-] ZINC001365136374 1132555556 /nfs/dbraw/zinc/55/55/56/1132555556.db2.gz QSKBMEACIDBWBW-SNVBAGLBSA-N -1 1 331.346 -0.037 20 0 EBADMM C[C@H](NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)c1ncnn1C ZINC001365153220 1132562389 /nfs/dbraw/zinc/56/23/89/1132562389.db2.gz BIMAMORVJIKFDE-QMMMGPOBSA-N -1 1 333.352 -0.056 20 0 EBADMM COCC1(CS(=O)(=O)[N-]CC(=O)N=S(C)(C)=O)CCC1 ZINC001365422197 1132664043 /nfs/dbraw/zinc/66/40/43/1132664043.db2.gz KGSZBFFBGPOCLG-UHFFFAOYSA-N -1 1 326.440 -0.023 20 0 EBADMM C[C@]1(C(N)=O)CCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC001365426720 1132666455 /nfs/dbraw/zinc/66/64/55/1132666455.db2.gz AFCMFPTXNGYQRM-HNNXBMFYSA-N -1 1 335.364 -0.338 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCc2cn(C3CCC3)nn2)[n-]n1 ZINC001365430075 1132669720 /nfs/dbraw/zinc/66/97/20/1132669720.db2.gz NZJUYLNNAAGOTR-UHFFFAOYSA-N -1 1 340.365 -0.009 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCc2cn(C3CCC3)nn2)n[n-]1 ZINC001365430075 1132669729 /nfs/dbraw/zinc/66/97/29/1132669729.db2.gz NZJUYLNNAAGOTR-UHFFFAOYSA-N -1 1 340.365 -0.009 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H]3NC(=O)CC[C@H]3C2)[n-]n1 ZINC001365435342 1132672325 /nfs/dbraw/zinc/67/23/25/1132672325.db2.gz CMZTUOQJXWPNGY-IUCAKERBSA-N -1 1 342.377 -0.515 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H]3NC(=O)CC[C@H]3C2)n[n-]1 ZINC001365435342 1132672344 /nfs/dbraw/zinc/67/23/44/1132672344.db2.gz CMZTUOQJXWPNGY-IUCAKERBSA-N -1 1 342.377 -0.515 20 0 EBADMM CCOC(=O)c1nc([C@H](C)NS(=O)(=O)C[C@H]2CCOC2)n[n-]1 ZINC001365438352 1132675758 /nfs/dbraw/zinc/67/57/58/1132675758.db2.gz VSWHHUIKRYYLCO-IUCAKERBSA-N -1 1 332.382 -0.002 20 0 EBADMM CCOC(=O)c1nnc([C@H](C)NS(=O)(=O)C[C@H]2CCOC2)[n-]1 ZINC001365438352 1132675764 /nfs/dbraw/zinc/67/57/64/1132675764.db2.gz VSWHHUIKRYYLCO-IUCAKERBSA-N -1 1 332.382 -0.002 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](C)NS(=O)(=O)C[C@H]2CCOC2)n1 ZINC001365438352 1132675770 /nfs/dbraw/zinc/67/57/70/1132675770.db2.gz VSWHHUIKRYYLCO-IUCAKERBSA-N -1 1 332.382 -0.002 20 0 EBADMM COC(=O)[C@@](C)(CCF)[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001365448489 1132682159 /nfs/dbraw/zinc/68/21/59/1132682159.db2.gz OTLAPDLYDZIJOQ-LLVKDONJSA-N -1 1 337.329 -0.945 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2ncc3c(n2)CCC3)c(=O)[nH]c1=O ZINC001365449594 1132683396 /nfs/dbraw/zinc/68/33/96/1132683396.db2.gz VNFRYJMCSTXSJA-UHFFFAOYSA-N -1 1 337.361 -0.757 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@H]2CCOC[C@@H]2OC)[n-]n1 ZINC001365456865 1132688560 /nfs/dbraw/zinc/68/85/60/1132688560.db2.gz ZFRLYKJTVJROLN-UWVGGRQHSA-N -1 1 333.366 -0.379 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@H]2CCOC[C@@H]2OC)n[n-]1 ZINC001365456865 1132688563 /nfs/dbraw/zinc/68/85/63/1132688563.db2.gz ZFRLYKJTVJROLN-UWVGGRQHSA-N -1 1 333.366 -0.379 20 0 EBADMM COc1cc(S(=O)(=O)[N-]C[C@@]2(CO)COCCN2C)sn1 ZINC001365460598 1132692539 /nfs/dbraw/zinc/69/25/39/1132692539.db2.gz CEGWNBIWLARMEN-LLVKDONJSA-N -1 1 337.423 -0.877 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC[C@@H]2CCCC[C@@H]2O)c(=O)[nH]c1=O ZINC001365467569 1132696851 /nfs/dbraw/zinc/69/68/51/1132696851.db2.gz ITTPMEUFWCTEGR-UWVGGRQHSA-N -1 1 331.394 -0.295 20 0 EBADMM CCOC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)CC1 ZINC001365620266 1132737536 /nfs/dbraw/zinc/73/75/36/1132737536.db2.gz LKSSGXNWVPXDNY-UHFFFAOYSA-N -1 1 346.365 -0.341 20 0 EBADMM CCOC(=O)N1CCN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)CC1 ZINC001365620266 1132737541 /nfs/dbraw/zinc/73/75/41/1132737541.db2.gz LKSSGXNWVPXDNY-UHFFFAOYSA-N -1 1 346.365 -0.341 20 0 EBADMM Cc1cc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c(C)nn1 ZINC001365630254 1132739793 /nfs/dbraw/zinc/73/97/93/1132739793.db2.gz HKPQVCDWROUWAV-UHFFFAOYSA-N -1 1 331.380 -0.527 20 0 EBADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@H]1CCS(=O)(=O)C1 ZINC001365633571 1132740447 /nfs/dbraw/zinc/74/04/47/1132740447.db2.gz CMNAAFHKZPNMBT-SECBINFHSA-N -1 1 332.469 -0.237 20 0 EBADMM O=S(=O)([N-][C@H]1CCS(=O)(=O)C1)c1nc[nH]c1Br ZINC001365633907 1132740520 /nfs/dbraw/zinc/74/05/20/1132740520.db2.gz NOWZTTGQLBOFLP-YFKPBYRVSA-N -1 1 344.212 -0.362 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H](C)c2nnc3n2CCC3)[n-]n1 ZINC001365636672 1132742157 /nfs/dbraw/zinc/74/21/57/1132742157.db2.gz GNXHPXXRNYOXFR-ZETCQYMHSA-N -1 1 340.365 -0.227 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H](C)c2nnc3n2CCC3)n[n-]1 ZINC001365636672 1132742164 /nfs/dbraw/zinc/74/21/64/1132742164.db2.gz GNXHPXXRNYOXFR-ZETCQYMHSA-N -1 1 340.365 -0.227 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC(C3OCCO3)CC2)[n-]n1 ZINC001365641908 1132743432 /nfs/dbraw/zinc/74/34/32/1132743432.db2.gz UJWPLFFEIALMGX-UHFFFAOYSA-N -1 1 345.377 -0.030 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC(C3OCCO3)CC2)n[n-]1 ZINC001365641908 1132743435 /nfs/dbraw/zinc/74/34/35/1132743435.db2.gz UJWPLFFEIALMGX-UHFFFAOYSA-N -1 1 345.377 -0.030 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N1CCC(CO)(CO)C1 ZINC001365664973 1132753504 /nfs/dbraw/zinc/75/35/04/1132753504.db2.gz XLBIXCMIOBCLPH-UHFFFAOYSA-N -1 1 338.364 -0.859 20 0 EBADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@@H](CO)[C@@H]1CCOC1 ZINC001365703173 1132768441 /nfs/dbraw/zinc/76/84/41/1132768441.db2.gz QSAHXGTUXSTIHV-MNOVXSKESA-N -1 1 328.456 -0.026 20 0 EBADMM C[C@H]1OCC[C@]1(O)C[N-]S(=O)(=O)c1nc[nH]c1Br ZINC001365703118 1132768972 /nfs/dbraw/zinc/76/89/72/1132768972.db2.gz PLTFZRCPLXHFSZ-MUWHJKNJSA-N -1 1 340.199 -0.010 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H](CO)Cc1cnn(C)c1 ZINC001365718023 1132774529 /nfs/dbraw/zinc/77/45/29/1132774529.db2.gz KAAJFLRMCCEWKJ-JTQLQIEISA-N -1 1 329.382 -0.572 20 0 EBADMM CS(=O)(=O)N1CC[C@H]([N-]S(=O)(=O)N=S2(=O)CCCC2)C1 ZINC001365719039 1132775364 /nfs/dbraw/zinc/77/53/64/1132775364.db2.gz ZRCMLLDSNDNDDJ-VIFPVBQESA-N -1 1 345.468 -0.884 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)N3CC[C@H](CS(N)(=O)=O)C3)ccnc1-2 ZINC001365784237 1132797297 /nfs/dbraw/zinc/79/72/97/1132797297.db2.gz DPDXWKALOAQPDJ-VIFPVBQESA-N -1 1 338.393 -0.516 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)Cc1ccc(F)cn1 ZINC001365797809 1132804287 /nfs/dbraw/zinc/80/42/87/1132804287.db2.gz NIICNTLQLAQIQW-CYBMUJFWSA-N -1 1 348.382 -0.082 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)CCc3cscn3)C2)nc1=O ZINC001366011718 1132968754 /nfs/dbraw/zinc/96/87/54/1132968754.db2.gz TVYZFLGIRRMBFO-SNVBAGLBSA-N -1 1 336.421 -0.112 20 0 EBADMM CCN1CCOC[C@@H]1C(=O)NC[C@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001366045197 1132979316 /nfs/dbraw/zinc/97/93/16/1132979316.db2.gz QTUBDPTZVLDBKV-NWDGAFQWSA-N -1 1 338.412 -0.785 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](CNC(=O)[C@@]3(C)CCOC3)C2)nc1=O ZINC001366186092 1133046948 /nfs/dbraw/zinc/04/69/48/1133046948.db2.gz YYPLGHWDCFODCA-LRDDRELGSA-N -1 1 337.424 -0.137 20 0 EBADMM CCC(=O)N[C@H](C)C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001366216996 1133061052 /nfs/dbraw/zinc/06/10/52/1133061052.db2.gz QDHJPRADYYZXAW-GHMZBOCLSA-N -1 1 338.412 -0.104 20 0 EBADMM COc1c(C(=O)NCCN(C)Cc2nc(=O)n(C)[n-]2)c(C)nn1C ZINC001366571356 1133193211 /nfs/dbraw/zinc/19/32/11/1133193211.db2.gz PZGFKUSFVDIXMV-UHFFFAOYSA-N -1 1 337.384 -0.979 20 0 EBADMM CN(CCNC(=O)c1coc(CC2CC2)n1)Cc1nc(=O)n(C)[n-]1 ZINC001366577767 1133194808 /nfs/dbraw/zinc/19/48/08/1133194808.db2.gz MGGUNSBNFQCITH-UHFFFAOYSA-N -1 1 334.380 -0.089 20 0 EBADMM COc1ccccc1CC(=O)NCCN(C)Cc1nc(=O)n(C)[n-]1 ZINC001366589206 1133200269 /nfs/dbraw/zinc/20/02/69/1133200269.db2.gz ASZXEDWITHSWIP-UHFFFAOYSA-N -1 1 333.392 -0.092 20 0 EBADMM CCN(CCNC(=O)Cn1ccc(C)cc1=O)Cc1nc(=O)n(C)[n-]1 ZINC001366635784 1133220263 /nfs/dbraw/zinc/22/02/63/1133220263.db2.gz QJCVDXNHMVHTGM-UHFFFAOYSA-N -1 1 348.407 -0.783 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N1CCC(CN(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001366875155 1133335153 /nfs/dbraw/zinc/33/51/53/1133335153.db2.gz SWKBDGHYQFXSAB-JTQLQIEISA-N -1 1 338.412 -0.295 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)c1cc2n(n1)CCCO2 ZINC001367368758 1133566428 /nfs/dbraw/zinc/56/64/28/1133566428.db2.gz NNYDLXZSIFPCIC-SECBINFHSA-N -1 1 335.368 -0.260 20 0 EBADMM CC(=O)N[C@](C)(C(=O)N(C)[C@H](C)CNCc1n[nH]c(=O)[n-]1)C(C)C ZINC001367410168 1133582630 /nfs/dbraw/zinc/58/26/30/1133582630.db2.gz HJHWAOORINCTOE-BMIGLBTASA-N -1 1 340.428 -0.002 20 0 EBADMM CC(C)[C@@H](CNC(=O)Cn1cc(C2CC2)nn1)NCc1n[nH]c(=O)[n-]1 ZINC001367472810 1133596373 /nfs/dbraw/zinc/59/63/73/1133596373.db2.gz IWWPZVMBSGJGMI-LLVKDONJSA-N -1 1 348.411 -0.090 20 0 EBADMM CC(C)[C@@H](CNC(=O)[C@H](C)S(C)(=O)=O)NCc1n[nH]c(=O)[n-]1 ZINC001367470856 1133596630 /nfs/dbraw/zinc/59/66/30/1133596630.db2.gz CCKJNXPADAVSNF-DTWKUNHWSA-N -1 1 333.414 -0.826 20 0 EBADMM CN(CCN(C)C(=O)c1nn(C)cc1Cl)Cc1nc(=O)n(C)[n-]1 ZINC001367512392 1133608438 /nfs/dbraw/zinc/60/84/38/1133608438.db2.gz VDJHLFHTKQNTGV-UHFFFAOYSA-N -1 1 341.803 -0.301 20 0 EBADMM CO[C@H](C(=O)N(C)CCN(C)Cc1nc(=O)n(C)[n-]1)[C@H]1CCOC1 ZINC001367527578 1133615419 /nfs/dbraw/zinc/61/54/19/1133615419.db2.gz DTLAXBQFVLSPGU-AAEUAGOBSA-N -1 1 341.412 -0.950 20 0 EBADMM CO[C@H](CC(=O)NC[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(F)(F)F ZINC001367616138 1133697942 /nfs/dbraw/zinc/69/79/42/1133697942.db2.gz HYXUVUNSZHPZKO-RNFRBKRXSA-N -1 1 341.290 -0.956 20 0 EBADMM Cc1c(CCC(=O)N[C@H](C)CN(C)Cc2nc(=O)n(C)[n-]2)cnn1C ZINC001367802846 1133789723 /nfs/dbraw/zinc/78/97/23/1133789723.db2.gz GPBLZNJXUZKYGP-LLVKDONJSA-N -1 1 349.439 -0.280 20 0 EBADMM Cc1ccn([C@H](C)CC(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001367951166 1133857817 /nfs/dbraw/zinc/85/78/17/1133857817.db2.gz LTPPQVZMPAJMQA-CHWSQXEVSA-N -1 1 347.423 -0.045 20 0 EBADMM Cc1cc(C2(C(=O)NC[C@@H]3CCN3Cc3nc(=O)n(C)[n-]3)CC2)on1 ZINC001367961495 1133865827 /nfs/dbraw/zinc/86/58/27/1133865827.db2.gz OUEPBYNXKYVTJO-NSHDSACASA-N -1 1 346.391 -0.173 20 0 EBADMM O=C(NCC1(NCc2cc(=O)n3[n-]ccc3n2)CC1)[C@@H]1CCC(=O)N1 ZINC001368037935 1133907353 /nfs/dbraw/zinc/90/73/53/1133907353.db2.gz QPTPUHNJMLIBDL-NSHDSACASA-N -1 1 344.375 -0.960 20 0 EBADMM Cn1cnc(C(=O)NC[C@@]2(C)CCCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001368214494 1133991930 /nfs/dbraw/zinc/99/19/30/1133991930.db2.gz HIWFZSBZMFFFKL-MRXNPFEDSA-N -1 1 347.423 -0.126 20 0 EBADMM C[C@H](CS(C)(=O)=O)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001368266064 1134064938 /nfs/dbraw/zinc/06/49/38/1134064938.db2.gz FTPLLGUWRHRZOJ-MRVPVSSYSA-N -1 1 344.393 -0.513 20 0 EBADMM Cn1ncc(CN2CCC[C@@](CO)(NC(=O)c3ncccc3[O-])C2)n1 ZINC001368374833 1134180037 /nfs/dbraw/zinc/18/00/37/1134180037.db2.gz QKUDVKWJTRESOU-MRXNPFEDSA-N -1 1 346.391 -0.327 20 0 EBADMM CCC(=O)NCC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H](C)C1 ZINC001368692271 1134393545 /nfs/dbraw/zinc/39/35/45/1134393545.db2.gz IIHYDFJZXVZVHH-QWRGUYRKSA-N -1 1 338.412 -0.896 20 0 EBADMM O=C([C@@H]1C[C@H]1C1CC1)N1CCC(O)(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001368694959 1134395087 /nfs/dbraw/zinc/39/50/87/1134395087.db2.gz ZTHQMFGKFXRNLK-NWDGAFQWSA-N -1 1 335.408 -0.001 20 0 EBADMM C[C@H](CNC(=O)c1cnc2n1CCC2)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001368957824 1134496947 /nfs/dbraw/zinc/49/69/47/1134496947.db2.gz SQPIEHOPTYRPIB-SNVBAGLBSA-N -1 1 333.396 -0.499 20 0 EBADMM Cc1cccc(C(=O)NC2(CCO)CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001369017841 1134522925 /nfs/dbraw/zinc/52/29/25/1134522925.db2.gz NCHKGDGZIWCDIB-UHFFFAOYSA-N -1 1 346.391 -0.821 20 0 EBADMM C[C@@H](NC(=O)Cc1ccc(F)cn1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001369080228 1134555622 /nfs/dbraw/zinc/55/56/22/1134555622.db2.gz BCTVTAPXRJZSIH-SNVBAGLBSA-N -1 1 348.382 -0.178 20 0 EBADMM Cn1[n-]c(CN[C@@H](CO)CNC(=O)[C@H]2CCCCC2(F)F)nc1=O ZINC001369337796 1134683284 /nfs/dbraw/zinc/68/32/84/1134683284.db2.gz BTISDJFZQKBKPH-NXEZZACHSA-N -1 1 347.366 -0.499 20 0 EBADMM Cc1cc(C(=O)NC[C@H](CO)NCc2nc(=O)n(C)[n-]2)c(C)s1 ZINC001369338795 1134684631 /nfs/dbraw/zinc/68/46/31/1134684631.db2.gz JPXPYKKEUCELTF-SNVBAGLBSA-N -1 1 339.421 -0.333 20 0 EBADMM Cn1[n-]c(CN[C@H](CO)CNC(=O)[C@@H]2CCCC2(C)C)nc1=O ZINC001369354908 1134705504 /nfs/dbraw/zinc/70/55/04/1134705504.db2.gz WRCOWQHZBMFMGA-QWRGUYRKSA-N -1 1 325.413 -0.499 20 0 EBADMM O=C(CCc1c[nH]nn1)N[C@]12CCC[C@H]1N(Cc1n[nH]c(=O)[n-]1)CC2 ZINC001369412018 1134768839 /nfs/dbraw/zinc/76/88/39/1134768839.db2.gz LZMBNEHBEUDHQI-ABAIWWIYSA-N -1 1 346.395 -0.126 20 0 EBADMM CN(C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)C1Cc2ccccc2C1 ZINC001369478078 1134840565 /nfs/dbraw/zinc/84/05/65/1134840565.db2.gz XNOUANBSLAFBIA-CQSZACIVSA-N -1 1 345.403 -0.166 20 0 EBADMM CN(C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)[C@H]1Cc2ccccc21 ZINC001369489598 1134862250 /nfs/dbraw/zinc/86/22/50/1134862250.db2.gz WLMMGUVUFWFIPQ-YPMHNXCESA-N -1 1 331.376 -0.241 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H](O)CN(C)C(=O)CCC1CCC1 ZINC001369498895 1134881321 /nfs/dbraw/zinc/88/13/21/1134881321.db2.gz WVANIPOZHAHQTB-ZDUSSCGKSA-N -1 1 339.440 -0.060 20 0 EBADMM CNC(=O)NCCC(=O)N1CC([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001370099014 1135200120 /nfs/dbraw/zinc/20/01/20/1135200120.db2.gz BTBRKIUBQGUZJQ-SNVBAGLBSA-N -1 1 349.391 -0.317 20 0 EBADMM CCCn1cc(C(=O)NC[C@H](NCc2n[nH]c(=O)[n-]2)C2CC2)nn1 ZINC001370257212 1135272446 /nfs/dbraw/zinc/27/24/46/1135272446.db2.gz NCNAUFVYLVGGHC-JTQLQIEISA-N -1 1 334.384 -0.190 20 0 EBADMM Cn1nccc1CCC(=O)NC[C@@H](CO)NC(=O)c1ncccc1[O-] ZINC001370717695 1135540804 /nfs/dbraw/zinc/54/08/04/1135540804.db2.gz QKRSBACHRGIMHE-NSHDSACASA-N -1 1 347.375 -0.640 20 0 EBADMM Cn1ccc(=O)c(C(=O)NC[C@H](CO)NC(=O)c2ncccc2[O-])c1 ZINC001370759050 1135601780 /nfs/dbraw/zinc/60/17/80/1135601780.db2.gz RKMYQTJYICASHZ-SNVBAGLBSA-N -1 1 346.343 -0.993 20 0 EBADMM CNC(=O)NCC(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC001370907062 1135691927 /nfs/dbraw/zinc/69/19/27/1135691927.db2.gz IXJYJOAMJISGKO-MNOVXSKESA-N -1 1 349.391 -0.175 20 0 EBADMM CCn1ncc(C(=O)NC[C@H](O)CN(C)C(=O)c2ncccc2[O-])n1 ZINC001370988922 1135739607 /nfs/dbraw/zinc/73/96/07/1135739607.db2.gz KZERHBQBZVKMQH-JTQLQIEISA-N -1 1 348.363 -0.739 20 0 EBADMM C[C@@H](CNC(=O)c1ccnc(N2CCCC2)n1)NCc1n[nH]c(=O)[n-]1 ZINC001371255343 1135873535 /nfs/dbraw/zinc/87/35/35/1135873535.db2.gz NCTRHUJJGHBPID-JTQLQIEISA-N -1 1 346.395 -0.191 20 0 EBADMM C[C@H](CNC(=O)c1ccnc(N2CCCC2)n1)NCc1n[nH]c(=O)[n-]1 ZINC001371255349 1135873551 /nfs/dbraw/zinc/87/35/51/1135873551.db2.gz NCTRHUJJGHBPID-SNVBAGLBSA-N -1 1 346.395 -0.191 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CNC(=O)NC ZINC001371745103 1136012661 /nfs/dbraw/zinc/01/26/61/1136012661.db2.gz BDJRYELFBBEYOI-WDEREUQCSA-N -1 1 349.391 -0.175 20 0 EBADMM Cc1n[nH]c(C(=O)NCCN(C)CCCS(=O)(=O)N(C)C)c1[O-] ZINC001371950633 1136067787 /nfs/dbraw/zinc/06/77/87/1136067787.db2.gz AFMYLHJKVMTXLP-UHFFFAOYSA-N -1 1 347.441 -0.633 20 0 EBADMM Cc1c(CCC(=O)NCCN(C)Cc2nc(=O)n(C)[n-]2)cnn1C ZINC001371979450 1136075836 /nfs/dbraw/zinc/07/58/36/1136075836.db2.gz NHIXISUTTMOOKG-UHFFFAOYSA-N -1 1 335.412 -0.669 20 0 EBADMM NC(=O)C1CCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CC1 ZINC001372187122 1136131282 /nfs/dbraw/zinc/13/12/82/1136131282.db2.gz LUABAHNDLMKGDP-UHFFFAOYSA-N -1 1 335.364 -0.338 20 0 EBADMM O=C(NCCN1CCN(C(=O)c2ncc[nH]2)CC1)c1ncccc1[O-] ZINC001372205663 1136135442 /nfs/dbraw/zinc/13/54/42/1136135442.db2.gz DTFFJYIGOMAPMW-UHFFFAOYSA-N -1 1 344.375 -0.302 20 0 EBADMM CC[C@@H](C(=O)NCCN(C)Cc1nc(=O)n(C)[n-]1)N1CCCC1=O ZINC001372220577 1136143756 /nfs/dbraw/zinc/14/37/56/1136143756.db2.gz FSLQBGMDGYHTQV-NSHDSACASA-N -1 1 338.412 -0.943 20 0 EBADMM CCN(CCNC(=O)Cc1cnn(C)c1C)Cc1nc(=O)n(C)[n-]1 ZINC001372342759 1136176577 /nfs/dbraw/zinc/17/65/77/1136176577.db2.gz UOAFQQBOEGOGAI-UHFFFAOYSA-N -1 1 335.412 -0.669 20 0 EBADMM CCN(CCNC(=O)c1cnn(C)c1Cl)Cc1nc(=O)n(C)[n-]1 ZINC001372352436 1136178167 /nfs/dbraw/zinc/17/81/67/1136178167.db2.gz ADXJKBSIJNZENI-UHFFFAOYSA-N -1 1 341.803 -0.253 20 0 EBADMM O=C([N-]CC1CN(C(=O)NCC[C@@H]2CNC(=O)C2)C1)C(F)(F)F ZINC001372474936 1136207802 /nfs/dbraw/zinc/20/78/02/1136207802.db2.gz PMAPPKWWKJYKLJ-QMMMGPOBSA-N -1 1 336.314 -0.168 20 0 EBADMM COC[C@@H](C)C(=O)N[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001372546663 1136227808 /nfs/dbraw/zinc/22/78/08/1136227808.db2.gz JFTOTNVIPYBHLT-OPRDCNLKSA-N -1 1 348.363 -0.923 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CCNC(=O)CC1 ZINC001372838680 1136301306 /nfs/dbraw/zinc/30/13/06/1136301306.db2.gz WNOSXOGSRGKLII-QWRGUYRKSA-N -1 1 334.376 -0.062 20 0 EBADMM O=C(NCC[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)[C@H]1COCCO1 ZINC001373142234 1136380309 /nfs/dbraw/zinc/38/03/09/1136380309.db2.gz BRIWBIICYQICNP-WDEREUQCSA-N -1 1 325.369 -0.746 20 0 EBADMM CCN(C(=O)Cn1[n-]cc2c(=O)ncnc1-2)[C@H]1CCN(CCOC)C1 ZINC001373709588 1136544331 /nfs/dbraw/zinc/54/43/31/1136544331.db2.gz IAOHREDAFAXBOF-LBPRGKRZSA-N -1 1 348.407 -0.360 20 0 EBADMM CC[C@@H](CNC(=O)CS(=O)(=O)C(C)(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001373821687 1136567330 /nfs/dbraw/zinc/56/73/30/1136567330.db2.gz OTSWTXSQVARBKX-VIFPVBQESA-N -1 1 347.441 -0.292 20 0 EBADMM CC[C@H](CNC(=O)CC[C@]1(C)CCC(=O)N1)NCc1n[nH]c(=O)[n-]1 ZINC001373834390 1136570312 /nfs/dbraw/zinc/57/03/12/1136570312.db2.gz DPESELGJNDFRAB-MEBBXXQBSA-N -1 1 338.412 -0.056 20 0 EBADMM COCC(=O)NC[C@H]1CCCN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001373972035 1136596824 /nfs/dbraw/zinc/59/68/24/1136596824.db2.gz XUDOPNBMLTUHAO-MRVPVSSYSA-N -1 1 340.336 -0.736 20 0 EBADMM CC(=O)CCCC(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001374122651 1136627586 /nfs/dbraw/zinc/62/75/86/1136627586.db2.gz UDHODCWPEBEOFH-LBPRGKRZSA-N -1 1 339.396 -0.815 20 0 EBADMM Cc1nn(C)cc1C(=O)NCC1([NH2+]Cc2nc(=O)n(C)[n-]2)CCCC1 ZINC001374150183 1136639827 /nfs/dbraw/zinc/63/98/27/1136639827.db2.gz HCPLNXUPVOQXTR-UHFFFAOYSA-N -1 1 347.423 -0.017 20 0 EBADMM CN1CCO[C@H](C(=O)NCCN(C(=O)c2ncccc2[O-])C2CC2)C1 ZINC001374209548 1136649290 /nfs/dbraw/zinc/64/92/90/1136649290.db2.gz FPVDVXQZJAKCOH-AWEZNQCLSA-N -1 1 348.403 -0.161 20 0 EBADMM CC(C)C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C[C@H]1CCC(=O)N1 ZINC001374359342 1136678368 /nfs/dbraw/zinc/67/83/68/1136678368.db2.gz PDTIAUXJEWKCHV-GHMZBOCLSA-N -1 1 338.412 -0.201 20 0 EBADMM COc1cc(CNC[C@H](O)CNC(=O)c2[nH]nc(C)c2[O-])sn1 ZINC001374595951 1136735287 /nfs/dbraw/zinc/73/52/87/1136735287.db2.gz XMSRSNNMVFPKFW-QMMMGPOBSA-N -1 1 341.393 -0.231 20 0 EBADMM Cc1nc(C2CC2)ccc1C(=O)NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001374660316 1136783118 /nfs/dbraw/zinc/78/31/18/1136783118.db2.gz WXVLKDHLXOEGPB-NSHDSACASA-N -1 1 346.391 -0.028 20 0 EBADMM O=C(NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1)c1csc(Cl)n1 ZINC001374666997 1136787628 /nfs/dbraw/zinc/78/76/28/1136787628.db2.gz QTHWDXBJBMSWAX-YFKPBYRVSA-N -1 1 332.773 -0.499 20 0 EBADMM C[C@@]1(C(=O)NC[C@@H](O)CNCc2n[nH]c(=O)[n-]2)CCc2ccccc21 ZINC001374678812 1136796725 /nfs/dbraw/zinc/79/67/25/1136796725.db2.gz WRNSWWVRSURFNV-YVEFUNNKSA-N -1 1 345.403 -0.019 20 0 EBADMM C[C@@H](CC(=O)N1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1[O-])NC(N)=O ZINC001374931291 1136878457 /nfs/dbraw/zinc/87/84/57/1136878457.db2.gz OPCQFRRUDZEHGN-DCAQKATOSA-N -1 1 347.375 -0.341 20 0 EBADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)c1cc(=O)n(C)cn1 ZINC001375062332 1136904691 /nfs/dbraw/zinc/90/46/91/1136904691.db2.gz YUJRXKLXPAWPDE-JTQLQIEISA-N -1 1 345.359 -0.181 20 0 EBADMM CC[C@H](CNC(=O)C[C@H]1CCNC1=O)NC(=O)c1ncccc1[O-] ZINC001375104418 1136914950 /nfs/dbraw/zinc/91/49/50/1136914950.db2.gz CKECJINBXUJBNM-GHMZBOCLSA-N -1 1 334.376 -0.062 20 0 EBADMM C[C@H](CN(C)[C@H]1CCCNC1=O)NC(=O)c1c[n-]n2c1nccc2=O ZINC001375123673 1136920606 /nfs/dbraw/zinc/92/06/06/1136920606.db2.gz XAQHUXATGWWFHS-PWSUYJOCSA-N -1 1 346.391 -0.649 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@]2(C(N)=O)CCOC2)o1 ZINC000568901111 376195093 /nfs/dbraw/zinc/19/50/93/376195093.db2.gz MHFQPFWUAWVOCD-GFCCVEGCSA-N -1 1 332.334 -0.621 20 0 EBADMM CCO[C@H](CS(=O)(=O)[N-][C@@H]1CS(=O)(=O)C[C@H]1OC)C1CC1 ZINC000625276974 376035617 /nfs/dbraw/zinc/03/56/17/376035617.db2.gz HBCACLSPDZESON-IJLUTSLNSA-N -1 1 341.451 -0.467 20 0 EBADMM CCO[C@H](CS(=O)(=O)[N-][C@H]1CS(=O)(=O)C[C@@H]1OC)C1CC1 ZINC000625277565 376037030 /nfs/dbraw/zinc/03/70/30/376037030.db2.gz HBCACLSPDZESON-TUAOUCFPSA-N -1 1 341.451 -0.467 20 0 EBADMM O=C(NCc1nn[n-]n1)[C@@H]1CCCN1C(=O)c1ccc2c(c1)OCO2 ZINC000600496811 376421782 /nfs/dbraw/zinc/42/17/82/376421782.db2.gz WGUQPRRXSHNVSF-JTQLQIEISA-N -1 1 344.331 -0.151 20 0 EBADMM Cc1nnnn1[C@@H](Cc1cccc(F)c1)C(=O)NCc1nn[n-]n1 ZINC000600505793 376425389 /nfs/dbraw/zinc/42/53/89/376425389.db2.gz SFXJOMJFMICOIR-NSHDSACASA-N -1 1 331.315 -0.266 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C2(C)Cc3ccccc3C2)c(=O)n(C)c1=O ZINC000092268749 376452121 /nfs/dbraw/zinc/45/21/21/376452121.db2.gz UEIKAEUIJAUQIQ-UHFFFAOYSA-N -1 1 349.412 -0.080 20 0 EBADMM CNC(=O)NCC(=O)Nc1ccc(OC)c([N-]S(C)(=O)=O)c1 ZINC000536387381 376548858 /nfs/dbraw/zinc/54/88/58/376548858.db2.gz WFXVNHNZDCDKJC-UHFFFAOYSA-N -1 1 330.366 -0.066 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1CC(=O)N(C)[C@H]1c1ccnn1C)c1nn[n-]n1 ZINC000560370966 376686444 /nfs/dbraw/zinc/68/64/44/376686444.db2.gz FEFIYRRFOVMTAI-GBIKHYSHSA-N -1 1 346.395 -0.285 20 0 EBADMM C[C@@H](C[C@@H]1CCCO1)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000588173091 377389934 /nfs/dbraw/zinc/38/99/34/377389934.db2.gz JWPYOYKXUOLBEX-UWVGGRQHSA-N -1 1 331.394 -0.680 20 0 EBADMM O=C(CN1CCc2ccccc2C1=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000363892979 377505897 /nfs/dbraw/zinc/50/58/97/377505897.db2.gz WNORVQCURNGWHJ-ZDUSSCGKSA-N -1 1 342.359 -0.202 20 0 EBADMM COC(=O)[C@]1([N-]S(=O)(=O)[C@@H]2CC(=O)N(C3CC3)C2)CCSC1 ZINC000601378349 377551346 /nfs/dbraw/zinc/55/13/46/377551346.db2.gz BCRYNYIKLPPAQY-MFKMUULPSA-N -1 1 348.446 -0.282 20 0 EBADMM COC(=O)[C@@](C)(CCF)[N-]S(=O)(=O)[C@@H]1CC(=O)N(C2CC2)C1 ZINC000601467268 377589959 /nfs/dbraw/zinc/58/99/59/377589959.db2.gz SOXNGKLBUSQUCD-ZWNOBZJWSA-N -1 1 336.385 -0.040 20 0 EBADMM C[C@H](C(=O)N(C)C)S(=O)(=O)[N-][C@H]1CN(c2ccccc2)C1=O ZINC000364761356 377658594 /nfs/dbraw/zinc/65/85/94/377658594.db2.gz VCQYNJAUKSBDGG-PWSUYJOCSA-N -1 1 325.390 -0.202 20 0 EBADMM CCc1cc(C(=O)OC)c(NC(=O)C(=O)NCc2nn[n-]n2)s1 ZINC000591873193 377761481 /nfs/dbraw/zinc/76/14/81/377761481.db2.gz FKASBUXXBBYCLN-UHFFFAOYSA-N -1 1 338.349 -0.135 20 0 EBADMM COC(=O)[C@@H](C)CS(=O)(=O)[N-][C@H](C(=O)OC)c1ccnn1C ZINC000592091416 377798045 /nfs/dbraw/zinc/79/80/45/377798045.db2.gz JTFIFSTUWRXERA-WPRPVWTQSA-N -1 1 333.366 -0.637 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@]2(OCCO)CCOC2)o1 ZINC000594816561 377955782 /nfs/dbraw/zinc/95/57/82/377955782.db2.gz IQRSUHMFCMEFAQ-CYBMUJFWSA-N -1 1 349.361 -0.488 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@]2(C(=O)OC)CCOC2)cc1C ZINC000595318737 377997054 /nfs/dbraw/zinc/99/70/54/377997054.db2.gz MGQPFJWVOALBIF-ZDUSSCGKSA-N -1 1 347.345 -0.015 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC3CC2(C(=O)OC)C3)o1 ZINC000598065502 378155418 /nfs/dbraw/zinc/15/54/18/378155418.db2.gz WYNXROGGMZGUNL-UHFFFAOYSA-N -1 1 328.346 -0.035 20 0 EBADMM C[C@@H]1Cc2cc(C(=O)NCc3nn[n-]n3)ccc2N1S(C)(=O)=O ZINC000599334296 378231854 /nfs/dbraw/zinc/23/18/54/378231854.db2.gz KMMDHCLHDTVCLM-MRVPVSSYSA-N -1 1 336.377 -0.160 20 0 EBADMM CCO[C@H]1C[C@H](NC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C1 ZINC000617957660 378539957 /nfs/dbraw/zinc/53/99/57/378539957.db2.gz JEHBVCDJXZOFQK-KYZUINATSA-N -1 1 345.377 -0.660 20 0 EBADMM CC(C)CNC(=O)c1ccc(NC(=O)C(=O)NCc2nn[n-]n2)cc1 ZINC000606117412 378530377 /nfs/dbraw/zinc/53/03/77/378530377.db2.gz MTSYBSRNPDOXCV-UHFFFAOYSA-N -1 1 345.363 -0.160 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@@H]1[C@@H]1COCC[C@@H]1O ZINC000337158676 378620030 /nfs/dbraw/zinc/62/00/30/378620030.db2.gz REBOSTRHHXIJQL-XQQFMLRXSA-N -1 1 349.387 -0.095 20 0 EBADMM COC(=O)C1(NC(=O)c2ncc(C)cc2[O-])CCS(=O)(=O)CC1 ZINC000337666385 378676824 /nfs/dbraw/zinc/67/68/24/378676824.db2.gz UQEIGQZSXMJKJN-UHFFFAOYSA-N -1 1 342.373 -0.054 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCO[C@@H](C(=O)C2CC2)C1 ZINC000338200165 378744495 /nfs/dbraw/zinc/74/44/95/378744495.db2.gz QPQBPADGZAOQTC-GFCCVEGCSA-N -1 1 333.344 -0.277 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]CCN2C(=O)CCCC2=O)c1 ZINC000338228821 378746150 /nfs/dbraw/zinc/74/61/50/378746150.db2.gz HCLCBIQVSJSYCO-UHFFFAOYSA-N -1 1 344.345 -0.116 20 0 EBADMM C[C@@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])C[C@@H]1N1CCOCC1 ZINC000338266019 378752130 /nfs/dbraw/zinc/75/21/30/378752130.db2.gz OONFXFHYCZLWIS-OLZOCXBDSA-N -1 1 348.403 -0.304 20 0 EBADMM COC[C@H]([N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F)C(=O)OC ZINC000338945096 378832858 /nfs/dbraw/zinc/83/28/58/378832858.db2.gz NPFSGGUCBGWICV-LURJTMIESA-N -1 1 345.299 -0.095 20 0 EBADMM CC1(C)SC[C@H]1NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000338740050 378810362 /nfs/dbraw/zinc/81/03/62/378810362.db2.gz FPDKGYZJVWLKJS-MRVPVSSYSA-N -1 1 347.418 -0.333 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCCCS(N)(=O)=O)o1 ZINC000339024515 378843599 /nfs/dbraw/zinc/84/35/99/378843599.db2.gz SRYLTMUAHYLYEO-UHFFFAOYSA-N -1 1 340.379 -0.587 20 0 EBADMM CSc1nc(=O)n(CC(=O)NC[C@@H]2CN(CC(C)C)CCO2)[n-]1 ZINC000366440583 378957944 /nfs/dbraw/zinc/95/79/44/378957944.db2.gz BXXVDJMLSCRUBS-LLVKDONJSA-N -1 1 343.453 -0.234 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)[C@@H](NC(=O)COC)C(C)C)n[n-]1 ZINC000615919949 379051421 /nfs/dbraw/zinc/05/14/21/379051421.db2.gz KJJLRACKRUUNBF-NSHDSACASA-N -1 1 341.368 -0.615 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H](NC(=O)COC)C(C)C)n1 ZINC000615919949 379051434 /nfs/dbraw/zinc/05/14/34/379051434.db2.gz KJJLRACKRUUNBF-NSHDSACASA-N -1 1 341.368 -0.615 20 0 EBADMM CNS(=O)(=O)c1ccc(C)c(C(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000571612732 379219593 /nfs/dbraw/zinc/21/95/93/379219593.db2.gz PIAPMQMSBIOSGH-UHFFFAOYSA-N -1 1 336.377 -0.165 20 0 EBADMM CCNC(=O)N1CC[C@H](NC(=O)c2ccc(S(=O)(=O)[N-]C)o2)C1 ZINC000268468805 379305139 /nfs/dbraw/zinc/30/51/39/379305139.db2.gz MGVUTQBASQDYEN-VIFPVBQESA-N -1 1 344.393 -0.279 20 0 EBADMM C[C@H](CS(=O)(=O)c1ccc(F)cc1)C(=O)NN1CC(=O)[N-]C1=O ZINC000269311146 379361467 /nfs/dbraw/zinc/36/14/67/379361467.db2.gz NUWAZPYZMGMTKT-MRVPVSSYSA-N -1 1 343.336 -0.182 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H](C)CN2CCCC2=O)co1 ZINC000270051590 379429821 /nfs/dbraw/zinc/42/98/21/379429821.db2.gz RIYSGBJTNMJNRF-VIFPVBQESA-N -1 1 329.378 -0.072 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-][C@]2(C)CCS(=O)(=O)C2)c1 ZINC000270054732 379430965 /nfs/dbraw/zinc/43/09/65/379430965.db2.gz VOCSZXKOWOVDIM-LLVKDONJSA-N -1 1 337.375 -0.078 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](CO)c2cnn(C)c2)o1 ZINC000270137111 379433183 /nfs/dbraw/zinc/43/31/83/379433183.db2.gz AIRHPVWHCVDOGK-SECBINFHSA-N -1 1 329.334 -0.188 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCCN2CCCC2=O)co1 ZINC000270150574 379436170 /nfs/dbraw/zinc/43/61/70/379436170.db2.gz VRTDISPAVXSPSB-UHFFFAOYSA-N -1 1 329.378 -0.070 20 0 EBADMM CCOCCC1(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000269796012 379408267 /nfs/dbraw/zinc/40/82/67/379408267.db2.gz SMNMPQOVEGJVNJ-UHFFFAOYSA-N -1 1 345.421 -0.431 20 0 EBADMM CCCC(O)(CCC)C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000269800836 379408542 /nfs/dbraw/zinc/40/85/42/379408542.db2.gz SHQMAEXCEUSVKF-UHFFFAOYSA-N -1 1 347.437 -0.306 20 0 EBADMM CO[C@H]1CS(=O)(=O)C[C@H]1[N-]S(=O)(=O)[C@@H]1C[C@@H]2CC[C@H]1O2 ZINC000641605355 379452584 /nfs/dbraw/zinc/45/25/84/379452584.db2.gz ADCSVSWCKFJDFX-UNQZSWDGSA-N -1 1 325.408 -0.962 20 0 EBADMM O=C(Cn1nc2ccccn2c1=O)Nc1nc(SCCO)n[nH]1 ZINC000272377874 379576408 /nfs/dbraw/zinc/57/64/08/379576408.db2.gz VVKNDIBXZDATFN-UHFFFAOYSA-N -1 1 335.349 -0.663 20 0 EBADMM NS(=O)(=O)c1ccc(C=CC(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000492344591 379640098 /nfs/dbraw/zinc/64/00/98/379640098.db2.gz PIACISCXOYTEFK-ZZXKWVIFSA-N -1 1 334.361 -0.334 20 0 EBADMM C[C@H](CN(C)C(=O)Cc1ccc(-n2cnnn2)cc1)c1nn[n-]n1 ZINC000273553084 379651710 /nfs/dbraw/zinc/65/17/10/379651710.db2.gz WBAMNTCZAPJDKB-SNVBAGLBSA-N -1 1 327.352 -0.020 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCOC[C@H]2C[C@@H](C)O)o1 ZINC000274285711 379680732 /nfs/dbraw/zinc/68/07/32/379680732.db2.gz CWYGJAMRHHYJEQ-NXEZZACHSA-N -1 1 332.378 -0.200 20 0 EBADMM CCN1C[C@@H](S(=O)(=O)[N-][C@H]2CN(c3ccccc3)C2=O)CC1=O ZINC000632199553 379829764 /nfs/dbraw/zinc/82/97/64/379829764.db2.gz YYFKTKDCULPJBL-STQMWFEESA-N -1 1 337.401 -0.058 20 0 EBADMM CN(C)S(=O)(=O)N(C)CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614388934 379924811 /nfs/dbraw/zinc/92/48/11/379924811.db2.gz JKXHSNZGXZDDDP-SECBINFHSA-N -1 1 347.397 -0.781 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)[C@H](O)C(=O)OC)o1 ZINC000277163924 379875916 /nfs/dbraw/zinc/87/59/16/379875916.db2.gz ZYTPAGHGQHPWJB-SECBINFHSA-N -1 1 335.334 -0.343 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@H]1[N-]S(=O)(=O)C[C@H]1CCC1(F)F ZINC000632551589 379880474 /nfs/dbraw/zinc/88/04/74/379880474.db2.gz FFNDYSVGXYLDDR-IWSPIJDZSA-N -1 1 333.378 -0.237 20 0 EBADMM Cc1cccc(=O)n1C[C@@](C)(O)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000280329085 379980046 /nfs/dbraw/zinc/98/00/46/379980046.db2.gz PAZZZJKUMCOHME-ZUZCIYMTSA-N -1 1 334.380 -0.317 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@]1(O)CCO[C@H]1C ZINC000279422486 379951413 /nfs/dbraw/zinc/95/14/13/379951413.db2.gz ILQJIPXPUDUQEU-MEDUHNTESA-N -1 1 334.376 -0.162 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC(=O)N(CC3CC3)C2)co1 ZINC000279629635 379958065 /nfs/dbraw/zinc/95/80/65/379958065.db2.gz ZDFBWLUENOGQGV-UHFFFAOYSA-N -1 1 327.362 -0.160 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@H]2CCC(F)(F)C2)c(=O)n(C)c1=O ZINC000281675425 380033491 /nfs/dbraw/zinc/03/34/91/380033491.db2.gz OGTVEQNUQMKCKX-QMMMGPOBSA-N -1 1 337.348 -0.202 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H](CO)[C@@H]2CCOC2)o1 ZINC000280658130 379990088 /nfs/dbraw/zinc/99/00/88/379990088.db2.gz AXISVTKJHYVGDW-NXEZZACHSA-N -1 1 333.362 -0.011 20 0 EBADMM COc1ccc(OC)c(C2=NO[C@@H](C(=O)NN3CC(=O)[N-]C3=O)C2)c1 ZINC000280817451 379993127 /nfs/dbraw/zinc/99/31/27/379993127.db2.gz NAJXYUFGMLITMA-GFCCVEGCSA-N -1 1 348.315 -0.220 20 0 EBADMM CC(C)(C)[C@@H](NS(C)(=O)=O)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000540425379 380011760 /nfs/dbraw/zinc/01/17/60/380011760.db2.gz QCPCZLBETKXLHV-UWVGGRQHSA-N -1 1 344.441 -0.130 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)CN2C(=O)c3ccccc3C2=O)n1 ZINC000354734788 380089702 /nfs/dbraw/zinc/08/97/02/380089702.db2.gz UKCMJQOOSLIQLJ-UHFFFAOYSA-N -1 1 349.328 -0.557 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)CN2C(=O)c3ccccc3C2=O)[n-]1 ZINC000354734788 380089703 /nfs/dbraw/zinc/08/97/03/380089703.db2.gz UKCMJQOOSLIQLJ-UHFFFAOYSA-N -1 1 349.328 -0.557 20 0 EBADMM COC(=O)c1ccc([O-])c(NC(=O)CN2CCS(=O)(=O)CC2)c1 ZINC000282858080 380091238 /nfs/dbraw/zinc/09/12/38/380091238.db2.gz SRBKELADTKTSCX-UHFFFAOYSA-N -1 1 342.373 -0.152 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H](C)O[C@@H](C(=O)OC)C2)o1 ZINC000283134793 380105053 /nfs/dbraw/zinc/10/50/53/380105053.db2.gz IKFVLASBRYCNBR-PSASIEDQSA-N -1 1 346.361 -0.410 20 0 EBADMM COC(=O)C[C@@H](NC(=O)c1ccc2n[n-]c(=S)n2c1)C(=O)OC ZINC000283526582 380127083 /nfs/dbraw/zinc/12/70/83/380127083.db2.gz SRQDNKHDXAYJNV-MRVPVSSYSA-N -1 1 338.345 -0.148 20 0 EBADMM C[N@H+](CC(=O)[O-])[C@H]1CN(C(=O)c2cc(-c3ccoc3)[nH]n2)C[C@H]1O ZINC000547699036 380155648 /nfs/dbraw/zinc/15/56/48/380155648.db2.gz SRIYYKHFEOTXSH-QWHCGFSZSA-N -1 1 334.332 -0.129 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CCCS2(=O)=O)o1 ZINC000360107552 380258227 /nfs/dbraw/zinc/25/82/27/380258227.db2.gz KYXLEUPNCIWLLS-QMMMGPOBSA-N -1 1 337.375 -0.078 20 0 EBADMM NC(=O)[C@H](CO)[N-]S(=O)(=O)c1c(F)cccc1OC(F)(F)F ZINC000286679912 380316732 /nfs/dbraw/zinc/31/67/32/380316732.db2.gz LDJAHTOGNLAURQ-LURJTMIESA-N -1 1 346.258 -0.151 20 0 EBADMM C[C@@H]1[C@H](C)OCCN1C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000361445859 380311986 /nfs/dbraw/zinc/31/19/86/380311986.db2.gz MFDOBYKXRCOSGA-BDAKNGLRSA-N -1 1 345.377 -0.707 20 0 EBADMM Cn1[n-]cc2/c(=N\C(=O)C(=O)N3CCN(C4CCC4)CC3)ccnc1-2 ZINC000287611585 380365404 /nfs/dbraw/zinc/36/54/04/380365404.db2.gz MGACTHQHKQCULG-ZHZULCJRSA-N -1 1 342.403 -0.023 20 0 EBADMM CCS(=O)(=O)NCCNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287664753 380367872 /nfs/dbraw/zinc/36/78/72/380367872.db2.gz PTSBVZJWXYVGJC-YVLHZVERSA-N -1 1 326.382 -0.597 20 0 EBADMM Cn1[n-]cc2/c(=N\C(=O)NCCC(=O)N3CCN(C)CC3)ccnc1-2 ZINC000287791246 380374599 /nfs/dbraw/zinc/37/45/99/380374599.db2.gz XEJNSMIOKZISFQ-MOSHPQCFSA-N -1 1 345.407 -0.373 20 0 EBADMM COC[C@H]1CN(C(=O)C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C[C@@H](C)O1 ZINC000288060573 380390278 /nfs/dbraw/zinc/39/02/78/380390278.db2.gz UOUAJHJVYUUPEJ-PDWPUUMPSA-N -1 1 347.375 -0.457 20 0 EBADMM COC(=O)[C@@H](CF)[N-]S(=O)(=O)c1cnc(NC(C)=O)s1 ZINC000287519337 380358500 /nfs/dbraw/zinc/35/85/00/380358500.db2.gz SZXSSACMAYVIDJ-ZCFIWIBFSA-N -1 1 325.343 -0.109 20 0 EBADMM CN(C)c1noc(C[N-]S(=O)(=O)c2cccc(C(N)=O)c2)n1 ZINC000361957951 380360178 /nfs/dbraw/zinc/36/01/78/380360178.db2.gz ONIHJVFDNBOCAN-UHFFFAOYSA-N -1 1 325.350 -0.287 20 0 EBADMM Cn1nnc(C[N-]S(=O)(=O)c2ccc(S(C)(=O)=O)c(F)c2)n1 ZINC000362978489 380445878 /nfs/dbraw/zinc/44/58/78/380445878.db2.gz AQWXCAWLEKBTCA-UHFFFAOYSA-N -1 1 349.369 -0.769 20 0 EBADMM Cc1cnc(SCC(=O)c2c(N)n(C)c(=O)n(C)c2=O)[n-]c1=O ZINC000565242195 380412727 /nfs/dbraw/zinc/41/27/27/380412727.db2.gz MDILAWOMFDQMIL-UHFFFAOYSA-N -1 1 337.361 -0.555 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H]3CCC[C@H]3C2)o1 ZINC000362589158 380413867 /nfs/dbraw/zinc/41/38/67/380413867.db2.gz OGPQIQXVVNGCIP-UWVGGRQHSA-N -1 1 341.389 -0.085 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NC2(CF)CCC2)o1 ZINC000566798524 380490161 /nfs/dbraw/zinc/49/01/61/380490161.db2.gz DOOOBHPJNPBRMG-UHFFFAOYSA-N -1 1 333.341 -0.335 20 0 EBADMM CO[C@H]1C[C@H](C(=O)[O-])N(CCOc2ccc(S(N)(=O)=O)cc2)C1 ZINC000566363086 380465602 /nfs/dbraw/zinc/46/56/02/380465602.db2.gz FTLCRDOBMZKQJZ-WCQYABFASA-N -1 1 344.389 -0.113 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCN1C(=O)CCC1=O ZINC000269653305 380523492 /nfs/dbraw/zinc/52/34/92/380523492.db2.gz ILDMPPDFMFQAEV-UHFFFAOYSA-N -1 1 344.349 -0.986 20 0 EBADMM C[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1cccc(O)c1 ZINC000269800642 380525141 /nfs/dbraw/zinc/52/51/41/380525141.db2.gz OTGQFEXENGBCPT-VIFPVBQESA-N -1 1 339.373 -0.171 20 0 EBADMM COC[C@](C)([N-]S(=O)(=O)CC1(CC(=O)OC)CC1)C(=O)OC ZINC000340892242 380647486 /nfs/dbraw/zinc/64/74/86/380647486.db2.gz UEEIFRPMAZWGAH-LBPRGKRZSA-N -1 1 337.394 -0.173 20 0 EBADMM COc1cccc(C(=O)NCC(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c1 ZINC000371698832 380669713 /nfs/dbraw/zinc/66/97/13/380669713.db2.gz AKYXBHRHOBMERH-GFCCVEGCSA-N -1 1 346.347 -0.462 20 0 EBADMM Cc1ccc(-n2[n-]c(C(=O)NCC(=O)N3CCNCC3)cc2=O)cc1 ZINC000573684279 380683427 /nfs/dbraw/zinc/68/34/27/380683427.db2.gz IRBLIYBUWATZPG-UHFFFAOYSA-N -1 1 343.387 -0.364 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@H](NC(=O)NC)C2)o1 ZINC000341482128 380702420 /nfs/dbraw/zinc/70/24/20/380702420.db2.gz GYAVPHGGOSDHMX-QMMMGPOBSA-N -1 1 330.366 -0.669 20 0 EBADMM CC[C@](COC)([N-]S(=O)(=O)N(C)CCC(=O)OC)C(=O)OC ZINC000416515647 380783071 /nfs/dbraw/zinc/78/30/71/380783071.db2.gz MVFKMNYZXBMODG-GFCCVEGCSA-N -1 1 340.398 -0.716 20 0 EBADMM COC(=O)C[C@H]([N-]S(=O)(=O)N1C[C@@H](C)O[C@@H](C)C1)C(=O)OC ZINC000330700862 380837625 /nfs/dbraw/zinc/83/76/25/380837625.db2.gz GQJKQXCULCOQAS-AEJSXWLSSA-N -1 1 338.382 -0.965 20 0 EBADMM O=S(=O)(CCCS(=O)(=O)N[C@@H]1CC[C@H]1O)[N-]c1ccccn1 ZINC000349535306 380886286 /nfs/dbraw/zinc/88/62/86/380886286.db2.gz JHLRIRBEIRUVDZ-GHMZBOCLSA-N -1 1 349.434 -0.344 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H](OC)C[C@@H]2C(=O)OC)o1 ZINC000377630967 380983386 /nfs/dbraw/zinc/98/33/86/380983386.db2.gz XROXPJOGPFTYJS-DTWKUNHWSA-N -1 1 346.361 -0.410 20 0 EBADMM CNS(=O)(=O)NC1CCN(C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000581315541 381067193 /nfs/dbraw/zinc/06/71/93/381067193.db2.gz HZQCLQAFMXRNPX-UHFFFAOYSA-N -1 1 341.389 -0.380 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NCC1(N2CCOCC2)CC1 ZINC000347275518 381200413 /nfs/dbraw/zinc/20/04/13/381200413.db2.gz JFFJOVHQUTXOSF-UHFFFAOYSA-N -1 1 334.376 -0.502 20 0 EBADMM CC(=O)NCCN(Cc1nc(=O)n(C)[n-]1)[C@@H](C)C(=O)OC(C)(C)C ZINC000347621396 381238473 /nfs/dbraw/zinc/23/84/73/381238473.db2.gz ZQALBFMCICYFQZ-JTQLQIEISA-N -1 1 341.412 -0.223 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CCc3nc(C)nn3C2)co1 ZINC000128573424 381293997 /nfs/dbraw/zinc/29/39/97/381293997.db2.gz SMNQMYSGOCEROO-SNVBAGLBSA-N -1 1 339.377 -0.168 20 0 EBADMM CS(=O)(=O)N1CC[C@H](CN2CCC[C@@H](c3n[nH]c(=O)[n-]3)C2)C1 ZINC000564092088 381324358 /nfs/dbraw/zinc/32/43/58/381324358.db2.gz GAETUVWJSZEZGZ-GHMZBOCLSA-N -1 1 329.426 -0.029 20 0 EBADMM CC(C)(C)OC(=O)N1CCOC[C@H]1CC(=O)NN1CC(=O)[N-]C1=O ZINC000367027980 381357427 /nfs/dbraw/zinc/35/74/27/381357427.db2.gz UJJXVNRMYCGKBU-SECBINFHSA-N -1 1 342.352 -0.405 20 0 EBADMM O=C(Cn1c(=O)[nH]c2ccccc2c1=O)NC1(c2nn[n-]n2)CC1 ZINC000348299630 381358354 /nfs/dbraw/zinc/35/83/54/381358354.db2.gz YHLUTZVQKCYXDW-UHFFFAOYSA-N -1 1 327.304 -0.579 20 0 EBADMM COC[C@@H]([N-]S(=O)(=O)c1csnc1C(=O)OC)C(=O)OC ZINC000350625921 381529791 /nfs/dbraw/zinc/52/97/91/381529791.db2.gz LBBYPLOLEKQFTI-ZCFIWIBFSA-N -1 1 338.363 -0.604 20 0 EBADMM Cc1occc1CNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000608764304 381619038 /nfs/dbraw/zinc/61/90/38/381619038.db2.gz HDNJDZSPZPABNY-UHFFFAOYSA-N -1 1 341.345 -0.125 20 0 EBADMM CCS(=O)(=O)N(C)CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000351848081 381685390 /nfs/dbraw/zinc/68/53/90/381685390.db2.gz APDSFVYBBMUWCG-UHFFFAOYSA-N -1 1 332.382 -0.237 20 0 EBADMM CCC[C@@H](NC(=O)[C@H]1CCCN(S(N)(=O)=O)C1)c1nn[n-]n1 ZINC000612059692 381776537 /nfs/dbraw/zinc/77/65/37/381776537.db2.gz DWWMSQCESLBLGM-DTWKUNHWSA-N -1 1 331.402 -0.927 20 0 EBADMM NC(=O)NC[C@H]1CCCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000352530961 381997219 /nfs/dbraw/zinc/99/72/19/381997219.db2.gz PMPKSYCLYPZLAZ-SNVBAGLBSA-N -1 1 335.364 -0.576 20 0 EBADMM CCO[C@H]1C[C@](CO)(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1(C)C ZINC000444586193 382151565 /nfs/dbraw/zinc/15/15/65/382151565.db2.gz LZZDZYXBUJDWMF-ZUZCIYMTSA-N -1 1 335.364 -0.287 20 0 EBADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)CN3CN=NC3=O)CC2)n1 ZINC000444759460 382159176 /nfs/dbraw/zinc/15/91/76/382159176.db2.gz ITAZFARJWOHJOT-UHFFFAOYSA-N -1 1 349.351 -0.315 20 0 EBADMM O=S(=O)(C[C@H](O)CO)c1nnc(-c2ccc3c(c2)OCCO3)[n-]1 ZINC000447500818 382197728 /nfs/dbraw/zinc/19/77/28/382197728.db2.gz RTXCYBMUPRLXQZ-SECBINFHSA-N -1 1 341.345 -0.630 20 0 EBADMM O=S(=O)(C[C@H](O)CO)c1n[n-]c(-c2ccc3c(c2)OCCO3)n1 ZINC000447500818 382197731 /nfs/dbraw/zinc/19/77/31/382197731.db2.gz RTXCYBMUPRLXQZ-SECBINFHSA-N -1 1 341.345 -0.630 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC(=O)N1CCCC1 ZINC000318098068 155177624 /nfs/dbraw/zinc/17/76/24/155177624.db2.gz ZJNBCUAZVBSAMX-UHFFFAOYSA-N -1 1 330.366 -0.513 20 0 EBADMM C[C@@H](CO)NS(=O)(=O)c1ccc([N-]S(C)(=O)=O)cc1F ZINC000331191950 155348501 /nfs/dbraw/zinc/34/85/01/155348501.db2.gz KHAVLGLMGALXFC-ZETCQYMHSA-N -1 1 326.371 -0.144 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H](O)C2CCCCC2)c(=O)n(C)c1=O ZINC000331278198 155357037 /nfs/dbraw/zinc/35/70/37/155357037.db2.gz GLPOYQNNFNFKNG-LLVKDONJSA-N -1 1 345.421 -0.697 20 0 EBADMM CC[C@@H]1C(=O)NCCN1C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000106921398 154090627 /nfs/dbraw/zinc/09/06/27/154090627.db2.gz RNKGQZWJZGTINN-GFCCVEGCSA-N -1 1 331.376 -0.081 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCc3nc(C)nn3C2)o1 ZINC000171456041 154094847 /nfs/dbraw/zinc/09/48/47/154094847.db2.gz DUTCLLDLBDMMHD-SECBINFHSA-N -1 1 339.377 -0.168 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCN2C(=O)NC[C@H]2C1 ZINC000177502979 154115938 /nfs/dbraw/zinc/11/59/38/154115938.db2.gz ISSKLZMNMYGHAL-NSHDSACASA-N -1 1 344.375 -0.582 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2ccc(Cl)cn2)c(=O)n(C)c1=O ZINC000180594844 154127946 /nfs/dbraw/zinc/12/79/46/154127946.db2.gz HTUNHJZKCJHDJX-UHFFFAOYSA-N -1 1 344.780 -0.389 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)[C@H](CO)SC ZINC000230193034 154166885 /nfs/dbraw/zinc/16/68/85/154166885.db2.gz UQDWPBBKMMXMJG-APPZFPTMSA-N -1 1 337.423 -0.023 20 0 EBADMM O=C(C(=O)N1CCN(C(=O)c2cncc([O-])c2)CC1)N1CCCC1 ZINC000264617094 154286306 /nfs/dbraw/zinc/28/63/06/154286306.db2.gz AXTAOLFCJKJMLG-UHFFFAOYSA-N -1 1 332.360 -0.306 20 0 EBADMM CCOCCN1CCN(C(=O)c2ccc(S(=O)(=O)[N-]C)o2)CC1 ZINC000264755957 154292721 /nfs/dbraw/zinc/29/27/21/154292721.db2.gz LXGYSTQKGRKHSR-UHFFFAOYSA-N -1 1 345.421 -0.018 20 0 EBADMM O=C(CNC(=O)N1CCc2ccccc2C1)NN1CC(=O)[N-]C1=O ZINC000264844182 154295970 /nfs/dbraw/zinc/29/59/70/154295970.db2.gz LREXOURUORJLGA-UHFFFAOYSA-N -1 1 331.332 -0.663 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)Cn1ccc(=O)[nH]c1=O ZINC000265050842 154304315 /nfs/dbraw/zinc/30/43/15/154304315.db2.gz OMCPRFJAYOXHAV-UHFFFAOYSA-N -1 1 344.331 -0.782 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N(C)[C@H]1CCN(C)C1=O ZINC000265618565 154322506 /nfs/dbraw/zinc/32/25/06/154322506.db2.gz AYRYJYIRGJHFJL-LBPRGKRZSA-N -1 1 331.376 -0.129 20 0 EBADMM O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)c1ncccc1[O-] ZINC000266403008 154343864 /nfs/dbraw/zinc/34/38/64/154343864.db2.gz ABHANMYLPIXRAG-GFCCVEGCSA-N -1 1 334.376 -0.550 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CCCC[C@@H]2C(N)=O)o1 ZINC000267224108 154368090 /nfs/dbraw/zinc/36/80/90/154368090.db2.gz BCTGRYKBRAUDJR-IUCAKERBSA-N -1 1 329.378 -0.038 20 0 EBADMM CCC(CC)[C@H](O)C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000269794656 154467156 /nfs/dbraw/zinc/46/71/56/154467156.db2.gz RFFCOPJZLDKGKX-SNVBAGLBSA-N -1 1 333.410 -0.841 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCN(C(=O)NC)CC2)co1 ZINC000270194463 154480733 /nfs/dbraw/zinc/48/07/33/154480733.db2.gz PFDDPWXEDNTPPV-UHFFFAOYSA-N -1 1 330.366 -0.715 20 0 EBADMM COC(=O)[C@@H](O)C(C)(C)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000276876020 154710056 /nfs/dbraw/zinc/71/00/56/154710056.db2.gz BNWKZKXZZBUZCW-ZCFIWIBFSA-N -1 1 325.774 -0.336 20 0 EBADMM CC(C)OC(=O)[C@@H](C)CNC(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287526046 154946638 /nfs/dbraw/zinc/94/66/38/154946638.db2.gz HWWAYXCCGYGMLQ-IRTGHPOMSA-N -1 1 347.375 -0.016 20 0 EBADMM COC(=O)CCCS(=O)(=O)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000292940971 155040910 /nfs/dbraw/zinc/04/09/10/155040910.db2.gz BKKMEPWOVAJSOW-VIFPVBQESA-N -1 1 332.382 -0.440 20 0 EBADMM CCOC(=O)CN(CCOC)C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000343268653 156117429 /nfs/dbraw/zinc/11/74/29/156117429.db2.gz MBOZSOYJHUEQAD-UHFFFAOYSA-N -1 1 348.377 -0.161 20 0 EBADMM COCC[C@@H](NC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C)C(N)=O ZINC000343304825 156122083 /nfs/dbraw/zinc/12/20/83/156122083.db2.gz OYRUKRQSSWORFQ-GFCCVEGCSA-N -1 1 349.391 -0.009 20 0 EBADMM NC(=O)[C@@H](Cc1ccccc1)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000343323420 156124966 /nfs/dbraw/zinc/12/49/66/156124966.db2.gz OTCDOOZPGPMHRW-GFCCVEGCSA-N -1 1 342.355 -0.270 20 0 EBADMM C[C@H]([C@H](C)NC(=O)CNC(=O)c1ncccc1[O-])N1CCOCC1 ZINC000343684039 156156041 /nfs/dbraw/zinc/15/60/41/156156041.db2.gz BJCMZLXURSFXTL-NWDGAFQWSA-N -1 1 336.392 -0.258 20 0 EBADMM CSCCCN(C)C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000343889647 156182076 /nfs/dbraw/zinc/18/20/76/156182076.db2.gz ZEWRHJQHFKEJGL-UHFFFAOYSA-N -1 1 349.434 -0.132 20 0 EBADMM C[C@@H]1C[C@H]1N1C[C@H](NC(=O)CNC(=O)c2ncccc2[O-])CC1=O ZINC000344134036 156206138 /nfs/dbraw/zinc/20/61/38/156206138.db2.gz PSGLGNCBJCDHSD-GMTAPVOTSA-N -1 1 332.360 -0.358 20 0 EBADMM CSCC(=O)NCC[N-]S(=O)(=O)c1sc(=O)[nH]c1C ZINC000345484743 156336293 /nfs/dbraw/zinc/33/62/93/156336293.db2.gz MPWWQUAAARIYIG-UHFFFAOYSA-N -1 1 325.437 -0.085 20 0 EBADMM Cn1nnc(C[N-]S(=O)(=O)c2cc(Cl)cc(C(N)=O)c2)n1 ZINC000346290887 156395487 /nfs/dbraw/zinc/39/54/87/156395487.db2.gz AYHCVSBIUOTCPT-UHFFFAOYSA-N -1 1 330.757 -0.559 20 0 EBADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccc(CN2CCOCC2)cn1 ZINC000356056637 157041866 /nfs/dbraw/zinc/04/18/66/157041866.db2.gz ROPSIOZWZMZBJK-UHFFFAOYSA-N -1 1 329.364 -0.154 20 0 EBADMM CCO[C@H]1C[C@@](O)(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1(C)C ZINC000356900955 157100125 /nfs/dbraw/zinc/10/01/25/157100125.db2.gz HDJHMYICIHCYFB-ZUZCIYMTSA-N -1 1 335.364 -0.287 20 0 EBADMM COC(=O)CCS(=O)(=O)[N-]c1cnn(CC(=O)NC2CC2)c1 ZINC000358044325 157202652 /nfs/dbraw/zinc/20/26/52/157202652.db2.gz FYYBIEUKGKPZLY-UHFFFAOYSA-N -1 1 330.366 -0.534 20 0 EBADMM NC(=O)CS(=O)(=O)c1ccc(C(=O)Nc2ccncc2[O-])cc1 ZINC000358364129 157235987 /nfs/dbraw/zinc/23/59/87/157235987.db2.gz YKBMKGYUNVSRPI-UHFFFAOYSA-N -1 1 335.341 -0.280 20 0 EBADMM C[C@H](CN(C)C(=O)CNC(=O)c1ccc2c(c1)OCO2)c1nn[n-]n1 ZINC000358778391 157277200 /nfs/dbraw/zinc/27/72/00/157277200.db2.gz JFPQSYUBHOWVCT-SECBINFHSA-N -1 1 346.347 -0.080 20 0 EBADMM CNS(=O)(=O)c1cccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c1 ZINC000358768616 157278313 /nfs/dbraw/zinc/27/83/13/157278313.db2.gz NSJUXGKVEANTES-VIFPVBQESA-N -1 1 338.393 -0.017 20 0 EBADMM CN(CC(=O)NC(C)(C)c1nn[n-]n1)S(=O)(=O)c1cccnc1 ZINC000359780673 157341110 /nfs/dbraw/zinc/34/11/10/157341110.db2.gz CDQARGTUTSZWCD-UHFFFAOYSA-N -1 1 339.381 -0.733 20 0 EBADMM CNC(=O)[C@H](CCSC)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000360800895 157397350 /nfs/dbraw/zinc/39/73/50/157397350.db2.gz XDWPUAHKJQNWRL-VIFPVBQESA-N -1 1 340.405 -0.499 20 0 EBADMM CNS(=O)(=O)c1ccc(C(=O)N2CCN3C[C@H](O)C[C@H]3C2)o1 ZINC000655298646 418995894 /nfs/dbraw/zinc/99/58/94/418995894.db2.gz BBAFTCSVVGEOFF-VHSXEESVSA-N -1 1 329.378 -0.921 20 0 EBADMM CS(=O)(=O)N1CCC[C@H]1C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000644883706 419254864 /nfs/dbraw/zinc/25/48/64/419254864.db2.gz VHOFYIKAYQJHON-ZJUUUORDSA-N -1 1 344.393 -0.095 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2C[C@@H](C(N)=O)C2)c(C(F)(F)F)n1 ZINC000651837197 419223642 /nfs/dbraw/zinc/22/36/42/419223642.db2.gz WSHYLBQOZGTBCC-OLQVQODUSA-N -1 1 326.300 -0.019 20 0 EBADMM Cc1nnc([C@@H]2CCN(C(=O)CNC(=O)c3ncccc3[O-])C2)[nH]1 ZINC000412785777 419302823 /nfs/dbraw/zinc/30/28/23/419302823.db2.gz LRMZINLRDGPWCD-SNVBAGLBSA-N -1 1 330.348 -0.040 20 0 EBADMM C[C@@H](CNS(=O)(=O)[N-]CC(F)(F)F)CN1CCN(C)CC1 ZINC000192023087 419569984 /nfs/dbraw/zinc/56/99/84/419569984.db2.gz BQTNAXLXSYBKDG-JTQLQIEISA-N -1 1 332.392 -0.144 20 0 EBADMM O=C(Cn1nc2ccccn2c1=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000532793242 419628646 /nfs/dbraw/zinc/62/86/46/419628646.db2.gz BFJUBQUKVCRSNY-SNVBAGLBSA-N -1 1 328.336 -0.585 20 0 EBADMM CC1CCC2(CC1)NC(=O)N(CC(=O)NC1(c3nn[n-]n3)CC1)C2=O ZINC000357073581 419669689 /nfs/dbraw/zinc/66/96/89/419669689.db2.gz RMRYJFHWGFIEIC-UHFFFAOYSA-N -1 1 347.379 -0.194 20 0 EBADMM CN(CC(=O)N1CCNCC1)C(=O)c1ccc(-c2nc(=O)o[n-]2)cc1 ZINC000516458682 420512688 /nfs/dbraw/zinc/51/26/88/420512688.db2.gz FLSSESWNHVTQII-UHFFFAOYSA-N -1 1 345.359 -0.466 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCNc1cnccn1 ZINC000359047968 420521011 /nfs/dbraw/zinc/52/10/11/420521011.db2.gz VEXTZDKIUZPTBF-UHFFFAOYSA-N -1 1 340.365 -0.233 20 0 EBADMM C[C@H](CN(C)C(=O)CNC(=O)C=Cc1cccnc1)c1nn[n-]n1 ZINC000492675897 420575862 /nfs/dbraw/zinc/57/58/62/420575862.db2.gz HDNDUWYZCWMNKJ-MVIFTORASA-N -1 1 329.364 -0.014 20 0 EBADMM Cn1[n-]c(COC(=O)COC2CN(C(=O)OC(C)(C)C)C2)nc1=O ZINC000495327280 420597058 /nfs/dbraw/zinc/59/70/58/420597058.db2.gz XLMMGCBSPPFELO-UHFFFAOYSA-N -1 1 342.352 -0.213 20 0 EBADMM CCOC(=O)[C@@H](C)NC(=O)[C@@H](C)[N-]S(=O)(=O)c1c(N)noc1C ZINC000584378753 420624345 /nfs/dbraw/zinc/62/43/45/420624345.db2.gz XEKQWJDTNSNJHY-RNFRBKRXSA-N -1 1 348.381 -0.700 20 0 EBADMM C[C@H](CN(C)C(=O)C1(O)CN(C(=O)OC(C)(C)C)C1)c1nn[n-]n1 ZINC000496207231 420625021 /nfs/dbraw/zinc/62/50/21/420625021.db2.gz PQTGWQNFTACHCW-SECBINFHSA-N -1 1 340.384 -0.257 20 0 EBADMM CO[C@@H](CNC(=O)OC(C)(C)C)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000496671058 420643151 /nfs/dbraw/zinc/64/31/51/420643151.db2.gz FFAVEWOIQREFHW-QMMMGPOBSA-N -1 1 330.341 -0.309 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCCc2c1cnn2CCO ZINC000367658492 420659747 /nfs/dbraw/zinc/65/97/47/420659747.db2.gz PBGMMCSIGZAWCX-JTQLQIEISA-N -1 1 341.393 0.110 20 0 EBADMM C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000528545624 420751140 /nfs/dbraw/zinc/75/11/40/420751140.db2.gz ICEPOHGJECJAPZ-PKIKSRDPSA-N -1 1 331.394 -0.826 20 0 EBADMM CC(C)CN1C[C@H](C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)CC1=O ZINC000522076690 420717835 /nfs/dbraw/zinc/71/78/35/420717835.db2.gz FQPFZXAZJAHUHS-MRVPVSSYSA-N -1 1 329.382 -0.349 20 0 EBADMM CC(C)CN1C[C@H](C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)CC1=O ZINC000522076690 420717838 /nfs/dbraw/zinc/71/78/38/420717838.db2.gz FQPFZXAZJAHUHS-MRVPVSSYSA-N -1 1 329.382 -0.349 20 0 EBADMM CN1CC[C@H](C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)S1(=O)=O ZINC000649219570 420791315 /nfs/dbraw/zinc/79/13/15/420791315.db2.gz JGQKURIKTOENDH-SECBINFHSA-N -1 1 330.366 -0.485 20 0 EBADMM CO[C@H](CS(=O)(=O)[N-]c1ccc(C(N)=O)nc1)[C@@H]1CCOC1 ZINC000656626543 420898469 /nfs/dbraw/zinc/89/84/69/420898469.db2.gz LTUNZBJWTLWIDA-BXKDBHETSA-N -1 1 329.378 -0.026 20 0 EBADMM C[C@H]1CCC[C@H](C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)O1 ZINC000657154568 420920641 /nfs/dbraw/zinc/92/06/41/420920641.db2.gz AHSDNVCRVJLOEI-VHSXEESVSA-N -1 1 331.394 -0.680 20 0 EBADMM CNC(=O)Cn1nc(NC(=O)c2cnc3n(C)[n-]cc-3c2=O)cc1C ZINC000358345575 421215074 /nfs/dbraw/zinc/21/50/74/421215074.db2.gz YUMYXAFYTBECOL-UHFFFAOYSA-N -1 1 343.347 0.177 20 0 EBADMM NC(=O)Cn1ccc(NC(=O)c2[n-]cnc2C(=O)c2ccccc2)n1 ZINC000362331984 421218850 /nfs/dbraw/zinc/21/88/50/421218850.db2.gz FEPKOPVFCKRHJI-UHFFFAOYSA-N -1 1 338.327 0.575 20 0 EBADMM CNc1nnc(CC(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])s1 ZINC000354161426 421223267 /nfs/dbraw/zinc/22/32/67/421223267.db2.gz SDHGJLTYBFKIJM-UHFFFAOYSA-N -1 1 343.390 0.114 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-]CCNc2cnccn2)cc1C ZINC000595303564 421253708 /nfs/dbraw/zinc/25/37/08/421253708.db2.gz SMFJESHNPWJDKF-UHFFFAOYSA-N -1 1 340.361 0.555 20 0 EBADMM CCOC(=O)c1n[n-]c(-c2cccc(CNS(N)(=O)=O)c2)n1 ZINC000432944565 265180455 /nfs/dbraw/zinc/18/04/55/265180455.db2.gz SIUWORGMHJIZNC-UHFFFAOYSA-N -1 1 325.350 -0.059 20 0 EBADMM CCS(=O)(=O)N1CC(CNC(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000436565626 265242002 /nfs/dbraw/zinc/24/20/02/265242002.db2.gz TUCXQAVIGHPDNH-UHFFFAOYSA-N -1 1 326.374 -0.027 20 0 EBADMM COC(=O)Cn1cc(CNC(=O)c2nc3ccccc3c(=O)[n-]2)nn1 ZINC000440867681 265297506 /nfs/dbraw/zinc/29/75/06/265297506.db2.gz DONISCFEXBGKPN-UHFFFAOYSA-N -1 1 342.315 -0.382 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@H](O)[C@H]2C(=O)OC)o1 ZINC000444442787 265363518 /nfs/dbraw/zinc/36/35/18/265363518.db2.gz WTIUFROQJIRFSM-KWQFWETISA-N -1 1 346.361 -0.674 20 0 EBADMM CN1CCN(c2cc(C(=O)NCCc3nc(=O)o[n-]3)ccn2)CC1 ZINC000447633327 265375821 /nfs/dbraw/zinc/37/58/21/265375821.db2.gz YBSCZPROGBARRQ-UHFFFAOYSA-N -1 1 332.364 -0.518 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](CO)[C@@H]1CCCO1 ZINC000447930526 265385097 /nfs/dbraw/zinc/38/50/97/265385097.db2.gz LYVHPQWVIMEMKT-ZJUUUORDSA-N -1 1 333.366 -0.595 20 0 EBADMM CC(C)[C@H](C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)N1CCCC1=O ZINC000354728861 280186110 /nfs/dbraw/zinc/18/61/10/280186110.db2.gz LPIJLZUOIMRTIZ-SECBINFHSA-N -1 1 329.382 -0.206 20 0 EBADMM CC(C)[C@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)N1CCCC1=O ZINC000354728861 280186111 /nfs/dbraw/zinc/18/61/11/280186111.db2.gz LPIJLZUOIMRTIZ-SECBINFHSA-N -1 1 329.382 -0.206 20 0 EBADMM Cc1cc(S(N)(=O)=O)oc1C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000668868537 545778357 /nfs/dbraw/zinc/77/83/57/545778357.db2.gz CFGXLOACOICQLV-UHFFFAOYSA-N -1 1 340.365 -0.052 20 0 EBADMM NS(=O)(=O)N1CCC[C@H](C(=O)NC2(c3nn[n-]n3)CCCC2)C1 ZINC000668872859 545779653 /nfs/dbraw/zinc/77/96/53/545779653.db2.gz WYMIOEJEQVWQOI-VIFPVBQESA-N -1 1 343.413 -0.999 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC2(CO)CCCCC2)c(=O)n(C)c1=O ZINC000321617968 545820704 /nfs/dbraw/zinc/82/07/04/545820704.db2.gz HRCZCWURLIXENO-UHFFFAOYSA-N -1 1 345.421 -0.695 20 0 EBADMM C[C@@H](CNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)C1CC1 ZINC000673647425 546145148 /nfs/dbraw/zinc/14/51/48/546145148.db2.gz FCLWTPAFKHQACD-QMMMGPOBSA-N -1 1 329.378 -0.181 20 0 EBADMM C[C@@H](C(=O)NCc1nn[n-]n1)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000674552559 546169110 /nfs/dbraw/zinc/16/91/10/546169110.db2.gz PIAZZFWNAIXINK-SECBINFHSA-N -1 1 338.393 -0.130 20 0 EBADMM Cn1c(=O)[nH]cc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)c1=O ZINC000674743606 546173286 /nfs/dbraw/zinc/17/32/86/546173286.db2.gz JBMFMMKOQVJSQY-SNVBAGLBSA-N -1 1 327.304 -0.482 20 0 EBADMM C[C@@H](C[C@@H]1CCOC1)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000675330977 546182392 /nfs/dbraw/zinc/18/23/92/546182392.db2.gz YUVXBTHDZKXDNZ-UWVGGRQHSA-N -1 1 331.394 -0.823 20 0 EBADMM O=C(CCCNS(=O)(=O)c1ccc(F)cc1)NCc1nn[n-]n1 ZINC000676378646 546207040 /nfs/dbraw/zinc/20/70/40/546207040.db2.gz SUQZODLWFZXEHZ-UHFFFAOYSA-N -1 1 342.356 -0.286 20 0 EBADMM COc1cc2c(cc1OC)CN(CC(=O)NCc1nn[n-]n1)CC2 ZINC000680878503 546295156 /nfs/dbraw/zinc/29/51/56/546295156.db2.gz KPAWELQWTKVSGL-UHFFFAOYSA-N -1 1 332.364 -0.109 20 0 EBADMM Cn1cc([C@H]2[C@@H](C(=O)NCc3nn[n-]n3)CC(=O)N2C(C)(C)C)cn1 ZINC000684736423 546376745 /nfs/dbraw/zinc/37/67/45/546376745.db2.gz RXWWUCYLFRBZDW-GWCFXTLKSA-N -1 1 346.395 -0.062 20 0 EBADMM COC(=O)[C@H](Cc1ccccc1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000726865672 582623123 /nfs/dbraw/zinc/62/31/23/582623123.db2.gz KZNUGTKGOUZIQR-ZDUSSCGKSA-N -1 1 345.355 -0.173 20 0 EBADMM NC(=O)Nc1ccc(CNC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC000726865889 582623298 /nfs/dbraw/zinc/62/32/98/582623298.db2.gz JLLIOWGURCHXIE-UHFFFAOYSA-N -1 1 331.332 -0.266 20 0 EBADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-]Cc1nn(C)cc1Cl ZINC000882237066 582641978 /nfs/dbraw/zinc/64/19/78/582641978.db2.gz DGSCLFFVJNRJPD-GOSISDBHSA-N -1 1 329.835 -0.018 20 0 EBADMM Cn1nnnc1-c1cccc(NC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000727753297 582656186 /nfs/dbraw/zinc/65/61/86/582656186.db2.gz DSZPSYSACLGYEE-UHFFFAOYSA-N -1 1 341.331 -0.244 20 0 EBADMM CS(=O)(=O)c1cccc(NC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000727848201 582659640 /nfs/dbraw/zinc/65/96/40/582659640.db2.gz IGCUBCXDOIDFSA-UHFFFAOYSA-N -1 1 337.357 -0.031 20 0 EBADMM CCOC(=O)N[C@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000727850607 582661320 /nfs/dbraw/zinc/66/13/20/582661320.db2.gz WLOSPXLDZWPVCZ-NSHDSACASA-N -1 1 338.364 -0.336 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCN(CC(F)(F)F)C1 ZINC000727853243 582661459 /nfs/dbraw/zinc/66/14/59/582661459.db2.gz FUTSAKBDIBQFQJ-SECBINFHSA-N -1 1 334.298 -0.321 20 0 EBADMM C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)N1CCCC[C@@H]1C ZINC000727855255 582662092 /nfs/dbraw/zinc/66/20/92/582662092.db2.gz GPBLWHBVKIFGDT-NWDGAFQWSA-N -1 1 336.392 -0.168 20 0 EBADMM O=C([N-]S(=O)(=O)[C@H]1CCCOC1)c1ccc2c(n1)CNCC2 ZINC000901043153 582789129 /nfs/dbraw/zinc/78/91/29/582789129.db2.gz UCPFGDXOYAKJKH-NSHDSACASA-N -1 1 325.390 -0.034 20 0 EBADMM O=C([N-]S(=O)(=O)Cc1ccc2c(c1)COC2)[C@@H]1CNCCO1 ZINC000901055938 582790034 /nfs/dbraw/zinc/79/00/34/582790034.db2.gz VTKZVMFYFFIYFP-ZDUSSCGKSA-N -1 1 326.374 -0.349 20 0 EBADMM COCCS(=O)(=O)[N-]c1ccc2c(c1)n(C)c(=O)n(C)c2=O ZINC000901746810 582799084 /nfs/dbraw/zinc/79/90/84/582799084.db2.gz XWILMIZPAAHHAL-UHFFFAOYSA-N -1 1 327.362 -0.375 20 0 EBADMM O=C(NCCN1CCN(Cc2ccccc2)CC1)c1cc(=O)[nH][n-]1 ZINC000731869527 582841254 /nfs/dbraw/zinc/84/12/54/582841254.db2.gz KRKZZHSZEGLECN-UHFFFAOYSA-N -1 1 329.404 -0.204 20 0 EBADMM O=C([C@H]1CC[C@@H](C(F)(F)F)NC1=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000732090708 582844072 /nfs/dbraw/zinc/84/40/72/582844072.db2.gz MGIWRRKIGSBJOM-FXQIFTODSA-N -1 1 348.285 -0.443 20 0 EBADMM Cn1ncc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c1-n1cccc1 ZINC000732091505 582844665 /nfs/dbraw/zinc/84/46/65/582844665.db2.gz GUXLKJIUFSPBCQ-NSHDSACASA-N -1 1 328.336 -0.062 20 0 EBADMM C[C@@]1(c2ccccc2)NC(=O)N(CC(=O)NCc2nn[n-]n2)C1=O ZINC000732158070 582845331 /nfs/dbraw/zinc/84/53/31/582845331.db2.gz RNNIASQHORIAAU-AWEZNQCLSA-N -1 1 329.320 -0.717 20 0 EBADMM CN1CCN(C[C@H](NC(=O)c2cc(=O)[nH][n-]2)c2ccccc2)CC1 ZINC000732284914 582847360 /nfs/dbraw/zinc/84/73/60/582847360.db2.gz IRMDBHSEHBYJIP-HNNXBMFYSA-N -1 1 329.404 -0.033 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC[S@](=O)Cc1ccccc1 ZINC000733153235 582856530 /nfs/dbraw/zinc/85/65/30/582856530.db2.gz MPDTWKCCHHRCKA-DEOSSOPVSA-N -1 1 349.412 -0.008 20 0 EBADMM CCN(C(=O)CCn1cc[n-]c(=O)c1=O)[C@H](C)CS(=O)(=O)CC ZINC000733184307 582857780 /nfs/dbraw/zinc/85/77/80/582857780.db2.gz PHEZABFMWJMMQZ-LLVKDONJSA-N -1 1 345.421 -0.402 20 0 EBADMM CC(C)[C@H](OC(=O)c1ccc(-c2nnn[n-]2)nc1)C(=O)NC(N)=O ZINC000735732577 582904458 /nfs/dbraw/zinc/90/44/58/582904458.db2.gz BNDNPTLOKZOYNZ-VIFPVBQESA-N -1 1 333.308 -0.362 20 0 EBADMM CC(C)[C@H](OC(=O)c1ccc(-c2nn[n-]n2)nc1)C(=O)NC(N)=O ZINC000735732577 582904459 /nfs/dbraw/zinc/90/44/59/582904459.db2.gz BNDNPTLOKZOYNZ-VIFPVBQESA-N -1 1 333.308 -0.362 20 0 EBADMM CC(C)n1ccc(CNc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)n1 ZINC000735966858 582910880 /nfs/dbraw/zinc/91/08/80/582910880.db2.gz YJRQSOTVJYHNIX-UHFFFAOYSA-N -1 1 345.367 -0.346 20 0 EBADMM C[C@H]1SCCN(c2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)[C@@H]1C ZINC000736300645 582929123 /nfs/dbraw/zinc/92/91/23/582929123.db2.gz CMYOBPHXRGSSQZ-HTQZYQBOSA-N -1 1 337.409 -0.406 20 0 EBADMM CC(C)[C@@H](CNC(=O)N1CCO[C@H](C(=O)[O-])C1)N1CCN(C)CC1 ZINC000736353925 582931110 /nfs/dbraw/zinc/93/11/10/582931110.db2.gz SANIZLBUBBATLL-KGLIPLIRSA-N -1 1 342.440 -0.247 20 0 EBADMM CC(C)[C@H](NC(=O)[O-])C(=O)N1CCO[C@H](CN2CCN(C)CC2)C1 ZINC000736362045 582931472 /nfs/dbraw/zinc/93/14/72/582931472.db2.gz FHUNIESMQCROBX-KGLIPLIRSA-N -1 1 342.440 -0.247 20 0 EBADMM CC(C)CN1CCO[C@@H](CNC(=O)N2CCO[C@H](C(=O)[O-])C2)C1 ZINC000736444029 582934296 /nfs/dbraw/zinc/93/42/96/582934296.db2.gz VMFWFZVEMQOTBB-STQMWFEESA-N -1 1 329.397 -0.162 20 0 EBADMM CC(C)N1CCO[C@H](CN2CCN(C(=O)[C@H]3C[C@H]3C(=O)[O-])CC2)C1 ZINC000736473406 582935319 /nfs/dbraw/zinc/93/53/19/582935319.db2.gz DTMDBUZWGGGARM-QLFBSQMISA-N -1 1 339.436 -0.040 20 0 EBADMM CCCNC(=O)CN1CCN(c2cccc(-c3nn[n-]n3)n2)CC1 ZINC000736483162 582935986 /nfs/dbraw/zinc/93/59/86/582935986.db2.gz GHHOSKKMBITAIL-UHFFFAOYSA-N -1 1 330.396 -0.090 20 0 EBADMM CCN(Cc1ccoc1)c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000736554996 582941274 /nfs/dbraw/zinc/94/12/74/582941274.db2.gz AGVDDICYMOGKDF-UHFFFAOYSA-N -1 1 331.336 -0.116 20 0 EBADMM C[C@H](CN(C)CC(=O)Nc1cccc(S(N)(=O)=O)c1)C(=O)[O-] ZINC000736572145 582943000 /nfs/dbraw/zinc/94/30/00/582943000.db2.gz NVUMLQRTIQRPMW-SECBINFHSA-N -1 1 329.378 -0.075 20 0 EBADMM CCOC(=O)[C@H](C)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736583528 582944203 /nfs/dbraw/zinc/94/42/03/582944203.db2.gz CZVQCKUEHQPPJK-ZETCQYMHSA-N -1 1 326.338 -0.508 20 0 EBADMM CCOC(=O)[C@H](C)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736583528 582944204 /nfs/dbraw/zinc/94/42/04/582944204.db2.gz CZVQCKUEHQPPJK-ZETCQYMHSA-N -1 1 326.338 -0.508 20 0 EBADMM CCOC(=O)C(NC(=O)c1ccc(-c2nnn[n-]2)nc1)C(=O)OCC ZINC000736590345 582944445 /nfs/dbraw/zinc/94/44/45/582944445.db2.gz GUUWUNPHYBLXEQ-UHFFFAOYSA-N -1 1 348.319 -0.514 20 0 EBADMM CCOC(=O)C(NC(=O)c1ccc(-c2nn[n-]n2)nc1)C(=O)OCC ZINC000736590345 582944447 /nfs/dbraw/zinc/94/44/47/582944447.db2.gz GUUWUNPHYBLXEQ-UHFFFAOYSA-N -1 1 348.319 -0.514 20 0 EBADMM CCS(=O)(=O)N1CCC(Nc2nccnc2-c2nnn[n-]2)CC1 ZINC000736658591 582948979 /nfs/dbraw/zinc/94/89/79/582948979.db2.gz FZBUDIKOHXTRII-UHFFFAOYSA-N -1 1 338.397 -0.117 20 0 EBADMM CCS(=O)(=O)N1CCC(Nc2nccnc2-c2nn[n-]n2)CC1 ZINC000736658591 582948982 /nfs/dbraw/zinc/94/89/82/582948982.db2.gz FZBUDIKOHXTRII-UHFFFAOYSA-N -1 1 338.397 -0.117 20 0 EBADMM C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C[C@@](C)(O)C(=O)[O-])CC2)C1 ZINC000736750668 582953269 /nfs/dbraw/zinc/95/32/69/582953269.db2.gz QSHDEDISRBZQBQ-CKEIUWERSA-N -1 1 341.452 -0.056 20 0 EBADMM CN(C1CCN(c2nccnc2-c2nnn[n-]2)CC1)S(C)(=O)=O ZINC000736779525 582955627 /nfs/dbraw/zinc/95/56/27/582955627.db2.gz KGZZMZZPKRJCSY-UHFFFAOYSA-N -1 1 338.397 -0.483 20 0 EBADMM CN(C1CCN(c2nccnc2-c2nn[n-]n2)CC1)S(C)(=O)=O ZINC000736779525 582955628 /nfs/dbraw/zinc/95/56/28/582955628.db2.gz KGZZMZZPKRJCSY-UHFFFAOYSA-N -1 1 338.397 -0.483 20 0 EBADMM CNC(=O)[C@H]1CCCN1S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736869932 582965863 /nfs/dbraw/zinc/96/58/63/582965863.db2.gz DENNDJTXYBYJOF-SNVBAGLBSA-N -1 1 337.365 -0.839 20 0 EBADMM CNC(=O)[C@H]1CCCN1S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736869932 582965868 /nfs/dbraw/zinc/96/58/68/582965868.db2.gz DENNDJTXYBYJOF-SNVBAGLBSA-N -1 1 337.365 -0.839 20 0 EBADMM COC(=O)C[C@@H](C)Sc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000736910590 582970573 /nfs/dbraw/zinc/97/05/73/582970573.db2.gz FYQBZYOXWZUVOD-ZCFIWIBFSA-N -1 1 340.365 -0.692 20 0 EBADMM COC(=O)[C@H]1CCN(S(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000736913156 582972191 /nfs/dbraw/zinc/97/21/91/582972191.db2.gz VDLJWDCHKYPJJG-QMMMGPOBSA-N -1 1 338.349 -0.555 20 0 EBADMM COC(=O)[C@H]1CCN(S(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000736913156 582972193 /nfs/dbraw/zinc/97/21/93/582972193.db2.gz VDLJWDCHKYPJJG-QMMMGPOBSA-N -1 1 338.349 -0.555 20 0 EBADMM CC[C@H](O)CN1CCN(CC(=O)N2CCC(C(=O)[O-])CC2)CC1 ZINC000736926205 582974889 /nfs/dbraw/zinc/97/48/89/582974889.db2.gz FYJXWXPPKFHVOG-AWEZNQCLSA-N -1 1 327.425 -0.302 20 0 EBADMM COC(=O)c1cc(-c2nn[n-]n2)c(=O)n(CC(=O)NCC2CCC2)c1 ZINC000736938700 582976886 /nfs/dbraw/zinc/97/68/86/582976886.db2.gz ARGDUQFCZZFQKD-UHFFFAOYSA-N -1 1 346.347 -0.269 20 0 EBADMM CS(=O)(=O)N1CCC(CNc2nccnc2-c2nnn[n-]2)CC1 ZINC000737164913 582993852 /nfs/dbraw/zinc/99/38/52/582993852.db2.gz DAIOADIGOYHZCP-UHFFFAOYSA-N -1 1 338.397 -0.260 20 0 EBADMM CS(=O)(=O)N1CCC(CNc2nccnc2-c2nn[n-]n2)CC1 ZINC000737164913 582993856 /nfs/dbraw/zinc/99/38/56/582993856.db2.gz DAIOADIGOYHZCP-UHFFFAOYSA-N -1 1 338.397 -0.260 20 0 EBADMM CS(=O)(=O)NCCNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000737167459 582994348 /nfs/dbraw/zinc/99/43/48/582994348.db2.gz BBDWQMVAGZLWGX-UHFFFAOYSA-N -1 1 334.365 -0.229 20 0 EBADMM CS(=O)(=O)NCCNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000737167459 582994350 /nfs/dbraw/zinc/99/43/50/582994350.db2.gz BBDWQMVAGZLWGX-UHFFFAOYSA-N -1 1 334.365 -0.229 20 0 EBADMM C[S@](=O)CCCNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737174915 582995288 /nfs/dbraw/zinc/99/52/88/582995288.db2.gz YHAQLVWHYBPJKO-FQEVSTJZSA-N -1 1 330.395 -0.691 20 0 EBADMM C[S@](=O)CCCNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737174915 582995290 /nfs/dbraw/zinc/99/52/90/582995290.db2.gz YHAQLVWHYBPJKO-FQEVSTJZSA-N -1 1 330.395 -0.691 20 0 EBADMM CSc1[nH]c(=O)c(C(=O)N[C@@H]2CC(=O)N(C)C2)cc1-c1nn[n-]n1 ZINC000737186038 582995969 /nfs/dbraw/zinc/99/59/69/582995969.db2.gz DKHPILGWGHUFMO-ZCFIWIBFSA-N -1 1 349.376 -0.350 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1cc(N2CCCC2=O)ccc1F ZINC000737268775 583003385 /nfs/dbraw/zinc/00/33/85/583003385.db2.gz SBZSOAZQEUWNNT-UHFFFAOYSA-N -1 1 347.310 -0.280 20 0 EBADMM CN(CCCNC(=O)CNC1(C(=O)[O-])CCCCC1)S(C)(=O)=O ZINC000737439295 583008018 /nfs/dbraw/zinc/00/80/18/583008018.db2.gz JXWMTOCOVBFYAH-UHFFFAOYSA-N -1 1 349.453 -0.239 20 0 EBADMM CN(CCCC(=O)N1CCO[C@@H](CN2CCN(C)CC2)C1)C(=O)[O-] ZINC000737526399 583012423 /nfs/dbraw/zinc/01/24/23/583012423.db2.gz NPGHMIZEIALKJL-AWEZNQCLSA-N -1 1 342.440 -0.149 20 0 EBADMM COC(=O)[C@@H]1CN(C[C@@H](C)CN2CCO[C@@H](C(=O)[O-])C2)CCO1 ZINC000737587846 583017968 /nfs/dbraw/zinc/01/79/68/583017968.db2.gz VEOYVAYTBFORLN-XQQFMLRXSA-N -1 1 330.381 -0.718 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NCCOc2cccnc2)n(C)c1=O ZINC000737596439 583018807 /nfs/dbraw/zinc/01/88/07/583018807.db2.gz NSVXYERGDWODLC-UHFFFAOYSA-N -1 1 344.335 -0.850 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NCC2(O)CCCCCC2)n(C)c1=O ZINC000737597643 583018911 /nfs/dbraw/zinc/01/89/11/583018911.db2.gz TYMUVJUBIFHBOY-UHFFFAOYSA-N -1 1 349.395 -0.239 20 0 EBADMM O=C(CNC(=O)c1ccc(-c2nnn[n-]2)nc1)NCC(F)(F)F ZINC000737892566 583032881 /nfs/dbraw/zinc/03/28/81/583032881.db2.gz WUZIQVQQPACDKP-UHFFFAOYSA-N -1 1 329.242 -0.330 20 0 EBADMM O=C(CNC(=O)c1ccc(-c2nn[n-]n2)nc1)NCC(F)(F)F ZINC000737892566 583032882 /nfs/dbraw/zinc/03/28/82/583032882.db2.gz WUZIQVQQPACDKP-UHFFFAOYSA-N -1 1 329.242 -0.330 20 0 EBADMM Cc1nc(S(=O)(=O)[N-]C2CCN(CC(N)=O)CC2)sc1C ZINC000746402434 583106322 /nfs/dbraw/zinc/10/63/22/583106322.db2.gz ZAJNJQLWKOCTJS-UHFFFAOYSA-N -1 1 332.451 -0.012 20 0 EBADMM O=C([C@@H]1CCCN1c1ncccn1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000747386521 583113279 /nfs/dbraw/zinc/11/32/79/583113279.db2.gz PHROGNSUGLGNRU-WDEREUQCSA-N -1 1 330.352 -0.441 20 0 EBADMM O=C([N-][C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)C(F)(F)C(F)F ZINC000749366314 583128417 /nfs/dbraw/zinc/12/84/17/583128417.db2.gz XHLUSANEGDFFKD-JGVFFNPUSA-N -1 1 348.318 -0.499 20 0 EBADMM CC(C)NC(=O)CN(C)CC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000749737208 583131206 /nfs/dbraw/zinc/13/12/06/583131206.db2.gz SOWPJXWFWDETQA-OAHLLOKOSA-N -1 1 345.407 -0.138 20 0 EBADMM CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-]CC(=O)NC(C)C ZINC000750979197 583140269 /nfs/dbraw/zinc/14/02/69/583140269.db2.gz HGUYTXNMHNEUPL-UHFFFAOYSA-N -1 1 332.382 -0.302 20 0 EBADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@H]1CCCCNC1=O ZINC000750998039 583140572 /nfs/dbraw/zinc/14/05/72/583140572.db2.gz HTEAWDUULLRZCE-QMMMGPOBSA-N -1 1 330.366 -0.548 20 0 EBADMM CCOCCNC(=O)CSc1nc([O-])cc(=O)n1CCOC ZINC000753172355 583159383 /nfs/dbraw/zinc/15/93/83/583159383.db2.gz QYZOCPRORAPFHY-UHFFFAOYSA-N -1 1 331.394 -0.160 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H](C)C(=O)N2CCNC2=O)co1 ZINC000756406537 583183601 /nfs/dbraw/zinc/18/36/01/583183601.db2.gz WFFDTVBTCJKHEZ-ZETCQYMHSA-N -1 1 345.333 -0.715 20 0 EBADMM COC(=O)C1(NC(=O)CCn2cc[n-]c(=O)c2=O)CCSCC1 ZINC000759906568 583219804 /nfs/dbraw/zinc/21/98/04/583219804.db2.gz PFMYTSUOHACOIB-UHFFFAOYSA-N -1 1 341.389 -0.518 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(=O)N(Cc2ccccc2)C1 ZINC000764244048 583271004 /nfs/dbraw/zinc/27/10/04/583271004.db2.gz WYQOETJZWUVFAT-UHFFFAOYSA-N -1 1 342.355 -0.245 20 0 EBADMM Cn1[n-]c(COC(=O)CCN2C(=O)NC3(CCCC3)C2=O)nc1=O ZINC000765420812 583281890 /nfs/dbraw/zinc/28/18/90/583281890.db2.gz YDDZBZNLORPXEG-UHFFFAOYSA-N -1 1 337.336 -0.594 20 0 EBADMM CCOc1ccc(C(=O)NCC(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765429893 583282440 /nfs/dbraw/zinc/28/24/40/583282440.db2.gz FTPQXSZYFKAKCS-UHFFFAOYSA-N -1 1 334.332 -0.020 20 0 EBADMM Cn1[n-]c(COC(=O)Cn2cc(C(N)=O)c3ccccc32)nc1=O ZINC000765457806 583283113 /nfs/dbraw/zinc/28/31/13/583283113.db2.gz GIMOSPJWWBNDGW-UHFFFAOYSA-N -1 1 329.316 -0.095 20 0 EBADMM Cc1nnnn1-c1cc(N)ccc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765477770 583283457 /nfs/dbraw/zinc/28/34/57/583283457.db2.gz AHTHIYPVRGOYSL-UHFFFAOYSA-N -1 1 330.308 -0.668 20 0 EBADMM Cn1[n-]c(COC(=O)c2cnn(CC(=O)NC3CCCC3)c2)nc1=O ZINC000765500939 583284024 /nfs/dbraw/zinc/28/40/24/583284024.db2.gz DPQXAEVZDIYWST-UHFFFAOYSA-N -1 1 348.363 -0.279 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CC(=O)N(C2CCCCC2)C1 ZINC000766792697 583296768 /nfs/dbraw/zinc/29/67/68/583296768.db2.gz SUQBMYFRVMCKEA-GFCCVEGCSA-N -1 1 348.403 -0.024 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H](CO)Cc1ccc(F)cc1 ZINC000767136340 583303635 /nfs/dbraw/zinc/30/36/35/583303635.db2.gz UDJHOFCAJWTLBT-ZDUSSCGKSA-N -1 1 335.335 -0.214 20 0 EBADMM Cn1cnc(C[N-]S(=O)(=O)c2ccc(F)c(S(C)(=O)=O)c2)n1 ZINC000768567171 583316258 /nfs/dbraw/zinc/31/62/58/583316258.db2.gz LIMPCXBVWKNBCR-UHFFFAOYSA-N -1 1 348.381 -0.164 20 0 EBADMM O=C([N-]OC1CCOCC1)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000772703955 583364464 /nfs/dbraw/zinc/36/44/64/583364464.db2.gz AWWIFGUFDVMXRH-ZDUSSCGKSA-N -1 1 341.408 -0.606 20 0 EBADMM CNS(=O)(=O)c1ccc(Cl)c(C(=O)[N-]N2CN=NC2=O)c1 ZINC000777769687 583415503 /nfs/dbraw/zinc/41/55/03/583415503.db2.gz VZZYDRTXJQWIOU-UHFFFAOYSA-N -1 1 331.741 -0.071 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-]CC2CN(S(C)(=O)=O)C2)c1Cl ZINC000778137447 583418480 /nfs/dbraw/zinc/41/84/80/583418480.db2.gz ZYTXIDIERYKHCQ-UHFFFAOYSA-N -1 1 342.830 -0.757 20 0 EBADMM Cc1ccc(NC(=O)COC(=O)c2cn[n-]n2)cc1S(N)(=O)=O ZINC000805606039 583420988 /nfs/dbraw/zinc/42/09/88/583420988.db2.gz RSWNBQKMLWETEB-UHFFFAOYSA-N -1 1 339.333 -0.444 20 0 EBADMM CCCCN(C(=O)COC(=O)c1cn[n-]n1)[C@H]1CCS(=O)(=O)C1 ZINC000805608185 583422166 /nfs/dbraw/zinc/42/21/66/583422166.db2.gz ABVGEGWTOSOQBQ-JTQLQIEISA-N -1 1 344.393 -0.223 20 0 EBADMM Cc1cc(O)cc(=O)n1CCC(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000783620699 583480583 /nfs/dbraw/zinc/48/05/83/583480583.db2.gz SKLJMHNFDMKIAW-NSHDSACASA-N -1 1 334.336 -0.634 20 0 EBADMM Cn1nccc1COC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000786199403 583506943 /nfs/dbraw/zinc/50/69/43/583506943.db2.gz ZKQQCWDEUKJJEY-UHFFFAOYSA-N -1 1 342.333 -0.866 20 0 EBADMM CC(C)OCCOC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000786197826 583506982 /nfs/dbraw/zinc/50/69/82/583506982.db2.gz NXSHZBCRFSNUIU-UHFFFAOYSA-N -1 1 334.350 -0.375 20 0 EBADMM COC(=O)CCc1cccc(NC(=O)C(=O)NCc2nn[n-]n2)c1 ZINC000786331454 583508691 /nfs/dbraw/zinc/50/86/91/583508691.db2.gz KJHQOPWCMWTNHI-UHFFFAOYSA-N -1 1 332.320 -0.440 20 0 EBADMM COc1ccc(C(=O)N(C)C)cc1NC(=O)NN1CC(=O)[N-]C1=O ZINC000789837782 583539320 /nfs/dbraw/zinc/53/93/20/583539320.db2.gz ZEBDZTPPTMKFPU-UHFFFAOYSA-N -1 1 335.320 -0.015 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@@H](CO)[C@H](O)C1 ZINC000798999529 583609409 /nfs/dbraw/zinc/60/94/09/583609409.db2.gz NRVFJZRAMFISRB-WCQYABFASA-N -1 1 334.376 -0.204 20 0 EBADMM Nc1nc(N)nc(CN2CCC(C[N-]C(=O)C(F)(F)F)CC2)n1 ZINC000799174323 583610739 /nfs/dbraw/zinc/61/07/39/583610739.db2.gz NFPYUIPBRKNTBI-UHFFFAOYSA-N -1 1 333.318 -0.074 20 0 EBADMM CC[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H](C)[S@]1=O ZINC000804437866 583644562 /nfs/dbraw/zinc/64/45/62/583644562.db2.gz MHBHEPVKQCXPST-QWVJXAHUSA-N -1 1 327.406 -0.315 20 0 EBADMM C[C@@H](CNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)Cn1cccn1 ZINC000806857985 583654978 /nfs/dbraw/zinc/65/49/78/583654978.db2.gz ZEYHUWGRKFUYBA-NSHDSACASA-N -1 1 341.375 -0.071 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NC[C@](C)(O)c3ccco3)ccnc1-2 ZINC000806915501 583655928 /nfs/dbraw/zinc/65/59/28/583655928.db2.gz BZDLCCVRSYXYMB-INIZCTEOSA-N -1 1 343.343 -0.103 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CC[C@@H](N4CC=CC4)C3)ccnc1-2 ZINC000806919586 583655933 /nfs/dbraw/zinc/65/59/33/583655933.db2.gz OGROSQJEYGQUQC-GFCCVEGCSA-N -1 1 340.387 -0.247 20 0 EBADMM CN(C[C@@H](O)C(F)(F)F)C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000807020008 583656652 /nfs/dbraw/zinc/65/66/52/583656652.db2.gz DMCJONFNGGVEJA-SECBINFHSA-N -1 1 345.281 -0.338 20 0 EBADMM C[C@@H](O)C[C@@H]1COCCN1C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000807027711 583657060 /nfs/dbraw/zinc/65/70/60/583657060.db2.gz YNMPRRSONNUFSQ-GHMZBOCLSA-N -1 1 347.375 -0.721 20 0 EBADMM COCC[C@@H](COC)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000810467882 583684399 /nfs/dbraw/zinc/68/43/99/583684399.db2.gz GSUOYWDWELXFAI-JTQLQIEISA-N -1 1 335.364 -0.552 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1COc2ccccc2[C@@H]1O ZINC000811580781 583692440 /nfs/dbraw/zinc/69/24/40/583692440.db2.gz MIAAQRKAHIXNQU-RISCZKNCSA-N -1 1 331.328 -0.463 20 0 EBADMM Cn1nnc(CNC(=O)N2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000811911341 583694229 /nfs/dbraw/zinc/69/42/29/583694229.db2.gz MNTSEICLWYMGLP-QMMMGPOBSA-N -1 1 349.317 -0.190 20 0 EBADMM CC[C@@H](C(=O)[N-]S(=O)(=O)N1CCN(C(C)=O)CC1)N(CC)CC ZINC000813946976 583702717 /nfs/dbraw/zinc/70/27/17/583702717.db2.gz UIFZLHNRGSVWQE-ZDUSSCGKSA-N -1 1 348.469 -0.368 20 0 EBADMM C[C@@H](CCNC(=O)Nc1nn(C)cc1-c1nnn[n-]1)[S@@](C)=O ZINC000820242156 583769029 /nfs/dbraw/zinc/76/90/29/583769029.db2.gz LGTHDHCDYJOCBA-LWHSBAFCSA-N -1 1 326.386 -0.121 20 0 EBADMM C[C@@H](CCNC(=O)Nc1nn(C)cc1-c1nn[n-]n1)[S@@](C)=O ZINC000820242156 583769031 /nfs/dbraw/zinc/76/90/31/583769031.db2.gz LGTHDHCDYJOCBA-LWHSBAFCSA-N -1 1 326.386 -0.121 20 0 EBADMM C[C@@H](CNC(=O)Cn1cnc(-c2nn[n-]n2)n1)CC1(C)OCCO1 ZINC000820261684 583769802 /nfs/dbraw/zinc/76/98/02/583769802.db2.gz OGAAJZYQLYBGFB-SECBINFHSA-N -1 1 336.356 -0.636 20 0 EBADMM C[C@H]1C[C@@H](Nc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)C[N@H+]1C1CC1 ZINC000820665701 583776965 /nfs/dbraw/zinc/77/69/65/583776965.db2.gz GBNLEKSBIWAFMV-DTWKUNHWSA-N -1 1 346.395 -0.699 20 0 EBADMM CC(C)[C@@H](C[N-]S(=O)(=O)N=S(C)(C)=O)N1CCN(C)CC1 ZINC000820793383 583780602 /nfs/dbraw/zinc/78/06/02/583780602.db2.gz XETOVXFVMZDXDN-GFCCVEGCSA-N -1 1 340.515 -0.180 20 0 EBADMM C[C@H]1N(c2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)CCOC1(C)C ZINC000820820285 583781527 /nfs/dbraw/zinc/78/15/27/583781527.db2.gz NODRYFLIYRKFPF-MRVPVSSYSA-N -1 1 335.368 -0.732 20 0 EBADMM CS(=O)(=O)Nc1ccc(F)cc1C(=O)OCC(=O)NCC(=O)[O-] ZINC000820894417 583782661 /nfs/dbraw/zinc/78/26/61/583782661.db2.gz QHSPDEWXJKPUIL-UHFFFAOYSA-N -1 1 348.308 -0.445 20 0 EBADMM CCN(CC)C(=O)CCCNC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821175739 583786184 /nfs/dbraw/zinc/78/61/84/583786184.db2.gz USZFECOAYZKMCA-UHFFFAOYSA-N -1 1 335.372 -0.777 20 0 EBADMM NC(=O)c1n[nH]c2ccc(NC(=O)C(=O)N3CC[C@@H](C(=O)[O-])C3)cc21 ZINC000821357467 583789614 /nfs/dbraw/zinc/78/96/14/583789614.db2.gz STGMSUJBURTESE-SSDOTTSWSA-N -1 1 345.315 -0.467 20 0 EBADMM CN(C)c1ccccc1CNC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821591038 583794471 /nfs/dbraw/zinc/79/44/71/583794471.db2.gz RPHDWOHLSGFOBQ-UHFFFAOYSA-N -1 1 327.352 -0.159 20 0 EBADMM COC(=O)/C(C)=C\Cn1cc(C(=O)OC)cc(-c2nn[n-]n2)c1=O ZINC000821638613 583798498 /nfs/dbraw/zinc/79/84/98/583798498.db2.gz CWWCJHGXDQTUAS-YWEYNIOJSA-N -1 1 333.304 -0.066 20 0 EBADMM COC(=O)[C@@H]1CN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C[C@H]1C ZINC000821655773 583799324 /nfs/dbraw/zinc/79/93/24/583799324.db2.gz RKICSSSVDAFZKR-HTQZYQBOSA-N -1 1 334.340 -0.127 20 0 EBADMM COC(=O)[C@@H]1CN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C[C@H]1C ZINC000821655773 583799327 /nfs/dbraw/zinc/79/93/27/583799327.db2.gz RKICSSSVDAFZKR-HTQZYQBOSA-N -1 1 334.340 -0.127 20 0 EBADMM COCCN(CCO)CC(=O)Nc1oc(C)c(C)c1-c1nn[n-]n1 ZINC000821690096 583801527 /nfs/dbraw/zinc/80/15/27/583801527.db2.gz YRXMJDDOHOLGOL-UHFFFAOYSA-N -1 1 338.368 -0.044 20 0 EBADMM COCCN(Cc1ccco1)C(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821690231 583801559 /nfs/dbraw/zinc/80/15/59/583801559.db2.gz AWEIYHMNGZEEPX-UHFFFAOYSA-N -1 1 332.324 -0.274 20 0 EBADMM COCCN(Cc1ccccn1)C(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821690579 583801589 /nfs/dbraw/zinc/80/15/89/583801589.db2.gz QVARSRCRTFFSEH-UHFFFAOYSA-N -1 1 343.351 -0.472 20 0 EBADMM COCCNC(=O)Cn1cc(Nc2nccnc2-c2nnn[n-]2)cn1 ZINC000821691223 583802076 /nfs/dbraw/zinc/80/20/76/583802076.db2.gz NBMUOPAOXQLHQI-UHFFFAOYSA-N -1 1 344.339 -0.641 20 0 EBADMM COCCNC(=O)Cn1cc(Nc2nccnc2-c2nn[n-]n2)cn1 ZINC000821691223 583802077 /nfs/dbraw/zinc/80/20/77/583802077.db2.gz NBMUOPAOXQLHQI-UHFFFAOYSA-N -1 1 344.339 -0.641 20 0 EBADMM COCCOC1CN(S(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000821691972 583802096 /nfs/dbraw/zinc/80/20/96/583802096.db2.gz LJPWUNSNCHPRFX-UHFFFAOYSA-N -1 1 340.365 -0.702 20 0 EBADMM COCCOC1CN(S(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000821691972 583802097 /nfs/dbraw/zinc/80/20/97/583802097.db2.gz LJPWUNSNCHPRFX-UHFFFAOYSA-N -1 1 340.365 -0.702 20 0 EBADMM COCCNC(=O)N1CCN(c2nccnc2-c2nnn[n-]2)C[C@@H]1C ZINC000821691434 583802296 /nfs/dbraw/zinc/80/22/96/583802296.db2.gz UOALPSVBCHBKIK-JTQLQIEISA-N -1 1 347.383 -0.477 20 0 EBADMM COCCNC(=O)N1CCN(c2nccnc2-c2nn[n-]n2)C[C@@H]1C ZINC000821691434 583802297 /nfs/dbraw/zinc/80/22/97/583802297.db2.gz UOALPSVBCHBKIK-JTQLQIEISA-N -1 1 347.383 -0.477 20 0 EBADMM CS(=O)(=O)CC1(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000821926429 583805936 /nfs/dbraw/zinc/80/59/36/583805936.db2.gz FLSWXQIUXYSKGZ-UHFFFAOYSA-N -1 1 336.377 -0.184 20 0 EBADMM CS(=O)(=O)CC1(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)CC1 ZINC000821926429 583805938 /nfs/dbraw/zinc/80/59/38/583805938.db2.gz FLSWXQIUXYSKGZ-UHFFFAOYSA-N -1 1 336.377 -0.184 20 0 EBADMM C[C@@H](NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)C(=O)N(C)C ZINC000821994764 583806850 /nfs/dbraw/zinc/80/68/50/583806850.db2.gz NKCYJASIMWABJH-SSDOTTSWSA-N -1 1 325.354 -0.983 20 0 EBADMM C[C@@H](NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)C(=O)N(C)C ZINC000821994764 583806851 /nfs/dbraw/zinc/80/68/51/583806851.db2.gz NKCYJASIMWABJH-SSDOTTSWSA-N -1 1 325.354 -0.983 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCc2nnc3n2CCC3)n1 ZINC000822620444 583814842 /nfs/dbraw/zinc/81/48/42/583814842.db2.gz QTXUDMZYHKXZHC-UHFFFAOYSA-N -1 1 329.328 -0.540 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCc2nnc3n2CCC3)n1 ZINC000822620444 583814845 /nfs/dbraw/zinc/81/48/45/583814845.db2.gz QTXUDMZYHKXZHC-UHFFFAOYSA-N -1 1 329.328 -0.540 20 0 EBADMM Cn1nnnc1SCCC(=O)Nc1ncc(-c2nnn[n-]2)s1 ZINC000822714056 583817038 /nfs/dbraw/zinc/81/70/38/583817038.db2.gz FBDTZZYXKICSOY-UHFFFAOYSA-N -1 1 338.382 -0.032 20 0 EBADMM Cn1nnnc1SCCC(=O)Nc1ncc(-c2nn[n-]n2)s1 ZINC000822714056 583817039 /nfs/dbraw/zinc/81/70/39/583817039.db2.gz FBDTZZYXKICSOY-UHFFFAOYSA-N -1 1 338.382 -0.032 20 0 EBADMM O=C(CCN1C(=O)CCC1=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000822963877 583821985 /nfs/dbraw/zinc/82/19/85/583821985.db2.gz AEXGAIUNXPGRPY-UHFFFAOYSA-N -1 1 330.304 -0.156 20 0 EBADMM O=C(CCN1C(=O)CCC1=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000822963877 583821987 /nfs/dbraw/zinc/82/19/87/583821987.db2.gz AEXGAIUNXPGRPY-UHFFFAOYSA-N -1 1 330.304 -0.156 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)NC[C@@]3(OCCO)CCOC3)ccnc1-2 ZINC000823064250 583823761 /nfs/dbraw/zinc/82/37/61/583823761.db2.gz XYQWERANGALXNM-HNNXBMFYSA-N -1 1 335.364 -0.369 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NC[C@H](O)c1ccccc1F ZINC000823126289 583824695 /nfs/dbraw/zinc/82/46/95/583824695.db2.gz HDUFCJQELLBHDR-JTQLQIEISA-N -1 1 332.299 -0.553 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NC[C@H]1COc2ccccc2C1 ZINC000823126298 583824729 /nfs/dbraw/zinc/82/47/29/583824729.db2.gz HIEXCHHICNREIJ-JTQLQIEISA-N -1 1 340.347 -0.174 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NC[C@@H](O)c1cccc(Cl)c1 ZINC000823126010 583824780 /nfs/dbraw/zinc/82/47/80/583824780.db2.gz FEQWBCXOLXXDMT-SNVBAGLBSA-N -1 1 348.754 -0.039 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCC[C@]2(CCCOC2)C1 ZINC000823126898 583825148 /nfs/dbraw/zinc/82/51/48/583825148.db2.gz NDHWVSOYJZCJCV-AWEZNQCLSA-N -1 1 332.368 -0.123 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCCC[C@@H]1CCCC[C@H]1O ZINC000823131684 583825524 /nfs/dbraw/zinc/82/55/24/583825524.db2.gz LWAAZYIUVBGCRS-WDEREUQCSA-N -1 1 334.384 -0.094 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCCN1Cc2ccccc2C1 ZINC000823131439 583825530 /nfs/dbraw/zinc/82/55/30/583825530.db2.gz HIKHOEBVAQPKOM-UHFFFAOYSA-N -1 1 339.363 -0.410 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)Nc1cnn(-c2ccccn2)c1 ZINC000823135974 583825897 /nfs/dbraw/zinc/82/58/97/583825897.db2.gz DFJKJCNKJYXLAI-UHFFFAOYSA-N -1 1 337.307 -0.322 20 0 EBADMM CC(=O)N1CCCN([C@H]2CN(C(=O)CNC(=O)[O-])C[C@@H]2C)CC1 ZINC000823556860 583833101 /nfs/dbraw/zinc/83/31/01/583833101.db2.gz RBBCLGVUQOMPBQ-AAEUAGOBSA-N -1 1 326.397 -0.345 20 0 EBADMM CC(=O)N[C@H]1CCCN(C(=O)Cc2noc(C)c2-c2nnn[n-]2)C1 ZINC000823987624 583839667 /nfs/dbraw/zinc/83/96/67/583839667.db2.gz AQWYMAMJDFUXJM-JTQLQIEISA-N -1 1 333.352 -0.167 20 0 EBADMM CC(=O)N[C@H]1CCCN(C(=O)Cc2noc(C)c2-c2nn[n-]n2)C1 ZINC000823987624 583839669 /nfs/dbraw/zinc/83/96/69/583839669.db2.gz AQWYMAMJDFUXJM-JTQLQIEISA-N -1 1 333.352 -0.167 20 0 EBADMM CC(=O)N1CCN(C(=O)Cc2noc(C)c2-c2nnn[n-]2)[C@@H](C)C1 ZINC000823982165 583839687 /nfs/dbraw/zinc/83/96/87/583839687.db2.gz VXIFWEBNOYFQIM-QMMMGPOBSA-N -1 1 333.352 -0.215 20 0 EBADMM CC(=O)N1CCN(C(=O)Cc2noc(C)c2-c2nn[n-]n2)[C@@H](C)C1 ZINC000823982165 583839689 /nfs/dbraw/zinc/83/96/89/583839689.db2.gz VXIFWEBNOYFQIM-QMMMGPOBSA-N -1 1 333.352 -0.215 20 0 EBADMM CC(C)(O)CN1CCN(C(=O)C[C@@H]2CN(C(=O)[O-])CCO2)CC1 ZINC000824034643 583840333 /nfs/dbraw/zinc/84/03/33/583840333.db2.gz GNDSYFPDCQVHAF-GFCCVEGCSA-N -1 1 329.397 -0.330 20 0 EBADMM C[C@H](C(=O)OCc1ccnc(-c2nnn[n-]2)c1)N1C(=O)CCC1=O ZINC000824051883 583840670 /nfs/dbraw/zinc/84/06/70/583840670.db2.gz HNEHVFRKGFKDIN-MRVPVSSYSA-N -1 1 330.304 -0.158 20 0 EBADMM C[C@H](C(=O)OCc1ccnc(-c2nn[n-]n2)c1)N1C(=O)CCC1=O ZINC000824051883 583840672 /nfs/dbraw/zinc/84/06/72/583840672.db2.gz HNEHVFRKGFKDIN-MRVPVSSYSA-N -1 1 330.304 -0.158 20 0 EBADMM CC(C)(C)NS(=O)(=O)CCNc1ccc(-c2nnn[n-]2)nn1 ZINC000824093698 583841196 /nfs/dbraw/zinc/84/11/96/583841196.db2.gz JZXHKVICJJBFEB-UHFFFAOYSA-N -1 1 326.386 -0.214 20 0 EBADMM CC(C)(C)NS(=O)(=O)CCNc1ccc(-c2nn[n-]n2)nn1 ZINC000824093698 583841198 /nfs/dbraw/zinc/84/11/98/583841198.db2.gz JZXHKVICJJBFEB-UHFFFAOYSA-N -1 1 326.386 -0.214 20 0 EBADMM C[C@@H](CC(=O)N[C@H]1CCN(CCN2CCOCC2)C1)NC(=O)[O-] ZINC000824624123 583848013 /nfs/dbraw/zinc/84/80/13/583848013.db2.gz XIGFFOWCDSRCSZ-STQMWFEESA-N -1 1 328.413 -0.445 20 0 EBADMM C[C@H](CC(=O)N[C@@H]1CCN(CCN2CCOCC2)C1)NC(=O)[O-] ZINC000824624120 583848046 /nfs/dbraw/zinc/84/80/46/583848046.db2.gz XIGFFOWCDSRCSZ-CHWSQXEVSA-N -1 1 328.413 -0.445 20 0 EBADMM CCC(=O)N1CCN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000824648333 583848245 /nfs/dbraw/zinc/84/82/45/583848245.db2.gz GFRJWUTWVINAAR-UHFFFAOYSA-N -1 1 330.348 -0.681 20 0 EBADMM C[C@@H](Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C)[C@H]1CCCOC1 ZINC000824648412 583848266 /nfs/dbraw/zinc/84/82/66/583848266.db2.gz FSZRNCNDPUGTNG-BDAKNGLRSA-N -1 1 335.368 -0.509 20 0 EBADMM C[C@H](NS(C)(=O)=O)C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000824648541 583848291 /nfs/dbraw/zinc/84/82/91/583848291.db2.gz GVMSKZGYJXCIOG-ZETCQYMHSA-N -1 1 326.338 -0.757 20 0 EBADMM C[C@H](NS(C)(=O)=O)C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000824648541 583848293 /nfs/dbraw/zinc/84/82/93/583848293.db2.gz GVMSKZGYJXCIOG-ZETCQYMHSA-N -1 1 326.338 -0.757 20 0 EBADMM C[C@@H]1[C@@H](C)N(S(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)CCN1C ZINC000824857743 583851408 /nfs/dbraw/zinc/85/14/08/583851408.db2.gz FFOFFEDVFDXTRF-NXEZZACHSA-N -1 1 337.409 -0.025 20 0 EBADMM C[C@@H]1[C@@H](C)N(S(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)CCN1C ZINC000824857743 583851410 /nfs/dbraw/zinc/85/14/10/583851410.db2.gz FFOFFEDVFDXTRF-NXEZZACHSA-N -1 1 337.409 -0.025 20 0 EBADMM C[C@@H]1C[C@H](CNc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)CCO1 ZINC000824883136 583851879 /nfs/dbraw/zinc/85/18/79/583851879.db2.gz FRMGCVKHKJZOAG-RKDXNWHRSA-N -1 1 335.368 -0.509 20 0 EBADMM CCC(=O)N1CC[C@@H](NC(=O)Cc2noc(C)c2-c2nnn[n-]2)C1 ZINC000825033979 583854411 /nfs/dbraw/zinc/85/44/11/583854411.db2.gz BSPTYWUYJJEJHA-SECBINFHSA-N -1 1 333.352 -0.167 20 0 EBADMM CCC(=O)N1CC[C@@H](NC(=O)Cc2noc(C)c2-c2nn[n-]n2)C1 ZINC000825033979 583854413 /nfs/dbraw/zinc/85/44/13/583854413.db2.gz BSPTYWUYJJEJHA-SECBINFHSA-N -1 1 333.352 -0.167 20 0 EBADMM CC[C@@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)[C@H](O)C(F)(F)F ZINC000825133630 583855435 /nfs/dbraw/zinc/85/54/35/583855435.db2.gz OPNCFRRLHGUUIN-VDTYLAMSSA-N -1 1 334.262 -0.724 20 0 EBADMM CC[C@H](CSC)N(C)c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000825128388 583855467 /nfs/dbraw/zinc/85/54/67/583855467.db2.gz SNCNCHILWIESNI-MRVPVSSYSA-N -1 1 339.425 -0.158 20 0 EBADMM CCC1(O)CCC(Nc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)CC1 ZINC000825176166 583855944 /nfs/dbraw/zinc/85/59/44/583855944.db2.gz IQODODXTIFQHLV-UHFFFAOYSA-N -1 1 349.395 -0.240 20 0 EBADMM CCNC(=O)[C@@H](C)NS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000825466335 583861479 /nfs/dbraw/zinc/86/14/79/583861479.db2.gz ZNPQPOQBJLGHPR-SSDOTTSWSA-N -1 1 342.356 -0.191 20 0 EBADMM CCO[C@@H](C)c1noc(Cn2c(=O)c(-c3nn[n-]n3)cn(C)c2=O)n1 ZINC000825577091 583863449 /nfs/dbraw/zinc/86/34/49/583863449.db2.gz SNLDWHLPUWEOOG-ZETCQYMHSA-N -1 1 348.323 -0.744 20 0 EBADMM CCn1ccnc(N(C)CCCc2[nH]nc(N)c2-c2nn[n-]n2)c1=O ZINC000825805136 583867209 /nfs/dbraw/zinc/86/72/09/583867209.db2.gz UHKJENJKKZPVLD-UHFFFAOYSA-N -1 1 344.383 -0.182 20 0 EBADMM CN(C)S(=O)(=O)c1cnn(Cc2ccnc(-c3nnn[n-]3)c2)c1 ZINC000825875827 583869468 /nfs/dbraw/zinc/86/94/68/583869468.db2.gz YYZQXFYGYNDUKY-UHFFFAOYSA-N -1 1 334.365 -0.243 20 0 EBADMM CN(C)S(=O)(=O)c1cnn(Cc2ccnc(-c3nn[n-]n3)c2)c1 ZINC000825875827 583869471 /nfs/dbraw/zinc/86/94/71/583869471.db2.gz YYZQXFYGYNDUKY-UHFFFAOYSA-N -1 1 334.365 -0.243 20 0 EBADMM CN(C[C@@H](O)C(F)(F)F)C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000825902584 583870494 /nfs/dbraw/zinc/87/04/94/583870494.db2.gz WUPFEQHPZHHADW-ZCFIWIBFSA-N -1 1 334.262 -0.013 20 0 EBADMM CN(C[C@@H](O)C(F)(F)F)C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000825902584 583870495 /nfs/dbraw/zinc/87/04/95/583870495.db2.gz WUPFEQHPZHHADW-ZCFIWIBFSA-N -1 1 334.262 -0.013 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nnn[n-]1)C(=O)N[C@@H]1CCOC1 ZINC000825930342 583871043 /nfs/dbraw/zinc/87/10/43/583871043.db2.gz UAUHGVLZQVMFTR-MRVPVSSYSA-N -1 1 335.372 -0.465 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nn[n-]n1)C(=O)N[C@@H]1CCOC1 ZINC000825930342 583871047 /nfs/dbraw/zinc/87/10/47/583871047.db2.gz UAUHGVLZQVMFTR-MRVPVSSYSA-N -1 1 335.372 -0.465 20 0 EBADMM C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CC[C@@](C)(C(=O)[O-])C1 ZINC000826070841 583876836 /nfs/dbraw/zinc/87/68/36/583876836.db2.gz FTORXCMUWIHFLF-ANRSDYALSA-N -1 1 338.364 -0.966 20 0 EBADMM COC(=O)C1(N(C)C(=O)Cn2cnc(-c3nn[n-]n3)n2)CCCCC1 ZINC000826123286 583880342 /nfs/dbraw/zinc/88/03/42/583880342.db2.gz AWIGZWFNEMEMLR-UHFFFAOYSA-N -1 1 348.367 -0.208 20 0 EBADMM COC(=O)CNS(=O)(=O)c1cccc(Cl)c1-c1nnn[n-]1 ZINC000826138405 583882583 /nfs/dbraw/zinc/88/25/83/583882583.db2.gz SWSSRKQOXRKLKC-UHFFFAOYSA-N -1 1 331.741 -0.029 20 0 EBADMM COC(=O)CNS(=O)(=O)c1cccc(Cl)c1-c1nn[n-]n1 ZINC000826138405 583882585 /nfs/dbraw/zinc/88/25/85/583882585.db2.gz SWSSRKQOXRKLKC-UHFFFAOYSA-N -1 1 331.741 -0.029 20 0 EBADMM COC[C@@H](O)CCNS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000826152257 583884917 /nfs/dbraw/zinc/88/49/17/583884917.db2.gz ULFJFCWWAWJXKO-VIFPVBQESA-N -1 1 345.356 -0.319 20 0 EBADMM COCCN(CCO)S(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000826158351 583885894 /nfs/dbraw/zinc/88/58/94/583885894.db2.gz LVXYIAYUKRHPQU-UHFFFAOYSA-N -1 1 345.356 -0.365 20 0 EBADMM COCc1nc(CN(C)CCCc2[nH]nc(N)c2-c2nn[n-]n2)no1 ZINC000826165265 583886404 /nfs/dbraw/zinc/88/64/04/583886404.db2.gz MMNCIAWEVWFWEN-UHFFFAOYSA-N -1 1 348.371 -0.234 20 0 EBADMM COc1ccccc1OCCn1c(=O)c(-c2nn[n-]n2)cn(C)c1=O ZINC000826221002 583888186 /nfs/dbraw/zinc/88/81/86/583888186.db2.gz KKNXNLYANFKYPE-UHFFFAOYSA-N -1 1 344.331 -0.185 20 0 EBADMM CS(=O)(=O)NC[C@@H]1CCCCN1c1ccc(-c2nnn[n-]2)nn1 ZINC000826223590 583888694 /nfs/dbraw/zinc/88/86/94/583888694.db2.gz SKJYSLCEQSQABC-VIFPVBQESA-N -1 1 338.397 -0.435 20 0 EBADMM CS(=O)(=O)NC[C@@H]1CCCCN1c1ccc(-c2nn[n-]n2)nn1 ZINC000826223590 583888695 /nfs/dbraw/zinc/88/86/95/583888695.db2.gz SKJYSLCEQSQABC-VIFPVBQESA-N -1 1 338.397 -0.435 20 0 EBADMM Cc1[nH]c(=O)c(-c2nn[n-]n2)c(C)c1CCC(=O)N[C@H]1CNC(=O)C1 ZINC000826233137 583888933 /nfs/dbraw/zinc/88/89/33/583888933.db2.gz VGLBSQBIMZBLIV-SECBINFHSA-N -1 1 345.363 -0.479 20 0 EBADMM CS(=O)(=O)c1csc(C(=O)n2ncc(-c3nn[n-]n3)c2N)c1 ZINC000826225332 583889077 /nfs/dbraw/zinc/88/90/77/583889077.db2.gz ZISXIJKWNGHRIP-UHFFFAOYSA-N -1 1 339.362 -0.201 20 0 EBADMM Cc1[nH]c(=O)c(-c2nn[n-]n2)c(C)c1CCC(=O)N(C)[C@H](C)C(N)=O ZINC000826232928 583889124 /nfs/dbraw/zinc/88/91/24/583889124.db2.gz NMHPTVRFRLWZER-SECBINFHSA-N -1 1 347.379 -0.151 20 0 EBADMM Cc1cnn(Cc2cc(C(=O)n3ncc(-c4nn[n-]n4)c3N)no2)c1 ZINC000826320683 583891256 /nfs/dbraw/zinc/89/12/56/583891256.db2.gz ZSHANAVXNXYUPW-UHFFFAOYSA-N -1 1 340.307 -0.125 20 0 EBADMM Cc1oc(NC(=O)CN2CC[C@@H](NC(N)=O)C2)c(-c2nn[n-]n2)c1C ZINC000826341532 583892069 /nfs/dbraw/zinc/89/20/69/583892069.db2.gz JUOXFWPTGJXERH-SECBINFHSA-N -1 1 348.367 -0.242 20 0 EBADMM Cc1oc(NC(=O)CN2CCOC[C@H]2C(N)=O)c(-c2nn[n-]n2)c1C ZINC000826341713 583892238 /nfs/dbraw/zinc/89/22/38/583892238.db2.gz OLMIGFZPRROVOU-VIFPVBQESA-N -1 1 349.351 -0.799 20 0 EBADMM Cc1onc(CC(=O)N2CCCC[C@H]2CC(N)=O)c1-c1nnn[n-]1 ZINC000826344733 583892581 /nfs/dbraw/zinc/89/25/81/583892581.db2.gz QUEYKWWAZXZJTJ-VIFPVBQESA-N -1 1 333.352 -0.038 20 0 EBADMM Cc1onc(CC(=O)N2CCCC[C@H]2CC(N)=O)c1-c1nn[n-]n1 ZINC000826344733 583892582 /nfs/dbraw/zinc/89/25/82/583892582.db2.gz QUEYKWWAZXZJTJ-VIFPVBQESA-N -1 1 333.352 -0.038 20 0 EBADMM Cc1onc(CC(=O)N2CCN3C(=O)OC[C@@H]3C2)c1-c1nnn[n-]1 ZINC000826344558 583892629 /nfs/dbraw/zinc/89/26/29/583892629.db2.gz KZTKCADEERBXHL-QMMMGPOBSA-N -1 1 333.308 -0.631 20 0 EBADMM Cc1onc(CC(=O)N2CCN3C(=O)OC[C@@H]3C2)c1-c1nn[n-]n1 ZINC000826344558 583892630 /nfs/dbraw/zinc/89/26/30/583892630.db2.gz KZTKCADEERBXHL-QMMMGPOBSA-N -1 1 333.308 -0.631 20 0 EBADMM Cc1onc(CC(=O)N2CCC[C@@H]2C(=O)N(C)C)c1-c1nnn[n-]1 ZINC000826344715 583892705 /nfs/dbraw/zinc/89/27/05/583892705.db2.gz QICVYKFJVUKHRM-SNVBAGLBSA-N -1 1 333.352 -0.215 20 0 EBADMM Cc1onc(CC(=O)N2CCC[C@@H]2C(=O)N(C)C)c1-c1nn[n-]n1 ZINC000826344715 583892706 /nfs/dbraw/zinc/89/27/06/583892706.db2.gz QICVYKFJVUKHRM-SNVBAGLBSA-N -1 1 333.352 -0.215 20 0 EBADMM Cc1onc(CC(=O)NC[C@@H](O)COCC(C)C)c1-c1nnn[n-]1 ZINC000826346620 583893011 /nfs/dbraw/zinc/89/30/11/583893011.db2.gz QRTCVGDNWVLMIL-SNVBAGLBSA-N -1 1 338.368 -0.145 20 0 EBADMM Cc1onc(CC(=O)NC[C@@H](O)COCC(C)C)c1-c1nn[n-]n1 ZINC000826346620 583893013 /nfs/dbraw/zinc/89/30/13/583893013.db2.gz QRTCVGDNWVLMIL-SNVBAGLBSA-N -1 1 338.368 -0.145 20 0 EBADMM Cc1onc(CC(=O)N[C@@H]2CC(=O)N([C@H]3C[C@H]3C)C2)c1-c1nnn[n-]1 ZINC000826346421 583893145 /nfs/dbraw/zinc/89/31/45/583893145.db2.gz LVKROLSRDGDIIU-ZOFUNIGCSA-N -1 1 345.363 -0.169 20 0 EBADMM Cc1onc(CC(=O)N[C@@H]2CC(=O)N([C@H]3C[C@H]3C)C2)c1-c1nn[n-]n1 ZINC000826346421 583893147 /nfs/dbraw/zinc/89/31/47/583893147.db2.gz LVKROLSRDGDIIU-ZOFUNIGCSA-N -1 1 345.363 -0.169 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N[C@@H]2CCOC(C)(C)C2)n(C)c1=O ZINC000826355811 583893527 /nfs/dbraw/zinc/89/35/27/583893527.db2.gz OCRKZMLKHABGAW-MRVPVSSYSA-N -1 1 335.368 -0.366 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(=O)n(CC(=O)NCCC(C)(C)C)c1=O ZINC000826356505 583893746 /nfs/dbraw/zinc/89/37/46/583893746.db2.gz UCUWTQDWKKWERW-UHFFFAOYSA-N -1 1 335.368 -0.721 20 0 EBADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)c1cnc2c(c1)NC(=O)CO2 ZINC000826395446 583898674 /nfs/dbraw/zinc/89/86/74/583898674.db2.gz BUPRGQMLFZJYQJ-UHFFFAOYSA-N -1 1 327.264 -0.940 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@@H](CO)Cc1ccc(F)cc1 ZINC000826425059 583900827 /nfs/dbraw/zinc/90/08/27/583900827.db2.gz OFYUOFWAVUZVEM-LLVKDONJSA-N -1 1 346.326 -0.683 20 0 EBADMM O=C1CCN(S(=O)(=O)c2ccc(F)cc2-c2nn[n-]n2)CCN1 ZINC000826480562 583903998 /nfs/dbraw/zinc/90/39/98/583903998.db2.gz HHOQTMXSYKJHME-UHFFFAOYSA-N -1 1 340.340 -0.484 20 0 EBADMM O=C1N[C@@H](c2cnc3c(-c4nnn[n-]4)cnn3c2)N2CCSC[C@H]12 ZINC000826482159 583904886 /nfs/dbraw/zinc/90/48/86/583904886.db2.gz UFZDABCBCCUVLW-MWLCHTKSSA-N -1 1 343.376 -0.545 20 0 EBADMM O=C1N[C@@H](c2cnc3c(-c4nn[n-]n4)cnn3c2)N2CCSC[C@H]12 ZINC000826482159 583904889 /nfs/dbraw/zinc/90/48/89/583904889.db2.gz UFZDABCBCCUVLW-MWLCHTKSSA-N -1 1 343.376 -0.545 20 0 EBADMM O=S(=O)(NCCOCCO)c1cccc(F)c1-c1nnn[n-]1 ZINC000826491674 583905951 /nfs/dbraw/zinc/90/59/51/583905951.db2.gz VDFQIHHNEKIMBJ-UHFFFAOYSA-N -1 1 331.329 -0.707 20 0 EBADMM O=S(=O)(NCCOCCO)c1cccc(F)c1-c1nn[n-]n1 ZINC000826491674 583905953 /nfs/dbraw/zinc/90/59/53/583905953.db2.gz VDFQIHHNEKIMBJ-UHFFFAOYSA-N -1 1 331.329 -0.707 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@@H]2CC(=O)N(c3cn[nH]c3)C2)CC1 ZINC000827355081 583919645 /nfs/dbraw/zinc/91/96/45/583919645.db2.gz IDGYSAGKWTXRQD-VXGBXAGGSA-N -1 1 349.391 -0.230 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)CCSc2nnnn2C)CC1 ZINC000827362718 583919961 /nfs/dbraw/zinc/91/99/61/583919961.db2.gz SYDNWLZSHGFQFJ-JTQLQIEISA-N -1 1 342.425 -0.300 20 0 EBADMM C[C@H](CS(C)(=O)=O)C(=O)NCCCN1CCC(C(=O)[O-])CC1 ZINC000827575410 583924116 /nfs/dbraw/zinc/92/41/16/583924116.db2.gz NLRUAGDWICVVJO-LLVKDONJSA-N -1 1 334.438 -0.030 20 0 EBADMM CCc1nnc([C@@H]2CN(C(=O)CN(CCOC)C(=O)[O-])CCO2)[nH]1 ZINC000827620472 583924992 /nfs/dbraw/zinc/92/49/92/583924992.db2.gz JRPWTUJGRBMGDI-JTQLQIEISA-N -1 1 341.368 -0.107 20 0 EBADMM CN(C)CC(=O)N1CCN(C(=O)[C@@H]2CC[C@@H](NC(=O)[O-])C2)CC1 ZINC000828009647 583931527 /nfs/dbraw/zinc/93/15/27/583931527.db2.gz NOXBJKKTSYPMLC-VXGBXAGGSA-N -1 1 326.397 -0.345 20 0 EBADMM CN(CC(=O)N1CC[C@@H](N(C)C(=O)[O-])C1)c1ncnc2[nH]cnc21 ZINC000828091126 583933578 /nfs/dbraw/zinc/93/35/78/583933578.db2.gz VDBWVDIQHPAHCJ-SECBINFHSA-N -1 1 333.352 0.000 20 0 EBADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@@H]1CCCOC1)c1ccnn1C ZINC000828127063 583933950 /nfs/dbraw/zinc/93/39/50/583933950.db2.gz AIJGEDDULJTICM-PWSUYJOCSA-N -1 1 331.394 -0.020 20 0 EBADMM COCCN1CC[C@H](NC(=O)N2CCO[C@H]([C@@H](C)NC(=O)[O-])C2)C1 ZINC000828984381 583949540 /nfs/dbraw/zinc/94/95/40/583949540.db2.gz CWMBRJWQGXFHSA-AGIUHOORSA-N -1 1 344.412 -0.226 20 0 EBADMM CC[C@@H](O)CN1CCN(CC(=O)N[C@@H](CC(C)C)C(=O)[O-])CC1 ZINC000829004590 583949874 /nfs/dbraw/zinc/94/98/74/583949874.db2.gz MOTUCWPHQYMYKU-KGLIPLIRSA-N -1 1 329.441 -0.010 20 0 EBADMM Cc1nnc([C@@H]2CN(C(=O)[C@H]3C[C@@H](O)CN3C(=O)[O-])CCO2)[nH]1 ZINC000830677040 583969467 /nfs/dbraw/zinc/96/94/67/583969467.db2.gz CGQLMZMSGKNNAV-BBBLOLIVSA-N -1 1 325.325 -0.874 20 0 EBADMM Cc1nn(CC(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)c(=O)n1C ZINC000830790158 583970781 /nfs/dbraw/zinc/97/07/81/583970781.db2.gz OQXHTFHRSUQANK-GFCCVEGCSA-N -1 1 349.313 -0.440 20 0 EBADMM CN(CCCN1CCN(C)CC1)S(=O)(=O)c1c[nH]c(C(=O)[O-])c1 ZINC000830955033 583972730 /nfs/dbraw/zinc/97/27/30/583972730.db2.gz XOERLDHZRCOPEB-UHFFFAOYSA-N -1 1 344.437 -0.029 20 0 EBADMM CN1CCN(c2cc(CNC(=O)NC3CN(C(=O)[O-])C3)ccn2)CC1 ZINC000830960750 583972966 /nfs/dbraw/zinc/97/29/66/583972966.db2.gz ZPIYUXNOQJGLJF-UHFFFAOYSA-N -1 1 348.407 -0.005 20 0 EBADMM CN(C)C(=O)[C@H]1CC[C@H](C[N-]S(=O)(=O)c2c[nH]nc2Cl)O1 ZINC000831032503 583975239 /nfs/dbraw/zinc/97/52/39/583975239.db2.gz FHJJVWNPFNTIMM-HTQZYQBOSA-N -1 1 336.801 -0.023 20 0 EBADMM CN(C)S(=O)(=O)CCC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831133908 583976999 /nfs/dbraw/zinc/97/69/99/583976999.db2.gz DVRBPWRUIJUDNP-UHFFFAOYSA-N -1 1 345.343 -0.595 20 0 EBADMM CC(C)C(=O)N[C@@H](CO)C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831126080 583977038 /nfs/dbraw/zinc/97/70/38/583977038.db2.gz XGIPRNRXXQREGH-VIFPVBQESA-N -1 1 339.314 -0.744 20 0 EBADMM O=C([O-])N1C[C@@H](O)C[C@@H]1C(=O)N1CCN(CC2CCOCC2)CC1 ZINC000831273195 583981157 /nfs/dbraw/zinc/98/11/57/583981157.db2.gz ODPDXCODVNAFTC-UONOGXRCSA-N -1 1 341.408 -0.330 20 0 EBADMM O=C([O-])N1C[C@H](O)C[C@H]1C(=O)N1CCN(C2CCOCC2)CC1 ZINC000831271131 583981194 /nfs/dbraw/zinc/98/11/94/583981194.db2.gz AIQBBWWCNYUREI-OLZOCXBDSA-N -1 1 327.381 -0.577 20 0 EBADMM O=C([O-])N1CCSC[C@H]1CC(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000831403552 583982455 /nfs/dbraw/zinc/98/24/55/583982455.db2.gz YIRYUDBRJIEXPD-BDAKNGLRSA-N -1 1 342.381 -0.415 20 0 EBADMM COC[C@@](C)(CC(=O)OC)NCC(=O)N1CCC(C(=O)[O-])CC1 ZINC000831591124 583987539 /nfs/dbraw/zinc/98/75/39/583987539.db2.gz RKIRLGJKKJSCAT-OAHLLOKOSA-N -1 1 330.381 -0.133 20 0 EBADMM O=C([O-])N1CCN(C(=O)CNC(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC000831615657 583988546 /nfs/dbraw/zinc/98/85/46/583988546.db2.gz ATRFFYNTAMPOHO-JTQLQIEISA-N -1 1 335.364 -0.232 20 0 EBADMM O=C([O-])N1CCN(C(=O)c2ccn[nH]2)[C@@H](CNC(=O)c2ccn[nH]2)C1 ZINC000831653572 583989213 /nfs/dbraw/zinc/98/92/13/583989213.db2.gz SYIGIWGNBCIIBB-VIFPVBQESA-N -1 1 347.335 -0.633 20 0 EBADMM O=C([O-])N1CCC[C@@H](OCC(=O)N2CCO[C@H](c3nn[nH]n3)C2)C1 ZINC000831998765 583994293 /nfs/dbraw/zinc/99/42/93/583994293.db2.gz WBBGDDJNRAKJKS-ZJUUUORDSA-N -1 1 340.340 -0.741 20 0 EBADMM O=C([O-])N1CCO[C@@H](C(=O)N2CCN(CC3CCOCC3)CC2)C1 ZINC000832049692 583995308 /nfs/dbraw/zinc/99/53/08/583995308.db2.gz HZADEGHNVZOSSF-CQSZACIVSA-N -1 1 341.408 -0.064 20 0 EBADMM COc1ccnc(N2CCN(C(=O)Cn3cc(C(=O)[O-])nn3)CC2)c1 ZINC000832637192 583999840 /nfs/dbraw/zinc/99/98/40/583999840.db2.gz LHWXCPVNSRLKJD-UHFFFAOYSA-N -1 1 346.347 -0.271 20 0 EBADMM O=C([O-])NCCCOC1CCN(CC(=O)N2CCOCC2)CC1 ZINC000832633340 583999928 /nfs/dbraw/zinc/99/99/28/583999928.db2.gz SJYPQJMLGWZHQN-UHFFFAOYSA-N -1 1 329.397 -0.016 20 0 EBADMM Cc1nnc([C@@H]2CN(S(=O)(=O)N(C)CCC(=O)[O-])CCO2)[nH]1 ZINC000832990572 584002816 /nfs/dbraw/zinc/00/28/16/584002816.db2.gz CONJJGJSEMWYHL-VIFPVBQESA-N -1 1 333.370 -0.862 20 0 EBADMM Cn1cc(S(=O)(=O)NCCN2CCC3(C[C@H]3C(=O)[O-])CC2)cn1 ZINC000833053547 584003639 /nfs/dbraw/zinc/00/36/39/584003639.db2.gz WALMPNNOFDXKFK-LBPRGKRZSA-N -1 1 342.421 -0.115 20 0 EBADMM O=C([O-])C1CCN(S(=O)(=O)N2CC[C@@H](N3CC=CC3)C2)CC1 ZINC000833191533 584006669 /nfs/dbraw/zinc/00/66/69/584006669.db2.gz JFIRYIIPVACITE-CYBMUJFWSA-N -1 1 329.422 -0.026 20 0 EBADMM O=C([O-])c1cn(CC(=O)N2CCCN(C[C@H]3CCCO3)CC2)nn1 ZINC000833340701 584009013 /nfs/dbraw/zinc/00/90/13/584009013.db2.gz VNYAVTSDIZOFFZ-GFCCVEGCSA-N -1 1 337.380 -0.310 20 0 EBADMM CC(=O)N[C@@H]1CCCN(C(=O)CN2CCC([C@H](O)C(=O)[O-])CC2)C1 ZINC000833395721 584009982 /nfs/dbraw/zinc/00/99/82/584009982.db2.gz KDKGYWRLCTYALH-HIFRSBDPSA-N -1 1 341.408 -0.729 20 0 EBADMM CC(C)CS(=O)(=O)CCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000833491778 584011041 /nfs/dbraw/zinc/01/10/41/584011041.db2.gz FATBPRNDYRGYSE-NSHDSACASA-N -1 1 331.394 -0.018 20 0 EBADMM C[C@@H]1CN(C(=O)Cn2nc3ccccn3c2=O)CCN1CCC(=O)[O-] ZINC000833624275 584012526 /nfs/dbraw/zinc/01/25/26/584012526.db2.gz SSMJQIAXZQAXBG-GFCCVEGCSA-N -1 1 347.375 -0.497 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NC[C@@H]1CN(C)CCO1 ZINC000833626434 584012901 /nfs/dbraw/zinc/01/29/01/584012901.db2.gz QYEPUWPMUDQZKR-CHWSQXEVSA-N -1 1 328.413 -0.493 20 0 EBADMM O=C(CO[C@@H]1CCCN(C(=O)[O-])C1)Nc1nc(SCCO)n[nH]1 ZINC000834009397 584024092 /nfs/dbraw/zinc/02/40/92/584024092.db2.gz GBCLDULNGCHFDI-MRVPVSSYSA-N -1 1 345.381 -0.013 20 0 EBADMM Cn1ncc(C[N-]S(=O)(=O)c2cnn(C)c2Br)n1 ZINC000867267151 584054615 /nfs/dbraw/zinc/05/46/15/584054615.db2.gz HAWJOSNPQNKNED-UHFFFAOYSA-N -1 1 335.187 -0.210 20 0 EBADMM COC(=O)[C@H](CF)[N-]S(=O)(=O)c1cn(C)nc1Br ZINC000867271364 584055071 /nfs/dbraw/zinc/05/50/71/584055071.db2.gz WUTJYAMSWGHUBC-YFKPBYRVSA-N -1 1 344.162 -0.028 20 0 EBADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@@H]2CCCN(C(N)=O)C2)CCC1 ZINC000843017570 584069733 /nfs/dbraw/zinc/06/97/33/584069733.db2.gz JASGUPNDTZFBLV-LLVKDONJSA-N -1 1 346.453 -0.293 20 0 EBADMM O=S(=O)(N=S1(=O)CCCC1)[N-][C@H]1CCCN(CCCO)C1 ZINC000867327853 584080005 /nfs/dbraw/zinc/08/00/05/584080005.db2.gz QXKAOLUFPRZMHL-LBPRGKRZSA-N -1 1 339.483 -0.071 20 0 EBADMM CC(C)(C)OC(=O)COCC(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000843790105 584083036 /nfs/dbraw/zinc/08/30/36/584083036.db2.gz ALUUPPWSTLZSAP-VIFPVBQESA-N -1 1 327.341 -0.542 20 0 EBADMM CC(C)(CNC(=O)CCn1cc[n-]c(=O)c1=O)[C@]1(O)CCOC1 ZINC000844045538 584086918 /nfs/dbraw/zinc/08/69/18/584086918.db2.gz NVWZWMUQYNORHA-HNNXBMFYSA-N -1 1 325.365 -0.780 20 0 EBADMM Cc1nnnn1-c1ccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cc1 ZINC000845460963 584108031 /nfs/dbraw/zinc/10/80/31/584108031.db2.gz BOPACZBSTSAYCJ-GFCCVEGCSA-N -1 1 341.335 -0.302 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCc2ccccc2NC1=O ZINC000848268837 584155044 /nfs/dbraw/zinc/15/50/44/584155044.db2.gz IUQIBJCPJRXPSS-CYBMUJFWSA-N -1 1 342.355 -0.004 20 0 EBADMM CCNS(=O)(=O)N1CCC[C@@H](NC(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC000849233065 584167128 /nfs/dbraw/zinc/16/71/28/584167128.db2.gz WGDHZMZPUDWRIN-RQJHMYQMSA-N -1 1 347.315 -0.563 20 0 EBADMM CO[C@@H](CS(=O)(=O)[N-]Cc1nc(N(C)C)no1)[C@@H]1CCOC1 ZINC000849387522 584168794 /nfs/dbraw/zinc/16/87/94/584168794.db2.gz NLJOCDGZSQRAIP-ZJUUUORDSA-N -1 1 334.398 -0.394 20 0 EBADMM CN1c2ccccc2C[C@@H]([N-]S(=O)(=O)CCS(C)(=O)=O)C1=O ZINC000849423264 584170405 /nfs/dbraw/zinc/17/04/05/584170405.db2.gz KMIKTTYSBDTBAW-LLVKDONJSA-N -1 1 346.430 -0.462 20 0 EBADMM CS(=O)(=O)CCS(=O)(=O)[N-]C[C@]12OCCC[C@H]1C2(F)F ZINC000849459170 584171335 /nfs/dbraw/zinc/17/13/35/584171335.db2.gz XQHVXMUBLHNNJW-BDAKNGLRSA-N -1 1 333.378 -0.235 20 0 EBADMM Cn1nncc1C(=O)N1CCC[C@@H](NC(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC000851700568 584200593 /nfs/dbraw/zinc/20/05/93/584200593.db2.gz CAFASJPXBFYZQP-HTRCEHHLSA-N -1 1 349.269 -0.449 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-]CCS(C)(=O)=O)cc1C ZINC000851757927 584201880 /nfs/dbraw/zinc/20/18/80/584201880.db2.gz RBZVZDJBJUYBMR-UHFFFAOYSA-N -1 1 325.364 -0.302 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC2SCCS2)c(=O)n(C)c1=O ZINC000851831753 584203511 /nfs/dbraw/zinc/20/35/11/584203511.db2.gz HZGMBDAJYQNZGK-UHFFFAOYSA-N -1 1 337.448 -0.832 20 0 EBADMM Cn1c(=O)ccc2c1CCC[C@H]2NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000855030051 584255183 /nfs/dbraw/zinc/25/51/83/584255183.db2.gz DENURMOTOBAGEJ-GFCCVEGCSA-N -1 1 344.371 -0.181 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@H](N3CCOC3=O)C2)o1 ZINC000855508605 584260484 /nfs/dbraw/zinc/26/04/84/584260484.db2.gz LCIOKOWORSAEEF-VIFPVBQESA-N -1 1 343.361 -0.146 20 0 EBADMM O=C(N1CCO[C@H](c2nn[n-]n2)C1)[C@]1(C2CCCC2)CCNC1=O ZINC000859278228 584298914 /nfs/dbraw/zinc/29/89/14/584298914.db2.gz NABOWVJLTDBOKP-NHYWBVRUSA-N -1 1 334.380 -0.204 20 0 EBADMM CN1C(=O)CN(CC(=O)OCC(=O)[N-]C(=O)c2ccccc2)C1=O ZINC000860303952 584314785 /nfs/dbraw/zinc/31/47/85/584314785.db2.gz VTEYTUGZRCLHQU-UHFFFAOYSA-N -1 1 333.300 -0.620 20 0 EBADMM O=C(NCc1nn[n-]n1)C1(O)CN(C(=O)OCc2ccccc2)C1 ZINC000860838526 584322983 /nfs/dbraw/zinc/32/29/83/584322983.db2.gz QFAMKFFCGPYSLL-UHFFFAOYSA-N -1 1 332.320 -0.801 20 0 EBADMM COC(=O)[C@@H]1CC[C@H](C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)O1 ZINC000860848099 584323218 /nfs/dbraw/zinc/32/32/18/584323218.db2.gz WCHSUOWICZOVJV-MNOVXSKESA-N -1 1 348.421 -0.363 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCO[C@H](CCF)C3)ccnc1-2 ZINC000862103769 584347097 /nfs/dbraw/zinc/34/70/97/584347097.db2.gz PKUQIUZHANCTOE-SNVBAGLBSA-N -1 1 335.339 -0.133 20 0 EBADMM O=C(NCCN1CCOCC1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000864428151 584386796 /nfs/dbraw/zinc/38/67/96/584386796.db2.gz CEVUUCBPTXVHTA-UHFFFAOYSA-N -1 1 338.330 -0.362 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2C[C@@H]3CCC[C@@H]3O2)c(=O)n(C)c1=O ZINC000872559045 584463159 /nfs/dbraw/zinc/46/31/59/584463159.db2.gz QIWZXBQQLXAWEF-DCAQKATOSA-N -1 1 343.405 -0.680 20 0 EBADMM Cn1ccc(NC(=O)C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000874229508 584480767 /nfs/dbraw/zinc/48/07/67/584480767.db2.gz YFWSLUNQRBVLGX-UHFFFAOYSA-N -1 1 333.270 -0.505 20 0 EBADMM CCn1cc(NC(=O)C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)cn1 ZINC000874229183 584480821 /nfs/dbraw/zinc/48/08/21/584480821.db2.gz HUZPPKZCPWWSQS-UHFFFAOYSA-N -1 1 347.297 -0.022 20 0 EBADMM CCn1nccc1NC(=O)C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000874229381 584480841 /nfs/dbraw/zinc/48/08/41/584480841.db2.gz SFLDHHGLAFCVNN-UHFFFAOYSA-N -1 1 347.297 -0.022 20 0 EBADMM CON(C)C(=O)[C@H](C)NC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875892859 584507872 /nfs/dbraw/zinc/50/78/72/584507872.db2.gz RWEFWKPERZVNIA-ZETCQYMHSA-N -1 1 340.302 -0.285 20 0 EBADMM CN(CCC[N-]C(=O)C(F)(F)F)Cc1nnc2c(=O)[nH]ccn12 ZINC000880362894 584566504 /nfs/dbraw/zinc/56/65/04/584566504.db2.gz RXRFAPBPUSDJAY-UHFFFAOYSA-N -1 1 332.286 -0.082 20 0 EBADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-]CC(=O)N1CCCCC1 ZINC000881789299 584576645 /nfs/dbraw/zinc/57/66/45/584576645.db2.gz UCVCKBDAPYBMFN-LJQANCHMSA-N -1 1 326.444 -0.592 20 0 EBADMM CN(C)[S@@](C)(=O)=NS(=O)(=O)[N-][C@H]1CCN(C2CCC2)C1=O ZINC000882466445 584589747 /nfs/dbraw/zinc/58/97/47/584589747.db2.gz QYWSMEOZFUEFTA-FVINQWEUSA-N -1 1 338.455 -0.451 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC2(CC3CC3)CC2)c(=O)n(C)c1=O ZINC000882572656 584592131 /nfs/dbraw/zinc/59/21/31/584592131.db2.gz OTHUMYDZQDRAKA-UHFFFAOYSA-N -1 1 327.406 -0.057 20 0 EBADMM COc1ccc([C@@H](CC(=O)NN2CC(=O)[N-]C2=O)NC(C)=O)cc1 ZINC000029019852 584607709 /nfs/dbraw/zinc/60/77/09/584607709.db2.gz RUHIRLFJLPTFRD-GFCCVEGCSA-N -1 1 334.332 -0.155 20 0 EBADMM O=C(c1c(F)ccc([O-])c1F)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC000886182658 584659115 /nfs/dbraw/zinc/65/91/15/584659115.db2.gz LUWLWKJKXKEYIZ-SSDOTTSWSA-N -1 1 333.316 -0.355 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCOC[C@H]1C[C@H]1CCOC1 ZINC000887802172 584682578 /nfs/dbraw/zinc/68/25/78/584682578.db2.gz CZWSEOQXVUTWTD-CHWSQXEVSA-N -1 1 337.376 -0.419 20 0 EBADMM CN(CCCNC(=O)CN1CCC(C(=O)[O-])CC1)S(C)(=O)=O ZINC000739671252 596917568 /nfs/dbraw/zinc/91/75/68/596917568.db2.gz WRUMQYSAHRVPNA-UHFFFAOYSA-N -1 1 335.426 -0.819 20 0 EBADMM O=S(=O)(NCc1nnc2n1CCC2)c1ccc(-c2nnn[n-]2)nc1 ZINC000738345920 598262973 /nfs/dbraw/zinc/26/29/73/598262973.db2.gz RSIPPHPPXREIHK-UHFFFAOYSA-N -1 1 347.364 -0.722 20 0 EBADMM O=S(=O)(NCc1nnc2n1CCC2)c1ccc(-c2nn[n-]n2)nc1 ZINC000738345920 598262975 /nfs/dbraw/zinc/26/29/75/598262975.db2.gz RSIPPHPPXREIHK-UHFFFAOYSA-N -1 1 347.364 -0.722 20 0 EBADMM Cc1nc(CN2CCC[C@@H](N(C)CC(=O)NCC(=O)[O-])C2)no1 ZINC000738857169 599923013 /nfs/dbraw/zinc/92/30/13/599923013.db2.gz JZBXOIFKRIJAHT-LLVKDONJSA-N -1 1 325.369 -0.525 20 0 EBADMM O=C([O-])COc1ccc(C(=O)N2CCO[C@@H](c3nn[nH]n3)C2)cc1 ZINC000739823565 600261371 /nfs/dbraw/zinc/26/13/71/600261371.db2.gz BCKCFSZZLLNRRS-LLVKDONJSA-N -1 1 333.304 -0.123 20 0 EBADMM O=C([O-])Cn1cc(S(=O)(=O)NC2CCN(C3CC3)CC2)cn1 ZINC000315532841 600698694 /nfs/dbraw/zinc/69/86/94/600698694.db2.gz LATMTXWBIOAQIJ-UHFFFAOYSA-N -1 1 328.394 -0.127 20 0 EBADMM CC1CCN(CCNS(=O)(=O)c2cnn(CC(=O)[O-])c2)CC1 ZINC000385833881 600710896 /nfs/dbraw/zinc/71/08/96/600710896.db2.gz XTDXJCCARCIESV-UHFFFAOYSA-N -1 1 330.410 -0.022 20 0 EBADMM O=C([O-])N1CCC[C@@H]1[C@@H]1CCCCN1CC(=O)N1CCNC(=O)C1 ZINC000740731642 601930149 /nfs/dbraw/zinc/93/01/49/601930149.db2.gz TWVVOPAAMDDMLE-QWHCGFSZSA-N -1 1 338.408 -0.058 20 0 EBADMM CC(=O)N1CCN(C(=O)CN2CCC(CN(C)C(=O)[O-])CC2)CC1 ZINC000738523576 602384557 /nfs/dbraw/zinc/38/45/57/602384557.db2.gz LMDUKVWMSRHEGK-UHFFFAOYSA-N -1 1 340.424 -0.001 20 0 EBADMM CN(C[C@H]1CCN(C(=O)NC[C@H]2CN(C)CCN2C)C1)C(=O)[O-] ZINC000739735810 602637195 /nfs/dbraw/zinc/63/71/95/602637195.db2.gz VGZBSTFDNZNIAF-OLZOCXBDSA-N -1 1 327.429 -0.127 20 0 EBADMM O=C([O-])NCCNC(=O)[C@@H]1CCCN(CC(=O)NCC2CCC2)C1 ZINC000740660149 602679594 /nfs/dbraw/zinc/67/95/94/602679594.db2.gz NXTLPHFXCOIOIF-CYBMUJFWSA-N -1 1 340.424 -0.002 20 0 EBADMM CN(CCNC(=O)N[C@@H]1CCN(CCN2CCOCC2)C1)C(=O)[O-] ZINC000739694085 602836025 /nfs/dbraw/zinc/83/60/25/602836025.db2.gz JCWUVOVNZNQABX-CYBMUJFWSA-N -1 1 343.428 -0.698 20 0 EBADMM O=C([O-])N1CCOC[C@H]1C(=O)N1CCN(CC2CCOCC2)CC1 ZINC000740348446 602915095 /nfs/dbraw/zinc/91/50/95/602915095.db2.gz LUKHCPTVPNFQES-AWEZNQCLSA-N -1 1 341.408 -0.064 20 0 EBADMM Cc1nc(CN2CCN(C(=O)[C@H]3CSCN3C(=O)[O-])CC2)n[nH]1 ZINC000740217687 603100500 /nfs/dbraw/zinc/10/05/00/603100500.db2.gz AFYJBIANDXIXPZ-SNVBAGLBSA-N -1 1 340.409 -0.190 20 0 EBADMM COC1(C(=O)NC[C@@H](C)N2CCN(C)CC2)CCN(C(=O)[O-])CC1 ZINC000739799842 603118313 /nfs/dbraw/zinc/11/83/13/603118313.db2.gz VUVXDEBLFJQSDS-CYBMUJFWSA-N -1 1 342.440 -0.103 20 0 EBADMM CN(CCCNC(=O)[O-])CC(=O)N1CCN(c2cnccn2)CC1 ZINC000739677049 603250968 /nfs/dbraw/zinc/25/09/68/603250968.db2.gz SCWLRUXUBGTXNP-UHFFFAOYSA-N -1 1 336.396 -0.285 20 0 EBADMM O=C([O-])N[C@@H]1CCN(C[C@@H](O)CN2C(=O)c3ccccc3C2=O)C1 ZINC000740592701 604370088 /nfs/dbraw/zinc/37/00/88/604370088.db2.gz ASXPBKUWLSUGGZ-GHMZBOCLSA-N -1 1 333.344 -0.015 20 0 EBADMM CN(CC(=O)OCC(=O)[N-]C(=O)c1ccccc1)S(C)(=O)=O ZINC000047746187 649947871 /nfs/dbraw/zinc/94/78/71/649947871.db2.gz MPRPATUMSJTCPT-UHFFFAOYSA-N -1 1 328.346 -0.622 20 0 EBADMM CN1N=C(C(=O)OCC(=O)N[N-]C(=O)c2cccs2)CCC1=O ZINC000057733087 649960542 /nfs/dbraw/zinc/96/05/42/649960542.db2.gz CVAVKGOGCXORLK-UHFFFAOYSA-N -1 1 338.345 -0.340 20 0 EBADMM Cn1c(CNC(=O)[C@@H]2CCCCN2S(C)(=O)=O)n[n-]c1=S ZINC000066625207 649965079 /nfs/dbraw/zinc/96/50/79/649965079.db2.gz WJMZSNJDNSREEN-QMMMGPOBSA-N -1 1 333.439 -0.092 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCc1ccnn1C ZINC000319810187 649994751 /nfs/dbraw/zinc/99/47/51/649994751.db2.gz XOHXGOLTSGMFBR-UHFFFAOYSA-N -1 1 327.366 -0.159 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2cc(Cl)ccn2)c(=O)n(C)c1=O ZINC000342565858 650000641 /nfs/dbraw/zinc/00/06/41/650000641.db2.gz JODUEAAQJSQZHH-UHFFFAOYSA-N -1 1 344.780 -0.389 20 0 EBADMM CN1C(=O)CS/C1=C\C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907481970 650083383 /nfs/dbraw/zinc/08/33/83/650083383.db2.gz ZYCPTBZQRLNICL-SOBCLFBASA-N -1 1 326.407 -0.137 20 0 EBADMM CC(=O)N1CSC[C@H]1C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907476713 650083823 /nfs/dbraw/zinc/08/38/23/650083823.db2.gz ROWGSBONZNRDIR-BDAKNGLRSA-N -1 1 328.423 -0.262 20 0 EBADMM O=C([N-]OCc1ccccn1)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000909527771 650106732 /nfs/dbraw/zinc/10/67/32/650106732.db2.gz ISCOSCVGSOQNAD-CQSZACIVSA-N -1 1 348.403 -0.190 20 0 EBADMM CC[C@@]1(C(=O)[O-])CCCN(C(=O)C(=O)NCCN(C)CCOC)C1 ZINC000911156154 650154982 /nfs/dbraw/zinc/15/49/82/650154982.db2.gz NDFSTMDEGTXVEQ-MRXNPFEDSA-N -1 1 343.424 -0.216 20 0 EBADMM CCO[C@@H]1C[C@H]([N-]S(=O)(=O)c2n[nH]cc2C(=O)OC)[C@H]1OC ZINC000912548262 650208073 /nfs/dbraw/zinc/20/80/73/650208073.db2.gz UTTCNXGMYCWCGI-IVZWLZJFSA-N -1 1 333.366 -0.333 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](CO)c1cccnc1 ZINC000912552688 650208303 /nfs/dbraw/zinc/20/83/03/650208303.db2.gz DDHOFMGNAPCQNV-LLVKDONJSA-N -1 1 340.361 -0.007 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1nc(N(C)C)n[nH]1 ZINC000912553848 650208709 /nfs/dbraw/zinc/20/87/09/650208709.db2.gz NPKFURISWZKJLL-UHFFFAOYSA-N -1 1 343.369 -0.751 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@]1(C)CCC(=O)N1 ZINC000912556224 650208960 /nfs/dbraw/zinc/20/89/60/650208960.db2.gz JQCYEHSJOGEWDE-LBPRGKRZSA-N -1 1 330.366 -0.467 20 0 EBADMM CN(C(=O)c1cn(C)nc1I)c1nn[n-]n1 ZINC000912617078 650210518 /nfs/dbraw/zinc/21/05/18/650210518.db2.gz DAKJPYGXVKLPHO-UHFFFAOYSA-N -1 1 333.093 -0.186 20 0 EBADMM O=C1[C@@H]([N-]S(=O)(=O)[C@@H]2COC[C@H]2O)CCN1c1ccccc1 ZINC000914088027 650251813 /nfs/dbraw/zinc/25/18/13/650251813.db2.gz BJYZUWIOPOPRSN-YNEHKIRRSA-N -1 1 326.374 -0.529 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC(=O)NC[C@H]2CCCO2)o1 ZINC000915240545 650266347 /nfs/dbraw/zinc/26/63/47/650266347.db2.gz SUHMKMMVLAHNNY-SECBINFHSA-N -1 1 346.361 -0.360 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)CN2CCCNC2=O)cc1 ZINC000915432980 650269009 /nfs/dbraw/zinc/26/90/09/650269009.db2.gz GBEKBSWTOWPRRQ-UHFFFAOYSA-N -1 1 349.343 -0.090 20 0 EBADMM O=C1[C@H]([N-]S(=O)(=O)[C@@H]2COC[C@H]2O)CCN1c1ccc(F)cc1 ZINC000916329987 650274239 /nfs/dbraw/zinc/27/42/39/650274239.db2.gz DKYRNSYWSMNOQV-JHJVBQTASA-N -1 1 344.364 -0.390 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CN3CCN2CCC3)o1 ZINC000917051598 650278872 /nfs/dbraw/zinc/27/88/72/650278872.db2.gz YBOVVZBCMKKVHZ-LLVKDONJSA-N -1 1 343.405 -0.266 20 0 EBADMM Cc1nc(S(=O)(=O)[N-][C@@H](CO)CN2CCOCC2)sc1C ZINC000918989719 650294465 /nfs/dbraw/zinc/29/44/65/650294465.db2.gz SNYXBMSZNCUYHN-LLVKDONJSA-N -1 1 335.451 -0.269 20 0 EBADMM CN1CCOC[C@@]1(CO)C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000921339303 650318437 /nfs/dbraw/zinc/31/84/37/650318437.db2.gz AZASHOWVSVJNQA-ZDUSSCGKSA-N -1 1 336.360 -0.064 20 0 EBADMM C[C@H]1C[C@H]([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCS1 ZINC000921719685 650324436 /nfs/dbraw/zinc/32/44/36/650324436.db2.gz VNXUVRFCQRLFJH-DTWKUNHWSA-N -1 1 333.435 -0.354 20 0 EBADMM Cn1[n-]c(COC(=O)[C@H]2CCCN(CC(F)(F)F)C2=O)nc1=O ZINC000924510132 650363787 /nfs/dbraw/zinc/36/37/87/650363787.db2.gz BPUHATCKAIOELT-ZETCQYMHSA-N -1 1 336.270 -0.048 20 0 EBADMM CC(=O)N1CC(C(=O)N2CC[C@@H](N(C)C(=O)c3ncccc3[O-])C2)C1 ZINC000937246320 651695878 /nfs/dbraw/zinc/69/58/78/651695878.db2.gz CADALQPIZGSPFQ-CYBMUJFWSA-N -1 1 346.387 -0.062 20 0 EBADMM CN(CCOCCO)C(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000933111162 651714512 /nfs/dbraw/zinc/71/45/12/651714512.db2.gz ZKXYQXHDSZMHBP-UHFFFAOYSA-N -1 1 346.330 -0.204 20 0 EBADMM CS(=O)(=O)C[C@H]1CN(CCC[N-]C(=O)C(F)(F)F)CCO1 ZINC000933640966 651725242 /nfs/dbraw/zinc/72/52/42/651725242.db2.gz MBTUAUUCKWZJOH-SECBINFHSA-N -1 1 332.344 -0.200 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H](NC(=O)C2CCCC2)C1 ZINC000937593085 651852172 /nfs/dbraw/zinc/85/21/72/651852172.db2.gz ZJVRADKLYTXXRA-ZDUSSCGKSA-N -1 1 348.403 -0.166 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1ccco1 ZINC000937617030 651856179 /nfs/dbraw/zinc/85/61/79/651856179.db2.gz QBEPMXCRSGMWBZ-VIFPVBQESA-N -1 1 342.315 -0.345 20 0 EBADMM O=C(C=C1CCC1)N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000937812220 651929233 /nfs/dbraw/zinc/92/92/33/651929233.db2.gz ODWUGOYGQGLAAR-NSHDSACASA-N -1 1 342.359 -0.141 20 0 EBADMM Cn1ncc(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)c1N ZINC000937858339 651956235 /nfs/dbraw/zinc/95/62/35/651956235.db2.gz IHIWFYJUNDVUDS-VIFPVBQESA-N -1 1 330.348 -0.253 20 0 EBADMM Cn1cc(CCC(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)nn1 ZINC000937863095 651958869 /nfs/dbraw/zinc/95/88/69/651958869.db2.gz CPBDJPYKDYJIOO-NSHDSACASA-N -1 1 344.375 -0.121 20 0 EBADMM O=C(Cn1ccccc1=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937932667 652003091 /nfs/dbraw/zinc/00/30/91/652003091.db2.gz ZAWQYOCJKRSSRC-LBPRGKRZSA-N -1 1 342.355 -0.020 20 0 EBADMM C[C@@H]1OCCO[C@@H]1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000938174987 652119441 /nfs/dbraw/zinc/11/94/41/652119441.db2.gz GQKFVYJHBPAKLX-MJVIPROJSA-N -1 1 335.360 -0.078 20 0 EBADMM CN1CC[C@H](C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC000938232053 652145636 /nfs/dbraw/zinc/14/56/36/652145636.db2.gz LVWNEFPEYGFMEH-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM CO[C@H](C)C(=O)N1CC[C@H](N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000936807501 652151843 /nfs/dbraw/zinc/15/18/43/652151843.db2.gz SRUMTWXMMKMFMK-ZJUUUORDSA-N -1 1 348.363 -0.875 20 0 EBADMM CC(C)=CC(=O)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000938315090 652193121 /nfs/dbraw/zinc/19/31/21/652193121.db2.gz ZZXAQJSPDRWBRM-JTQLQIEISA-N -1 1 330.348 -0.286 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H](NC(=O)c2cnco2)C1 ZINC000938342704 652203640 /nfs/dbraw/zinc/20/36/40/652203640.db2.gz HPLMDAJTLLTPJR-ZETCQYMHSA-N -1 1 349.303 -0.471 20 0 EBADMM Cn1c(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)c[nH]c1=O ZINC000938407657 652240677 /nfs/dbraw/zinc/24/06/77/652240677.db2.gz IHXOOFZODZTGNT-VIFPVBQESA-N -1 1 331.332 -0.129 20 0 EBADMM Cc1ncc(C(=O)N2CC[C@@H](N(C)C(=O)Cn3c(=O)[n-][nH]c3=O)C2)[nH]1 ZINC000936904128 652245109 /nfs/dbraw/zinc/24/51/09/652245109.db2.gz FFEOVEYZZGKFCG-SECBINFHSA-N -1 1 349.351 -0.906 20 0 EBADMM Cc1ncc(C(=O)N2CC[C@@H](N(C)C(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC000936905212 652247787 /nfs/dbraw/zinc/24/77/87/652247787.db2.gz IMVHGCQZGVTWKN-MRVPVSSYSA-N -1 1 347.335 -0.699 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ccc3oc(=O)nc-3[n-]2)C1)c1ncn[nH]1 ZINC000938453713 652266505 /nfs/dbraw/zinc/26/65/05/652266505.db2.gz WJZSTAYDPFNNDD-ZETCQYMHSA-N -1 1 343.303 -0.309 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ccc3oc(=O)nc-3[n-]2)C1)c1nc[nH]n1 ZINC000938453713 652266507 /nfs/dbraw/zinc/26/65/07/652266507.db2.gz WJZSTAYDPFNNDD-ZETCQYMHSA-N -1 1 343.303 -0.309 20 0 EBADMM CN1C(=O)CC[C@H]1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000938458177 652267765 /nfs/dbraw/zinc/26/77/65/652267765.db2.gz KRHLMEDBYNIZPZ-QWRGUYRKSA-N -1 1 332.360 -0.261 20 0 EBADMM CN(C(=O)C(N)=O)[C@@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000938529148 652302335 /nfs/dbraw/zinc/30/23/35/652302335.db2.gz YVHIOISJQWFJRV-SECBINFHSA-N -1 1 333.348 -0.782 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)C[C@H]2CCNC2=O)C1 ZINC000938642273 652648544 /nfs/dbraw/zinc/64/85/44/652648544.db2.gz YQCZIACXJNADCR-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(c1ncccc1[O-])N1CCN(C2CN(Cc3cnon3)C2)CC1 ZINC000941387389 652708683 /nfs/dbraw/zinc/70/86/83/652708683.db2.gz CLGKNLXWRKVTRS-UHFFFAOYSA-N -1 1 344.375 -0.188 20 0 EBADMM C[C@H]1CN(Cc2ccnn2C)CC[C@H]1NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC000944345949 652748859 /nfs/dbraw/zinc/74/88/59/652748859.db2.gz ILZUASHCUYCLNT-CMPLNLGQSA-N -1 1 349.395 -0.550 20 0 EBADMM CC(C)C(=O)N(C)[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000938901695 652765748 /nfs/dbraw/zinc/76/57/48/652765748.db2.gz ODEHMFRCAULHJU-SNVBAGLBSA-N -1 1 332.364 -0.254 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@H]2CCN(C)C2=O)C1 ZINC000939157945 652848555 /nfs/dbraw/zinc/84/85/55/652848555.db2.gz XKCAWVANEUITEG-NEPJUHHUSA-N -1 1 346.387 -0.062 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2CN(C(=O)c3ccn[nH]3)CCO2)c1[O-] ZINC000944550106 652850808 /nfs/dbraw/zinc/85/08/08/652850808.db2.gz QWMAYTZXRDDYMB-VIFPVBQESA-N -1 1 334.336 -0.582 20 0 EBADMM Cc1ncc(C(=O)N2CCO[C@@H](CNC(=O)c3[nH]nc(C)c3[O-])C2)[nH]1 ZINC000944551927 652852317 /nfs/dbraw/zinc/85/23/17/652852317.db2.gz YZQFFBXTQBHVSW-JTQLQIEISA-N -1 1 348.363 -0.274 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2cnccn2)CCO1)c1ncccc1[O-] ZINC000944559807 652859012 /nfs/dbraw/zinc/85/90/12/652859012.db2.gz DXUNJKPESYQBGP-NSHDSACASA-N -1 1 343.343 -0.152 20 0 EBADMM O=C(Cn1ccnc1)N1CCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944560584 652859695 /nfs/dbraw/zinc/85/96/95/652859695.db2.gz MDBSWZQTWMSIRU-LBPRGKRZSA-N -1 1 345.359 -0.359 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)[C@H]2CCC(=O)N2)CCO1)c1ncccc1[O-] ZINC000944560336 652859922 /nfs/dbraw/zinc/85/99/22/652859922.db2.gz JBWADEPSAHXZHX-GHMZBOCLSA-N -1 1 348.359 -0.977 20 0 EBADMM O=C(Cc1cc[nH]n1)N1CCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944561831 652861606 /nfs/dbraw/zinc/86/16/06/652861606.db2.gz UTMLNNZHWMVFIC-GFCCVEGCSA-N -1 1 345.359 -0.290 20 0 EBADMM O=C(Cc1ccn[nH]1)N1CCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944561831 652861613 /nfs/dbraw/zinc/86/16/13/652861613.db2.gz UTMLNNZHWMVFIC-GFCCVEGCSA-N -1 1 345.359 -0.290 20 0 EBADMM O=C(Cc1ccon1)N1CCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944561645 652861687 /nfs/dbraw/zinc/86/16/87/652861687.db2.gz SHEJOAPCFDRWAV-LBPRGKRZSA-N -1 1 346.343 -0.025 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2cn[nH]n2)CCO1)c1ncccc1[O-] ZINC000944562328 652862337 /nfs/dbraw/zinc/86/23/37/652862337.db2.gz YOHLLWQFQUHXGF-SECBINFHSA-N -1 1 332.320 -0.824 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)C2CCCC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000941664743 652866842 /nfs/dbraw/zinc/86/68/42/652866842.db2.gz ZGMJBXOBHBXNJO-LLVKDONJSA-N -1 1 344.375 -0.062 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnc3cccnn32)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939270084 652875432 /nfs/dbraw/zinc/87/54/32/652875432.db2.gz HPVDKYQLJRWFBC-NXEZZACHSA-N -1 1 342.363 -0.197 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)CCn2cnnn2)C1 ZINC000939290723 652880888 /nfs/dbraw/zinc/88/08/88/652880888.db2.gz HZWVAYCAESNJBX-LLVKDONJSA-N -1 1 345.363 -0.463 20 0 EBADMM Cn1ccc(C(=O)NC[C@@H]2CN(C(=O)c3ncccc3[O-])CCO2)n1 ZINC000944602030 652903152 /nfs/dbraw/zinc/90/31/52/652903152.db2.gz YCPRIZMTNBAJGF-LLVKDONJSA-N -1 1 345.359 -0.208 20 0 EBADMM Cc1cnc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)cn1 ZINC000941773101 652905380 /nfs/dbraw/zinc/90/53/80/652905380.db2.gz UCAVMOSLZYQXTJ-ZYHUDNBSSA-N -1 1 345.407 -0.153 20 0 EBADMM Cc1ncncc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC000941857008 652931942 /nfs/dbraw/zinc/93/19/42/652931942.db2.gz FTSQSLCSLCSWMU-MFKMUULPSA-N -1 1 345.407 -0.153 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)Cc1ccon1 ZINC000941886662 652941172 /nfs/dbraw/zinc/94/11/72/652941172.db2.gz QTHVJYFTUPCZQK-CMPLNLGQSA-N -1 1 334.380 -0.334 20 0 EBADMM CCn1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)cn1 ZINC000941900436 652945646 /nfs/dbraw/zinc/94/56/46/652945646.db2.gz SVMOWZKUWKXOKE-YPMHNXCESA-N -1 1 347.423 -0.035 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1cc[nH]c(=O)c1 ZINC000941927436 652953629 /nfs/dbraw/zinc/95/36/29/652953629.db2.gz RNPTYQPCNBAUMO-PWSUYJOCSA-N -1 1 346.391 -0.151 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1cccc(=O)[nH]1 ZINC000941927771 652953925 /nfs/dbraw/zinc/95/39/25/652953925.db2.gz XIQQUCIHSCOBBH-MNOVXSKESA-N -1 1 346.391 -0.151 20 0 EBADMM Cn1ncc(C(=O)NC[C@H]2CN(C(=O)c3ncccc3[O-])CCO2)n1 ZINC000944672222 652957645 /nfs/dbraw/zinc/95/76/45/652957645.db2.gz MXMVRNYEXRCXNJ-JTQLQIEISA-N -1 1 346.347 -0.813 20 0 EBADMM CN1C[C@H](C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)NC1=O ZINC000942012352 653021446 /nfs/dbraw/zinc/02/14/46/653021446.db2.gz LVWDGTGEZONESP-NXEZZACHSA-N -1 1 333.348 -0.859 20 0 EBADMM Cc1cn(C)nc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1C ZINC000942047664 653026854 /nfs/dbraw/zinc/02/68/54/653026854.db2.gz ZPJYBPRJGIJVOS-CMPLNLGQSA-N -1 1 347.423 -0.209 20 0 EBADMM CN1CCO[C@@H](C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000939863791 653059083 /nfs/dbraw/zinc/05/90/83/653059083.db2.gz NEPUAVFCKKCLQU-DGCLKSJQSA-N -1 1 334.376 -0.552 20 0 EBADMM O=C(CC1CCC1)N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000939879070 653062700 /nfs/dbraw/zinc/06/27/00/653062700.db2.gz SKNBHFYNJMTCCF-LLVKDONJSA-N -1 1 344.375 -0.062 20 0 EBADMM CN1C(=O)CC[C@@H]1C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000942240587 653065768 /nfs/dbraw/zinc/06/57/68/653065768.db2.gz KRHLMEDBYNIZPZ-GHMZBOCLSA-N -1 1 332.360 -0.261 20 0 EBADMM Cc1nc(CN2CC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)[C@@H](C)C2)n[nH]1 ZINC000942303094 653078100 /nfs/dbraw/zinc/07/81/00/653078100.db2.gz KWVJLCQJZSNJEJ-IONNQARKSA-N -1 1 348.367 -0.650 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000940120440 653084203 /nfs/dbraw/zinc/08/42/03/653084203.db2.gz JRYBZGNOGJOZFM-CHWSQXEVSA-N -1 1 348.403 -0.356 20 0 EBADMM Cn1cc(OCC(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)cn1 ZINC000940130149 653084545 /nfs/dbraw/zinc/08/45/45/653084545.db2.gz HYZXBOPITHEBDF-LLVKDONJSA-N -1 1 345.359 -0.070 20 0 EBADMM CN1CCO[C@H](C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000940132371 653084997 /nfs/dbraw/zinc/08/49/97/653084997.db2.gz VVJMMYYYHFDEFH-YPMHNXCESA-N -1 1 334.376 -0.552 20 0 EBADMM C[C@@]1(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)CCC(=O)N1 ZINC000940138280 653085328 /nfs/dbraw/zinc/08/53/28/653085328.db2.gz BFPTVJKAKNRMRY-HWPZZCPQSA-N -1 1 332.360 -0.213 20 0 EBADMM CN1C[C@@H](C(=O)NC2CCN(C(=O)c3ncccc3[O-])CC2)NC1=O ZINC000946538804 653146492 /nfs/dbraw/zinc/14/64/92/653146492.db2.gz QZQBGBSDTHSCKA-NSHDSACASA-N -1 1 347.375 -0.468 20 0 EBADMM Cc1cnn(C)c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC000942567047 653150067 /nfs/dbraw/zinc/15/00/67/653150067.db2.gz DIGCYMANBDRAND-NEPJUHHUSA-N -1 1 347.423 -0.209 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)Cc1nc[nH]n1 ZINC000940473190 653179717 /nfs/dbraw/zinc/17/97/17/653179717.db2.gz RPPBOORBZUJEFH-NXEZZACHSA-N -1 1 330.348 -0.275 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)Cc1nnc[nH]1 ZINC000940473190 653179723 /nfs/dbraw/zinc/17/97/23/653179723.db2.gz RPPBOORBZUJEFH-NXEZZACHSA-N -1 1 330.348 -0.275 20 0 EBADMM Cn1cnc(C(=O)N2CCC(NC(=O)c3ncccc3[O-])CC2)n1 ZINC000946651461 653182256 /nfs/dbraw/zinc/18/22/56/653182256.db2.gz TVBBVRCUCDEWAF-UHFFFAOYSA-N -1 1 330.348 -0.050 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cn[nH]n2)C1)c1cnc(C2CC2)[n-]c1=O ZINC000940495310 653189111 /nfs/dbraw/zinc/18/91/11/653189111.db2.gz XPCBXKYXLUIBQX-SECBINFHSA-N -1 1 343.347 -0.178 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@H]2CCNC2=O)C1 ZINC000942753303 653196860 /nfs/dbraw/zinc/19/68/60/653196860.db2.gz METAKLUFUAYOKH-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1ccn(C)n1 ZINC000944916695 653289069 /nfs/dbraw/zinc/28/90/69/653289069.db2.gz BHJLNZOYPPNOGM-WDEREUQCSA-N -1 1 333.396 -0.518 20 0 EBADMM NC(=O)[C@@H]1CC[C@@H]1C(=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940832154 653298345 /nfs/dbraw/zinc/29/83/45/653298345.db2.gz YLLZDOJJMGSMKA-NEPJUHHUSA-N -1 1 346.387 -0.027 20 0 EBADMM O=C(c1ncccc1[O-])N1CCCN(C(=O)[C@H]2CNC(=O)N2)CC1 ZINC000940832982 653298571 /nfs/dbraw/zinc/29/85/71/653298571.db2.gz SYUYTIFJWQRJIJ-SNVBAGLBSA-N -1 1 333.348 -0.857 20 0 EBADMM O=C(c1ncccc1[O-])N1CCCN(C(=O)[C@@H]2CNC(=O)N2)CC1 ZINC000940832981 653298578 /nfs/dbraw/zinc/29/85/78/653298578.db2.gz SYUYTIFJWQRJIJ-JTQLQIEISA-N -1 1 333.348 -0.857 20 0 EBADMM Cn1cnc(C(=O)N2CCCN(C(=O)c3ncccc3[O-])CC2)n1 ZINC000940832280 653298619 /nfs/dbraw/zinc/29/86/19/653298619.db2.gz BKQWMQYPHFFTHC-UHFFFAOYSA-N -1 1 330.348 -0.096 20 0 EBADMM C[C@H]1C[C@H](NC(=O)Cc2ccon2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947134107 653323225 /nfs/dbraw/zinc/32/32/25/653323225.db2.gz DWANSABZZKEVQZ-WDEREUQCSA-N -1 1 334.380 -0.192 20 0 EBADMM C[C@@H]1CN(C(=O)C2CC2)CC[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000945084330 653341516 /nfs/dbraw/zinc/34/15/16/653341516.db2.gz SRUDJTOPADMXLB-MWLCHTKSSA-N -1 1 344.375 -0.206 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H](C)C2)cnn1 ZINC000947248803 653347482 /nfs/dbraw/zinc/34/74/82/653347482.db2.gz LTXRNHUHZPVDMR-YPMHNXCESA-N -1 1 345.407 -0.010 20 0 EBADMM Cc1nc(CC(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[n-]3)[C@H](C)C2)n[nH]1 ZINC000947294234 653358997 /nfs/dbraw/zinc/35/89/97/653358997.db2.gz AZDQEPIOZQBOMD-SCZZXKLOSA-N -1 1 334.384 -0.351 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccn[nH]2)CC[C@@H]1NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC000945194710 653362293 /nfs/dbraw/zinc/36/22/93/653362293.db2.gz GOTRYQZAUOPVOK-BDAKNGLRSA-N -1 1 349.351 -0.921 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1CCCC(=O)N1 ZINC000941062393 653396671 /nfs/dbraw/zinc/39/66/71/653396671.db2.gz BVFQNVDHPRFLHQ-GHMZBOCLSA-N -1 1 332.360 -0.213 20 0 EBADMM CC(C)C(=O)N1C[C@@H](C)[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000947578552 653432726 /nfs/dbraw/zinc/43/27/26/653432726.db2.gz FUBLSUIPQHUXJZ-MWLCHTKSSA-N -1 1 332.364 -0.350 20 0 EBADMM Cc1ncc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H](C)C2)n1C ZINC000947652310 653448836 /nfs/dbraw/zinc/44/88/36/653448836.db2.gz AKNDJUZIJVLAJJ-PWSUYJOCSA-N -1 1 347.423 -0.067 20 0 EBADMM Cc1ncc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H](C)C2)n1C ZINC000947652308 653448951 /nfs/dbraw/zinc/44/89/51/653448951.db2.gz AKNDJUZIJVLAJJ-CMPLNLGQSA-N -1 1 347.423 -0.067 20 0 EBADMM CN1C(=O)CC[C@@H]1CC(=O)N1CCC(NC(=O)c2cnn[nH]2)CC1 ZINC000947686796 653458941 /nfs/dbraw/zinc/45/89/41/653458941.db2.gz POLMXNUCLIUQND-LLVKDONJSA-N -1 1 334.380 -0.464 20 0 EBADMM O=C(NC[C@H]1CCCN1C(=O)c1ncccc1[O-])c1c[nH]c(=O)cn1 ZINC000943976222 653500691 /nfs/dbraw/zinc/50/06/91/653500691.db2.gz HIGYOTVXYFQFTI-SNVBAGLBSA-N -1 1 343.343 -0.095 20 0 EBADMM NC(=O)CC(=O)N1CC[C@@H]2[C@@H](CCN2C(=O)c2ncccc2[O-])C1 ZINC000945913250 653518192 /nfs/dbraw/zinc/51/81/92/653518192.db2.gz KYOWIFKPMRRXSN-WDEREUQCSA-N -1 1 332.360 -0.274 20 0 EBADMM CCC(=O)N1CC[C@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC[C@@H]21 ZINC000945991292 653528991 /nfs/dbraw/zinc/52/89/91/653528991.db2.gz HANQBDPJUCAGIP-JQWIXIFHSA-N -1 1 344.375 -0.109 20 0 EBADMM CCC(=O)N1CC[C@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)CC[C@@H]21 ZINC000945990570 653529168 /nfs/dbraw/zinc/52/91/68/653529168.db2.gz UNEOHZITFDUBCU-STQMWFEESA-N -1 1 348.403 -0.214 20 0 EBADMM Cn1nnc(C(=O)N[C@H]2CCC[C@H]2CNC(=O)c2ncccc2[O-])n1 ZINC000946284258 653560193 /nfs/dbraw/zinc/56/01/93/653560193.db2.gz UJCOCDAHTWUVRN-UWVGGRQHSA-N -1 1 345.363 -0.361 20 0 EBADMM C[C@@H]1CN(C(=O)CN2CN=NC2=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000948581864 653560445 /nfs/dbraw/zinc/56/04/45/653560445.db2.gz GMKIMLLSQJKDCC-NXEZZACHSA-N -1 1 346.347 -0.639 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1cccnn1 ZINC000946300740 653563155 /nfs/dbraw/zinc/56/31/55/653563155.db2.gz UQXDJMCUIHRUQH-DGCLKSJQSA-N -1 1 343.343 -0.153 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H]1CNC(=O)C1CCCC1 ZINC000948628166 653564026 /nfs/dbraw/zinc/56/40/26/653564026.db2.gz QUQIKGLTDSAGJN-ZDUSSCGKSA-N -1 1 348.403 -0.166 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cnn4ncccc34)CC2)nc1=O ZINC000948792659 653573166 /nfs/dbraw/zinc/57/31/66/653573166.db2.gz XUKMEZROZVRIEU-UHFFFAOYSA-N -1 1 342.363 -0.891 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)[C@@H]3CCCn4nccc43)CC2)nc1=O ZINC000948935397 653583373 /nfs/dbraw/zinc/58/33/73/653583373.db2.gz KXXQGKHKXOWZAS-GFCCVEGCSA-N -1 1 345.407 -0.473 20 0 EBADMM Cn1nc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cc1Cl ZINC000949210929 653830102 /nfs/dbraw/zinc/83/01/02/653830102.db2.gz BCQVYYIQWFWHRO-UHFFFAOYSA-N -1 1 339.787 -0.547 20 0 EBADMM CCc1cc(CC(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n(C)n1 ZINC000949406554 653887004 /nfs/dbraw/zinc/88/70/04/653887004.db2.gz VJBHQRKFCSNLGO-UHFFFAOYSA-N -1 1 347.423 -0.709 20 0 EBADMM CN1CCO[C@H](C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])C1 ZINC000949535432 653922249 /nfs/dbraw/zinc/92/22/49/653922249.db2.gz UTYJPJFNAWBEFV-YPMHNXCESA-N -1 1 334.376 -0.552 20 0 EBADMM CN1C[C@@H](C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])CCC1=O ZINC000949541287 653923636 /nfs/dbraw/zinc/92/36/36/653923636.db2.gz DPEWCUPISMSVRY-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1c[nH]c(=O)cn1)c1ncccc1[O-] ZINC000949538673 653923955 /nfs/dbraw/zinc/92/39/55/653923955.db2.gz OTZVLCQERUFGPF-VIFPVBQESA-N -1 1 329.316 -0.485 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)[C@H]1CCCNC1=O)c1ncccc1[O-] ZINC000949539135 653924036 /nfs/dbraw/zinc/92/40/36/653924036.db2.gz YPAFWQAVHWSOGH-MNOVXSKESA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(C[C@H]1CC(=O)NC1=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949541944 653924496 /nfs/dbraw/zinc/92/44/96/653924496.db2.gz SJNXXLORXNJFIV-NXEZZACHSA-N -1 1 346.343 -0.829 20 0 EBADMM CN1CC[C@@H](C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])C1=O ZINC000949541773 653924770 /nfs/dbraw/zinc/92/47/70/653924770.db2.gz PGCFEXBYJPZSHG-WDEREUQCSA-N -1 1 332.360 -0.404 20 0 EBADMM CCCc1cc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)[nH]n1 ZINC000949580205 653929606 /nfs/dbraw/zinc/92/96/06/653929606.db2.gz FEIDAAFNORUDKM-UHFFFAOYSA-N -1 1 333.396 -0.258 20 0 EBADMM Cc1ccn([C@@H](C)CC(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC000949638707 653940894 /nfs/dbraw/zinc/94/08/94/653940894.db2.gz HHEDDZSMNBXGQS-ZDUSSCGKSA-N -1 1 347.423 -0.091 20 0 EBADMM CCN(C(=O)c1ccc(F)nc1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000949787903 653976361 /nfs/dbraw/zinc/97/63/61/653976361.db2.gz ZYYJWBHINVLVIY-UHFFFAOYSA-N -1 1 334.355 -0.011 20 0 EBADMM COc1ccc(CC(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)nc1 ZINC000949852711 653986214 /nfs/dbraw/zinc/98/62/14/653986214.db2.gz XRGKBIMVSBYEDE-UHFFFAOYSA-N -1 1 346.391 -0.601 20 0 EBADMM COc1cccnc1CC(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000949866410 653989222 /nfs/dbraw/zinc/98/92/22/653989222.db2.gz WEPRHHIODZWTGA-UHFFFAOYSA-N -1 1 346.391 -0.601 20 0 EBADMM NC(=O)CC(=O)N1CC[C@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000950037364 654023376 /nfs/dbraw/zinc/02/33/76/654023376.db2.gz JXYCJMVDSBYFLL-VIFPVBQESA-N -1 1 333.348 -0.734 20 0 EBADMM CO[C@@H](C)C(=O)N1CCC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000950164097 654057682 /nfs/dbraw/zinc/05/76/82/654057682.db2.gz RRZNTBUVDGGSQN-UWVGGRQHSA-N -1 1 348.363 -0.827 20 0 EBADMM NC(=O)CC(=O)N1CCC[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000950258438 654078554 /nfs/dbraw/zinc/07/85/54/654078554.db2.gz RTDVEGTUDRSQCJ-JTQLQIEISA-N -1 1 347.375 -0.344 20 0 EBADMM CN1C[C@H](C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])NC1=O ZINC000951471611 654154403 /nfs/dbraw/zinc/15/44/03/654154403.db2.gz ZHIPZFJVDYUNMO-VHSXEESVSA-N -1 1 333.348 -0.859 20 0 EBADMM O=C(Cn1cnnn1)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950539574 654171783 /nfs/dbraw/zinc/17/17/83/654171783.db2.gz SQUAXKNPLDRMHL-SNVBAGLBSA-N -1 1 331.336 -0.805 20 0 EBADMM CN1CCO[C@H](C(=O)N[C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000950539673 654171989 /nfs/dbraw/zinc/17/19/89/654171989.db2.gz VBYFZLZTCTVOHX-OCCSQVGLSA-N -1 1 348.403 -0.161 20 0 EBADMM CN1CCO[C@@H](C(=O)N[C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000950539674 654172073 /nfs/dbraw/zinc/17/20/73/654172073.db2.gz VBYFZLZTCTVOHX-TZMCWYRMSA-N -1 1 348.403 -0.161 20 0 EBADMM O=C(N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1)[C@@H]1COC(=O)N1 ZINC000950543387 654173201 /nfs/dbraw/zinc/17/32/01/654173201.db2.gz YFGZHPZWPZCOEM-ZJUUUORDSA-N -1 1 334.332 -0.384 20 0 EBADMM O=C(N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1)[C@H]1CNC(=O)N1 ZINC000950542595 654173462 /nfs/dbraw/zinc/17/34/62/654173462.db2.gz FKGGZNTTYSORSR-VHSXEESVSA-N -1 1 333.348 -0.811 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1ncccc1[O-])[C@H]1CCCC(=O)N1 ZINC000950545028 654174300 /nfs/dbraw/zinc/17/43/00/654174300.db2.gz RNJRBMIXBFMZIX-WDEREUQCSA-N -1 1 332.360 -0.213 20 0 EBADMM O=C(N[C@@H]1CCCN(C(=O)[C@@H]2COC(=O)N2)C1)c1ncccc1[O-] ZINC000950656947 654212881 /nfs/dbraw/zinc/21/28/81/654212881.db2.gz PCMRATBZDOKZOH-ZJUUUORDSA-N -1 1 334.332 -0.384 20 0 EBADMM CCn1nc(C)cc1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000950674080 654216497 /nfs/dbraw/zinc/21/64/97/654216497.db2.gz GGRFEWNTTSCBDB-UHFFFAOYSA-N -1 1 333.396 -0.409 20 0 EBADMM Cn1cc(C(=O)N2CCC[C@@H](NC(=O)c3ncccc3[O-])C2)nn1 ZINC000950691145 654219855 /nfs/dbraw/zinc/21/98/55/654219855.db2.gz KVGGKLYQZFFXBC-SNVBAGLBSA-N -1 1 330.348 -0.050 20 0 EBADMM CN1CC[C@H](C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])C1=O ZINC000951654717 654232548 /nfs/dbraw/zinc/23/25/48/654232548.db2.gz HSPUTAHYGGICLZ-WDEREUQCSA-N -1 1 332.360 -0.404 20 0 EBADMM CCN(C(=O)c1n[nH]c(=O)[n-]c1=O)C1CN(C[C@@H]2C[C@]23CCOC3)C1 ZINC000950766517 654255543 /nfs/dbraw/zinc/25/55/43/654255543.db2.gz KJAICYJIJODFRE-QFYYESIMSA-N -1 1 349.391 -0.144 20 0 EBADMM CCN(C(=O)c1n[nH]c(=O)[n-]c1=O)C1CN(C[C@@H]2CCCOC2)C1 ZINC000950773657 654259239 /nfs/dbraw/zinc/25/92/39/654259239.db2.gz PPZPHUBRANXLHP-JTQLQIEISA-N -1 1 337.380 -0.144 20 0 EBADMM Cc1ncc(CN2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)CC2)o1 ZINC000951777251 654278773 /nfs/dbraw/zinc/27/87/73/654278773.db2.gz DVAOWFZYPWCQOZ-UHFFFAOYSA-N -1 1 347.375 -0.432 20 0 EBADMM CCN1CCOC[C@@H]1C(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC000950868018 654292584 /nfs/dbraw/zinc/29/25/84/654292584.db2.gz LVENDVKQWFEXIL-QWHCGFSZSA-N -1 1 348.403 -0.161 20 0 EBADMM CC1(C(=O)N2CCC[C@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)CC1 ZINC000951055639 654366462 /nfs/dbraw/zinc/36/64/62/654366462.db2.gz PDPLJJRDFDOMII-JTQLQIEISA-N -1 1 344.375 -0.062 20 0 EBADMM O=C(CCC1CC1)N1CC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951223180 654424666 /nfs/dbraw/zinc/42/46/66/654424666.db2.gz ZLJPMYZXUPRQQD-NSHDSACASA-N -1 1 344.375 -0.062 20 0 EBADMM CC1(CC(=O)N2CC[C@H]2CNC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000951224687 654425329 /nfs/dbraw/zinc/42/53/29/654425329.db2.gz LHPTXWQYASUSTN-JTQLQIEISA-N -1 1 344.375 -0.062 20 0 EBADMM NC(=O)C(=O)N1CC[C@@H](C2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC000952285220 654434094 /nfs/dbraw/zinc/43/40/94/654434094.db2.gz DBRPISCNFHFOAO-GFCCVEGCSA-N -1 1 346.387 -0.027 20 0 EBADMM CCC1(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)CCOCC1 ZINC000952445177 654460416 /nfs/dbraw/zinc/46/04/16/654460416.db2.gz OCJZNXVQQRONGA-UHFFFAOYSA-N -1 1 337.424 -0.041 20 0 EBADMM O=C(NCC1CCN(C(=O)c2ncccc2[O-])CC1)[C@H]1CNC(=O)N1 ZINC000952461847 654464285 /nfs/dbraw/zinc/46/42/85/654464285.db2.gz OWNKWOJXIXZVRH-LLVKDONJSA-N -1 1 347.375 -0.563 20 0 EBADMM CN(C(=O)CC1CCC1)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000953962490 654665106 /nfs/dbraw/zinc/66/51/06/654665106.db2.gz MJNFBPYTHSMTGE-UHFFFAOYSA-N -1 1 344.375 -0.109 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cccc(=O)[nH]1 ZINC000965845628 724530913 /nfs/dbraw/zinc/53/09/13/724530913.db2.gz NNMRMYHKRVSZCG-CMPLNLGQSA-N -1 1 346.391 -0.151 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1cc[nH]c(=O)c1 ZINC000965845981 724530961 /nfs/dbraw/zinc/53/09/61/724530961.db2.gz TUJFQTOEIYSVQA-JQWIXIFHSA-N -1 1 346.391 -0.151 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1cn(C)cn1 ZINC000965904943 724538122 /nfs/dbraw/zinc/53/81/22/724538122.db2.gz DVBDKYGBQMEXAV-MNOVXSKESA-N -1 1 333.396 -0.518 20 0 EBADMM CCn1ccnc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1C ZINC000965969038 724551224 /nfs/dbraw/zinc/55/12/24/724551224.db2.gz GFOXENIQUIVLCQ-NWDGAFQWSA-N -1 1 347.423 -0.035 20 0 EBADMM C[C@@H]1CN(C(=O)C2CCC2)C[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000966029153 724560779 /nfs/dbraw/zinc/56/07/79/724560779.db2.gz LQDKBKJHFGLZNT-SKDRFNHKSA-N -1 1 344.375 -0.206 20 0 EBADMM CC(C)=CC(=O)N1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H](C)C1 ZINC000966114289 724567856 /nfs/dbraw/zinc/56/78/56/724567856.db2.gz WIGINWCITBRKGY-JQWIXIFHSA-N -1 1 344.375 -0.040 20 0 EBADMM C[C@H]1CN(C(=O)CS(C)(=O)=O)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966223070 724592078 /nfs/dbraw/zinc/59/20/78/724592078.db2.gz XRXHPGIUOGCJHF-UWVGGRQHSA-N -1 1 341.389 -0.592 20 0 EBADMM COC(=O)[C@H]1C[C@@H]1C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954124045 719569271 /nfs/dbraw/zinc/56/92/71/719569271.db2.gz JIQAOZBSENGMDV-QWRGUYRKSA-N -1 1 333.344 -0.121 20 0 EBADMM Cc1nnc(CC(=O)N2CC(N(C)C(=O)c3ncccc3[O-])C2)[nH]1 ZINC000954123982 719569644 /nfs/dbraw/zinc/56/96/44/719569644.db2.gz HCIBBURDOFSXSX-UHFFFAOYSA-N -1 1 330.348 -0.261 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CN(C(=O)CCc3nc[nH]n3)C[C@@H]2C)c1[O-] ZINC000966288780 724606616 /nfs/dbraw/zinc/60/66/16/724606616.db2.gz OAQQUUNFNYCPDY-WCBMZHEXSA-N -1 1 347.379 -0.249 20 0 EBADMM CN(C(=O)C[C@@H]1CCC(=O)NC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954455886 719726328 /nfs/dbraw/zinc/72/63/28/719726328.db2.gz KPWBGGVXWBBIOM-NSHDSACASA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)Cn1ncnn1 ZINC000966344805 724618485 /nfs/dbraw/zinc/61/84/85/724618485.db2.gz GBEGWHQRHMZLBF-UWVGGRQHSA-N -1 1 331.336 -0.949 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)Cc1nc[nH]n1 ZINC000966351114 724620422 /nfs/dbraw/zinc/62/04/22/724620422.db2.gz RPPBOORBZUJEFH-UWVGGRQHSA-N -1 1 330.348 -0.275 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)Cc1nnc[nH]1 ZINC000966351114 724620428 /nfs/dbraw/zinc/62/04/28/724620428.db2.gz RPPBOORBZUJEFH-UWVGGRQHSA-N -1 1 330.348 -0.275 20 0 EBADMM C[C@H]1CN(C(=O)c2cn(C)nn2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966373880 724628013 /nfs/dbraw/zinc/62/80/13/724628013.db2.gz MPWHHOKEDQPBOV-VHSXEESVSA-N -1 1 330.348 -0.194 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)C2(C)CCC2)C1 ZINC000954833118 719927034 /nfs/dbraw/zinc/92/70/34/719927034.db2.gz UCNAASPGCRPWJO-UHFFFAOYSA-N -1 1 344.375 -0.109 20 0 EBADMM CCC1(C(=O)N2CC(N(C)C(=O)c3cc(=O)n4[n-]cnc4n3)C2)CC1 ZINC000954833577 719927086 /nfs/dbraw/zinc/92/70/86/719927086.db2.gz YQTMPQMCDRIIAN-UHFFFAOYSA-N -1 1 344.375 -0.109 20 0 EBADMM CN(C(=O)c1cnco1)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000954870224 719943195 /nfs/dbraw/zinc/94/31/95/719943195.db2.gz MYLLVOCPYCPCAW-UHFFFAOYSA-N -1 1 343.303 -0.998 20 0 EBADMM CN(C(=O)[C@H]1CC[C@@H](C(N)=O)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955352861 720139468 /nfs/dbraw/zinc/13/94/68/720139468.db2.gz XSAMJPBZIYZOLZ-MNOVXSKESA-N -1 1 346.387 -0.028 20 0 EBADMM C[C@H]1CCN(C(=O)C(=O)N(C)C2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000955384852 720155361 /nfs/dbraw/zinc/15/53/61/720155361.db2.gz RBFMCAMPGNQREE-NSHDSACASA-N -1 1 346.387 -0.062 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)Cn2cnnn2)CCN1C(=O)c1ncccc1[O-] ZINC000955649585 720209886 /nfs/dbraw/zinc/20/98/86/720209886.db2.gz IWVBOORDPBBZPA-GHMZBOCLSA-N -1 1 345.363 -0.417 20 0 EBADMM O=C(NC[C@@H]1CCCN1C(=O)c1ncccc1[O-])[C@H]1COCCO1 ZINC000955980018 720315737 /nfs/dbraw/zinc/31/57/37/720315737.db2.gz WKJJWVDMIIQTRO-WCQYABFASA-N -1 1 335.360 -0.077 20 0 EBADMM CC1(NC(=O)c2ncccc2[O-])CCN(C(=O)[C@H]2CNC(=O)N2)CC1 ZINC000956343395 720414765 /nfs/dbraw/zinc/41/47/65/720414765.db2.gz IFWKACALGQWIFV-SNVBAGLBSA-N -1 1 347.375 -0.421 20 0 EBADMM CC(C)C(=O)N[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC000966605598 724696204 /nfs/dbraw/zinc/69/62/04/724696204.db2.gz AOQSSEMHDFRXKI-KOLCDFICSA-N -1 1 332.364 -0.350 20 0 EBADMM C[C@H]1CN(C(=O)c2nnn(C)n2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966703727 724723929 /nfs/dbraw/zinc/72/39/29/724723929.db2.gz UGDWUVGYYRIODF-DTWKUNHWSA-N -1 1 331.336 -0.799 20 0 EBADMM C[C@@H]1CN(C(=O)CCn2cnnn2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966730833 724730678 /nfs/dbraw/zinc/73/06/78/724730678.db2.gz QLZBNZUGXIZWIQ-MNOVXSKESA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@H]1CN(C(=O)C2(C(N)=O)CC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966760704 724743842 /nfs/dbraw/zinc/74/38/42/724743842.db2.gz RLILSHZEODALNI-VHSXEESVSA-N -1 1 332.360 -0.371 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CN(C(=O)CCc3c[nH]nn3)C[C@@H]2C)c1[O-] ZINC000966846509 724761390 /nfs/dbraw/zinc/76/13/90/724761390.db2.gz JKMCIMDANNQYKZ-GZMMTYOYSA-N -1 1 347.379 -0.249 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CN(C(=O)CCc3c[nH]nn3)C[C@@H]2C)c1[O-] ZINC000966846511 724761975 /nfs/dbraw/zinc/76/19/75/724761975.db2.gz JKMCIMDANNQYKZ-KWQFWETISA-N -1 1 347.379 -0.249 20 0 EBADMM C[C@@H]1CN(C(=O)Cn2cncn2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966851233 724764487 /nfs/dbraw/zinc/76/44/87/724764487.db2.gz JVKXAGWYTAAYGY-MNOVXSKESA-N -1 1 330.348 -0.344 20 0 EBADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)[C@H]2CCC(=O)N2)C1 ZINC000956849249 722119521 /nfs/dbraw/zinc/11/95/21/722119521.db2.gz OLXFINXRQMQPMO-QLJPJBMISA-N -1 1 332.360 -0.213 20 0 EBADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)[C@@H]2CCNC2=O)C1 ZINC000956852221 722119766 /nfs/dbraw/zinc/11/97/66/722119766.db2.gz TXQZLKXHCXFOAY-QLJPJBMISA-N -1 1 332.360 -0.356 20 0 EBADMM Cn1nnc(C(=O)N2CC[C@](C)(NC(=O)c3ncccc3[O-])C2)n1 ZINC000956851351 722119820 /nfs/dbraw/zinc/11/98/20/722119820.db2.gz GPTHZXKROQCVGG-AWEZNQCLSA-N -1 1 331.336 -0.655 20 0 EBADMM CCN(C(=O)c1cn(CC)nn1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000957049416 722147438 /nfs/dbraw/zinc/14/74/38/722147438.db2.gz MWGDOLYFBHYARD-UHFFFAOYSA-N -1 1 334.384 -0.934 20 0 EBADMM CN1C[C@H](C(=O)N[C@]2(C)CCN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC000957063757 722149335 /nfs/dbraw/zinc/14/93/35/722149335.db2.gz UKJMRHMVESWTSO-PIGZYNQJSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1ncc(C(=O)N[C@]2(C)CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC000957203235 722174598 /nfs/dbraw/zinc/17/45/98/722174598.db2.gz AEQGMLWAZRKZQF-OAHLLOKOSA-N -1 1 330.348 -0.050 20 0 EBADMM CO[C@@H](C)C(=O)N1CC[C@](C)(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000957219381 722176279 /nfs/dbraw/zinc/17/62/79/722176279.db2.gz CZAXCOCDFGWJFE-VFZGTOFNSA-N -1 1 348.363 -0.827 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@@](C)(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000957219428 722176338 /nfs/dbraw/zinc/17/63/38/722176338.db2.gz FFAFKELNZTVRFT-XIZWVBILSA-N -1 1 344.375 -0.206 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@]1(C)CCN(C(=O)C(F)F)C1 ZINC000957220364 722176483 /nfs/dbraw/zinc/17/64/83/722176483.db2.gz YQFBUJQQSCUHAW-CYBMUJFWSA-N -1 1 346.290 -0.118 20 0 EBADMM C[C@]1(NC(=O)c2n[nH]c(=O)[n-]c2=O)CCN(C(=O)c2ccn[nH]2)C1 ZINC000957236113 722178373 /nfs/dbraw/zinc/17/83/73/722178373.db2.gz SPJDTEUNVFXACM-ZDUSSCGKSA-N -1 1 333.308 -0.960 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)[C@H]3CCc4n[nH]cc4C3)CC2)nc1=O ZINC000957309674 722192003 /nfs/dbraw/zinc/19/20/03/722192003.db2.gz ZVWXQLKGDQVZDN-NSHDSACASA-N -1 1 345.407 -0.719 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCN(Cc2cnsn2)CC1 ZINC000957314597 722193161 /nfs/dbraw/zinc/19/31/61/722193161.db2.gz KZNALSWZEHFPNG-UHFFFAOYSA-N -1 1 346.376 -0.773 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)COc3ccccc3)CC2)nc1=O ZINC000957437519 722210161 /nfs/dbraw/zinc/21/01/61/722210161.db2.gz ZHECLAJLKPMPDE-UHFFFAOYSA-N -1 1 331.376 -0.168 20 0 EBADMM CCN(C(=O)[C@H]1CC12CCOCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000957473906 722215632 /nfs/dbraw/zinc/21/56/32/722215632.db2.gz NLOWSNAVPNCXPS-CYBMUJFWSA-N -1 1 349.435 -0.042 20 0 EBADMM Cc1noc(C)c1CC(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000957620240 722228562 /nfs/dbraw/zinc/22/85/62/722228562.db2.gz ZTARCBZTMHVJLS-UHFFFAOYSA-N -1 1 334.380 -0.400 20 0 EBADMM Cc1ccoc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC000957855355 722252795 /nfs/dbraw/zinc/25/27/95/722252795.db2.gz LZZATUAZTRHDQW-QWRGUYRKSA-N -1 1 335.364 -0.767 20 0 EBADMM Cn1[n-]c(CN2C[C@H](O)[C@@H](CNC(=O)c3ccsc3)C2)nc1=O ZINC000957859471 722253676 /nfs/dbraw/zinc/25/36/76/722253676.db2.gz PSZCLUHPVLTDQZ-QWRGUYRKSA-N -1 1 337.405 -0.607 20 0 EBADMM Cc1cccc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)n1 ZINC000957879301 722256365 /nfs/dbraw/zinc/25/63/65/722256365.db2.gz ZLSRNXNTRVCKFR-AAEUAGOBSA-N -1 1 346.391 -0.965 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](CNC(=O)c3ccccc3O)[C@H](O)C2)nc1=O ZINC000957887135 722258352 /nfs/dbraw/zinc/25/83/52/722258352.db2.gz SMEAULTWUPVIAY-ZWNOBZJWSA-N -1 1 347.375 -0.963 20 0 EBADMM Cc1cncc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c1 ZINC000957958483 722277465 /nfs/dbraw/zinc/27/74/65/722277465.db2.gz WWBSLHGLPDYLEY-OLZOCXBDSA-N -1 1 346.391 -0.965 20 0 EBADMM Cc1ccncc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC000957958200 722277601 /nfs/dbraw/zinc/27/76/01/722277601.db2.gz UZXOVFPWJWXAHF-WCQYABFASA-N -1 1 346.391 -0.965 20 0 EBADMM O=C(NC[C@@H]1CN(Cc2csnn2)C[C@H]1O)c1ncccc1[O-] ZINC000957989689 722283545 /nfs/dbraw/zinc/28/35/45/722283545.db2.gz HKZJULPQRFMATG-BXKDBHETSA-N -1 1 335.389 -0.139 20 0 EBADMM Cn1ncnc1CN1C[C@H](O)[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957995614 722285421 /nfs/dbraw/zinc/28/54/21/722285421.db2.gz OCSICANZLLMSOG-PWSUYJOCSA-N -1 1 332.364 -0.862 20 0 EBADMM CCc1nnc(CN2C[C@@H](CNC(=O)c3ncccc3[O-])[C@H](O)C2)o1 ZINC000957996872 722285638 /nfs/dbraw/zinc/28/56/38/722285638.db2.gz VIUXRAYQGRNBJI-ZYHUDNBSSA-N -1 1 347.375 -0.045 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)co1 ZINC000958015322 722289189 /nfs/dbraw/zinc/28/91/89/722289189.db2.gz KNTMAPIFVNVBRT-NEPJUHHUSA-N -1 1 335.364 -0.767 20 0 EBADMM Cc1coc(C)c1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC000958042474 722295899 /nfs/dbraw/zinc/29/58/99/722295899.db2.gz VKYJKMBETCKPMD-NWDGAFQWSA-N -1 1 349.391 -0.459 20 0 EBADMM CCc1ccc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)o1 ZINC000958186657 722321033 /nfs/dbraw/zinc/32/10/33/722321033.db2.gz FHMSUUQKUQKQLL-PWSUYJOCSA-N -1 1 349.391 -0.514 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2CNC(=O)N2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000958295486 722339326 /nfs/dbraw/zinc/33/93/26/722339326.db2.gz LKBIHEKSVJABAX-OPRDCNLKSA-N -1 1 333.348 -0.955 20 0 EBADMM Cc1cocc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC000958317330 722344342 /nfs/dbraw/zinc/34/43/42/722344342.db2.gz WCWBDUOOEQUJDQ-PWSUYJOCSA-N -1 1 335.364 -0.767 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](CNC(=O)[C@H]3C[C@H]4C[C@H]4C3)[C@H](O)C2)nc1=O ZINC000958340036 722348682 /nfs/dbraw/zinc/34/86/82/722348682.db2.gz PVNSDRRZVUWHAS-KSSYENDESA-N -1 1 335.408 -0.937 20 0 EBADMM C[C@@H](c1nnnn1C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000958641944 722408638 /nfs/dbraw/zinc/40/86/38/722408638.db2.gz CNMSNSSFGJRTAU-UXCLJVHYSA-N -1 1 329.364 -0.268 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](CNC(=O)C3CCC=CCC3)[C@H](O)C2)nc1=O ZINC000958682719 722416887 /nfs/dbraw/zinc/41/68/87/722416887.db2.gz BWDHFPSYGFBXTJ-ZIAGYGMSSA-N -1 1 349.435 -0.236 20 0 EBADMM CN(C(=O)c1cncnc1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958829677 722603387 /nfs/dbraw/zinc/60/33/87/722603387.db2.gz MCSQSFBVLMKRHX-AAEUAGOBSA-N -1 1 343.343 -0.465 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)c2cn(C)cn2)C[C@@H]1O ZINC000958830140 722603895 /nfs/dbraw/zinc/60/38/95/722603895.db2.gz GUVWQSHTOWTGJL-AAEUAGOBSA-N -1 1 345.359 -0.522 20 0 EBADMM Cc1nonc1C(=O)N1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000958830546 722604439 /nfs/dbraw/zinc/60/44/39/722604439.db2.gz YWUWUXZSBLJLIZ-ONGXEEELSA-N -1 1 347.331 -0.564 20 0 EBADMM Cn1cnc(C(=O)N2CCC3(CN(C(=O)c4ncccc4[O-])C3)C2)n1 ZINC001018961981 728756512 /nfs/dbraw/zinc/75/65/12/728756512.db2.gz AJTKYXAAHJVPOJ-UHFFFAOYSA-N -1 1 342.359 -0.096 20 0 EBADMM O=C(Cc1nnc[nH]1)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001018962754 728757162 /nfs/dbraw/zinc/75/71/62/728757162.db2.gz YAWXJQBVNMHPLU-UHFFFAOYSA-N -1 1 342.359 -0.178 20 0 EBADMM C[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H]1CNC(=O)C1CC1 ZINC000959521145 722734872 /nfs/dbraw/zinc/73/48/72/722734872.db2.gz YMXYLMMOUOQJSV-AAEUAGOBSA-N -1 1 348.403 -0.453 20 0 EBADMM O=C(c1cc2c(cn1)OCCO2)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001018980623 728761926 /nfs/dbraw/zinc/76/19/26/728761926.db2.gz JBSLVERRHBZNEA-VIFPVBQESA-N -1 1 346.347 -0.319 20 0 EBADMM C[C@@H]1CN(C(=O)C(N)=O)C[C@@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000959572743 722745585 /nfs/dbraw/zinc/74/55/85/722745585.db2.gz VUZAMMVNHUQDRS-SCZZXKLOSA-N -1 1 347.375 -0.631 20 0 EBADMM CC(=O)NC[C@H]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000959612190 722752560 /nfs/dbraw/zinc/75/25/60/722752560.db2.gz YALQGAXSQQQVPX-ZSBIGDGJSA-N -1 1 344.375 -0.063 20 0 EBADMM CCC(=O)N1C[C@@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@@H]2C1 ZINC000959768845 722759559 /nfs/dbraw/zinc/75/95/59/722759559.db2.gz AXDVKNPJYUWFGR-TXEJJXNPSA-N -1 1 334.376 -0.747 20 0 EBADMM O=C(c1ncccc1[O-])N1CCC2(CN(C(=O)[C@@H]3COC(=O)N3)C2)C1 ZINC001018986506 728764521 /nfs/dbraw/zinc/76/45/21/728764521.db2.gz JKFHWJVLPXRYBP-JTQLQIEISA-N -1 1 346.343 -0.430 20 0 EBADMM C[C@@H](C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1)n1cncn1 ZINC000959972368 722779033 /nfs/dbraw/zinc/77/90/33/722779033.db2.gz YWWZHZLDVNHXPZ-QWRGUYRKSA-N -1 1 334.384 -0.166 20 0 EBADMM Cn1cc(OCC(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)cn1 ZINC000960105699 722793393 /nfs/dbraw/zinc/79/33/93/722793393.db2.gz JHXXLVMMDAAOBR-NSHDSACASA-N -1 1 349.395 -0.207 20 0 EBADMM CCCn1nccc1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000960118724 722795755 /nfs/dbraw/zinc/79/57/55/722795755.db2.gz SKLAOIULMYNJOZ-YABSGUDNSA-N -1 1 345.407 -0.425 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C2(F)CCOCC2)nc1=O ZINC000960230047 722808494 /nfs/dbraw/zinc/80/84/94/722808494.db2.gz WGKOKVIBFVMGBT-IAZYJMLFSA-N -1 1 339.371 -0.827 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2cnc3n2CCC3)nc1=O ZINC000960339091 722820700 /nfs/dbraw/zinc/82/07/00/722820700.db2.gz UGZGMRSLRHVRID-MSRIBSCDSA-N -1 1 343.391 -0.889 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)c1cc[n+]([O-])cc1 ZINC000960391944 722825180 /nfs/dbraw/zinc/82/51/80/722825180.db2.gz MSQCYGQPPJDBFX-ZDUSSCGKSA-N -1 1 346.391 -0.522 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)c1cnn(C)c1 ZINC000960404536 722825973 /nfs/dbraw/zinc/82/59/73/722825973.db2.gz AFKZUTUVYYKBOF-LBPRGKRZSA-N -1 1 333.396 -0.422 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)[C@H]1CCCOC1 ZINC000960485654 722833168 /nfs/dbraw/zinc/83/31/68/722833168.db2.gz MRDIXEHYYJOLOT-QWHCGFSZSA-N -1 1 337.424 -0.042 20 0 EBADMM CCn1ncc(C(=O)N2CCC[C@@H]2CN(C)Cc2nc(=O)n(C)[n-]2)n1 ZINC000960622510 722845820 /nfs/dbraw/zinc/84/58/20/722845820.db2.gz HAZHQZQLWLMYJE-LLVKDONJSA-N -1 1 348.411 -0.544 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)Cc1ccn(C)n1 ZINC000960626030 722846179 /nfs/dbraw/zinc/84/61/79/722846179.db2.gz DHBAMJBDSSUZNK-CYBMUJFWSA-N -1 1 347.423 -0.493 20 0 EBADMM CCn1nncc1C(=O)N1CCC[C@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC000960625438 722846210 /nfs/dbraw/zinc/84/62/10/722846210.db2.gz UMDYFPSAQSFOBM-NSHDSACASA-N -1 1 348.411 -0.544 20 0 EBADMM O=C(c1ccn(CC(F)F)n1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019009975 728772498 /nfs/dbraw/zinc/77/24/98/728772498.db2.gz XXVNEJUNGLLLDK-QMMMGPOBSA-N -1 1 341.322 -0.024 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)C(C)(C)C(N)=O ZINC000960680825 722852769 /nfs/dbraw/zinc/85/27/69/722852769.db2.gz QMCTUNZBSZNCIA-SNVBAGLBSA-N -1 1 338.412 -0.957 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)Cc1cnoc1 ZINC000960816045 722868161 /nfs/dbraw/zinc/86/81/61/722868161.db2.gz FGAOYBYHZPHQMC-LBPRGKRZSA-N -1 1 334.380 -0.238 20 0 EBADMM Cc1nonc1C(=O)N1CCC[C@@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC000961054124 722891090 /nfs/dbraw/zinc/89/10/90/722891090.db2.gz FVGPHFPTKNJSOL-SNVBAGLBSA-N -1 1 335.368 -0.464 20 0 EBADMM NC(=O)CC(=O)N1CCC[C@H]([C@@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC000961125516 722903350 /nfs/dbraw/zinc/90/33/50/722903350.db2.gz WLKVDXNPSDGWHF-WDEREUQCSA-N -1 1 336.396 -0.554 20 0 EBADMM Cn1cnc(C(=O)N2C[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]3C2)n1 ZINC000961421854 723016671 /nfs/dbraw/zinc/01/66/71/723016671.db2.gz LJUGMVLENYHRLR-PHIMTYICSA-N -1 1 342.359 -0.240 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@H]2CN(C(=O)[C@@H]3CCNC3=O)C[C@H]2C1 ZINC000961426489 723017293 /nfs/dbraw/zinc/01/72/93/723017293.db2.gz BGXYFNKZZJLGIY-GRYCIOLGSA-N -1 1 344.371 -0.546 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]21)[C@@H]1CCCc2n[nH]nc21 ZINC000961613772 723045855 /nfs/dbraw/zinc/04/58/55/723045855.db2.gz BUQGDFCVVDDMTD-XBWDGYHZSA-N -1 1 344.379 -0.705 20 0 EBADMM O=C([C@@H]1CCn2cncc2C1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019055874 728785328 /nfs/dbraw/zinc/78/53/28/728785328.db2.gz AUUNYNWCFDXBLO-MNOVXSKESA-N -1 1 331.380 -0.340 20 0 EBADMM O=C([C@H]1CCCn2nccc21)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019057287 728785692 /nfs/dbraw/zinc/78/56/92/728785692.db2.gz KPYFEXQFDDEBLR-QWRGUYRKSA-N -1 1 331.380 -0.025 20 0 EBADMM Cn1ccc(CN[C@H]2CCN(C(=O)CCc3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001019082218 728791369 /nfs/dbraw/zinc/79/13/69/728791369.db2.gz SJMDNKOFKUJWRZ-NSHDSACASA-N -1 1 347.379 -0.660 20 0 EBADMM Cc1nnc(CNCC2CC(NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC000962038850 723155787 /nfs/dbraw/zinc/15/57/87/723155787.db2.gz KFDIUMCWQMQGMX-UHFFFAOYSA-N -1 1 334.340 -0.992 20 0 EBADMM O=C(c1ccnc2c1CC(=O)N2)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019090362 728793849 /nfs/dbraw/zinc/79/38/49/728793849.db2.gz MBUSXNDDZMXMPR-QMMMGPOBSA-N -1 1 343.347 -0.596 20 0 EBADMM COc1nccc(CN[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001019094771 728794749 /nfs/dbraw/zinc/79/47/49/728794749.db2.gz LUGVXKRPFHNVJC-VIFPVBQESA-N -1 1 347.335 -0.914 20 0 EBADMM C[C@H](C(N)=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC000962281850 723215022 /nfs/dbraw/zinc/21/50/22/723215022.db2.gz RFLMIDVEQBTHHZ-LEQIOUOKSA-N -1 1 331.332 -0.538 20 0 EBADMM CC(=O)N1CCC[C@@H](C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001019111385 728799938 /nfs/dbraw/zinc/79/99/38/728799938.db2.gz NXZCRYMGIMCWTI-NEPJUHHUSA-N -1 1 336.396 -0.541 20 0 EBADMM C[C@@H](C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1)n1cccn1 ZINC000962434221 723251202 /nfs/dbraw/zinc/25/12/02/723251202.db2.gz DUOJUWYNHUATQR-LOWVWBTDSA-N -1 1 345.359 -0.454 20 0 EBADMM Cc1cc(CC(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)no1 ZINC000962434306 723251415 /nfs/dbraw/zinc/25/14/15/723251415.db2.gz HEBVFUVGZDQPMR-YPMHNXCESA-N -1 1 346.343 -0.372 20 0 EBADMM Cc1c[nH]nc1C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962435775 723252512 /nfs/dbraw/zinc/25/25/12/723252512.db2.gz UGISZKVAVVGNHT-KOLCDFICSA-N -1 1 331.332 -0.566 20 0 EBADMM Cc1cnc(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)cn1 ZINC000962435691 723252570 /nfs/dbraw/zinc/25/25/70/723252570.db2.gz RMHGIKVPQBODTF-YPMHNXCESA-N -1 1 343.343 -0.499 20 0 EBADMM O=C(CC1=CCOCC1)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962436882 723252967 /nfs/dbraw/zinc/25/29/67/723252967.db2.gz JHZRQTNGKPXOQJ-OCCSQVGLSA-N -1 1 347.371 -0.175 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ccns2)C[C@@H]1O)c1ncccc1[O-] ZINC000962436924 723252983 /nfs/dbraw/zinc/25/29/83/723252983.db2.gz KWGWAQFOCWBFCY-SCZZXKLOSA-N -1 1 334.357 -0.141 20 0 EBADMM CCn1ccc(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1 ZINC000962436123 723253220 /nfs/dbraw/zinc/25/32/20/723253220.db2.gz BMUZLYIGDSOEAA-YPMHNXCESA-N -1 1 345.359 -0.381 20 0 EBADMM O=C(C[NH+]1CCCC1)N1C[C@@H](NC(=O)c2ncccc2O)[C@@H](O)C1 ZINC000962437362 723253588 /nfs/dbraw/zinc/25/35/88/723253588.db2.gz SOZXEAPFUFIDPM-YPMHNXCESA-N -1 1 334.376 -0.816 20 0 EBADMM O=C(CCn1ccnc1)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962442035 723254549 /nfs/dbraw/zinc/25/45/49/723254549.db2.gz WPLIGIYKWSUYDF-YPMHNXCESA-N -1 1 345.359 -0.625 20 0 EBADMM CC(=O)N1CCC[C@@H]2[C@H]1CCN2C(=O)CCn1cc[n-]c(=O)c1=O ZINC000962530863 723277014 /nfs/dbraw/zinc/27/70/14/723277014.db2.gz FHNBFIUTSVSGRI-CHWSQXEVSA-N -1 1 334.376 -0.462 20 0 EBADMM O=C(c1ccnc2n[nH]nc21)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019127529 728805637 /nfs/dbraw/zinc/80/56/37/728805637.db2.gz RBKDDMMPHQBFHG-ZETCQYMHSA-N -1 1 329.324 -0.819 20 0 EBADMM COCCn1ccc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001019127612 728805681 /nfs/dbraw/zinc/80/56/81/728805681.db2.gz VCNFWAXGDXNKCP-JTQLQIEISA-N -1 1 335.368 -0.643 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)[C@@H]1CC1(F)F ZINC000962752060 723317960 /nfs/dbraw/zinc/31/79/60/723317960.db2.gz XHZIBRBJBAWYJG-XKSSXDPKSA-N -1 1 327.287 -0.256 20 0 EBADMM Cn1cnc(C(=O)N2CCOC[C@@H]2CNC(=O)c2ncccc2[O-])c1 ZINC000964154133 723840423 /nfs/dbraw/zinc/84/04/23/723840423.db2.gz JNUYGNQIOKAUJP-NSHDSACASA-N -1 1 345.359 -0.208 20 0 EBADMM O=C(Cc1cnoc1)N1CCOC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000964155564 723841728 /nfs/dbraw/zinc/84/17/28/723841728.db2.gz RCPJKQKIKQNPOL-GFCCVEGCSA-N -1 1 346.343 -0.025 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)[C@H]1CCCO1)c1ncccc1[O-] ZINC000964157198 723842843 /nfs/dbraw/zinc/84/28/43/723842843.db2.gz YUPJQINKMCEQCZ-DGCLKSJQSA-N -1 1 335.360 -0.077 20 0 EBADMM CC(C)C(=O)N1CCOC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000964268769 723929572 /nfs/dbraw/zinc/92/95/72/723929572.db2.gz ZSUDOMUWSMPIJB-JTQLQIEISA-N -1 1 348.363 -0.969 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1ncccc1[O-])[C@@H]1CCC(=O)N1 ZINC000964281095 723937596 /nfs/dbraw/zinc/93/75/96/723937596.db2.gz UHNVDJLFUIAOJH-QWRGUYRKSA-N -1 1 348.359 -0.977 20 0 EBADMM O=C(NC[C@H]1CCCCN1C(=O)c1ccn[nH]1)c1n[nH]c(=O)[n-]c1=O ZINC000964432899 724007856 /nfs/dbraw/zinc/00/78/56/724007856.db2.gz VDIXIZQYBMDRKN-MRVPVSSYSA-N -1 1 347.335 -0.569 20 0 EBADMM Cn1nnc(C(=O)N2CCC[C@H](NC(=O)c3ncccc3[O-])CC2)n1 ZINC000964850106 724066333 /nfs/dbraw/zinc/06/63/33/724066333.db2.gz QCZAWANTYLAPMT-JTQLQIEISA-N -1 1 345.363 -0.265 20 0 EBADMM Cc1ncncc1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1C ZINC000965347005 724192090 /nfs/dbraw/zinc/19/20/90/724192090.db2.gz MCGPUCANALYCQR-MFKMUULPSA-N -1 1 345.407 -0.153 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cc1ccon1 ZINC000965558345 724298044 /nfs/dbraw/zinc/29/80/44/724298044.db2.gz MTFBHDJQCGSDIH-CMPLNLGQSA-N -1 1 334.380 -0.334 20 0 EBADMM O=C(N[C@H]1COC2(CN(C(=O)c3ncccc3[O-])C2)C1)c1ncc[nH]1 ZINC000965687213 724366556 /nfs/dbraw/zinc/36/65/56/724366556.db2.gz GWSAUMZNJBWJMO-SNVBAGLBSA-N -1 1 343.343 -0.076 20 0 EBADMM CC(=O)N1C[C@@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC[C@@H]2C1 ZINC000967365362 724866820 /nfs/dbraw/zinc/86/68/20/724866820.db2.gz KVCDLWZBIHSOBA-TXEJJXNPSA-N -1 1 344.375 -0.252 20 0 EBADMM CCN(C(=O)[C@H]1C[C@@H]1C)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000967752827 724902655 /nfs/dbraw/zinc/90/26/55/724902655.db2.gz CTCUNXFIRDNBKT-AAEUAGOBSA-N -1 1 348.403 -0.358 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CCCNC2=O)C1 ZINC000967902714 724928073 /nfs/dbraw/zinc/92/80/73/724928073.db2.gz ARHGMFIWFFZCRN-LBPRGKRZSA-N -1 1 346.387 -0.014 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)Cn2cncn2)C1 ZINC000967905488 724928398 /nfs/dbraw/zinc/92/83/98/724928398.db2.gz SFZDMQJXCNFXLL-UHFFFAOYSA-N -1 1 330.348 -0.248 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CNC(=O)N2)C1 ZINC000967909160 724929505 /nfs/dbraw/zinc/92/95/05/724929505.db2.gz WODPRSKMYUGILJ-SNVBAGLBSA-N -1 1 333.348 -0.859 20 0 EBADMM CCN(C(=O)c1cn(C)nn1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000967932477 724935259 /nfs/dbraw/zinc/93/52/59/724935259.db2.gz NRGAGDSXCQKMCT-UHFFFAOYSA-N -1 1 330.348 -0.098 20 0 EBADMM Cc1ccn(CC(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)n1 ZINC000968339805 725052657 /nfs/dbraw/zinc/05/26/57/725052657.db2.gz KCUYURVZRQNPPY-AAEUAGOBSA-N -1 1 347.423 -0.360 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cc1c[nH]cn1 ZINC000968361107 725055099 /nfs/dbraw/zinc/05/50/99/725055099.db2.gz RFPJVWKZNVPGFT-CMPLNLGQSA-N -1 1 333.396 -0.599 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1ncccn1 ZINC000968450073 725065362 /nfs/dbraw/zinc/06/53/62/725065362.db2.gz PGRFXBCPENEMQW-QWRGUYRKSA-N -1 1 331.380 -0.461 20 0 EBADMM Cc1nccc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)n1 ZINC000968664306 725098216 /nfs/dbraw/zinc/09/82/16/725098216.db2.gz FJGUZJGXZUXPRJ-MFKMUULPSA-N -1 1 345.407 -0.153 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2cnon2)CCCO1)c1ncccc1[O-] ZINC001019573813 728925561 /nfs/dbraw/zinc/92/55/61/728925561.db2.gz NSCJWICTDQMRHY-JTQLQIEISA-N -1 1 347.331 -0.169 20 0 EBADMM COCCn1nccc1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969032284 725143880 /nfs/dbraw/zinc/14/38/80/725143880.db2.gz MVYRQNMMCZQHHZ-SNVBAGLBSA-N -1 1 335.368 -0.643 20 0 EBADMM Cc1oncc1CN[C@@H]1CCN(C(=O)CCc2n[nH]c(=O)[n-]c2=O)C1 ZINC000969184467 725159384 /nfs/dbraw/zinc/15/93/84/725159384.db2.gz LTZZCKVTCDUMQJ-LLVKDONJSA-N -1 1 348.363 -0.097 20 0 EBADMM COc1cccc(CN[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC000969211841 725162061 /nfs/dbraw/zinc/16/20/61/725162061.db2.gz OFCDLRCKIKRADV-SNVBAGLBSA-N -1 1 346.347 -0.309 20 0 EBADMM C[C@H](NC(=O)c1ccnc(F)c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969380395 725175302 /nfs/dbraw/zinc/17/53/02/725175302.db2.gz FFCXUDXSHISAAX-VIFPVBQESA-N -1 1 334.355 -0.107 20 0 EBADMM C[C@H](NC(=O)c1ccc(F)nc1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969391607 725175799 /nfs/dbraw/zinc/17/57/99/725175799.db2.gz MAIISVODLROXKI-VIFPVBQESA-N -1 1 334.355 -0.107 20 0 EBADMM CCCn1nccc1C(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969758949 725212706 /nfs/dbraw/zinc/21/27/06/725212706.db2.gz DJURXYWMEFBOST-NSHDSACASA-N -1 1 347.423 -0.035 20 0 EBADMM Cc1c[nH]c(CC(N)=O)c1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970034426 725241496 /nfs/dbraw/zinc/24/14/96/725241496.db2.gz RNTKCUQACXZZGT-SECBINFHSA-N -1 1 347.379 -0.821 20 0 EBADMM CCc1c(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC000970044080 725242844 /nfs/dbraw/zinc/24/28/44/725242844.db2.gz JNEVGYQGYHFELD-JTQLQIEISA-N -1 1 347.423 -0.345 20 0 EBADMM Cc1cnc2c(C(=O)N3CC[C@@H](NCc4n[nH]c(=O)[n-]4)C3)cnn2c1 ZINC000970128909 725254474 /nfs/dbraw/zinc/25/44/74/725254474.db2.gz DYHSHMIHZBDCIO-SNVBAGLBSA-N -1 1 342.363 -0.134 20 0 EBADMM Cc1cnoc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000970282449 725273011 /nfs/dbraw/zinc/27/30/11/725273011.db2.gz QPVNAHMNSTXCSQ-KOLCDFICSA-N -1 1 332.316 -0.301 20 0 EBADMM O=C(CN1CCCCCC1=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970666759 725314001 /nfs/dbraw/zinc/31/40/01/725314001.db2.gz KQZGRHMOZNLISX-LLVKDONJSA-N -1 1 336.396 -0.397 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CN(C(C)=O)CCCO1 ZINC001019626635 728948152 /nfs/dbraw/zinc/94/81/52/728948152.db2.gz WHTVIIMQKSLLLW-VIFPVBQESA-N -1 1 340.336 -0.736 20 0 EBADMM CC(=O)N1CC[C@](C)(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001019618863 728943064 /nfs/dbraw/zinc/94/30/64/728943064.db2.gz QNYHEWLBPPJRNQ-NHYWBVRUSA-N -1 1 336.396 -0.541 20 0 EBADMM CN(C)c1ccnc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC000970849966 725334490 /nfs/dbraw/zinc/33/44/90/725334490.db2.gz YOUPQOJCMYEITK-SNVBAGLBSA-N -1 1 331.380 -0.024 20 0 EBADMM O=C(C1CC1)N1C[C@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]21 ZINC000971004843 725347706 /nfs/dbraw/zinc/34/77/06/725347706.db2.gz JKVOHUVPLMSFRJ-ZYHUDNBSSA-N -1 1 342.359 -0.500 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)c2ccc(F)nc2)C1 ZINC000971219416 725354592 /nfs/dbraw/zinc/35/45/92/725354592.db2.gz YZJNLDWJULJOGX-LLVKDONJSA-N -1 1 334.355 -0.011 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)C[C@](C)(O)C2CC2)C1 ZINC000971371608 725360804 /nfs/dbraw/zinc/36/08/04/725360804.db2.gz WSWUMRDPVWMSKW-LRDDRELGSA-N -1 1 337.424 -0.308 20 0 EBADMM Cc1ccc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC000971521825 725370845 /nfs/dbraw/zinc/37/08/45/725370845.db2.gz DSYCLYIEJAAWBP-LLVKDONJSA-N -1 1 331.380 -0.447 20 0 EBADMM CCn1ccc(CC(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000971566868 725422043 /nfs/dbraw/zinc/42/20/43/725422043.db2.gz KWLGLASSARWVTI-CYBMUJFWSA-N -1 1 347.423 -0.400 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)Cc2cncs2)C1 ZINC000971739399 725434450 /nfs/dbraw/zinc/43/44/50/725434450.db2.gz LBJDMIDFTJBSEZ-JTQLQIEISA-N -1 1 336.421 -0.160 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)Cc2cncs2)C1 ZINC000971739407 725434512 /nfs/dbraw/zinc/43/45/12/725434512.db2.gz LBJDMIDFTJBSEZ-SNVBAGLBSA-N -1 1 336.421 -0.160 20 0 EBADMM C[N@H+](CC(=O)N1CCCC1)[C@H]1CCN(C(=O)c2cnc[nH]c2=O)C1 ZINC000971756749 725435564 /nfs/dbraw/zinc/43/55/64/725435564.db2.gz NWNPFCHUSQPMLH-LBPRGKRZSA-N -1 1 333.392 -0.049 20 0 EBADMM CCOc1cc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC000971769743 725436246 /nfs/dbraw/zinc/43/62/46/725436246.db2.gz YOKZMXVYYRGCFF-SNVBAGLBSA-N -1 1 349.395 -0.423 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)CCc2cncnc2)C1 ZINC000971941302 725449736 /nfs/dbraw/zinc/44/97/36/725449736.db2.gz SUGWVZMHANPMRU-CYBMUJFWSA-N -1 1 345.407 -0.436 20 0 EBADMM C[C@@H](C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1)c1cncnc1 ZINC000972164120 725473160 /nfs/dbraw/zinc/47/31/60/725473160.db2.gz DWBRRGKMHXXKRB-YPMHNXCESA-N -1 1 345.407 -0.265 20 0 EBADMM Cc1ncc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)n1C ZINC000972170767 725473445 /nfs/dbraw/zinc/47/34/45/725473445.db2.gz ATEHVRPKDNLOMJ-LLVKDONJSA-N -1 1 333.396 -0.503 20 0 EBADMM NC(=O)C(=O)N1CC[C@@H]2CN(C(=O)c3cnc(C4CC4)[n-]c3=O)[C@@H]2C1 ZINC000972212926 725479273 /nfs/dbraw/zinc/47/92/73/725479273.db2.gz PAQVQDTYJCDEDA-MWLCHTKSSA-N -1 1 345.359 -0.782 20 0 EBADMM Cc1cc(=O)[nH]cc1C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000972298660 725493614 /nfs/dbraw/zinc/49/36/14/725493614.db2.gz AOHLAHNJRPKEDW-LLVKDONJSA-N -1 1 346.391 -0.136 20 0 EBADMM O=C(c1ncccc1[O-])N1CC[C@]2(C1)CN([C@@H]1CCNC1=O)CCO2 ZINC000972356150 725505868 /nfs/dbraw/zinc/50/58/68/725505868.db2.gz VPVRTAIGBUVFTE-SJKOYZFVSA-N -1 1 346.387 -0.407 20 0 EBADMM O=C(NC1CN(C(=O)[C@@H]2COCCO2)C1)c1cnc(C2CC2)[n-]c1=O ZINC000991655898 725517510 /nfs/dbraw/zinc/51/75/10/725517510.db2.gz VJTIPBPWFJVVGF-LBPRGKRZSA-N -1 1 348.359 -0.584 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)CCc2cn[nH]c2)C1 ZINC000972511331 725538980 /nfs/dbraw/zinc/53/89/80/725538980.db2.gz VLPYCVVHVOAJFF-LBPRGKRZSA-N -1 1 333.396 -0.503 20 0 EBADMM O=C(Cc1cnoc1)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000991689500 725545107 /nfs/dbraw/zinc/54/51/07/725545107.db2.gz RVAMLTXELKLNBN-AAEUAGOBSA-N -1 1 346.343 -0.291 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1ccncn1 ZINC000991689783 725545617 /nfs/dbraw/zinc/54/56/17/725545617.db2.gz KPSJWWJGCQZCKT-MFKMUULPSA-N -1 1 343.343 -0.417 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)C2(C)CCOCC2)C1 ZINC000972557332 725548938 /nfs/dbraw/zinc/54/89/38/725548938.db2.gz FDDYIYRWYVLUFA-LBPRGKRZSA-N -1 1 337.424 -0.042 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)COc2cccnc2)C1 ZINC000972557305 725549070 /nfs/dbraw/zinc/54/90/70/725549070.db2.gz DYIPVPKEEROOKG-GFCCVEGCSA-N -1 1 346.391 -0.385 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)c1cccnn1 ZINC000991713884 725565813 /nfs/dbraw/zinc/56/58/13/725565813.db2.gz YTHQMWGIXLIKFS-GXFFZTMASA-N -1 1 343.343 -0.417 20 0 EBADMM C[C@H](CC(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1)n1cccn1 ZINC000972688700 725581269 /nfs/dbraw/zinc/58/12/69/725581269.db2.gz VXXHZGNUQVQIAN-OLZOCXBDSA-N -1 1 347.423 -0.011 20 0 EBADMM Cc1ccncc1CC(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051660785 735300758 /nfs/dbraw/zinc/30/07/58/735300758.db2.gz DQCFSKPQDGWPSN-ZDUSSCGKSA-N -1 1 346.391 -0.227 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)C[C@H]3CCOC3)C(C)(C)C2)nc1=O ZINC000972978277 725614629 /nfs/dbraw/zinc/61/46/29/725614629.db2.gz AYJQBCCRJCIHMR-NEPJUHHUSA-N -1 1 337.424 -0.138 20 0 EBADMM O=C(N[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1ccon1 ZINC000973070054 725628512 /nfs/dbraw/zinc/62/85/12/725628512.db2.gz IRWXIAMCULUJMZ-ZKCHVHJHSA-N -1 1 343.303 -0.904 20 0 EBADMM Cc1nc(CC(=O)N[C@H]2C[C@H](NC(=O)c3[nH]nc(C)c3[O-])C2)n[nH]1 ZINC000973179715 725659315 /nfs/dbraw/zinc/65/93/15/725659315.db2.gz IEVOXMOGZHKFMV-KYZUINATSA-N -1 1 333.352 -0.530 20 0 EBADMM O=C(C[C@H]1CCC(=O)N1)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973199112 725667997 /nfs/dbraw/zinc/66/79/97/725667997.db2.gz PMBQGXKYYPCWIT-GMTAPVOTSA-N -1 1 332.360 -0.167 20 0 EBADMM CN1C(=O)CC[C@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973200014 725668346 /nfs/dbraw/zinc/66/83/46/725668346.db2.gz VZALSYKSOFQKHI-DCAQKATOSA-N -1 1 332.360 -0.215 20 0 EBADMM O=C(Cn1nccn1)NC1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000991951867 725686540 /nfs/dbraw/zinc/68/65/40/725686540.db2.gz XJEVZSJNZMAENM-UHFFFAOYSA-N -1 1 343.347 -0.708 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(NC(=O)[C@@H]2C[C@H]2C2CC2)C1 ZINC000991994997 725696419 /nfs/dbraw/zinc/69/64/19/725696419.db2.gz IZNZTKULMBXUMP-QWHCGFSZSA-N -1 1 346.387 -0.700 20 0 EBADMM CN1CCO[C@@H](C(=O)NCC2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC000992006219 725699642 /nfs/dbraw/zinc/69/96/42/725699642.db2.gz IDCKJLFRXMVJAB-ORHYLEIMSA-N -1 1 348.403 -0.258 20 0 EBADMM CN1CCOC[C@@H]1C(=O)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC000992048861 725709097 /nfs/dbraw/zinc/70/90/97/725709097.db2.gz LNLOTCGETGKBSV-WXRRBKDZSA-N -1 1 348.403 -0.258 20 0 EBADMM O=C(Cn1cnnn1)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC000992050317 725709572 /nfs/dbraw/zinc/70/95/72/725709572.db2.gz UDZGILLMQSFMKE-UHFFFAOYSA-N -1 1 331.336 -0.902 20 0 EBADMM O=C(N[C@H]1C[C@H](NC(=O)c2cnn[nH]2)C1)c1ccc2oc(=O)nc-2[n-]1 ZINC000973436113 725744090 /nfs/dbraw/zinc/74/40/90/725744090.db2.gz NXILCZCCCJSTLU-LJGSYFOKSA-N -1 1 343.303 -0.263 20 0 EBADMM CN1CC[C@H](C(=O)NCC2CC(NC(=O)c3ncccc3[O-])C2)C1=O ZINC000992329286 725779552 /nfs/dbraw/zinc/77/95/52/725779552.db2.gz QDVIDAYNYCECIG-HTAVTVPLSA-N -1 1 346.387 -0.110 20 0 EBADMM NC(=O)C(=O)N1CC[C@H]2[C@@H](CCCN2C(=O)c2ncccc2[O-])C1 ZINC000973730051 725794044 /nfs/dbraw/zinc/79/40/44/725794044.db2.gz ZGQNRPYNICSWRS-QWRGUYRKSA-N -1 1 332.360 -0.274 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)[C@@H]4CCC(=O)N4)CCC[C@H]23)nc1=O ZINC000992439852 725797464 /nfs/dbraw/zinc/79/74/64/725797464.db2.gz OUEPAQIXAYRDQM-MMPTUQATSA-N -1 1 348.407 -1.000 20 0 EBADMM O=C(N[C@H]1C[C@@H](NC(=O)C(F)F)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000973765890 725801594 /nfs/dbraw/zinc/80/15/94/725801594.db2.gz JZOHAZSIWICUTO-OLQVQODUSA-N -1 1 326.263 -0.940 20 0 EBADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)[C@H]1CCCS1(=O)=O ZINC000992543963 725809275 /nfs/dbraw/zinc/80/92/75/725809275.db2.gz SKOPBMQIIVXJHR-LLVKDONJSA-N -1 1 339.373 -0.695 20 0 EBADMM C[C@@H](C(=O)N[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC000973992700 725842995 /nfs/dbraw/zinc/84/29/95/725842995.db2.gz QYIRTPXTJHQUAM-IEBDPFPHSA-N -1 1 344.375 -0.159 20 0 EBADMM O=C(N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)[C@H]1CCNC(=O)C1 ZINC000974024208 725848318 /nfs/dbraw/zinc/84/83/18/725848318.db2.gz NGWMAHMAPFKQNU-GARJFASQSA-N -1 1 332.360 -0.310 20 0 EBADMM C[C@@H]1[C@H](NC(=O)C2=COCCO2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993002503 725849755 /nfs/dbraw/zinc/84/97/55/725849755.db2.gz AEKBTNHCWKRTCV-GHMZBOCLSA-N -1 1 337.380 -0.534 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)n(C)n1 ZINC000993117820 725856515 /nfs/dbraw/zinc/85/65/15/725856515.db2.gz UAQUQOLXACYDQJ-VXGBXAGGSA-N -1 1 347.423 -0.067 20 0 EBADMM CCC(=O)N1C[C@@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@]2(C)C1 ZINC000993150994 725858148 /nfs/dbraw/zinc/85/81/48/725858148.db2.gz GVPPLETZFNDSTA-HWPZZCPQSA-N -1 1 344.375 -0.252 20 0 EBADMM CC(=O)N1C[C@@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@]2(C)C1 ZINC000993150975 725858286 /nfs/dbraw/zinc/85/82/86/725858286.db2.gz GAYZLOAXPVELEB-BMIGLBTASA-N -1 1 330.348 -0.642 20 0 EBADMM Cn1cnc(C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)cc1=O ZINC000974054783 725858300 /nfs/dbraw/zinc/85/83/00/725858300.db2.gz NWIYLGHNRIXGMG-AOOOYVTPSA-N -1 1 343.343 -0.428 20 0 EBADMM NC(=O)c1cc(C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)c[nH]1 ZINC000974055908 725858889 /nfs/dbraw/zinc/85/88/89/725858889.db2.gz CKQWQYMGSPUYSO-AOOOYVTPSA-N -1 1 343.343 -0.095 20 0 EBADMM O=C(N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)[C@H]1CCC(=O)NC1 ZINC000974056430 725859212 /nfs/dbraw/zinc/85/92/12/725859212.db2.gz JBPCOYZXWVPVLB-GARJFASQSA-N -1 1 332.360 -0.310 20 0 EBADMM O=C(C[C@@H]1CCC(=O)N1)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974057294 725859582 /nfs/dbraw/zinc/85/95/82/725859582.db2.gz PMBQGXKYYPCWIT-GARJFASQSA-N -1 1 332.360 -0.167 20 0 EBADMM C[C@H]1[C@H](NC(=O)Cc2cncnc2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993280265 725868506 /nfs/dbraw/zinc/86/85/06/725868506.db2.gz KFXUPJCUJWRZJP-WCQYABFASA-N -1 1 345.407 -0.390 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1C ZINC000993456710 725890747 /nfs/dbraw/zinc/89/07/47/725890747.db2.gz HFUSPTGUYAIBBU-VHSXEESVSA-N -1 1 349.395 -0.372 20 0 EBADMM Cc1nc(CN2CCC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)[C@@H]2C)n[nH]1 ZINC000993548190 725902080 /nfs/dbraw/zinc/90/20/80/725902080.db2.gz MANFWNGZMPELEL-CBAPKCEASA-N -1 1 348.367 -0.508 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2c[nH]c(=O)n2C)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993697739 725929264 /nfs/dbraw/zinc/92/92/64/725929264.db2.gz FZJAVBZWTRLJCJ-ZJUUUORDSA-N -1 1 349.395 -0.670 20 0 EBADMM C[C@H]1[C@H](NC(=O)Cc2cnoc2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993711585 725930809 /nfs/dbraw/zinc/93/08/09/725930809.db2.gz AWKCCMMYVSAOCQ-CMPLNLGQSA-N -1 1 334.380 -0.192 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2n[nH]cc2F)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993749217 725933146 /nfs/dbraw/zinc/93/31/46/725933146.db2.gz ZJXDLUVPGHKZNY-SCZZXKLOSA-N -1 1 337.359 -0.247 20 0 EBADMM C[C@@H]1[C@H](NC(=O)Cc2cncn2C)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000994210329 725987943 /nfs/dbraw/zinc/98/79/43/725987943.db2.gz OLTKWOCSSVMJAJ-DGCLKSJQSA-N -1 1 347.423 -0.446 20 0 EBADMM C[C@H]1[C@@H](NC(=O)Cc2cncn2C)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000994210328 725987973 /nfs/dbraw/zinc/98/79/73/725987973.db2.gz OLTKWOCSSVMJAJ-AAEUAGOBSA-N -1 1 347.423 -0.446 20 0 EBADMM CC(=O)N1CCC[C@@H]1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000994315338 725995705 /nfs/dbraw/zinc/99/57/05/725995705.db2.gz KRQVBTFEUPCMNT-GFCCVEGCSA-N -1 1 332.360 -0.261 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2ccc(=O)[nH]c2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000994324804 725996144 /nfs/dbraw/zinc/99/61/44/725996144.db2.gz BXKXFUBWZXIWNO-ZYHUDNBSSA-N -1 1 346.391 -0.008 20 0 EBADMM C[C@H]1[C@H](NC(=O)Cn2ccnc2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000994509083 726027826 /nfs/dbraw/zinc/02/78/26/726027826.db2.gz QOPOUYFKSMDKJS-NWDGAFQWSA-N -1 1 333.396 -0.526 20 0 EBADMM Cn1nnc(CN2C[C@@H](NC(=O)c3ncccc3[O-])C(C)(C)C2)n1 ZINC000974482347 726030581 /nfs/dbraw/zinc/03/05/81/726030581.db2.gz DHMFZQCJVJOAGK-LLVKDONJSA-N -1 1 331.380 -0.049 20 0 EBADMM CCn1ccnc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000974530128 726035719 /nfs/dbraw/zinc/03/57/19/726035719.db2.gz IVEAKRXTWQNQQM-LLVKDONJSA-N -1 1 347.423 -0.035 20 0 EBADMM Cc1c[nH]nc1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000974559144 726038858 /nfs/dbraw/zinc/03/88/58/726038858.db2.gz KEDNCUZUKYQUJN-JTQLQIEISA-N -1 1 333.396 -0.220 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)[C@H]1C[C@H]1C(N)=O ZINC000994638316 726047510 /nfs/dbraw/zinc/04/75/10/726047510.db2.gz ZZTSTNATGVGHHZ-KKOKHZNYSA-N -1 1 346.387 -0.267 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)c3csnn3)C(C)(C)C2)nc1=O ZINC000974883822 726077714 /nfs/dbraw/zinc/07/77/14/726077714.db2.gz MGUHBYRXYRPRKZ-VIFPVBQESA-N -1 1 337.409 -0.400 20 0 EBADMM Cn1nnc(CNC[C@@H]2CC[C@@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC000994906543 726113838 /nfs/dbraw/zinc/11/38/38/726113838.db2.gz BZFNBZBVPGSUDV-GHMZBOCLSA-N -1 1 331.380 -0.001 20 0 EBADMM Cn1ncc(CNC[C@H]2CC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC000995006078 726141612 /nfs/dbraw/zinc/14/16/12/726141612.db2.gz KPDYOGIPCCMZBP-DTWKUNHWSA-N -1 1 348.367 -0.900 20 0 EBADMM Cn1ncnc1CNC[C@@H]1CC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000995008807 726142130 /nfs/dbraw/zinc/14/21/30/726142130.db2.gz SKXZKCAJQZGRFP-BDAKNGLRSA-N -1 1 348.367 -0.900 20 0 EBADMM Cn1cc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)ccc1=O ZINC000995183584 726185240 /nfs/dbraw/zinc/18/52/40/726185240.db2.gz HBTXYFLLVAWCGO-UHFFFAOYSA-N -1 1 328.328 -0.260 20 0 EBADMM C[C@@H](C(=O)NC1CN(C(=O)c2ncccc2[O-])C1)N1CCOCC1 ZINC000995266317 726196709 /nfs/dbraw/zinc/19/67/09/726196709.db2.gz YNBRCPJHVDLRGU-NSHDSACASA-N -1 1 334.376 -0.552 20 0 EBADMM CC(=O)N1CCC[C@@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000995423567 726217533 /nfs/dbraw/zinc/21/75/33/726217533.db2.gz CRQYWGVJDZTZEW-GFCCVEGCSA-N -1 1 346.387 -0.014 20 0 EBADMM CC1(C)CN(C(=O)CCn2cncn2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995484424 726249488 /nfs/dbraw/zinc/24/94/88/726249488.db2.gz VSURTBDZLQYOFY-JTQLQIEISA-N -1 1 334.384 -0.481 20 0 EBADMM CCC(=O)N1CC(N2C[C@H](NC(=O)c3ncccc3[O-])CC2=O)C1 ZINC000995500610 726261686 /nfs/dbraw/zinc/26/16/86/726261686.db2.gz POEUAGFOFJXAMZ-SNVBAGLBSA-N -1 1 332.360 -0.261 20 0 EBADMM CCC(=O)N1CC(N2C[C@@H](NC(=O)c3ncccc3[O-])CC2=O)C1 ZINC000995500608 726261720 /nfs/dbraw/zinc/26/17/20/726261720.db2.gz POEUAGFOFJXAMZ-JTQLQIEISA-N -1 1 332.360 -0.261 20 0 EBADMM Cc1nonc1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976392706 726290101 /nfs/dbraw/zinc/29/01/01/726290101.db2.gz CDFNZFMRDGBTMI-GDGBQDQQSA-N -1 1 329.316 -0.021 20 0 EBADMM CN1CCOC[C@H]1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000995698288 726290192 /nfs/dbraw/zinc/29/01/92/726290192.db2.gz QLCCCRVTEJMCSD-WDEREUQCSA-N -1 1 338.412 -0.833 20 0 EBADMM Cc1nonc1CC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976395454 726291291 /nfs/dbraw/zinc/29/12/91/726291291.db2.gz CMXIPICKKJORLE-MSRIBSCDSA-N -1 1 343.343 -0.092 20 0 EBADMM CC(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)CCn1cc[n-]c(=O)c1=O ZINC000995781993 726298926 /nfs/dbraw/zinc/29/89/26/726298926.db2.gz QEDUUAOSLNCMPX-AGIUHOORSA-N -1 1 348.403 -0.169 20 0 EBADMM Cn1nccc1CC(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000976422537 726299806 /nfs/dbraw/zinc/29/98/06/726299806.db2.gz XOSLMGLUZLFKJJ-JYAVWHMHSA-N -1 1 341.371 -0.050 20 0 EBADMM C[C@H](C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1)S(C)(=O)=O ZINC000995972098 726319779 /nfs/dbraw/zinc/31/97/79/726319779.db2.gz XJZMZOIXUXPBLC-BDAKNGLRSA-N -1 1 345.425 -0.730 20 0 EBADMM Cn1ccc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)cc1=O ZINC000995978316 726320628 /nfs/dbraw/zinc/32/06/28/726320628.db2.gz VHOODTOHIFVXTE-LLVKDONJSA-N -1 1 346.391 -0.151 20 0 EBADMM CC1(C)CN(C(=O)[C@]2(C)CNC(=O)C2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996073254 726330184 /nfs/dbraw/zinc/33/01/84/726330184.db2.gz IUEZDKHSVZVXBI-VFZGTOFNSA-N -1 1 336.396 -0.637 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CCCC[C@H]2NC(=O)Cc2nnc[nH]2)c1[O-] ZINC000976528845 726335602 /nfs/dbraw/zinc/33/56/02/726335602.db2.gz VMRQDRPPSMTJDZ-NXEZZACHSA-N -1 1 347.379 -0.058 20 0 EBADMM O=C(Cn1cncn1)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncccc1[O-])C2 ZINC000996157805 726339706 /nfs/dbraw/zinc/33/97/06/726339706.db2.gz RYLWWYNNBMXNOX-WOPDTQHZSA-N -1 1 342.359 -0.059 20 0 EBADMM Cc1nn(C)c(C)c1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051741624 735364427 /nfs/dbraw/zinc/36/44/27/735364427.db2.gz ZZKOGSFXJOUFEY-LLVKDONJSA-N -1 1 349.395 -0.509 20 0 EBADMM CC1(C)CN(C(=O)c2ccc(C(N)=O)[nH]2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996314660 726365619 /nfs/dbraw/zinc/36/56/19/726365619.db2.gz JQXSWYABZAESOC-JTQLQIEISA-N -1 1 347.379 -0.422 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)c2conc2C)C1 ZINC000996396053 726384813 /nfs/dbraw/zinc/38/48/13/726384813.db2.gz GAJVHSMBYGHFJD-UHFFFAOYSA-N -1 1 349.303 -0.553 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)Cc2ccco2)C1 ZINC000996398300 726386598 /nfs/dbraw/zinc/38/65/98/726386598.db2.gz SSXRPYBDPMTRAC-UHFFFAOYSA-N -1 1 348.315 -0.327 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)[C@@H](C)SC)C1 ZINC000996398287 726386886 /nfs/dbraw/zinc/38/68/86/726386886.db2.gz SFKXUMPCOYFHQX-ZCFIWIBFSA-N -1 1 342.377 -0.412 20 0 EBADMM CC1(C(=O)N2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)CCC1 ZINC000996405781 726388239 /nfs/dbraw/zinc/38/82/39/726388239.db2.gz KNYDGEAZZXFYAI-UHFFFAOYSA-N -1 1 330.348 -0.452 20 0 EBADMM O=C(C[C@@H]1C=CCC1)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996405765 726388405 /nfs/dbraw/zinc/38/84/05/726388405.db2.gz KFKHIHFACISUDK-SNVBAGLBSA-N -1 1 342.359 -0.286 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(F)c[nH]2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996408292 726389020 /nfs/dbraw/zinc/38/90/20/726389020.db2.gz MTDSTALBUWKWNL-UHFFFAOYSA-N -1 1 345.294 -0.861 20 0 EBADMM O=C(NC1CN(C(=O)[C@@H]2CC2(F)F)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996409566 726389152 /nfs/dbraw/zinc/38/91/52/726389152.db2.gz UUOJQFSEAWCONJ-ZETCQYMHSA-N -1 1 338.274 -0.987 20 0 EBADMM CCCc1cc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC001051747408 735368242 /nfs/dbraw/zinc/36/82/42/735368242.db2.gz MJIQFKYLBDGSLF-NSHDSACASA-N -1 1 349.395 -0.183 20 0 EBADMM NC(=O)C(=O)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnc(C3CC3)[n-]c1=O)C2 ZINC000996504510 726406950 /nfs/dbraw/zinc/40/69/50/726406950.db2.gz WJWMVSSIDGVNOS-MIMYLULJSA-N -1 1 345.359 -0.593 20 0 EBADMM Cn1cccc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)c1=O ZINC000996535467 726412903 /nfs/dbraw/zinc/41/29/03/726412903.db2.gz YXNUTIQQZULFQS-LLVKDONJSA-N -1 1 346.391 -0.151 20 0 EBADMM C[C@H](C(=O)N1CCC(NCc2n[nH]c(=O)[n-]2)CC1)S(C)(=O)=O ZINC000996721651 726423819 /nfs/dbraw/zinc/42/38/19/726423819.db2.gz GPLZOMHFCNWSRW-MRVPVSSYSA-N -1 1 331.398 -0.976 20 0 EBADMM Cc1conc1CNC1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC000996764621 726426012 /nfs/dbraw/zinc/42/60/12/726426012.db2.gz YVCLDKDQRBJLLC-UHFFFAOYSA-N -1 1 334.336 -0.026 20 0 EBADMM Cc1nnc(CNC2CCN(C(=O)c3cnc([O-])n(C)c3=O)CC2)[nH]1 ZINC000996872852 726431042 /nfs/dbraw/zinc/43/10/42/726431042.db2.gz CENHOPRHTIDXPI-UHFFFAOYSA-N -1 1 347.379 -0.693 20 0 EBADMM Cc1nn[nH]c1C(=O)NC1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000997352982 726447595 /nfs/dbraw/zinc/44/75/95/726447595.db2.gz LSHRNDBQHNDIFG-UHFFFAOYSA-N -1 1 343.347 -0.259 20 0 EBADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)[C@H]1CCCc2nn[nH]c21 ZINC000998569870 726491600 /nfs/dbraw/zinc/49/16/00/726491600.db2.gz WWIUMQSUVLRFSU-JTQLQIEISA-N -1 1 342.359 -0.034 20 0 EBADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)[C@H]1CCCc2n[nH]nc21 ZINC000998569870 726491602 /nfs/dbraw/zinc/49/16/02/726491602.db2.gz WWIUMQSUVLRFSU-JTQLQIEISA-N -1 1 342.359 -0.034 20 0 EBADMM Cc1nnc(CNC2CC(N(C)C(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC000999056958 726505934 /nfs/dbraw/zinc/50/59/34/726505934.db2.gz KCJFCHWQPITYIU-UHFFFAOYSA-N -1 1 334.340 -0.898 20 0 EBADMM CCn1ccc(CNC2CC(N(C)C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC000999059376 726506033 /nfs/dbraw/zinc/50/60/33/726506033.db2.gz YBOTXDVOFTUUQU-UHFFFAOYSA-N -1 1 347.379 -0.108 20 0 EBADMM COc1c[nH]c(C(=O)N(C)C2CC(NCc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC000999125121 726508193 /nfs/dbraw/zinc/50/81/93/726508193.db2.gz GTGGQBMWGPWNQY-UHFFFAOYSA-N -1 1 348.363 -0.400 20 0 EBADMM O=C(c1cn2cccnc2n1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999601995 726524275 /nfs/dbraw/zinc/52/42/75/726524275.db2.gz KRAZRYHQOQYMJK-SNVBAGLBSA-N -1 1 342.363 -0.053 20 0 EBADMM O=C(C[C@H]1CCCC(=O)N1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999653210 726526062 /nfs/dbraw/zinc/52/60/62/726526062.db2.gz NSGSBANRJOLKKW-GHMZBOCLSA-N -1 1 336.396 -0.350 20 0 EBADMM Cn1ccc(=O)c(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC000999674578 726527109 /nfs/dbraw/zinc/52/71/09/726527109.db2.gz NCDAFGXQYGNIEC-SNVBAGLBSA-N -1 1 332.364 -0.397 20 0 EBADMM O=C([C@@H]1CCNC(=O)CC1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999816388 726533846 /nfs/dbraw/zinc/53/38/46/726533846.db2.gz YYHFNBDLUJWFET-WDEREUQCSA-N -1 1 336.396 -0.493 20 0 EBADMM Cn1ccnc1CN[C@H]1CCCN(C(=O)c2cnc([O-])n(C)c2=O)C1 ZINC000999868092 726536770 /nfs/dbraw/zinc/53/67/70/726536770.db2.gz ZTITWSXYKXDPKQ-NSHDSACASA-N -1 1 346.391 -0.386 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)C3CCOCC3)CC2)nc1=O ZINC001000360004 726565457 /nfs/dbraw/zinc/56/54/57/726565457.db2.gz SUNBPZPNBCQZPO-UHFFFAOYSA-N -1 1 335.408 -0.217 20 0 EBADMM CC(C)(C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)S(C)(=O)=O ZINC001000365480 726565724 /nfs/dbraw/zinc/56/57/24/726565724.db2.gz CJSJPWWHSFYJAW-SECBINFHSA-N -1 1 345.425 -0.586 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)[C@H]1CC12CC2 ZINC001000498139 726568793 /nfs/dbraw/zinc/56/87/93/726568793.db2.gz XLSYZKBUCYBMGK-SECBINFHSA-N -1 1 328.332 -0.842 20 0 EBADMM CCn1nccc1C(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001000611463 726572646 /nfs/dbraw/zinc/57/26/46/726572646.db2.gz LBXPSRMGAGNXJN-UHFFFAOYSA-N -1 1 345.407 -0.113 20 0 EBADMM CC(=O)N1CCC[C@H]1C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000739487 726576451 /nfs/dbraw/zinc/57/64/51/726576451.db2.gz SCVHKOCILJTYDJ-RYUDHWBXSA-N -1 1 336.396 -0.398 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)NCC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001000773315 726577337 /nfs/dbraw/zinc/57/73/37/726577337.db2.gz MQQQVRKVZYFZJN-PWSUYJOCSA-N -1 1 334.376 -0.843 20 0 EBADMM COc1c(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cnn1C ZINC001000806983 726578884 /nfs/dbraw/zinc/57/88/84/726578884.db2.gz GAYWUBCYPVDCDE-SECBINFHSA-N -1 1 335.368 -0.353 20 0 EBADMM CN1C(=O)CCC[C@@H]1C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000817369 726579413 /nfs/dbraw/zinc/57/94/13/726579413.db2.gz JJJYWNJTXFVFMF-GHMZBOCLSA-N -1 1 336.396 -0.398 20 0 EBADMM Cc1cc(NC(=O)C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)no1 ZINC001000907329 726583481 /nfs/dbraw/zinc/58/34/81/726583481.db2.gz RESQESLEGYBAPN-SECBINFHSA-N -1 1 349.351 -0.474 20 0 EBADMM Cn1cc(CN2CC=C(CNC(=O)c3n[nH]c(=O)[n-]c3=O)CC2)cn1 ZINC001000908169 726583533 /nfs/dbraw/zinc/58/35/33/726583533.db2.gz BBELNOVUNXNAEO-UHFFFAOYSA-N -1 1 345.363 -0.422 20 0 EBADMM Cn1cc(CCC(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)nn1 ZINC001001070405 726590910 /nfs/dbraw/zinc/59/09/10/726590910.db2.gz MRALNLREGGNPLB-JTQLQIEISA-N -1 1 334.384 -0.648 20 0 EBADMM C[C@@H]1OCC[C@@H]1C(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001001308095 726602234 /nfs/dbraw/zinc/60/22/34/726602234.db2.gz VPUWWXOCEJTZPP-AAEUAGOBSA-N -1 1 335.408 -0.218 20 0 EBADMM CC(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001001403876 726604639 /nfs/dbraw/zinc/60/46/39/726604639.db2.gz MXGNRJRAIBYQPL-FOGDFJRCSA-N -1 1 344.375 -0.065 20 0 EBADMM Cc1n[nH]c(C(=O)NCC2CN(C(=O)CCc3nc[nH]n3)C2)c1[O-] ZINC001001455241 726606948 /nfs/dbraw/zinc/60/69/48/726606948.db2.gz NLGBTHSDAHQNQG-UHFFFAOYSA-N -1 1 333.352 -0.637 20 0 EBADMM CC1(C)C[C@@H]1C(=O)NCC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001001464861 726607750 /nfs/dbraw/zinc/60/77/50/726607750.db2.gz CSCYFJQJHUPYSV-GFCCVEGCSA-N -1 1 348.403 -0.453 20 0 EBADMM COC(=O)[C@H]1C[C@@H]1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001535712 726611084 /nfs/dbraw/zinc/61/10/84/726611084.db2.gz BXPMMLVKTZGYEB-QWRGUYRKSA-N -1 1 333.344 -0.216 20 0 EBADMM Cc1nonc1CC(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001542002 726611249 /nfs/dbraw/zinc/61/12/49/726611249.db2.gz QFMACIYWVIAOHS-UHFFFAOYSA-N -1 1 331.332 -0.090 20 0 EBADMM C[C@]1(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)CNC(=O)C1 ZINC001001542910 726611361 /nfs/dbraw/zinc/61/13/61/726611361.db2.gz BWVAYOZQRLOQNE-MRXNPFEDSA-N -1 1 332.360 -0.498 20 0 EBADMM CN(C[C@@H]1CCCN1C(=O)c1nnn(C)n1)C(=O)c1ncccc1[O-] ZINC001002835265 726649834 /nfs/dbraw/zinc/64/98/34/726649834.db2.gz GPUOURKYHNSRSQ-JTQLQIEISA-N -1 1 345.363 -0.313 20 0 EBADMM Cc1c(CC(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cnn1C ZINC001002980147 726656915 /nfs/dbraw/zinc/65/69/15/726656915.db2.gz IEZGMROZIJJVHO-UHFFFAOYSA-N -1 1 347.423 -0.526 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CN(C(=O)[C@@H]2C[C@@H]2C)C1 ZINC001003313646 726668896 /nfs/dbraw/zinc/66/88/96/726668896.db2.gz BHQDCXUONNRYLU-IONNQARKSA-N -1 1 336.348 -0.259 20 0 EBADMM CC[C@@H](F)C(=O)N1CC(CNC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC001003315931 726669403 /nfs/dbraw/zinc/66/94/03/726669403.db2.gz ZBQNTNROJIHQAF-MRVPVSSYSA-N -1 1 342.327 -0.167 20 0 EBADMM CC(C)(C)C(=O)N1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001003318311 726669543 /nfs/dbraw/zinc/66/95/43/726669543.db2.gz ALBPXWMZLCAITQ-UHFFFAOYSA-N -1 1 332.364 -0.348 20 0 EBADMM O=C(/C=C\C1CC1)N1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001003318775 726669560 /nfs/dbraw/zinc/66/95/60/726669560.db2.gz ISIIQYPSTOBJDX-ARJAWSKDSA-N -1 1 342.359 -0.428 20 0 EBADMM CC[C@@H](OC)C(=O)N1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001003320606 726669780 /nfs/dbraw/zinc/66/97/80/726669780.db2.gz XGIUJXZSSUTTIZ-LLVKDONJSA-N -1 1 348.363 -0.969 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)NC1CC[NH+](CC(=O)N2CCC2)CC1 ZINC001003475175 726676072 /nfs/dbraw/zinc/67/60/72/726676072.db2.gz MVNFGYTUYIDUQL-UHFFFAOYSA-N -1 1 333.392 -0.083 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCC1CN(C(=O)C(N)=O)C1 ZINC001003524849 726677807 /nfs/dbraw/zinc/67/78/07/726677807.db2.gz BNBZMYRRTMQCKH-UHFFFAOYSA-N -1 1 335.364 -0.712 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)c3cc(C(N)=O)co3)CC2)nc1=O ZINC001003603341 726682134 /nfs/dbraw/zinc/68/21/34/726682134.db2.gz PGYSTUUACWZOTB-UHFFFAOYSA-N -1 1 348.363 -0.805 20 0 EBADMM O=C(NC1CCN([C@H]2CCNC2=O)CC1)c1c[n-]n2c1nccc2=O ZINC001003752178 726689061 /nfs/dbraw/zinc/68/90/61/726689061.db2.gz PADPPRFYXQBBIS-LBPRGKRZSA-N -1 1 344.375 -0.895 20 0 EBADMM CCn1cc(C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)nn1 ZINC001003988983 726696900 /nfs/dbraw/zinc/69/69/00/726696900.db2.gz QGKUAFDWVOEAOK-UHFFFAOYSA-N -1 1 330.348 -0.099 20 0 EBADMM CC(C)C(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(=O)n3[n-]cnc3n1)C2 ZINC001004345933 726705674 /nfs/dbraw/zinc/70/56/74/726705674.db2.gz CRRGQZPCFAJCCF-FOGDFJRCSA-N -1 1 344.375 -0.065 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)Cn1nccn1 ZINC001004874189 726718306 /nfs/dbraw/zinc/71/83/06/726718306.db2.gz QTTUILRAWHDCCO-QWRGUYRKSA-N -1 1 334.384 -0.339 20 0 EBADMM C[C@@H]1CCN(C(=O)c2ccn[nH]2)C[C@@H]1NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001005099046 726724731 /nfs/dbraw/zinc/72/47/31/726724731.db2.gz FYWWVVNTZBRVJC-SCZZXKLOSA-N -1 1 349.351 -0.921 20 0 EBADMM CN(C(=O)C[C@H]1CCOC1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005197041 726728717 /nfs/dbraw/zinc/72/87/17/726728717.db2.gz BKGQRCFWWYRTBK-GFCCVEGCSA-N -1 1 337.424 -0.042 20 0 EBADMM CN(C(=O)[C@@]1(F)CCOC1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005881390 726746545 /nfs/dbraw/zinc/74/65/45/726746545.db2.gz HNQYKFLZHUGLSN-OAHLLOKOSA-N -1 1 341.387 -0.340 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CCNC(=O)C2)C1 ZINC001005950384 726749618 /nfs/dbraw/zinc/74/96/18/726749618.db2.gz JTUAIJBKUNKXDJ-QWRGUYRKSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)CN2CN=NC2=O)C1 ZINC001005951564 726749709 /nfs/dbraw/zinc/74/97/09/726749709.db2.gz NLULJYYWSXGNGB-VIFPVBQESA-N -1 1 346.347 -0.639 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@H](C)C2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001005974198 726750367 /nfs/dbraw/zinc/75/03/67/726750367.db2.gz QDEWPXCCVUHIHT-SNVBAGLBSA-N -1 1 346.387 -0.158 20 0 EBADMM C[C@@H](NC(=O)c1ncn(C)n1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006024047 726752766 /nfs/dbraw/zinc/75/27/66/726752766.db2.gz AFEJQGSEOCDXCE-SECBINFHSA-N -1 1 330.348 -0.194 20 0 EBADMM Cc1nc[nH]c1C(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001006482840 726770991 /nfs/dbraw/zinc/77/09/91/726770991.db2.gz OSPVBKARLBXGLY-UHFFFAOYSA-N -1 1 333.396 -0.123 20 0 EBADMM C[C@H](NC(=O)[C@@H]1CCC(=O)N1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006535820 726772699 /nfs/dbraw/zinc/77/26/99/726772699.db2.gz VAMFIOKRTXVEAO-ONGXEEELSA-N -1 1 332.360 -0.358 20 0 EBADMM C[C@H](NC(=O)c1cnc([O-])n(C)c1=O)C1CN(C(=O)c2ccn[nH]2)C1 ZINC001006553393 726773169 /nfs/dbraw/zinc/77/31/69/726773169.db2.gz NBTQPXNMJCHENR-QMMMGPOBSA-N -1 1 346.347 -0.901 20 0 EBADMM C[C@H](NC(=O)[C@@H]1CN(C)C(=O)N1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006560121 726773651 /nfs/dbraw/zinc/77/36/51/726773651.db2.gz APJSUHKGUDOGQQ-ONGXEEELSA-N -1 1 347.375 -0.613 20 0 EBADMM C[C@H](NC(=O)[C@@H]1CCNC1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006563424 726774251 /nfs/dbraw/zinc/77/42/51/726774251.db2.gz MWFFBBVONLVOHD-GXSJLCMTSA-N -1 1 332.360 -0.500 20 0 EBADMM Cc1c(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001006751927 726782649 /nfs/dbraw/zinc/78/26/49/726782649.db2.gz PXTZZMLGWHFOOF-LLVKDONJSA-N -1 1 333.396 -0.455 20 0 EBADMM Cn1[nH]c(CN2CCC[C@H](NC(=O)c3ncccc3[O-])C2)nc1=O ZINC001007182408 726790162 /nfs/dbraw/zinc/79/01/62/726790162.db2.gz BAVNULJXIFWOLE-JTQLQIEISA-N -1 1 332.364 -0.397 20 0 EBADMM Cc1n[nH]nc1C(=O)N1C[C@@H]2[C@@H](CNC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001008994079 726840068 /nfs/dbraw/zinc/84/00/68/726840068.db2.gz QDOSSVKCICIGGJ-RTCCRHLQSA-N -1 1 342.359 -0.038 20 0 EBADMM Cc1nn[nH]c1C(=O)N1C[C@@H]2[C@@H](CNC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001008994079 726840078 /nfs/dbraw/zinc/84/00/78/726840078.db2.gz QDOSSVKCICIGGJ-RTCCRHLQSA-N -1 1 342.359 -0.038 20 0 EBADMM CCn1cc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001009007258 726842798 /nfs/dbraw/zinc/84/27/98/726842798.db2.gz AISONSYXSGEYFH-JTQLQIEISA-N -1 1 334.384 -0.886 20 0 EBADMM Cc1cc(=O)c(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)c[nH]1 ZINC001009067659 726852609 /nfs/dbraw/zinc/85/26/09/726852609.db2.gz PRMMDNXECWHIST-LLVKDONJSA-N -1 1 346.391 -0.500 20 0 EBADMM O=C(NC[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)c1cnon1 ZINC001009417017 726909072 /nfs/dbraw/zinc/90/90/72/726909072.db2.gz HNMMMLUIMVCBHO-OWUUHHOZSA-N -1 1 329.316 -0.082 20 0 EBADMM CCC(=O)N1CCO[C@@H]([C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001009588445 726935421 /nfs/dbraw/zinc/93/54/21/726935421.db2.gz SJDWXTMROUNOMI-GXSJLCMTSA-N -1 1 348.363 -0.827 20 0 EBADMM CC(=O)N[C@@H]1CC[C@@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]2C1 ZINC001009765132 726999418 /nfs/dbraw/zinc/99/94/18/726999418.db2.gz HGWUFIRKINYQIV-IJLUTSLNSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@H]1C[C@H](CNC(=O)C2CC2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001010232327 727051701 /nfs/dbraw/zinc/05/17/01/727051701.db2.gz YUMUCLOQPFVAMD-NWDGAFQWSA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@@H]1C[C@@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)CN1C(=O)C(N)=O ZINC001010304860 727068419 /nfs/dbraw/zinc/06/84/19/727068419.db2.gz WCTCTKBUOPLZRP-BDAKNGLRSA-N -1 1 347.375 -0.488 20 0 EBADMM Cc1c(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)nnn1C ZINC001010854002 727156709 /nfs/dbraw/zinc/15/67/09/727156709.db2.gz FYUMXDMSDKWLCN-UHFFFAOYSA-N -1 1 330.348 -0.178 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(C(=O)CC2CCC2)CC1 ZINC001010893429 727164670 /nfs/dbraw/zinc/16/46/70/727164670.db2.gz SZAPVYOTEQIANA-UHFFFAOYSA-N -1 1 348.403 -0.212 20 0 EBADMM CC(C)=C(F)C(=O)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001010984455 727171082 /nfs/dbraw/zinc/17/10/82/727171082.db2.gz BLMJIQKBMPTDGP-UHFFFAOYSA-N -1 1 348.338 -0.035 20 0 EBADMM NC(=O)N1CCC[C@H]1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011036118 727186306 /nfs/dbraw/zinc/18/63/06/727186306.db2.gz CAGQCEWZQFCCAT-NSHDSACASA-N -1 1 347.375 -0.385 20 0 EBADMM CCN1C[C@H](C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)CC1=O ZINC001011037935 727187170 /nfs/dbraw/zinc/18/71/70/727187170.db2.gz UEXZVBBPCQBPHC-GFCCVEGCSA-N -1 1 346.387 -0.060 20 0 EBADMM C[C@H](CC(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1)n1ccnc1 ZINC001051851230 735427993 /nfs/dbraw/zinc/42/79/93/735427993.db2.gz PDPYCAPJWFGYAD-VXGBXAGGSA-N -1 1 349.395 -0.325 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCN(C(=O)C(F)F)[C@H]1C ZINC001011346630 727518034 /nfs/dbraw/zinc/51/80/34/727518034.db2.gz IHRJOFSPERMWDX-WDSKDSINSA-N -1 1 346.290 -0.119 20 0 EBADMM COCC(=O)N1CC[C@@H](NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)[C@H]1C ZINC001011386237 727521382 /nfs/dbraw/zinc/52/13/82/727521382.db2.gz WTOHXQKWVNCNJK-HTQZYQBOSA-N -1 1 340.336 -0.738 20 0 EBADMM CCCC(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@H]1C ZINC001011402357 727522553 /nfs/dbraw/zinc/52/25/53/727522553.db2.gz PZJKYTHZXZPTKR-NXEZZACHSA-N -1 1 332.364 -0.063 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CS(C)(=O)=O ZINC001011545717 727539809 /nfs/dbraw/zinc/53/98/09/727539809.db2.gz KUJDYHMYAXMPPB-NXEZZACHSA-N -1 1 341.389 -0.449 20 0 EBADMM CC[C@H](F)C(=O)N1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001011561349 727542444 /nfs/dbraw/zinc/54/24/44/727542444.db2.gz QJHSUJDSAGNHGT-NSHDSACASA-N -1 1 340.355 -0.654 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(C(=O)c2cnco2)CC1 ZINC001011635974 727552171 /nfs/dbraw/zinc/55/21/71/727552171.db2.gz QAXYGASDSKFJDX-UHFFFAOYSA-N -1 1 349.303 -0.517 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncn(C)n2)CCN1C(=O)c1ncccc1[O-] ZINC001011671571 727556679 /nfs/dbraw/zinc/55/66/79/727556679.db2.gz ISJPNAFFFXYTPP-UWVGGRQHSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@@H]1[C@H](NC(=O)C(N)=O)CCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001011764068 727573432 /nfs/dbraw/zinc/57/34/32/727573432.db2.gz XQOUQDNKNCFKNM-GMSGAONNSA-N -1 1 333.348 -0.736 20 0 EBADMM C[C@H]1[C@H](NC(=O)C(F)F)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001011899585 727599802 /nfs/dbraw/zinc/59/98/02/727599802.db2.gz QKVZQKJFUGTSLA-DTWKUNHWSA-N -1 1 344.318 -0.703 20 0 EBADMM C[C@@H]1[C@H](NC(=O)C2(C)CC2)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001011900044 727600055 /nfs/dbraw/zinc/60/00/55/727600055.db2.gz SZEWKGDPBRUJAF-VXGBXAGGSA-N -1 1 348.403 -0.168 20 0 EBADMM CCn1cc(CC(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001051897752 735452934 /nfs/dbraw/zinc/45/29/34/735452934.db2.gz JQXLAEIKPWUZFA-GFCCVEGCSA-N -1 1 349.395 -0.714 20 0 EBADMM COCC(=O)N1C[C@@H](NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C[C@@H]1C ZINC001012165108 727641057 /nfs/dbraw/zinc/64/10/57/727641057.db2.gz MCMFYDVEAVHDHE-YUMQZZPRSA-N -1 1 340.336 -0.738 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)CN1CCOCC1 ZINC001012498554 727670569 /nfs/dbraw/zinc/67/05/69/727670569.db2.gz WHKUAUMDYBCMFV-OLZOCXBDSA-N -1 1 348.403 -0.161 20 0 EBADMM CC(=O)N1CC(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])C[C@@H]2C)C1 ZINC001012530182 727676960 /nfs/dbraw/zinc/67/69/60/727676960.db2.gz ZUMNEMIYFLCGAB-GWCFXTLKSA-N -1 1 346.387 -0.015 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)C2(C)CC2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001013238115 727786046 /nfs/dbraw/zinc/78/60/46/727786046.db2.gz BNCUJHRGXCGSIH-NWDGAFQWSA-N -1 1 348.403 -0.168 20 0 EBADMM C[C@H]1C[C@H](NC(=O)C2CCC2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001013238314 727786067 /nfs/dbraw/zinc/78/60/67/727786067.db2.gz CPSMNYIDHQZENT-AAEUAGOBSA-N -1 1 348.403 -0.168 20 0 EBADMM CC(C)C(=O)N[C@@H]1C[C@H](C)N(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001013324631 727793819 /nfs/dbraw/zinc/79/38/19/727793819.db2.gz GWQJFELTOOXIGS-VHSXEESVSA-N -1 1 332.364 -0.207 20 0 EBADMM NC(=O)CC(=O)N1CC[C@@]2(NC(=O)c3ncccc3[O-])CCC[C@@H]12 ZINC001014254159 727913436 /nfs/dbraw/zinc/91/34/36/727913436.db2.gz YIGPVNCLSNKDSH-BZNIZROVSA-N -1 1 332.360 -0.084 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@@H]3CCCc4n[nH]cc43)C2)nc1=O ZINC001014438453 727953576 /nfs/dbraw/zinc/95/35/76/727953576.db2.gz TVTYJGCAVYPTCL-GHMZBOCLSA-N -1 1 345.407 -0.358 20 0 EBADMM CCc1cc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)nn1C ZINC001051954196 735482359 /nfs/dbraw/zinc/48/23/59/735482359.db2.gz SPMIYLHVRVTKIN-LLVKDONJSA-N -1 1 349.395 -0.563 20 0 EBADMM CCC(=O)N[C@@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)[C@H]1C ZINC001014626016 727982267 /nfs/dbraw/zinc/98/22/67/727982267.db2.gz GIVHRXLVHSZJQK-NWDGAFQWSA-N -1 1 336.392 -0.168 20 0 EBADMM Cn1nc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cc1C1CC1 ZINC001014640898 727986190 /nfs/dbraw/zinc/98/61/90/727986190.db2.gz RXEGTQFPPSLOMQ-LLVKDONJSA-N -1 1 345.407 -0.276 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cc4c([nH]3)CCOC4)C2)nc1=O ZINC001014690522 727991729 /nfs/dbraw/zinc/99/17/29/727991729.db2.gz YQMRXHOIDZUMEF-LLVKDONJSA-N -1 1 346.391 -0.487 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cc4c([nH]3)CCOC4)C2)nc1=O ZINC001014690526 727991781 /nfs/dbraw/zinc/99/17/81/727991781.db2.gz YQMRXHOIDZUMEF-NSHDSACASA-N -1 1 346.391 -0.487 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cc4n(n3)CCCC4)C2)nc1=O ZINC001014862895 728021522 /nfs/dbraw/zinc/02/15/22/728021522.db2.gz JXYGHZSNBMLAGR-LLVKDONJSA-N -1 1 345.407 -0.355 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CCCN1C(=O)C1CC1 ZINC001015086374 728075783 /nfs/dbraw/zinc/07/57/83/728075783.db2.gz VVIBXVAWYWXUFM-KOLCDFICSA-N -1 1 344.375 -0.063 20 0 EBADMM CC(C)c1nc(CN2CC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001015108381 728080004 /nfs/dbraw/zinc/08/00/04/728080004.db2.gz BPPQTLPTNPKDOT-QMMMGPOBSA-N -1 1 348.367 -0.471 20 0 EBADMM CNC(=O)CN1CCN([C@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001052017666 735505145 /nfs/dbraw/zinc/50/51/45/735505145.db2.gz QOWVIVUMVGSXHQ-ZDUSSCGKSA-N -1 1 347.419 -0.635 20 0 EBADMM CCc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c(C)nn1 ZINC001015392329 728410031 /nfs/dbraw/zinc/41/00/31/728410031.db2.gz OWTQGIOHLGZESK-GFCCVEGCSA-N -1 1 345.407 -0.226 20 0 EBADMM CCc1ccc(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nc1 ZINC001015728216 728430477 /nfs/dbraw/zinc/43/04/77/728430477.db2.gz ONZVBJQTAUJCKQ-AWEZNQCLSA-N -1 1 344.419 -0.001 20 0 EBADMM CCn1nc(C)cc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001015742230 728431029 /nfs/dbraw/zinc/43/10/29/728431029.db2.gz SCKNJQRDCRXQBS-NSHDSACASA-N -1 1 333.396 -0.362 20 0 EBADMM Cc1ncc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c(C)n1 ZINC001015868472 728436814 /nfs/dbraw/zinc/43/68/14/728436814.db2.gz XGKSBAKBZKTNOV-NSHDSACASA-N -1 1 331.380 -0.480 20 0 EBADMM CN(C(=O)[C@H]1CCC(=O)N1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016328057 728456532 /nfs/dbraw/zinc/45/65/32/728456532.db2.gz QDYYBILPQHQICS-VQXHTEKXSA-N -1 1 332.360 -0.215 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)c2nnn(C)n2)C1 ZINC001016427394 728471709 /nfs/dbraw/zinc/47/17/09/728471709.db2.gz IHZKRESLJBDPEY-UHFFFAOYSA-N -1 1 331.336 -0.656 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)[C@@H]2CN(C)C(=O)N2)C1 ZINC001016427824 728471851 /nfs/dbraw/zinc/47/18/51/728471851.db2.gz MEEQGJRBVTWDBT-ILDUYXDCSA-N -1 1 347.375 -0.470 20 0 EBADMM CCN1CCN([C@@H]2CCN(C(=O)c3cnc([O-])n(C)c3=O)C2)CC1 ZINC001052060950 735527602 /nfs/dbraw/zinc/52/76/02/735527602.db2.gz OOSFZVIDIUJBDZ-GFCCVEGCSA-N -1 1 335.408 -0.662 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)C2(C(N)=O)CC2)C1 ZINC001017201079 728555677 /nfs/dbraw/zinc/55/56/77/728555677.db2.gz YCJYEGZSWHKKIW-SNVBAGLBSA-N -1 1 336.396 -0.554 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)c2cnccn2)nc1=O ZINC001017309925 728562855 /nfs/dbraw/zinc/56/28/55/728562855.db2.gz MALCMCJACKJCBP-PHIMTYICSA-N -1 1 329.364 -0.613 20 0 EBADMM O=C(NCC1=CCN(C(=O)[C@@H]2CCC(=O)N2)CC1)c1ncccc1[O-] ZINC001017400913 728571910 /nfs/dbraw/zinc/57/19/10/728571910.db2.gz ZJDOLVHFZOVUQH-LBPRGKRZSA-N -1 1 344.371 -0.046 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)CCc2nnc[nH]2)nc1=O ZINC001017454410 728577832 /nfs/dbraw/zinc/57/78/32/728577832.db2.gz OBAZOMQWVAPMEA-PHIMTYICSA-N -1 1 346.395 -0.966 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)Cc2cccnc2)nc1=O ZINC001017481533 728580150 /nfs/dbraw/zinc/58/01/50/728580150.db2.gz CJLQLLRMQGNRIE-OKILXGFUSA-N -1 1 342.403 -0.079 20 0 EBADMM COC[C@H](C)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc([O-])n(C)c1=O ZINC001017789320 728610185 /nfs/dbraw/zinc/61/01/85/728610185.db2.gz LZDCXCAIAIWZGB-SDDRHHMPSA-N -1 1 336.392 -0.190 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)C2CC2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001017890979 728622471 /nfs/dbraw/zinc/62/24/71/728622471.db2.gz OFTXEPNCESLGJZ-DGCLKSJQSA-N -1 1 348.403 -0.168 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)C2CC2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001017890981 728622478 /nfs/dbraw/zinc/62/24/78/728622478.db2.gz OFTXEPNCESLGJZ-YPMHNXCESA-N -1 1 348.403 -0.168 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)Cc2cnc[nH]2)nc1=O ZINC001017998915 728627666 /nfs/dbraw/zinc/62/76/66/728627666.db2.gz QYJUOTWPOXKCPV-TXEJJXNPSA-N -1 1 331.380 -0.751 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)[C@H]2C[C@@]23CCOC3)nc1=O ZINC001018157791 728639370 /nfs/dbraw/zinc/63/93/70/728639370.db2.gz RJXFVSBZIUSLPF-IPJQOSJUSA-N -1 1 347.419 -0.290 20 0 EBADMM CCC(=O)N1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CC[C@@H]1C ZINC001018273760 728652617 /nfs/dbraw/zinc/65/26/17/728652617.db2.gz CKYQKXQGFDJJJB-UWVGGRQHSA-N -1 1 332.364 -0.063 20 0 EBADMM CN(C)c1ccc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)nc1 ZINC001019840515 729007190 /nfs/dbraw/zinc/00/71/90/729007190.db2.gz WIKDSZWTUQURLN-JTQLQIEISA-N -1 1 331.380 -0.024 20 0 EBADMM C[C@H]1[C@@H](NC(=O)[C@H]2CCNC2=O)CCN1C(=O)c1ncccc1[O-] ZINC001020205961 729099806 /nfs/dbraw/zinc/09/98/06/729099806.db2.gz ATCBCWWJGSJMSH-DCAQKATOSA-N -1 1 332.360 -0.358 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@H]1CNC(=O)N1 ZINC001020526934 729194314 /nfs/dbraw/zinc/19/43/14/729194314.db2.gz TVXYNKALWWQQTI-LPEHRKFASA-N -1 1 333.348 -0.812 20 0 EBADMM CC(=O)N1CC[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)[C@H](C)C1 ZINC001020634097 729213480 /nfs/dbraw/zinc/21/34/80/729213480.db2.gz IIPPZMGEYLWLHY-MWLCHTKSSA-N -1 1 332.364 -0.348 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1C[C@@H]2CCN(C(=O)C3CC3)[C@@H]2C1 ZINC001020884880 729250363 /nfs/dbraw/zinc/25/03/63/729250363.db2.gz OBDMNQBWIREIDQ-QWHCGFSZSA-N -1 1 346.387 -0.604 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@@H]2CCN(C(=O)[C@H]3COCCO3)[C@@H]2C1 ZINC001021130454 729285723 /nfs/dbraw/zinc/28/57/23/729285723.db2.gz NQKNXGAXLGXBMF-OUCADQQQSA-N -1 1 347.371 -0.125 20 0 EBADMM O=C(NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1)c1cn[nH]c(=O)c1 ZINC001021289452 729303254 /nfs/dbraw/zinc/30/32/54/729303254.db2.gz FKWGSPOPVLTPHQ-FGWVZKOKSA-N -1 1 345.363 -0.069 20 0 EBADMM CN1C[C@H](C(=O)NC2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[n-]2)NC1=O ZINC001021346544 729306785 /nfs/dbraw/zinc/30/67/85/729306785.db2.gz OEBOSJFJGLBGBQ-HUAZRZQGSA-N -1 1 349.395 -0.855 20 0 EBADMM O=C(c1ncccc1[O-])N1CC[C@H]2CN(C(=O)[C@@H]3COC(=O)N3)C[C@H]21 ZINC001021442510 729314100 /nfs/dbraw/zinc/31/41/00/729314100.db2.gz OFDSBXOXAXCUFY-GARJFASQSA-N -1 1 346.343 -0.432 20 0 EBADMM O=C(Cn1cncn1)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001022763755 729529441 /nfs/dbraw/zinc/52/94/41/729529441.db2.gz CBAPOIXJRRDWEI-NWDGAFQWSA-N -1 1 342.359 -0.248 20 0 EBADMM O=C(N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C1)[C@H]1CCCS(=O)(=O)C1 ZINC001022798342 729533699 /nfs/dbraw/zinc/53/36/99/729533699.db2.gz BAQHRNWRPHJPPG-LPEHRKFASA-N -1 1 343.409 -0.928 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](CNC(=O)c3cnccn3)C2)nc1=O ZINC001023207481 729637197 /nfs/dbraw/zinc/63/71/97/729637197.db2.gz WXGBATNSWQDRCD-NSHDSACASA-N -1 1 331.380 -0.460 20 0 EBADMM CCn1cc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)cn1 ZINC001023224697 729643544 /nfs/dbraw/zinc/64/35/44/729643544.db2.gz FQKCEUPULCAKTF-DGCLKSJQSA-N -1 1 345.359 -0.381 20 0 EBADMM CCn1nncc1C(=O)NC[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001023358546 729670586 /nfs/dbraw/zinc/67/05/86/729670586.db2.gz KTGYASJLBVQPIX-NSHDSACASA-N -1 1 348.411 -0.638 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2cnccn2)C[C@H]1O)c1ncccc1[O-] ZINC001023486781 729701782 /nfs/dbraw/zinc/70/17/82/729701782.db2.gz RJEQHVZZFNKGDY-ZYHUDNBSSA-N -1 1 329.316 -0.808 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)[C@H]2CCCOC2)C[C@H]1O)c1ncccc1[O-] ZINC001023488564 729702615 /nfs/dbraw/zinc/70/26/15/729702615.db2.gz NIOSVLZUYAXOQG-DMDPSCGWSA-N -1 1 335.360 -0.485 20 0 EBADMM Cn1cc(CC(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)cn1 ZINC001023488849 729702894 /nfs/dbraw/zinc/70/28/94/729702894.db2.gz ZZGJELDJYRFUGV-DGCLKSJQSA-N -1 1 345.359 -0.935 20 0 EBADMM CCn1cnc(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)c1 ZINC001023490661 729703621 /nfs/dbraw/zinc/70/36/21/729703621.db2.gz LSWHJSHBJMLTLZ-ZWNOBZJWSA-N -1 1 345.359 -0.381 20 0 EBADMM CO[C@H](C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1)C1CCC1 ZINC001023492574 729704120 /nfs/dbraw/zinc/70/41/20/729704120.db2.gz WILVACAIWOQWBH-KYOSRNDESA-N -1 1 349.387 -0.096 20 0 EBADMM Cc1n[nH]cc1C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023492347 729704159 /nfs/dbraw/zinc/70/41/59/729704159.db2.gz LUZDCMPOAUCOGK-ZYHUDNBSSA-N -1 1 331.332 -0.566 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)C1CCC(O)CC1 ZINC001023549422 729721236 /nfs/dbraw/zinc/72/12/36/729721236.db2.gz KWKXPYRQDHWULC-UAKHECDHSA-N -1 1 349.387 -0.360 20 0 EBADMM O=C(Cc1cncs1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001024179136 729855847 /nfs/dbraw/zinc/85/58/47/729855847.db2.gz AMGSTQKEOPTSSL-ZYHUDNBSSA-N -1 1 348.384 -0.212 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)c1ccsn1 ZINC001024309785 729872166 /nfs/dbraw/zinc/87/21/66/729872166.db2.gz WJGIZNRZXDRMHX-MWLCHTKSSA-N -1 1 334.357 -0.141 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H]2CNC(=O)c2cc[n+]([O-])cc2)nc1=O ZINC001024315902 729873152 /nfs/dbraw/zinc/87/31/52/729873152.db2.gz DFNAKSPHFAXWSU-ZDUSSCGKSA-N -1 1 346.391 -0.474 20 0 EBADMM Cc1c(C(=O)NC[C@@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)cnn1C ZINC001024332822 729874181 /nfs/dbraw/zinc/87/41/81/729874181.db2.gz HKMBHOZIAZVGRS-LBPRGKRZSA-N -1 1 347.423 -0.065 20 0 EBADMM Cc1nn(C)cc1C(=O)NC[C@@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001024366774 729878214 /nfs/dbraw/zinc/87/82/14/729878214.db2.gz BKKTXDLDOKNZLY-LBPRGKRZSA-N -1 1 347.423 -0.065 20 0 EBADMM Cc1cn(C)nc1C(=O)NC[C@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001024437538 729887923 /nfs/dbraw/zinc/88/79/23/729887923.db2.gz SYMJEUCHTALIDE-GFCCVEGCSA-N -1 1 347.423 -0.065 20 0 EBADMM Cc1cncn1CC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001024699201 729919160 /nfs/dbraw/zinc/91/91/60/729919160.db2.gz KQCHDFRYCWTSTA-DGCLKSJQSA-N -1 1 345.359 -0.706 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H]2CNC(=O)c2ncccn2)nc1=O ZINC001024722251 729922478 /nfs/dbraw/zinc/92/24/78/729922478.db2.gz OZIKSSIEPUTGPI-NSHDSACASA-N -1 1 331.380 -0.317 20 0 EBADMM COc1nc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)co1 ZINC001024820874 729933078 /nfs/dbraw/zinc/93/30/78/729933078.db2.gz WXOPHNUWJPXUFS-LDYMZIIASA-N -1 1 348.315 -0.601 20 0 EBADMM Cc1nc[nH]c1C(=O)NC[C@@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001024828861 729934027 /nfs/dbraw/zinc/93/40/27/729934027.db2.gz QJYCKACUBPDJCB-NSHDSACASA-N -1 1 333.396 -0.076 20 0 EBADMM CN1CCO[C@@H](C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)C1 ZINC001024912295 729941550 /nfs/dbraw/zinc/94/15/50/729941550.db2.gz DOBXRLNUFORBRV-VXGBXAGGSA-N -1 1 338.412 -0.688 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)o1 ZINC001025015592 729986780 /nfs/dbraw/zinc/98/67/80/729986780.db2.gz MVJMLILTMGPKAJ-SECBINFHSA-N -1 1 348.363 -0.013 20 0 EBADMM Cn1cc(OCC(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)cn1 ZINC001025059087 729991530 /nfs/dbraw/zinc/99/15/30/729991530.db2.gz JHXXLVMMDAAOBR-LLVKDONJSA-N -1 1 349.395 -0.207 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001025091827 729995579 /nfs/dbraw/zinc/99/55/79/729995579.db2.gz URBCISSRMYWXGB-ZYHUDNBSSA-N -1 1 345.359 -0.258 20 0 EBADMM Cn1cncc1CC(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001025138162 730004459 /nfs/dbraw/zinc/00/44/59/730004459.db2.gz JJTHMEKVMWMJFJ-LLVKDONJSA-N -1 1 333.396 -0.043 20 0 EBADMM Cc1nocc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001025391381 730035675 /nfs/dbraw/zinc/03/56/75/730035675.db2.gz GGHUGSMIDGNWQA-ZYHUDNBSSA-N -1 1 332.316 -0.301 20 0 EBADMM C[C@@H](NC(=O)c1c[n-]n2c1nccc2=O)[C@@H]1CCCN(CC(N)=O)C1 ZINC001025551986 730054079 /nfs/dbraw/zinc/05/40/79/730054079.db2.gz KEWCDJGSUFKQPG-GHMZBOCLSA-N -1 1 346.391 -0.662 20 0 EBADMM CO[C@H]1CCC[C@@H]1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001025822912 730097797 /nfs/dbraw/zinc/09/77/97/730097797.db2.gz LFMMJTNWCMJRTL-BYNIDDHOSA-N -1 1 335.408 -0.530 20 0 EBADMM CC(=O)N[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C12CCC2 ZINC001025829473 730098833 /nfs/dbraw/zinc/09/88/33/730098833.db2.gz XQKNKSAMNORZDA-WDEREUQCSA-N -1 1 330.348 -0.405 20 0 EBADMM Cn1nnc(CN[C@@H]2CC[C@@H]3CN(C(=O)c4ncccc4[O-])C[C@H]23)n1 ZINC001026249496 730132583 /nfs/dbraw/zinc/13/25/83/730132583.db2.gz XIAJRTINIXXRRB-GRYCIOLGSA-N -1 1 343.391 -0.049 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1C[C@@H]2CC[C@@H](NCc3cnon3)[C@@H]2C1 ZINC001026304694 730136502 /nfs/dbraw/zinc/13/65/02/730136502.db2.gz PAOIAOCYMJGRDP-FXBDTBDDSA-N -1 1 347.335 -0.694 20 0 EBADMM Cc1nnc(CNC[C@H]2CCN(C(=O)c3cnc([O-])n(C)c3=O)C2)o1 ZINC001026985780 730178696 /nfs/dbraw/zinc/17/86/96/730178696.db2.gz AIYMIHZCDCCNMW-SNVBAGLBSA-N -1 1 348.363 -0.571 20 0 EBADMM CC[C@H](C(N)=O)N(C)[C@@H]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001027479549 730218541 /nfs/dbraw/zinc/21/85/41/730218541.db2.gz JNMZYMHUVAAJPT-RKDXNWHRSA-N -1 1 338.368 -0.917 20 0 EBADMM CCn1cc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001027799205 730239459 /nfs/dbraw/zinc/23/94/59/730239459.db2.gz XZGVEMZSMFCOJF-LBPRGKRZSA-N -1 1 333.396 -0.281 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H]2CNC(=O)c2cc3n(n2)CCC3)nc1=O ZINC001027925448 730248338 /nfs/dbraw/zinc/24/83/38/730248338.db2.gz YGCMFFMBKIZURT-LBPRGKRZSA-N -1 1 345.407 -0.355 20 0 EBADMM Cc1ncn(C)c1C(=O)NC[C@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001027950021 730250937 /nfs/dbraw/zinc/25/09/37/730250937.db2.gz GMAVBNQYGVZUAU-LLVKDONJSA-N -1 1 333.396 -0.455 20 0 EBADMM CCc1nn(C)cc1C(=O)NC[C@@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001027956725 730251618 /nfs/dbraw/zinc/25/16/18/730251618.db2.gz UJAPABYTLILCGA-NSHDSACASA-N -1 1 347.423 -0.201 20 0 EBADMM Cn1[nH]c(CN2CCC[C@H]2CNC(=O)[C@H]2CCCC[N@H+]2C)nc1=O ZINC001027972222 730253158 /nfs/dbraw/zinc/25/31/58/730253158.db2.gz RYKBDBILBTVLRR-QWHCGFSZSA-N -1 1 336.440 -0.327 20 0 EBADMM Cc1nc([C@@H](C)N2CCC[C@@H]2CNC(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC001027999399 730255563 /nfs/dbraw/zinc/25/55/63/730255563.db2.gz HIZSVIQTCUVSSO-VXNVDRBHSA-N -1 1 348.367 -0.335 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H]2CNC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)nc1=O ZINC001028150625 730271458 /nfs/dbraw/zinc/27/14/58/730271458.db2.gz WAAQGMBXJZPIOV-LPWJVIDDSA-N -1 1 335.408 -0.244 20 0 EBADMM CNC(=O)[C@@H](C)N1CCC[C@H]1CNC(=O)c1c[n-]n2c1nccc2=O ZINC001028186468 730272884 /nfs/dbraw/zinc/27/28/84/730272884.db2.gz LQSQGWJHCPFMMR-MNOVXSKESA-N -1 1 346.391 -0.649 20 0 EBADMM CCc1cc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)n(C)n1 ZINC001028224985 730275943 /nfs/dbraw/zinc/27/59/43/730275943.db2.gz QQXUPPYHYCUIKA-LBPRGKRZSA-N -1 1 347.423 -0.201 20 0 EBADMM COc1ncc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001028239112 730276832 /nfs/dbraw/zinc/27/68/32/730276832.db2.gz DKNZQKHRERNANR-LLVKDONJSA-N -1 1 347.379 -0.699 20 0 EBADMM COc1ncc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001028239113 730276842 /nfs/dbraw/zinc/27/68/42/730276842.db2.gz DKNZQKHRERNANR-NSHDSACASA-N -1 1 347.379 -0.699 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)[C@@H]3CCCOCC3)C2)nc1=O ZINC001028509340 730303467 /nfs/dbraw/zinc/30/34/67/730303467.db2.gz LWYNHZREVUZJQT-CHWSQXEVSA-N -1 1 337.424 -0.137 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)c3cnc4n3CCC4)C2)nc1=O ZINC001028600791 730320528 /nfs/dbraw/zinc/32/05/28/730320528.db2.gz DGGNFXGKGNMNBN-LLVKDONJSA-N -1 1 345.407 -0.497 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)[C@@H]3OC[C@@H]4CCC[C@@H]43)C2)nc1=O ZINC001028695680 730341584 /nfs/dbraw/zinc/34/15/84/730341584.db2.gz UCTMHLFJDSBFOK-PWNZVWSESA-N -1 1 349.435 -0.138 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)c3c[nH]nc3C3CC3)C2)nc1=O ZINC001028905126 730369089 /nfs/dbraw/zinc/36/90/89/730369089.db2.gz GFJITFHEEKEAOT-JTQLQIEISA-N -1 1 345.407 -0.039 20 0 EBADMM CCc1cc(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)[nH]n1 ZINC001029218394 730393366 /nfs/dbraw/zinc/39/33/66/730393366.db2.gz NLHKXEGHXTXQNV-TXEJJXNPSA-N -1 1 345.407 -0.117 20 0 EBADMM Cc1cn(C)nc1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029305019 730398069 /nfs/dbraw/zinc/39/80/69/730398069.db2.gz QPCDEWWHLUJVIB-TXEJJXNPSA-N -1 1 345.407 -0.361 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)CO1 ZINC001029366654 730401508 /nfs/dbraw/zinc/40/15/08/730401508.db2.gz IKADPYFHYNBMBB-LPWJVIDDSA-N -1 1 335.408 -0.291 20 0 EBADMM C[C@@H]1OCC[C@@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029527458 730409188 /nfs/dbraw/zinc/40/91/88/730409188.db2.gz JUJUBWPOBYXXSZ-RVMXOQNASA-N -1 1 335.408 -0.291 20 0 EBADMM CN(Cc1nnn(C)n1)C[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001029713842 730486940 /nfs/dbraw/zinc/48/69/40/730486940.db2.gz SJIFIVVIUQZYMQ-LLVKDONJSA-N -1 1 331.380 -0.095 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)c2ccc(C(N)=O)o2)C1 ZINC001029779588 730500046 /nfs/dbraw/zinc/50/00/46/730500046.db2.gz HWRMGQLPDSKQBD-VIFPVBQESA-N -1 1 348.363 -0.204 20 0 EBADMM Cc1nc(CN(C)C[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001029777766 730500197 /nfs/dbraw/zinc/50/01/97/730500197.db2.gz PORSDSRURNYZTN-VIFPVBQESA-N -1 1 348.367 -0.697 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)[C@]2(C)CNC(=O)C2)C1 ZINC001029792570 730502066 /nfs/dbraw/zinc/50/20/66/730502066.db2.gz KFFNUNGOKQTXHR-BMIGLBTASA-N -1 1 336.396 -0.683 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)N1CC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001029814849 730506396 /nfs/dbraw/zinc/50/63/96/730506396.db2.gz WTZSCGZKWZAYEW-SNVBAGLBSA-N -1 1 347.379 -0.092 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)c2c[nH]c(=O)n2C)CC1 ZINC001029983837 730531472 /nfs/dbraw/zinc/53/14/72/730531472.db2.gz PVQPXWYSUORFPD-UHFFFAOYSA-N -1 1 349.395 -0.066 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)[C@H]2CCNC2=O)CC1 ZINC001029984054 730531773 /nfs/dbraw/zinc/53/17/73/730531773.db2.gz WSOCOJMAVPIJFN-NSHDSACASA-N -1 1 336.396 -0.683 20 0 EBADMM O=C(CN1CC(NC(=O)c2ncccc2[O-])C1)NC1CCOCC1 ZINC001030240159 730558024 /nfs/dbraw/zinc/55/80/24/730558024.db2.gz WDFNKITWKVLMRJ-UHFFFAOYSA-N -1 1 334.376 -0.504 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ncnc4sccc43)C2)nc1=O ZINC001030339734 730569942 /nfs/dbraw/zinc/56/99/42/730569942.db2.gz HNTHENXHDFWULH-UHFFFAOYSA-N -1 1 345.388 -0.273 20 0 EBADMM CC(=O)Nc1c[nH]c(C)c1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030580807 730608114 /nfs/dbraw/zinc/60/81/14/730608114.db2.gz KJHJHCBHNBQQEA-UHFFFAOYSA-N -1 1 347.379 -0.682 20 0 EBADMM COc1ccc(C)cc1CC(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030627248 730616106 /nfs/dbraw/zinc/61/61/06/730616106.db2.gz LZGJIUGPOZQCAE-UHFFFAOYSA-N -1 1 345.403 -0.031 20 0 EBADMM Cc1cc(=O)oc(C)c1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030644937 730619287 /nfs/dbraw/zinc/61/92/87/730619287.db2.gz FOEBLRAUDRWIGE-UHFFFAOYSA-N -1 1 333.348 -0.707 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@]34CCO[C@@H]3CCCC4)C2)nc1=O ZINC001030662033 730621689 /nfs/dbraw/zinc/62/16/89/730621689.db2.gz QOEDURLYTGXWNJ-MLGOLLRUSA-N -1 1 335.408 -0.242 20 0 EBADMM O=C(NC1CN(CC[C@H]2CCOC2)C1)c1c[n-]n2c1nccc2=O ZINC001031068303 730670586 /nfs/dbraw/zinc/67/05/86/730670586.db2.gz FWQHWRUTXKBURA-NSHDSACASA-N -1 1 331.376 -0.137 20 0 EBADMM Cc1oc(C2CC2)nc1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031172607 730683908 /nfs/dbraw/zinc/68/39/08/730683908.db2.gz MBDBZFJNFAULNW-UHFFFAOYSA-N -1 1 332.364 -0.104 20 0 EBADMM Cc1ncccc1CN1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001031249884 730693488 /nfs/dbraw/zinc/69/34/88/730693488.db2.gz KRTXJMHIDWKHIR-UHFFFAOYSA-N -1 1 339.359 -0.265 20 0 EBADMM CCc1cnc(CN2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)o1 ZINC001031249989 730693731 /nfs/dbraw/zinc/69/37/31/730693731.db2.gz OIOUHYWFIDRBPT-UHFFFAOYSA-N -1 1 343.347 -0.418 20 0 EBADMM Cc1nnc([C@H](C)N2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)[nH]1 ZINC001031251617 730695198 /nfs/dbraw/zinc/69/51/98/730695198.db2.gz SCHFTJOIEMSYFN-ZETCQYMHSA-N -1 1 343.351 -0.981 20 0 EBADMM O=C(NC1CN(Cc2cscn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001031253142 730695455 /nfs/dbraw/zinc/69/54/55/730695455.db2.gz NTRZMFXRYKGYDN-UHFFFAOYSA-N -1 1 331.361 -0.512 20 0 EBADMM Cc1cccc2nc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)cn21 ZINC001031366503 730709341 /nfs/dbraw/zinc/70/93/41/730709341.db2.gz OXSWAERGTQSNJC-UHFFFAOYSA-N -1 1 341.375 -0.321 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@H]3COc4ccccc43)C2)nc1=O ZINC001031478872 730727705 /nfs/dbraw/zinc/72/77/05/730727705.db2.gz YTTVXFLKFNZRPW-LBPRGKRZSA-N -1 1 329.360 -0.415 20 0 EBADMM CC(C)Oc1ccc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)nc1 ZINC001031492628 730729475 /nfs/dbraw/zinc/72/94/75/730729475.db2.gz KNAQXIHKSWMLIH-UHFFFAOYSA-N -1 1 346.391 -0.095 20 0 EBADMM CC(C)c1cc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC001031662849 730747896 /nfs/dbraw/zinc/74/78/96/730747896.db2.gz NSPHQYPUGKLVQQ-UHFFFAOYSA-N -1 1 347.423 -0.173 20 0 EBADMM Cn1nc(C2CC2)cc1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031705395 730753728 /nfs/dbraw/zinc/75/37/28/730753728.db2.gz DURGOTUCXRGKML-UHFFFAOYSA-N -1 1 345.407 -0.419 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cnn4ncccc34)C2)nc1=O ZINC001031735278 730759176 /nfs/dbraw/zinc/75/91/76/730759176.db2.gz CFIIXVSNFDIFMI-UHFFFAOYSA-N -1 1 342.363 -0.987 20 0 EBADMM COCc1cncc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001031738661 730759786 /nfs/dbraw/zinc/75/97/86/730759786.db2.gz FVIFSLZBJXMBNB-UHFFFAOYSA-N -1 1 346.391 -0.488 20 0 EBADMM CCc1c(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001031809875 730772598 /nfs/dbraw/zinc/77/25/98/730772598.db2.gz RWXZWTYXRQCJEH-UHFFFAOYSA-N -1 1 333.396 -0.734 20 0 EBADMM CCCc1c(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001031810772 730773111 /nfs/dbraw/zinc/77/31/11/730773111.db2.gz OAKWJYDDDNHKQF-UHFFFAOYSA-N -1 1 347.423 -0.344 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cccn4nccc34)C2)nc1=O ZINC001031814240 730773350 /nfs/dbraw/zinc/77/33/50/730773350.db2.gz UFBZFTOXXIRFDJ-UHFFFAOYSA-N -1 1 341.375 -0.382 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@]34CCC[C@H]3OCC4)C2)nc1=O ZINC001031840770 730778771 /nfs/dbraw/zinc/77/87/71/730778771.db2.gz PLSXQFQLAMEESZ-WBMJQRKESA-N -1 1 335.408 -0.384 20 0 EBADMM CCCc1cc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC001031889440 730785678 /nfs/dbraw/zinc/78/56/78/730785678.db2.gz BNMRFOHCLJBNBP-UHFFFAOYSA-N -1 1 333.396 -0.354 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@H]3CCCc4nc[nH]c43)C2)nc1=O ZINC001031968742 730797337 /nfs/dbraw/zinc/79/73/37/730797337.db2.gz HUHOUSVSNKMKOJ-NSHDSACASA-N -1 1 345.407 -0.500 20 0 EBADMM CC(C)c1cc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC001031992947 730801438 /nfs/dbraw/zinc/80/14/38/730801438.db2.gz TXKOVEBBZAXWAJ-UHFFFAOYSA-N -1 1 333.396 -0.183 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@@H]3C[C@H]3c3cccnc3)C2)nc1=O ZINC001031995733 730801953 /nfs/dbraw/zinc/80/19/53/730801953.db2.gz DSJPKPZTKWJKJO-UONOGXRCSA-N -1 1 342.403 -0.145 20 0 EBADMM O=C(NCC1CN(CCn2cncn2)C1)c1ccc2oc(=O)nc-2[n-]1 ZINC001032125189 730815286 /nfs/dbraw/zinc/81/52/86/730815286.db2.gz IVHKAYXHQYJIEO-UHFFFAOYSA-N -1 1 343.347 -0.118 20 0 EBADMM O=C(NCC1CN(Cc2cncs2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001032127957 730816434 /nfs/dbraw/zinc/81/64/34/730816434.db2.gz LBHRLHXBQSAWHZ-UHFFFAOYSA-N -1 1 345.388 -0.264 20 0 EBADMM CCc1ocnc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032326293 730841455 /nfs/dbraw/zinc/84/14/55/730841455.db2.gz WCLUKPZIDFJCFM-UWVGGRQHSA-N -1 1 332.364 -0.242 20 0 EBADMM Cc1cccnc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032343574 730843109 /nfs/dbraw/zinc/84/31/09/730843109.db2.gz SOOHMQLXYPIOCX-RYUDHWBXSA-N -1 1 328.376 -0.089 20 0 EBADMM C[C@@H](C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1)c1ccnn1C ZINC001032409722 730854632 /nfs/dbraw/zinc/85/46/32/730854632.db2.gz XRIUREVOSOIPJX-WOPDTQHZSA-N -1 1 345.407 -0.569 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)Cc2ncccc2F)nc1=O ZINC001032588615 730894771 /nfs/dbraw/zinc/89/47/71/730894771.db2.gz KQCMSQGZYTXRMY-QWRGUYRKSA-N -1 1 346.366 -0.330 20 0 EBADMM COC[C@H](C)N1C[C@@H]2C[C@H]1CN2C(=O)c1c[n-]n2c1nccc2=O ZINC001032670563 730907751 /nfs/dbraw/zinc/90/77/51/730907751.db2.gz FLJBHDJGGRXWLH-SRVKXCTJSA-N -1 1 331.376 -0.044 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)C2(C)CCOCC2)nc1=O ZINC001032685071 730910725 /nfs/dbraw/zinc/91/07/25/730910725.db2.gz ADNFWPARFHEMMO-RYUDHWBXSA-N -1 1 335.408 -0.290 20 0 EBADMM CC(C)n1cc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)nn1 ZINC001032707136 730915801 /nfs/dbraw/zinc/91/58/01/730915801.db2.gz YPNXMKJWBHXRBE-QWRGUYRKSA-N -1 1 346.395 -0.620 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)CCc2cnccn2)nc1=O ZINC001032716755 730917436 /nfs/dbraw/zinc/91/74/36/730917436.db2.gz ZEWUOPPGMOPKMW-STQMWFEESA-N -1 1 343.391 -0.684 20 0 EBADMM O=C([C@H]1CCc2[nH]nnc2C1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[n-]1 ZINC001032730807 730920795 /nfs/dbraw/zinc/92/07/95/730920795.db2.gz QNKLUPRHBKBOLU-GUBZILKMSA-N -1 1 344.379 -0.781 20 0 EBADMM O=C([C@H]1CCc2nn[nH]c2C1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[n-]1 ZINC001032730807 730920797 /nfs/dbraw/zinc/92/07/97/730920797.db2.gz QNKLUPRHBKBOLU-GUBZILKMSA-N -1 1 344.379 -0.781 20 0 EBADMM C[C@@H](C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1)c1cnn(C)c1 ZINC001032823941 730938991 /nfs/dbraw/zinc/93/89/91/730938991.db2.gz HMLVDGTZYHZSSJ-WXHSDQCUSA-N -1 1 345.407 -0.569 20 0 EBADMM Cc1nnsc1C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032900474 730947761 /nfs/dbraw/zinc/94/77/61/730947761.db2.gz SUDGGISXIZMAPX-SECBINFHSA-N -1 1 337.409 -0.385 20 0 EBADMM CN(C(=O)Cc1cccnc1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033004209 730955734 /nfs/dbraw/zinc/95/57/34/730955734.db2.gz GRXBRGXSJHOUOH-CYBMUJFWSA-N -1 1 330.392 -0.221 20 0 EBADMM CN(C(=O)C[C@@H]1COC(=O)C1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033012964 730956986 /nfs/dbraw/zinc/95/69/86/730956986.db2.gz ZUKJLQUJNBZXRI-WDEREUQCSA-N -1 1 337.380 -0.906 20 0 EBADMM CN(C(=O)CC1OCCCO1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033038305 730961000 /nfs/dbraw/zinc/96/10/00/730961000.db2.gz IUQMNWYRALRRSL-LLVKDONJSA-N -1 1 339.396 -0.706 20 0 EBADMM Cc1ccnc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001033045942 730962450 /nfs/dbraw/zinc/96/24/50/730962450.db2.gz HHXQGOQAPDYZTR-NSHDSACASA-N -1 1 331.380 -0.447 20 0 EBADMM CCn1ncc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001033061940 730964796 /nfs/dbraw/zinc/96/47/96/730964796.db2.gz VZCGJXCEUDSRII-JTQLQIEISA-N -1 1 334.384 -0.934 20 0 EBADMM Cc1nn(C)cc1CC(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033148374 730977550 /nfs/dbraw/zinc/97/75/50/730977550.db2.gz JSCSFRZSBKUHCK-CYBMUJFWSA-N -1 1 347.423 -0.574 20 0 EBADMM CN(C(=O)Cc1cncs1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033168329 730981763 /nfs/dbraw/zinc/98/17/63/730981763.db2.gz PXRZORWELSENFC-SNVBAGLBSA-N -1 1 336.421 -0.160 20 0 EBADMM CCOc1cc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC001033184925 730983762 /nfs/dbraw/zinc/98/37/62/730983762.db2.gz DLVYKBZVOKMKMG-SNVBAGLBSA-N -1 1 349.395 -0.423 20 0 EBADMM CN(C(=O)c1ccn(C)c(=O)c1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033206463 730986843 /nfs/dbraw/zinc/98/68/43/730986843.db2.gz FEEWLVPNJZGWOM-LBPRGKRZSA-N -1 1 346.391 -0.846 20 0 EBADMM Cc1nnccc1C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033231448 730992279 /nfs/dbraw/zinc/99/22/79/730992279.db2.gz CHZHYGKNVVBKMQ-LLVKDONJSA-N -1 1 331.380 -0.447 20 0 EBADMM Cc1cc(=O)c(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c[nH]1 ZINC001033554404 731038472 /nfs/dbraw/zinc/03/84/72/731038472.db2.gz MCTWJYNGFVUKBM-LLVKDONJSA-N -1 1 346.391 -0.548 20 0 EBADMM CN(C(=O)c1cnn2cc[nH]c12)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033615108 731047127 /nfs/dbraw/zinc/04/71/27/731047127.db2.gz VZRZFSKILNLONT-SNVBAGLBSA-N -1 1 344.379 -0.569 20 0 EBADMM CCN(C(=O)c1cc[nH]c(=O)c1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033801946 731074776 /nfs/dbraw/zinc/07/47/76/731074776.db2.gz FFYCUKVFTLJFES-LBPRGKRZSA-N -1 1 346.391 -0.054 20 0 EBADMM CCN(C(=O)c1cnnn1CC)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033821491 731076862 /nfs/dbraw/zinc/07/68/62/731076862.db2.gz AGLMRCNEAZELMC-LLVKDONJSA-N -1 1 348.411 -0.544 20 0 EBADMM CCN(C(=O)c1cnc[nH]c1=O)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033871611 731082251 /nfs/dbraw/zinc/08/22/51/731082251.db2.gz NOUFVZAGWFXKGG-SNVBAGLBSA-N -1 1 347.379 -0.659 20 0 EBADMM CCN(C(=O)c1n[nH]cc1F)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033948952 731092906 /nfs/dbraw/zinc/09/29/06/731092906.db2.gz CYIWCUKCHGDUAC-VIFPVBQESA-N -1 1 337.359 -0.293 20 0 EBADMM CCN(C(=O)c1ccncn1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033988269 731096226 /nfs/dbraw/zinc/09/62/26/731096226.db2.gz JXABOSCGKXZIRZ-NSHDSACASA-N -1 1 331.380 -0.365 20 0 EBADMM Cc1ncncc1C(=O)N[C@@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034113214 731104048 /nfs/dbraw/zinc/10/40/48/731104048.db2.gz GQWSONQAWJERKF-GFCCVEGCSA-N -1 1 345.407 -0.009 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H](NC(=O)Cc3cc[nH]n3)C2)nc1=O ZINC001034141453 731104993 /nfs/dbraw/zinc/10/49/93/731104993.db2.gz GPNPVPRSJQEPMY-GFCCVEGCSA-N -1 1 333.396 -0.455 20 0 EBADMM Cc1ncn(C)c1C(=O)N[C@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034255385 731115438 /nfs/dbraw/zinc/11/54/38/731115438.db2.gz DLCIVOBRLSAOFE-LBPRGKRZSA-N -1 1 347.423 -0.065 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H](NC(=O)c3c[nH]c(=O)n3C)C2)nc1=O ZINC001034344068 731123137 /nfs/dbraw/zinc/12/31/37/731123137.db2.gz AXDHZNRGBPKKQE-JTQLQIEISA-N -1 1 349.395 -0.668 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H](NC(=O)[C@@]3(F)CCOC3)C2)nc1=O ZINC001034365008 731125767 /nfs/dbraw/zinc/12/57/67/731125767.db2.gz YLEYHGLEMOEQRX-XHDPSFHLSA-N -1 1 341.387 -0.292 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H](NC(=O)c3n[nH]cc3F)C2)nc1=O ZINC001034382022 731127887 /nfs/dbraw/zinc/12/78/87/731127887.db2.gz PJLANZUQTKIKTI-VIFPVBQESA-N -1 1 337.359 -0.245 20 0 EBADMM CN1CC(C(=O)N[C@@H]2CCCCN(Cc3n[nH]c(=O)[n-]3)C2)=NC1=O ZINC001034383607 731128039 /nfs/dbraw/zinc/12/80/39/731128039.db2.gz YTICYQLQEOSMOY-SECBINFHSA-N -1 1 335.368 -0.266 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H](NC(=O)Cn3ccnc3)C2)nc1=O ZINC001034547524 731140551 /nfs/dbraw/zinc/14/05/51/731140551.db2.gz SYCGMMDSYLIHJX-LBPRGKRZSA-N -1 1 333.396 -0.524 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CC[C@H](NCc3nnnn3C)CC2)c1[O-] ZINC001034625620 731146418 /nfs/dbraw/zinc/14/64/18/731146418.db2.gz BAABFVJZHFDZLX-MGCOHNPYSA-N -1 1 334.384 -0.222 20 0 EBADMM Cc1nnc(CN[C@H]2CC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)CC2)[nH]1 ZINC001034684002 731150726 /nfs/dbraw/zinc/15/07/26/731150726.db2.gz QBPGGQHSIXIFBI-KYZUINATSA-N -1 1 348.367 -0.460 20 0 EBADMM O=C(c1cccc2ncnn21)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034984836 731172819 /nfs/dbraw/zinc/17/28/19/731172819.db2.gz AIQHOFJNDCILGI-SNVBAGLBSA-N -1 1 342.363 -0.053 20 0 EBADMM COc1cnc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)nc1 ZINC001034990134 731173990 /nfs/dbraw/zinc/17/39/90/731173990.db2.gz ZUSVLPWBCWNFFT-SECBINFHSA-N -1 1 333.352 -0.297 20 0 EBADMM NC(=O)c1coc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)c1 ZINC001035045787 731180009 /nfs/dbraw/zinc/18/00/09/731180009.db2.gz QTMCIKSRJWTEAX-SECBINFHSA-N -1 1 334.336 -0.403 20 0 EBADMM COc1cncc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)n1 ZINC001035066917 731182389 /nfs/dbraw/zinc/18/23/89/731182389.db2.gz INJJNAUSXIMTIR-SECBINFHSA-N -1 1 333.352 -0.297 20 0 EBADMM CC(=O)N1CC[C@@H](C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)C1 ZINC001035114537 731187552 /nfs/dbraw/zinc/18/75/52/731187552.db2.gz XJWMQDMEPRSENM-VXGBXAGGSA-N -1 1 336.396 -0.541 20 0 EBADMM CCn1nc(C)c(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)n1 ZINC001035140014 731192036 /nfs/dbraw/zinc/19/20/36/731192036.db2.gz ICPPTKPLPRPMIE-SNVBAGLBSA-N -1 1 334.384 -0.175 20 0 EBADMM O=C(Cn1cnnn1)N1CCC2(CCN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035156568 731192804 /nfs/dbraw/zinc/19/28/04/731192804.db2.gz FESSPSUWYHRKKX-UHFFFAOYSA-N -1 1 347.383 -0.989 20 0 EBADMM C[C@@H](c1nnnn1C)N1CCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001035344010 731222150 /nfs/dbraw/zinc/22/21/50/731222150.db2.gz NXYRHWAMVPCVIZ-QWRGUYRKSA-N -1 1 347.379 -0.498 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC[C@@H]3[C@H](CC[N@H+]3Cc3n[nH]c(=O)[n-]3)C2)c1[O-] ZINC001036691767 731264611 /nfs/dbraw/zinc/26/46/11/731264611.db2.gz VCSRKAHNGSUFNS-NXEZZACHSA-N -1 1 347.379 -0.016 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCN2C[C@H](O)Cn2cccn2)c1[O-] ZINC001038162438 731289228 /nfs/dbraw/zinc/28/92/28/731289228.db2.gz VOVUUTSXYVZLMW-RYUDHWBXSA-N -1 1 334.380 -0.515 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@H]2CCCc3n[nH]cc32)nc1=O ZINC001038247944 731298853 /nfs/dbraw/zinc/29/88/53/731298853.db2.gz XECNNTLGMOAZKG-MNOVXSKESA-N -1 1 345.407 -0.358 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@@H]2C[C@H]2c2ccncc2)nc1=O ZINC001038272286 731301005 /nfs/dbraw/zinc/30/10/05/731301005.db2.gz HFVSUMNNWBXNJL-HZSPNIEDSA-N -1 1 342.403 -0.002 20 0 EBADMM Cn1ncc(C2CC2)c1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038337083 731309008 /nfs/dbraw/zinc/30/90/08/731309008.db2.gz RUCWXUCXUQMJKX-LLVKDONJSA-N -1 1 345.407 -0.276 20 0 EBADMM COCc1cncc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)c1 ZINC001038354994 731311683 /nfs/dbraw/zinc/31/16/83/731311683.db2.gz QWMQHPAEPVYJFX-CYBMUJFWSA-N -1 1 346.391 -0.346 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@H]2CCCn3nccc32)nc1=O ZINC001038429632 731324151 /nfs/dbraw/zinc/32/41/51/731324151.db2.gz AXWPMVBYQWIQRJ-NEPJUHHUSA-N -1 1 345.407 -0.427 20 0 EBADMM CC(C)c1n[nH]cc1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038450935 731327825 /nfs/dbraw/zinc/32/78/25/731327825.db2.gz VKCQSSDXILUPMB-SNVBAGLBSA-N -1 1 333.396 -0.041 20 0 EBADMM Cc1nc(C)c(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)nc1C ZINC001038491843 731335241 /nfs/dbraw/zinc/33/52/41/731335241.db2.gz JWCJGFGPHDVYFX-LBPRGKRZSA-N -1 1 345.407 -0.172 20 0 EBADMM CCc1cc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)cc(=O)[nH]1 ZINC001038519829 731338868 /nfs/dbraw/zinc/33/88/68/731338868.db2.gz ZESQLOIPZHURJD-LBPRGKRZSA-N -1 1 346.391 -0.224 20 0 EBADMM CCOc1cc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)on1 ZINC001038525605 731339287 /nfs/dbraw/zinc/33/92/87/731339287.db2.gz SXRHZMBOJMJBIX-VIFPVBQESA-N -1 1 336.352 -0.501 20 0 EBADMM CCc1cc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)c(C)nn1 ZINC001038555705 731343268 /nfs/dbraw/zinc/34/32/68/731343268.db2.gz NMRBTRPYHIRDCC-GFCCVEGCSA-N -1 1 345.407 -0.226 20 0 EBADMM Cc1n[nH]c(=O)c(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)c1C ZINC001038586774 731348529 /nfs/dbraw/zinc/34/85/29/731348529.db2.gz WMQPVZKHQKHMMZ-SNVBAGLBSA-N -1 1 347.379 -0.775 20 0 EBADMM CC(C)c1cc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)[nH]n1 ZINC001038716719 731369199 /nfs/dbraw/zinc/36/91/99/731369199.db2.gz HXOZGNUKELAKLV-JTQLQIEISA-N -1 1 333.396 -0.041 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2ccnc(C3CC3)n2)nc1=O ZINC001038761634 731373222 /nfs/dbraw/zinc/37/32/22/731373222.db2.gz UYJHMPHHDQKBEX-LLVKDONJSA-N -1 1 343.391 -0.220 20 0 EBADMM Cn1nnc(CN2CC[C@H]2CNC(=O)c2cnc(C3CC3)[n-]c2=O)n1 ZINC001038781429 731375975 /nfs/dbraw/zinc/37/59/75/731375975.db2.gz SMQQLNZPHHECSD-JTQLQIEISA-N -1 1 344.379 -0.413 20 0 EBADMM CCc1nc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)c(C)o1 ZINC001038805003 731378924 /nfs/dbraw/zinc/37/89/24/731378924.db2.gz VKDOANGFXOBPKV-SNVBAGLBSA-N -1 1 334.380 -0.028 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cc3ncccn3n2)nc1=O ZINC001038831001 731383080 /nfs/dbraw/zinc/38/30/80/731383080.db2.gz DJTRIFJSTKOFPY-SNVBAGLBSA-N -1 1 342.363 -0.845 20 0 EBADMM Cn1cc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)c(Cl)n1 ZINC001038902579 731389812 /nfs/dbraw/zinc/38/98/12/731389812.db2.gz PKGNLAOBEPBPTC-MRVPVSSYSA-N -1 1 339.787 -0.500 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCN1Cc1ccn(C)n1 ZINC001038917000 731391787 /nfs/dbraw/zinc/39/17/87/731391787.db2.gz LHWJAOBDDZYQMF-SNVBAGLBSA-N -1 1 348.363 -0.366 20 0 EBADMM Cc1conc1CN1CC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001038920335 731392906 /nfs/dbraw/zinc/39/29/06/731392906.db2.gz HVHOPVARJNAPBO-JTQLQIEISA-N -1 1 343.347 -0.282 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2c[nH]c3ncccc23)nc1=O ZINC001039025132 731406203 /nfs/dbraw/zinc/40/62/03/731406203.db2.gz IFEVBWGWKPSHEB-SNVBAGLBSA-N -1 1 341.375 -0.011 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2noc3c2COCC3)nc1=O ZINC001039035561 731408390 /nfs/dbraw/zinc/40/83/90/731408390.db2.gz OQRINXGFJPYHOT-VIFPVBQESA-N -1 1 348.363 -0.827 20 0 EBADMM Cn1nncc1C(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001039565068 731444841 /nfs/dbraw/zinc/44/48/41/731444841.db2.gz MKXPVZPQQQYRMN-PHIMTYICSA-N -1 1 346.395 -0.884 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1C[C@H]2CCC[C@@H](C1)N2CCF ZINC001039944662 731564636 /nfs/dbraw/zinc/56/46/36/731564636.db2.gz UJRWZGGEAJFCTK-BETUJISGSA-N -1 1 338.383 -0.039 20 0 EBADMM Cc1nn[nH]c1C(=O)NC[C@@]1(O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001040466485 731746371 /nfs/dbraw/zinc/74/63/71/731746371.db2.gz HZFILEUUGCWTGQ-HNNXBMFYSA-N -1 1 346.347 -0.779 20 0 EBADMM O=C(NC[C@]1(O)CCN(C(=O)c2ncccc2[O-])C1)c1ncccn1 ZINC001040673211 731821035 /nfs/dbraw/zinc/82/10/35/731821035.db2.gz LHJAQYLFCFLIMQ-MRXNPFEDSA-N -1 1 343.343 -0.416 20 0 EBADMM O=C(Cc1cc[nH]n1)NC[C@@H]1COCCN1C(=O)c1ncccc1[O-] ZINC001061457909 738554498 /nfs/dbraw/zinc/55/44/98/738554498.db2.gz MULPMEZPLPWSTM-GFCCVEGCSA-N -1 1 345.359 -0.290 20 0 EBADMM CCOc1cc(N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)ncn1 ZINC001040996278 731955399 /nfs/dbraw/zinc/95/53/99/731955399.db2.gz YAIXPOANBFUSSC-ZYHUDNBSSA-N -1 1 345.359 -0.045 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(CCN(C(=O)c4cn[nH]c4)C3)C2)nc1=O ZINC001041105525 731991811 /nfs/dbraw/zinc/99/18/11/731991811.db2.gz SPKKFMDUFKVXAS-OAHLLOKOSA-N -1 1 331.380 -0.430 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(CCN(C(=O)[C@@H]4CCCOC4)C3)C2)nc1=O ZINC001041135978 732002607 /nfs/dbraw/zinc/00/26/07/732002607.db2.gz ZWTNCZMVJSRKKV-DYVFJYSZSA-N -1 1 349.435 -0.041 20 0 EBADMM CC(C)[C@@H](O)C(=O)N1CC[C@]2(CC[N@@H+](Cc3nc(=O)n(C)[nH]3)C2)C1 ZINC001041253061 732051661 /nfs/dbraw/zinc/05/16/61/732051661.db2.gz VBBZNQHCYCFCKJ-CJNGLKHVSA-N -1 1 337.424 -0.450 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)c1cnns1 ZINC001041695320 732199175 /nfs/dbraw/zinc/19/91/75/732199175.db2.gz XDVTUTURJKMZQC-UHFFFAOYSA-N -1 1 335.345 -0.744 20 0 EBADMM C[C@@H]1CN(c2nccn3nnnc23)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001041897357 732250009 /nfs/dbraw/zinc/25/00/09/732250009.db2.gz WWXAPMAXQOOHRY-NXEZZACHSA-N -1 1 340.347 -0.125 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)c4cncnc4)C[C@@H]32)nc1=O ZINC001042023758 732279921 /nfs/dbraw/zinc/27/99/21/732279921.db2.gz SNNHCCPWELHWJS-YPMHNXCESA-N -1 1 343.391 -0.365 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)c4ncc[nH]4)C[C@H]32)nc1=O ZINC001042051685 732287966 /nfs/dbraw/zinc/28/79/66/732287966.db2.gz PGZMXWADYHOOIQ-WDEREUQCSA-N -1 1 331.380 -0.432 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CC[C@H]2CCN(Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001042179045 732345774 /nfs/dbraw/zinc/34/57/74/732345774.db2.gz IPRJZIDIMLNPPG-ZJUUUORDSA-N -1 1 332.368 -0.327 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)c4ccnnc4)C[C@H]32)nc1=O ZINC001042245629 732374585 /nfs/dbraw/zinc/37/45/85/732374585.db2.gz REOIYAHCYULXQF-WCQYABFASA-N -1 1 343.391 -0.365 20 0 EBADMM Cc1cc(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)[nH]n1 ZINC001042712609 732545897 /nfs/dbraw/zinc/54/58/97/732545897.db2.gz DVTUVWTXQSCUKA-UHFFFAOYSA-N -1 1 331.332 -0.564 20 0 EBADMM Cc1cc(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)n[nH]1 ZINC001042712609 732545898 /nfs/dbraw/zinc/54/58/98/732545898.db2.gz DVTUVWTXQSCUKA-UHFFFAOYSA-N -1 1 331.332 -0.564 20 0 EBADMM Cc1cc(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)nn1C ZINC001042712783 732545930 /nfs/dbraw/zinc/54/59/30/732545930.db2.gz IHIVFJFEMFAUJF-UHFFFAOYSA-N -1 1 345.359 -0.554 20 0 EBADMM Cn1cc(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)cn1 ZINC001042712748 732545994 /nfs/dbraw/zinc/54/59/94/732545994.db2.gz HHDRWRJSWLGXFB-UHFFFAOYSA-N -1 1 331.332 -0.862 20 0 EBADMM O=C(Cc1cccnc1)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042713050 732546819 /nfs/dbraw/zinc/54/68/19/732546819.db2.gz MMXPQVYROYABJE-UHFFFAOYSA-N -1 1 342.355 -0.272 20 0 EBADMM O=C(Cc1ccon1)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042713264 732547359 /nfs/dbraw/zinc/54/73/59/732547359.db2.gz QROFHQLUHIGFJG-UHFFFAOYSA-N -1 1 332.316 -0.679 20 0 EBADMM O=C(C[C@@H]1CCCO1)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042713278 732547392 /nfs/dbraw/zinc/54/73/92/732547392.db2.gz RBYRFJHWVQJZME-NSHDSACASA-N -1 1 335.360 -0.341 20 0 EBADMM O=C(NCC1(O)CN(C(=O)[C@@H]2CC2(F)F)C1)c1ncccc1[O-] ZINC001042715986 732547701 /nfs/dbraw/zinc/54/77/01/732547701.db2.gz FJIKSWXQTCCNOM-QMMMGPOBSA-N -1 1 327.287 -0.255 20 0 EBADMM C[C@H]1OCCC[C@@H]1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042713483 732547969 /nfs/dbraw/zinc/54/79/69/732547969.db2.gz ZYKVPFJKEMIIJD-NEPJUHHUSA-N -1 1 349.387 -0.095 20 0 EBADMM Cc1ccc(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)nn1 ZINC001042716703 732548686 /nfs/dbraw/zinc/54/86/86/732548686.db2.gz YKCZTWJVXFQAQL-UHFFFAOYSA-N -1 1 343.343 -0.497 20 0 EBADMM CCc1nc[nH]c1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042716631 732548719 /nfs/dbraw/zinc/54/87/19/732548719.db2.gz VOWSDTDPTUADJL-UHFFFAOYSA-N -1 1 345.359 -0.310 20 0 EBADMM CO[C@@H]1CCC[C@@H]1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042719967 732550633 /nfs/dbraw/zinc/55/06/33/732550633.db2.gz OTJRMRHDVQHWIE-WCQYABFASA-N -1 1 349.387 -0.095 20 0 EBADMM C[C@H]1OCCC[C@H]1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001042808929 732596306 /nfs/dbraw/zinc/59/63/06/732596306.db2.gz FVVZMGCBPZZAJT-VXGBXAGGSA-N -1 1 349.387 -0.095 20 0 EBADMM CC[C@H]1OCCC[C@H]1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042884450 732642407 /nfs/dbraw/zinc/64/24/07/732642407.db2.gz KSRFPFPWJGNDGG-CHWSQXEVSA-N -1 1 337.424 -0.044 20 0 EBADMM O=C(NC[C@@H]1CCN(c2ccc3nnnn3n2)C1)c1ncccc1[O-] ZINC001061590072 738632101 /nfs/dbraw/zinc/63/21/01/738632101.db2.gz QHSRHVFVLWYQPH-JTQLQIEISA-N -1 1 340.347 -0.124 20 0 EBADMM CN(C(=O)c1n[nH]c(=O)[n-]c1=O)C1CN(C[C@H]2C[C@]23CCOC3)C1 ZINC001043230851 732836977 /nfs/dbraw/zinc/83/69/77/732836977.db2.gz GFYGRROCMTYEQG-PSLIRLAXSA-N -1 1 335.364 -0.534 20 0 EBADMM CN(C(=O)c1cccc2ncnn21)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043238849 732840043 /nfs/dbraw/zinc/84/00/43/732840043.db2.gz QVMOHAJIVLRADI-UHFFFAOYSA-N -1 1 342.363 -0.893 20 0 EBADMM C[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1Nc1ncccn1 ZINC001043242785 732841263 /nfs/dbraw/zinc/84/12/63/732841263.db2.gz ZRFFZKFTUBJXQI-VXGBXAGGSA-N -1 1 344.375 -0.324 20 0 EBADMM COc1coc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cc1=O ZINC001043346831 732880949 /nfs/dbraw/zinc/88/09/49/732880949.db2.gz GGHGRQPFXPQLFE-UHFFFAOYSA-N -1 1 349.347 -0.973 20 0 EBADMM CN(C(=O)c1cnc([O-])n(C)c1=O)C1CN(C[C@@H]2C[C@@]23CCOC3)C1 ZINC001043509336 732977194 /nfs/dbraw/zinc/97/71/94/732977194.db2.gz CLWBWNXOHVYSEA-APPDUMDISA-N -1 1 348.403 -0.331 20 0 EBADMM CN(C(=O)[C@H]1CCc2cccnc21)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043664850 733049830 /nfs/dbraw/zinc/04/98/30/733049830.db2.gz SFGNOHPIWPJSSP-ZDUSSCGKSA-N -1 1 342.403 -0.124 20 0 EBADMM Cn1cncc1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001043747275 733093776 /nfs/dbraw/zinc/09/37/76/733093776.db2.gz AAOZXZNHKCDKSC-UHFFFAOYSA-N -1 1 331.332 -0.862 20 0 EBADMM CN(C(=O)c1ccc2c(c1)CCO2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043957622 733219590 /nfs/dbraw/zinc/21/95/90/733219590.db2.gz RRPPMRCPMHYZON-UHFFFAOYSA-N -1 1 343.387 0.000 20 0 EBADMM Cc1ncc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c(C)n1 ZINC001044061336 733269105 /nfs/dbraw/zinc/26/91/05/733269105.db2.gz DUWXGZCUHUTEEO-UHFFFAOYSA-N -1 1 331.380 -0.528 20 0 EBADMM CC[C@H]1C[C@@H](C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)CCO1 ZINC001044154674 733305898 /nfs/dbraw/zinc/30/58/98/733305898.db2.gz YNOPHPXBNQSVDM-AAEUAGOBSA-N -1 1 337.424 -0.044 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C[C@H]2CCCCO2)C1 ZINC001044180774 733320744 /nfs/dbraw/zinc/32/07/44/733320744.db2.gz KXJYVVCZUJHBMW-GFCCVEGCSA-N -1 1 346.391 -0.257 20 0 EBADMM CN(C)c1ccncc1C(=O)N(C)C1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001044195235 733324362 /nfs/dbraw/zinc/32/43/62/733324362.db2.gz VGOFUWQTMNFATK-UHFFFAOYSA-N -1 1 331.380 -0.072 20 0 EBADMM Cc1n[nH]c(C)c1CC(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044286812 733356508 /nfs/dbraw/zinc/35/65/08/733356508.db2.gz LQSAUWIYWUUQFE-UHFFFAOYSA-N -1 1 333.396 -0.666 20 0 EBADMM CO[C@H]1CCCC[C@H]1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044356180 733397301 /nfs/dbraw/zinc/39/73/01/733397301.db2.gz OOHPRIDWGSRQNU-OLZOCXBDSA-N -1 1 337.424 -0.044 20 0 EBADMM C[C@@H]1C[C@H](NCc2ncnn2C)CCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001044662863 733474705 /nfs/dbraw/zinc/47/47/05/733474705.db2.gz FMJMCWKJUGMGIW-RKDXNWHRSA-N -1 1 348.367 -0.806 20 0 EBADMM C[C@H]1C[C@@H](NCc2cnn(C)n2)CCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001044663726 733474741 /nfs/dbraw/zinc/47/47/41/733474741.db2.gz NHUOFWARSRBKJX-IUCAKERBSA-N -1 1 348.367 -0.806 20 0 EBADMM Cc1nonc1CN[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)[C@H](C)C1 ZINC001044663777 733474824 /nfs/dbraw/zinc/47/48/24/733474824.db2.gz NTZGPGIRRXIDBD-VXNVDRBHSA-N -1 1 349.351 -0.243 20 0 EBADMM C[C@H]1CC(=O)N[C@@H](C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)C1 ZINC001045041408 733563813 /nfs/dbraw/zinc/56/38/13/733563813.db2.gz ILAYGAOXXPXGNI-OUAUKWLOSA-N -1 1 336.396 -0.494 20 0 EBADMM COc1coc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)cc1=O ZINC001045121540 733590231 /nfs/dbraw/zinc/59/02/31/733590231.db2.gz JGVXYFZMNWGHLG-VIFPVBQESA-N -1 1 349.347 -0.134 20 0 EBADMM CCCn1cc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)nn1 ZINC001045256708 733637911 /nfs/dbraw/zinc/63/79/11/733637911.db2.gz CCUFUZNGZUXKCN-JTQLQIEISA-N -1 1 334.384 -0.094 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N1CCC[C@H]1CNCc1nccs1 ZINC001045289249 733651718 /nfs/dbraw/zinc/65/17/18/733651718.db2.gz ZYYZHCSNDYMUNC-VIFPVBQESA-N -1 1 338.393 -0.073 20 0 EBADMM Cn1cnc(C(=O)NC2(C)CCN(Cc3nc(=O)n(C)[n-]3)CC2)c1 ZINC001045406468 733688523 /nfs/dbraw/zinc/68/85/23/733688523.db2.gz BXYTZHILFWUCPA-UHFFFAOYSA-N -1 1 333.396 -0.374 20 0 EBADMM Cn1cnc(C(=O)NC2(C)CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC001045430845 733694374 /nfs/dbraw/zinc/69/43/74/733694374.db2.gz DFQGRDWYUHZVQQ-UHFFFAOYSA-N -1 1 334.384 -0.979 20 0 EBADMM Cn1[n-]c(CN2CCC(C)(NC(=O)c3ncccn3)CC2)nc1=O ZINC001045592556 733734587 /nfs/dbraw/zinc/73/45/87/733734587.db2.gz XTMFKYBEUAHGGW-UHFFFAOYSA-N -1 1 331.380 -0.317 20 0 EBADMM Cn1nncc1C(=O)N1CC[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001045767792 733771224 /nfs/dbraw/zinc/77/12/24/733771224.db2.gz IGOJMVLHUMYGMI-MNOVXSKESA-N -1 1 346.395 -0.884 20 0 EBADMM Cc1nn(C)cc1C(=O)N[C@@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001046191963 733874346 /nfs/dbraw/zinc/87/43/46/733874346.db2.gz DZAQJUMBNKZEGW-HNNXBMFYSA-N -1 1 333.396 -0.455 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](C)(NC(=O)c3coc(C(N)=O)c3)C2)nc1=O ZINC001046511767 733951262 /nfs/dbraw/zinc/95/12/62/733951262.db2.gz QLQANSNYIBQPSB-OAHLLOKOSA-N -1 1 348.363 -0.805 20 0 EBADMM Cn1[n-]c(CN2CC[C@](C)(NC(=O)c3csc(=O)[nH]3)C2)nc1=O ZINC001046562779 733966168 /nfs/dbraw/zinc/96/61/68/733966168.db2.gz QHFVKSGNANIZBS-ZDUSSCGKSA-N -1 1 338.393 -0.335 20 0 EBADMM Cc1nc(C)c(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)[nH]1 ZINC001046626398 733985183 /nfs/dbraw/zinc/98/51/83/733985183.db2.gz CRLQSBLKKOYGEN-HNNXBMFYSA-N -1 1 333.396 -0.157 20 0 EBADMM CNC(=O)[C@@H](C)N1CC[C@@](C)(NC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001046684847 734003359 /nfs/dbraw/zinc/00/33/59/734003359.db2.gz SHPKTZWPAOHMFX-QLJPJBMISA-N -1 1 346.391 -0.649 20 0 EBADMM CC[C@H](C(N)=O)N1CC[C@](C)(NC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001046685422 734004320 /nfs/dbraw/zinc/00/43/20/734004320.db2.gz ZNBUJYWVCUYDGV-BZNIZROVSA-N -1 1 346.391 -0.519 20 0 EBADMM O=C(NC[C@H]1CN(Cc2n[nH]c(=O)[n-]2)CCC1(F)F)c1ncn[nH]1 ZINC001046983541 734077585 /nfs/dbraw/zinc/07/75/85/734077585.db2.gz GLPATMOTMJIVPR-ZETCQYMHSA-N -1 1 342.310 -0.484 20 0 EBADMM O=C(NC[C@H]1CN(Cc2n[nH]c(=O)[n-]2)CCC1(F)F)c1nc[nH]n1 ZINC001046983541 734077587 /nfs/dbraw/zinc/07/75/87/734077587.db2.gz GLPATMOTMJIVPR-ZETCQYMHSA-N -1 1 342.310 -0.484 20 0 EBADMM Cn1ncc(C(=O)N[C@H]2C[C@H]3C[C@@H](C2)N(Cc2n[nH]c(=O)[n-]2)C3)c1N ZINC001047056858 734087195 /nfs/dbraw/zinc/08/71/95/734087195.db2.gz CFXDEDVDLRIYOQ-GUBZILKMSA-N -1 1 346.395 -0.391 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)c1ccnnc1 ZINC001047122058 734096218 /nfs/dbraw/zinc/09/62/18/734096218.db2.gz SSWGJGLXWOYCQT-UHFFFAOYSA-N -1 1 329.316 -0.806 20 0 EBADMM Cc1c[nH]cc1C(=O)N(C)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047628801 734290736 /nfs/dbraw/zinc/29/07/36/734290736.db2.gz PCFFTFMKEMQQNJ-RYUDHWBXSA-N -1 1 334.380 -0.938 20 0 EBADMM Cc1nonc1CNC[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@H]1C ZINC001048302598 734385919 /nfs/dbraw/zinc/38/59/19/734385919.db2.gz DBTMBQSSELOCQZ-CBAPKCEASA-N -1 1 349.351 -0.528 20 0 EBADMM O=C(c1cc[nH]c(=O)c1)N1C[C@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C1 ZINC001048757227 734494917 /nfs/dbraw/zinc/49/49/17/734494917.db2.gz YXZRBNYCAYCJOZ-PHIMTYICSA-N -1 1 330.348 -0.185 20 0 EBADMM CN(C(=O)CC(C)(C)O)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001048769253 734500214 /nfs/dbraw/zinc/50/02/14/734500214.db2.gz GBACNODMERCVIK-JQWIXIFHSA-N -1 1 337.376 -0.408 20 0 EBADMM O=C(Cc1c[nH]cn1)NC[C@@H]1COCCN1C(=O)c1ncccc1[O-] ZINC001061931071 738833853 /nfs/dbraw/zinc/83/38/53/738833853.db2.gz CQPVGBPHVUASJR-GFCCVEGCSA-N -1 1 345.359 -0.290 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@H]2CCCN3C(=O)Cn2cccn2)nc1=O ZINC001049323691 734709868 /nfs/dbraw/zinc/70/98/68/734709868.db2.gz WDXPJNQKOBFEJS-OLZOCXBDSA-N -1 1 345.407 -0.430 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@@H]2CCCN3C(=O)c2cnco2)nc1=O ZINC001049620221 734767329 /nfs/dbraw/zinc/76/73/29/734767329.db2.gz IZKPDXUNOZDDMD-QWRGUYRKSA-N -1 1 332.364 -0.025 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@H]2[C@@H]3COC[C@@H]32)nc1=O ZINC001049654039 734773404 /nfs/dbraw/zinc/77/34/04/734773404.db2.gz FURLTZVPABEYAF-GGAZOKNXSA-N -1 1 347.419 -0.434 20 0 EBADMM O=C(CCc1c[nH]nn1)N1CCC[C@H]2[C@H]1CCN2Cc1n[nH]c(=O)[n-]1 ZINC001049741939 734784845 /nfs/dbraw/zinc/78/48/45/734784845.db2.gz RMQQJINCKSMBHM-NWDGAFQWSA-N -1 1 346.395 -0.174 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@@H]2CCCN3C(=O)[C@H]2CCCO2)nc1=O ZINC001049915443 734806944 /nfs/dbraw/zinc/80/69/44/734806944.db2.gz VWWZRKIDGQLECQ-RWMBFGLXSA-N -1 1 335.408 -0.147 20 0 EBADMM CO[C@@H](C(=O)N1CCC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)C1CC1 ZINC001050037468 734828724 /nfs/dbraw/zinc/82/87/24/734828724.db2.gz BMVZDBJDEHBSCG-GZBFAFLISA-N -1 1 349.435 -0.044 20 0 EBADMM Cc1nonc1C(=O)N1CCC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001050214034 734869845 /nfs/dbraw/zinc/86/98/45/734869845.db2.gz YVIUEBBQOPOCBY-WDEREUQCSA-N -1 1 347.379 -0.464 20 0 EBADMM C[C@@H](NC(=O)C(N)=O)[C@@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001050754763 734954462 /nfs/dbraw/zinc/95/44/62/734954462.db2.gz AKVIODHCKMSQTG-PSASIEDQSA-N -1 1 347.375 -0.488 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2COCCN2Cc2nc(=O)n(C)[n-]2)on1 ZINC001050816380 734966152 /nfs/dbraw/zinc/96/61/52/734966152.db2.gz ZIPAELLFJLNRDO-JTQLQIEISA-N -1 1 336.352 -0.964 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2COCCN2Cc2nc(=O)n(C)[n-]2)on1 ZINC001050816382 734966220 /nfs/dbraw/zinc/96/62/20/734966220.db2.gz ZIPAELLFJLNRDO-SNVBAGLBSA-N -1 1 336.352 -0.964 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)c2ccccc2O)nc1=O ZINC001050820574 734966531 /nfs/dbraw/zinc/96/65/31/734966531.db2.gz DDOXNXHIKBILOL-LLVKDONJSA-N -1 1 347.375 -0.555 20 0 EBADMM CCc1cc(C(=O)NC[C@H]2COCCN2Cc2nc(=O)n(C)[n-]2)[nH]n1 ZINC001050826058 734967638 /nfs/dbraw/zinc/96/76/38/734967638.db2.gz YKIKKWIZCQSLLO-NSHDSACASA-N -1 1 349.395 -0.975 20 0 EBADMM Cc1nnc(CN2CCOC[C@@H]2CNC(=O)c2ncccc2[O-])[nH]1 ZINC001050892257 734991023 /nfs/dbraw/zinc/99/10/23/734991023.db2.gz UIYFJNYROUPCMB-NSHDSACASA-N -1 1 332.364 -0.155 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)[C@H]2CCC2(C)C)nc1=O ZINC001051070629 735054824 /nfs/dbraw/zinc/05/48/24/735054824.db2.gz UEUPRJZGYRPSTD-NWDGAFQWSA-N -1 1 337.424 -0.138 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)[C@@H]2CCC2(C)C)nc1=O ZINC001051070627 735055008 /nfs/dbraw/zinc/05/50/08/735055008.db2.gz UEUPRJZGYRPSTD-NEPJUHHUSA-N -1 1 337.424 -0.138 20 0 EBADMM CCC(=O)N[C@H](C)[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001051195740 735096335 /nfs/dbraw/zinc/09/63/35/735096335.db2.gz HGQBRRDWFUULLR-NEPJUHHUSA-N -1 1 336.392 -0.310 20 0 EBADMM CC(C)CCN1CCOC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001051210087 735100049 /nfs/dbraw/zinc/10/00/49/735100049.db2.gz RUNIZZSHSUXEEQ-GFCCVEGCSA-N -1 1 348.407 -0.106 20 0 EBADMM Cc1c(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)cnn1C ZINC001051440635 735153307 /nfs/dbraw/zinc/15/33/07/735153307.db2.gz ZBPUFDZDCVBYRN-JTQLQIEISA-N -1 1 335.368 -0.817 20 0 EBADMM Cc1[nH]nc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)c1C ZINC001051502283 735201488 /nfs/dbraw/zinc/20/14/88/735201488.db2.gz PMTJRQLCHPOSTH-JTQLQIEISA-N -1 1 335.368 -0.519 20 0 EBADMM O=C(C[C@H]1CCOC1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051507506 735215056 /nfs/dbraw/zinc/21/50/56/735215056.db2.gz RJQBSUACPOLKML-GHMZBOCLSA-N -1 1 325.369 -0.746 20 0 EBADMM O=C(Cc1cccnc1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051550312 735228053 /nfs/dbraw/zinc/22/80/53/735228053.db2.gz OBKDQNKZLGOCSY-LBPRGKRZSA-N -1 1 332.364 -0.535 20 0 EBADMM CCc1nc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)co1 ZINC001051559129 735232221 /nfs/dbraw/zinc/23/22/21/735232221.db2.gz DXQDCLQTORLMSY-VIFPVBQESA-N -1 1 336.352 -0.309 20 0 EBADMM O=C(c1ccc(F)cn1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051565575 735236852 /nfs/dbraw/zinc/23/68/52/735236852.db2.gz WLPYUWZZBAWVRH-JTQLQIEISA-N -1 1 336.327 -0.325 20 0 EBADMM Cc1ccn(C)c1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051574235 735241734 /nfs/dbraw/zinc/24/17/34/735241734.db2.gz ZKTUZDPATZXCIT-NSHDSACASA-N -1 1 334.380 -0.212 20 0 EBADMM O=C([C@H]1CCCOCC1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051578766 735245940 /nfs/dbraw/zinc/24/59/40/735245940.db2.gz OXTFKTRLNNTXDS-NWDGAFQWSA-N -1 1 339.396 -0.356 20 0 EBADMM CCOC1CC(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001051593511 735253212 /nfs/dbraw/zinc/25/32/12/735253212.db2.gz YHMPGCBJWPWGRR-HTAVTVPLSA-N -1 1 339.396 -0.358 20 0 EBADMM C[C@@H](C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)c1ccnn1C ZINC001051618584 735269168 /nfs/dbraw/zinc/26/91/68/735269168.db2.gz FEUKLTPHDXLMRZ-MNOVXSKESA-N -1 1 349.395 -0.636 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNC(=O)CNC(N)=O ZINC001052420279 735611202 /nfs/dbraw/zinc/61/12/02/735611202.db2.gz CUSQQJKOTRTGLM-ZJUUUORDSA-N -1 1 335.364 -0.720 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)Cn1ncnn1 ZINC001052420677 735611209 /nfs/dbraw/zinc/61/12/09/735611209.db2.gz GVKRPDFTOUSLHO-WDEREUQCSA-N -1 1 345.363 -0.702 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)Cn1cncn1 ZINC001052422806 735611564 /nfs/dbraw/zinc/61/15/64/735611564.db2.gz TUPVXSJAPZZKFI-VXGBXAGGSA-N -1 1 344.375 -0.097 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNC(=O)Cn1cnnn1 ZINC001052423362 735611791 /nfs/dbraw/zinc/61/17/91/735611791.db2.gz WCIHGVDDXVFGNO-QWRGUYRKSA-N -1 1 345.363 -0.702 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@@H]1CNC(=O)C(N)=O ZINC001052619476 735653324 /nfs/dbraw/zinc/65/33/24/735653324.db2.gz SUAJAUPVNYRLOK-SCZZXKLOSA-N -1 1 347.375 -0.631 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)c3ccnnc3)CC2)nc1=O ZINC001052742047 735681409 /nfs/dbraw/zinc/68/14/09/735681409.db2.gz DYLBWQNCUXPCLL-LBPRGKRZSA-N -1 1 331.380 -0.317 20 0 EBADMM Cc1ocnc1C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053179934 735769622 /nfs/dbraw/zinc/76/96/22/735769622.db2.gz MIXHVCLPHVCLPG-UHFFFAOYSA-N -1 1 348.363 -0.868 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)CCC2CC2)CCO3)nc1=O ZINC001053196963 735775735 /nfs/dbraw/zinc/77/57/35/735775735.db2.gz RHLCALDNBCTBMR-UHFFFAOYSA-N -1 1 335.408 -0.288 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)[C@@H]2CC2(C)C)CCO3)nc1=O ZINC001053222537 735781340 /nfs/dbraw/zinc/78/13/40/735781340.db2.gz NYLXHGBOEUZTCO-NSHDSACASA-N -1 1 335.408 -0.432 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CC[C@@H](CNC(=O)c2ccc[nH]2)O3)nc1=O ZINC001053574134 735929349 /nfs/dbraw/zinc/92/93/49/735929349.db2.gz VHLHOGMFONOGIY-NSHDSACASA-N -1 1 346.391 -0.400 20 0 EBADMM CNC(=O)CN1CC2(C1)CC[C@@H](CNC(=O)c1ncccc1[O-])O2 ZINC001053594039 735936485 /nfs/dbraw/zinc/93/64/85/735936485.db2.gz CIZWWSSJTJWBHF-NSHDSACASA-N -1 1 334.376 -0.504 20 0 EBADMM CCN1CC2(C1)CC[C@@H](CNC(=O)c1cc(=O)n3[n-]cnc3n1)O2 ZINC001053686610 735968401 /nfs/dbraw/zinc/96/84/01/735968401.db2.gz VBSHUNWXWGBOOO-JTQLQIEISA-N -1 1 332.364 -0.599 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)C(C)(C)F)CO3)nc1=O ZINC001053719716 735978494 /nfs/dbraw/zinc/97/84/94/735978494.db2.gz GQFQKHZAWLJOTJ-SECBINFHSA-N -1 1 327.360 -0.684 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)[C@@H]2CC2(C)C)CO3)nc1=O ZINC001053779364 736010739 /nfs/dbraw/zinc/01/07/39/736010739.db2.gz QOTIZTGFKWJYQH-QWRGUYRKSA-N -1 1 335.408 -0.386 20 0 EBADMM CN1CC[C@@H](N2CC3(C2)C[C@H](NC(=O)c2ncccc2[O-])CO3)C1=O ZINC001053784358 736014059 /nfs/dbraw/zinc/01/40/59/736014059.db2.gz MFVOZEOMQGBCQG-NWDGAFQWSA-N -1 1 346.387 -0.409 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)C2(C)CC=CC2)CO3)nc1=O ZINC001053916601 736093946 /nfs/dbraw/zinc/09/39/46/736093946.db2.gz NUMCYVWBFXSHON-GFCCVEGCSA-N -1 1 347.419 -0.076 20 0 EBADMM CCC(=O)N[C@@H]1CC[C@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@@H]21 ZINC000979876797 805600285 /nfs/dbraw/zinc/60/02/85/805600285.db2.gz ZSAWWMITOCTNLX-RWMBFGLXSA-N -1 1 348.403 -0.310 20 0 EBADMM Cc1conc1C(=O)N[C@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053976600 736128212 /nfs/dbraw/zinc/12/82/12/736128212.db2.gz WLGAVYRNVDUDLT-SNVBAGLBSA-N -1 1 348.363 -0.822 20 0 EBADMM C[C@@H]1CN(C(=O)c2cn3c(n2)CCC3)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054658151 736227696 /nfs/dbraw/zinc/22/76/96/736227696.db2.gz TTYCKVNRHQFRPF-NXEZZACHSA-N -1 1 331.380 -0.097 20 0 EBADMM C[C@@H]1CN(C(=O)c2cccn3nnnc23)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054715006 736234624 /nfs/dbraw/zinc/23/46/24/736234624.db2.gz KLRDJCFZUYMTPV-PSASIEDQSA-N -1 1 343.351 -0.802 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnn(CCF)c2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054833069 736252631 /nfs/dbraw/zinc/25/26/31/736252631.db2.gz CAHLBXUUYXUWGM-KOLCDFICSA-N -1 1 337.359 -0.073 20 0 EBADMM C[C@H]1CN(C(=O)c2cnn(CCF)c2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054833068 736252720 /nfs/dbraw/zinc/25/27/20/736252720.db2.gz CAHLBXUUYXUWGM-GXSJLCMTSA-N -1 1 337.359 -0.073 20 0 EBADMM Cc1cnc(CN[C@H]2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@H]2C)cn1 ZINC001054858992 736256891 /nfs/dbraw/zinc/25/68/91/736256891.db2.gz FQOXYQMISHWJPH-KCJUWKMLSA-N -1 1 345.363 -0.368 20 0 EBADMM C[C@H]1CN(C(=O)c2cnn3c2OCCC3)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054859422 736257400 /nfs/dbraw/zinc/25/74/00/736257400.db2.gz JTHNVJCLTOWWLV-ONGXEEELSA-N -1 1 347.379 -0.260 20 0 EBADMM CCn1nncc1CN[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1C ZINC001054862829 736258565 /nfs/dbraw/zinc/25/85/65/736258565.db2.gz LUDAKNKCOYHBMK-PSASIEDQSA-N -1 1 348.367 -0.856 20 0 EBADMM COc1cc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H](C)C2)nn1C ZINC001054888831 736263053 /nfs/dbraw/zinc/26/30/53/736263053.db2.gz QSYFFRVMFITGFX-WPRPVWTQSA-N -1 1 335.368 -0.497 20 0 EBADMM COc1nccc(C(=O)N2C[C@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001054894607 736264714 /nfs/dbraw/zinc/26/47/14/736264714.db2.gz WDJYSJHRUQKGGF-WCBMZHEXSA-N -1 1 333.352 -0.441 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnc3nccn3c2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054902156 736266035 /nfs/dbraw/zinc/26/60/35/736266035.db2.gz BFQLWSMEHZHWNH-KOLCDFICSA-N -1 1 342.363 -0.197 20 0 EBADMM NC(=O)NCCC(=O)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC001055298000 736326729 /nfs/dbraw/zinc/32/67/29/736326729.db2.gz WKQBJFWOJWMNNU-PHIMTYICSA-N -1 1 347.375 -0.624 20 0 EBADMM COCC(=O)NC1CCN(CCNC(=O)c2[nH]nc(C)c2[O-])CC1 ZINC001055562287 736382964 /nfs/dbraw/zinc/38/29/64/736382964.db2.gz YFCXMQRSRWWKNH-UHFFFAOYSA-N -1 1 339.396 -0.619 20 0 EBADMM O=C(N[C@]1(CO)CCCN(C(=O)c2ncccc2[O-])C1)c1cn[nH]n1 ZINC001056004353 736592315 /nfs/dbraw/zinc/59/23/15/736592315.db2.gz AQNYCBJKNYXYPS-OAHLLOKOSA-N -1 1 346.347 -0.698 20 0 EBADMM O=C(C[C@@H]1CCCO1)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057069166 736958634 /nfs/dbraw/zinc/95/86/34/736958634.db2.gz HWQQSUZWXACYKO-LBPRGKRZSA-N -1 1 337.376 -0.093 20 0 EBADMM Cc1ocnc1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057128861 737012405 /nfs/dbraw/zinc/01/24/05/737012405.db2.gz JUOLWWCAYXDDES-UHFFFAOYSA-N -1 1 334.332 -0.052 20 0 EBADMM Cc1cc(CC(=O)N(CCO)CCNC(=O)c2ncccc2[O-])[nH]n1 ZINC001057322770 737163487 /nfs/dbraw/zinc/16/34/87/737163487.db2.gz VAWVAIKPYSOXCV-UHFFFAOYSA-N -1 1 347.375 -0.388 20 0 EBADMM C[C@H](C(=O)N(CCO)CCNC(=O)c1ncccc1[O-])n1cncn1 ZINC001057382200 737202704 /nfs/dbraw/zinc/20/27/04/737202704.db2.gz BRMFBOIPZJSCDK-LLVKDONJSA-N -1 1 348.363 -0.809 20 0 EBADMM Cc1cc(CC(=O)NCCN(CCO)C(=O)c2ncccc2[O-])no1 ZINC001057410125 737230026 /nfs/dbraw/zinc/23/00/26/737230026.db2.gz CZVOJXLKSWMSSR-UHFFFAOYSA-N -1 1 348.359 -0.123 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccc1[O-])c1ncccn1 ZINC001057410203 737230228 /nfs/dbraw/zinc/23/02/28/737230228.db2.gz HYLJCQRWSGLADR-UHFFFAOYSA-N -1 1 331.332 -0.558 20 0 EBADMM Cc1nc(C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])co1 ZINC001057410398 737230729 /nfs/dbraw/zinc/23/07/29/737230729.db2.gz QFCDXKVGSSEICG-UHFFFAOYSA-N -1 1 334.332 -0.052 20 0 EBADMM O=C(Cc1c[nH]cn1)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057412989 737233331 /nfs/dbraw/zinc/23/33/31/737233331.db2.gz CZBCRHMGHIUJQT-UHFFFAOYSA-N -1 1 333.348 -0.696 20 0 EBADMM Cc1nnc(CC(=O)N(CCO)CCNC(=O)c2ncccc2[O-])o1 ZINC001057495486 737293467 /nfs/dbraw/zinc/29/34/67/737293467.db2.gz LXGZTXQABKKVTC-UHFFFAOYSA-N -1 1 349.347 -0.728 20 0 EBADMM Cn1ccc(CC(=O)N(CCO)CCNC(=O)c2ncccc2[O-])n1 ZINC001057548379 737326410 /nfs/dbraw/zinc/32/64/10/737326410.db2.gz JNEXNKFEJOYUEC-UHFFFAOYSA-N -1 1 347.375 -0.686 20 0 EBADMM Cc1nnccc1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001058201960 737712188 /nfs/dbraw/zinc/71/21/88/737712188.db2.gz LMXWOFIWXVSBIP-UHFFFAOYSA-N -1 1 345.359 -0.250 20 0 EBADMM C[C@H]1OCC[C@@H]1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001058553102 737897870 /nfs/dbraw/zinc/89/78/70/737897870.db2.gz VFWHMPMOKYHYSS-NEPJUHHUSA-N -1 1 337.376 -0.237 20 0 EBADMM O=C(NCCN(CCO)C(=O)[C@H]1C[C@@]12CCOC2)c1ncccc1[O-] ZINC001058829384 738013198 /nfs/dbraw/zinc/01/31/98/738013198.db2.gz UNRYWQUBDCLBGR-SJKOYZFVSA-N -1 1 349.387 -0.236 20 0 EBADMM Cc1ccnc(N[C@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)n1 ZINC001058929611 738077803 /nfs/dbraw/zinc/07/78/03/738077803.db2.gz HGQAYIKGWPEQIM-JTQLQIEISA-N -1 1 340.347 -0.157 20 0 EBADMM COCC(=O)N1CC[C@@H](CCNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001059021298 738098469 /nfs/dbraw/zinc/09/84/69/738098469.db2.gz WNEQYAIQYODSGI-SNVBAGLBSA-N -1 1 348.363 -0.968 20 0 EBADMM O=C(NCC[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CNC(=O)N1 ZINC001059516950 738187998 /nfs/dbraw/zinc/18/79/98/738187998.db2.gz YFYVFVQPVDRSTH-QWRGUYRKSA-N -1 1 347.375 -0.563 20 0 EBADMM CNC(=O)CC(=O)N1CC[C@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059523498 738189374 /nfs/dbraw/zinc/18/93/74/738189374.db2.gz OMGIYQOLKCDECF-NSHDSACASA-N -1 1 334.376 -0.108 20 0 EBADMM Cn1nnc(C(=O)N2CC[C@H](CCNC(=O)c3ncccc3[O-])C2)n1 ZINC001060147563 738315051 /nfs/dbraw/zinc/31/50/51/738315051.db2.gz WZRNDKKAVFFCEO-JTQLQIEISA-N -1 1 345.363 -0.407 20 0 EBADMM O=C(NCC[C@@H]1CCN(C(=O)[C@@H]2CNC(=O)N2)C1)c1ncccc1[O-] ZINC001060258374 738332254 /nfs/dbraw/zinc/33/22/54/738332254.db2.gz FIDTZEYMEAEBJX-MNOVXSKESA-N -1 1 347.375 -0.563 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NCC1(NC(=O)c2cc(=O)n3[n-]cnc3n2)CCC1 ZINC001062434854 738915534 /nfs/dbraw/zinc/91/55/34/738915534.db2.gz DOEDYWZYGRECAJ-UWVGGRQHSA-N -1 1 344.375 -0.158 20 0 EBADMM O=C(Cn1cnnn1)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839484 739002492 /nfs/dbraw/zinc/00/24/92/739002492.db2.gz RTIUGXUFTXTLSI-UHFFFAOYSA-N -1 1 331.336 -0.757 20 0 EBADMM CNC(=O)NCCC(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839568 739002637 /nfs/dbraw/zinc/00/26/37/739002637.db2.gz WBBXAEUSEWERPN-UHFFFAOYSA-N -1 1 349.391 -0.125 20 0 EBADMM CNC(=O)NCC(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062840153 739002708 /nfs/dbraw/zinc/00/27/08/739002708.db2.gz TUERFPVINMIOOV-UHFFFAOYSA-N -1 1 335.364 -0.515 20 0 EBADMM O=C(CN1CN=NC1=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839015 739002778 /nfs/dbraw/zinc/00/27/78/739002778.db2.gz DOUDLJJQHFALIH-UHFFFAOYSA-N -1 1 346.347 -0.447 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(c2ccc3nnnn3n2)C1 ZINC001062923748 739023877 /nfs/dbraw/zinc/02/38/77/739023877.db2.gz ZNQLPJAJQWFFLK-JTQLQIEISA-N -1 1 340.347 -0.029 20 0 EBADMM O=C(CC1CC1)NC1(CNC(=O)c2cc(=O)n3[n-]cnc3n2)CCC1 ZINC001063715058 739185831 /nfs/dbraw/zinc/18/58/31/739185831.db2.gz JHWFAXXVFXNPHM-UHFFFAOYSA-N -1 1 344.375 -0.014 20 0 EBADMM O=C(Cn1ccnc1)NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001065072948 739510360 /nfs/dbraw/zinc/51/03/60/739510360.db2.gz ICQDFTZNIKSDPG-LBPRGKRZSA-N -1 1 345.359 -0.359 20 0 EBADMM CN(CCCN(C)C(=O)c1ncccc1[O-])C(=O)CCNC(N)=O ZINC001067254817 740113787 /nfs/dbraw/zinc/11/37/87/740113787.db2.gz OXZBRYZUHALEGT-UHFFFAOYSA-N -1 1 337.380 -0.234 20 0 EBADMM CC(=O)N[C@H]1CCN(c2cccc(CNCc3nc(=O)n(C)[n-]3)n2)C1 ZINC001069846759 740589329 /nfs/dbraw/zinc/58/93/29/740589329.db2.gz KNBJCXSXGVFVPV-ZDUSSCGKSA-N -1 1 345.407 -0.492 20 0 EBADMM CCN1CCn2ncc(CNC(=O)c3cc(=O)n4[n-]cnc4n3)c2C1 ZINC001069881073 740602628 /nfs/dbraw/zinc/60/26/28/740602628.db2.gz LHSPGLXETUCJPI-UHFFFAOYSA-N -1 1 342.363 -0.620 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C3CCC3)[C@@H](n3ccnn3)C2)nc1=O ZINC001069887097 740605349 /nfs/dbraw/zinc/60/53/49/740605349.db2.gz IMGUKRLDJGXULY-NEPJUHHUSA-N -1 1 346.395 -0.958 20 0 EBADMM CO[C@@H](C)CN1C[C@@H](NC(=O)c2[nH]nc(C)c2[O-])[C@@H](n2ccnn2)C1 ZINC001069909921 740614409 /nfs/dbraw/zinc/61/44/09/740614409.db2.gz WPCWBMFYEGJEBS-WCQGTBRESA-N -1 1 349.395 -0.295 20 0 EBADMM C/C=C(\C)C(=O)N1C[C@@H](NCc2nc(=O)n(C)[n-]2)[C@@H](n2ccnn2)C1 ZINC001070170571 740733053 /nfs/dbraw/zinc/73/30/53/740733053.db2.gz OPNOOCMHSMGNMC-KAZJJLNOSA-N -1 1 346.395 -0.792 20 0 EBADMM CCN1CCOC[C@@H]1c1nc(CNC(=O)c2[nH]nc(C)c2[O-])n[nH]1 ZINC001070583375 740877609 /nfs/dbraw/zinc/87/76/09/740877609.db2.gz LDFCLYSCAIJJIK-SECBINFHSA-N -1 1 335.368 -0.135 20 0 EBADMM Cn1cncc1[C@@H]1CN(C(=O)C2CC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001070610895 740896031 /nfs/dbraw/zinc/89/60/31/740896031.db2.gz VPABAELXCHQSHR-GHMZBOCLSA-N -1 1 331.380 -0.262 20 0 EBADMM C[C@H](O)C[N@H+]1C[C@@H](F)C[C@@H]1c1nnc(CNC(=O)c2nnc[n-]2)[n-]1 ZINC001070734869 740933852 /nfs/dbraw/zinc/93/38/52/740933852.db2.gz OAFIXTRHTAKYFR-XHNCKOQMSA-N -1 1 338.347 -0.682 20 0 EBADMM C[C@H]1CN(C(=O)C2CC2)C[C@]2(CCN(Cc3nc(=O)n(C)[n-]3)C2)O1 ZINC001071116356 741062615 /nfs/dbraw/zinc/06/26/15/741062615.db2.gz NATOGFZOSUKBFI-MEDUHNTESA-N -1 1 335.408 -0.290 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2cnn(C)c2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071337521 741122937 /nfs/dbraw/zinc/12/29/37/741122937.db2.gz FYHLRZLAQPXJCH-JQWIXIFHSA-N -1 1 333.396 -0.375 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2cnsn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071371533 741128560 /nfs/dbraw/zinc/12/85/60/741128560.db2.gz PDDANGAOVUMNIX-DTWKUNHWSA-N -1 1 337.409 -0.257 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2nccnc2N)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071395940 741132251 /nfs/dbraw/zinc/13/22/51/741132251.db2.gz GFPSVRIJFBIFFG-VHSXEESVSA-N -1 1 346.395 -0.737 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2nccnc2N)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071395939 741132266 /nfs/dbraw/zinc/13/22/66/741132266.db2.gz GFPSVRIJFBIFFG-UWVGGRQHSA-N -1 1 346.395 -0.737 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2cc[nH]c(=O)c2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071410622 741133808 /nfs/dbraw/zinc/13/38/08/741133808.db2.gz FDWVDVZIMKGZDO-ZYHUDNBSSA-N -1 1 346.391 -0.008 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2cncnc2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071447324 741138451 /nfs/dbraw/zinc/13/84/51/741138451.db2.gz LMQUQKYPIMXPSO-JQWIXIFHSA-N -1 1 331.380 -0.319 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)cnn1 ZINC001071470641 741142747 /nfs/dbraw/zinc/14/27/47/741142747.db2.gz MISUBNVBWCXRCJ-WCQYABFASA-N -1 1 345.407 -0.010 20 0 EBADMM Cc1ccnc(C(=O)N[C@@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001071476362 741143718 /nfs/dbraw/zinc/14/37/18/741143718.db2.gz FSKBYEMYAYJHSW-VXGBXAGGSA-N -1 1 345.407 -0.010 20 0 EBADMM CC(C)=CC(=O)N1C[C@@H](C)[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001071523620 741155409 /nfs/dbraw/zinc/15/54/09/741155409.db2.gz AWLIRORGVZQRDS-OLZOCXBDSA-N -1 1 348.403 -0.144 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N[C@@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071589487 741173597 /nfs/dbraw/zinc/17/35/97/741173597.db2.gz VNKFVKXZCIPIHH-PSASIEDQSA-N -1 1 349.395 -0.372 20 0 EBADMM CC(=O)N(C)CC(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001071657562 741183991 /nfs/dbraw/zinc/18/39/91/741183991.db2.gz GDLAESJPNKPWNY-ZYHUDNBSSA-N -1 1 334.376 -0.158 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2csnn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071711963 741199565 /nfs/dbraw/zinc/19/95/65/741199565.db2.gz HCFGDZYXMBEQPI-BDAKNGLRSA-N -1 1 337.409 -0.257 20 0 EBADMM Cc1n[nH]cc1C(=O)N[C@@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071720723 741202174 /nfs/dbraw/zinc/20/21/74/741202174.db2.gz GFUCHHKVKXCRRD-GXSJLCMTSA-N -1 1 333.396 -0.077 20 0 EBADMM Cc1ccn(CC(=O)N[C@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001071831602 741228361 /nfs/dbraw/zinc/22/83/61/741228361.db2.gz JFJOEOGEMGLOKV-STQMWFEESA-N -1 1 347.423 -0.217 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2ccncn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071905221 741241700 /nfs/dbraw/zinc/24/17/00/741241700.db2.gz WHVVVGQOBALBKT-QWRGUYRKSA-N -1 1 331.380 -0.319 20 0 EBADMM CCNC(=O)CC(=O)N1C[C@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001071939946 741255350 /nfs/dbraw/zinc/25/53/50/741255350.db2.gz RFVGPRUHZGGFFH-WDEREUQCSA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C(C)(C)C(N)=O ZINC001071952229 741263395 /nfs/dbraw/zinc/26/33/95/741263395.db2.gz JMICIOSENDBAFO-VHSXEESVSA-N -1 1 334.376 -0.125 20 0 EBADMM C[C@@H]1CN(C(=O)CCNC(N)=O)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001072065370 741295005 /nfs/dbraw/zinc/29/50/05/741295005.db2.gz GHXJBNXHTOCODW-ZJUUUORDSA-N -1 1 335.364 -0.578 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2ccn(C)n2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001072073383 741297951 /nfs/dbraw/zinc/29/79/51/741297951.db2.gz YRJGRZUTHDKCNP-GHMZBOCLSA-N -1 1 333.396 -0.375 20 0 EBADMM CC(C)=CC(=O)N[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1C ZINC001072427338 741395587 /nfs/dbraw/zinc/39/55/87/741395587.db2.gz TYDQJFZPPHZVLV-CMPLNLGQSA-N -1 1 344.375 -0.040 20 0 EBADMM Cc1nnsc1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072455532 741405820 /nfs/dbraw/zinc/40/58/20/741405820.db2.gz YUSADMDVDCZKJT-UHFFFAOYSA-N -1 1 349.420 -0.384 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)[C@@H]4CCCOC4)C3)C2)nc1=O ZINC001072539131 741425914 /nfs/dbraw/zinc/42/59/14/741425914.db2.gz HHYMLUMWVVRCCF-GFCCVEGCSA-N -1 1 335.408 -0.431 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)C[C@H]4CCOC4)C3)C2)nc1=O ZINC001072570430 741430036 /nfs/dbraw/zinc/43/00/36/741430036.db2.gz CDPNNMAVAUPWNV-GFCCVEGCSA-N -1 1 335.408 -0.431 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)c4cncc(F)c4)C3)C2)nc1=O ZINC001072607630 741439761 /nfs/dbraw/zinc/43/97/61/741439761.db2.gz BEVONILDHJVITF-UHFFFAOYSA-N -1 1 346.366 -0.009 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)c4cncnc4)C3)C2)nc1=O ZINC001072656256 741454725 /nfs/dbraw/zinc/45/47/25/741454725.db2.gz UMFILSAZLJCCHZ-UHFFFAOYSA-N -1 1 329.364 -0.754 20 0 EBADMM CC1(NC(=O)CCNC(N)=O)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001072967722 741528740 /nfs/dbraw/zinc/52/87/40/741528740.db2.gz KTYSBVNNMPISNQ-UHFFFAOYSA-N -1 1 349.391 -0.044 20 0 EBADMM C[C@H]1C[C@@H](C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)CCO1 ZINC001073083585 741555763 /nfs/dbraw/zinc/55/57/63/741555763.db2.gz FJQDDYPIBAODHK-STQMWFEESA-N -1 1 349.435 -0.042 20 0 EBADMM Cc1conc1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001073107619 741561858 /nfs/dbraw/zinc/56/18/58/741561858.db2.gz WTXOAHSJKZRONB-UHFFFAOYSA-N -1 1 332.364 -0.247 20 0 EBADMM C[C@@H](C(N)=O)N1CCC2(CN(C(=O)c3ccc4oc(=O)nc-4[n-]3)C2)C1 ZINC001073124324 741565372 /nfs/dbraw/zinc/56/53/72/741565372.db2.gz HPSLNQSQZJYOKW-VIFPVBQESA-N -1 1 345.359 -0.050 20 0 EBADMM Cc1cc(CN2CCC3(CN(C(=O)Cn4c(=O)[n-][nH]c4=O)C3)C2)on1 ZINC001073150225 741571019 /nfs/dbraw/zinc/57/10/19/741571019.db2.gz OVYPISLMXIGBBD-UHFFFAOYSA-N -1 1 348.363 -0.280 20 0 EBADMM O=C(NC[C@@H]1CN([C@H]2CCCNC2=O)CCCO1)c1ncccc1[O-] ZINC001073584112 741669177 /nfs/dbraw/zinc/66/91/77/741669177.db2.gz NYFGMVSIZFJYFQ-OLZOCXBDSA-N -1 1 348.403 -0.114 20 0 EBADMM CNC(=O)[C@H](C)N1CCCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001073584289 741669189 /nfs/dbraw/zinc/66/91/89/741669189.db2.gz QNFIERGPHYGLMI-RYUDHWBXSA-N -1 1 336.392 -0.258 20 0 EBADMM CC[C@H](F)CN1CCCO[C@@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001073680286 741708276 /nfs/dbraw/zinc/70/82/76/741708276.db2.gz ZCYAUPNKEHUOGC-UWVGGRQHSA-N -1 1 343.359 -0.148 20 0 EBADMM Cc1nc[nH]c1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001073914364 741788884 /nfs/dbraw/zinc/78/88/84/741788884.db2.gz QFPRBFLBYXGUGV-LLVKDONJSA-N -1 1 349.395 -0.839 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCCN1C(=O)Cc1ncc[nH]1 ZINC001074086463 741829197 /nfs/dbraw/zinc/82/91/97/741829197.db2.gz AFKOROOHCLAFQS-GFCCVEGCSA-N -1 1 347.423 -0.113 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H]3CCN(C(=O)C4CCC4)C[C@@H]32)nc1=O ZINC001074133583 741837865 /nfs/dbraw/zinc/83/78/65/741837865.db2.gz NAHPLBCXHBVXFE-QWHCGFSZSA-N -1 1 335.408 -0.290 20 0 EBADMM Cc1ncc(C(=O)N2CC[C@H]3OCCN(Cc4n[nH]c(=O)[n-]4)[C@@H]3C2)[nH]1 ZINC001074194254 741856931 /nfs/dbraw/zinc/85/69/31/741856931.db2.gz HKCJYVIIYZCQLR-VXGBXAGGSA-N -1 1 347.379 -0.343 20 0 EBADMM Cc1n[nH]c(C(=O)NCC2(O)CCN(C(=O)c3ccn[nH]3)CC2)c1[O-] ZINC001074488858 741958933 /nfs/dbraw/zinc/95/89/33/741958933.db2.gz IQHUMCWZRVJKQZ-UHFFFAOYSA-N -1 1 348.363 -0.456 20 0 EBADMM O=C(NCC1(O)CCN(C(=O)c2ncccc2[O-])CC1)c1nnc[nH]1 ZINC001074558253 741996022 /nfs/dbraw/zinc/99/60/22/741996022.db2.gz AFXFFOFFAJJWHN-UHFFFAOYSA-N -1 1 346.347 -0.698 20 0 EBADMM C[C@@H]1CN(C(=O)CS(C)(=O)=O)CC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001075236340 742202535 /nfs/dbraw/zinc/20/25/35/742202535.db2.gz RVFTYIWDWBLVEE-NXEZZACHSA-N -1 1 345.425 -0.871 20 0 EBADMM C[C@@H](CCNC(=O)C(C)(C)F)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001075329871 742213311 /nfs/dbraw/zinc/21/33/11/742213311.db2.gz OAHDBRJVKLIWDO-QMMMGPOBSA-N -1 1 338.343 -0.210 20 0 EBADMM CS[C@H](C)C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001075571564 742256383 /nfs/dbraw/zinc/25/63/83/742256383.db2.gz HAXNHGUVMOWVOC-OUAUKWLOSA-N -1 1 325.438 -0.107 20 0 EBADMM CCn1nccc1C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001075724542 742281519 /nfs/dbraw/zinc/28/15/19/742281519.db2.gz OONDXVIYPVDEBH-WCQYABFASA-N -1 1 345.407 -0.329 20 0 EBADMM CCn1ccnc1C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001075738501 742285271 /nfs/dbraw/zinc/28/52/71/742285271.db2.gz GWTBZLAUABJEFD-NWDGAFQWSA-N -1 1 345.407 -0.329 20 0 EBADMM Cc1nocc1C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001076201161 742401934 /nfs/dbraw/zinc/40/19/34/742401934.db2.gz LBFACELSZXGCQG-CMPLNLGQSA-N -1 1 332.364 -0.249 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CN(C)CCO1 ZINC001076244065 742419158 /nfs/dbraw/zinc/41/91/58/742419158.db2.gz HSDJHFUIEZCLEU-AAEUAGOBSA-N -1 1 336.392 -0.258 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)c1cc(=O)n(C)cn1 ZINC001076246073 742419232 /nfs/dbraw/zinc/41/92/32/742419232.db2.gz DEYWJZJAEGYDCF-JTQLQIEISA-N -1 1 345.359 -0.181 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)Cc4cscn4)[C@@H]3C2)nc1=O ZINC001076327435 742439007 /nfs/dbraw/zinc/43/90/07/742439007.db2.gz ZJGHRFWVDWEOEF-CMPLNLGQSA-N -1 1 348.432 -0.160 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)c4ncccn4)[C@@H]3C2)nc1=O ZINC001076386520 742458221 /nfs/dbraw/zinc/45/82/21/742458221.db2.gz VXLQHUALGMNEJH-WDEREUQCSA-N -1 1 329.364 -0.755 20 0 EBADMM Cc1nc[nH]c1CC(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001076500818 742481978 /nfs/dbraw/zinc/48/19/78/742481978.db2.gz RHWNWXKUDYCJIT-WCQYABFASA-N -1 1 345.407 -0.585 20 0 EBADMM Cc1nccc(C(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)n1 ZINC001076583811 742503938 /nfs/dbraw/zinc/50/39/38/742503938.db2.gz KIJFSKQDHSGBEZ-WCQYABFASA-N -1 1 343.391 -0.447 20 0 EBADMM CCc1noc(CN2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001076917138 742642586 /nfs/dbraw/zinc/64/25/86/742642586.db2.gz SCVCBPIHXATWSK-MWLCHTKSSA-N -1 1 333.348 -0.292 20 0 EBADMM CCN1CC[C@H](N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)C1=O ZINC001076917357 742642616 /nfs/dbraw/zinc/64/26/16/742642616.db2.gz YVVDKJZNLHFFSL-NTZNESFSSA-N -1 1 334.376 -0.817 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)c3sccc3F)C2)nc1=O ZINC001076959188 742663154 /nfs/dbraw/zinc/66/31/54/742663154.db2.gz CYITXYUZCANLTE-RKDXNWHRSA-N -1 1 341.368 -0.716 20 0 EBADMM C[C@@H](CCNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)C(F)F ZINC001077402367 742955767 /nfs/dbraw/zinc/95/57/67/742955767.db2.gz LZNPCWJWMPZDCR-QMMMGPOBSA-N -1 1 332.307 -0.797 20 0 EBADMM C[C@@H](CCNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)c1cnco1 ZINC001077402327 742956155 /nfs/dbraw/zinc/95/61/55/742956155.db2.gz JVUORZPZADWOIC-JTQLQIEISA-N -1 1 349.347 -0.761 20 0 EBADMM C[C@@H](CCNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)[C@@H]1CC12CC2 ZINC001077402548 742956425 /nfs/dbraw/zinc/95/64/25/742956425.db2.gz SFIYKBVUTPXPJS-RYUDHWBXSA-N -1 1 348.403 -0.262 20 0 EBADMM CC[C@H](C)C(=O)N[C@@H](C)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001077402071 742956461 /nfs/dbraw/zinc/95/64/61/742956461.db2.gz ASOGGHYKYNXPNJ-RYUDHWBXSA-N -1 1 338.408 -0.016 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)[C@@H]3C[C@@H]4CCCC[C@H]34)C2)nc1=O ZINC001077431710 742973483 /nfs/dbraw/zinc/97/34/83/742973483.db2.gz SBVBIXDXOMRNLM-ODXJTPSBSA-N -1 1 349.435 -0.404 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)C(C)(C)C3CCC3)C2)nc1=O ZINC001077455996 742990143 /nfs/dbraw/zinc/99/01/43/742990143.db2.gz QXIKGEGNICLHPN-VXGBXAGGSA-N -1 1 337.424 -0.404 20 0 EBADMM C[C@@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)CC1CC1 ZINC001077487169 743012309 /nfs/dbraw/zinc/01/23/09/743012309.db2.gz HTUPZAWGYNRQAB-VIFPVBQESA-N -1 1 332.364 -0.158 20 0 EBADMM CO[C@H](C)C(=O)N[C@@H](C)CCNC(=O)c1cc(=O)n2nc[n-]c2n1 ZINC001077488386 743013640 /nfs/dbraw/zinc/01/36/40/743013640.db2.gz OPPANYDYGTVUPF-DTWKUNHWSA-N -1 1 336.352 -0.923 20 0 EBADMM C[C@@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)C1CCC1 ZINC001077488646 743014122 /nfs/dbraw/zinc/01/41/22/743014122.db2.gz ZYZWVUPMDNFPKB-VIFPVBQESA-N -1 1 332.364 -0.158 20 0 EBADMM Cc1ccc(F)c(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c1 ZINC001077739474 743222621 /nfs/dbraw/zinc/22/26/21/743222621.db2.gz UITFVTDAHWBIIT-CHWSQXEVSA-N -1 1 349.366 -0.469 20 0 EBADMM C[C@H](O)CN1C[C@@H](O)[C@H](NC(=O)c2n[n-]c3ccccc3c2=O)C1 ZINC001077766473 743237710 /nfs/dbraw/zinc/23/77/10/743237710.db2.gz HKALDHOXWMRGKV-ZWKOPEQDSA-N -1 1 332.360 -0.509 20 0 EBADMM CC[C@H](F)C(=O)NCC[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001077838098 743290936 /nfs/dbraw/zinc/29/09/36/743290936.db2.gz ULMYDYRCYFMOJU-QWRGUYRKSA-N -1 1 342.371 -0.314 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CN(C/C=C/Cl)C[C@H]1O ZINC001077998342 743405123 /nfs/dbraw/zinc/40/51/23/743405123.db2.gz RVIMPXGFTUYMPU-YEMZYZDBSA-N -1 1 344.755 -0.576 20 0 EBADMM Cc1cc(C)cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c1 ZINC001078076769 743450297 /nfs/dbraw/zinc/45/02/97/743450297.db2.gz WIFSNPIGSAEUPT-ZIAGYGMSSA-N -1 1 345.403 -0.300 20 0 EBADMM Cc1cccc(F)c1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001078280232 743568060 /nfs/dbraw/zinc/56/80/60/743568060.db2.gz WGOZYKZZUSWYGF-VXGBXAGGSA-N -1 1 349.366 -0.469 20 0 EBADMM C[C@@H](C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)n1cccn1 ZINC001078341718 743592499 /nfs/dbraw/zinc/59/24/99/743592499.db2.gz LAJCIQQZLSDWBH-RYUDHWBXSA-N -1 1 349.395 -0.325 20 0 EBADMM Cc1ncncc1C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078347819 743595833 /nfs/dbraw/zinc/59/58/33/743595833.db2.gz OJVOCVGWEMXWCU-LLVKDONJSA-N -1 1 347.379 -0.370 20 0 EBADMM O=C(c1ncccc1[O-])N1CCCO[C@H](C[NH2+]Cc2n[nH]c(=O)[n-]2)C1 ZINC001078385817 743619956 /nfs/dbraw/zinc/61/99/56/743619956.db2.gz QOJLECGESXROMK-SNVBAGLBSA-N -1 1 348.363 -0.368 20 0 EBADMM Cc1ccnc(C(=O)N2CCCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001078389565 743623127 /nfs/dbraw/zinc/62/31/27/743623127.db2.gz PWWWCOAHFPFHAZ-LLVKDONJSA-N -1 1 347.379 -0.370 20 0 EBADMM CO[C@@H](C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001078461491 743661229 /nfs/dbraw/zinc/66/12/29/743661229.db2.gz DSBTVYLFBWVKKZ-WCQYABFASA-N -1 1 341.412 -0.112 20 0 EBADMM O=C(c1n[nH]cc1F)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078462962 743661937 /nfs/dbraw/zinc/66/19/37/743661937.db2.gz AMHBXYPZGPGFNG-MRVPVSSYSA-N -1 1 339.331 -0.607 20 0 EBADMM O=C(CCc1cn[nH]c1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078484434 743669130 /nfs/dbraw/zinc/66/91/30/743669130.db2.gz JRKZYQGAAOZVJS-LBPRGKRZSA-N -1 1 349.395 -0.427 20 0 EBADMM Cn1cncc1CC(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078499362 743680752 /nfs/dbraw/zinc/68/07/52/743680752.db2.gz WDFYUYRPYJOYCL-GFCCVEGCSA-N -1 1 349.395 -0.806 20 0 EBADMM O=C(Cn1nccn1)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078637099 743713827 /nfs/dbraw/zinc/71/38/27/743713827.db2.gz JDPYZFDZXKPGBX-ZJUUUORDSA-N -1 1 332.368 -0.681 20 0 EBADMM O=C(N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2)c1c[nH]c(=O)cn1 ZINC001078711339 743722559 /nfs/dbraw/zinc/72/25/59/743722559.db2.gz SMWLSZSSSZFHNR-VHSXEESVSA-N -1 1 345.363 -0.576 20 0 EBADMM O=C(Cc1ncc[nH]1)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078761725 743731396 /nfs/dbraw/zinc/73/13/96/743731396.db2.gz PZFQDCYWTBWDSC-VHSXEESVSA-N -1 1 331.380 -0.007 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N[C@H]1C[C@@H](NCc2ccon2)C12CCC2 ZINC001078793062 743735320 /nfs/dbraw/zinc/73/53/20/743735320.db2.gz UBBVIRORKNCVAU-MNOVXSKESA-N -1 1 348.363 -0.106 20 0 EBADMM O=C(N[C@H]1C[C@H](CNC(=O)[C@@H]2CCCNC2=O)C1)c1ncccc1[O-] ZINC000979937467 805647079 /nfs/dbraw/zinc/64/70/79/805647079.db2.gz PZTFKXUEDWXERI-IJLUTSLNSA-N -1 1 346.387 -0.062 20 0 EBADMM O=C(NC[C@H]1C[C@H](NC(=O)C2CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000980056482 805711040 /nfs/dbraw/zinc/71/10/40/805711040.db2.gz OVGFKWXTKSGFQI-CZMCAQCFSA-N -1 1 330.348 -0.548 20 0 EBADMM CN(Cc1cnn(C)c1)[C@H]1CCN(C(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC000980265666 805823792 /nfs/dbraw/zinc/82/37/92/805823792.db2.gz WEESVJNHDMVUQX-NSHDSACASA-N -1 1 335.368 -0.844 20 0 EBADMM Cc1noc(CNC[C@@H]2C[C@H](C)N(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC000980640835 805971677 /nfs/dbraw/zinc/97/16/77/805971677.db2.gz ABTUAKJXXMOIHQ-CBAPKCEASA-N -1 1 349.351 -0.385 20 0 EBADMM O=C(N[C@@H]1CC[C@H](CNC(=O)C2CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000980754400 806008469 /nfs/dbraw/zinc/00/84/69/806008469.db2.gz DTQYISBLZZXUJL-GXSJLCMTSA-N -1 1 344.375 -0.158 20 0 EBADMM CCOC1CC(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)C1 ZINC000981096855 806084819 /nfs/dbraw/zinc/08/48/19/806084819.db2.gz OHIVFSTVKHMIRP-UHFFFAOYSA-N -1 1 337.424 -0.042 20 0 EBADMM Cn1ncc(CN[C@@H]2CCC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC000981202540 806108423 /nfs/dbraw/zinc/10/84/23/806108423.db2.gz WAYYCSKWJFULMW-RKDXNWHRSA-N -1 1 348.367 -0.758 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)CCc3ccncn3)CC2)nc1=O ZINC000981260371 806123330 /nfs/dbraw/zinc/12/33/30/806123330.db2.gz RCTPIFOLSIRUSE-UHFFFAOYSA-N -1 1 345.407 -0.435 20 0 EBADMM CCn1nnc(C)c1C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000981316648 806140239 /nfs/dbraw/zinc/14/02/39/806140239.db2.gz AZPMTIHLSSQMKH-UHFFFAOYSA-N -1 1 348.411 -0.624 20 0 EBADMM CCO[C@H]1C[C@H]1C(=O)N1CC2(C1)CCCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC000981640695 806222235 /nfs/dbraw/zinc/22/22/35/806222235.db2.gz JLLNYDXNCPJOPO-OLZOCXBDSA-N -1 1 349.435 -0.042 20 0 EBADMM O=C(Cc1ccn[nH]1)N1CC2(C1)CCCN(Cc1n[nH]c(=O)[n-]1)C2 ZINC000981683460 806236043 /nfs/dbraw/zinc/23/60/43/806236043.db2.gz RGANTJGYQQAHEE-UHFFFAOYSA-N -1 1 331.380 -0.100 20 0 EBADMM C[C@@H]1CCO[C@@H]1C(=O)N1CC2(C1)CCCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC000982019307 806374402 /nfs/dbraw/zinc/37/44/02/806374402.db2.gz UUNBRLLZVLXMGN-OCCSQVGLSA-N -1 1 349.435 -0.042 20 0 EBADMM O=C(N[C@@H]1CC[C@H](CNC(=O)[C@@H]2CNC(=O)N2)C1)c1ncccc1[O-] ZINC000982037507 806379305 /nfs/dbraw/zinc/37/93/05/806379305.db2.gz CDAZPDDQOUSONR-AXFHLTTASA-N -1 1 347.375 -0.517 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)NC[C@H]1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000982142041 806409213 /nfs/dbraw/zinc/40/92/13/806409213.db2.gz DRADFVMQDZTDFL-QCNOEVLYSA-N -1 1 346.387 -0.077 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)[C@H]2CCC(=O)N2)C1)c1ncccc1[O-] ZINC000982542012 806548947 /nfs/dbraw/zinc/54/89/47/806548947.db2.gz FTBHGGJUIMZXDW-WDEREUQCSA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCNC(=O)C1 ZINC000982672831 806628414 /nfs/dbraw/zinc/62/84/14/806628414.db2.gz ZJSCPFRPDKPLJE-VXGBXAGGSA-N -1 1 346.387 -0.108 20 0 EBADMM CN1CCOC[C@@H]1C(=O)NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000982672960 806628607 /nfs/dbraw/zinc/62/86/07/806628607.db2.gz ZULONJSLMZAWFR-QWHCGFSZSA-N -1 1 348.403 -0.304 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1COC(=O)N1 ZINC000982672032 806628895 /nfs/dbraw/zinc/62/88/95/806628895.db2.gz URYWTIHMHLCZGZ-UWVGGRQHSA-N -1 1 334.332 -0.526 20 0 EBADMM CN1CC[C@@H](C(=O)N2CC[C@@H](CNC(=O)c3ncccc3[O-])C2)C1=O ZINC000983135099 806899842 /nfs/dbraw/zinc/89/98/42/806899842.db2.gz JCWVRLIYVGNNTB-NWDGAFQWSA-N -1 1 346.387 -0.156 20 0 EBADMM COCCS(=O)(=O)NCC[N-]C(=O)C(F)(F)Br ZINC001259976995 812820675 /nfs/dbraw/zinc/82/06/75/812820675.db2.gz VFMUJHRHCNGVEX-UHFFFAOYSA-N -1 1 339.158 -0.344 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)[C@H]1CCC(=O)NC1 ZINC000976801744 803852762 /nfs/dbraw/zinc/85/27/62/803852762.db2.gz VICOQUIURIQTMR-KZWBYHQPSA-N -1 1 344.371 -0.500 20 0 EBADMM O=C(C[C@H]1CCC(=O)N1)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000976820696 803879181 /nfs/dbraw/zinc/87/91/81/803879181.db2.gz CBQIXPHGSWMSPN-OZWUEAAUSA-N -1 1 344.371 -0.358 20 0 EBADMM O=C(C[C@@H]1CCC(=O)N1)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000976820695 803879462 /nfs/dbraw/zinc/87/94/62/803879462.db2.gz CBQIXPHGSWMSPN-KIGUWFBYSA-N -1 1 344.371 -0.358 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)C3CCC3)C[C@H]21)c1cc(=O)n2[n-]cnc2n1 ZINC000976859994 803910664 /nfs/dbraw/zinc/91/06/64/803910664.db2.gz RNJSDSBJCWWSHI-IWIIMEHWSA-N -1 1 342.359 -0.596 20 0 EBADMM CC(C)CC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000976860014 803910832 /nfs/dbraw/zinc/91/08/32/803910832.db2.gz SEPRJEBLPJXCTO-MSRIBSCDSA-N -1 1 344.375 -0.350 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1[C@H]2CN(C(=O)C(F)F)C[C@H]21 ZINC000976863969 803915846 /nfs/dbraw/zinc/91/58/46/803915846.db2.gz WHFTVZWHVLLBOF-FMCRUOTFSA-N -1 1 344.274 -0.652 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1[C@H]2CN(C(=O)[C@H]3C[C@@H]3C)C[C@H]21 ZINC000976863628 803916439 /nfs/dbraw/zinc/91/64/39/803916439.db2.gz ULVXLDLWMYGEDX-RXKWGBCNSA-N -1 1 348.359 -0.261 20 0 EBADMM CN(C[C@H]1CCN1C(=O)CCn1cc[n-]c(=O)c1=O)C(=O)C1CC1 ZINC000977249248 804255392 /nfs/dbraw/zinc/25/53/92/804255392.db2.gz SWWDGBJANDBDRA-GFCCVEGCSA-N -1 1 334.376 -0.604 20 0 EBADMM CC1(C)CN(CCCO)C[C@H]1NC(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC000977366252 804297473 /nfs/dbraw/zinc/29/74/73/804297473.db2.gz UUTCJIOONOVNFJ-GFCCVEGCSA-N -1 1 348.407 -0.720 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)c3ccc(=O)[nH]c3)C(C)(C)C2)nc1=O ZINC000977589837 804398600 /nfs/dbraw/zinc/39/86/00/804398600.db2.gz XGWFBELGHWBCJL-LLVKDONJSA-N -1 1 346.391 -0.151 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)[C@@H]1CCCNC1=O)C(=O)c1ncccc1[O-] ZINC000977598439 804407129 /nfs/dbraw/zinc/40/71/29/804407129.db2.gz IGCUEOWYUIMCEU-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@H]1CCN1C(=O)Cn1ncnn1)C(=O)c1ncccc1[O-] ZINC000977601958 804409247 /nfs/dbraw/zinc/40/92/47/804409247.db2.gz QOUSFWBKQXQMFI-SNVBAGLBSA-N -1 1 331.336 -0.853 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)[C@]1(C)CCNC1=O)C(=O)c1ncccc1[O-] ZINC000977604835 804410057 /nfs/dbraw/zinc/41/00/57/804410057.db2.gz XQGNMPYUXLFKNR-APPDUMDISA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1CNC(=O)N1 ZINC000978309201 804785180 /nfs/dbraw/zinc/78/51/80/804785180.db2.gz WHWHGNWRJDKBBR-VHSXEESVSA-N -1 1 333.348 -0.859 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1C[C@H]1C(N)=O ZINC000978402911 804820956 /nfs/dbraw/zinc/82/09/56/804820956.db2.gz BRCKARSWJUGPSO-MXWKQRLJSA-N -1 1 332.360 -0.419 20 0 EBADMM O=C(NC[C@H]1C[C@@H](NC(=O)[C@H]2CCNC2=O)C1)c1ncccc1[O-] ZINC000978751713 804957872 /nfs/dbraw/zinc/95/78/72/804957872.db2.gz FKEXLEFVJDZUJD-AXFHLTTASA-N -1 1 332.360 -0.452 20 0 EBADMM O=C(NC[C@H]1C[C@@H](NC(=O)C(F)F)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000979046795 805107571 /nfs/dbraw/zinc/10/75/71/805107571.db2.gz ZQTMINJEUMEKJK-KNVOCYPGSA-N -1 1 340.290 -0.693 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@H]1C[C@@H](NC(=O)C(N)=O)C1 ZINC000979101516 805138351 /nfs/dbraw/zinc/13/83/51/805138351.db2.gz FQCCFHVIZDLVIR-AOOOYVTPSA-N -1 1 349.391 -0.276 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2cnns2)CCO1 ZINC000979204147 805207890 /nfs/dbraw/zinc/20/78/90/805207890.db2.gz BXMPLHQEOYELRA-HTQZYQBOSA-N -1 1 339.381 -0.619 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)Cc2ccon2)CCO1 ZINC000979232090 805224830 /nfs/dbraw/zinc/22/48/30/805224830.db2.gz SOFKISBYKYYAIQ-KOLCDFICSA-N -1 1 336.352 -0.554 20 0 EBADMM Cc1ncncc1C(=O)N1CCO[C@@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979235063 805227040 /nfs/dbraw/zinc/22/70/40/805227040.db2.gz QPFPPJQSHTYSOJ-ZYHUDNBSSA-N -1 1 347.379 -0.372 20 0 EBADMM Cc1cc(CC(=O)N2CCO[C@@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC000979261495 805246440 /nfs/dbraw/zinc/24/64/40/805246440.db2.gz ZUVGMMIQLHNJNA-ZYHUDNBSSA-N -1 1 349.395 -0.510 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)nn1C ZINC000979262495 805248613 /nfs/dbraw/zinc/24/86/13/805248613.db2.gz RZRUPQBYIHVKNF-CMPLNLGQSA-N -1 1 349.395 -0.428 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2cnc[nH]c2=O)CCO1 ZINC000979324663 805295127 /nfs/dbraw/zinc/29/51/27/805295127.db2.gz BUEQNWHZKLFHNN-WPRPVWTQSA-N -1 1 349.351 -0.975 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)Cc2ccn(C)n2)CCO1 ZINC000979335216 805301848 /nfs/dbraw/zinc/30/18/48/805301848.db2.gz PJEBACOBXWGEOH-PWSUYJOCSA-N -1 1 349.395 -0.808 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)CC2(O)CCC2)CCO1 ZINC000979338113 805305698 /nfs/dbraw/zinc/30/56/98/805305698.db2.gz TYBGAMVHYBEBEG-WDEREUQCSA-N -1 1 339.396 -0.479 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2csnn2)CCO1 ZINC000979357219 805321819 /nfs/dbraw/zinc/32/18/19/805321819.db2.gz DWJPJQQWMBORRE-CBAPKCEASA-N -1 1 339.381 -0.619 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)N1CCO[C@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979409657 805359255 /nfs/dbraw/zinc/35/92/55/805359255.db2.gz KXAIIHVLLDPCSO-KWQFWETISA-N -1 1 349.395 -0.130 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2ncccn2)CCO1 ZINC000979413766 805360100 /nfs/dbraw/zinc/36/01/00/805360100.db2.gz OOFVBQHCEIXBGQ-VHSXEESVSA-N -1 1 333.352 -0.680 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2cccnn2)CCO1 ZINC000979418747 805363632 /nfs/dbraw/zinc/36/36/32/805363632.db2.gz UTZQBBCLJLESNJ-MWLCHTKSSA-N -1 1 333.352 -0.680 20 0 EBADMM CCC(=O)N1C[C@@H]2CC[C@@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)[C@H]2C1 ZINC000979542942 805424122 /nfs/dbraw/zinc/42/41/22/805424122.db2.gz CMIOEMFTDMNHRD-GARJFASQSA-N -1 1 344.375 -0.206 20 0 EBADMM CN1C[C@@H](C(=O)N[C@H]2C[C@H](CNC(=O)c3ncccc3[O-])C2)NC1=O ZINC000979670326 805479732 /nfs/dbraw/zinc/47/97/32/805479732.db2.gz WXTPGXAJMYDUFM-DCAQKATOSA-N -1 1 347.375 -0.565 20 0 EBADMM O=C(Cn1cnnn1)N[C@@H]1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000983488237 807025160 /nfs/dbraw/zinc/02/51/60/807025160.db2.gz YPBDPVIWAFZTOT-MNOVXSKESA-N -1 1 345.363 -0.369 20 0 EBADMM CCC(=O)N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H]1CC ZINC000984387841 807276216 /nfs/dbraw/zinc/27/62/16/807276216.db2.gz MKYOLSGVJHKIQO-GXSJLCMTSA-N -1 1 332.364 -0.063 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCCN(C(=O)c2nnn(C)n2)C1 ZINC000984506304 807308626 /nfs/dbraw/zinc/30/86/26/807308626.db2.gz GVHHYOAYTOGXTC-SNVBAGLBSA-N -1 1 345.363 -0.313 20 0 EBADMM CN(C(=O)c1cnc(C2CC2)[n-]c1=O)[C@@H]1CCCN(C(=O)C(N)=O)C1 ZINC000984574348 807342074 /nfs/dbraw/zinc/34/20/74/807342074.db2.gz CAKCHYAWLSJRIJ-SNVBAGLBSA-N -1 1 347.375 -0.392 20 0 EBADMM Cc1cnc(CNC[C@H]2C[C@H](NC(=O)Cn3c(=O)[n-][nH]c3=O)C2)o1 ZINC000985074905 807436609 /nfs/dbraw/zinc/43/66/09/807436609.db2.gz DSNLKBGELBCTQS-MGCOHNPYSA-N -1 1 336.352 -0.330 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)Cn2c(=O)[n-][nH]c2=O)CCN1C(=O)c1ccn[nH]1 ZINC000985220723 807465097 /nfs/dbraw/zinc/46/50/97/807465097.db2.gz GNCRBKIGELDYDV-WCBMZHEXSA-N -1 1 349.351 -0.778 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2nnn(C)n2)CCN1C(=O)c1ncccc1[O-] ZINC000985287135 807479057 /nfs/dbraw/zinc/47/90/57/807479057.db2.gz MWBGQRLJHPDHDN-VHSXEESVSA-N -1 1 345.363 -0.266 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCc1ccnn1C ZINC000986330279 807675709 /nfs/dbraw/zinc/67/57/09/807675709.db2.gz GXUWCCWYFPVAQP-JQWIXIFHSA-N -1 1 333.396 -0.044 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCn1ccccc1=O ZINC000986333346 807676952 /nfs/dbraw/zinc/67/69/52/807676952.db2.gz MMYPFPQQNARQSK-VXGBXAGGSA-N -1 1 346.391 -0.159 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cc2n(n1)CCO2 ZINC000986356716 807683486 /nfs/dbraw/zinc/68/34/86/807683486.db2.gz IKBIARUUWCYGAU-RKDXNWHRSA-N -1 1 333.352 -0.508 20 0 EBADMM Cc1cc(=O)c(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)cn1C ZINC000986399514 807695466 /nfs/dbraw/zinc/69/54/66/807695466.db2.gz NLBNBQHPYVJLOW-PWSUYJOCSA-N -1 1 346.391 -0.090 20 0 EBADMM CCC(=O)N1CC[C@@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@@H]2C1 ZINC000986401967 807696375 /nfs/dbraw/zinc/69/63/75/807696375.db2.gz ZVFVMNHHGMBWRX-OLZOCXBDSA-N -1 1 348.403 -0.356 20 0 EBADMM Cc1nc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)c(=O)[nH]c1C ZINC000986415909 807698721 /nfs/dbraw/zinc/69/87/21/807698721.db2.gz ZVMSEGKKUXPKGN-VHSXEESVSA-N -1 1 347.379 -0.397 20 0 EBADMM Cc1oncc1CN[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)[C@H]1C ZINC000986487413 807717570 /nfs/dbraw/zinc/71/75/70/807717570.db2.gz JWGIXKBYOUXBEI-XVKPBYJWSA-N -1 1 334.336 -0.028 20 0 EBADMM CCn1nncc1CN[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)[C@H]1C ZINC000986486628 807717875 /nfs/dbraw/zinc/71/78/75/807717875.db2.gz IDWAVIFDYYBKKN-WPRPVWTQSA-N -1 1 348.367 -0.713 20 0 EBADMM Cc1cc(CN[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)[C@H]2C)nn1C ZINC000986488010 807718784 /nfs/dbraw/zinc/71/87/84/807718784.db2.gz NIHZBKLKVMFBHF-ONGXEEELSA-N -1 1 347.379 -0.282 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc(C(N)=O)o1 ZINC000986527901 807726699 /nfs/dbraw/zinc/72/66/99/807726699.db2.gz MIVANGPNFQEORO-SFYZADRCSA-N -1 1 334.336 -0.405 20 0 EBADMM C[C@@H]1[C@H](NCc2nccn2C)CCN1C(=O)c1cnc([O-])n(C)c1=O ZINC000986647300 807755748 /nfs/dbraw/zinc/75/57/48/807755748.db2.gz ASQJDNQNGKVTTI-ZYHUDNBSSA-N -1 1 346.391 -0.388 20 0 EBADMM C[C@@H]1[C@H](NCc2ccn(C)n2)CCN1C(=O)c1cnc([O-])n(C)c1=O ZINC000986648945 807755918 /nfs/dbraw/zinc/75/59/18/807755918.db2.gz HHRCOLKVQWYHMA-ZWNOBZJWSA-N -1 1 346.391 -0.388 20 0 EBADMM CC(C)c1nnnn1CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000987132742 807850723 /nfs/dbraw/zinc/85/07/23/807850723.db2.gz IPRUZBWFBRGJME-UWVGGRQHSA-N -1 1 349.399 -0.601 20 0 EBADMM CCn1nc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)ccc1=O ZINC000987198821 807867578 /nfs/dbraw/zinc/86/75/78/807867578.db2.gz VARRSZBGJVGBDF-UWVGGRQHSA-N -1 1 347.379 -0.520 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cncc(C(N)=O)c1 ZINC000987555505 807956152 /nfs/dbraw/zinc/95/61/52/807956152.db2.gz FRTSNQGVYBDCPA-KCJUWKMLSA-N -1 1 345.363 -0.603 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCN1CCCC1=O ZINC000987553150 807956428 /nfs/dbraw/zinc/95/64/28/807956428.db2.gz XSBTXTAVHJJLEA-MNOVXSKESA-N -1 1 336.396 -0.398 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)[C@H]2COC(=O)N2)CCN1C(=O)c1ncccc1[O-] ZINC000987790004 808016779 /nfs/dbraw/zinc/01/67/79/808016779.db2.gz VWHLQLRSOAKRDL-KXUCPTDWSA-N -1 1 334.332 -0.385 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncn(C)n2)CCN1C(=O)c1ncccc1[O-] ZINC000987829768 808029947 /nfs/dbraw/zinc/02/99/47/808029947.db2.gz ISJPNAFFFXYTPP-ZJUUUORDSA-N -1 1 330.348 -0.051 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)Cn2ncnn2)C1)C(=O)c1ncccc1[O-] ZINC000988015034 808091811 /nfs/dbraw/zinc/09/18/11/808091811.db2.gz UVCLJWMYVSUHSC-NSHDSACASA-N -1 1 345.363 -0.606 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCc1ccn(C)n1 ZINC000988915088 808325672 /nfs/dbraw/zinc/32/56/72/808325672.db2.gz BYYDAFPZOIVPSA-CMPLNLGQSA-N -1 1 333.396 -0.044 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)Cn1cc(C2CC2)nn1 ZINC000988954776 808333221 /nfs/dbraw/zinc/33/32/21/808333221.db2.gz OHHOKXQDGLERKD-GXSJLCMTSA-N -1 1 346.395 -0.242 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnn2ncccc12 ZINC000988971253 808339303 /nfs/dbraw/zinc/33/93/03/808339303.db2.gz FDNMDNNGJRKKDC-VHSXEESVSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CC1CS(=O)(=O)C1 ZINC000989058330 808361156 /nfs/dbraw/zinc/36/11/56/808361156.db2.gz UQEDCLFFSOGNHF-SCZZXKLOSA-N -1 1 343.409 -0.976 20 0 EBADMM Cc1cnc(CN[C@@H]2C[C@@H](C)N(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)cn1 ZINC000989108943 808376292 /nfs/dbraw/zinc/37/62/92/808376292.db2.gz DQGJAFMXXJPAGM-NXEZZACHSA-N -1 1 345.363 -0.226 20 0 EBADMM Cc1cc(CN[C@H]2C[C@@H](C)N(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)on1 ZINC000989117359 808379615 /nfs/dbraw/zinc/37/96/15/808379615.db2.gz BSDYIPFAMMNYLZ-BDAKNGLRSA-N -1 1 334.336 -0.028 20 0 EBADMM CCn1ccnc1CN[C@H]1C[C@@H](C)N(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000989118040 808380710 /nfs/dbraw/zinc/38/07/10/808380710.db2.gz DXZXLGJLGYIFLW-ZJUUUORDSA-N -1 1 347.379 -0.108 20 0 EBADMM CCn1ccnc1CN[C@H]1C[C@H](C)N(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000989118034 808380797 /nfs/dbraw/zinc/38/07/97/808380797.db2.gz DXZXLGJLGYIFLW-UWVGGRQHSA-N -1 1 347.379 -0.108 20 0 EBADMM COc1coc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)cc1=O ZINC000989189812 808399710 /nfs/dbraw/zinc/39/97/10/808399710.db2.gz ULRFSRFPFRFOGI-DTWKUNHWSA-N -1 1 349.347 -0.135 20 0 EBADMM C[C@H]1C[C@H](NCc2nc(=O)c3sccc3[n-]2)CN1C(=O)C(N)=O ZINC000989379520 808461514 /nfs/dbraw/zinc/46/15/14/808461514.db2.gz IFWQJEYKJVHQHF-YUMQZZPRSA-N -1 1 335.389 -0.039 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)CCc3cnccn3)CC2)nc1=O ZINC000989419480 808470495 /nfs/dbraw/zinc/47/04/95/808470495.db2.gz HNVLRFZDGGURJC-UHFFFAOYSA-N -1 1 345.407 -0.435 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)[C@@H]3C[C@]34CCOC4)CC2)nc1=O ZINC000989438081 808473849 /nfs/dbraw/zinc/47/38/49/808473849.db2.gz AVWZOZWMSDPDII-LRDDRELGSA-N -1 1 335.408 -0.431 20 0 EBADMM C[C@@H](CC(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1)n1cccn1 ZINC000989439040 808475394 /nfs/dbraw/zinc/47/53/94/808475394.db2.gz KIDQOGMJTPASKG-ZDUSSCGKSA-N -1 1 347.423 -0.010 20 0 EBADMM COc1cncc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)n1 ZINC000989522706 808496754 /nfs/dbraw/zinc/49/67/54/808496754.db2.gz PJHXEWXLUDUBIA-RKDXNWHRSA-N -1 1 333.352 -0.298 20 0 EBADMM CC(C)c1nnnn1CC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC000989537440 808499101 /nfs/dbraw/zinc/49/91/01/808499101.db2.gz UMFVHQXKLCMMEO-VHSXEESVSA-N -1 1 349.399 -0.601 20 0 EBADMM COc1ccc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)nn1 ZINC000989646795 808544745 /nfs/dbraw/zinc/54/47/45/808544745.db2.gz PEVKLMBKIUOPDI-IUCAKERBSA-N -1 1 333.352 -0.298 20 0 EBADMM CCn1ccc(CN[C@H]2C[C@@H](C)N(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)n1 ZINC000989723147 808568838 /nfs/dbraw/zinc/56/88/38/808568838.db2.gz NVGXLRTXCXZFQQ-PWSUYJOCSA-N -1 1 349.395 -0.315 20 0 EBADMM CCn1ccc(CN[C@@H]2C[C@@H](C)N(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)n1 ZINC000989723148 808568850 /nfs/dbraw/zinc/56/88/50/808568850.db2.gz NVGXLRTXCXZFQQ-ZYHUDNBSSA-N -1 1 349.395 -0.315 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCc1cncn1C ZINC000989735979 808574434 /nfs/dbraw/zinc/57/44/34/808574434.db2.gz KGNAVPGKTUNJKX-WDEREUQCSA-N -1 1 333.396 -0.044 20 0 EBADMM CCn1nc(C)c(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)n1 ZINC000989860625 808614715 /nfs/dbraw/zinc/61/47/15/808614715.db2.gz LHBYWPIGTBEYGL-SCZZXKLOSA-N -1 1 334.384 -0.177 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)Cn4cccn4)CCC[C@@H]23)nc1=O ZINC000989951347 808626281 /nfs/dbraw/zinc/62/62/81/808626281.db2.gz MGCCYDOBYZEXIW-WBMJQRKESA-N -1 1 345.407 -0.382 20 0 EBADMM Cn1cc(C(=O)N[C@@]23CCC[C@@H]2N(Cc2nc(=O)n(C)[n-]2)CC3)cn1 ZINC000989943545 808626359 /nfs/dbraw/zinc/62/63/59/808626359.db2.gz XTUIVTGBVSNNEE-BLLLJJGKSA-N -1 1 345.407 -0.231 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)c4cnsn4)CCC[C@@H]23)nc1=O ZINC000989968653 808628276 /nfs/dbraw/zinc/62/82/76/808628276.db2.gz AAIKHVPLZKZRGP-QMTHXVAHSA-N -1 1 349.420 -0.113 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)[C@H]4CCC(=O)N4)CCC[C@@H]23)nc1=O ZINC000990042037 808643197 /nfs/dbraw/zinc/64/31/97/808643197.db2.gz OUEPAQIXAYRDQM-UVWXRNBGSA-N -1 1 348.407 -1.000 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1ccncc1 ZINC000990062564 808647207 /nfs/dbraw/zinc/64/72/07/808647207.db2.gz KWLIKTSRYCXJEK-UHFFFAOYSA-N -1 1 339.315 -0.933 20 0 EBADMM Cc1occc1C(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000990181077 808683201 /nfs/dbraw/zinc/68/32/01/808683201.db2.gz VTMVCDQPMBHSJR-UHFFFAOYSA-N -1 1 346.343 -0.531 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)c2cc(C)n[nH]2)C1 ZINC000990364535 808763194 /nfs/dbraw/zinc/76/31/94/808763194.db2.gz PGBYIPVTQNHTDE-UHFFFAOYSA-N -1 1 348.319 -0.818 20 0 EBADMM Cn1nncc1C(=O)N[C@]12CCC[C@@H]1N(Cc1nc(=O)n(C)[n-]1)CC2 ZINC000990481238 808805430 /nfs/dbraw/zinc/80/54/30/808805430.db2.gz ZFKBEHZIXNZKEW-NHYWBVRUSA-N -1 1 346.395 -0.836 20 0 EBADMM Cn1cc(C(=O)N[C@]23CCC[C@@H]2N(Cc2nc(=O)n(C)[n-]2)CC3)nn1 ZINC000990514877 808819692 /nfs/dbraw/zinc/81/96/92/808819692.db2.gz MLFGUBGROFJOJS-NHYWBVRUSA-N -1 1 346.395 -0.836 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)[C@]45C[C@H]4COC5)CCC[C@@H]23)nc1=O ZINC000990818023 808933582 /nfs/dbraw/zinc/93/35/82/808933582.db2.gz RLPMXZOLUDKJHZ-HJSHSUPBSA-N -1 1 347.419 -0.242 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)Cn4nccn4)CCC[C@@H]23)nc1=O ZINC000990913458 808979671 /nfs/dbraw/zinc/97/96/71/808979671.db2.gz YXMIBEQNWMZPIG-ABAIWWIYSA-N -1 1 346.395 -0.987 20 0 EBADMM NC(=O)Cc1occc1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990971080 809019649 /nfs/dbraw/zinc/01/96/49/809019649.db2.gz FVNPBWKENAJJJM-UHFFFAOYSA-N -1 1 344.327 -0.338 20 0 EBADMM O=C(NC1CN(C(=O)c2ccc3n[nH]nc3n2)C1)c1ncccc1[O-] ZINC000990975099 809022352 /nfs/dbraw/zinc/02/23/52/809022352.db2.gz NMQVZJAWHGMJMH-UHFFFAOYSA-N -1 1 339.315 -0.292 20 0 EBADMM COCCn1cc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)nn1 ZINC000990979121 809026369 /nfs/dbraw/zinc/02/63/69/809026369.db2.gz SSJSARXMYBYQQU-UHFFFAOYSA-N -1 1 346.347 -0.721 20 0 EBADMM O=C(NC1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1)[C@H]1COC(=O)N1 ZINC000991125981 809093783 /nfs/dbraw/zinc/09/37/83/809093783.db2.gz NSHPZURSXHLBKX-SNVBAGLBSA-N -1 1 347.331 -0.891 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)C1CCOCC1 ZINC000991185643 809134577 /nfs/dbraw/zinc/13/45/77/809134577.db2.gz FXSNFGDEOVVNEC-GXTWGEPZSA-N -1 1 349.387 -0.095 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)c2n[nH]cc2C)C1 ZINC000991244237 809186744 /nfs/dbraw/zinc/18/67/44/809186744.db2.gz HELQZGZFIQOBOB-UHFFFAOYSA-N -1 1 348.319 -0.818 20 0 EBADMM O=C(Cc1ccon1)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC000991343963 809332550 /nfs/dbraw/zinc/33/25/50/809332550.db2.gz PHMNHUWTFKIWNN-WCQYABFASA-N -1 1 346.343 -0.291 20 0 EBADMM O=C(Cc1cc[nH]n1)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC000991363879 809361352 /nfs/dbraw/zinc/36/13/52/809361352.db2.gz MPPPFVRDSLBXAY-WCQYABFASA-N -1 1 345.359 -0.555 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CCN(C(=O)Cc3ccn[nH]3)C[C@H]2O)c1[O-] ZINC000991398288 809409600 /nfs/dbraw/zinc/40/96/00/809409600.db2.gz YGHURBAPQSTSML-WDEREUQCSA-N -1 1 348.363 -0.919 20 0 EBADMM Cn1ccc(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1 ZINC000991406438 809423403 /nfs/dbraw/zinc/42/34/03/809423403.db2.gz CUEMSXRTRXAQFV-GWCFXTLKSA-N -1 1 345.359 -0.474 20 0 EBADMM Cn1cncc1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991406170 809423742 /nfs/dbraw/zinc/42/37/42/809423742.db2.gz BWOAJKIPHZFVPC-GXFFZTMASA-N -1 1 345.359 -0.474 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2n[nH]cc2F)C[C@H]1O)c1ncccc1[O-] ZINC000991407366 809425070 /nfs/dbraw/zinc/42/50/70/809425070.db2.gz DUULILWRGXSXGP-MWLCHTKSSA-N -1 1 349.322 -0.345 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cc[nH]n2)C[C@@H]1O)c1ncccc1[O-] ZINC000991409519 809427665 /nfs/dbraw/zinc/42/76/65/809427665.db2.gz OCQRLKQNAQUBIV-CABZTGNLSA-N -1 1 331.332 -0.484 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ccn[nH]2)C[C@@H]1O)c1ncccc1[O-] ZINC000991409519 809427669 /nfs/dbraw/zinc/42/76/69/809427669.db2.gz OCQRLKQNAQUBIV-CABZTGNLSA-N -1 1 331.332 -0.484 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccn2)C[C@@H]1O)c1ncccc1[O-] ZINC000991426690 809452427 /nfs/dbraw/zinc/45/24/27/809452427.db2.gz NKKDGJUVHSYGSG-JQWIXIFHSA-N -1 1 343.343 -0.417 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)[C@@H]1CC12CCC2 ZINC000991534413 809613734 /nfs/dbraw/zinc/61/37/34/809613734.db2.gz IPPTXPKHRTUZGG-JTQLQIEISA-N -1 1 342.359 -0.452 20 0 EBADMM Cn1cc(C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)n(C)c1=O ZINC001002642233 809757052 /nfs/dbraw/zinc/75/70/52/809757052.db2.gz LMEHNEUQDSNRBH-UHFFFAOYSA-N -1 1 345.359 -0.674 20 0 EBADMM COc1cc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)on1 ZINC001002643734 809757075 /nfs/dbraw/zinc/75/70/75/809757075.db2.gz XTYFDINUJMAFEO-UHFFFAOYSA-N -1 1 336.352 -0.501 20 0 EBADMM NC(=O)[C@H]1CC[C@@H]1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001003548783 809801229 /nfs/dbraw/zinc/80/12/29/809801229.db2.gz LLEZGMPAWPAJCR-QWRGUYRKSA-N -1 1 332.360 -0.513 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)c1nnn(C)n1 ZINC001004923668 809835171 /nfs/dbraw/zinc/83/51/71/809835171.db2.gz JXFJILMBYJBVLA-IUCAKERBSA-N -1 1 335.372 -0.793 20 0 EBADMM COCC(=O)N1CC[C@H](C)[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001005060063 809838777 /nfs/dbraw/zinc/83/87/77/809838777.db2.gz ZQKRBGMZIODPCV-ONGXEEELSA-N -1 1 348.363 -0.969 20 0 EBADMM CN(C(=O)c1cnc[nH]c1=O)C1CCN([C@H]2CCN(C)C2=O)CC1 ZINC001005558044 809858688 /nfs/dbraw/zinc/85/86/88/809858688.db2.gz CCRPEWTUEWMBOG-ZDUSSCGKSA-N -1 1 333.392 -0.051 20 0 EBADMM C[C@H](NC(=O)[C@@H]1CCCNC1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006255217 809889225 /nfs/dbraw/zinc/88/92/25/809889225.db2.gz GXPOWCXJIXLODW-CMPLNLGQSA-N -1 1 346.387 -0.110 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)c3cc4n(n3)CCC4)C2)nc1=O ZINC001007461425 809940118 /nfs/dbraw/zinc/94/01/18/809940118.db2.gz XTDQHNRQYNJBNP-LLVKDONJSA-N -1 1 345.407 -0.355 20 0 EBADMM Cn1cc(CCN2CCC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)cn1 ZINC001007802330 810021819 /nfs/dbraw/zinc/02/18/19/810021819.db2.gz WOUVQBAORIMIFU-NSHDSACASA-N -1 1 347.379 -0.547 20 0 EBADMM Cn1nnc(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])C(C)(C)C2)n1 ZINC001008065453 810071303 /nfs/dbraw/zinc/07/13/03/810071303.db2.gz VMGVBWDYKDPRBP-SNVBAGLBSA-N -1 1 345.363 -0.409 20 0 EBADMM Cc1cnn(C)c1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001008229123 810114920 /nfs/dbraw/zinc/11/49/20/810114920.db2.gz ISJYMXNXWIYKBW-NSHDSACASA-N -1 1 333.396 -0.455 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)c3csc(=O)[nH]3)C2)nc1=O ZINC001008244150 810117664 /nfs/dbraw/zinc/11/76/64/810117664.db2.gz WUOTYXBWYLZRQJ-MRVPVSSYSA-N -1 1 338.393 -0.335 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001008252450 810119987 /nfs/dbraw/zinc/11/99/87/810119987.db2.gz QOLBIJBFRMQRQH-SNVBAGLBSA-N -1 1 347.379 -0.693 20 0 EBADMM CC1(C)CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@@H]1CNC(=O)N1 ZINC001008514231 810181371 /nfs/dbraw/zinc/18/13/71/810181371.db2.gz GCHVCAXENNEUFV-GXSJLCMTSA-N -1 1 347.375 -0.565 20 0 EBADMM CC1(C)CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@@H]1NC(=O)C(N)=O ZINC001008530509 810188620 /nfs/dbraw/zinc/18/86/20/810188620.db2.gz YDPDINDHKZAZDL-JTQLQIEISA-N -1 1 347.375 -0.488 20 0 EBADMM Cc1ccn(CC(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001008571662 810196306 /nfs/dbraw/zinc/19/63/06/810196306.db2.gz SKYILJHYDXRVQW-LBPRGKRZSA-N -1 1 333.396 -0.606 20 0 EBADMM O=C(N[C@H]1CCCN(Cc2ccon2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001009199676 810233776 /nfs/dbraw/zinc/23/37/76/810233776.db2.gz CPNKDBHZMSVSSY-JTQLQIEISA-N -1 1 343.347 -0.200 20 0 EBADMM Cn1cncc1CC(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001009206075 810234936 /nfs/dbraw/zinc/23/49/36/810234936.db2.gz CVLBBZIIYVAWPP-LLVKDONJSA-N -1 1 333.396 -0.835 20 0 EBADMM C[C@@H]1CN(C(=O)CNC(=O)c2ccoc2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001214691142 810272021 /nfs/dbraw/zinc/27/20/21/810272021.db2.gz LKTODNMSHGAKBM-MWLCHTKSSA-N -1 1 348.363 -0.530 20 0 EBADMM CC[C@H](OC)C(=O)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001010622789 810288736 /nfs/dbraw/zinc/28/87/36/810288736.db2.gz ITFCJKZGLMDDCN-NSHDSACASA-N -1 1 348.363 -0.873 20 0 EBADMM C[C@H]1CN(C(=O)CN2CC[C@H](NC(=O)c3ncccc3[O-])C2)CCO1 ZINC001010735597 810295881 /nfs/dbraw/zinc/29/58/81/810295881.db2.gz YNXKVJQLXXWTBS-STQMWFEESA-N -1 1 348.403 -0.161 20 0 EBADMM Cc1cc(C)c(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c(=O)[nH]1 ZINC001015449805 810479270 /nfs/dbraw/zinc/47/92/70/810479270.db2.gz QLZCLNHNHUSKAF-LLVKDONJSA-N -1 1 346.391 -0.170 20 0 EBADMM CCc1nc2c(c(OC(=O)[C@H](CC(=O)[O-])[C@H](O)C(=O)[O-])n1)C[NH2+]C2 ZINC001218416241 810490363 /nfs/dbraw/zinc/49/03/63/810490363.db2.gz GPQDLOVCOGCQLO-KBUNVGBDSA-N -1 1 339.304 -0.916 20 0 EBADMM Cn1nnc(C(=O)N[C@H]2CC[C@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC001015526864 810515759 /nfs/dbraw/zinc/51/57/59/810515759.db2.gz UNSDDDMVDIGIRF-UWVGGRQHSA-N -1 1 345.363 -0.361 20 0 EBADMM C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@H](C)C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001218671928 810519487 /nfs/dbraw/zinc/51/94/87/810519487.db2.gz SLZCFRVTOMSRCO-SLPGGIOYSA-N -1 1 325.281 -0.644 20 0 EBADMM COc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cc(C)n1 ZINC001015621811 810547012 /nfs/dbraw/zinc/54/70/12/810547012.db2.gz LXDPKVUTXARTGY-GFCCVEGCSA-N -1 1 346.391 -0.175 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ccc4nonc4c3)C2)nc1=O ZINC001015645358 810553897 /nfs/dbraw/zinc/55/38/97/810553897.db2.gz FXLDZNFUPWYOPV-SNVBAGLBSA-N -1 1 343.347 -0.351 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cnn(C(F)F)c3)C2)nc1=O ZINC001015907550 810615871 /nfs/dbraw/zinc/61/58/71/810615871.db2.gz JAPQUKIOHUGMBH-SECBINFHSA-N -1 1 341.322 -0.296 20 0 EBADMM O=C(N[C@@H]1CCN(Cc2cnsn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001015991498 810644097 /nfs/dbraw/zinc/64/40/97/810644097.db2.gz FBKDDDWYBFBIIN-MRVPVSSYSA-N -1 1 346.376 -0.727 20 0 EBADMM Cc1oncc1CN1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001015992234 810645316 /nfs/dbraw/zinc/64/53/16/810645316.db2.gz MYMIZJGQLYULJF-LLVKDONJSA-N -1 1 343.347 -0.282 20 0 EBADMM Cn1cc(CN2CC[C@H](NC(=O)c3cc(=O)n4nc[n-]c4n3)C2)cn1 ZINC001015992221 810646472 /nfs/dbraw/zinc/64/64/72/810646472.db2.gz MNVXFYLMDBFXAJ-NSHDSACASA-N -1 1 342.363 -0.845 20 0 EBADMM COc1cccnc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001016094177 810663139 /nfs/dbraw/zinc/66/31/39/810663139.db2.gz SVRPEDJSTZUWJA-JTQLQIEISA-N -1 1 332.364 -0.484 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@H]3Cc4cccnc4C3)C2)nc1=O ZINC001016103933 810664667 /nfs/dbraw/zinc/66/46/67/810664667.db2.gz MRBSOOGRINBXON-STQMWFEESA-N -1 1 342.403 -0.391 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CC(N(C)C(=O)C(F)F)C1 ZINC001016144245 810678911 /nfs/dbraw/zinc/67/89/11/810678911.db2.gz QVBDGKGRUDCJDV-UHFFFAOYSA-N -1 1 346.290 -0.119 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cn4ccccc4n3)C2)nc1=O ZINC001016172645 810683810 /nfs/dbraw/zinc/68/38/10/810683810.db2.gz ARMPCGLUKQHEED-NSHDSACASA-N -1 1 341.375 -0.240 20 0 EBADMM CCCCNC(=O)CN1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001219495662 810720582 /nfs/dbraw/zinc/72/05/82/810720582.db2.gz KZYXJZRTWZCHRD-YPMHNXCESA-N -1 1 336.392 -0.522 20 0 EBADMM O=C(NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)CCO1)c1[nH]nc2c1CCC2 ZINC001035429059 810793263 /nfs/dbraw/zinc/79/32/63/810793263.db2.gz KCGJSKMPVIVLOK-SECBINFHSA-N -1 1 347.379 -0.647 20 0 EBADMM O=C(NC[C@H]1CN(CC2CC2)CCO1)c1c[n-]n2c1nccc2=O ZINC001035535902 810882511 /nfs/dbraw/zinc/88/25/11/810882511.db2.gz KVFWOQHFIFWNAR-LBPRGKRZSA-N -1 1 331.376 -0.137 20 0 EBADMM CNC(=O)CN1CCO[C@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001035535662 810883140 /nfs/dbraw/zinc/88/31/40/810883140.db2.gz JORFZPVVYYQEAY-LLVKDONJSA-N -1 1 349.391 -0.764 20 0 EBADMM COc1ccc2c(C[C@H](N)C(=O)N[C@@H]3CO[N-]C3=O)cc(=O)oc2c1 ZINC001220028087 810954391 /nfs/dbraw/zinc/95/43/91/810954391.db2.gz JZFAARGZDDIRIN-NWDGAFQWSA-N -1 1 347.327 -0.782 20 0 EBADMM Cn1cc(C(=O)N2CCC3(CN(Cc4nc(=O)n(C)[n-]4)C3)CC2)cn1 ZINC001035645994 810979431 /nfs/dbraw/zinc/97/94/31/810979431.db2.gz RDYJTQPBZIUPTI-UHFFFAOYSA-N -1 1 345.407 -0.420 20 0 EBADMM N=C(NC(=O)[C@H](N)Cc1cccc(O)c1)SCCS(=O)(=O)[O-] ZINC001220127567 810993341 /nfs/dbraw/zinc/99/33/41/810993341.db2.gz ZMVBOJPJMMMUAJ-SNVBAGLBSA-N -1 1 347.418 -0.066 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O)C(C)(F)F ZINC001220381788 811082696 /nfs/dbraw/zinc/08/26/96/811082696.db2.gz WMSIHXVBWDPOCZ-HLTSFMKQSA-N -1 1 333.339 -0.939 20 0 EBADMM C[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC[C@H]1NCc1ncccn1 ZINC001036092612 811096448 /nfs/dbraw/zinc/09/64/48/811096448.db2.gz IVHSCOAXSWTQBC-NXEZZACHSA-N -1 1 345.363 -0.287 20 0 EBADMM Cc1nnc(CN[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@H]2C)o1 ZINC001036097231 811096907 /nfs/dbraw/zinc/09/69/07/811096907.db2.gz VUNAKCFYDPBSQG-APPZFPTMSA-N -1 1 349.351 -0.385 20 0 EBADMM C[C@@H]1CCCN(C(=O)c2nccnc2N)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036523794 811170439 /nfs/dbraw/zinc/17/04/39/811170439.db2.gz ASCZTFKHWMEUFV-ZJUUUORDSA-N -1 1 346.395 -0.087 20 0 EBADMM C[C@H]1CCCN(C(=O)c2nnn(C)n2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036568945 811177105 /nfs/dbraw/zinc/17/71/05/811177105.db2.gz GXIVCAKBUKNGOA-IUCAKERBSA-N -1 1 335.372 -0.936 20 0 EBADMM CCC[C@](C)(CC)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001220615591 811178561 /nfs/dbraw/zinc/17/85/61/811178561.db2.gz IVESCUKYYKFYOG-WQGACYEGSA-N -1 1 339.440 -0.014 20 0 EBADMM O=C(Cn1cccn1)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036646348 811194281 /nfs/dbraw/zinc/19/42/81/811194281.db2.gz AVVLLRFKVQDHTQ-NWDGAFQWSA-N -1 1 331.380 -0.170 20 0 EBADMM CCC1(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)CCCC1 ZINC001220665879 811195741 /nfs/dbraw/zinc/19/57/41/811195741.db2.gz FWZOKNDQUAGKHT-NEPJUHHUSA-N -1 1 337.424 -0.260 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)c1 ZINC001036692717 811207080 /nfs/dbraw/zinc/20/70/80/811207080.db2.gz LQNLPERRKGRPKW-PWSUYJOCSA-N -1 1 331.380 -0.020 20 0 EBADMM Cn1ncc(C(=O)N2CC[C@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)c1N ZINC001036694290 811211189 /nfs/dbraw/zinc/21/11/89/811211189.db2.gz JQPDSNAWUFKHAA-ONGXEEELSA-N -1 1 346.395 -0.437 20 0 EBADMM Cn1ncc(C(=O)N2CC[C@@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)c1N ZINC001036694289 811211962 /nfs/dbraw/zinc/21/19/62/811211962.db2.gz JQPDSNAWUFKHAA-MWLCHTKSSA-N -1 1 346.395 -0.437 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036788868 811253980 /nfs/dbraw/zinc/25/39/80/811253980.db2.gz IOCQVULZWWJTCB-UWVGGRQHSA-N -1 1 332.368 -0.327 20 0 EBADMM O=C(Cc1ncc[nH]1)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036851754 811287448 /nfs/dbraw/zinc/28/74/48/811287448.db2.gz REKRXLSXXZBOIR-WDEREUQCSA-N -1 1 331.380 -0.101 20 0 EBADMM CCn1cc(C(=O)N2CC[C@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)nn1 ZINC001036856716 811291939 /nfs/dbraw/zinc/29/19/39/811291939.db2.gz WSRAIOKIYOIYCJ-JQWIXIFHSA-N -1 1 346.395 -0.142 20 0 EBADMM Cc1nonc1CNC[C@H]1CCC[C@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001037037738 811347920 /nfs/dbraw/zinc/34/79/20/811347920.db2.gz CQFIUYHGFRQYCP-RKDXNWHRSA-N -1 1 349.351 -0.337 20 0 EBADMM COCCOCCC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001222076402 811591685 /nfs/dbraw/zinc/59/16/85/811591685.db2.gz GOXQQWJRUCHNFJ-NSHDSACASA-N -1 1 327.385 -0.356 20 0 EBADMM O=C(COC[C@H]1CCCO1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001222136262 811601664 /nfs/dbraw/zinc/60/16/64/811601664.db2.gz JOLFXHQNLHCGES-NWDGAFQWSA-N -1 1 339.396 -0.214 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)C2(NC(N)=O)CC2)C1 ZINC001079652853 811638625 /nfs/dbraw/zinc/63/86/25/811638625.db2.gz KFWPLBWBLXSTAP-UHFFFAOYSA-N -1 1 347.375 -0.339 20 0 EBADMM CCN(C(=O)[C@H](C)NC(N)=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079664619 811648531 /nfs/dbraw/zinc/64/85/31/811648531.db2.gz CYQYKKBHZHQZII-VIFPVBQESA-N -1 1 335.364 -0.483 20 0 EBADMM CCn1nnc(C)c1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001079839010 811752056 /nfs/dbraw/zinc/75/20/56/811752056.db2.gz YGRMNJBAUWAZDV-MWLCHTKSSA-N -1 1 348.411 -0.721 20 0 EBADMM Cc1nn(C)cc1CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001079862795 811766602 /nfs/dbraw/zinc/76/66/02/811766602.db2.gz IMVYNUUZANCJEW-ZWNOBZJWSA-N -1 1 347.423 -0.670 20 0 EBADMM O=[P@]([O-])(O)CCO[C@@H](C[n+]1ccccc1)CS(=O)(=O)[O-] ZINC001224603235 811767037 /nfs/dbraw/zinc/76/70/37/811767037.db2.gz WOECJHXXBAKRCN-JTQLQIEISA-O -1 1 326.287 -0.575 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001079912943 811784406 /nfs/dbraw/zinc/78/44/06/811784406.db2.gz JYCPXZXCYQBCBV-VXNVDRBHSA-N -1 1 335.368 -0.904 20 0 EBADMM CCN(C(=O)C1(C(=O)NC)CC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001080080546 811887104 /nfs/dbraw/zinc/88/71/04/811887104.db2.gz JZLWPXCWXZTFCY-UHFFFAOYSA-N -1 1 346.387 -0.014 20 0 EBADMM COc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)nn1C ZINC001080094877 811894539 /nfs/dbraw/zinc/89/45/39/811894539.db2.gz QZVRYDQNXGXSAH-MWLCHTKSSA-N -1 1 349.395 -0.899 20 0 EBADMM CCN(C(=O)CCn1cc[n-]c(=O)c1=O)C1CN(C(=O)[C@H]2C[C@H]2C)C1 ZINC001080100139 811898565 /nfs/dbraw/zinc/89/85/65/811898565.db2.gz NQAJXRDUZHUUTC-YPMHNXCESA-N -1 1 348.403 -0.358 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)CCOCC(F)F)CC2)nc1=O ZINC001227408330 811922215 /nfs/dbraw/zinc/92/22/15/811922215.db2.gz KJEZJWXCKQDPTK-UHFFFAOYSA-N -1 1 347.366 -0.139 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)CCCn3ccnn3)CC2)nc1=O ZINC001227762763 811941887 /nfs/dbraw/zinc/94/18/87/811941887.db2.gz MIWACVSNCCSKQS-UHFFFAOYSA-N -1 1 348.411 -0.739 20 0 EBADMM CC[C@@H](NC(C)=O)C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001228721091 811995613 /nfs/dbraw/zinc/99/56/13/811995613.db2.gz FGZLVQQFQLSUDX-IJLUTSLNSA-N -1 1 338.412 -0.058 20 0 EBADMM CCS(=O)(=O)CC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001230266702 812095185 /nfs/dbraw/zinc/09/51/85/812095185.db2.gz VNQBVUPXCPEEJV-NXEZZACHSA-N -1 1 345.425 -0.538 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@]1(C)CCCOC1 ZINC001080683277 812134961 /nfs/dbraw/zinc/13/49/61/812134961.db2.gz OGXJHUMLAJWDIA-XHBSWPGZSA-N -1 1 337.424 -0.138 20 0 EBADMM COc1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)nn1 ZINC001080721282 812167036 /nfs/dbraw/zinc/16/70/36/812167036.db2.gz JUYVOUYSWHJZBE-MWLCHTKSSA-N -1 1 347.379 -0.843 20 0 EBADMM O=C(NC1(CO)CCN(C(=O)c2ncccc2[O-])CC1)[C@H]1CCOC1 ZINC001080761092 812204988 /nfs/dbraw/zinc/20/49/88/812204988.db2.gz XYGWQDSCNDNQNQ-LBPRGKRZSA-N -1 1 349.387 -0.093 20 0 EBADMM CCc1nc[nH]c1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001080866027 812303761 /nfs/dbraw/zinc/30/37/61/812303761.db2.gz RNEHNQGPKFFSFL-MWLCHTKSSA-N -1 1 333.396 -0.356 20 0 EBADMM CC[C@@H](C)OCC(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001233716394 812332910 /nfs/dbraw/zinc/33/29/10/812332910.db2.gz RPOZUHWOFJNUML-VXGBXAGGSA-N -1 1 325.413 -0.044 20 0 EBADMM C[C@@H](C(=O)NC(N)=O)N1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001233837753 812354581 /nfs/dbraw/zinc/35/45/81/812354581.db2.gz QBAFXXDKIGLZQO-VHSXEESVSA-N -1 1 335.364 -0.483 20 0 EBADMM CC(=O)OC[C@H](COc1c(C(N)=O)nc(C)[n-]c1=O)OC(C)=O ZINC001234470584 812429635 /nfs/dbraw/zinc/42/96/35/812429635.db2.gz UZXOGSXHJMBIKG-SECBINFHSA-N -1 1 327.293 -0.537 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)C1(F)CCOCC1 ZINC001235107445 812470815 /nfs/dbraw/zinc/47/08/15/812470815.db2.gz XPTGLOYQGAMJMG-NSHDSACASA-N -1 1 341.387 -0.340 20 0 EBADMM Cc1c(CC(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)cnn1C ZINC001235173883 812473019 /nfs/dbraw/zinc/47/30/19/812473019.db2.gz SZIZGYFCHMHNLL-ZDUSSCGKSA-N -1 1 347.423 -0.574 20 0 EBADMM COc1cc(F)cc(F)c1S(=O)(=O)[N-]CCN1C(=O)CNC1=O ZINC001251972368 812639501 /nfs/dbraw/zinc/63/95/01/812639501.db2.gz JTUFCPYASAKKBF-UHFFFAOYSA-N -1 1 349.315 -0.197 20 0 EBADMM O=C(COCC1CC1)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001081297124 812681452 /nfs/dbraw/zinc/68/14/52/812681452.db2.gz GZRAYSLVGHBDSM-JSGCOSHPSA-N -1 1 349.387 -0.237 20 0 EBADMM COCCCC(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001081303374 812699507 /nfs/dbraw/zinc/69/95/07/812699507.db2.gz WPHLHIDPPPTMKX-AAEUAGOBSA-N -1 1 337.376 -0.237 20 0 EBADMM C[C@@H](CS(=O)(=O)c1ccccc1)Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001255805096 812722056 /nfs/dbraw/zinc/72/20/56/812722056.db2.gz SXTUSQYRNXDKFS-WPRPVWTQSA-N -1 1 341.414 -0.026 20 0 EBADMM COC(=O)[C@@H](CC(N)=O)[N-]S(=O)(=O)c1c(C)nn(C)c1Cl ZINC001258479233 812795608 /nfs/dbraw/zinc/79/56/08/812795608.db2.gz MICKEUBYJTVVCB-ZCFIWIBFSA-N -1 1 338.773 -0.923 20 0 EBADMM NC(=O)C([N-]S(=O)(=O)c1cc(Cl)sc1Cl)C(N)=O ZINC001260472654 812834061 /nfs/dbraw/zinc/83/40/61/812834061.db2.gz WZBDPOHJYDYURP-UHFFFAOYSA-N -1 1 332.190 -0.328 20 0 EBADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CCNC(=O)CC2)c1 ZINC001261243729 812884824 /nfs/dbraw/zinc/88/48/24/812884824.db2.gz PEWSAUFJCTYXNW-MRVPVSSYSA-N -1 1 327.362 -0.106 20 0 EBADMM COCC1(C(=O)N2C[C@H](O)[C@H](CNC(=O)c3ncccc3[O-])C2)CC1 ZINC001081427236 812919613 /nfs/dbraw/zinc/91/96/13/812919613.db2.gz CDHRRAKGBGSSDO-YPMHNXCESA-N -1 1 349.387 -0.237 20 0 EBADMM O=C([N-]OC[C@H]1CCOC1)C(=O)NC[C@@H]1CCN1C1CCOCC1 ZINC001262318796 813066887 /nfs/dbraw/zinc/06/68/87/813066887.db2.gz PFYUXGFYWGTFJS-JSGCOSHPSA-N -1 1 341.408 -0.560 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2CCN(c3ccccc3)C(=O)C2)CNCCO1 ZINC001263175159 813108908 /nfs/dbraw/zinc/10/89/08/813108908.db2.gz UXPJDMAHMNFMQP-INIZCTEOSA-N -1 1 333.344 -0.695 20 0 EBADMM CNC(=O)NC(=O)[C@H](C)N(C)CCCNC(=O)c1[nH]nc(C)c1[O-] ZINC001264977701 813208889 /nfs/dbraw/zinc/20/88/89/813208889.db2.gz RUSOCEGPHLQJHL-VIFPVBQESA-N -1 1 340.384 -0.680 20 0 EBADMM CO[C@@H]1CN(CCN2CCCC2=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001081576108 813217860 /nfs/dbraw/zinc/21/78/60/813217860.db2.gz KLTHWZNXLOXBBF-TZMCWYRMSA-N -1 1 348.403 -0.161 20 0 EBADMM CCN(CCCNC(=O)c1cc(=O)n(C)cn1)Cc1n[nH]c(=O)[n-]1 ZINC001265117528 813242069 /nfs/dbraw/zinc/24/20/69/813242069.db2.gz AQEJLMGXGIOUTH-UHFFFAOYSA-N -1 1 335.368 -0.754 20 0 EBADMM CC(=O)NCCOCCN1CC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001265279122 813287921 /nfs/dbraw/zinc/28/79/21/813287921.db2.gz ORDFQTQBJFNUPO-GFCCVEGCSA-N -1 1 339.396 -0.619 20 0 EBADMM C[C@H](CNC(=O)c1ncn(-c2ccccc2)n1)NCc1n[nH]c(=O)[n-]1 ZINC001265788752 813371613 /nfs/dbraw/zinc/37/16/13/813371613.db2.gz RYSKJRNXTULQIH-SNVBAGLBSA-N -1 1 342.363 -0.001 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N[C@H]1CCN(CCOCC(F)F)C1 ZINC001266357122 813546872 /nfs/dbraw/zinc/54/68/72/813546872.db2.gz YPVLIRBCRHVFHK-QMMMGPOBSA-N -1 1 335.311 -0.839 20 0 EBADMM CCNC(=O)CC(=O)N1CCC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001266456377 813573590 /nfs/dbraw/zinc/57/35/90/813573590.db2.gz VJIKYVKLILQJBT-NSHDSACASA-N -1 1 338.412 -0.293 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@H](C)C1CCC1 ZINC001081843152 813636521 /nfs/dbraw/zinc/63/65/21/813636521.db2.gz ODCQOUXDEUSUSW-RAIGVLPGSA-N -1 1 337.424 -0.140 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1[nH]c(C)cc1C ZINC001081857761 813642279 /nfs/dbraw/zinc/64/22/79/813642279.db2.gz AUOBLGOPYDIPBZ-VXGBXAGGSA-N -1 1 348.407 -0.317 20 0 EBADMM Cc1nnc(CN[C@@H](C)CCNC(=O)c2c[n-]n3c2nccc3=O)[nH]1 ZINC001267147982 813769734 /nfs/dbraw/zinc/76/97/34/813769734.db2.gz FZVOHMKVPYNJGP-VIFPVBQESA-N -1 1 344.379 -0.253 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1[nH]c(C)nc1C ZINC001082143903 813788951 /nfs/dbraw/zinc/78/89/51/813788951.db2.gz LHZPFBJLXYRFHQ-GHMZBOCLSA-N -1 1 349.395 -0.922 20 0 EBADMM CCC(=O)NC[C@@H]1CCCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001082179225 813805469 /nfs/dbraw/zinc/80/54/69/813805469.db2.gz XDXBWPTYEIUTLI-JTQLQIEISA-N -1 1 332.364 -0.062 20 0 EBADMM CO[C@@H](C)CN1C[C@@H](NC(=O)c2c[n-]n3c2nccc3=O)[C@H](OC)C1 ZINC001082210744 813825686 /nfs/dbraw/zinc/82/56/86/813825686.db2.gz QETSFWFFOSZNKC-CYZMBNFOSA-N -1 1 349.391 -0.514 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1c(C)n[nH]c1C ZINC001082245877 813843676 /nfs/dbraw/zinc/84/36/76/813843676.db2.gz GGFCWHIBFLGCSQ-GHMZBOCLSA-N -1 1 349.395 -0.922 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1sccc1-n1cnnn1 ZINC001267394625 813875328 /nfs/dbraw/zinc/87/53/28/813875328.db2.gz BWGRMELKRQGHLX-UHFFFAOYSA-N -1 1 349.380 -0.591 20 0 EBADMM CO[C@@H]1CN(C[C@H](C)O)C[C@H]1NC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001082324263 813895650 /nfs/dbraw/zinc/89/56/50/813895650.db2.gz IMCVKFHFVGDYMZ-MKPLZMMCSA-N -1 1 336.348 -0.262 20 0 EBADMM CC(C)N(CCCNC(=O)[C@@H]1CN(C)C(=O)N1)Cc1n[nH]c(=O)[n-]1 ZINC001267505557 813917723 /nfs/dbraw/zinc/91/77/23/813917723.db2.gz BZIXQSMFYQEEHG-JTQLQIEISA-N -1 1 339.400 -0.749 20 0 EBADMM CNC(=O)C1(C(=O)N2CC[C@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001267592300 813944634 /nfs/dbraw/zinc/94/46/34/813944634.db2.gz RBPHJNJEPSSWKQ-SNVBAGLBSA-N -1 1 336.396 -0.683 20 0 EBADMM CC[C@@]1(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)CCNC1=O ZINC001082572190 813972192 /nfs/dbraw/zinc/97/21/92/813972192.db2.gz QUQMIBHLXFZOFO-IQMDTDKHSA-N -1 1 336.396 -0.637 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N1CCC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001267875331 814060064 /nfs/dbraw/zinc/06/00/64/814060064.db2.gz JKAPFMFACZNZLQ-ZYHUDNBSSA-N -1 1 338.412 -0.247 20 0 EBADMM O=C(c1ncccc1[O-])N1CCO[C@@H]2CN([C@@H]3CCNC3=O)C[C@@H]21 ZINC001083059562 814097950 /nfs/dbraw/zinc/09/79/50/814097950.db2.gz KXCNQFFFMUISGA-NTZNESFSSA-N -1 1 332.360 -0.799 20 0 EBADMM CC(C)C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CCCNC1=O ZINC001268103563 814126912 /nfs/dbraw/zinc/12/69/12/814126912.db2.gz CUJHWBTZMBRIFY-GHMZBOCLSA-N -1 1 338.412 -0.343 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)CC4(C)CC4)[C@H]3C2)nc1=O ZINC001083146838 814162693 /nfs/dbraw/zinc/16/26/93/814162693.db2.gz HDHRPPUNOOQJTL-NWDGAFQWSA-N -1 1 335.408 -0.290 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1noc2c1COCC2 ZINC001268500819 814267326 /nfs/dbraw/zinc/26/73/26/814267326.db2.gz NANGQLFTSBFVOB-UHFFFAOYSA-N -1 1 336.352 -0.177 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)CC3CCCCC3)[C@@H](O)C2)nc1=O ZINC001083326958 814288596 /nfs/dbraw/zinc/28/85/96/814288596.db2.gz WMJWKOICWRNZHW-OLZOCXBDSA-N -1 1 337.424 -0.260 20 0 EBADMM Cc1cnc(CNC[C@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)nc1 ZINC001268709081 814359822 /nfs/dbraw/zinc/35/98/22/814359822.db2.gz NEQFAUUSCSRAMH-LBPRGKRZSA-N -1 1 346.391 -0.680 20 0 EBADMM Cc1nnc(CN2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)s1 ZINC001083423072 814403414 /nfs/dbraw/zinc/40/34/14/814403414.db2.gz CQBCBGALMJBQGG-KOLCDFICSA-N -1 1 335.389 -0.078 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C34CCC(CC3)C4)[C@@H](O)C2)nc1=O ZINC001083571968 814574030 /nfs/dbraw/zinc/57/40/30/814574030.db2.gz VGSMASGEMWXRGC-QNGPIJNLSA-N -1 1 335.408 -0.650 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)CC3CC(F)(F)C3)[C@@H](O)C2)nc1=O ZINC001083579235 814581139 /nfs/dbraw/zinc/58/11/39/814581139.db2.gz BBZCPEMCSIJBIM-ZJUUUORDSA-N -1 1 345.350 -0.795 20 0 EBADMM Cc1ccc(CN2C[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)[C@@H](O)C2)cc1 ZINC001083606702 814616229 /nfs/dbraw/zinc/61/62/29/814616229.db2.gz LGPKKBIJCXLIKT-NEPJUHHUSA-N -1 1 345.359 -0.434 20 0 EBADMM O=C(N[C@@H]1CN(CC2CC(F)(F)C2)C[C@@H]1O)c1n[nH]c(=O)[n-]c1=O ZINC001083606743 814616383 /nfs/dbraw/zinc/61/63/83/814616383.db2.gz NLYAWKFWFUJYDC-SFYZADRCSA-N -1 1 345.306 -0.897 20 0 EBADMM O=C(NCCn1ccnn1)[C@@H]1CC12CN(C(=O)c1ncccc1[O-])C2 ZINC001269346035 814650412 /nfs/dbraw/zinc/65/04/12/814650412.db2.gz IMKNJBFCRXUHKM-NSHDSACASA-N -1 1 342.359 -0.343 20 0 EBADMM O=C(c1ccc([O-])cn1)N1C[C@@]2(F)C(=O)N(C3COC3)C[C@@]2(F)C1 ZINC001269354241 814658526 /nfs/dbraw/zinc/65/85/26/814658526.db2.gz DLKHGJUOVAWPPS-LSDHHAIUSA-N -1 1 339.298 -0.099 20 0 EBADMM CC[C@@H](C(N)=O)N1CC2(CN(C(=O)c3ccc([O-])cn3)C2)OCC1=O ZINC001269355404 814660561 /nfs/dbraw/zinc/66/05/61/814660561.db2.gz YLAQPQDNPWJDTC-LBPRGKRZSA-N -1 1 348.359 -0.896 20 0 EBADMM Cc1ncc(CO)c(C(=O)N2CCOC[C@]3(CC(=O)N(C)C3)C2)c1[O-] ZINC001269418739 814684177 /nfs/dbraw/zinc/68/41/77/814684177.db2.gz CTUOBISILLUZMD-KRWDZBQOSA-N -1 1 349.387 -0.091 20 0 EBADMM CCCCN1C[C@]2(CC1=O)COCCN(C(=O)Cc1nn[n-]n1)C2 ZINC001269575949 814732307 /nfs/dbraw/zinc/73/23/07/814732307.db2.gz CCXSFADZOCRNJJ-HNNXBMFYSA-N -1 1 336.396 -0.380 20 0 EBADMM CC(=O)[C@@H](C)NC(=O)[C@@H]1CC12CCN(C(=O)Cc1nn[n-]n1)CC2 ZINC001269575891 814732597 /nfs/dbraw/zinc/73/25/97/814732597.db2.gz ACAPDAPFIQQNLF-KOLCDFICSA-N -1 1 334.380 -0.535 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@]2(CCN(Cc3ncccn3)C2=O)C1 ZINC001269577131 814735527 /nfs/dbraw/zinc/73/55/27/814735527.db2.gz FFHDXXUSFCIYHZ-OAHLLOKOSA-N -1 1 342.363 -0.817 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@@]2(CCN(CC3CCOCC3)C2=O)C1 ZINC001269578172 814736289 /nfs/dbraw/zinc/73/62/89/814736289.db2.gz VCHMWNFMDKGNSQ-INIZCTEOSA-N -1 1 348.407 -0.380 20 0 EBADMM Cc1cc(CN2CC(N(C)C(=O)CCn3cc[n-]c(=O)c3=O)C2)no1 ZINC001269861401 815622774 /nfs/dbraw/zinc/62/27/74/815622774.db2.gz HOPQCIHMUARGBN-UHFFFAOYSA-N -1 1 347.375 -0.434 20 0 EBADMM Cn1nnnc1NC(=O)C12CC(NC(=O)c3ccc([O-])cn3)(C1)C2 ZINC001270138644 815697995 /nfs/dbraw/zinc/69/79/95/815697995.db2.gz HCYSDUTWDYJPHX-UHFFFAOYSA-N -1 1 329.320 -0.398 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)c3c[nH]cc3C3CC3)[C@@H](O)C2)nc1=O ZINC001083902843 815698345 /nfs/dbraw/zinc/69/83/45/815698345.db2.gz BWPPRFJXFXSEGZ-OLZOCXBDSA-N -1 1 346.391 -0.711 20 0 EBADMM COCCN(C)C(=O)C1=NO[C@@H]2CN(C(=O)c3ccc([O-])cn3)C[C@H]12 ZINC001270139776 815699342 /nfs/dbraw/zinc/69/93/42/815699342.db2.gz ZZEBGSKAEVNXOM-WCQYABFASA-N -1 1 348.359 -0.281 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@H]3CC34CCCC4)[C@@H](O)C2)nc1=O ZINC001083921150 815709860 /nfs/dbraw/zinc/70/98/60/815709860.db2.gz BJRUFSSFGQEBHG-UTUOFQBUSA-N -1 1 335.408 -0.650 20 0 EBADMM COC1(CC(=O)N2C[C@H]3C[C@@H](C2)N(C(=O)Cc2nn[n-]n2)C3)CCC1 ZINC001270182888 815714333 /nfs/dbraw/zinc/71/43/33/815714333.db2.gz NHPSCEICUVFWSO-NEPJUHHUSA-N -1 1 348.407 -0.239 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC2(CCN2C(=O)Cc2ccsc2)C1 ZINC001270182864 815714434 /nfs/dbraw/zinc/71/44/34/815714434.db2.gz MWFMUUGBISYZFV-UHFFFAOYSA-N -1 1 332.389 -0.140 20 0 EBADMM CC[C@@H](F)CN1C[C@@H](NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)[C@@H](O)C1 ZINC001083949983 815732735 /nfs/dbraw/zinc/73/27/35/815732735.db2.gz VLTKFFOAAYCEPG-HLTSFMKQSA-N -1 1 344.343 -0.581 20 0 EBADMM COCCOCN1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H](C1)O2 ZINC001270257616 815736543 /nfs/dbraw/zinc/73/65/43/815736543.db2.gz CGENNPIHPTXCMT-BETUJISGSA-N -1 1 337.376 -0.067 20 0 EBADMM CCc1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)cc1 ZINC001084017914 815774202 /nfs/dbraw/zinc/77/42/02/815774202.db2.gz NTVWRTMSIPSFLV-KGLIPLIRSA-N -1 1 345.403 -0.354 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4cncs4)[C@@H]3C2)nc1=O ZINC001084212356 815866328 /nfs/dbraw/zinc/86/63/28/815866328.db2.gz FPYBOAUYNMTJAI-NXEZZACHSA-N -1 1 334.405 -0.089 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)Cc4cc[nH]n4)[C@@H]3C2)nc1=O ZINC001084247364 815873976 /nfs/dbraw/zinc/87/39/76/815873976.db2.gz DVVNGIUQWXIODQ-ZYHUDNBSSA-N -1 1 331.380 -0.893 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)CC[C@H]4CCOC4)[C@@H]3C2)nc1=O ZINC001084267907 815878301 /nfs/dbraw/zinc/87/83/01/815878301.db2.gz AOYGCSRFGZGJFX-BFHYXJOUSA-N -1 1 349.435 -0.042 20 0 EBADMM NC(=O)[C@@H]1OC2(CCN(C(=O)Cc3nn[n-]n3)CC2)c2ccccc21 ZINC001270785071 815889352 /nfs/dbraw/zinc/88/93/52/815889352.db2.gz RDBSPSAQLMPOHL-CQSZACIVSA-N -1 1 342.359 -0.183 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC2(C1)CC[C@@H](C(=O)N1CCCCO1)O2 ZINC001270788354 815890262 /nfs/dbraw/zinc/89/02/62/815890262.db2.gz GFCWYCUSOAPEHK-JTQLQIEISA-N -1 1 336.352 -0.944 20 0 EBADMM C[C@H]1c2nc(C(=O)N3CC=CC3)cn2CCN1C(=O)Cc1nn[n-]n1 ZINC001270789895 815893252 /nfs/dbraw/zinc/89/32/52/815893252.db2.gz WSDRARYSHNTATE-JTQLQIEISA-N -1 1 342.363 -0.446 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCc2cc(C(=O)NCC(F)F)[nH]c2C1 ZINC001270789990 815894532 /nfs/dbraw/zinc/89/45/32/815894532.db2.gz YXTGKVHFWCFWHS-UHFFFAOYSA-N -1 1 339.306 -0.350 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)c1ccnc2c1nnn2C ZINC001271076128 815975946 /nfs/dbraw/zinc/97/59/46/815975946.db2.gz RFGBJNSGUAPZDL-MRVPVSSYSA-N -1 1 345.367 -0.563 20 0 EBADMM Cc1conc1C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001084760300 815976427 /nfs/dbraw/zinc/97/64/27/815976427.db2.gz CDCCVKHFIXRHOI-GHMZBOCLSA-N -1 1 332.364 -0.249 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)[C@H]4CCOC4)C[C@@H]32)nc1=O ZINC001084901271 816000209 /nfs/dbraw/zinc/00/02/09/816000209.db2.gz DEFDXOXVKIDXEQ-AGIUHOORSA-N -1 1 335.408 -0.432 20 0 EBADMM Cn1[n-]c(CN2CC(O)(CNC(=O)[C@]3(C)CC=CCC3)C2)nc1=O ZINC001271289214 816064160 /nfs/dbraw/zinc/06/41/60/816064160.db2.gz INIZXYDHTXQLEU-OAHLLOKOSA-N -1 1 335.408 -0.482 20 0 EBADMM CCn1nccc1C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085563606 816073169 /nfs/dbraw/zinc/07/31/69/816073169.db2.gz RYZYZEFOFNOOOE-LLVKDONJSA-N -1 1 333.396 -0.329 20 0 EBADMM Cc1cc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)cnn1 ZINC001085566546 816073895 /nfs/dbraw/zinc/07/38/95/816073895.db2.gz LTKOFQOSNJUSHN-GFCCVEGCSA-N -1 1 331.380 -0.447 20 0 EBADMM CCn1nnc(C)c1C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085635298 816093736 /nfs/dbraw/zinc/09/37/36/816093736.db2.gz MWYXNTNZDHIQOM-NSHDSACASA-N -1 1 348.411 -0.625 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085779318 816144160 /nfs/dbraw/zinc/14/41/60/816144160.db2.gz RWIURLGGVBFSBU-JTQLQIEISA-N -1 1 347.379 -0.741 20 0 EBADMM Cc1ncc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1C ZINC001085783738 816145543 /nfs/dbraw/zinc/14/55/43/816145543.db2.gz CPBRJEHRASIIAS-LLVKDONJSA-N -1 1 333.396 -0.503 20 0 EBADMM Cc1ncc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)c(C)n1 ZINC001085879143 816168997 /nfs/dbraw/zinc/16/89/97/816168997.db2.gz YHMALRRJSQCEMC-LBPRGKRZSA-N -1 1 345.407 -0.138 20 0 EBADMM CCn1cc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)nn1 ZINC001085896804 816174386 /nfs/dbraw/zinc/17/43/86/816174386.db2.gz NFKPMNIFDKQZQG-JTQLQIEISA-N -1 1 334.384 -0.934 20 0 EBADMM Cc1cc(=O)c(C(=O)NC[C@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C2)c[nH]1 ZINC001086524726 816362191 /nfs/dbraw/zinc/36/21/91/816362191.db2.gz GNFULTUKEBGGSS-MGCOHNPYSA-N -1 1 332.364 -0.195 20 0 EBADMM Cn1cnnc1CN[C@@H]1CC[C@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001086746883 816399941 /nfs/dbraw/zinc/39/99/41/816399941.db2.gz WLASTLRNTUCZAA-DTWKUNHWSA-N -1 1 348.367 -0.900 20 0 EBADMM Cc1ccc([O-])c(C(=O)N2CC[C@@]3(C2)CN(C2COC2)C(=O)CO3)n1 ZINC001272386144 816416863 /nfs/dbraw/zinc/41/68/63/816416863.db2.gz AFLQSFWDYQEBCT-QGZVFWFLSA-N -1 1 347.371 -0.062 20 0 EBADMM O=C(NC[C@H](O)CNCc1n[nH]c(=O)[n-]1)c1ccc2ncccc2c1 ZINC001272512230 816452783 /nfs/dbraw/zinc/45/27/83/816452783.db2.gz JZNKPKNFKFNDCF-GFCCVEGCSA-N -1 1 342.359 -0.061 20 0 EBADMM C[C@@H]1CCN(C(=O)c2cn(C)nn2)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087161318 816469806 /nfs/dbraw/zinc/46/98/06/816469806.db2.gz FFQLPJOPJZNOEL-ZJUUUORDSA-N -1 1 334.384 -0.331 20 0 EBADMM Cc1nnc(CC(=O)N2CC[C@@H](C)C[C@H]2CNCc2n[nH]c(=O)[n-]2)[nH]1 ZINC001087163764 816471031 /nfs/dbraw/zinc/47/10/31/816471031.db2.gz OMXDVDFUUVDFOW-KOLCDFICSA-N -1 1 348.411 -0.104 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2ccnn2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087518626 816527726 /nfs/dbraw/zinc/52/77/26/816527726.db2.gz ZUMNTKDSJWLYJS-WDEREUQCSA-N -1 1 333.396 -0.375 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2c(C)cnn2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087691883 816550032 /nfs/dbraw/zinc/55/00/32/816550032.db2.gz ZKTJOVWIBLHSPB-NWDGAFQWSA-N -1 1 347.423 -0.067 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2ccnnc2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087738034 816555038 /nfs/dbraw/zinc/55/50/38/816555038.db2.gz CWJDHGHNLRMIBC-NWDGAFQWSA-N -1 1 331.380 -0.319 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2ccnc(C)n2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087833405 816564529 /nfs/dbraw/zinc/56/45/29/816564529.db2.gz YPCJHHLGBBQUOU-WCQYABFASA-N -1 1 345.407 -0.010 20 0 EBADMM Cc1cc(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]3C2)on1 ZINC001087882605 816572938 /nfs/dbraw/zinc/57/29/38/816572938.db2.gz BIKLEZBELDJZCE-NEPJUHHUSA-N -1 1 346.391 -0.001 20 0 EBADMM C[C@H](C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C)n1cccn1 ZINC001088476751 816688831 /nfs/dbraw/zinc/68/88/31/816688831.db2.gz DEPFQKPHGWWCQU-UTUOFQBUSA-N -1 1 333.396 -0.355 20 0 EBADMM Cc1nnccc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001088708998 816728989 /nfs/dbraw/zinc/72/89/89/816728989.db2.gz NZKPLTLNHGQASI-PWSUYJOCSA-N -1 1 331.380 -0.400 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cnc([O-])n(C)c2=O)CCN1Cc1cnn(C)c1 ZINC001088789441 816739339 /nfs/dbraw/zinc/73/93/39/816739339.db2.gz NZNWYVULNFBCEX-MFKMUULPSA-N -1 1 346.391 -0.388 20 0 EBADMM Cc1ncc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)n1C ZINC001088818436 816747066 /nfs/dbraw/zinc/74/70/66/816747066.db2.gz OUZSLIJWXALPHY-KOLCDFICSA-N -1 1 333.396 -0.457 20 0 EBADMM CC(C)[C@@H](O)C(=O)N1CCCC[C@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001089170256 816811421 /nfs/dbraw/zinc/81/14/21/816811421.db2.gz CYLBQWRZOPXKAX-GXTWGEPZSA-N -1 1 339.440 -0.062 20 0 EBADMM Cc1ccc([O-])c(C(=O)N2CC3(CCN3S(=O)(=O)N(C)C)C2)n1 ZINC001274067156 816854960 /nfs/dbraw/zinc/85/49/60/816854960.db2.gz JLOMFEDXMZQKSY-UHFFFAOYSA-N -1 1 340.405 -0.198 20 0 EBADMM Cn1[n-]c(CN2CC(C)(C)CC[C@H]2CNC(=O)c2cnon2)nc1=O ZINC001089715386 816902422 /nfs/dbraw/zinc/90/24/22/816902422.db2.gz VZYCLSFEHMUIHR-JTQLQIEISA-N -1 1 349.395 -0.088 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ccc(F)cc3)[C@@H](O)C2)nc1=O ZINC001090015935 816970186 /nfs/dbraw/zinc/97/01/86/816970186.db2.gz DMTACBARKYUQNJ-STQMWFEESA-N -1 1 349.366 -0.387 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)CC3CCCC3)[C@@H](O)C2)nc1=O ZINC001090033857 816976509 /nfs/dbraw/zinc/97/65/09/816976509.db2.gz MILZOCWWJHXHNP-STQMWFEESA-N -1 1 337.424 -0.260 20 0 EBADMM Cc1[nH]ccc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001090173861 817090703 /nfs/dbraw/zinc/09/07/03/817090703.db2.gz GMOWMJXWFAGRCF-RYUDHWBXSA-N -1 1 334.380 -0.890 20 0 EBADMM Cn1cncc1CN1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001090212240 817129187 /nfs/dbraw/zinc/12/91/87/817129187.db2.gz AAIRUNUTNYUMJB-GXTWGEPZSA-N -1 1 331.376 -0.114 20 0 EBADMM Cn1ccc(CN2CC[C@@H](NC(=O)c3ncccc3[O-])[C@H](O)C2)n1 ZINC001090216700 817134997 /nfs/dbraw/zinc/13/49/97/817134997.db2.gz OGPBUFJOFHHWBV-TZMCWYRMSA-N -1 1 331.376 -0.114 20 0 EBADMM Cc1nnc(CN2CC[C@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)o1 ZINC001090217387 817136374 /nfs/dbraw/zinc/13/63/74/817136374.db2.gz QQRXAJXUGCLEFP-JQWIXIFHSA-N -1 1 333.348 -0.156 20 0 EBADMM Cn1ncnc1CN1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001090218194 817137453 /nfs/dbraw/zinc/13/74/53/817137453.db2.gz TVYUMDHBBOFDMC-ZYHUDNBSSA-N -1 1 332.364 -0.719 20 0 EBADMM Cc1coc(C)c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001090235070 817164941 /nfs/dbraw/zinc/16/49/41/817164941.db2.gz CPAMXLRGQQXPFS-RYUDHWBXSA-N -1 1 349.391 -0.317 20 0 EBADMM CN(C)C(=O)C1=NO[C@@H]2CN(C(=O)c3c[nH]c(=S)[n-]c3=O)C[C@H]12 ZINC001275246742 817176062 /nfs/dbraw/zinc/17/60/62/817176062.db2.gz APSFRWNAZUMHCX-JGVFFNPUSA-N -1 1 337.361 -0.614 20 0 EBADMM CC(C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O)=C1CCC1 ZINC001090253908 817190070 /nfs/dbraw/zinc/19/00/70/817190070.db2.gz GJULPCFKJKOVGF-OLZOCXBDSA-N -1 1 335.408 -0.340 20 0 EBADMM Cn1[n-]c(C(=O)N2C[C@H]3C[C@@]3(C(=O)NCc3cnc[nH]3)C2)cc1=O ZINC001275609946 817258898 /nfs/dbraw/zinc/25/88/98/817258898.db2.gz IUQAHEQTBNXGKS-RFAUZJTJSA-N -1 1 330.348 -0.373 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C34CCC(CC3)C4)[C@H](O)C2)nc1=O ZINC001090364024 817305653 /nfs/dbraw/zinc/30/56/53/817305653.db2.gz SGGVMPXQGBEFDY-XPFWZQFZSA-N -1 1 349.435 -0.260 20 0 EBADMM Cn1[n-]c(CN2CC(CCO)(NC(=O)C[C@H]3CC=CCC3)C2)nc1=O ZINC001276076097 817354281 /nfs/dbraw/zinc/35/42/81/817354281.db2.gz SMVAJBDZMVLZBO-ZDUSSCGKSA-N -1 1 349.435 -0.092 20 0 EBADMM Cn1ncnc1C(=O)N1C[C@@H]2COC[C@H](C1)N2Cc1ncccc1[O-] ZINC001276591601 817434971 /nfs/dbraw/zinc/43/49/71/817434971.db2.gz RKLGFFDCANPCAD-TXEJJXNPSA-N -1 1 344.375 -0.359 20 0 EBADMM CC[C@H](F)CN1CC[C@@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001276941764 817499467 /nfs/dbraw/zinc/49/94/67/817499467.db2.gz QDAAQMPPXFFLSM-NWDGAFQWSA-N -1 1 326.372 -0.135 20 0 EBADMM COC(C)(C)CCN1C[C@@H]2C(C(=O)N=c3nn[n-]n3C)=NO[C@@H]2C1 ZINC001277128545 817523220 /nfs/dbraw/zinc/52/32/20/817523220.db2.gz OCVUJKKZDNVQMX-VHSXEESVSA-N -1 1 337.384 -0.928 20 0 EBADMM Cn1[n-]nnc1=NC(=O)C1=NO[C@@H]2CN(CCC3CCCC3)C[C@H]12 ZINC001278212746 817726416 /nfs/dbraw/zinc/72/64/16/817726416.db2.gz CKAYBXVUFSXDIP-NWDGAFQWSA-N -1 1 333.396 -0.163 20 0 EBADMM CN(C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)c1ncc2ccccn21 ZINC001278779640 817825168 /nfs/dbraw/zinc/82/51/68/817825168.db2.gz MXSZJXJJQJHALR-LLVKDONJSA-N -1 1 345.363 -0.619 20 0 EBADMM Cn1nc(C2CC2)cc1C(=O)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001279724529 817976239 /nfs/dbraw/zinc/97/62/39/817976239.db2.gz KSKSSJBZXOUCBH-UHFFFAOYSA-N -1 1 349.395 -0.655 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4cn[nH]c4)C[C@]3(C)C2)nc1=O ZINC001091511480 818001218 /nfs/dbraw/zinc/00/12/18/818001218.db2.gz XQKSXMXVIUZPTF-ABAIWWIYSA-N -1 1 331.380 -0.574 20 0 EBADMM C[C@H]1[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)CCN1C(=O)C1CCC1 ZINC001281119847 818040955 /nfs/dbraw/zinc/04/09/55/818040955.db2.gz CGFJQVJQEPYMGW-AAEUAGOBSA-N -1 1 348.403 -0.168 20 0 EBADMM CCN1CC(OC2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC2)C1 ZINC001093590092 818063307 /nfs/dbraw/zinc/06/33/07/818063307.db2.gz FBAGTCVQPXQZNT-UHFFFAOYSA-N -1 1 346.391 -0.257 20 0 EBADMM COc1ccnc(NCCNC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)n1 ZINC001093761041 818097016 /nfs/dbraw/zinc/09/70/16/818097016.db2.gz OKDMOZOLXMEWDJ-UHFFFAOYSA-N -1 1 336.308 -0.463 20 0 EBADMM Cc1cnc(C)nc1NCCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001093761540 818097181 /nfs/dbraw/zinc/09/71/81/818097181.db2.gz LIYMWSCUOGCOJZ-UHFFFAOYSA-N -1 1 328.336 -0.334 20 0 EBADMM CCNC(=O)CC(=O)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001281610185 818232154 /nfs/dbraw/zinc/23/21/54/818232154.db2.gz WGGYUOKFFRWMGQ-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1[n-]c(CN2CCC(CO)(NC(=O)[C@H]3CC=CCC3)CC2)nc1=O ZINC001281799357 818280178 /nfs/dbraw/zinc/28/01/78/818280178.db2.gz SLTOSLCLHNVYBX-ZDUSSCGKSA-N -1 1 349.435 -0.092 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)CC1CC1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001282046041 818345238 /nfs/dbraw/zinc/34/52/38/818345238.db2.gz BPEJJVRZDOANOE-ZDUSSCGKSA-N -1 1 348.403 -0.214 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)c1cnc2cccnn21 ZINC001282204621 818375542 /nfs/dbraw/zinc/37/55/42/818375542.db2.gz RWUDNEWKYREGAA-BDAKNGLRSA-N -1 1 330.352 -0.150 20 0 EBADMM C[C@@H]1CN(C(=O)CC(N)=O)C[C@H]1CCNC(=O)c1ncccc1[O-] ZINC001282536909 818449383 /nfs/dbraw/zinc/44/93/83/818449383.db2.gz QZHSVZJVVKMPSQ-GHMZBOCLSA-N -1 1 334.376 -0.123 20 0 EBADMM CC(=O)N1C[C@@H](C)[C@H](CCNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001282548282 818451649 /nfs/dbraw/zinc/45/16/49/818451649.db2.gz JSLLNZVIOQZDLK-DGCLKSJQSA-N -1 1 336.392 -0.453 20 0 EBADMM CN1C(=O)CC[C@@H]1C(=O)NCCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001283022337 818588185 /nfs/dbraw/zinc/58/81/85/818588185.db2.gz POUCJTZZYMGAKS-LLVKDONJSA-N -1 1 347.375 -0.474 20 0 EBADMM CCn1cc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)cn1 ZINC001095247058 818590515 /nfs/dbraw/zinc/59/05/15/818590515.db2.gz SMFKCDBOZQQFAX-AGIUHOORSA-N -1 1 345.407 -0.140 20 0 EBADMM Cn1nnc(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2ncccc2[O-])C3)n1 ZINC001095345424 818607380 /nfs/dbraw/zinc/60/73/80/818607380.db2.gz UMEJAFRUNYPZNS-VWYCJHECSA-N -1 1 329.364 -0.154 20 0 EBADMM CN(CCCNC(=O)c1ncccc1[O-])c1nccn2nnnc12 ZINC001095526929 818638666 /nfs/dbraw/zinc/63/86/66/818638666.db2.gz OZAIZWDRBRDEBO-UHFFFAOYSA-N -1 1 328.336 -0.124 20 0 EBADMM CO[C@@H]1CCC[C@H]1C(=O)NCCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001283088758 818639348 /nfs/dbraw/zinc/63/93/48/818639348.db2.gz JMIINNSLWGXIRI-MWLCHTKSSA-N -1 1 348.363 -0.921 20 0 EBADMM Cc1cc(N(C)CCCNC(=O)CCn2cc[n-]c(=O)c2=O)ncn1 ZINC001095589610 818653665 /nfs/dbraw/zinc/65/36/65/818653665.db2.gz ITVXMSZRMKLGKC-UHFFFAOYSA-N -1 1 346.391 -0.332 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@@H]2C[C@H]4CC[C@@H]2O4)C3)nc1=O ZINC001096041584 818724334 /nfs/dbraw/zinc/72/43/34/818724334.db2.gz SJQQLXDFKNJBJP-TVQXOTEMSA-N -1 1 347.419 -0.103 20 0 EBADMM Cc1ccnn1CC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001096087751 818729280 /nfs/dbraw/zinc/72/92/80/818729280.db2.gz UJVHSDGUPSLVRW-AGIUHOORSA-N -1 1 345.407 -0.465 20 0 EBADMM CCC(=O)NCC1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001283450480 818814643 /nfs/dbraw/zinc/81/46/43/818814643.db2.gz KKTVSRAZURHCQM-UHFFFAOYSA-N -1 1 336.392 -0.309 20 0 EBADMM Cc1ccnc(N2CC[C@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)n1 ZINC001096402770 818818099 /nfs/dbraw/zinc/81/80/99/818818099.db2.gz IESIMJMJDQDEAA-LBPRGKRZSA-N -1 1 344.375 -0.580 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CCN(c2cc(F)ncn2)C1 ZINC001096402477 818818145 /nfs/dbraw/zinc/81/81/45/818818145.db2.gz DJGXGXAKMXZXRF-JTQLQIEISA-N -1 1 348.338 -0.749 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)c2ccc(=O)[nH]n2)C3)nc1=O ZINC001096761926 818882212 /nfs/dbraw/zinc/88/22/12/818882212.db2.gz SLWGCSYQSLZKFS-IEBDPFPHSA-N -1 1 345.363 -0.861 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)[nH]n1 ZINC001096848068 818896931 /nfs/dbraw/zinc/89/69/31/818896931.db2.gz VUVOVJHCLAVREK-FOGDFJRCSA-N -1 1 331.380 -0.325 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)C[C@@H]2COC(=O)C2)C3)nc1=O ZINC001096955578 818912128 /nfs/dbraw/zinc/91/21/28/818912128.db2.gz LFCPCUFHMDMHEH-QCNOEVLYSA-N -1 1 349.391 -0.717 20 0 EBADMM CCN(CCCNC(=O)c1ncccc1[O-])C(=O)C1(NC(N)=O)CC1 ZINC001283712251 818914002 /nfs/dbraw/zinc/91/40/02/818914002.db2.gz XVWYXWFZOSYFJK-UHFFFAOYSA-N -1 1 349.391 -0.044 20 0 EBADMM Cc1ncn(C)c1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001097267881 818967972 /nfs/dbraw/zinc/96/79/72/818967972.db2.gz ZWWDBWIBVQCDKX-UTUOFQBUSA-N -1 1 345.407 -0.314 20 0 EBADMM CC1(CC(=O)N[C@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)CC1 ZINC001283874947 819011965 /nfs/dbraw/zinc/01/19/65/819011965.db2.gz CCBFJZHDJPWYIG-LBPRGKRZSA-N -1 1 348.403 -0.166 20 0 EBADMM Cc1nocc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001097761381 819026260 /nfs/dbraw/zinc/02/62/60/819026260.db2.gz FKCKTGFIQOXTDS-JLLWLGSASA-N -1 1 332.364 -0.060 20 0 EBADMM COCCOCCC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC001283938775 819036516 /nfs/dbraw/zinc/03/65/16/819036516.db2.gz JSBCJAFGLRQJEA-MNOVXSKESA-N -1 1 327.385 -0.358 20 0 EBADMM C[C@@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)Nc1nccnc1F ZINC001097950938 819062238 /nfs/dbraw/zinc/06/22/38/819062238.db2.gz ZGZZGNRITRNJOR-ZETCQYMHSA-N -1 1 332.299 -0.423 20 0 EBADMM CC(C)=CC(=O)N[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001284041162 819075951 /nfs/dbraw/zinc/07/59/51/819075951.db2.gz COYNSEXFCZQEKE-MGCOHNPYSA-N -1 1 330.348 -0.239 20 0 EBADMM O=C([C@H]1CC1(F)F)N1CCC(N(CCO)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001098363017 819150264 /nfs/dbraw/zinc/15/02/64/819150264.db2.gz YRMXBWOSRUDFAP-SNVBAGLBSA-N -1 1 345.350 -0.049 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)c1cccn1C ZINC001284282089 819165686 /nfs/dbraw/zinc/16/56/86/819165686.db2.gz JYGZHIPXLKHMLZ-LLVKDONJSA-N -1 1 347.375 -0.800 20 0 EBADMM Cn1ccc(C(=O)N2CCC(N(CCO)Cc3n[nH]c(=O)[n-]3)CC2)n1 ZINC001098561659 819246257 /nfs/dbraw/zinc/24/62/57/819246257.db2.gz LFWWSAGQIHYQSP-UHFFFAOYSA-N -1 1 349.395 -0.657 20 0 EBADMM CC(C)CCC(=O)N(C)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001284554522 819285692 /nfs/dbraw/zinc/28/56/92/819285692.db2.gz LVGYJOVTJNKIFF-UHFFFAOYSA-N -1 1 338.408 -0.063 20 0 EBADMM CCC[C@@H](C)C(=O)N(C)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001284554330 819285801 /nfs/dbraw/zinc/28/58/01/819285801.db2.gz IPXLJNOIUALRKC-GFCCVEGCSA-N -1 1 338.408 -0.063 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCC[C@]3(NC(=O)c3cn[nH]c3)C2)nc1=O ZINC001098744292 819350020 /nfs/dbraw/zinc/35/00/20/819350020.db2.gz UMBZACCSTDJVIB-NHYWBVRUSA-N -1 1 331.380 -0.384 20 0 EBADMM C[C@@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)Nc1ncc(F)cn1 ZINC001099313743 819450577 /nfs/dbraw/zinc/45/05/77/819450577.db2.gz GKFWWNUBRVGYGR-QMMMGPOBSA-N -1 1 346.326 -0.033 20 0 EBADMM CC[C@H](CNC(=O)C(F)F)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285029818 819459586 /nfs/dbraw/zinc/45/95/86/819459586.db2.gz VQZNQWQSHAJCMM-MRVPVSSYSA-N -1 1 332.307 -0.797 20 0 EBADMM CC[C@H](C)C(=O)N[C@@H](CC)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285074867 819472951 /nfs/dbraw/zinc/47/29/51/819472951.db2.gz MDHIKWXJLGTWNN-RYUDHWBXSA-N -1 1 338.408 -0.016 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)/C=C\c3ccco3)[C@@H](O)C2)nc1=O ZINC001099641522 819532043 /nfs/dbraw/zinc/53/20/43/819532043.db2.gz CXGXWDOMZIYUKX-AIMRDXAKSA-N -1 1 347.375 -0.534 20 0 EBADMM CC(C)SCC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001099678279 819564497 /nfs/dbraw/zinc/56/44/97/819564497.db2.gz IPHZIWRTGLFHEN-WDEREUQCSA-N -1 1 343.453 -0.699 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)CCc3ccoc3)[C@@H](O)C2)nc1=O ZINC001099779273 819687874 /nfs/dbraw/zinc/68/78/74/819687874.db2.gz ZBEZBYPXKGHYPA-OLZOCXBDSA-N -1 1 349.391 -0.614 20 0 EBADMM CNC(=O)CC(=O)NC[C@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001285730837 819742265 /nfs/dbraw/zinc/74/22/65/819742265.db2.gz VFIIMMKSAQCOFQ-QMMMGPOBSA-N -1 1 335.364 -0.570 20 0 EBADMM CNC(=O)C1(C(=O)N[C@@H](C)CNC(=O)c2ncccc2[O-])CCC1 ZINC001285760045 819760244 /nfs/dbraw/zinc/76/02/44/819760244.db2.gz KXRWDUYCBPPMAT-JTQLQIEISA-N -1 1 334.376 -0.062 20 0 EBADMM C[C@]1(CNC(=O)[C@H]2CCNC2=O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001286120055 819907617 /nfs/dbraw/zinc/90/76/17/819907617.db2.gz HAUCFQVMWNQHST-APPDUMDISA-N -1 1 346.387 -0.108 20 0 EBADMM C[C@]1(CNC(=O)c2cnc(C3CC3)[n-]c2=O)CCN(C(=O)C(N)=O)C1 ZINC001286135281 819914309 /nfs/dbraw/zinc/91/43/09/819914309.db2.gz JRXXLJKPNFBLBE-MRXNPFEDSA-N -1 1 347.375 -0.487 20 0 EBADMM CC(C)C1(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)CC1 ZINC001099996371 820004125 /nfs/dbraw/zinc/00/41/25/820004125.db2.gz LJLPFZXKZYPHTB-VXGBXAGGSA-N -1 1 337.424 -0.404 20 0 EBADMM CC(C)C1(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)CC1 ZINC001099996369 820004371 /nfs/dbraw/zinc/00/43/71/820004371.db2.gz LJLPFZXKZYPHTB-NWDGAFQWSA-N -1 1 337.424 -0.404 20 0 EBADMM O=C(NC[C@H](CO)NC(=O)c1ncccc1[O-])c1ccnc(F)c1 ZINC001287748800 820114097 /nfs/dbraw/zinc/11/40/97/820114097.db2.gz WOXGRMGUTHNQCU-SNVBAGLBSA-N -1 1 334.307 -0.158 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)CCn3cccc3)[C@H](O)C2)nc1=O ZINC001100050236 820125392 /nfs/dbraw/zinc/12/53/92/820125392.db2.gz FJKWVJRWSPCTHD-QWHCGFSZSA-N -1 1 348.407 -0.948 20 0 EBADMM CN(CCNC(=O)c1cnc([O-])n(C)c1=O)c1ncnc2[nH]cnc21 ZINC001100264590 820335076 /nfs/dbraw/zinc/33/50/76/820335076.db2.gz UXIWXXRWBMHRBZ-UHFFFAOYSA-N -1 1 344.335 -0.982 20 0 EBADMM CCCN1C[C@@H](C(=O)NCCNC(=O)c2ncccc2[O-])CC1=O ZINC001292666683 820581464 /nfs/dbraw/zinc/58/14/64/820581464.db2.gz UQHHBQULTGLFCY-NSHDSACASA-N -1 1 334.376 -0.108 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNC(=O)[C@@H]1CCC1(F)F ZINC001292901256 820654632 /nfs/dbraw/zinc/65/46/32/820654632.db2.gz WDBZBCMUIBPQQG-VIFPVBQESA-N -1 1 344.318 -0.796 20 0 EBADMM NC(=O)CC(=O)N[C@@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001293567504 820788742 /nfs/dbraw/zinc/78/87/42/820788742.db2.gz OYTBEHKPRPYHNN-SECBINFHSA-N -1 1 333.348 -0.734 20 0 EBADMM O=C(/C=C/C1CC1)N[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001293591434 820793502 /nfs/dbraw/zinc/79/35/02/820793502.db2.gz DMDGUYIFZPQQRL-ITDFMYJTSA-N -1 1 346.387 -0.390 20 0 EBADMM COCCCNC(=O)C1CCN(C(=O)CCCc2nn[n-]n2)CC1 ZINC001293619477 820797879 /nfs/dbraw/zinc/79/78/79/820797879.db2.gz XLKLGORYBLQFSH-UHFFFAOYSA-N -1 1 338.412 -0.086 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC(OCC(N)=O)CC1 ZINC001294076706 820867998 /nfs/dbraw/zinc/86/79/98/820867998.db2.gz AUQJTMOJBSLFEE-UHFFFAOYSA-N -1 1 326.378 -0.114 20 0 EBADMM COc1ccnc(NCCN(C)C(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001101686571 820878527 /nfs/dbraw/zinc/87/85/27/820878527.db2.gz AEFHYLWFHVSUHX-UHFFFAOYSA-N -1 1 348.363 -0.704 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)CN1CCCC1=O ZINC001101718077 820894316 /nfs/dbraw/zinc/89/43/16/820894316.db2.gz VSUQUTZSNUERCE-GHMZBOCLSA-N -1 1 336.396 -0.683 20 0 EBADMM Cc1cc(CC(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C)n[nH]1 ZINC001101871122 820940111 /nfs/dbraw/zinc/94/01/11/820940111.db2.gz OOSDPOBINHAGNM-MWLCHTKSSA-N -1 1 333.396 -0.031 20 0 EBADMM Cc1cc(CC(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C)[nH]n1 ZINC001101871122 820940116 /nfs/dbraw/zinc/94/01/16/820940116.db2.gz OOSDPOBINHAGNM-MWLCHTKSSA-N -1 1 333.396 -0.031 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)CO[C@H]1CCOC1 ZINC001101966741 820978668 /nfs/dbraw/zinc/97/86/68/820978668.db2.gz ZDLJBSARMXZRSO-UTUOFQBUSA-N -1 1 339.396 -0.500 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)C(=O)NCC1CC1 ZINC001102486456 821123741 /nfs/dbraw/zinc/12/37/41/821123741.db2.gz FUPXKWUHDWKOSI-MWLCHTKSSA-N -1 1 336.396 -0.779 20 0 EBADMM COCCCOCC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102501915 821128223 /nfs/dbraw/zinc/12/82/23/821128223.db2.gz XVPPUZSJZNGZBZ-VXGBXAGGSA-N -1 1 341.412 -0.253 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)c1cnn(C)c1N ZINC001102894436 821228536 /nfs/dbraw/zinc/22/85/36/821228536.db2.gz MOCDEPVHPABTKM-NXEZZACHSA-N -1 1 348.411 -0.286 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)CCn1ccnn1 ZINC001102915036 821231246 /nfs/dbraw/zinc/23/12/46/821231246.db2.gz LBZHABODCBTKQM-VXGBXAGGSA-N -1 1 348.411 -0.234 20 0 EBADMM CCN(CCNC(=O)C[C@@H]1CC(=O)NC1=O)C(=O)c1ncccc1[O-] ZINC001296332229 821238811 /nfs/dbraw/zinc/23/88/11/821238811.db2.gz XWPIBVOZVMDJDD-SNVBAGLBSA-N -1 1 348.359 -0.582 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)Cn1nccn1 ZINC001103035822 821246691 /nfs/dbraw/zinc/24/66/91/821246691.db2.gz ZGVVWDZKHVZSTA-GHMZBOCLSA-N -1 1 334.384 -0.624 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)c1cn[nH]c(=O)c1 ZINC001103117951 821261457 /nfs/dbraw/zinc/26/14/57/821261457.db2.gz WUYCJGPAVDCKSQ-NXEZZACHSA-N -1 1 347.379 -0.106 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)Cn1cncn1 ZINC001103701950 821340709 /nfs/dbraw/zinc/34/07/09/821340709.db2.gz KWVOJZPCBPTOLV-GHMZBOCLSA-N -1 1 334.384 -0.624 20 0 EBADMM CC(C)[C@@H](F)C(=O)N1CC(NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001297096166 821320295 /nfs/dbraw/zinc/32/02/95/821320295.db2.gz AYRIXCNKOUCHQF-GFCCVEGCSA-N -1 1 340.355 -0.752 20 0 EBADMM C[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)CNc1ncccn1 ZINC001104036272 821390179 /nfs/dbraw/zinc/39/01/79/821390179.db2.gz FJSRJQBGTYYZCW-SECBINFHSA-N -1 1 328.336 -0.314 20 0 EBADMM Cc1nccc(NC[C@H](C)CNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001104037100 821392055 /nfs/dbraw/zinc/39/20/55/821392055.db2.gz QFGKKSZTPMFNIV-VIFPVBQESA-N -1 1 342.363 -0.006 20 0 EBADMM C[C@@H](CNc1cc(F)ncn1)N(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001104617071 821523140 /nfs/dbraw/zinc/52/31/40/821523140.db2.gz LEPYVRCCTQQLQO-QMMMGPOBSA-N -1 1 346.326 -0.081 20 0 EBADMM Cc1cc(N(C)CCN(C)C(=O)c2cc(=O)n3[n-]cnc3n2)ncn1 ZINC001105428505 821749232 /nfs/dbraw/zinc/74/92/32/821749232.db2.gz PUDUSTLWXGRBEH-UHFFFAOYSA-N -1 1 342.363 -0.276 20 0 EBADMM Cc1cc(C=O)c([O-])c(C(=O)NC[C@@]23COCCN2C(=O)COC3)c1 ZINC001302560957 821752170 /nfs/dbraw/zinc/75/21/70/821752170.db2.gz FCFUPYHHXNXKBA-KRWDZBQOSA-N -1 1 348.355 -0.129 20 0 EBADMM COC[C@H](NC(=O)c1ccc(=O)n(-c2ccccc2)n1)c1nn[n-]n1 ZINC001303578613 821757787 /nfs/dbraw/zinc/75/77/87/821757787.db2.gz QAYZXKPQGMUWSM-LBPRGKRZSA-N -1 1 341.331 -0.137 20 0 EBADMM O=C(CN1CCCCC(=O)C1=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001303597682 821758764 /nfs/dbraw/zinc/75/87/64/821758764.db2.gz ZKJFVCXSEKZBMD-NSHDSACASA-N -1 1 334.380 -0.438 20 0 EBADMM COCCO[C@@H]1CCN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)C1 ZINC001309407833 821777342 /nfs/dbraw/zinc/77/73/42/821777342.db2.gz KOJXTNRRGBVYNC-SECBINFHSA-N -1 1 333.366 -0.378 20 0 EBADMM COCCO[C@@H]1CCN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)C1 ZINC001309407833 821777346 /nfs/dbraw/zinc/77/73/46/821777346.db2.gz KOJXTNRRGBVYNC-SECBINFHSA-N -1 1 333.366 -0.378 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCCc1cnn(C)n1 ZINC001309536224 821778903 /nfs/dbraw/zinc/77/89/03/821778903.db2.gz OJWYYTPRUYUEIR-UHFFFAOYSA-N -1 1 328.354 -0.764 20 0 EBADMM COC(=O)Cn1ncc2ccc(NC(=O)NN3CC(=O)[N-]C3=O)cc21 ZINC001311248833 821786516 /nfs/dbraw/zinc/78/65/16/821786516.db2.gz JGIHYPGWRSMZGO-UHFFFAOYSA-N -1 1 346.303 -0.202 20 0 EBADMM Cc1n[nH]c(C(=O)NCCCN(C)C[C@H]2CCS(=O)(=O)C2)c1[O-] ZINC001316819515 821868760 /nfs/dbraw/zinc/86/87/60/821868760.db2.gz OVCBGYXDHWTFAJ-LLVKDONJSA-N -1 1 344.437 -0.090 20 0 EBADMM CN1CC[C@H](C(=O)NCCCN(Cc2n[nH]c(=O)[n-]2)C2CC2)C1=O ZINC001316920867 821919174 /nfs/dbraw/zinc/91/91/74/821919174.db2.gz KUKOXXVRRNXSRK-LLVKDONJSA-N -1 1 336.396 -0.541 20 0 EBADMM CC(C)N(CCCNC(=O)[C@@H]1CCN(C)C1=O)Cc1n[nH]c(=O)[n-]1 ZINC001317697590 822202046 /nfs/dbraw/zinc/20/20/46/822202046.db2.gz AMAFZJXHUHDAHF-NSHDSACASA-N -1 1 338.412 -0.295 20 0 EBADMM CNC(=O)C1(C(=O)NCC[C@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001317805613 822215567 /nfs/dbraw/zinc/21/55/67/822215567.db2.gz NGPOXMBFNSPRMN-JTQLQIEISA-N -1 1 336.396 -0.635 20 0 EBADMM C[C@@H](CCNc1cc(F)ncn1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001106383405 822260258 /nfs/dbraw/zinc/26/02/58/822260258.db2.gz SVGRTIOIXLFSJD-QMMMGPOBSA-N -1 1 346.326 -0.033 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)C(=O)Nc3ccccc3)C2)nc1=O ZINC001318135543 822263575 /nfs/dbraw/zinc/26/35/75/822263575.db2.gz HHLKSJCEBZFZFT-UHFFFAOYSA-N -1 1 344.375 -0.705 20 0 EBADMM CCN1C(=S)N=NC1CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001318500165 822329363 /nfs/dbraw/zinc/32/93/63/822329363.db2.gz UGZZUALQHNUWNS-UHFFFAOYSA-N -1 1 338.393 -0.814 20 0 EBADMM CS(=O)(=O)[C@H]1CCC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001318664454 822349782 /nfs/dbraw/zinc/34/97/82/822349782.db2.gz FLNKEPQNKCCKFJ-MNOVXSKESA-N -1 1 343.405 -0.601 20 0 EBADMM C[C@@](CNCc1n[nH]c(=O)[n-]1)(NC(=O)[C@H]1CCCNC1=O)C1CC1 ZINC001318701554 822353339 /nfs/dbraw/zinc/35/33/39/822353339.db2.gz KZAXCIRGPJJOSK-BONVTDFDSA-N -1 1 336.396 -0.589 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC/C=C\CNc1ncccn1 ZINC001107257385 823830359 /nfs/dbraw/zinc/83/03/59/823830359.db2.gz KOBYKMNSPUCQGA-UPHRSURJSA-N -1 1 330.348 -0.499 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC/C=C/CNc1nccnc1F ZINC001107258129 823830988 /nfs/dbraw/zinc/83/09/88/823830988.db2.gz XNCMPVQZEMACKZ-OWOJBTEDSA-N -1 1 348.338 -0.360 20 0 EBADMM Cn1[n-]c(CN2CCO[C@](C)(CNC(=O)c3ccncc3)C2)nc1=O ZINC001107691414 823906723 /nfs/dbraw/zinc/90/67/23/823906723.db2.gz ZHHARVRDBGYCMY-MRXNPFEDSA-N -1 1 346.391 -0.476 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@](C)(CNC(=O)c3ccoc3)C2)nc1=O ZINC001107735623 823931607 /nfs/dbraw/zinc/93/16/07/823931607.db2.gz DWISHYUSTKGORF-HNNXBMFYSA-N -1 1 335.364 -0.278 20 0 EBADMM Cc1ccc(NC[C@@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)nn1 ZINC001107837657 823994889 /nfs/dbraw/zinc/99/48/89/823994889.db2.gz TZVZXZMLGPYYQL-LLVKDONJSA-N -1 1 332.364 -0.358 20 0 EBADMM C[C@H](CNc1nccnc1F)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001107837791 823995093 /nfs/dbraw/zinc/99/50/93/823995093.db2.gz ZCOXWWVXRMFGSM-SECBINFHSA-N -1 1 336.327 -0.527 20 0 EBADMM Cc1ccnc(NC[C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001107844319 823996849 /nfs/dbraw/zinc/99/68/49/823996849.db2.gz YCZRCNWICOHUJY-SECBINFHSA-N -1 1 328.336 -0.254 20 0 EBADMM C[C@H](CNc1ncc(F)cn1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001107844337 823996978 /nfs/dbraw/zinc/99/69/78/823996978.db2.gz YXWKMGKXBUOGKN-SSDOTTSWSA-N -1 1 332.299 -0.423 20 0 EBADMM C[C@H](CNc1nccnc1F)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001107843771 823997162 /nfs/dbraw/zinc/99/71/62/823997162.db2.gz NWXUEAZLJHWEMA-SSDOTTSWSA-N -1 1 332.299 -0.423 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@](C)(CNC(=O)C=C3CCC3)C2)nc1=O ZINC001107862608 824003011 /nfs/dbraw/zinc/00/30/11/824003011.db2.gz UJVLFZOZABLHON-INIZCTEOSA-N -1 1 335.408 -0.074 20 0 EBADMM Cn1nnc(CN2CCO[C@](C)(CNC(=O)c3ncccc3[O-])C2)n1 ZINC001107889761 824020239 /nfs/dbraw/zinc/02/02/39/824020239.db2.gz CPEUUTWJXLQKAW-OAHLLOKOSA-N -1 1 347.379 -0.668 20 0 EBADMM C[C@]1(CNC(=O)c2ncccc2[O-])CN([C@@H]2CCCNC2=O)CCO1 ZINC001107890618 824020749 /nfs/dbraw/zinc/02/07/49/824020749.db2.gz RBIYKCSWXDOTPZ-PXAZEXFGSA-N -1 1 348.403 -0.114 20 0 EBADMM C[C@@]1(CNC(=O)c2ncccc2[O-])CN([C@@H]2CCCNC2=O)CCO1 ZINC001107890620 824021513 /nfs/dbraw/zinc/02/15/13/824021513.db2.gz RBIYKCSWXDOTPZ-SJKOYZFVSA-N -1 1 348.403 -0.114 20 0 EBADMM Cc1n[nH]cc1C(=O)NC[C@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001108108362 824127070 /nfs/dbraw/zinc/12/70/70/824127070.db2.gz VBTVKIZUWKYJFC-OAHLLOKOSA-N -1 1 349.395 -0.839 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@](C)(CNC(=O)CCCF)C2)nc1=O ZINC001108124671 824135610 /nfs/dbraw/zinc/13/56/10/824135610.db2.gz PVAPMSQMRKGXSG-AWEZNQCLSA-N -1 1 329.376 -0.435 20 0 EBADMM C[C@@]1(CNC(=O)CN2CCCC2)CN(Cc2n[nH]c(=O)[n-]2)CCO1 ZINC001108398172 824261796 /nfs/dbraw/zinc/26/17/96/824261796.db2.gz CAYUXKAWNGCPOJ-OAHLLOKOSA-N -1 1 338.412 -0.687 20 0 EBADMM C[C@@H](CNc1nccnc1F)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001108445575 824290166 /nfs/dbraw/zinc/29/01/66/824290166.db2.gz ZCOXWWVXRMFGSM-VIFPVBQESA-N -1 1 336.327 -0.527 20 0 EBADMM C[C@@H](CNc1ncnc2[nH]cnc21)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001108782398 824436623 /nfs/dbraw/zinc/43/66/23/824436623.db2.gz UOZYKYIRURLBGD-YFKPBYRVSA-N -1 1 331.296 -0.869 20 0 EBADMM COCC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)CC1 ZINC001110807158 824795458 /nfs/dbraw/zinc/79/54/58/824795458.db2.gz JQWIBIDZPZVFGK-UTUOFQBUSA-N -1 1 335.408 -0.244 20 0 EBADMM COc1ccc(OC)c(CC(=O)NCCNCc2n[nH]c(=O)[n-]2)c1 ZINC001129922872 828694922 /nfs/dbraw/zinc/69/49/22/828694922.db2.gz IJVXZAGCQQKCSU-UHFFFAOYSA-N -1 1 335.364 -0.024 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCC[C@]3(NC(=O)CC3(O)CCC3)C2)nc1=O ZINC001111739939 825306281 /nfs/dbraw/zinc/30/62/81/825306281.db2.gz UINNIVVCJVEGBP-SJCJKPOMSA-N -1 1 349.435 -0.116 20 0 EBADMM CN(CCCN(C)c1ncccn1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001112106739 825388077 /nfs/dbraw/zinc/38/80/77/825388077.db2.gz XKBNHRTWSBYPKM-UHFFFAOYSA-N -1 1 342.363 -0.194 20 0 EBADMM O=C(CN1CCN(C(=O)c2ncccc2[O-])CC1)NC[C@@H]1CCCO1 ZINC001112782330 825635859 /nfs/dbraw/zinc/63/58/59/825635859.db2.gz OAOSLTHQHNOVHV-ZDUSSCGKSA-N -1 1 348.403 -0.160 20 0 EBADMM COCCCNC(=O)CN1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001112782528 825636843 /nfs/dbraw/zinc/63/68/43/825636843.db2.gz YQZXINJAFPKXEK-UHFFFAOYSA-N -1 1 336.392 -0.302 20 0 EBADMM CNC(=O)NC(=O)[C@@H](C)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001112783941 825636947 /nfs/dbraw/zinc/63/69/47/825636947.db2.gz IWNJVPXQBWIMCK-SNVBAGLBSA-N -1 1 335.364 -0.611 20 0 EBADMM COCCCN1CCN(C(=O)CCCn2c(=O)[n-][nH]c2=O)CC1 ZINC001113041592 825735761 /nfs/dbraw/zinc/73/57/61/825735761.db2.gz BPSOSUOJYZWSKU-UHFFFAOYSA-N -1 1 327.385 -0.350 20 0 EBADMM C[C@@H](OCC1CC1)C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001113992904 826107722 /nfs/dbraw/zinc/10/77/22/826107722.db2.gz PIJGREONYUPKCL-WUWHUORYSA-N -1 1 335.408 -0.530 20 0 EBADMM CSC[C@H](C)C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001114041270 826121520 /nfs/dbraw/zinc/12/15/20/826121520.db2.gz IWWWZTBQVOMOSB-UXCLJVHYSA-N -1 1 325.438 -0.346 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CCc2ccoc2)nc1=O ZINC001114133321 826144506 /nfs/dbraw/zinc/14/45/06/826144506.db2.gz WEFSJZJZLLHOER-JYAVWHMHSA-N -1 1 331.376 -0.119 20 0 EBADMM COCC1(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)CCCC1 ZINC001114381092 826229112 /nfs/dbraw/zinc/22/91/12/826229112.db2.gz QCQGFDZVOKYANM-IMRBUKKESA-N -1 1 349.435 -0.138 20 0 EBADMM COC(=O)[C@H]1CN(C)C(=O)CN1C(=O)c1cc(C)cc(C=O)c1[O-] ZINC001179960367 833030614 /nfs/dbraw/zinc/03/06/14/833030614.db2.gz VRXTYXNIRRHKDK-GFCCVEGCSA-N -1 1 334.328 -0.031 20 0 EBADMM O=C(COC(=O)CC[C@@H]1NC(=O)NC1=O)[N-]C(=O)c1ccccc1 ZINC001115846077 826589631 /nfs/dbraw/zinc/58/96/31/826589631.db2.gz XKRWNUINROTOLE-JTQLQIEISA-N -1 1 333.300 -0.526 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1ncn(Cc2cccnc2)n1 ZINC001116050429 826595668 /nfs/dbraw/zinc/59/56/68/826595668.db2.gz DQCHHFXOZPIFIX-UHFFFAOYSA-N -1 1 341.331 -0.400 20 0 EBADMM Cc1cc(S(C)(=O)=O)cc(C(=O)NN2CC(=O)[N-]C2=O)c1C ZINC001116393609 826617230 /nfs/dbraw/zinc/61/72/30/826617230.db2.gz OUZSOECQBOIKCC-UHFFFAOYSA-N -1 1 325.346 -0.096 20 0 EBADMM CCN1C(=O)CCc2cc(NC(=O)C(=O)NCc3nn[n-]n3)ccc21 ZINC001116596953 826627851 /nfs/dbraw/zinc/62/78/51/826627851.db2.gz ZQLQVKDTRRIMMA-UHFFFAOYSA-N -1 1 343.347 -0.246 20 0 EBADMM COCC[C@](C)(O)CNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001117382725 826736513 /nfs/dbraw/zinc/73/65/13/826736513.db2.gz TVRXKKSBYXJVDL-HNNXBMFYSA-N -1 1 335.364 -0.816 20 0 EBADMM C[C@H](O)[C@@H]1CCCN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC001117384945 826736657 /nfs/dbraw/zinc/73/66/57/826736657.db2.gz IBSUQXLJMLKLIF-WDEREUQCSA-N -1 1 331.376 -0.100 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCC3([S@@](C)=O)CC3)ccnc1-2 ZINC001117397926 826740347 /nfs/dbraw/zinc/74/03/47/826740347.db2.gz XAOHWWZVSAFMQO-HSZRJFAPSA-N -1 1 335.389 -0.692 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCCCO[C@@H]3CCOC3)ccnc1-2 ZINC001117427940 826746868 /nfs/dbraw/zinc/74/68/68/826746868.db2.gz KLRYIYLMQTUOAZ-LLVKDONJSA-N -1 1 347.375 -0.408 20 0 EBADMM O=C(Nc1nc2c(s1)CCCCC2)C(=O)NN1CC(=O)[N-]C1=O ZINC001117437872 826749275 /nfs/dbraw/zinc/74/92/75/826749275.db2.gz AOGKGZKMHHCQMC-UHFFFAOYSA-N -1 1 337.361 -0.067 20 0 EBADMM COC[C@H](NC(=O)OC(C)(C)C)C(=O)Nc1c(N)[nH]c(=O)[n-]c1=O ZINC001180784525 833110667 /nfs/dbraw/zinc/11/06/67/833110667.db2.gz VTFHVSREDRFCQR-LURJTMIESA-N -1 1 343.340 -0.052 20 0 EBADMM COCCN1C[C@@H](C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)CC1=O ZINC001180894418 833120115 /nfs/dbraw/zinc/12/01/15/833120115.db2.gz CJSOBTSVJYUPKK-LURJTMIESA-N -1 1 336.308 -0.851 20 0 EBADMM COCCN1C[C@H](C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)CC1=O ZINC001180894421 833120249 /nfs/dbraw/zinc/12/02/49/833120249.db2.gz CJSOBTSVJYUPKK-ZCFIWIBFSA-N -1 1 336.308 -0.851 20 0 EBADMM CCOC(=O)[C@H](NC(=O)OC(C)(C)C)C(=O)NCc1nn[n-]n1 ZINC001181044756 833129822 /nfs/dbraw/zinc/12/98/22/833129822.db2.gz AXEAJYICILNWMF-MRVPVSSYSA-N -1 1 328.329 -0.728 20 0 EBADMM C[C@H](C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])[C@H]1CCC(=O)N1 ZINC001118908646 827023325 /nfs/dbraw/zinc/02/33/25/827023325.db2.gz HCOCZDAXTXDMKS-IONNQARKSA-N -1 1 327.362 -0.107 20 0 EBADMM CO[C@H](C)CC(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001181600245 833168670 /nfs/dbraw/zinc/16/86/70/833168670.db2.gz LEJWIDDHVWPTCB-KOLCDFICSA-N -1 1 326.353 -0.547 20 0 EBADMM CC(=O)N[C@H](CCO)C(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001182108146 833202361 /nfs/dbraw/zinc/20/23/61/833202361.db2.gz LMQKCYOKZLOAMJ-SSDOTTSWSA-N -1 1 326.313 -0.528 20 0 EBADMM CC(C)N(CC(=O)NCc1nn[n-]n1)S(=O)(=O)c1ccccc1 ZINC001182618067 833248121 /nfs/dbraw/zinc/24/81/21/833248121.db2.gz BOQLPIACRHPXTL-UHFFFAOYSA-N -1 1 338.393 -0.085 20 0 EBADMM CC(C)(C)OC(=O)NCC(=O)C(O)n1[n-]c2ccnc(=O)c-2c1N ZINC001182632381 833249950 /nfs/dbraw/zinc/24/99/50/833249950.db2.gz KLWMMBWBLBCVOQ-QMMMGPOBSA-N -1 1 337.336 -0.216 20 0 EBADMM CC(=O)Nc1nc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)cs1 ZINC001130756806 828929067 /nfs/dbraw/zinc/92/90/67/828929067.db2.gz BFWNPNLLDWUUAI-UHFFFAOYSA-N -1 1 325.354 -0.555 20 0 EBADMM COc1cc(CNCCNC(=O)c2n[nH]c(=O)[n-]c2=O)sn1 ZINC001130863435 828980576 /nfs/dbraw/zinc/98/05/76/828980576.db2.gz PQJGWBVBUXBHAW-UHFFFAOYSA-N -1 1 326.338 -0.733 20 0 EBADMM Cc1nnc(COCC(=O)NCCNCc2n[nH]c(=O)[n-]2)s1 ZINC001130889646 828989041 /nfs/dbraw/zinc/98/90/41/828989041.db2.gz SKTOXWGYVZNBNE-UHFFFAOYSA-N -1 1 327.370 -0.907 20 0 EBADMM CCn1nncc1CNCCNC(=O)Cc1cc(=O)n(CC(C)C)[n-]1 ZINC001130923834 828995336 /nfs/dbraw/zinc/99/53/36/828995336.db2.gz AOLBTGVLTUAAIA-UHFFFAOYSA-N -1 1 349.439 -0.108 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001131830545 829235623 /nfs/dbraw/zinc/23/56/23/829235623.db2.gz SEKNPEMRLBFSMY-JQWIXIFHSA-N -1 1 338.412 -0.944 20 0 EBADMM CCC(=O)NCC(=O)N[C@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001131911119 829272097 /nfs/dbraw/zinc/27/20/97/829272097.db2.gz CWVXMXYQEDRFFU-QWRGUYRKSA-N -1 1 338.412 -0.896 20 0 EBADMM NC(=O)c1nc(C[N-]S(=O)(=O)c2ccc3nsnc3c2)no1 ZINC001186277353 833394982 /nfs/dbraw/zinc/39/49/82/833394982.db2.gz BLOCFLWNBVKBDJ-UHFFFAOYSA-N -1 1 340.346 -0.348 20 0 EBADMM CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001186715907 833420352 /nfs/dbraw/zinc/42/03/52/833420352.db2.gz QWUQFZNXKYVWLL-RFZPGFLSSA-N -1 1 341.280 -0.320 20 0 EBADMM CN(CC(=O)NCCNCc1n[nH]c(=O)[n-]1)C(=O)c1ccccc1 ZINC001133211051 829606585 /nfs/dbraw/zinc/60/65/85/829606585.db2.gz AUHAZEUFAAAEBH-UHFFFAOYSA-N -1 1 332.364 -0.512 20 0 EBADMM Cc1nonc1CN[C@@H](C)C[C@@H](C)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001134864051 829860009 /nfs/dbraw/zinc/86/00/09/829860009.db2.gz QMDFEZZQJMUKCA-NKWVEPMBSA-N -1 1 337.340 -0.339 20 0 EBADMM Cc1nnc(CN[C@@H](C)C[C@@H](C)NC(=O)c2n[nH]c(=O)[n-]c2=O)[nH]1 ZINC001134869447 829861876 /nfs/dbraw/zinc/86/18/76/829861876.db2.gz XBHQVYLJCBFOSN-NKWVEPMBSA-N -1 1 336.356 -0.604 20 0 EBADMM C[C@H](C[C@@H](C)NC(=O)c1cn(C)ccc1=O)NCc1n[nH]c(=O)[n-]1 ZINC001134951322 829877924 /nfs/dbraw/zinc/87/79/24/829877924.db2.gz PVKYLJJMUKAUPD-NXEZZACHSA-N -1 1 334.380 -0.104 20 0 EBADMM Cc1nnc(CN[C@H](C)C[C@@H](C)NC(=O)c2cnc([O-])n(C)c2=O)[nH]1 ZINC001135164998 829911218 /nfs/dbraw/zinc/91/12/18/829911218.db2.gz BKUWVWORTNXKTI-RKDXNWHRSA-N -1 1 349.395 -0.401 20 0 EBADMM C[C@H](C[C@H](C)NC(=O)c1cnc([O-])n(C)c1=O)NCc1cnon1 ZINC001135165516 829912617 /nfs/dbraw/zinc/91/26/17/829912617.db2.gz GUFRHWQMKIMEIB-BDAKNGLRSA-N -1 1 336.352 -0.444 20 0 EBADMM C[C@H](C[C@H](C)NCc1nncn1C)NC(=O)c1cnc([O-])n(C)c1=O ZINC001135174400 829914415 /nfs/dbraw/zinc/91/44/15/829914415.db2.gz CBVSGPNXVGJELC-VHSXEESVSA-N -1 1 349.395 -0.699 20 0 EBADMM C[C@H](C[C@H](C)NC(=O)c1cnc([O-])n(C)c1=O)NCc1nncn1C ZINC001135174401 829914605 /nfs/dbraw/zinc/91/46/05/829914605.db2.gz CBVSGPNXVGJELC-ZJUUUORDSA-N -1 1 349.395 -0.699 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1ccc(-n2ccnc2)nn1 ZINC001135260664 829933544 /nfs/dbraw/zinc/93/35/44/829933544.db2.gz OLOZAVAWUFDTFO-UHFFFAOYSA-N -1 1 329.324 -0.994 20 0 EBADMM Cc1nocc1CNCCNC(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC001135465483 829971077 /nfs/dbraw/zinc/97/10/77/829971077.db2.gz HXGMDQOHKQBLHW-UHFFFAOYSA-N -1 1 331.336 -0.726 20 0 EBADMM C[C@H](C[C@H](C)NCc1n[nH]c(=O)[n-]1)NC(=O)CCCS(C)(=O)=O ZINC001135657293 829999102 /nfs/dbraw/zinc/99/91/02/829999102.db2.gz HECYWZPBKJXQOX-VHSXEESVSA-N -1 1 347.441 -0.292 20 0 EBADMM C[C@H](C[C@H](C)NC(=O)Cn1c(=O)[n-][nH]c1=O)NCc1cnon1 ZINC001136035810 830069010 /nfs/dbraw/zinc/06/90/10/830069010.db2.gz QYDQPECSQIDAJO-SFYZADRCSA-N -1 1 325.329 -0.855 20 0 EBADMM CCOCCOCC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001211293804 837423242 /nfs/dbraw/zinc/42/32/42/837423242.db2.gz QGFMVLJDSODIDO-NSHDSACASA-N -1 1 327.385 -0.356 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NCCN=S1(=O)CCCC1 ZINC001144050732 830157782 /nfs/dbraw/zinc/15/77/82/830157782.db2.gz OEQACSSMILCTTB-UHFFFAOYSA-N -1 1 340.405 -0.105 20 0 EBADMM COC(=O)[C@H](CCC(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O)NC(C)=O ZINC001144960238 830172607 /nfs/dbraw/zinc/17/26/07/830172607.db2.gz CRVGMXWBBKAOHZ-LURJTMIESA-N -1 1 341.280 -0.318 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cc(-n2ccnc2)ccn1 ZINC001146519931 830204098 /nfs/dbraw/zinc/20/40/98/830204098.db2.gz PPLKFMGRQFTJSO-UHFFFAOYSA-N -1 1 328.336 -0.389 20 0 EBADMM CCS(=O)(=O)CC(=O)N[C@H](C)C[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001146595239 830211904 /nfs/dbraw/zinc/21/19/04/830211904.db2.gz XWZZUGFWNNZKHJ-RKDXNWHRSA-N -1 1 333.414 -0.682 20 0 EBADMM O=C(CCNC(=O)c1csnn1)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001146827266 830230849 /nfs/dbraw/zinc/23/08/49/830230849.db2.gz BYSDXDIKBUPMJY-QMMMGPOBSA-N -1 1 338.349 -0.807 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)c1ccc[nH]c1=O ZINC001147188365 830297720 /nfs/dbraw/zinc/29/77/20/830297720.db2.gz YTPGYNNYYNLACY-NSHDSACASA-N -1 1 347.331 -0.558 20 0 EBADMM CC[C@@H](C)OCC(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001147345481 830324770 /nfs/dbraw/zinc/32/47/70/830324770.db2.gz ADQNMBMENOQHLF-GFCCVEGCSA-N -1 1 337.424 -0.042 20 0 EBADMM CO[C@@H](C)CCC(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001147392372 830334834 /nfs/dbraw/zinc/33/48/34/830334834.db2.gz MWCSBKRCSZKZDH-LBPRGKRZSA-N -1 1 337.424 -0.042 20 0 EBADMM Cc1ccnc(CNCCNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001147705553 830398100 /nfs/dbraw/zinc/39/81/00/830398100.db2.gz KHSKKWCVCCUCJN-UHFFFAOYSA-N -1 1 328.336 -0.964 20 0 EBADMM Cc1nnc([C@H](C)NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)s1 ZINC001147712156 830399982 /nfs/dbraw/zinc/39/99/82/830399982.db2.gz LYBZOZKUBLCGEB-ZETCQYMHSA-N -1 1 348.392 -0.342 20 0 EBADMM NC(=O)c1cnoc1NC(=O)c1cc(S(=O)(=O)[O-])ccc1O ZINC001148917117 830555124 /nfs/dbraw/zinc/55/51/24/830555124.db2.gz LGABZOLWXMMKSK-UHFFFAOYSA-N -1 1 327.274 -0.022 20 0 EBADMM CC[C@H](C)C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149168174 830609140 /nfs/dbraw/zinc/60/91/40/830609140.db2.gz OJJSMCKDQCPYDT-NWDGAFQWSA-N -1 1 325.413 -0.138 20 0 EBADMM CC[C@@H](OC)C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149349909 830646943 /nfs/dbraw/zinc/64/69/43/830646943.db2.gz UAKZBLFSAYJCFL-NWDGAFQWSA-N -1 1 341.412 -0.760 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@H](CNC(=O)C=C3CCC3)C2)nc1=O ZINC001149558614 830695925 /nfs/dbraw/zinc/69/59/25/830695925.db2.gz HHRUITJSHKNJAP-CYBMUJFWSA-N -1 1 335.408 -0.074 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CC(C)(C)C ZINC001211866736 837481399 /nfs/dbraw/zinc/48/13/99/837481399.db2.gz DKYGHYJOHSTFIA-GHMZBOCLSA-N -1 1 325.413 -0.140 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@@H](CNC(=O)CCC(F)F)C2)nc1=O ZINC001149975367 830792550 /nfs/dbraw/zinc/79/25/50/830792550.db2.gz VJDGBKKORCHXGT-JTQLQIEISA-N -1 1 347.366 -0.139 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@@H](CNC(=O)CC(C)(F)F)C2)nc1=O ZINC001150259955 830852123 /nfs/dbraw/zinc/85/21/23/830852123.db2.gz UFWBMXAAQQOHRJ-JTQLQIEISA-N -1 1 347.366 -0.139 20 0 EBADMM CCO[C@@H](CC)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1OC ZINC001211980060 837510667 /nfs/dbraw/zinc/51/06/67/837510667.db2.gz RUTBHFKFKPCOPU-GRYCIOLGSA-N -1 1 341.412 -0.761 20 0 EBADMM Cc1cn2nc(C(=O)N3CCC[C@H](Cc4nn[n-]n4)C3)cc2c(=O)[nH]1 ZINC001155134839 831387691 /nfs/dbraw/zinc/38/76/91/831387691.db2.gz NCRJCLDXTAKJDV-SNVBAGLBSA-N -1 1 342.363 -0.061 20 0 EBADMM CCN(Cc1n[nH]c(C)n1)[C@H](C)CNC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001156623555 831486650 /nfs/dbraw/zinc/48/66/50/831486650.db2.gz KIKCABIGSWGQKO-MRVPVSSYSA-N -1 1 338.372 -0.857 20 0 EBADMM CCN(CC(N)=O)[C@H](C)CNC(=O)C(C)(C)[N-]C(=O)C(F)(F)F ZINC001157058000 831529246 /nfs/dbraw/zinc/52/92/46/831529246.db2.gz KMFSYQDCYNKSLY-MRVPVSSYSA-N -1 1 340.346 -0.245 20 0 EBADMM C[C@@H](NC(=O)CCc1[nH]c(O)nc1O)c1n[n-]c(C(F)(F)F)n1 ZINC001157235119 831546322 /nfs/dbraw/zinc/54/63/22/831546322.db2.gz UVWFXAPQAMROMY-RFZPGFLSSA-N -1 1 334.258 -0.011 20 0 EBADMM C[C@@H](NC(=O)CCc1[nH]c(O)nc1O)c1nnc(C(F)(F)F)[n-]1 ZINC001157235119 831546327 /nfs/dbraw/zinc/54/63/27/831546327.db2.gz UVWFXAPQAMROMY-RFZPGFLSSA-N -1 1 334.258 -0.011 20 0 EBADMM CS(=O)(=O)C[C@H]1CN(C(=O)C(=O)c2ccc([O-])cc2)CCO1 ZINC001157538371 831577339 /nfs/dbraw/zinc/57/73/39/831577339.db2.gz JKQVPKWAJORQRT-GFCCVEGCSA-N -1 1 327.358 -0.153 20 0 EBADMM CN1CC(=O)Nc2cnc(Nc3[nH]c(=O)nc4[n-]c(=O)[nH]c43)nc21 ZINC001159190360 831717658 /nfs/dbraw/zinc/71/76/58/831717658.db2.gz UQKMORKNDQCNRW-UHFFFAOYSA-N -1 1 329.280 -0.362 20 0 EBADMM COC(=O)c1nc2cnccc2c(Nc2c(O)[nH]c(=O)[n-]c2=S)n1 ZINC001160853669 831895044 /nfs/dbraw/zinc/89/50/44/831895044.db2.gz PDVYOUZLUDMBII-SSDOTTSWSA-N -1 1 346.328 -0.819 20 0 EBADMM C[C@H]1C(=O)Nc2cnc(Nc3c(O)[nH]c(=O)[n-]c3=S)nc2N1C ZINC001160849825 831895160 /nfs/dbraw/zinc/89/51/60/831895160.db2.gz UDNQZBFLUPDDAN-UJURSFKZSA-N -1 1 335.349 -0.799 20 0 EBADMM Cc1cnc(CNCCCNC(=O)CCCn2c(=O)[n-][nH]c2=O)nc1 ZINC001161413174 831954880 /nfs/dbraw/zinc/95/48/80/831954880.db2.gz MGPIOJCCGPQYGZ-UHFFFAOYSA-N -1 1 349.395 -0.136 20 0 EBADMM Cc1ccnc(CNCCCNC(=O)CCCn2c(=O)[n-][nH]c2=O)n1 ZINC001161413199 831956052 /nfs/dbraw/zinc/95/60/52/831956052.db2.gz OFYXQQDUJDLZCU-UHFFFAOYSA-N -1 1 349.395 -0.136 20 0 EBADMM C[C@H](NCCCNC(=O)CCc1n[nH]c(=O)[n-]c1=O)c1ncccn1 ZINC001161715008 831985504 /nfs/dbraw/zinc/98/55/04/831985504.db2.gz BKHYFQKFPWPLTD-JTQLQIEISA-N -1 1 347.379 -0.138 20 0 EBADMM Cc1nnc([C@H](C)NCCCNC(=O)c2n[nH]c(=O)[n-]c2=O)s1 ZINC001161862921 831997610 /nfs/dbraw/zinc/99/76/10/831997610.db2.gz FFCKYUMRAFSXTH-LURJTMIESA-N -1 1 339.381 -0.087 20 0 EBADMM Cn1nc(C2CC2)nc1CNCCCNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001161863726 831997803 /nfs/dbraw/zinc/99/78/03/831997803.db2.gz OMHWKZGCCUVPEO-UHFFFAOYSA-N -1 1 348.367 -0.802 20 0 EBADMM CCn1c(C)nnc1CNCCCNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001161873194 832000490 /nfs/dbraw/zinc/00/04/90/832000490.db2.gz VQWHGLLYYALTSL-UHFFFAOYSA-N -1 1 336.356 -0.888 20 0 EBADMM COC(=O)[C@H]1C[C@H]1C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001163767108 832136244 /nfs/dbraw/zinc/13/62/44/832136244.db2.gz MECXXNWAMAQWBN-ZJUUUORDSA-N -1 1 337.380 -0.305 20 0 EBADMM C[C@H](C(=O)N1CC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1)C1CC1 ZINC001350484334 832170139 /nfs/dbraw/zinc/17/01/39/832170139.db2.gz KOKCAZGQZWKXJZ-WCQYABFASA-N -1 1 348.403 -0.310 20 0 EBADMM CC[C@H](NC(C)=O)C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167303819 832325120 /nfs/dbraw/zinc/32/51/20/832325120.db2.gz ROQCRJJPLUTNSP-NSHDSACASA-N -1 1 338.412 -0.199 20 0 EBADMM Cn1cccc(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)c1=O ZINC001167774090 832372222 /nfs/dbraw/zinc/37/22/22/832372222.db2.gz NNEFOKYMZXXROX-UHFFFAOYSA-N -1 1 346.391 -0.101 20 0 EBADMM Cc1nc(CNCCCNC(=O)Cn2[n-]cc3c(=O)ncnc2-3)co1 ZINC001168652838 832430321 /nfs/dbraw/zinc/43/03/21/832430321.db2.gz AJWWJYRWFQLLKA-UHFFFAOYSA-N -1 1 345.363 -0.336 20 0 EBADMM Cc1cnc(CNCCCNC(=O)Cn2[n-]cc3c(=O)ncnc2-3)o1 ZINC001168641751 832430458 /nfs/dbraw/zinc/43/04/58/832430458.db2.gz NVJFZDDIJKELLD-UHFFFAOYSA-N -1 1 345.363 -0.336 20 0 EBADMM C[C@]1(C(F)(F)F)CN(C(=O)[C@@]2(C(=O)[O-])CNCCO2)CCO1 ZINC001354513271 832496122 /nfs/dbraw/zinc/49/61/22/832496122.db2.gz ZNPJGIUAPMUINO-GHMZBOCLSA-N -1 1 326.271 -0.391 20 0 EBADMM C[C@@H](CNC(=O)c1cc(F)c[nH]1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001354561219 832502640 /nfs/dbraw/zinc/50/26/40/832502640.db2.gz CWQDTYFPWOKAEQ-ZETCQYMHSA-N -1 1 347.310 -0.567 20 0 EBADMM CC(C)C(=O)N1CC[C@H](CNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001354799614 832521458 /nfs/dbraw/zinc/52/14/58/832521458.db2.gz OQGRTAMSGCUUON-GFCCVEGCSA-N -1 1 336.392 -0.453 20 0 EBADMM C[C@H](CCCCNCc1cnon1)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001171677038 832571424 /nfs/dbraw/zinc/57/14/24/832571424.db2.gz TWQFRFLMZAUOSK-MRVPVSSYSA-N -1 1 337.340 -0.256 20 0 EBADMM O=C(Cc1nn[n-]n1)NCc1cccc(OCCN2CCOCC2)c1 ZINC001176837707 832693341 /nfs/dbraw/zinc/69/33/41/832693341.db2.gz CBOFXTVKMTVMJE-UHFFFAOYSA-N -1 1 346.391 -0.230 20 0 EBADMM O=C(C[C@H]1OC[C@H]2CN(C(=O)Cc3nn[n-]n3)CC[C@@H]12)NC1CCC1 ZINC001176840469 832694549 /nfs/dbraw/zinc/69/45/49/832694549.db2.gz KKYZUHMTMUVFBW-RAIGVLPGSA-N -1 1 348.407 -0.336 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)COCc1nccn1C ZINC001178964155 832935848 /nfs/dbraw/zinc/93/58/48/832935848.db2.gz VYEQTDXYGNJJOF-QWRGUYRKSA-N -1 1 349.395 -0.460 20 0 EBADMM CC(C)(C)OC(=O)N1C[C@H]2OC[C@H](C(=O)NCc3nn[n-]n3)[C@H]2C1 ZINC001179372464 832972195 /nfs/dbraw/zinc/97/21/95/832972195.db2.gz KGCJVZOXPACIFH-KXUCPTDWSA-N -1 1 338.368 -0.302 20 0 EBADMM COCC(C)(C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1OC ZINC001213169293 837716159 /nfs/dbraw/zinc/71/61/59/837716159.db2.gz AJKKRUWEYWDAAA-GHMZBOCLSA-N -1 1 341.412 -0.904 20 0 EBADMM C[C@@H](C(=O)NC(N)=O)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001187639220 833948999 /nfs/dbraw/zinc/94/89/99/833948999.db2.gz LJUJDQYTVFIJOU-GARJFASQSA-N -1 1 347.375 -0.483 20 0 EBADMM CCOC(=O)C[C@@H]([N-]S(=O)(=O)CCC(=O)OC)C(=O)OCC ZINC001188403725 834043562 /nfs/dbraw/zinc/04/35/62/834043562.db2.gz YSSUJSZOYHAQJX-SECBINFHSA-N -1 1 339.366 -0.646 20 0 EBADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1cc(CN2CCOCC2)on1 ZINC001190194846 834241920 /nfs/dbraw/zinc/24/19/20/834241920.db2.gz KMAKDNQWUIAGSI-UHFFFAOYSA-N -1 1 336.308 -0.458 20 0 EBADMM CCC(=O)N[C@@H](C)C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001190307400 834253965 /nfs/dbraw/zinc/25/39/65/834253965.db2.gz IZUZHSRZFGKMQE-WDEREUQCSA-N -1 1 338.412 -0.944 20 0 EBADMM CCC(=O)N[C@@H](C)C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001190307399 834254286 /nfs/dbraw/zinc/25/42/86/834254286.db2.gz IZUZHSRZFGKMQE-QWRGUYRKSA-N -1 1 338.412 -0.944 20 0 EBADMM COCCC1(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001190576813 834296311 /nfs/dbraw/zinc/29/63/11/834296311.db2.gz WCYYTBPZMKTJLY-LBPRGKRZSA-N -1 1 337.424 -0.042 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)CCC3CCCC3)C2)nc1=O ZINC001190777604 834338152 /nfs/dbraw/zinc/33/81/52/834338152.db2.gz IGTZRJBRVYKHJW-CHWSQXEVSA-N -1 1 337.424 -0.260 20 0 EBADMM CCOCC1(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001191010713 834396637 /nfs/dbraw/zinc/39/66/37/834396637.db2.gz OPEVOUNKTUNUMR-LBPRGKRZSA-N -1 1 337.424 -0.042 20 0 EBADMM CCCC[C@H](C(N)=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001192420171 834665421 /nfs/dbraw/zinc/66/54/21/834665421.db2.gz MQVVNLZXFZNWCP-NQBHXWOUSA-N -1 1 336.392 -0.394 20 0 EBADMM CC[C@@H](NC(C)=O)C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001192464919 834672712 /nfs/dbraw/zinc/67/27/12/834672712.db2.gz WYJVCJJHLLYYPS-VXGBXAGGSA-N -1 1 338.412 -0.944 20 0 EBADMM CCCC[C@](C)(F)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001194258211 835065075 /nfs/dbraw/zinc/06/50/75/835065075.db2.gz FBRPMYRKYCPOGU-HFAKWTLXSA-N -1 1 343.403 -0.312 20 0 EBADMM CC(C)OCCC(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001194919654 835164533 /nfs/dbraw/zinc/16/45/33/835164533.db2.gz XFERTUVUQUQWNK-UHFFFAOYSA-N -1 1 325.413 -0.042 20 0 EBADMM CCCC(=O)NCC(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001195102174 835185942 /nfs/dbraw/zinc/18/59/42/835185942.db2.gz YWDBRRFFAMAYKZ-UHFFFAOYSA-N -1 1 338.412 -0.941 20 0 EBADMM CCCCOCC(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001195411680 835224392 /nfs/dbraw/zinc/22/43/92/835224392.db2.gz XKZJJVROFKEOAV-UHFFFAOYSA-N -1 1 325.413 -0.041 20 0 EBADMM CCO[C@@H](C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1)C1CC1 ZINC001195873832 835304826 /nfs/dbraw/zinc/30/48/26/835304826.db2.gz NMCNBTMDUVRUGU-CQSZACIVSA-N -1 1 337.424 -0.042 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)CCCn3cccc3)C2)nc1=O ZINC001198940602 835742152 /nfs/dbraw/zinc/74/21/52/835742152.db2.gz QWHMTNRPRUPVOR-CHWSQXEVSA-N -1 1 348.407 -0.948 20 0 EBADMM CS(=O)(=O)CCC(=O)N1CCC(NCc2n[nH]c(=O)[n-]2)CC1 ZINC001201293848 836125092 /nfs/dbraw/zinc/12/50/92/836125092.db2.gz TXMWJFKRPJXOOI-UHFFFAOYSA-N -1 1 331.398 -0.974 20 0 EBADMM CS(=O)(=O)CC(=O)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202574620 836320860 /nfs/dbraw/zinc/32/08/60/836320860.db2.gz QXYHKUNHCDHCBX-IUCAKERBSA-N -1 1 343.409 -0.928 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)N3C[C@@]4(C)C(=O)NC(=O)[C@]4(C)C3)ccnc1-2 ZINC001204294737 836507651 /nfs/dbraw/zinc/50/76/51/836507651.db2.gz ODLBEFNYXODSRM-HOTGVXAUSA-N -1 1 342.359 -0.142 20 0 EBADMM CS(=O)(=O)NCCC[N-]S(=O)(=O)c1ccc(F)nc1F ZINC001208286081 836984695 /nfs/dbraw/zinc/98/46/95/836984695.db2.gz ZGPGWKHXAYHUTP-UHFFFAOYSA-N -1 1 329.350 -0.423 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCCN2CCCCC2=O)[n-]n1 ZINC001209404714 837164050 /nfs/dbraw/zinc/16/40/50/837164050.db2.gz FMFIGYRDGGMXLV-UHFFFAOYSA-N -1 1 344.393 -0.123 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCCN2CCCCC2=O)n[n-]1 ZINC001209404714 837164055 /nfs/dbraw/zinc/16/40/55/837164055.db2.gz FMFIGYRDGGMXLV-UHFFFAOYSA-N -1 1 344.393 -0.123 20 0 EBADMM COCCO[C@H](C)C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001209939486 837217876 /nfs/dbraw/zinc/21/78/76/837217876.db2.gz FLNBJPIKUYFACU-GHMZBOCLSA-N -1 1 327.385 -0.358 20 0 EBADMM Cc1cn2c(ncc(C(=O)N3CCO[C@H](c4nn[n-]n4)C3)c2=O)s1 ZINC001319883400 838190200 /nfs/dbraw/zinc/19/02/00/838190200.db2.gz JTQJGTIOPJJWGX-VIFPVBQESA-N -1 1 347.360 -0.209 20 0 EBADMM Cn1c(C(=O)NC[C@H](O)CNCc2n[nH]c(=O)[n-]2)cc2ccccc21 ZINC001320262395 838733804 /nfs/dbraw/zinc/73/38/04/838733804.db2.gz IECDTVSHHIYMFO-LLVKDONJSA-N -1 1 344.375 -0.118 20 0 EBADMM O=C(NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1)c1ccc2ccncc2c1 ZINC001320295144 838747864 /nfs/dbraw/zinc/74/78/64/838747864.db2.gz IHMYVRGXNCEUJF-ZDUSSCGKSA-N -1 1 342.359 -0.061 20 0 EBADMM CCOC(=O)c1ccc(NC(=O)C(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC001323274847 839400730 /nfs/dbraw/zinc/40/07/30/839400730.db2.gz YYWOYFBFGKHQKA-UHFFFAOYSA-N -1 1 334.288 -0.615 20 0 EBADMM O=C([C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001326160668 840054077 /nfs/dbraw/zinc/05/40/77/840054077.db2.gz ZDTWVBBZOQJKLN-SWLJZFRDSA-N -1 1 335.408 -0.287 20 0 EBADMM Cn1cc(C(=O)N2CC[C@@H](CCNCc3n[nH]c(=O)[n-]3)C2)[nH]c1=O ZINC001326353492 840090537 /nfs/dbraw/zinc/09/05/37/840090537.db2.gz QQDOGALXZKYFMO-SECBINFHSA-N -1 1 335.368 -0.409 20 0 EBADMM C[C@H](NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)C(=O)N1CCCC1 ZINC001326807514 840206024 /nfs/dbraw/zinc/20/60/24/840206024.db2.gz QTQNIQOQAGJIHY-JTQLQIEISA-N -1 1 344.375 -0.593 20 0 EBADMM C[C@H](CN(C)C(=O)CCN1C(=O)CCNC1=S)c1nn[n-]n1 ZINC001327098433 840299486 /nfs/dbraw/zinc/29/94/86/840299486.db2.gz XZZGNXRWSZUVEJ-MRVPVSSYSA-N -1 1 325.398 -0.742 20 0 EBADMM CNC(=O)[C@@H]1CCC[C@H]1NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001329080316 840835444 /nfs/dbraw/zinc/83/54/44/840835444.db2.gz JQMOWMBRFZRBGE-MWLCHTKSSA-N -1 1 344.375 -0.689 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1ccc(O[C@@H]2CCOC2)cc1 ZINC001329143008 840855484 /nfs/dbraw/zinc/85/54/84/840855484.db2.gz WHDFNAUOMKHKDC-LLVKDONJSA-N -1 1 332.320 -0.378 20 0 EBADMM COCCCn1cc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)cn1 ZINC001329182318 840866462 /nfs/dbraw/zinc/86/64/62/840866462.db2.gz DYUHYWVIHPINDI-UHFFFAOYSA-N -1 1 328.354 -0.307 20 0 EBADMM COCCCn1cc(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)cn1 ZINC001329182318 840866464 /nfs/dbraw/zinc/86/64/64/840866464.db2.gz DYUHYWVIHPINDI-UHFFFAOYSA-N -1 1 328.354 -0.307 20 0 EBADMM CC(C)(CCS(C)(=O)=O)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001329797718 841023315 /nfs/dbraw/zinc/02/33/15/841023315.db2.gz DDPDFZPKZYSOAC-UHFFFAOYSA-N -1 1 331.394 -0.744 20 0 EBADMM CCn1nccc1CNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001330688715 841258181 /nfs/dbraw/zinc/25/81/81/841258181.db2.gz FJBXELBXJUQFLF-UHFFFAOYSA-N -1 1 327.348 -0.187 20 0 EBADMM COC(=O)[C@H]1CC(F)(F)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001331218800 841372361 /nfs/dbraw/zinc/37/23/61/841372361.db2.gz CQFAWKXPGQMQDW-MRVPVSSYSA-N -1 1 331.275 -0.664 20 0 EBADMM CCOCCn1cc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)cn1 ZINC001331373320 841398361 /nfs/dbraw/zinc/39/83/61/841398361.db2.gz OPRVVEQQGDFDLN-UHFFFAOYSA-N -1 1 328.354 -0.307 20 0 EBADMM CCOCCn1cc(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)cn1 ZINC001331373320 841398376 /nfs/dbraw/zinc/39/83/76/841398376.db2.gz OPRVVEQQGDFDLN-UHFFFAOYSA-N -1 1 328.354 -0.307 20 0 EBADMM C[C@H](CN(C)C(=O)c1cnc2c(c1)nnn2C)NCc1n[nH]c(=O)[n-]1 ZINC001331504180 841417779 /nfs/dbraw/zinc/41/77/79/841417779.db2.gz MPEIWBKGPFCWPW-MRVPVSSYSA-N -1 1 345.367 -0.563 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cnc2c(c1)nnn2C)NCc1n[nH]c(=O)[n-]1 ZINC001331504181 841418029 /nfs/dbraw/zinc/41/80/29/841418029.db2.gz MPEIWBKGPFCWPW-QMMMGPOBSA-N -1 1 345.367 -0.563 20 0 EBADMM COCC[N@H+]1CC[C@@H](NC(=O)C(=O)NCCC(C)(C)C(=O)[O-])C1 ZINC001331951204 841537483 /nfs/dbraw/zinc/53/74/83/841537483.db2.gz SERMPBDMOZMDHH-LLVKDONJSA-N -1 1 329.397 -0.560 20 0 EBADMM COCCN1CC[C@@H](NC(=O)C(=O)NCCC(C)(C)C(=O)[O-])C1 ZINC001331951204 841537493 /nfs/dbraw/zinc/53/74/93/841537493.db2.gz SERMPBDMOZMDHH-LLVKDONJSA-N -1 1 329.397 -0.560 20 0 EBADMM CN(CCO)C(=O)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC001332007594 841548689 /nfs/dbraw/zinc/54/86/89/841548689.db2.gz WDBSEKWJJGQSCM-LLVKDONJSA-N -1 1 325.287 -0.893 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2CCN(Cc3cscn3)CC2)CNCCO1 ZINC001340184515 842980567 /nfs/dbraw/zinc/98/05/67/842980567.db2.gz NDGWDVCXJFYXFT-AWEZNQCLSA-N -1 1 340.405 -0.770 20 0 EBADMM C[C@@H](C[S@](C)=O)N(C)C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001342429550 843161774 /nfs/dbraw/zinc/16/17/74/843161774.db2.gz HZFJUKHOWJIIND-KLVQOETNSA-N -1 1 337.405 -0.494 20 0 EBADMM CC(C)CO[N-]C(=O)C(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC001346365658 843510896 /nfs/dbraw/zinc/51/08/96/843510896.db2.gz WGPWZUFDRHLAPT-AWEZNQCLSA-N -1 1 342.440 -0.787 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2C[C@@H]3C[C@H]2CN3c2ccccc2)CNCCO1 ZINC001346515875 843524581 /nfs/dbraw/zinc/52/45/81/843524581.db2.gz NVWXGQAXRRELLD-ZQIUZPCESA-N -1 1 331.372 -0.081 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCC(C)(CO)CC3)ccnc1-2 ZINC001346522022 843526866 /nfs/dbraw/zinc/52/68/66/843526866.db2.gz GVCNVRUYIHCKKC-UHFFFAOYSA-N -1 1 331.376 -0.099 20 0 EBADMM NC(=O)C1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3C(=O)c2ncccc2[O-])CC1 ZINC001347792765 843644264 /nfs/dbraw/zinc/64/42/64/843644264.db2.gz JQBPXVWJHCCKQC-MXWKQRLJSA-N -1 1 344.371 -0.086 20 0 EBADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC2(C1)C[C@@H](O)CNC2=O ZINC001348329577 843683474 /nfs/dbraw/zinc/68/34/74/843683474.db2.gz XFWKULVKWHFWAH-SECBINFHSA-N -1 1 333.373 -0.659 20 0 EBADMM CCNC(=O)CCC(=O)NCCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001348626578 843720320 /nfs/dbraw/zinc/72/03/20/843720320.db2.gz YUKCNHNBHNOERH-UHFFFAOYSA-N -1 1 349.391 -0.178 20 0 EBADMM Cn1nnc2cc(C(=O)NCCNC(=O)c3ncccc3[O-])cnc21 ZINC001348838937 843755917 /nfs/dbraw/zinc/75/59/17/843755917.db2.gz NCSKBNBPOJOVTN-UHFFFAOYSA-N -1 1 341.331 -0.376 20 0 EBADMM COCCN(CC(=O)N(C)C)C(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001348843973 843757136 /nfs/dbraw/zinc/75/71/36/843757136.db2.gz SOUDXJPGGYPGDY-UHFFFAOYSA-N -1 1 328.394 -0.044 20 0 EBADMM Cc1nc(C(=O)NCCNC(=O)CCn2cc[n-]c(=O)c2=O)c(C)o1 ZINC001349338496 843887484 /nfs/dbraw/zinc/88/74/84/843887484.db2.gz CGECMQFGTYKEGC-UHFFFAOYSA-N -1 1 349.347 -0.922 20 0 EBADMM CC(C)C1(C(=O)NCCNC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001349340069 843888453 /nfs/dbraw/zinc/88/84/53/843888453.db2.gz RKBDCXAXVKHRGL-UHFFFAOYSA-N -1 1 336.392 -0.405 20 0 EBADMM CC(C)OCC(=O)NCCCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001349783748 843937684 /nfs/dbraw/zinc/93/76/84/843937684.db2.gz RHBASCDQTMBGFC-UHFFFAOYSA-N -1 1 336.352 -0.921 20 0 EBADMM O=C([O-])[C@H]1CCN(C(=O)C(=O)NCCCCN2CCOCC2)C1 ZINC001601496456 971008006 /nfs/dbraw/zinc/00/80/06/971008006.db2.gz BQNXYQBIJZSVHB-LBPRGKRZSA-N -1 1 327.381 -0.852 20 0 EBADMM CC(=O)N1CC[C@@H](NC(=O)c2[nH]c(=O)c(-c3nn[n-]n3)cc2C)C1 ZINC001573450574 945827375 /nfs/dbraw/zinc/82/73/75/945827375.db2.gz LBJPFBVTOASFTQ-SECBINFHSA-N -1 1 331.336 -0.374 20 0 EBADMM CC(=O)NC(C)(C)C(=O)NC[C@H](C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001573462801 945946816 /nfs/dbraw/zinc/94/68/16/945946816.db2.gz NKNWYLMDWGEOGE-QMMMGPOBSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NC(C)(C)C(=O)NC[C@H](C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001573462801 945946823 /nfs/dbraw/zinc/94/68/23/945946823.db2.gz NKNWYLMDWGEOGE-QMMMGPOBSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)C[C@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001573467786 945986314 /nfs/dbraw/zinc/98/63/14/945986314.db2.gz IBAYPFOLSRZPBS-DTWKUNHWSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)C[C@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001573467786 945986325 /nfs/dbraw/zinc/98/63/25/945986325.db2.gz IBAYPFOLSRZPBS-DTWKUNHWSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)CCN(C)c1nccnc1-c1nnn[n-]1 ZINC001573469246 946006126 /nfs/dbraw/zinc/00/61/26/946006126.db2.gz UIMCUFRTTVRZTC-SECBINFHSA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)CCN(C)c1nccnc1-c1nn[n-]n1 ZINC001573469246 946006135 /nfs/dbraw/zinc/00/61/35/946006135.db2.gz UIMCUFRTTVRZTC-SECBINFHSA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@@H](C)CCNc1cncc(-c2nnn[n-]2)n1 ZINC001573474855 946095632 /nfs/dbraw/zinc/09/56/32/946095632.db2.gz OMGUCHPWSCAXMX-DTWKUNHWSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@@H](C)CCNc1cncc(-c2nn[n-]n2)n1 ZINC001573474855 946095642 /nfs/dbraw/zinc/09/56/42/946095642.db2.gz OMGUCHPWSCAXMX-DTWKUNHWSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NCC[C@H](C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001573479980 946170019 /nfs/dbraw/zinc/17/00/19/946170019.db2.gz IUVIMRSYUIKOFK-IUCAKERBSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NCC[C@H](C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001573479980 946170026 /nfs/dbraw/zinc/17/00/26/946170026.db2.gz IUVIMRSYUIKOFK-IUCAKERBSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NCC[C@@H](C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001573479978 946170396 /nfs/dbraw/zinc/17/03/96/946170396.db2.gz IUVIMRSYUIKOFK-BDAKNGLRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NCC[C@@H](C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001573479978 946170397 /nfs/dbraw/zinc/17/03/97/946170397.db2.gz IUVIMRSYUIKOFK-BDAKNGLRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NCC(=O)N(C)[C@H](C)CNc1nc(C)cc(-c2nnn[n-]2)n1 ZINC001573498046 946358639 /nfs/dbraw/zinc/35/86/39/946358639.db2.gz XLFFHSHGZWMPKN-SECBINFHSA-N -1 1 347.383 -0.640 20 0 EBADMM CC(=O)NCC(=O)N(C)[C@H](C)CNc1nc(C)cc(-c2nn[n-]n2)n1 ZINC001573498046 946358649 /nfs/dbraw/zinc/35/86/49/946358649.db2.gz XLFFHSHGZWMPKN-SECBINFHSA-N -1 1 347.383 -0.640 20 0 EBADMM CC(=O)NCC(=O)N1C[C@H](C)[C@H](Nc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001573499518 946368539 /nfs/dbraw/zinc/36/85/39/946368539.db2.gz FECCQOIORJEKKF-GZMMTYOYSA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)NCC(=O)N1C[C@H](C)[C@H](Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001573499518 946368555 /nfs/dbraw/zinc/36/85/55/946368555.db2.gz FECCQOIORJEKKF-GZMMTYOYSA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)CCNc1cncc(-c2nnn[n-]2)n1 ZINC001573510256 946473538 /nfs/dbraw/zinc/47/35/38/946473538.db2.gz CUAPVGBAUBONAN-MRVPVSSYSA-N -1 1 333.356 -0.901 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)CCNc1cncc(-c2nn[n-]n2)n1 ZINC001573510256 946473544 /nfs/dbraw/zinc/47/35/44/946473544.db2.gz CUAPVGBAUBONAN-MRVPVSSYSA-N -1 1 333.356 -0.901 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)C[C@H](C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001573510829 946481731 /nfs/dbraw/zinc/48/17/31/946481731.db2.gz LGKLYQJNHSZXLM-DTWKUNHWSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)C[C@H](C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001573510829 946481737 /nfs/dbraw/zinc/48/17/37/946481737.db2.gz LGKLYQJNHSZXLM-DTWKUNHWSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)C[C@@H](C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001573510827 946482042 /nfs/dbraw/zinc/48/20/42/946482042.db2.gz LGKLYQJNHSZXLM-BDAKNGLRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)C[C@@H](C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001573510827 946482048 /nfs/dbraw/zinc/48/20/48/946482048.db2.gz LGKLYQJNHSZXLM-BDAKNGLRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)C[C@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001573511430 946485204 /nfs/dbraw/zinc/48/52/04/946485204.db2.gz PWMHQRNKABDLIC-IUCAKERBSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)C[C@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001573511430 946485205 /nfs/dbraw/zinc/48/52/05/946485205.db2.gz PWMHQRNKABDLIC-IUCAKERBSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NCC(=O)NC[C@@H](C)Nc1snc(C)c1-c1nn[n-]n1 ZINC001573516026 946557362 /nfs/dbraw/zinc/55/73/62/946557362.db2.gz UOFSAGVLJBYQJQ-ZCFIWIBFSA-N -1 1 338.397 -0.316 20 0 EBADMM CC(=O)NCC(=O)NC[C@@H](Nc1cnc(-c2nnn[n-]2)cn1)C1CC1 ZINC001573517246 946566685 /nfs/dbraw/zinc/56/66/85/946566685.db2.gz FPIZVNZMMZDGIR-SNVBAGLBSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)NC[C@@H](Nc1cnc(-c2nn[n-]n2)cn1)C1CC1 ZINC001573517246 946566691 /nfs/dbraw/zinc/56/66/91/946566691.db2.gz FPIZVNZMMZDGIR-SNVBAGLBSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)NCCN(C)c1snc(C)c1-c1nn[n-]n1 ZINC001573520268 946595639 /nfs/dbraw/zinc/59/56/39/946595639.db2.gz GKVZELKZFHDXMF-UHFFFAOYSA-N -1 1 338.397 -0.680 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)CNc1snc(C)c1-c1nn[n-]n1 ZINC001573522067 946621128 /nfs/dbraw/zinc/62/11/28/946621128.db2.gz YOKUBJAVSMEMSN-LURJTMIESA-N -1 1 338.397 -0.316 20 0 EBADMM CC(=O)c1cn(C2CN(c3cc(-c4nnn[n-]4)nc(C)n3)C2)nn1 ZINC001573558155 946935559 /nfs/dbraw/zinc/93/55/59/946935559.db2.gz FCVQAYWKPSGBPL-UHFFFAOYSA-N -1 1 326.324 -0.179 20 0 EBADMM CC(=O)c1cn(C2CN(c3cc(-c4nn[n-]n4)nc(C)n3)C2)nn1 ZINC001573558155 946935563 /nfs/dbraw/zinc/93/55/63/946935563.db2.gz FCVQAYWKPSGBPL-UHFFFAOYSA-N -1 1 326.324 -0.179 20 0 EBADMM CC(=O)c1cn(C2CN(C(=O)c3ccc(-c4nnn[n-]4)nc3)C2)nn1 ZINC001573558946 946943030 /nfs/dbraw/zinc/94/30/30/946943030.db2.gz RGVPIUMOJPQOJB-UHFFFAOYSA-N -1 1 339.319 -0.247 20 0 EBADMM CC(=O)c1cn(C2CN(C(=O)c3ccc(-c4nn[n-]n4)nc3)C2)nn1 ZINC001573558946 946943033 /nfs/dbraw/zinc/94/30/33/946943033.db2.gz RGVPIUMOJPQOJB-UHFFFAOYSA-N -1 1 339.319 -0.247 20 0 EBADMM CC(=O)[C@@H](Cc1ccccc1)NC(=O)Cn1cc(-c2nn[n-]n2)nn1 ZINC001573363080 947708595 /nfs/dbraw/zinc/70/85/95/947708595.db2.gz BAIYHEURJPWEBK-GFCCVEGCSA-N -1 1 340.347 -0.225 20 0 EBADMM C[C@@H](C(=O)N1CCC2(CNC(=O)O2)CC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573629307 947778188 /nfs/dbraw/zinc/77/81/88/947778188.db2.gz NKHPZBYNXBNNSS-QMMMGPOBSA-N -1 1 347.339 -0.880 20 0 EBADMM C[C@H](C(=O)NC(C)(C)[C@H](O)C(F)(F)F)n1cnc(-c2nn[n-]n2)n1 ZINC001573650457 947910866 /nfs/dbraw/zinc/91/08/66/947910866.db2.gz CCXFGOMTAXWMDM-ANLVUFKYSA-N -1 1 348.289 -0.163 20 0 EBADMM C[C@H](C(=O)NC(C)(C)c1cn(C)nn1)n1cnc(-c2nn[n-]n2)n1 ZINC001573652913 947947935 /nfs/dbraw/zinc/94/79/35/947947935.db2.gz IDDQEXGFLOTDKT-SSDOTTSWSA-N -1 1 331.344 -0.801 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@H](C)CNc1nccnc1-c1nnn[n-]1 ZINC001573401393 947998739 /nfs/dbraw/zinc/99/87/39/947998739.db2.gz BNAQCKLRVRHSHM-VIFPVBQESA-N -1 1 347.383 -0.701 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@H](C)CNc1nccnc1-c1nn[n-]n1 ZINC001573401393 947998745 /nfs/dbraw/zinc/99/87/45/947998745.db2.gz BNAQCKLRVRHSHM-VIFPVBQESA-N -1 1 347.383 -0.701 20 0 EBADMM C[C@@H](C(=O)NC1(C(F)F)OCCO1)n1cnc(-c2nn[n-]n2)n1 ZINC001573657385 948000615 /nfs/dbraw/zinc/00/06/15/948000615.db2.gz NITWZJIIYNJEFP-YFKPBYRVSA-N -1 1 330.255 -0.899 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@@H](C)CNc1cncc(-c2nnn[n-]2)n1 ZINC001573402834 948006065 /nfs/dbraw/zinc/00/60/65/948006065.db2.gz JHRGTUVHZSBKFJ-VIFPVBQESA-N -1 1 347.383 -0.701 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@@H](C)CNc1cncc(-c2nn[n-]n2)n1 ZINC001573402834 948006069 /nfs/dbraw/zinc/00/60/69/948006069.db2.gz JHRGTUVHZSBKFJ-VIFPVBQESA-N -1 1 347.383 -0.701 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@@H](C)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001573404166 948018382 /nfs/dbraw/zinc/01/83/82/948018382.db2.gz QXSVRXVKGJGJQW-VIFPVBQESA-N -1 1 347.383 -0.701 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@@H](C)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001573404166 948018390 /nfs/dbraw/zinc/01/83/90/948018390.db2.gz QXSVRXVKGJGJQW-VIFPVBQESA-N -1 1 347.383 -0.701 20 0 EBADMM C[C@H](C(=O)NC1(c2ncon2)CCCC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573663715 948038194 /nfs/dbraw/zinc/03/81/94/948038194.db2.gz OVAXFYMFJJZWOP-MRVPVSSYSA-N -1 1 344.339 -0.012 20 0 EBADMM C[C@H](C(=O)N[C@H]1C[C@H]2CCCCN2C1=O)n1cnc(-c2nn[n-]n2)n1 ZINC001573665296 948073329 /nfs/dbraw/zinc/07/33/29/948073329.db2.gz KKIGNIYHDDFACK-BBBLOLIVSA-N -1 1 345.367 -0.711 20 0 EBADMM C[C@@H](C(=O)N[C@H]1CCN(CC(F)F)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573670370 948101451 /nfs/dbraw/zinc/10/14/51/948101451.db2.gz BANWBVJRBPXVDT-YUMQZZPRSA-N -1 1 341.326 -0.525 20 0 EBADMM CC(=O)N1CC(C(=O)NC[C@@H](C)Nc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001573419623 948124840 /nfs/dbraw/zinc/12/48/40/948124840.db2.gz NUSLLBRBPSOKOP-MRVPVSSYSA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)N1CC(C(=O)NC[C@@H](C)Nc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001573419623 948124845 /nfs/dbraw/zinc/12/48/45/948124845.db2.gz NUSLLBRBPSOKOP-MRVPVSSYSA-N -1 1 345.367 -0.948 20 0 EBADMM C[C@@H](C(=O)NC[C@@H](CO)Nc1ccc(-c2nnn[n-]2)nn1)C1CC1 ZINC001573681555 948209097 /nfs/dbraw/zinc/20/90/97/948209097.db2.gz WJCHIOMGYJNDQV-SCZZXKLOSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@@H](C(=O)NC[C@@H](CO)Nc1ccc(-c2nn[n-]n2)nn1)C1CC1 ZINC001573681555 948209107 /nfs/dbraw/zinc/20/91/07/948209107.db2.gz WJCHIOMGYJNDQV-SCZZXKLOSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@H](C(=O)NC[C@H](CO)Nc1ccnc(-c2nn[n-]n2)n1)C(C)(C)C ZINC001573681948 948219688 /nfs/dbraw/zinc/21/96/88/948219688.db2.gz ZMEHWNPRYLRHIS-NXEZZACHSA-N -1 1 348.411 -0.350 20 0 EBADMM CC(=O)N1CCC2(CC1)CN(c1nccnc1-c1nnn[n-]1)CCO2 ZINC001573439815 948254433 /nfs/dbraw/zinc/25/44/33/948254433.db2.gz SUCILTNVGPSCRT-UHFFFAOYSA-N -1 1 344.379 -0.126 20 0 EBADMM CC(=O)N1CCC2(CC1)CN(c1nccnc1-c1nn[n-]n1)CCO2 ZINC001573439815 948254442 /nfs/dbraw/zinc/25/44/42/948254442.db2.gz SUCILTNVGPSCRT-UHFFFAOYSA-N -1 1 344.379 -0.126 20 0 EBADMM CC(=O)N1CC[C@@]2(C1)CN(c1nccnc1-c1nnn[n-]1)CCO2 ZINC001573439829 948254622 /nfs/dbraw/zinc/25/46/22/948254622.db2.gz UEVWLHTXJTVCQO-CQSZACIVSA-N -1 1 330.352 -0.516 20 0 EBADMM CC(=O)N1CC[C@@]2(C1)CN(c1nccnc1-c1nn[n-]n1)CCO2 ZINC001573439829 948254628 /nfs/dbraw/zinc/25/46/28/948254628.db2.gz UEVWLHTXJTVCQO-CQSZACIVSA-N -1 1 330.352 -0.516 20 0 EBADMM C[C@H](C(=O)NC[C@H](O)CNc1ccc(-c2nnn[n-]2)nn1)C1CC1 ZINC001573692181 948255730 /nfs/dbraw/zinc/25/57/30/948255730.db2.gz MWWIRBZAVNHQEE-WCBMZHEXSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@H](C(=O)NC[C@H](O)CNc1ccc(-c2nn[n-]n2)nn1)C1CC1 ZINC001573692181 948255738 /nfs/dbraw/zinc/25/57/38/948255738.db2.gz MWWIRBZAVNHQEE-WCBMZHEXSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@@H](C(=O)NCC[C@@H]1CC(=O)N(C)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573705094 948390949 /nfs/dbraw/zinc/39/09/49/948390949.db2.gz CQWBOBLBSPBUMP-DTWKUNHWSA-N -1 1 333.356 -0.996 20 0 EBADMM C[C@H](C(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)C1CCC1 ZINC001573717748 948510253 /nfs/dbraw/zinc/51/02/53/948510253.db2.gz MVVPRHAAHAZWNL-QMMMGPOBSA-N -1 1 333.352 -0.319 20 0 EBADMM C[C@H](C(=O)NCCc1ccc(=O)[nH]c1)n1cnc(-c2nn[n-]n2)n1 ZINC001573719626 948526227 /nfs/dbraw/zinc/52/62/27/948526227.db2.gz XSLVJJIJVAJYQV-MRVPVSSYSA-N -1 1 329.324 -0.521 20 0 EBADMM C[C@H](C(=O)N[C@]12CC(=O)N[C@H]1CCCC2)n1cnc(-c2nn[n-]n2)n1 ZINC001573739635 948620902 /nfs/dbraw/zinc/62/09/02/948620902.db2.gz FVGUHSXSDBKIOQ-TVLCNXBWSA-N -1 1 345.367 -0.663 20 0 EBADMM NC(=O)C1CN(S(=O)(=O)c2ccc(F)cc2-c2nn[n-]n2)C1 ZINC001570925264 948665357 /nfs/dbraw/zinc/66/53/57/948665357.db2.gz DIUXCJHCTLOBHZ-UHFFFAOYSA-N -1 1 326.313 -0.888 20 0 EBADMM O=C(Nc1cn[nH]c1)[C@@H]1CCN(c2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570925567 948671833 /nfs/dbraw/zinc/67/18/33/948671833.db2.gz MQEGLDQBJXWEHP-MRVPVSSYSA-N -1 1 326.324 -0.155 20 0 EBADMM NC(=O)C1CN(C(=O)Nc2nc(Cl)c(-c3nn[n-]n3)s2)C1 ZINC001570925662 948674213 /nfs/dbraw/zinc/67/42/13/948674213.db2.gz HDIYJKOPVYHWPR-UHFFFAOYSA-N -1 1 328.745 -0.074 20 0 EBADMM CCS(=O)(=O)N[C@H](C)C(=O)Nc1n[nH]c(C)c1-c1nn[n-]n1 ZINC001570927342 948709390 /nfs/dbraw/zinc/70/93/90/948709390.db2.gz QOGZSUVJXLRFRT-ZCFIWIBFSA-N -1 1 328.358 -0.835 20 0 EBADMM O=C(N[C@H]1C[C@@H](Nc2ccnc(-c3nn[n-]n3)n2)C1)[C@H]1CCOC1 ZINC001570927388 948710784 /nfs/dbraw/zinc/71/07/84/948710784.db2.gz FBTBYAWZQGGNNL-LPEHRKFASA-N -1 1 330.352 -0.826 20 0 EBADMM O=C(N[C@@H]1CCN(c2ccnc(-c3nn[n-]n3)n2)C1)[C@H]1CCCO1 ZINC001570928099 948725396 /nfs/dbraw/zinc/72/53/96/948725396.db2.gz MJDUOPRMNXJICW-NXEZZACHSA-N -1 1 330.352 -0.469 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)N[C@H]1CCN(C(N)=O)C1 ZINC001570928373 948731472 /nfs/dbraw/zinc/73/14/72/948731472.db2.gz GHJGFTFGJNBBCL-ZETCQYMHSA-N -1 1 332.324 -0.841 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)N[C@H]1C(=O)NCC1(C)C ZINC001570929040 948749295 /nfs/dbraw/zinc/74/92/95/948749295.db2.gz FWUSEHMVTMZPHM-VIFPVBQESA-N -1 1 331.336 -0.470 20 0 EBADMM COc1nn(C)cc1CNC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC001570929322 948763022 /nfs/dbraw/zinc/76/30/22/948763022.db2.gz RBCUPKCHANXOPW-UHFFFAOYSA-N -1 1 332.324 -0.236 20 0 EBADMM COc1nn(C)cc1CNC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC001570929322 948763034 /nfs/dbraw/zinc/76/30/34/948763034.db2.gz RBCUPKCHANXOPW-UHFFFAOYSA-N -1 1 332.324 -0.236 20 0 EBADMM CC(C)[C@H](F)C(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001574079691 948774593 /nfs/dbraw/zinc/77/45/93/948774593.db2.gz FGSZSNXQJFVAPJ-QMMMGPOBSA-N -1 1 325.304 -0.761 20 0 EBADMM C[C@H](CCNc1cnc(-c2nnn[n-]2)cn1)NC(=O)Cn1cncn1 ZINC001574679560 948788891 /nfs/dbraw/zinc/78/88/91/948788891.db2.gz YCQWFGWQBDPXMH-SECBINFHSA-N -1 1 343.355 -0.745 20 0 EBADMM C[C@H](CCNc1cnc(-c2nn[n-]n2)cn1)NC(=O)Cn1cncn1 ZINC001574679560 948788911 /nfs/dbraw/zinc/78/89/11/948788911.db2.gz YCQWFGWQBDPXMH-SECBINFHSA-N -1 1 343.355 -0.745 20 0 EBADMM C[C@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)c1cnn(C)n1 ZINC001574680822 948809045 /nfs/dbraw/zinc/80/90/45/948809045.db2.gz ILQZZLOWAODTLA-MRVPVSSYSA-N -1 1 343.355 -0.594 20 0 EBADMM C[C@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)c1cnn(C)n1 ZINC001574680822 948809069 /nfs/dbraw/zinc/80/90/69/948809069.db2.gz ILQZZLOWAODTLA-MRVPVSSYSA-N -1 1 343.355 -0.594 20 0 EBADMM CC(C)[C@@H](O)C(=O)N[C@@H](C)CCNc1cncc(-c2nnn[n-]2)n1 ZINC001574683196 948843830 /nfs/dbraw/zinc/84/38/30/948843830.db2.gz VPJVCBQLHOSERG-JOYOIKCWSA-N -1 1 334.384 -0.020 20 0 EBADMM CC(C)[C@@H](O)C(=O)N[C@@H](C)CCNc1cncc(-c2nn[n-]n2)n1 ZINC001574683196 948843849 /nfs/dbraw/zinc/84/38/49/948843849.db2.gz VPJVCBQLHOSERG-JOYOIKCWSA-N -1 1 334.384 -0.020 20 0 EBADMM CN(C[C@@H]1CCCC[C@H]1O)c1[nH]c(=O)n(C)c(=O)c1-c1nn[n-]n1 ZINC001570933243 948883458 /nfs/dbraw/zinc/88/34/58/948883458.db2.gz KDIYLQXMOAMIAY-DTWKUNHWSA-N -1 1 335.368 -0.759 20 0 EBADMM O=C(C[C@@H]1CCS(=O)(=O)C1)NCc1ccnc(-c2nnn[n-]2)c1 ZINC001570933902 948915277 /nfs/dbraw/zinc/91/52/77/948915277.db2.gz DWMBVFXUZRBGDF-JTQLQIEISA-N -1 1 336.377 -0.297 20 0 EBADMM O=C(C[C@@H]1CCS(=O)(=O)C1)NCc1ccnc(-c2nn[n-]n2)c1 ZINC001570933902 948915288 /nfs/dbraw/zinc/91/52/88/948915288.db2.gz DWMBVFXUZRBGDF-JTQLQIEISA-N -1 1 336.377 -0.297 20 0 EBADMM CC(F)(F)CC(=O)NC[C@@H](O)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001575235246 948915425 /nfs/dbraw/zinc/91/54/25/948915425.db2.gz UQNPGVKKZZZMPF-ZETCQYMHSA-N -1 1 342.310 -0.409 20 0 EBADMM CC(F)(F)CC(=O)NC[C@@H](O)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001575235246 948915438 /nfs/dbraw/zinc/91/54/38/948915438.db2.gz UQNPGVKKZZZMPF-ZETCQYMHSA-N -1 1 342.310 -0.409 20 0 EBADMM CN1C(=O)N=NC1CNC(=O)NCc1ccc(-c2nn[n-]n2)s1 ZINC001570934067 948922806 /nfs/dbraw/zinc/92/28/06/948922806.db2.gz XGVXZASBQKPVMN-UHFFFAOYSA-N -1 1 335.353 -0.238 20 0 EBADMM CC(CO)(CO)NC(=O)Nc1nc(Cl)c(-c2nn[n-]n2)s1 ZINC001570934503 948942507 /nfs/dbraw/zinc/94/25/07/948942507.db2.gz KZSQYWOIJKSNAR-UHFFFAOYSA-N -1 1 333.761 -0.159 20 0 EBADMM C[C@@H](CNC(=O)CCn1cccn1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574923249 948943186 /nfs/dbraw/zinc/94/31/86/948943186.db2.gz IGEAGSRSFVKQJL-JTQLQIEISA-N -1 1 342.367 -0.140 20 0 EBADMM C[C@@H](CNC(=O)CCn1cccn1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574923249 948943200 /nfs/dbraw/zinc/94/32/00/948943200.db2.gz IGEAGSRSFVKQJL-JTQLQIEISA-N -1 1 342.367 -0.140 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)NC[C@H](O)[C@@H]1CCCO1 ZINC001570934908 948959197 /nfs/dbraw/zinc/95/91/97/948959197.db2.gz OHQLQIAYUYCPRR-UWVGGRQHSA-N -1 1 334.336 -0.455 20 0 EBADMM C[C@H](CNC(=O)CN1CCCC1=O)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574926124 948988482 /nfs/dbraw/zinc/98/84/82/948988482.db2.gz IYBGULUAMLFOAR-SECBINFHSA-N -1 1 345.367 -0.804 20 0 EBADMM C[C@H](CNC(=O)CN1CCCC1=O)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574926124 948988490 /nfs/dbraw/zinc/98/84/90/948988490.db2.gz IYBGULUAMLFOAR-SECBINFHSA-N -1 1 345.367 -0.804 20 0 EBADMM CO[C@H]1COCC[C@@H]1CNC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC001570936142 949015574 /nfs/dbraw/zinc/01/55/74/949015574.db2.gz WENOCFUZXJSFBX-KOLCDFICSA-N -1 1 336.352 -0.127 20 0 EBADMM CO[C@H]1COCC[C@@H]1CNC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC001570936142 949015682 /nfs/dbraw/zinc/01/56/82/949015682.db2.gz WENOCFUZXJSFBX-KOLCDFICSA-N -1 1 336.352 -0.127 20 0 EBADMM O=C(c1cccnn1)N1CC[C@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001570936597 949044802 /nfs/dbraw/zinc/04/48/02/949044802.db2.gz YQKZYGHJWCJIOE-VIFPVBQESA-N -1 1 338.335 -0.227 20 0 EBADMM O=C(c1cccnn1)N1CC[C@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001570936597 949044822 /nfs/dbraw/zinc/04/48/22/949044822.db2.gz YQKZYGHJWCJIOE-VIFPVBQESA-N -1 1 338.335 -0.227 20 0 EBADMM C[C@@H](Nc1ccc(-c2nn[n-]n2)nn1)[C@H](C)NC(=O)C(C)(C)C(N)=O ZINC001575247885 949055224 /nfs/dbraw/zinc/05/52/24/949055224.db2.gz LEQNLJOBUDYANV-SFYZADRCSA-N -1 1 347.383 -0.527 20 0 EBADMM C[C@@H](Nc1ccc(-c2nnn[n-]2)nn1)[C@H](C)NC(=O)C(C)(C)C(N)=O ZINC001575247885 949055203 /nfs/dbraw/zinc/05/52/03/949055203.db2.gz LEQNLJOBUDYANV-SFYZADRCSA-N -1 1 347.383 -0.527 20 0 EBADMM O=C(Cn1ccnc1)N[C@@H]1CCN(c2cnc(-c3nn[n-]n3)cn2)C1 ZINC001570938037 949085508 /nfs/dbraw/zinc/08/55/08/949085508.db2.gz MFMOCQSGBYXOHN-SNVBAGLBSA-N -1 1 340.351 -0.752 20 0 EBADMM O=C(N[C@@H]1CCCN(c2nccnc2-c2nnn[n-]2)C1)c1ccn[nH]1 ZINC001570937822 949086036 /nfs/dbraw/zinc/08/60/36/949086036.db2.gz KIUQZLIYIZFSPK-SECBINFHSA-N -1 1 340.351 -0.221 20 0 EBADMM O=C(N[C@@H]1CCCN(c2nccnc2-c2nn[n-]n2)C1)c1ccn[nH]1 ZINC001570937822 949086053 /nfs/dbraw/zinc/08/60/53/949086053.db2.gz KIUQZLIYIZFSPK-SECBINFHSA-N -1 1 340.351 -0.221 20 0 EBADMM C[C@H]1[C@@H](Nc2ccnc(-c3nn[n-]n3)n2)CCN1C(=O)c1cnon1 ZINC001570939980 949159809 /nfs/dbraw/zinc/15/98/09/949159809.db2.gz SHTCBNZAAMMXDD-YUMQZZPRSA-N -1 1 342.323 -0.823 20 0 EBADMM Cc1ocnc1C(=O)N1CC[C@H](N=c2cc[nH]c(-c3nn[n-]n3)n2)C1 ZINC001570940900 949195944 /nfs/dbraw/zinc/19/59/44/949195944.db2.gz OKWRLOPGWAUHNA-VIFPVBQESA-N -1 1 341.335 -0.298 20 0 EBADMM Cc1cc(C(=O)N2CC[C@@H](Nc3ccnc(-c4nn[n-]n4)n3)C2)on1 ZINC001570941105 949211343 /nfs/dbraw/zinc/21/13/43/949211343.db2.gz ANMHNLORBZTBRM-SECBINFHSA-N -1 1 341.335 -0.298 20 0 EBADMM C[C@H]1CCC[C@H](NC(=O)Cn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)C1 ZINC001576152243 949249300 /nfs/dbraw/zinc/24/93/00/949249300.db2.gz JKNUUPHYTNADDH-IUCAKERBSA-N -1 1 333.352 -0.176 20 0 EBADMM C[C@@H](Nc1cnc(-c2nnn[n-]2)cn1)[C@H](C)NC(=O)C1=COCCO1 ZINC001575258059 949288994 /nfs/dbraw/zinc/28/89/94/949288994.db2.gz DPMCGZLARSZADH-BDAKNGLRSA-N -1 1 346.351 -0.150 20 0 EBADMM C[C@@H](Nc1cnc(-c2nn[n-]n2)cn1)[C@H](C)NC(=O)C1=COCCO1 ZINC001575258059 949289009 /nfs/dbraw/zinc/28/90/09/949289009.db2.gz DPMCGZLARSZADH-BDAKNGLRSA-N -1 1 346.351 -0.150 20 0 EBADMM C[C@@H](CNC(=O)Cc1ccon1)Nc1nccnc1-c1nnn[n-]1 ZINC001574941499 949313570 /nfs/dbraw/zinc/31/35/70/949313570.db2.gz DXGWBOGXMPFCKY-QMMMGPOBSA-N -1 1 329.324 -0.196 20 0 EBADMM C[C@@H](CNC(=O)Cc1ccon1)Nc1nccnc1-c1nn[n-]n1 ZINC001574941499 949313591 /nfs/dbraw/zinc/31/35/91/949313591.db2.gz DXGWBOGXMPFCKY-QMMMGPOBSA-N -1 1 329.324 -0.196 20 0 EBADMM CC1(C(=O)N(CCO)CCNc2cncc(-c3nnn[n-]3)n2)CC1 ZINC001575524443 949316458 /nfs/dbraw/zinc/31/64/58/949316458.db2.gz JFQJSFHAECUGDD-UHFFFAOYSA-N -1 1 332.368 -0.310 20 0 EBADMM CC1(C(=O)N(CCO)CCNc2cncc(-c3nn[n-]n3)n2)CC1 ZINC001575524443 949316480 /nfs/dbraw/zinc/31/64/80/949316480.db2.gz JFQJSFHAECUGDD-UHFFFAOYSA-N -1 1 332.368 -0.310 20 0 EBADMM C[C@@H](NC(=O)C1=COCCO1)[C@@H](C)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575260836 949363130 /nfs/dbraw/zinc/36/31/30/949363130.db2.gz XSWMBEWQCPKIIC-RKDXNWHRSA-N -1 1 346.351 -0.728 20 0 EBADMM C[C@@H]1CCC[C@@H]1CNC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001576155147 949382670 /nfs/dbraw/zinc/38/26/70/949382670.db2.gz GTBUVHQHZPJZAG-RKDXNWHRSA-N -1 1 333.352 -0.319 20 0 EBADMM C[C@H](CNC(=O)Cc1cc[nH]n1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574943889 949388783 /nfs/dbraw/zinc/38/87/83/949388783.db2.gz ZTBKVFIHZWYCSE-MRVPVSSYSA-N -1 1 328.340 -0.461 20 0 EBADMM C[C@H](CNC(=O)Cc1cc[nH]n1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574943889 949388802 /nfs/dbraw/zinc/38/88/02/949388802.db2.gz ZTBKVFIHZWYCSE-MRVPVSSYSA-N -1 1 328.340 -0.461 20 0 EBADMM C[C@H](CNC(=O)Cc1cnoc1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001574944543 949398496 /nfs/dbraw/zinc/39/84/96/949398496.db2.gz DUWYFSPJZNOQJY-SECBINFHSA-N -1 1 343.351 -0.172 20 0 EBADMM C[C@@H](CNC(=O)Cc1cnoc1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574944548 949398962 /nfs/dbraw/zinc/39/89/62/949398962.db2.gz DXYPXZBWTFRFEQ-QMMMGPOBSA-N -1 1 329.324 -0.196 20 0 EBADMM C[C@@H](CNC(=O)Cc1cnoc1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574944548 949398985 /nfs/dbraw/zinc/39/89/85/949398985.db2.gz DXYPXZBWTFRFEQ-QMMMGPOBSA-N -1 1 329.324 -0.196 20 0 EBADMM C[C@@H](CNC(=O)Cc1cncn1C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574945580 949414448 /nfs/dbraw/zinc/41/44/48/949414448.db2.gz MVJRGDZXWCRRKM-VIFPVBQESA-N -1 1 342.367 -0.451 20 0 EBADMM C[C@@H](CN(C)C(=O)C1=COCCO1)Nc1nccnc1-c1nnn[n-]1 ZINC001574705125 949415090 /nfs/dbraw/zinc/41/50/90/949415090.db2.gz RLUYLJAPYSDPEA-VIFPVBQESA-N -1 1 346.351 -0.196 20 0 EBADMM C[C@@H](CN(C)C(=O)C1=COCCO1)Nc1nccnc1-c1nn[n-]n1 ZINC001574705125 949415110 /nfs/dbraw/zinc/41/51/10/949415110.db2.gz RLUYLJAPYSDPEA-VIFPVBQESA-N -1 1 346.351 -0.196 20 0 EBADMM C[C@@H](CNC(=O)Cc1cncn1C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574945766 949420694 /nfs/dbraw/zinc/42/06/94/949420694.db2.gz NTWVJCPEAFTSOU-VIFPVBQESA-N -1 1 342.367 -0.451 20 0 EBADMM C[C@@H](CNC(=O)Cc1cnoc1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001574947110 949442544 /nfs/dbraw/zinc/44/25/44/949442544.db2.gz ZKOCPQBEIDTMPL-VIFPVBQESA-N -1 1 343.351 -0.172 20 0 EBADMM C[C@@H](CNC(=O)Cc1cnoc1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001574947110 949442555 /nfs/dbraw/zinc/44/25/55/949442555.db2.gz ZKOCPQBEIDTMPL-VIFPVBQESA-N -1 1 343.351 -0.172 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)N(C)CCN1CCCC1=O ZINC001570944868 949447087 /nfs/dbraw/zinc/44/70/87/949447087.db2.gz YZEJQRHBYVEBME-UHFFFAOYSA-N -1 1 345.363 -0.030 20 0 EBADMM C[C@@H](CNC(=O)Cn1ccnc1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001574947525 949449619 /nfs/dbraw/zinc/44/96/19/949449619.db2.gz BTLDVEFFUBTMDC-JTQLQIEISA-N -1 1 342.367 -0.506 20 0 EBADMM O=C(N[C@@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C1)[C@H]1CCCCO1 ZINC001570945546 949489387 /nfs/dbraw/zinc/48/93/87/949489387.db2.gz DLQJFSIITVIVFT-ZYHUDNBSSA-N -1 1 344.379 -0.079 20 0 EBADMM O=C(N[C@@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C1)[C@H]1CCCCO1 ZINC001570945546 949489397 /nfs/dbraw/zinc/48/93/97/949489397.db2.gz DLQJFSIITVIVFT-ZYHUDNBSSA-N -1 1 344.379 -0.079 20 0 EBADMM C[C@H](CNC(=O)Cn1ccnc1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574949458 949495704 /nfs/dbraw/zinc/49/57/04/949495704.db2.gz XCYATTLPTWYDIF-SECBINFHSA-N -1 1 328.340 -0.530 20 0 EBADMM C[C@@H](CNC(=O)Cn1cccn1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574949595 949497529 /nfs/dbraw/zinc/49/75/29/949497529.db2.gz YFKDUAQXFICFDL-VIFPVBQESA-N -1 1 328.340 -0.530 20 0 EBADMM C[C@@H](CNC(=O)Cn1cccn1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574949595 949497548 /nfs/dbraw/zinc/49/75/48/949497548.db2.gz YFKDUAQXFICFDL-VIFPVBQESA-N -1 1 328.340 -0.530 20 0 EBADMM C[C@H](CNC(=O)Cn1cccn1)Nc1nccnc1-c1nnn[n-]1 ZINC001574949614 949498827 /nfs/dbraw/zinc/49/88/27/949498827.db2.gz YQAANVXDLUDUOX-SECBINFHSA-N -1 1 328.340 -0.530 20 0 EBADMM C[C@H](CNC(=O)Cn1cccn1)Nc1nccnc1-c1nn[n-]n1 ZINC001574949614 949498845 /nfs/dbraw/zinc/49/88/45/949498845.db2.gz YQAANVXDLUDUOX-SECBINFHSA-N -1 1 328.340 -0.530 20 0 EBADMM CO[C@H](C)C(=O)N1CC[C@H](CN(C)c2nccnc2-c2nnn[n-]2)C1 ZINC001570945740 949499028 /nfs/dbraw/zinc/49/90/28/949499028.db2.gz BVMCJTCBUFUWMJ-GHMZBOCLSA-N -1 1 346.395 -0.024 20 0 EBADMM CO[C@H](C)C(=O)N1CC[C@H](CN(C)c2nccnc2-c2nn[n-]n2)C1 ZINC001570945740 949499038 /nfs/dbraw/zinc/49/90/38/949499038.db2.gz BVMCJTCBUFUWMJ-GHMZBOCLSA-N -1 1 346.395 -0.024 20 0 EBADMM Cc1c[nH]c(CNc2[nH]c(=O)n(C)c(=O)c2-c2nn[n-]n2)c(C)c1=O ZINC001570945929 949509644 /nfs/dbraw/zinc/50/96/44/949509644.db2.gz LHKFCRMOHYTGKO-UHFFFAOYSA-N -1 1 344.335 -0.417 20 0 EBADMM Cc1ccc(C(=O)NCCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)n1C ZINC001570946491 949534063 /nfs/dbraw/zinc/53/40/63/949534063.db2.gz PJRGYRXNNGJQTH-UHFFFAOYSA-N -1 1 344.335 -0.794 20 0 EBADMM COC(=O)c1cccc(Oc2[nH]c(=O)n(C)c(=O)c2-c2nn[n-]n2)c1 ZINC001570946899 949555056 /nfs/dbraw/zinc/55/50/56/949555056.db2.gz SRSYBLVMKQCUKW-UHFFFAOYSA-N -1 1 344.287 -0.167 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1COCCO1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574711782 949557393 /nfs/dbraw/zinc/55/73/93/949557393.db2.gz MCDFUWYKJWJENT-KOLCDFICSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1COCCO1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574711782 949557401 /nfs/dbraw/zinc/55/74/01/949557401.db2.gz MCDFUWYKJWJENT-KOLCDFICSA-N -1 1 348.367 -0.669 20 0 EBADMM COc1cn(C)nc1[C@H](C)NC(=O)[C@H](C)n1cnc(-c2nn[n-]n2)n1 ZINC001570947074 949559205 /nfs/dbraw/zinc/55/92/05/949559205.db2.gz RTESNKMTQCCETK-YUMQZZPRSA-N -1 1 346.355 -0.361 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1CCOC1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574711964 949565391 /nfs/dbraw/zinc/56/53/91/949565391.db2.gz ORJDPYOIEWBJDR-ZJUUUORDSA-N -1 1 332.368 -0.048 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1CCOC1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574711964 949565409 /nfs/dbraw/zinc/56/54/09/949565409.db2.gz ORJDPYOIEWBJDR-ZJUUUORDSA-N -1 1 332.368 -0.048 20 0 EBADMM O=S(=O)(Cc1csc(-c2nn[n-]n2)c1)N(CCO)CCCO ZINC001570947585 949577652 /nfs/dbraw/zinc/57/76/52/949577652.db2.gz BYXRQHLJKHGVRY-UHFFFAOYSA-N -1 1 347.422 -0.565 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1COCCO1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574712680 949585396 /nfs/dbraw/zinc/58/53/96/949585396.db2.gz SXENEUGLLSCAIC-GXSJLCMTSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1COCCO1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574712680 949585419 /nfs/dbraw/zinc/58/54/19/949585419.db2.gz SXENEUGLLSCAIC-GXSJLCMTSA-N -1 1 348.367 -0.669 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)NC[C@H](O)COCC1CC1 ZINC001570948045 949592089 /nfs/dbraw/zinc/59/20/89/949592089.db2.gz BFKUCSGKIRWQEV-JTQLQIEISA-N -1 1 348.363 -0.207 20 0 EBADMM C[C@H]1C[C@H](C(=O)Nc2[nH]nc(N3CCOCC3)c2-c2nnn[n-]2)CO1 ZINC001570949072 949630859 /nfs/dbraw/zinc/63/08/59/949630859.db2.gz LRNRJDBSMBURJN-IUCAKERBSA-N -1 1 348.367 -0.210 20 0 EBADMM C[C@H]1C[C@H](C(=O)Nc2[nH]nc(N3CCOCC3)c2-c2nn[n-]n2)CO1 ZINC001570949072 949630868 /nfs/dbraw/zinc/63/08/68/949630868.db2.gz LRNRJDBSMBURJN-IUCAKERBSA-N -1 1 348.367 -0.210 20 0 EBADMM CCOC(=O)C1(CNc2[nH]c(=O)n(C)c(=O)c2-c2nn[n-]n2)CCC1 ZINC001570950001 949670909 /nfs/dbraw/zinc/67/09/09/949670909.db2.gz DBXCTNSBBATELB-UHFFFAOYSA-N -1 1 349.351 -0.189 20 0 EBADMM CN(Cc1cscn1)C(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570950268 949680528 /nfs/dbraw/zinc/68/05/28/949680528.db2.gz YUQZANNGHYFMTB-UHFFFAOYSA-N -1 1 348.348 -0.756 20 0 EBADMM C[C@H]1COCC[C@@H]1NC(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570950619 949694334 /nfs/dbraw/zinc/69/43/34/949694334.db2.gz OJQHVMBKZGQPCR-WPRPVWTQSA-N -1 1 349.351 -0.940 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CCC(=O)N1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001574964671 949788672 /nfs/dbraw/zinc/78/86/72/949788672.db2.gz ZKBCZYXQBVZILC-PSASIEDQSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CCC(=O)N1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001574964671 949788687 /nfs/dbraw/zinc/78/86/87/949788687.db2.gz ZKBCZYXQBVZILC-PSASIEDQSA-N -1 1 345.367 -0.901 20 0 EBADMM CCCN(C(=O)C(=O)N1CCO[C@@H](C(=O)[O-])C1)[C@H]1CCN(C)C1 ZINC001589659385 949845788 /nfs/dbraw/zinc/84/57/88/949845788.db2.gz QUSFZHCWEASDNG-NWDGAFQWSA-N -1 1 327.381 -0.759 20 0 EBADMM C[C@@]1(C(=O)N[C@H]2CCN(c3ccnc(-c4nn[n-]n4)n3)C2)CCOC1 ZINC001575568903 949901862 /nfs/dbraw/zinc/90/18/62/949901862.db2.gz CXUXVFNYSMPFST-ZUZCIYMTSA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@H](CN(C)C(=O)COCC1CC1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574727239 949959970 /nfs/dbraw/zinc/95/99/70/949959970.db2.gz QKSVHBUZZPBMLJ-SNVBAGLBSA-N -1 1 346.395 -0.236 20 0 EBADMM C[C@H](CN(C)C(=O)Cn1cncn1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574730803 950038566 /nfs/dbraw/zinc/03/85/66/950038566.db2.gz BOWHZFGNWCAGOU-SECBINFHSA-N -1 1 343.355 -0.793 20 0 EBADMM C[C@H](CN(C)C(=O)Cn1cncn1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574730803 950038585 /nfs/dbraw/zinc/03/85/85/950038585.db2.gz BOWHZFGNWCAGOU-SECBINFHSA-N -1 1 343.355 -0.793 20 0 EBADMM C[C@H](CN(C)C(=O)Cc1ncc[nH]1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574732229 950071003 /nfs/dbraw/zinc/07/10/03/950071003.db2.gz HKUJSCHGSQLNJC-SECBINFHSA-N -1 1 342.367 -0.119 20 0 EBADMM C[C@H](CN(C)C(=O)Cc1ncc[nH]1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574732229 950071025 /nfs/dbraw/zinc/07/10/25/950071025.db2.gz HKUJSCHGSQLNJC-SECBINFHSA-N -1 1 342.367 -0.119 20 0 EBADMM CC(C)(C)CC(=O)NC[C@@H](CO)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001573836216 950071553 /nfs/dbraw/zinc/07/15/53/950071553.db2.gz HAFATIOATKEQOC-VIFPVBQESA-N -1 1 334.384 -0.018 20 0 EBADMM CC(C)(C)CC(=O)NC[C@@H](CO)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001573836216 950071573 /nfs/dbraw/zinc/07/15/73/950071573.db2.gz HAFATIOATKEQOC-VIFPVBQESA-N -1 1 334.384 -0.018 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1CCC(=O)N1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574732976 950087616 /nfs/dbraw/zinc/08/76/16/950087616.db2.gz OMAGOBGUIFZBRR-SCZZXKLOSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1CCC(=O)N1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574732976 950087643 /nfs/dbraw/zinc/08/76/43/950087643.db2.gz OMAGOBGUIFZBRR-SCZZXKLOSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](CN(C)C(=O)Cn1cncn1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574733092 950098606 /nfs/dbraw/zinc/09/86/06/950098606.db2.gz RTHBPSZSSZOPCM-SECBINFHSA-N -1 1 343.355 -0.793 20 0 EBADMM C[C@H](CN(C)C(=O)Cn1cncn1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574733092 950098627 /nfs/dbraw/zinc/09/86/27/950098627.db2.gz RTHBPSZSSZOPCM-SECBINFHSA-N -1 1 343.355 -0.793 20 0 EBADMM C[C@H](CN(C)C(=O)[C@]12C[C@H]1COC2)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574733407 950109859 /nfs/dbraw/zinc/10/98/59/950109859.db2.gz AFBTTZJMMSFYSG-FTGAXOIBSA-N -1 1 344.379 -0.048 20 0 EBADMM C[C@H](CN(C)C(=O)[C@]12C[C@H]1COC2)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574733407 950109867 /nfs/dbraw/zinc/10/98/67/950109867.db2.gz AFBTTZJMMSFYSG-FTGAXOIBSA-N -1 1 344.379 -0.048 20 0 EBADMM C[C@H](CN(C)C(=O)c1cn[nH]n1)Nc1nccnc1-c1nnn[n-]1 ZINC001574742212 950273376 /nfs/dbraw/zinc/27/33/76/950273376.db2.gz IMKYZCFXIAIFEV-SSDOTTSWSA-N -1 1 329.328 -0.653 20 0 EBADMM C[C@H](CN(C)C(=O)c1cn[nH]n1)Nc1nccnc1-c1nn[n-]n1 ZINC001574742212 950273396 /nfs/dbraw/zinc/27/33/96/950273396.db2.gz IMKYZCFXIAIFEV-SSDOTTSWSA-N -1 1 329.328 -0.653 20 0 EBADMM CCN(CC)C(=O)CN1CCC(NC(=O)[C@@](C)(OC)C(=O)[O-])CC1 ZINC001589721412 950429659 /nfs/dbraw/zinc/42/96/59/950429659.db2.gz SYPAARLKUBOHSF-MRXNPFEDSA-N -1 1 343.424 -0.075 20 0 EBADMM CC1(C(=O)N[C@@H]2CN(c3ccnc(-c4nn[n-]n4)n3)C[C@@H]2O)CCC1 ZINC001575605594 950471227 /nfs/dbraw/zinc/47/12/27/950471227.db2.gz PRMRVCOIAPPTIR-ZJUUUORDSA-N -1 1 344.379 -0.487 20 0 EBADMM CC1(C(=O)N[C@@H]2CN(c3ccnc(-c4nn[n-]n4)n3)C[C@H]2O)CC1 ZINC001575605869 950484791 /nfs/dbraw/zinc/48/47/91/950484791.db2.gz XTYKQRNKXGHHQW-RKDXNWHRSA-N -1 1 330.352 -0.878 20 0 EBADMM C[C@H]1[C@@H](Nc2ccnc(-c3nn[n-]n3)n2)CCN1C(=O)c1cocn1 ZINC001575920130 950628885 /nfs/dbraw/zinc/62/88/85/950628885.db2.gz LDCWXYNSRWSCPH-IUCAKERBSA-N -1 1 341.335 -0.218 20 0 EBADMM C[C@@H]1[C@H](Nc2ccc(-c3nnn[n-]3)nn2)CCN1C(=O)c1cnon1 ZINC001575921474 950640827 /nfs/dbraw/zinc/64/08/27/950640827.db2.gz NZIWDGQSCGUNNA-HTQZYQBOSA-N -1 1 342.323 -0.245 20 0 EBADMM C[C@@H]1[C@H](Nc2ccc(-c3nn[n-]n3)nn2)CCN1C(=O)c1cnon1 ZINC001575921474 950640838 /nfs/dbraw/zinc/64/08/38/950640838.db2.gz NZIWDGQSCGUNNA-HTQZYQBOSA-N -1 1 342.323 -0.245 20 0 EBADMM C[C@H](CN(C)C(=O)c1ccnnc1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574762159 950700112 /nfs/dbraw/zinc/70/01/12/950700112.db2.gz WDROWZSXDHJHGB-SECBINFHSA-N -1 1 340.351 -0.559 20 0 EBADMM C[C@H](CNC(=O)c1ccn[nH]1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575006560 950718929 /nfs/dbraw/zinc/71/89/29/950718929.db2.gz VTUYJXCMEKWAAX-MRVPVSSYSA-N -1 1 328.340 -0.366 20 0 EBADMM C[C@H](CN(C)C(=O)c1cncn1C)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574763627 950720829 /nfs/dbraw/zinc/72/08/29/950720829.db2.gz GYYVRLOFTXFGRY-SECBINFHSA-N -1 1 342.367 -0.615 20 0 EBADMM C[C@H](CN(C)C(=O)c1cnon1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574767678 950864362 /nfs/dbraw/zinc/86/43/62/950864362.db2.gz UMPGKXBHPXISLH-SSDOTTSWSA-N -1 1 330.312 -0.388 20 0 EBADMM C[C@H](CN(C)C(=O)c1cnon1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574767678 950864377 /nfs/dbraw/zinc/86/43/77/950864377.db2.gz UMPGKXBHPXISLH-SSDOTTSWSA-N -1 1 330.312 -0.388 20 0 EBADMM C[C@@H](CNC(=O)c1cccnn1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575031708 951201655 /nfs/dbraw/zinc/20/16/55/951201655.db2.gz HIZRDSCTAQYZNE-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1cccnn1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575031708 951201670 /nfs/dbraw/zinc/20/16/70/951201670.db2.gz HIZRDSCTAQYZNE-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@H](CNC(=O)c1cccnn1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575031853 951207981 /nfs/dbraw/zinc/20/79/81/951207981.db2.gz KLXUQXCEYMBOJP-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@H](CNC(=O)c1cccnn1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575031853 951207988 /nfs/dbraw/zinc/20/79/88/951207988.db2.gz KLXUQXCEYMBOJP-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1ccnnc1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575033775 951226906 /nfs/dbraw/zinc/22/69/06/951226906.db2.gz AOZNUENGJJEOKR-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@@H](CNC(=O)c1ccnnc1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575033775 951226918 /nfs/dbraw/zinc/22/69/18/951226918.db2.gz AOZNUENGJJEOKR-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1ccncn1)Nc1nccnc1-c1nnn[n-]1 ZINC001575033946 951235645 /nfs/dbraw/zinc/23/56/45/951235645.db2.gz FHMCTLOLJAMYDH-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1ccncn1)Nc1nccnc1-c1nn[n-]n1 ZINC001575033946 951235656 /nfs/dbraw/zinc/23/56/56/951235656.db2.gz FHMCTLOLJAMYDH-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@@H](CNC(=O)c1ccn(C)n1)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001575034342 951245105 /nfs/dbraw/zinc/24/51/05/951245105.db2.gz ATBHLHAEZVOMDF-SECBINFHSA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@@H](CNC(=O)c1ccn(C)n1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001575034342 951245117 /nfs/dbraw/zinc/24/51/17/951245117.db2.gz ATBHLHAEZVOMDF-SECBINFHSA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@@H](CNC(=O)c1ccn(C)c1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575035505 951276897 /nfs/dbraw/zinc/27/68/97/951276897.db2.gz JIFUWXDZDXZROC-SNVBAGLBSA-N -1 1 341.379 -0.105 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)cn1)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001575042303 951359754 /nfs/dbraw/zinc/35/97/54/951359754.db2.gz IBQJUVUDASKMRS-SECBINFHSA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)cn1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001575042303 951359765 /nfs/dbraw/zinc/35/97/65/951359765.db2.gz IBQJUVUDASKMRS-SECBINFHSA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@@H](CNC(=O)c1cn[nH]c1)CNc1nccnc1-c1nnn[n-]1 ZINC001575042772 951372289 /nfs/dbraw/zinc/37/22/89/951372289.db2.gz BNOOZOYPFQIJKM-MRVPVSSYSA-N -1 1 328.340 -0.142 20 0 EBADMM C[C@@H](CNC(=O)c1cn[nH]c1)CNc1nccnc1-c1nn[n-]n1 ZINC001575042772 951372304 /nfs/dbraw/zinc/37/23/04/951372304.db2.gz BNOOZOYPFQIJKM-MRVPVSSYSA-N -1 1 328.340 -0.142 20 0 EBADMM C[C@H](CNC(=O)c1cn[nH]c1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575043127 951384088 /nfs/dbraw/zinc/38/40/88/951384088.db2.gz FZIKKWBTWJOLKO-MRVPVSSYSA-N -1 1 328.340 -0.366 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)nn1)CNc1nccnc1-c1nnn[n-]1 ZINC001575043558 951395217 /nfs/dbraw/zinc/39/52/17/951395217.db2.gz UPPUDQFNAWXQNM-MRVPVSSYSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)nn1)CNc1nccnc1-c1nn[n-]n1 ZINC001575043558 951395227 /nfs/dbraw/zinc/39/52/27/951395227.db2.gz UPPUDQFNAWXQNM-MRVPVSSYSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@H](CNC(=O)c1cn[nH]c1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001575043855 951403479 /nfs/dbraw/zinc/40/34/79/951403479.db2.gz MORXBGZVPSGFQI-QMMMGPOBSA-N -1 1 328.340 -0.142 20 0 EBADMM C[C@H](CNC(=O)c1cn[nH]c1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575043855 951403490 /nfs/dbraw/zinc/40/34/90/951403490.db2.gz MORXBGZVPSGFQI-QMMMGPOBSA-N -1 1 328.340 -0.142 20 0 EBADMM C[C@H](CNC(=O)c1cn[nH]c1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575044668 951418383 /nfs/dbraw/zinc/41/83/83/951418383.db2.gz UUZSISDZIFDHLA-MRVPVSSYSA-N -1 1 328.340 -0.366 20 0 EBADMM C[C@H](CNC(=O)c1cn[nH]c1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575044668 951418391 /nfs/dbraw/zinc/41/83/91/951418391.db2.gz UUZSISDZIFDHLA-MRVPVSSYSA-N -1 1 328.340 -0.366 20 0 EBADMM C[C@@H](CNC(=O)c1cncnc1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575046685 951446251 /nfs/dbraw/zinc/44/62/51/951446251.db2.gz QZXRXONGIRVDME-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1cncnc1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575046685 951446256 /nfs/dbraw/zinc/44/62/56/951446256.db2.gz QZXRXONGIRVDME-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@H](CNC(=O)c1cnccn1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575047007 951453121 /nfs/dbraw/zinc/45/31/21/951453121.db2.gz XCHJIDJPIRCBHR-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)n1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575052568 951469924 /nfs/dbraw/zinc/46/99/24/951469924.db2.gz MDHQEDLDNVKMKR-QMMMGPOBSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)c1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575053717 951481218 /nfs/dbraw/zinc/48/12/18/951481218.db2.gz UWKMYRMOMLDFOS-VIFPVBQESA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)c1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575053717 951481223 /nfs/dbraw/zinc/48/12/23/951481223.db2.gz UWKMYRMOMLDFOS-VIFPVBQESA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@H](CNC(=O)c1cnnn1C)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575055445 951506291 /nfs/dbraw/zinc/50/62/91/951506291.db2.gz UNWGTTMORJEDOU-MRVPVSSYSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@@H](CNC(=O)c1csnn1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575060593 951542998 /nfs/dbraw/zinc/54/29/98/951542998.db2.gz ALQYBIYOVINLDW-ZETCQYMHSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@@H](CNC(=O)c1csnn1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575060593 951543012 /nfs/dbraw/zinc/54/30/12/951543012.db2.gz ALQYBIYOVINLDW-ZETCQYMHSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@@H](CNC(=O)c1csnn1)CNc1nccnc1-c1nnn[n-]1 ZINC001575060642 951546012 /nfs/dbraw/zinc/54/60/12/951546012.db2.gz BTZCNSKTBQKHJJ-SSDOTTSWSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@@H](CNC(=O)c1csnn1)CNc1nccnc1-c1nn[n-]n1 ZINC001575060642 951546022 /nfs/dbraw/zinc/54/60/22/951546022.db2.gz BTZCNSKTBQKHJJ-SSDOTTSWSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@@H](CNC(=O)c1csnn1)Nc1nccnc1-c1nnn[n-]1 ZINC001575060922 951551777 /nfs/dbraw/zinc/55/17/77/951551777.db2.gz JVBPAZCWNLQYGZ-LURJTMIESA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@@H](CNC(=O)c1csnn1)Nc1nccnc1-c1nn[n-]n1 ZINC001575060922 951551789 /nfs/dbraw/zinc/55/17/89/951551789.db2.gz JVBPAZCWNLQYGZ-LURJTMIESA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@@H](CNC(=O)c1csnn1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001575060894 951553661 /nfs/dbraw/zinc/55/36/61/951553661.db2.gz JBRNLBHTUPQTEH-SSDOTTSWSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@@H](CNC(=O)c1csnn1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575060894 951553669 /nfs/dbraw/zinc/55/36/69/951553669.db2.gz JBRNLBHTUPQTEH-SSDOTTSWSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@H](CNC(=O)c1csnn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575061290 951559388 /nfs/dbraw/zinc/55/93/88/951559388.db2.gz MNJCTGRCEXAMGV-ZCFIWIBFSA-N -1 1 332.353 -0.840 20 0 EBADMM C[C@H](CNC(=O)c1ncn(C)n1)CNc1nccnc1-c1nnn[n-]1 ZINC001575066045 951592209 /nfs/dbraw/zinc/59/22/09/951592209.db2.gz DFTQCIICTPHOLE-QMMMGPOBSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@H](CNC(=O)c1ncn(C)n1)CNc1nccnc1-c1nn[n-]n1 ZINC001575066045 951592220 /nfs/dbraw/zinc/59/22/20/951592220.db2.gz DFTQCIICTPHOLE-QMMMGPOBSA-N -1 1 343.355 -0.737 20 0 EBADMM O=C([O-])CN1CC[C@H](N2CCC[C@H](C(=O)N3CCOCC3)C2)C1=O ZINC001595073933 951621565 /nfs/dbraw/zinc/62/15/65/951621565.db2.gz KFGWSUWGADQDRD-STQMWFEESA-N -1 1 339.392 -0.757 20 0 EBADMM O=C([O-])CN1CC[C@H](N2CCC[C@@H](C(=O)N3CCOCC3)C2)C1=O ZINC001595073864 951621726 /nfs/dbraw/zinc/62/17/26/951621726.db2.gz KFGWSUWGADQDRD-OLZOCXBDSA-N -1 1 339.392 -0.757 20 0 EBADMM C[C@H](CNC(=O)c1ncccn1)CNc1nccnc1-c1nnn[n-]1 ZINC001575067947 951627687 /nfs/dbraw/zinc/62/76/87/951627687.db2.gz SSYWPQWOBTZNEE-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@H](CNC(=O)c1ncccn1)CNc1nccnc1-c1nn[n-]n1 ZINC001575067947 951627693 /nfs/dbraw/zinc/62/76/93/951627693.db2.gz SSYWPQWOBTZNEE-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1ncn(C)n1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575068085 951630461 /nfs/dbraw/zinc/63/04/61/951630461.db2.gz XFXDAQOYNKQOTC-QMMMGPOBSA-N -1 1 343.355 -0.960 20 0 EBADMM O=C([O-])CN1CCCC[C@H](N[C@@H]2C(=O)NCC23CCOCC3)C1=O ZINC001595095398 951809831 /nfs/dbraw/zinc/80/98/31/951809831.db2.gz DOOJNNPONUIAAD-WCQYABFASA-N -1 1 339.392 -0.663 20 0 EBADMM O=C([O-])CNCc1cn(CC(=O)N2CCO[C@H]3CCCC[C@H]32)nn1 ZINC001595102828 951866435 /nfs/dbraw/zinc/86/64/35/951866435.db2.gz VKQKEEHGIHWZNW-OLZOCXBDSA-N -1 1 337.380 -0.378 20 0 EBADMM C[C@@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)[C@@H]1COC(=O)N1 ZINC001575132287 952093821 /nfs/dbraw/zinc/09/38/21/952093821.db2.gz FBUVQMGJAFUEIB-CBAPKCEASA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)[C@@H]1COC(=O)N1 ZINC001575132287 952093828 /nfs/dbraw/zinc/09/38/28/952093828.db2.gz FBUVQMGJAFUEIB-CBAPKCEASA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)[C@@H]1CCC(=O)N1 ZINC001575132594 952096597 /nfs/dbraw/zinc/09/65/97/952096597.db2.gz HARRAACEPDJUBM-WPRPVWTQSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)[C@@H]1CCC(=O)N1 ZINC001575132594 952096601 /nfs/dbraw/zinc/09/66/01/952096601.db2.gz HARRAACEPDJUBM-WPRPVWTQSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)c1cncn1C ZINC001575136466 952125385 /nfs/dbraw/zinc/12/53/85/952125385.db2.gz PHKFOOZXJMNVTJ-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)c1cncn1C ZINC001575136466 952125392 /nfs/dbraw/zinc/12/53/92/952125392.db2.gz PHKFOOZXJMNVTJ-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1ccn(C)c1 ZINC001575144207 952162453 /nfs/dbraw/zinc/16/24/53/952162453.db2.gz GYTMBIMGIAKVBS-SNVBAGLBSA-N -1 1 341.379 -0.010 20 0 EBADMM C[C@@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)c1cnn(C)n1 ZINC001575157001 952261756 /nfs/dbraw/zinc/26/17/56/952261756.db2.gz KNTAKJVQRKXJKF-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)c1cnn(C)n1 ZINC001575157001 952261764 /nfs/dbraw/zinc/26/17/64/952261764.db2.gz KNTAKJVQRKXJKF-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)c1ccnn1C ZINC001575159058 952275529 /nfs/dbraw/zinc/27/55/29/952275529.db2.gz YKRUSNVUSJBAAC-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)c1ccnn1C ZINC001575159058 952275536 /nfs/dbraw/zinc/27/55/36/952275536.db2.gz YKRUSNVUSJBAAC-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)[C@@H]1COCCO1 ZINC001575174501 952373270 /nfs/dbraw/zinc/37/32/70/952373270.db2.gz PPSTVXXWQKYTAK-UWVGGRQHSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)[C@@H]1COCCO1 ZINC001575174501 952373275 /nfs/dbraw/zinc/37/32/75/952373275.db2.gz PPSTVXXWQKYTAK-UWVGGRQHSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)[C@]12C[C@H]1COC2 ZINC001575177566 952401052 /nfs/dbraw/zinc/40/10/52/952401052.db2.gz IIOIKVHMIJEEJI-FTGAXOIBSA-N -1 1 344.379 -0.048 20 0 EBADMM C[C@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)[C@]12C[C@H]1COC2 ZINC001575177566 952401057 /nfs/dbraw/zinc/40/10/57/952401057.db2.gz IIOIKVHMIJEEJI-FTGAXOIBSA-N -1 1 344.379 -0.048 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)[C@H]1C[C@H]1C(N)=O ZINC001575178140 952404499 /nfs/dbraw/zinc/40/44/99/952404499.db2.gz KSIGCRHUUOBOFY-YIZRAAEISA-N -1 1 345.367 -0.963 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)[C@H]1C[C@H]1C(N)=O ZINC001575178140 952404507 /nfs/dbraw/zinc/40/45/07/952404507.db2.gz KSIGCRHUUOBOFY-YIZRAAEISA-N -1 1 345.367 -0.963 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)c1ncn(C)n1 ZINC001575180978 952421106 /nfs/dbraw/zinc/42/11/06/952421106.db2.gz ZBHSRZDQAAFARI-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)c1ncn(C)n1 ZINC001575180978 952421110 /nfs/dbraw/zinc/42/11/10/952421110.db2.gz ZBHSRZDQAAFARI-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM O=C(N[C@H]1C[C@@H](C(=O)[O-])C1)C(=O)N1CC[C@@H]2[C@H]1CCCN2CCO ZINC001594792617 953696972 /nfs/dbraw/zinc/69/69/72/953696972.db2.gz SCPXVROXBCSPJF-YVECIDJPSA-N -1 1 339.392 -0.977 20 0 EBADMM C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CCS(=O)(=O)C[C@H]2C(=O)[O-])C1 ZINC001589413044 954533593 /nfs/dbraw/zinc/53/35/93/954533593.db2.gz OEYZAXRGXMBNDU-MIMYLULJSA-N -1 1 341.389 -0.214 20 0 EBADMM O=C([O-])[C@H]1[C@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1CCn1cnnn1 ZINC001594894835 954557833 /nfs/dbraw/zinc/55/78/33/954557833.db2.gz AFZFHIWQOKQOGU-HTQZYQBOSA-N -1 1 336.274 -0.731 20 0 EBADMM CNS(=O)(=O)N1CCN(CC2(O)CCC(C(=O)[O-])CC2)CC1 ZINC001593798278 954831134 /nfs/dbraw/zinc/83/11/34/954831134.db2.gz RDRYZMJBZIIGRH-UHFFFAOYSA-N -1 1 335.426 -0.926 20 0 EBADMM CNS(=O)(=O)c1cc(NC(=O)[C@]2(C(=O)[O-])CCCO2)ccc1O ZINC001593799260 954846917 /nfs/dbraw/zinc/84/69/17/954846917.db2.gz WHXXAHMPKCTACA-ZDUSSCGKSA-N -1 1 344.345 -0.127 20 0 EBADMM COC(=O)[C@H]1CN(C)CCN(C(=O)Nc2ccn(CC(=O)[O-])n2)C1 ZINC001593827307 955112424 /nfs/dbraw/zinc/11/24/24/955112424.db2.gz ALUIBBSUBUGDHA-JTQLQIEISA-N -1 1 339.352 -0.464 20 0 EBADMM C[C@@H](CN1CCOCC1)C(=O)N[C@H]1CCCCN(CC(=O)[O-])C1=O ZINC001589039494 955580998 /nfs/dbraw/zinc/58/09/98/955580998.db2.gz MOJVPAPOGKEXDA-STQMWFEESA-N -1 1 341.408 -0.463 20 0 EBADMM C[C@H](NC(=O)N1C[C@H]2COC[C@@]2(C(=O)[O-])C1)[C@@H]1CN(C)CCN1C ZINC001589103375 956022024 /nfs/dbraw/zinc/02/20/24/956022024.db2.gz NLTANUWXBSHZLA-QCQGSNGOSA-N -1 1 340.424 -0.637 20 0 EBADMM Cn1nccc1[C@@H]1c2[nH]cnc2CCN1C(=O)[C@H]1CC(C(=O)[O-])=NO1 ZINC001594596315 956092049 /nfs/dbraw/zinc/09/20/49/956092049.db2.gz DLQKCNCDKVZDEY-DGCLKSJQSA-N -1 1 344.331 -0.153 20 0 EBADMM Cn1nnnc1CN1CCC[C@H](NC(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC001594602330 956145387 /nfs/dbraw/zinc/14/53/87/956145387.db2.gz VIJCEIKONPKIEX-XPUUQOCRSA-N -1 1 336.274 -0.694 20 0 EBADMM COCCN(C)CCNC(=O)C(=O)N1CCC(C)(C(=O)[O-])CC1 ZINC001593995667 956891198 /nfs/dbraw/zinc/89/11/98/956891198.db2.gz NAWJNAVSSVJGFJ-UHFFFAOYSA-N -1 1 329.397 -0.606 20 0 EBADMM COCCN1CC[C@@H](NC(=O)N2CC[C@](COC)(C(=O)[O-])C2)C1 ZINC001594014770 957022252 /nfs/dbraw/zinc/02/22/52/957022252.db2.gz MIHKZTDNYRMXFZ-DOMZBBRYSA-N -1 1 329.397 -0.160 20 0 EBADMM COCCN1CC[C@@H](NC(=O)C(=O)N2CCC[C@@H](CC(=O)[O-])C2)C1 ZINC001594015001 957025153 /nfs/dbraw/zinc/02/51/53/957025153.db2.gz NHXSZXIUCRWKBJ-QWHCGFSZSA-N -1 1 341.408 -0.463 20 0 EBADMM COCc1nc(CNC(=O)N2CC[C@](COC)(C(=O)[O-])C2)n[nH]1 ZINC001594031093 957759306 /nfs/dbraw/zinc/75/93/06/957759306.db2.gz JAHLXLICVLEYTO-ZDUSSCGKSA-N -1 1 327.341 -0.416 20 0 EBADMM C[C@@H](NCC(=O)NCC(=O)[O-])c1cccc(NS(C)(=O)=O)c1 ZINC001603248272 972412530 /nfs/dbraw/zinc/41/25/30/972412530.db2.gz VYELFOLSSFTCCT-SECBINFHSA-N -1 1 329.378 -0.091 20 0 EBADMM Cc1n[nH]c(Br)c1C(=O)N1CCN(C(=O)C(=O)[O-])CC1 ZINC001594441411 959276297 /nfs/dbraw/zinc/27/62/97/959276297.db2.gz ZYMGOKMGOCZIQH-UHFFFAOYSA-N -1 1 345.153 -0.150 20 0 EBADMM Cc1nc([C@@H]2CCCN(C(=O)C(=O)N3CC[C@@H](C(=O)[O-])C3)C2)n[nH]1 ZINC001594451413 959373722 /nfs/dbraw/zinc/37/37/22/959373722.db2.gz AMKXZNXQCADOSC-GHMZBOCLSA-N -1 1 335.364 -0.248 20 0 EBADMM CN1C[C@@H]2CC[C@H](NC(=O)C3(C(=O)[O-])CCS(=O)(=O)CC3)[C@@H]2C1 ZINC001574021410 961962586 /nfs/dbraw/zinc/96/25/86/961962586.db2.gz BDDMDNGCBHUYQE-TUAOUCFPSA-N -1 1 344.433 -0.278 20 0 EBADMM COC(=O)c1cccc(NC(=O)CN2C[C@H]3COC[C@@]3(C(=O)[O-])C2)n1 ZINC001574092403 962352431 /nfs/dbraw/zinc/35/24/31/962352431.db2.gz VZAWHXYECLCWMJ-QFYYESIMSA-N -1 1 349.343 -0.160 20 0 EBADMM Cc1[nH]ncc1CCCNC(=O)C(=O)Nc1ccn(CC(=O)[O-])n1 ZINC001605065741 972686356 /nfs/dbraw/zinc/68/63/56/972686356.db2.gz CTCBZMOEJPPAKY-UHFFFAOYSA-N -1 1 334.336 -0.313 20 0 EBADMM C[C@]1(NC(=O)C(F)(F)F)CCN(C(=O)[C@H]2CC(C(=O)[O-])=NO2)C1 ZINC001603346065 972746493 /nfs/dbraw/zinc/74/64/93/972746493.db2.gz DGXZTPBGMXYUGC-HQJQHLMTSA-N -1 1 337.254 -0.115 20 0 EBADMM C[C@@H]1CN(CCCNC(=O)c2cn(CC(=O)[O-])nn2)C[C@@H](C)O1 ZINC001603460710 973291918 /nfs/dbraw/zinc/29/19/18/973291918.db2.gz HVZHTQSTLBCEJZ-GHMZBOCLSA-N -1 1 325.369 -0.408 20 0 EBADMM COC[C@@]1(C(=O)[O-])CN(C(=O)NCc2n[nH]c(C(C)C)n2)CCO1 ZINC001604550364 973555407 /nfs/dbraw/zinc/55/54/07/973555407.db2.gz KNXNYTDNHBJLFX-CQSZACIVSA-N -1 1 341.368 -0.060 20 0 EBADMM O=C([O-])CSCC(=O)N1CCC(O)(CN2CCOCC2)CC1 ZINC001606300826 973710830 /nfs/dbraw/zinc/71/08/30/973710830.db2.gz TYJZAZZODLJPBG-UHFFFAOYSA-N -1 1 332.422 -0.510 20 0 EBADMM CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N1C[C@@H](O)C[C@H](C(=O)[O-])C1 ZINC001591858655 976150826 /nfs/dbraw/zinc/15/08/26/976150826.db2.gz FNNLLGZVALBPOE-CIUDSAMLSA-N -1 1 340.298 -0.017 20 0 EBADMM C[C@H](CCNC(=O)C1=NC(=O)N(C)C1)Nc1ccnc(C(=O)[O-])n1 ZINC001592519944 978366566 /nfs/dbraw/zinc/36/65/66/978366566.db2.gz KWRQTPFZEKFGDN-MRVPVSSYSA-N -1 1 334.336 -0.344 20 0 EBADMM C[C@H](CNS(=O)(=O)CC1(C(=O)[O-])CC1)CN1CCN(C)CC1 ZINC001592713134 979210835 /nfs/dbraw/zinc/21/08/35/979210835.db2.gz ZZMYRYWIPSBGIW-GFCCVEGCSA-N -1 1 333.454 -0.346 20 0 EBADMM C[C@H](CNS(=O)(=O)[C@H]1CC[C@@H](C(=O)[O-])C1)N1CCN(C)CC1 ZINC001592715698 979213546 /nfs/dbraw/zinc/21/35/46/979213546.db2.gz FSRXFRIPCSGWOH-UPJWGTAASA-N -1 1 333.454 -0.205 20 0 EBADMM C[C@H](CNS(=O)(=O)c1scnc1C(=O)[O-])N1CCN(C)CC1 ZINC001592722503 979225021 /nfs/dbraw/zinc/22/50/21/979225021.db2.gz NHDXSPYJDLPCFP-SECBINFHSA-N -1 1 348.450 -0.245 20 0 EBADMM C[C@@H](NC(=O)CCc1c[nH]nn1)[C@@H](C)Nc1ccnc(C(=O)[O-])n1 ZINC001593019971 980276407 /nfs/dbraw/zinc/27/64/07/980276407.db2.gz PAWHTUAVZKZKBE-RKDXNWHRSA-N -1 1 333.352 -0.347 20 0 EBADMM C[C@@H](NC(=O)CCc1cnn[nH]1)[C@@H](C)Nc1ccnc(C(=O)[O-])n1 ZINC001593019971 980276410 /nfs/dbraw/zinc/27/64/10/980276410.db2.gz PAWHTUAVZKZKBE-RKDXNWHRSA-N -1 1 333.352 -0.347 20 0 EBADMM CCCCCC[C@](O)(CN1CCN2[C@@H](CNS2(=O)=O)C1)C(=O)[O-] ZINC001595696008 982221901 /nfs/dbraw/zinc/22/19/01/982221901.db2.gz AXSYTEZPMHQNGE-JSGCOSHPSA-N -1 1 349.453 -0.393 20 0 EBADMM CCCNC(=O)CCC(=O)N(CCN1CCN(C)CC1)CC(=O)[O-] ZINC001595919882 983027373 /nfs/dbraw/zinc/02/73/73/983027373.db2.gz CUXMXGQQGCVLDP-UHFFFAOYSA-N -1 1 342.440 -0.547 20 0 EBADMM CCN(CCNC(=O)C1=NC(=O)N(C)C1)c1ncc(C(=O)[O-])cn1 ZINC001596334738 983778089 /nfs/dbraw/zinc/77/80/89/983778089.db2.gz BYSSPOQCJSCHDP-UHFFFAOYSA-N -1 1 334.336 -0.130 20 0 EBADMM CCN1CCN(CC(=O)N[C@H](CCC2OCCO2)C(=O)[O-])CC1 ZINC001596429921 984093672 /nfs/dbraw/zinc/09/36/72/984093672.db2.gz DDYUDLQUIXKIMU-GFCCVEGCSA-N -1 1 329.397 -0.654 20 0 EBADMM CC[N@@H+]1CCO[C@@H](C(=O)N2C[C@H](C(=O)[O-])C3(CC(C(=O)[O-])C3)C2)C1 ZINC001596442580 984138247 /nfs/dbraw/zinc/13/82/47/984138247.db2.gz NGGWYNAQOYVPEE-YZUGKSJVSA-N -1 1 340.376 -0.269 20 0 EBADMM CC[N@H+]1CCO[C@@H](C(=O)N2C[C@H](C(=O)[O-])C3(CC(C(=O)[O-])C3)C2)C1 ZINC001596442580 984138250 /nfs/dbraw/zinc/13/82/50/984138250.db2.gz NGGWYNAQOYVPEE-YZUGKSJVSA-N -1 1 340.376 -0.269 20 0 EBADMM C[C@@H]1CN(C2CC2)C[C@H]1NC(=O)C(=O)NC[C@H]1CCO[C@H]1C(=O)[O-] ZINC001594505919 985716008 /nfs/dbraw/zinc/71/60/08/985716008.db2.gz JKPBRMLQCQEQRY-FPQZTECRSA-N -1 1 339.392 -0.809 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NCCN1CCCC1=O ZINC001594519117 985942186 /nfs/dbraw/zinc/94/21/86/985942186.db2.gz LGSYWIHUBAIACD-LBPRGKRZSA-N -1 1 326.397 -0.201 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1CC(=O)N=[S@@](C)(=O)N(C)C ZINC001594521848 985997898 /nfs/dbraw/zinc/99/78/98/985997898.db2.gz KHGDHHJZFLWFAL-KPWVOAKYSA-N -1 1 334.442 -0.432 20 0 EBADMM C[C@@H]1CN(CCCNS(=O)(=O)c2cc(C(=O)[O-])[nH]n2)CCCO1 ZINC001594527965 986092634 /nfs/dbraw/zinc/09/26/34/986092634.db2.gz QGXHAASWCWTBBT-SNVBAGLBSA-N -1 1 346.409 -0.113 20 0 EBADMM C[C@@H]1CN(CCCNC(=O)N2C[C@H](O)C[C@H](C(=O)[O-])C2)C[C@H](C)O1 ZINC001594529010 986107456 /nfs/dbraw/zinc/10/74/56/986107456.db2.gz SSXITRUWVZNRHH-RFQIPJPRSA-N -1 1 343.424 -0.037 20 0 EBADMM C[C@H]1SC[C@H](C(=O)NCCC(=O)[O-])N1C(=O)CCc1nn[nH]n1 ZINC001594656240 986903693 /nfs/dbraw/zinc/90/36/93/986903693.db2.gz KNISTOPFSGEIIY-HTQZYQBOSA-N -1 1 342.381 -0.987 20 0 EBADMM CCS(=O)(=O)N[C@@H](C)C(=O)N1CCN(CCC(=O)[O-])C[C@H]1C ZINC001597223871 987158631 /nfs/dbraw/zinc/15/86/31/987158631.db2.gz BJYDNHWTDQJERU-MNOVXSKESA-N -1 1 335.426 -0.678 20 0 EBADMM CC(C)(C(=O)[O-])N1CCN(C(=O)C(=O)N2CCc3c[nH]nc3C2)CC1 ZINC001589620575 987497702 /nfs/dbraw/zinc/49/77/02/987497702.db2.gz KWJLAAHHGZLOSP-UHFFFAOYSA-N -1 1 349.391 -0.698 20 0 EBADMM CC(C)(CCS(=O)(=O)NCC(F)(F)C(=O)[O-])S(C)(=O)=O ZINC001590694731 990414684 /nfs/dbraw/zinc/41/46/84/990414684.db2.gz ZVIYRNPRCAQCSF-UHFFFAOYSA-N -1 1 337.366 -0.161 20 0 EBADMM CC(C)(O)CN1CCN([C@@H]2CCCN(CCC(=O)[O-])C2=O)CC1 ZINC001590899204 990854084 /nfs/dbraw/zinc/85/40/84/990854084.db2.gz TVWGNPGVHSRLQY-CYBMUJFWSA-N -1 1 327.425 -0.159 20 0 EBADMM CN(C)S(=O)(=O)NCC(=O)Nc1nc2cccc(C(=O)[O-])c2[nH]1 ZINC001598266582 991114602 /nfs/dbraw/zinc/11/46/02/991114602.db2.gz DPJHXYAWOHDTDY-UHFFFAOYSA-N -1 1 341.349 -0.404 20 0 EBADMM COc1cccnc1C(=O)N(CCN1CCN(C)CC1)CC(=O)[O-] ZINC001599732407 991727510 /nfs/dbraw/zinc/72/75/10/991727510.db2.gz OKSSKBGASOILCN-UHFFFAOYSA-N -1 1 336.392 -0.136 20 0 EBADMM CC(C)CC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)NC[C@@H](O)CC(=O)[O-] ZINC001591108395 991748517 /nfs/dbraw/zinc/74/85/17/991748517.db2.gz DHTKFIYBXPRNHF-RYUDHWBXSA-N -1 1 340.380 -0.565 20 0 EBADMM CN(CCC(=O)[O-])S(=O)(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001598470096 992012684 /nfs/dbraw/zinc/01/26/84/992012684.db2.gz GHUMSJUWFRUCPA-MRVPVSSYSA-N -1 1 333.370 -0.603 20 0 EBADMM CS(=O)(=O)Cc1n[n-]c(C[NH2+][C@@H]2C[C@@H]3C[C@@H](C(=O)[O-])C[C@H]23)n1 ZINC001599753416 992021329 /nfs/dbraw/zinc/02/13/29/992021329.db2.gz PPSWDVLJAWAZAF-QCLAVDOMSA-N -1 1 328.394 -0.062 20 0 EBADMM CN(CCC(=O)[O-])S(=O)(=O)NC[C@@H]1CCN1C1CCOCC1 ZINC001598471336 992031108 /nfs/dbraw/zinc/03/11/08/992031108.db2.gz WQFLETZADUCEBO-LBPRGKRZSA-N -1 1 335.426 -0.519 20 0 EBADMM CS(=O)(=O)Nc1ccc(C(=O)Nc2ccn(CC(=O)[O-])n2)cn1 ZINC001599760838 992119869 /nfs/dbraw/zinc/11/98/69/992119869.db2.gz PFNUNKLDIVWLBV-UHFFFAOYSA-N -1 1 339.333 -0.014 20 0 EBADMM CC(C)CN(CC(=O)[O-])S(=O)(=O)CCCN1CCN(C)CC1 ZINC001591303158 992250321 /nfs/dbraw/zinc/25/03/21/992250321.db2.gz IPTJCCDUCQCIQR-UHFFFAOYSA-N -1 1 335.470 -0.004 20 0 EBADMM CC(C)C[C@H](NC(=O)CNS(=O)(=O)N=S(C)(C)=O)C(=O)[O-] ZINC001591462072 992571850 /nfs/dbraw/zinc/57/18/50/992571850.db2.gz AILDHEMHJFPPCF-QMMMGPOBSA-N -1 1 343.427 -0.836 20 0 EBADMM CC(C)Cn1[nH]c(CC(=O)NC[C@@]2(C(=O)[O-])CCOC2)cc1=O ZINC001591505768 992651241 /nfs/dbraw/zinc/65/12/41/992651241.db2.gz LOFKIARBDJXFEG-HNNXBMFYSA-N -1 1 325.365 -0.018 20 0 EBADMM CN(c1ncc(C(=O)[O-])cn1)[C@@H]1CCN(C(=O)Cc2ncn[nH]2)C1 ZINC001598547065 992958316 /nfs/dbraw/zinc/95/83/16/992958316.db2.gz PLXRFMXVIQSTPI-SNVBAGLBSA-N -1 1 331.336 -0.427 20 0 EBADMM CN1CC[C@@](O)(CNS(=O)(=O)Cc2ccccc2C(=O)[O-])C1 ZINC001598585928 993382511 /nfs/dbraw/zinc/38/25/11/993382511.db2.gz LSOMKAYSWXCTBS-CQSZACIVSA-N -1 1 328.390 -0.129 20 0 EBADMM CN1CC[C@@](O)(CNS(=O)(=O)c2ccc(CC(=O)[O-])c(F)c2)C1 ZINC001598586926 993397236 /nfs/dbraw/zinc/39/72/36/993397236.db2.gz XPOLUFWBKYOXDA-CQSZACIVSA-N -1 1 346.380 -0.202 20 0 EBADMM CN1CCCC[C@H]1C(=O)N[C@@H]1CN(c2ccnc(C(=O)[O-])n2)C[C@@H]1O ZINC001598598878 993546649 /nfs/dbraw/zinc/54/66/49/993546649.db2.gz MQFTUTJBLGCJNI-WOPDTQHZSA-N -1 1 349.391 -0.675 20 0 EBADMM CN1CCN(C(=O)CS(=O)(=O)NC(C)(C)C)C[C@H](C(=O)[O-])C1 ZINC001598608157 993712467 /nfs/dbraw/zinc/71/24/67/993712467.db2.gz ZJFIURYNPALMQE-SNVBAGLBSA-N -1 1 335.426 -0.821 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)CCc2cnccn2)CC1 ZINC001598615332 993868929 /nfs/dbraw/zinc/86/89/29/993868929.db2.gz BVFLSAGVFGKKMI-UHFFFAOYSA-N -1 1 335.408 -0.430 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)Cc2cscn2)CC1 ZINC001598616253 993886589 /nfs/dbraw/zinc/88/65/89/993886589.db2.gz KGBRITILVLUHEX-UHFFFAOYSA-N -1 1 326.422 -0.154 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)Cc2ccc(F)cn2)CC1 ZINC001598616493 993890624 /nfs/dbraw/zinc/89/06/24/993890624.db2.gz LRJVKXWYYBDOHT-UHFFFAOYSA-N -1 1 338.383 -0.076 20 0 EBADMM CN1CCN(CCCS(=O)(=O)NC2CC(CC(=O)[O-])C2)CC1 ZINC001598618266 993937219 /nfs/dbraw/zinc/93/72/19/993937219.db2.gz YHNPSUUXOXRXTL-UHFFFAOYSA-N -1 1 333.454 -0.203 20 0 EBADMM C[C@@](O)(CN1CCN(Cc2nnnn2-c2ccccc2)CC1)C(=O)[O-] ZINC001593396110 995137191 /nfs/dbraw/zinc/13/71/91/995137191.db2.gz GYQNTTFYAVMJAX-MRXNPFEDSA-N -1 1 346.391 -0.385 20 0 EBADMM C[C@](O)(CN1CCN(c2ccc(F)cc2C(N)=O)CC1)C(=O)[O-] ZINC001593396785 995146240 /nfs/dbraw/zinc/14/62/40/995146240.db2.gz WCTWZIHJUXLITC-HNNXBMFYSA-N -1 1 325.340 -0.118 20 0 EBADMM C[C@@H](O)CN1CCN(CC(=O)N2CCC(C(=O)[O-])CC2)[C@@H](C)C1 ZINC001593462442 995384646 /nfs/dbraw/zinc/38/46/46/995384646.db2.gz JRBBMUMMISYMAE-QWHCGFSZSA-N -1 1 327.425 -0.304 20 0 EBADMM CO[C@]1(C(=O)[O-])CCN(S(=O)(=O)CCCN2CCN(C)CC2)C1 ZINC001599077777 996917171 /nfs/dbraw/zinc/91/71/71/996917171.db2.gz AYHMSXKXJNGCLG-CQSZACIVSA-N -1 1 349.453 -0.871 20 0 EBADMM COC(=O)[C@H](Cc1cnc[nH]1)NC(=O)[C@H]1C[C@@H](C)[C@H](C(=O)[O-])O1 ZINC001598904047 997230195 /nfs/dbraw/zinc/23/01/95/997230195.db2.gz ZSYNLMDQSGSGRF-APHKKCJPSA-N -1 1 325.321 -0.512 20 0 EBADMM COCc1nc(CNC(=O)C(=O)Nc2ccc(CC(=O)[O-])cc2)n[nH]1 ZINC001599306382 997323781 /nfs/dbraw/zinc/32/37/81/997323781.db2.gz QUZBYAGJSOVXQI-UHFFFAOYSA-N -1 1 347.331 -0.167 20 0 EBADMM COCc1nnc(CNC(=O)C(=O)Nc2ccc(CC(=O)[O-])cc2)[nH]1 ZINC001599306382 997323793 /nfs/dbraw/zinc/32/37/93/997323793.db2.gz QUZBYAGJSOVXQI-UHFFFAOYSA-N -1 1 347.331 -0.167 20 0 EBADMM CO[C@@H]1CCC[C@@H]([C@@H](NCC(=O)N2CCNC(=O)C2)C(=O)[O-])C1 ZINC001599111565 997579074 /nfs/dbraw/zinc/57/90/74/997579074.db2.gz XGZXYYRBHXVKPH-JTNHKYCSSA-N -1 1 327.381 -0.807 20 0 EBADMM COCCCN1CC(N2CCN(C(=O)[C@@H]3C[C@H]3C(=O)[O-])CC2)C1 ZINC001599224017 997722896 /nfs/dbraw/zinc/72/28/96/997722896.db2.gz QCQBWEOBRWHKIR-ZIAGYGMSSA-N -1 1 325.409 -0.428 20 0 EBADMM C[C@@]1(NC(=O)Cc2nnc[nH]2)CCN(c2ncc(C(=O)[O-])cn2)C1 ZINC001594053239 997767885 /nfs/dbraw/zinc/76/78/85/997767885.db2.gz QNZIIIMCKCDLMO-CQSZACIVSA-N -1 1 331.336 -0.379 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)NCC(C)(C)CC(=O)[O-])C1 ZINC001599240901 998039717 /nfs/dbraw/zinc/03/97/17/998039717.db2.gz UHLZFZNXSLWMOU-NSHDSACASA-N -1 1 329.397 -0.560 20 0 EBADMM COCCNC(=O)[C@@H]1CC[C@@H](C)N(CN2C[C@@H](C(=O)[O-])CC2=O)C1 ZINC001599242667 998073850 /nfs/dbraw/zinc/07/38/50/998073850.db2.gz SFFJSLCOQLVYQJ-UPJWGTAASA-N -1 1 341.408 -0.260 20 0 EBADMM COCCOCCc1ncc(C(=O)N2C[C@H](O)C[C@H]2C(=O)[O-])[nH]1 ZINC001599251998 998248545 /nfs/dbraw/zinc/24/85/45/998248545.db2.gz ZTYAYPGGPNUMMV-KOLCDFICSA-N -1 1 327.337 -0.725 20 0 EBADMM CC1=NS(=O)(=O)N(C)C=C1C(=O)N[C@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001594120802 998274898 /nfs/dbraw/zinc/27/48/98/998274898.db2.gz XBTRACVKUHAYHW-SNVBAGLBSA-N -1 1 341.349 -0.943 20 0 EBADMM COC[C@@H](NC(=O)[C@@H](Cc1cnc[nH]1)NC(=O)CC(C)C)C(=O)[O-] ZINC001599165842 998541334 /nfs/dbraw/zinc/54/13/34/998541334.db2.gz HCPOLMHDXHQLFP-VXGBXAGGSA-N -1 1 340.380 -0.301 20 0 EBADMM CO[C@]1(C(=O)[O-])CCN(C(=O)N[C@@H](C)[C@@H]2CN(C)CCN2C)C1 ZINC001599069599 999322712 /nfs/dbraw/zinc/32/27/12/999322712.db2.gz HMMZXHVXLFHPOV-SLEUVZQESA-N -1 1 328.413 -0.494 20 0 EBADMM C[C@@H]1CCCN1S(=O)(=O)N(CCN1CCN(C)CC1)CC(=O)[O-] ZINC001594387115 999464014 /nfs/dbraw/zinc/46/40/14/999464014.db2.gz FWBAURHXUPWHPA-CYBMUJFWSA-N -1 1 348.469 -0.651 20 0 EBADMM C[C@H]1CN(C(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)CC[C@H]1O ZINC001594454690 999656901 /nfs/dbraw/zinc/65/69/01/999656901.db2.gz OZTUYBAXWSOXNP-NWDGAFQWSA-N -1 1 341.408 -0.777 20 0 EBADMM C[C@H]1CN(C(=O)/C=C/CN2CCOCC2)CCN1CCC(=O)[O-] ZINC001594466507 999688930 /nfs/dbraw/zinc/68/89/30/999688930.db2.gz KJASWTLTXQODBF-HSWBROFVSA-N -1 1 325.409 -0.118 20 0 EBADMM O=C(N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)c1cc2ncccn2n1 ZINC001670532822 1125807206 /nfs/dbraw/zinc/80/72/06/1125807206.db2.gz IQUKKNGEOQAUDT-NSHDSACASA-N -1 1 342.363 -0.149 20 0 EBADMM Cc1nnc(CN2CC[C@@H]2CNC(=O)CCn2cc[n-]c(=O)c2=O)o1 ZINC001670702106 1125813586 /nfs/dbraw/zinc/81/35/86/1125813586.db2.gz ZBEVWYOQSYONTK-LLVKDONJSA-N -1 1 348.363 -0.991 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CCC(NC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001687268733 1125822556 /nfs/dbraw/zinc/82/25/56/1125822556.db2.gz VPNQGUIFNMYDHE-AAEUAGOBSA-N -1 1 348.403 -0.310 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1nnc2ccccc2n1 ZINC001691397506 1125856806 /nfs/dbraw/zinc/85/68/06/1125856806.db2.gz POGNBRUHFDUQOT-UHFFFAOYSA-N -1 1 328.336 -0.290 20 0 EBADMM CCN(CCNC(=O)[C@@H]1CCCc2n[nH]nc21)Cc1n[nH]c(=O)[n-]1 ZINC001480996439 1125905493 /nfs/dbraw/zinc/90/54/93/1125905493.db2.gz XJOVMFFOPUIZRF-SECBINFHSA-N -1 1 334.384 -0.313 20 0 EBADMM COc1cccnc1C(=O)NCCN(Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001481196241 1125937031 /nfs/dbraw/zinc/93/70/31/1125937031.db2.gz XBANCEUVMCNBKZ-UHFFFAOYSA-N -1 1 346.391 -0.094 20 0 EBADMM CN(CCNCc1ccccn1)C(=O)CCc1n[nH]c(=O)[n-]c1=O ZINC001481252508 1125954050 /nfs/dbraw/zinc/95/40/50/1125954050.db2.gz XIBXSDABARIRJB-UHFFFAOYSA-N -1 1 332.364 -0.141 20 0 EBADMM CNC(=O)NCCC(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001408821575 1125954981 /nfs/dbraw/zinc/95/49/81/1125954981.db2.gz QKCBTVLVWBGCOK-LLVKDONJSA-N -1 1 349.391 -0.173 20 0 EBADMM COC(=O)NCC(=O)N1CC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001481478020 1126000149 /nfs/dbraw/zinc/00/01/49/1126000149.db2.gz FXJRTXGUCOKOJR-VIFPVBQESA-N -1 1 326.357 -0.853 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1CN(Cc2ccccn2)C1 ZINC001481650007 1126031602 /nfs/dbraw/zinc/03/16/02/1126031602.db2.gz BHVJHFNJTPCMRN-UHFFFAOYSA-N -1 1 343.387 -0.430 20 0 EBADMM CC[C@H](CNC(=O)C(=O)N1CCC[C@@H](C)C1)NCc1n[nH]c(=O)[n-]1 ZINC001481855129 1126062093 /nfs/dbraw/zinc/06/20/93/1126062093.db2.gz JRIFQILCZSEZGB-GHMZBOCLSA-N -1 1 338.412 -0.247 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@@](C)(CNCc2n[nH]c(=O)[n-]2)C2CC2)C1 ZINC001481926286 1126067051 /nfs/dbraw/zinc/06/70/51/1126067051.db2.gz HPOOYXPOPPXPNH-HNNXBMFYSA-N -1 1 336.396 -0.637 20 0 EBADMM CS(C)(=O)=NS(=O)(=O)[N-]Cc1cccc(N2CCOCC2)n1 ZINC001414245362 1126082552 /nfs/dbraw/zinc/08/25/52/1126082552.db2.gz CJKHAWLTDBXLFL-UHFFFAOYSA-N -1 1 348.450 -0.020 20 0 EBADMM O=C(NCCN(C(=O)c1ncccc1[O-])C1CC1)[C@H]1CNC(=O)N1 ZINC001408939482 1126084399 /nfs/dbraw/zinc/08/43/99/1126084399.db2.gz RKPHAWSZIAGWTG-SNVBAGLBSA-N -1 1 333.348 -0.811 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@](C)(C(=O)OC)C1CC1 ZINC001414212371 1126115205 /nfs/dbraw/zinc/11/52/05/1126115205.db2.gz IVTKSDBXGFNWJO-GFCCVEGCSA-N -1 1 331.350 -0.184 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@](C)(C(=O)OC)C1CC1 ZINC001414212374 1126115241 /nfs/dbraw/zinc/11/52/41/1126115241.db2.gz IVTKSDBXGFNWJO-LBPRGKRZSA-N -1 1 331.350 -0.184 20 0 EBADMM Cc1ncsc1CC[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001414271959 1126123767 /nfs/dbraw/zinc/12/37/67/1126123767.db2.gz OOCUIRHRYUEOJY-UHFFFAOYSA-N -1 1 330.391 -0.228 20 0 EBADMM CC1(C(=O)N2CC[C@H]2CNC(=O)CCn2cc[n-]c(=O)c2=O)CCC1 ZINC001358622634 1131234065 /nfs/dbraw/zinc/23/40/65/1131234065.db2.gz NSTFIVXQSQGACU-LBPRGKRZSA-N -1 1 348.403 -0.166 20 0 EBADMM CC(C)[C@H](CNC(=O)Cn1ccccc1=O)NCc1n[nH]c(=O)[n-]1 ZINC001482568158 1126198597 /nfs/dbraw/zinc/19/85/97/1126198597.db2.gz IZTZKPPBXUFZFQ-NSHDSACASA-N -1 1 334.380 -0.397 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(CNC(=O)[C@H](C)OC)C1 ZINC001409127166 1126209528 /nfs/dbraw/zinc/20/95/28/1126209528.db2.gz YGBBVZJIYILRGG-ZETCQYMHSA-N -1 1 340.336 -0.881 20 0 EBADMM CN(C)c1cccc(C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)n1 ZINC001414231025 1126229914 /nfs/dbraw/zinc/22/99/14/1126229914.db2.gz GAQPZGCZZDEVMS-UHFFFAOYSA-N -1 1 339.377 -0.575 20 0 EBADMM CC1(C)CO[C@H](CCC(=O)NC[C@@H](O)CNCc2n[nH]c(=O)[n-]2)C1 ZINC001482787511 1126291157 /nfs/dbraw/zinc/29/11/57/1126291157.db2.gz ICPBBCHVYMJEGC-WDEREUQCSA-N -1 1 341.412 -0.328 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1ccc2c(n1)OCCO2 ZINC001482935431 1126304024 /nfs/dbraw/zinc/30/40/24/1126304024.db2.gz YQVRLMLZIDZUMU-UHFFFAOYSA-N -1 1 348.363 -0.072 20 0 EBADMM CCN(CCN[C@@H](C)c1cnccn1)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001482937063 1126304257 /nfs/dbraw/zinc/30/42/57/1126304257.db2.gz ZKMGRHCBCOEGBX-VIFPVBQESA-N -1 1 333.352 -0.114 20 0 EBADMM CCN(CCNCc1cccc(OC)n1)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001482936950 1126304547 /nfs/dbraw/zinc/30/45/47/1126304547.db2.gz UOJAZKHATQQKDZ-UHFFFAOYSA-N -1 1 348.363 -0.062 20 0 EBADMM Cc1cnc(CNC/C=C\CNC(=O)CCn2cc[n-]c(=O)c2=O)o1 ZINC001483071859 1126328984 /nfs/dbraw/zinc/32/89/84/1126328984.db2.gz PNOLEVIYHJJGKK-IHWYPQMZSA-N -1 1 347.375 -0.315 20 0 EBADMM CC(=O)NC1(C(=O)N[C@@H](C)CNCc2n[nH]c(=O)[n-]2)CCCCC1 ZINC001483241643 1126409523 /nfs/dbraw/zinc/40/95/23/1126409523.db2.gz GSOGLRJOGVQYLI-JTQLQIEISA-N -1 1 338.412 -0.056 20 0 EBADMM C[C@@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)c1cc(C2CC2)n(C)n1 ZINC001483345427 1126417561 /nfs/dbraw/zinc/41/75/61/1126417561.db2.gz MDLHQVOHMYEPQW-JTQLQIEISA-N -1 1 347.423 -0.030 20 0 EBADMM Cn1nccc1CCC(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001483653837 1126450623 /nfs/dbraw/zinc/45/06/23/1126450623.db2.gz UAMWPPSMCFMDQO-LBPRGKRZSA-N -1 1 333.396 -0.835 20 0 EBADMM Cc1nnc(CC(=O)NC2(C)CCN(Cc3nc(=O)n(C)[n-]3)CC2)o1 ZINC001483967805 1126493978 /nfs/dbraw/zinc/49/39/78/1126493978.db2.gz RSEUILBDPDEQOY-UHFFFAOYSA-N -1 1 349.395 -0.487 20 0 EBADMM C[C@]1(CNC(=O)CCc2c[nH]nn2)CCCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001484168960 1126511351 /nfs/dbraw/zinc/51/13/51/1126511351.db2.gz REQNLACCRIKJCW-OAHLLOKOSA-N -1 1 348.411 -0.021 20 0 EBADMM CS[C@H](C)CC(=O)NC[C@]1(O)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001484215969 1126523712 /nfs/dbraw/zinc/52/37/12/1126523712.db2.gz SKXZCPQHCHVPRA-QMTHXVAHSA-N -1 1 343.453 -0.697 20 0 EBADMM CC(C)[C@@H](C)C(=O)NC[C@]1(O)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001484240078 1126537421 /nfs/dbraw/zinc/53/74/21/1126537421.db2.gz KXZYVSDRNPMKKF-IAQYHMDHSA-N -1 1 325.413 -0.547 20 0 EBADMM O=C(NCC1(O)CN(C[C@@H]2CC[C@H]3C[C@H]3C2)C1)c1n[nH]c(=O)[n-]c1=O ZINC001484282727 1126552034 /nfs/dbraw/zinc/55/20/34/1126552034.db2.gz QEDDQBWHFWZZED-OUAUKWLOSA-N -1 1 349.391 -0.505 20 0 EBADMM CCc1c(C(=O)N(CCO)CCNCc2n[nH]c(=O)[n-]2)cnn1C ZINC001484485879 1126595700 /nfs/dbraw/zinc/59/57/00/1126595700.db2.gz AKUDDANBPNARHZ-UHFFFAOYSA-N -1 1 337.384 -0.970 20 0 EBADMM O=C(C[C@H]1CCCOC1)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001484501466 1126599559 /nfs/dbraw/zinc/59/95/59/1126599559.db2.gz XBKZUZSWLWFLHG-LLVKDONJSA-N -1 1 327.385 -0.763 20 0 EBADMM CN1CC[C@H](C(=O)N2CC[C@H](CCNCc3n[nH]c(=O)[n-]3)C2)C1=O ZINC001484536065 1126602623 /nfs/dbraw/zinc/60/26/23/1126602623.db2.gz PYOWLJYAIMONJC-QWRGUYRKSA-N -1 1 336.396 -0.683 20 0 EBADMM COC[C@H](OC)C(=O)N1CC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001484541244 1126602741 /nfs/dbraw/zinc/60/27/41/1126602741.db2.gz WXWBQXHFZOKBOB-QWRGUYRKSA-N -1 1 327.385 -0.500 20 0 EBADMM O=C(Cn1ccccc1=O)N1CC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001484550459 1126603321 /nfs/dbraw/zinc/60/33/21/1126603321.db2.gz UHLMSUGXEXSLPE-GFCCVEGCSA-N -1 1 346.391 -0.300 20 0 EBADMM O=C(NC1(CNCc2n[nH]c(=O)[n-]2)CCOCC1)c1cncnc1 ZINC001484861907 1126646683 /nfs/dbraw/zinc/64/66/83/1126646683.db2.gz JBTNEQBNIVWIRR-UHFFFAOYSA-N -1 1 333.352 -0.631 20 0 EBADMM Cn1[n-]c(CN2Cc3ccc(CNC(=O)CC(N)=O)cc3C2)nc1=O ZINC001484872769 1126648024 /nfs/dbraw/zinc/64/80/24/1126648024.db2.gz CPXISJOLXZYWIS-UHFFFAOYSA-N -1 1 344.375 -0.884 20 0 EBADMM Cc1nnc(CN2CCC(CO)(NC(=O)c3ncccc3[O-])CC2)[nH]1 ZINC001485016533 1126664899 /nfs/dbraw/zinc/66/48/99/1126664899.db2.gz GWHSQFNWSHKLNH-UHFFFAOYSA-N -1 1 346.391 -0.029 20 0 EBADMM Cc1nn(C)c(=O)c(C(=O)N[C@@H](C)[C@H](C)NCc2n[nH]c(=O)[n-]2)c1C ZINC001485178679 1126679193 /nfs/dbraw/zinc/67/91/93/1126679193.db2.gz CLLDDNAKQNUTAO-UWVGGRQHSA-N -1 1 349.395 -0.483 20 0 EBADMM C[C@@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)[C@H](C)NCc1nnn(C)n1 ZINC001485197052 1126679747 /nfs/dbraw/zinc/67/97/47/1126679747.db2.gz CHGKZORSRBPCIR-DTWKUNHWSA-N -1 1 346.395 -0.120 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)CCCC(=O)N(C)C ZINC001485215897 1126680844 /nfs/dbraw/zinc/68/08/44/1126680844.db2.gz OBEGOLQNBMXOIG-ZJUUUORDSA-N -1 1 326.401 -0.248 20 0 EBADMM CCO[C@@H](C(=O)NC[C@H](C)N(C)Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001485299796 1126686687 /nfs/dbraw/zinc/68/66/87/1126686687.db2.gz AAUIIMIGHHLNCB-GXFFZTMASA-N -1 1 325.413 -0.140 20 0 EBADMM CC[C@@H]1OCC[C@H]1C(=O)NC[C@H](C)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001485334165 1126689651 /nfs/dbraw/zinc/68/96/51/1126689651.db2.gz NBNRTZKLZBDMNU-TUAOUCFPSA-N -1 1 325.413 -0.140 20 0 EBADMM C[C@H](CC(N)=O)C(=O)NC[C@H](C)N(C)Cc1cc(=O)n2[n-]ccc2n1 ZINC001485336106 1126689680 /nfs/dbraw/zinc/68/96/80/1126689680.db2.gz HCERGJMFKMLARJ-MNOVXSKESA-N -1 1 348.407 -0.529 20 0 EBADMM C[C@@H](CNC(=O)[C@H](C)Oc1ccccn1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001485378175 1126694764 /nfs/dbraw/zinc/69/47/64/1126694764.db2.gz SXODHPBZEPAOEI-RYUDHWBXSA-N -1 1 348.407 -0.093 20 0 EBADMM Cc1cc(C)c(C(=O)NC2(CCO)CN(Cc3nc(=O)n(C)[n-]3)C2)o1 ZINC001485401474 1126701276 /nfs/dbraw/zinc/70/12/76/1126701276.db2.gz CCIUAYQDOGOQGZ-UHFFFAOYSA-N -1 1 349.391 -0.315 20 0 EBADMM CC(C)CN1CC(CCO)(NC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001485419627 1126711681 /nfs/dbraw/zinc/71/16/81/1126711681.db2.gz NLBMMPBHTIZBOE-UHFFFAOYSA-N -1 1 333.392 -0.155 20 0 EBADMM C[C@@H](CS(C)(=O)=O)C(=O)N[C@H]1C[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001485707207 1126804141 /nfs/dbraw/zinc/80/41/41/1126804141.db2.gz VHMIUWGJTJBAFX-GUBZILKMSA-N -1 1 345.425 -0.825 20 0 EBADMM O=C(CC(F)(F)F)NC[C@H](CO)NCc1cc(=O)n2[n-]ccc2n1 ZINC001485981652 1126946341 /nfs/dbraw/zinc/94/63/41/1126946341.db2.gz ZJJZCZBKVRJKLC-SECBINFHSA-N -1 1 347.297 -0.458 20 0 EBADMM Cn1[n-]c(CN[C@H](CO)CNC(=O)c2cccc3cc[nH]c32)nc1=O ZINC001486035770 1127005539 /nfs/dbraw/zinc/00/55/39/1127005539.db2.gz FGHBZSGSRHKEQO-NSHDSACASA-N -1 1 344.375 -0.530 20 0 EBADMM CN(C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)Cc1cscn1 ZINC001486303501 1127108027 /nfs/dbraw/zinc/10/80/27/1127108027.db2.gz QPNPUGQGSDTZKL-VIFPVBQESA-N -1 1 326.382 -0.882 20 0 EBADMM CNC(=O)C1(C(=O)N[C@@H](C)[C@H](C)NC(=O)c2ncccc2[O-])CC1 ZINC001486686414 1127236348 /nfs/dbraw/zinc/23/63/48/1127236348.db2.gz DILRHAGHPTVLME-UWVGGRQHSA-N -1 1 334.376 -0.064 20 0 EBADMM CCOCC(=O)NC[C@H](C)N(C)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001486795134 1127257248 /nfs/dbraw/zinc/25/72/48/1127257248.db2.gz YFKKLSNAAJESEF-QMMMGPOBSA-N -1 1 342.352 -0.490 20 0 EBADMM CN(CCN(C)C(=O)[C@@H]1CC[C@@H]1C(N)=O)C(=O)c1ncccc1[O-] ZINC001409975993 1127290813 /nfs/dbraw/zinc/29/08/13/1127290813.db2.gz AAQNLGYHJSOSLU-WDEREUQCSA-N -1 1 334.376 -0.171 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)[C@]1(C)CNC(=O)C1 ZINC001486849139 1127309319 /nfs/dbraw/zinc/30/93/19/1127309319.db2.gz NQSFDCPGPBYLCS-HWPZZCPQSA-N -1 1 334.376 -0.110 20 0 EBADMM O=S(=O)(CC[N-]S(=O)(=O)c1ccns1)N1CCOCC1 ZINC001434554679 1127457195 /nfs/dbraw/zinc/45/71/95/1127457195.db2.gz ZVALCOULBTWLIX-UHFFFAOYSA-N -1 1 341.436 -0.917 20 0 EBADMM CC(C)(C)OCC(=O)NC[C@@H](O)CNC(=O)c1ncccc1[O-] ZINC001410116758 1127580812 /nfs/dbraw/zinc/58/08/12/1127580812.db2.gz LVRCOWGIHHOKNI-SNVBAGLBSA-N -1 1 325.365 -0.191 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1CCn2ccnc2C1)NCc1n[nH]c(=O)[n-]1 ZINC001410371093 1127690770 /nfs/dbraw/zinc/69/07/70/1127690770.db2.gz BWOTVMOVIGFFDN-WDEREUQCSA-N -1 1 333.396 -0.094 20 0 EBADMM Cn1[n-]c(CN[C@@H](CO)CNC(=O)c2coc(C(F)F)c2)nc1=O ZINC001410735380 1127850809 /nfs/dbraw/zinc/85/08/09/1127850809.db2.gz VFTHQBHMIWBBAL-MRVPVSSYSA-N -1 1 345.306 -0.481 20 0 EBADMM COc1cc(C)ccc1C(=O)NC[C@@H](CO)NCc1nc(=O)n(C)[n-]1 ZINC001410789931 1127890197 /nfs/dbraw/zinc/89/01/97/1127890197.db2.gz GHKWCDDIJURPQP-NSHDSACASA-N -1 1 349.391 -0.694 20 0 EBADMM C[C@]1(NC(=O)C(F)F)CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001410960792 1127952425 /nfs/dbraw/zinc/95/24/25/1127952425.db2.gz JQYLSOCOTSJMAD-ZDUSSCGKSA-N -1 1 340.290 -0.597 20 0 EBADMM CC[C@H](NC(C)=O)C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001410999634 1127966801 /nfs/dbraw/zinc/96/68/01/1127966801.db2.gz WYPYYXUCQXPJCA-QWRGUYRKSA-N -1 1 338.412 -0.248 20 0 EBADMM CN(C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)c1ncc(Cl)s1 ZINC001411053881 1127990699 /nfs/dbraw/zinc/99/06/99/1127990699.db2.gz SRYCCXLKEOHYKO-ZCFIWIBFSA-N -1 1 346.800 -0.157 20 0 EBADMM CN(C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)c1cnn(C)c1Cl ZINC001411058741 1127994903 /nfs/dbraw/zinc/99/49/03/1127994903.db2.gz LPPGIVNPNIJDND-SSDOTTSWSA-N -1 1 343.775 -0.880 20 0 EBADMM CC(C)OCC(=O)NC[C@@]1(O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001411142361 1128036068 /nfs/dbraw/zinc/03/60/68/1128036068.db2.gz FREQJWUTTUJQOO-INIZCTEOSA-N -1 1 337.376 -0.095 20 0 EBADMM Cc1ccc(C(=O)N(C)C[C@H](O)CN(C)Cc2nc(=O)n(C)[n-]2)o1 ZINC001411158704 1128055616 /nfs/dbraw/zinc/05/56/16/1128055616.db2.gz GVLCGFKLTLAPBG-LLVKDONJSA-N -1 1 337.380 -0.425 20 0 EBADMM Cc1c[nH]nc1C(=O)N1CC[C@@](O)(CNC(=O)c2ncccc2[O-])C1 ZINC001411164417 1128062558 /nfs/dbraw/zinc/06/25/58/1128062558.db2.gz YSHILAJMAKJQTP-MRXNPFEDSA-N -1 1 345.359 -0.174 20 0 EBADMM Cc1[nH]nc(C(=O)N(C)C[C@H](O)CN(C)[C@@H]2CCCNC2=O)c1[O-] ZINC001411164484 1128062584 /nfs/dbraw/zinc/06/25/84/1128062584.db2.gz SEWRBDLNWBQELL-GHMZBOCLSA-N -1 1 339.396 -0.933 20 0 EBADMM C[C@H](CC(N)=O)C(=O)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001411326000 1128173044 /nfs/dbraw/zinc/17/30/44/1128173044.db2.gz GMUGITOQTSVSBQ-KPPDAEKUSA-N -1 1 334.376 -0.077 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)c1cc[n+]([O-])cc1 ZINC001092447355 1128244677 /nfs/dbraw/zinc/24/46/77/1128244677.db2.gz URFHRJPLOVHFER-ZYHUDNBSSA-N -1 1 332.364 -0.358 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)c1cc(=O)n(C)o1 ZINC001092573222 1128256322 /nfs/dbraw/zinc/25/63/22/1128256322.db2.gz NAGGXISURWUXEE-RKDXNWHRSA-N -1 1 336.352 -0.700 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ccc[nH]1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001487708168 1128410934 /nfs/dbraw/zinc/41/09/34/1128410934.db2.gz MEYRZRAFTZIGJA-NSHDSACASA-N -1 1 347.375 -0.468 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N(C)C[C@H](C)NC(=O)C(N)=O ZINC001487857984 1128446006 /nfs/dbraw/zinc/44/60/06/1128446006.db2.gz VJTVQPOCMGVYFS-QMMMGPOBSA-N -1 1 337.380 -0.324 20 0 EBADMM O=C(N[C@H](CO)CNC(=O)c1ncccc1[O-])c1cn2c(n1)CCC2 ZINC001487977162 1128472912 /nfs/dbraw/zinc/47/29/12/1128472912.db2.gz LYSZNWLGVZQYRV-JTQLQIEISA-N -1 1 345.359 -0.549 20 0 EBADMM Cc1nnsc1C(=O)N[C@H](CO)CNC(=O)c1ncccc1[O-] ZINC001487979321 1128475461 /nfs/dbraw/zinc/47/54/61/1128475461.db2.gz XJFIBCSZWGEEJX-QMMMGPOBSA-N -1 1 337.361 -0.532 20 0 EBADMM O=C(Cn1ncnn1)NC[C@@H]1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001488290433 1128663028 /nfs/dbraw/zinc/66/30/28/1128663028.db2.gz FSVSBRRRWHFVIA-MNOVXSKESA-N -1 1 345.363 -0.511 20 0 EBADMM COC1CC(C(=O)NC[C@@H](O)CN(C)C(=O)c2ncccc2[O-])C1 ZINC001488350287 1128688274 /nfs/dbraw/zinc/68/82/74/1128688274.db2.gz BYBPSFQFKQOFQN-MOENNCHZSA-N -1 1 337.376 -0.239 20 0 EBADMM CN(C[C@@H](O)CNC(=O)c1ncccc1[O-])C(=O)Cc1cncn1C ZINC001488382013 1128758935 /nfs/dbraw/zinc/75/89/35/1128758935.db2.gz CWNLGRFILJMVQI-LBPRGKRZSA-N -1 1 347.375 -0.688 20 0 EBADMM CN(C[C@H](O)CN(C)C(=O)c1ncccc1[O-])C(=O)Cc1cc[nH]n1 ZINC001488428237 1128767084 /nfs/dbraw/zinc/76/70/84/1128767084.db2.gz KJWGANYWZNSVRF-LBPRGKRZSA-N -1 1 347.375 -0.356 20 0 EBADMM CN(C[C@H](O)CN(C)C(=O)c1ncccc1[O-])C(=O)Cc1ccn[nH]1 ZINC001488428237 1128767090 /nfs/dbraw/zinc/76/70/90/1128767090.db2.gz KJWGANYWZNSVRF-LBPRGKRZSA-N -1 1 347.375 -0.356 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)CCc1cncn1C ZINC001488691166 1128827151 /nfs/dbraw/zinc/82/71/51/1128827151.db2.gz UXMOFBBXJYETFV-ZDUSSCGKSA-N -1 1 347.423 -0.493 20 0 EBADMM Cc1cnn(CCC(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)c1 ZINC001488696943 1128828155 /nfs/dbraw/zinc/82/81/55/1128828155.db2.gz CIKBBUYAIQYRCK-CYBMUJFWSA-N -1 1 347.423 -0.264 20 0 EBADMM COCC(C)(C)C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001489278160 1128951338 /nfs/dbraw/zinc/95/13/38/1128951338.db2.gz JJXODXYRQLRQBZ-MNOVXSKESA-N -1 1 325.413 -0.140 20 0 EBADMM CCCCOCC(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001489575803 1129011570 /nfs/dbraw/zinc/01/15/70/1129011570.db2.gz HBVNSGSPSNQKME-YPMHNXCESA-N -1 1 337.376 -0.095 20 0 EBADMM CC[C@H](CNC(=O)[C@H]1CCOC1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001416192701 1131277448 /nfs/dbraw/zinc/27/74/48/1131277448.db2.gz PESADAFLRCZQSI-VHSXEESVSA-N -1 1 348.363 -0.921 20 0 EBADMM C[C@@](CNC(=O)[C@H]1COC(=O)N1)(NC(=O)c1ncccc1[O-])C1CC1 ZINC001416352595 1131332168 /nfs/dbraw/zinc/33/21/68/1131332168.db2.gz ZXIRIODSHCRUGV-HWPZZCPQSA-N -1 1 348.359 -0.090 20 0 EBADMM Cc1nc(CC(=O)NC[C@@H](C)N(C)C(=O)c2cnc[nH]c2=O)n[nH]1 ZINC001411553651 1129315860 /nfs/dbraw/zinc/31/58/60/1129315860.db2.gz RBAZMMZSCCRHJJ-MRVPVSSYSA-N -1 1 333.352 -0.572 20 0 EBADMM O=C(Cc1cc[nH]n1)NC1(CCO)CN(C(=O)c2ncccc2[O-])C1 ZINC001411753801 1129367979 /nfs/dbraw/zinc/36/79/79/1129367979.db2.gz VAJXQFDSHJFKAB-UHFFFAOYSA-N -1 1 345.359 -0.554 20 0 EBADMM COC(=O)c1ccc(CC(=O)N2CCO[C@H](c3nn[n-]n3)C2)cn1 ZINC001411776884 1129380957 /nfs/dbraw/zinc/38/09/57/1129380957.db2.gz NAKZXDFKKGZFHS-NSHDSACASA-N -1 1 332.320 -0.476 20 0 EBADMM Cn1cc(C(=O)CCC(=O)N2CCC(O)(c3nn[n-]n3)CC2)cn1 ZINC001412058535 1129437448 /nfs/dbraw/zinc/43/74/48/1129437448.db2.gz SPCAHTRJVHVHLB-UHFFFAOYSA-N -1 1 333.352 -0.594 20 0 EBADMM CCOC(=O)c1nc([C@H](C)NC(=O)C[C@@H]2CCS(=O)(=O)C2)n[n-]1 ZINC001412328406 1129501420 /nfs/dbraw/zinc/50/14/20/1129501420.db2.gz VHRBYQLPBBJKJI-IUCAKERBSA-N -1 1 344.393 -0.017 20 0 EBADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C[C@@H]2CCS(=O)(=O)C2)[n-]1 ZINC001412328406 1129501421 /nfs/dbraw/zinc/50/14/21/1129501421.db2.gz VHRBYQLPBBJKJI-IUCAKERBSA-N -1 1 344.393 -0.017 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C[C@@H]2CCS(=O)(=O)C2)n1 ZINC001412328406 1129501425 /nfs/dbraw/zinc/50/14/25/1129501425.db2.gz VHRBYQLPBBJKJI-IUCAKERBSA-N -1 1 344.393 -0.017 20 0 EBADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C2(CO)CCOCC2)n[n-]1 ZINC001412324970 1129502824 /nfs/dbraw/zinc/50/28/24/1129502824.db2.gz ACEPSYYZMNBYMU-SECBINFHSA-N -1 1 326.353 -0.052 20 0 EBADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C2(CO)CCOCC2)[n-]1 ZINC001412324970 1129502826 /nfs/dbraw/zinc/50/28/26/1129502826.db2.gz ACEPSYYZMNBYMU-SECBINFHSA-N -1 1 326.353 -0.052 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C2(CO)CCOCC2)n1 ZINC001412324970 1129502830 /nfs/dbraw/zinc/50/28/30/1129502830.db2.gz ACEPSYYZMNBYMU-SECBINFHSA-N -1 1 326.353 -0.052 20 0 EBADMM CC[C@H](C)NC(=O)CN1CCN(C(=O)CCCc2nn[n-]n2)CC1 ZINC001412397889 1129518309 /nfs/dbraw/zinc/51/83/09/1129518309.db2.gz VQESOPDYRPJODS-LBPRGKRZSA-N -1 1 337.428 -0.419 20 0 EBADMM CCOC(=O)N(C)CCCC(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC001412426843 1129526271 /nfs/dbraw/zinc/52/62/71/1129526271.db2.gz MOGQBMXXFWIFLF-JTQLQIEISA-N -1 1 326.357 -0.032 20 0 EBADMM Cn1nc2c(c1C(=O)N1CCOC[C@H]1c1nn[n-]n1)CSCC2 ZINC001412427748 1129526397 /nfs/dbraw/zinc/52/63/97/1129526397.db2.gz ONMCLNATBDXVES-JTQLQIEISA-N -1 1 335.393 -0.064 20 0 EBADMM COC(=O)[C@@H]1O[C@@H](C(=O)N2CCOC[C@@H]2c2nn[n-]n2)C[C@H]1C ZINC001412427932 1129526490 /nfs/dbraw/zinc/52/64/90/1129526490.db2.gz PUWPVJHBSQQJSS-ZYUZMQFOSA-N -1 1 325.325 -0.934 20 0 EBADMM Cc1cc(O)cc(=O)n1CCC(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001412429595 1129527549 /nfs/dbraw/zinc/52/75/49/1129527549.db2.gz FWAJFCZNSWWZLI-LLVKDONJSA-N -1 1 334.336 -0.634 20 0 EBADMM C[C@@H](C(=O)N(C)CC(=O)N1CCC(c2nn[n-]n2)CC1)n1cccn1 ZINC001412488014 1129539832 /nfs/dbraw/zinc/53/98/32/1129539832.db2.gz KJHBVNNYWAJOLF-NSHDSACASA-N -1 1 346.395 -0.178 20 0 EBADMM CN(CC(=O)NCc1ccco1)C(=O)CNC(=O)c1ncccc1[O-] ZINC001412531252 1129552669 /nfs/dbraw/zinc/55/26/69/1129552669.db2.gz LTUVFRIVBLMBPC-UHFFFAOYSA-N -1 1 346.343 -0.115 20 0 EBADMM CN1CCN(c2ccccc2CNC(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001412545059 1129556238 /nfs/dbraw/zinc/55/62/38/1129556238.db2.gz VABVODOHUCCILT-UHFFFAOYSA-N -1 1 344.375 -0.035 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC(c2nnnn2C)CC1 ZINC001412545815 1129556296 /nfs/dbraw/zinc/55/62/96/1129556296.db2.gz DCDLOAMCGSZOQN-UHFFFAOYSA-N -1 1 335.324 -0.560 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC(CO)(CO)CC2)o1 ZINC001412552374 1129559036 /nfs/dbraw/zinc/55/90/36/1129559036.db2.gz PWHGJJFZVSATFD-UHFFFAOYSA-N -1 1 332.378 -0.605 20 0 EBADMM CCN(CC)S(=O)(=O)CC(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001412604492 1129573796 /nfs/dbraw/zinc/57/37/96/1129573796.db2.gz CMPLTLYMGXIKMA-LLVKDONJSA-N -1 1 344.441 -0.348 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C[C@H](C)NC(=O)C(F)F ZINC001412607742 1129575121 /nfs/dbraw/zinc/57/51/21/1129575121.db2.gz HVPXDTIMAOUVOQ-YFKPBYRVSA-N -1 1 334.279 -0.262 20 0 EBADMM C[C@@]1(C(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)CCCS1(=O)=O ZINC001412612879 1129576953 /nfs/dbraw/zinc/57/69/53/1129576953.db2.gz QMDGOKLOBHTNGG-MFKMUULPSA-N -1 1 327.410 -0.052 20 0 EBADMM CS(=O)(=O)N1CCC[C@H]1C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001412615005 1129577380 /nfs/dbraw/zinc/57/73/80/1129577380.db2.gz UJUNTURYERVJKZ-QWRGUYRKSA-N -1 1 342.425 -0.595 20 0 EBADMM COCCn1nc(C(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)ccc1=O ZINC001412617971 1129577927 /nfs/dbraw/zinc/57/79/27/1129577927.db2.gz WZOFOKCGNPNOQD-LLVKDONJSA-N -1 1 347.379 -0.502 20 0 EBADMM Cc1nn(C)c2c1N(C(=O)CNC(=O)c1ncccc1[O-])CCO2 ZINC001412658850 1129586922 /nfs/dbraw/zinc/58/69/22/1129586922.db2.gz FZNPBWYWHXXRJY-UHFFFAOYSA-N -1 1 331.332 -0.015 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)CNC(=O)c2ncccc2[O-])CCS1(=O)=O ZINC001412674382 1129590325 /nfs/dbraw/zinc/59/03/25/1129590325.db2.gz CARPUSYGTJLNMB-UWVGGRQHSA-N -1 1 341.389 -0.401 20 0 EBADMM NC(=O)c1ncn(CC(=O)NCCc2c(F)cc([O-])cc2F)n1 ZINC001412683732 1129592575 /nfs/dbraw/zinc/59/25/75/1129592575.db2.gz XCWLABLCYMMYEW-UHFFFAOYSA-N -1 1 325.275 -0.280 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)C[C@@H]2CCCS(=O)(=O)C2)n1 ZINC001412845569 1129726459 /nfs/dbraw/zinc/72/64/59/1129726459.db2.gz ZHTROXYPSAVBJX-ZETCQYMHSA-N -1 1 336.395 -0.638 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)C[C@@H]2CCCS(=O)(=O)C2)[n-]1 ZINC001412845569 1129726461 /nfs/dbraw/zinc/72/64/61/1129726461.db2.gz ZHTROXYPSAVBJX-ZETCQYMHSA-N -1 1 336.395 -0.638 20 0 EBADMM O=C(C1CC2(C1)CS(=O)(=O)C2)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001412857162 1129736263 /nfs/dbraw/zinc/73/62/63/1129736263.db2.gz WBASQHSZEMTQCB-SECBINFHSA-N -1 1 325.394 -0.270 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)[C@@H](C)CN1CCOCC1 ZINC001412921586 1129787361 /nfs/dbraw/zinc/78/73/61/1129787361.db2.gz YMCJOZGMUAYDGO-VIFPVBQESA-N -1 1 326.353 -0.311 20 0 EBADMM Cn1cc(C(=O)NC[C@H]2CCCN2C(=O)CCCc2nn[n-]n2)cn1 ZINC001412942915 1129800662 /nfs/dbraw/zinc/80/06/62/1129800662.db2.gz SZCSWVJODALARF-GFCCVEGCSA-N -1 1 346.395 -0.323 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)CCNC(N)=O ZINC001413082632 1129924358 /nfs/dbraw/zinc/92/43/58/1129924358.db2.gz LGJCHGSTPCIXAF-ZJUUUORDSA-N -1 1 335.364 -0.435 20 0 EBADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCCS3(=O)=O)nc2n1 ZINC001361461550 1131410305 /nfs/dbraw/zinc/41/03/05/1131410305.db2.gz QSQVDYPXSRXBHE-QMMMGPOBSA-N -1 1 325.350 -0.504 20 0 EBADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@H]2CCS(=O)(=O)C2)n[n-]1 ZINC001413275746 1129984366 /nfs/dbraw/zinc/98/43/66/1129984366.db2.gz YJNDGYJQONBOGQ-SFYZADRCSA-N -1 1 330.366 -0.407 20 0 EBADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@H]2CCS(=O)(=O)C2)[n-]1 ZINC001413275746 1129984368 /nfs/dbraw/zinc/98/43/68/1129984368.db2.gz YJNDGYJQONBOGQ-SFYZADRCSA-N -1 1 330.366 -0.407 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@H]2CCS(=O)(=O)C2)n1 ZINC001413275746 1129984371 /nfs/dbraw/zinc/98/43/71/1129984371.db2.gz YJNDGYJQONBOGQ-SFYZADRCSA-N -1 1 330.366 -0.407 20 0 EBADMM COC[C@](C)([N-]S(=O)(=O)C1CCS(=O)(=O)CC1)C(=O)OC ZINC001413311225 1130005496 /nfs/dbraw/zinc/00/54/96/1130005496.db2.gz VWPLLSMUMRBIPK-NSHDSACASA-N -1 1 343.423 -0.939 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCNC(=O)[C@H]2CC(C)C)[n-]n1 ZINC001413317989 1130010920 /nfs/dbraw/zinc/01/09/20/1130010920.db2.gz NLJZSJTXVWXRDM-SNVBAGLBSA-N -1 1 344.393 -0.269 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCNC(=O)[C@H]2CC(C)C)n[n-]1 ZINC001413317989 1130010926 /nfs/dbraw/zinc/01/09/26/1130010926.db2.gz NLJZSJTXVWXRDM-SNVBAGLBSA-N -1 1 344.393 -0.269 20 0 EBADMM C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001413329486 1130019866 /nfs/dbraw/zinc/01/98/66/1130019866.db2.gz JRRXUJQSRHKACF-TVYUQYBPSA-N -1 1 344.375 -0.319 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N1CCN[C@H](Cc2ccc(F)cc2)C1 ZINC001413347406 1130033832 /nfs/dbraw/zinc/03/38/32/1130033832.db2.gz QXEJJLUYWYUEHU-GFCCVEGCSA-N -1 1 335.339 -0.129 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C(C)(C)C2CCOCC2)c(=O)[nH]c1=O ZINC001413353696 1130038940 /nfs/dbraw/zinc/03/89/40/1130038940.db2.gz MAEJVUOFACLRDN-UHFFFAOYSA-N -1 1 331.394 -0.031 20 0 EBADMM CCc1nc(C)c(C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)o1 ZINC001413354201 1130041252 /nfs/dbraw/zinc/04/12/52/1130041252.db2.gz FOZKJBGMOHZPLF-UHFFFAOYSA-N -1 1 328.350 -0.177 20 0 EBADMM CS(=O)(=O)c1cc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)cs1 ZINC001413387112 1130068339 /nfs/dbraw/zinc/06/83/39/1130068339.db2.gz MFOHRQQTLZWTQI-QMMMGPOBSA-N -1 1 343.390 -0.122 20 0 EBADMM O=C(C[C@@H]1NC(=O)c2ccccc21)N1CCOC[C@H]1c1nn[n-]n1 ZINC001413390273 1130068901 /nfs/dbraw/zinc/06/89/01/1130068901.db2.gz WNGSUXNYEDYHSL-RYUDHWBXSA-N -1 1 328.332 -0.026 20 0 EBADMM C[C@@H](O)[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)c1ccccc1 ZINC001413402235 1130073391 /nfs/dbraw/zinc/07/33/91/1130073391.db2.gz OGSJYBCJBLSJKM-SKDRFNHKSA-N -1 1 339.373 -0.114 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC(CCO)(CCO)CC1 ZINC001413414295 1130078471 /nfs/dbraw/zinc/07/84/71/1130078471.db2.gz CXDIQGIEKZXZEZ-UHFFFAOYSA-N -1 1 341.364 -0.116 20 0 EBADMM C[C@@H]1OCC[C@@H]1S(=O)(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001413422138 1130080578 /nfs/dbraw/zinc/08/05/78/1130080578.db2.gz ZRVCNBXMTSSRLT-KWQFWETISA-N -1 1 329.378 -0.148 20 0 EBADMM CC(C)(C)[C@H](NS(C)(=O)=O)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001413424868 1130082310 /nfs/dbraw/zinc/08/23/10/1130082310.db2.gz GJPWWLLRMRZZPT-SNVBAGLBSA-N -1 1 344.441 -0.130 20 0 EBADMM O=C(Cn1nc2n(c1=O)CCCC2)N1CCC(c2nn[n-]n2)CC1 ZINC001413428148 1130084685 /nfs/dbraw/zinc/08/46/85/1130084685.db2.gz RSTXKXMFKJPTLT-UHFFFAOYSA-N -1 1 332.368 -0.700 20 0 EBADMM CCOC(=O)[C@H](COC)[N-]S(=O)(=O)c1n[nH]cc1C(=O)OC ZINC001413437765 1130089157 /nfs/dbraw/zinc/08/91/57/1130089157.db2.gz NVSJFRRVXVWCMW-QMMMGPOBSA-N -1 1 335.338 -0.947 20 0 EBADMM CNS(=O)(=O)c1cc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)c(C)o1 ZINC001413464321 1130096935 /nfs/dbraw/zinc/09/69/35/1130096935.db2.gz ADINRZWHJHGWIH-QMMMGPOBSA-N -1 1 340.365 -0.361 20 0 EBADMM COCC(=O)NC1CC(N(C)C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC001413582788 1130156199 /nfs/dbraw/zinc/15/61/99/1130156199.db2.gz MBJQSJAUKUVMMS-UHFFFAOYSA-N -1 1 340.336 -0.738 20 0 EBADMM COCCCC(=O)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001490990727 1130282154 /nfs/dbraw/zinc/28/21/54/1130282154.db2.gz SBYLRRXKYAQQFO-UHFFFAOYSA-N -1 1 348.363 -0.871 20 0 EBADMM C[C@@H](O)CN1CCCC[C@@H]1CNC(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC001491226752 1130365667 /nfs/dbraw/zinc/36/56/67/1130365667.db2.gz WRULCNTXASLXTR-VXGBXAGGSA-N -1 1 348.407 -0.577 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNC(=O)c1ccc(F)cn1 ZINC001491242734 1130370429 /nfs/dbraw/zinc/37/04/29/1130370429.db2.gz BNUZYVGOQUHUPE-UHFFFAOYSA-N -1 1 349.322 -0.993 20 0 EBADMM C[C@@H]1CCCN(C(=O)C(=O)NCCNC(=O)c2ncccc2[O-])C1 ZINC001491245378 1130371144 /nfs/dbraw/zinc/37/11/44/1130371144.db2.gz NPQZLKKCROCHJE-LLVKDONJSA-N -1 1 334.376 -0.108 20 0 EBADMM O=C(C[C@@H]1CC[C@H]2C[C@H]21)NCCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001491405912 1130430243 /nfs/dbraw/zinc/43/02/43/1130430243.db2.gz NSEPIVRCNZIIKS-GARJFASQSA-N -1 1 344.375 -0.300 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNC(=O)C[C@@H]1C[C@H]1C1CC1 ZINC001491460506 1130454711 /nfs/dbraw/zinc/45/47/11/1130454711.db2.gz OLJZRUGWIVDYCL-STQMWFEESA-N -1 1 348.403 -0.405 20 0 EBADMM COCCOCCCNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001259884213 1130542266 /nfs/dbraw/zinc/54/22/66/1130542266.db2.gz BXUZZKBYJWBCTI-UHFFFAOYSA-N -1 1 335.364 -0.550 20 0 EBADMM O=S(=O)([N-]C(CO)(CO)CO)c1cc(Cl)c(F)cc1F ZINC001260206361 1130542957 /nfs/dbraw/zinc/54/29/57/1130542957.db2.gz MLOAOHAPTBCXDH-UHFFFAOYSA-N -1 1 331.724 -0.388 20 0 EBADMM CNC(=O)NCCC(=O)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001492082163 1130584605 /nfs/dbraw/zinc/58/46/05/1130584605.db2.gz WOLYLUZCTMGFHU-UHFFFAOYSA-N -1 1 349.391 -0.173 20 0 EBADMM CN(CCNC(=O)c1ccc2cccnc2n1)Cc1nc(=O)n(C)[n-]1 ZINC001492257761 1130610311 /nfs/dbraw/zinc/61/03/11/1130610311.db2.gz NZFBOORVTFFALQ-UHFFFAOYSA-N -1 1 341.375 -0.087 20 0 EBADMM CN(CCNC(=O)c1cnc(C2CC2)[n-]c1=O)Cc1nnnn1C ZINC001492447936 1130670724 /nfs/dbraw/zinc/67/07/24/1130670724.db2.gz LPPQOBYKADLBMB-UHFFFAOYSA-N -1 1 332.368 -0.555 20 0 EBADMM CN(CCCNC(=O)c1cnco1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001492446329 1130671054 /nfs/dbraw/zinc/67/10/54/1130671054.db2.gz XSRBDAYMTYEORQ-UHFFFAOYSA-N -1 1 345.319 -0.702 20 0 EBADMM CC[C@H]1C[C@@H](C(=O)NCCN(C)Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001492490354 1130696652 /nfs/dbraw/zinc/69/66/52/1130696652.db2.gz LXHWAIHRXJVRGW-RYUDHWBXSA-N -1 1 325.413 -0.138 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N1[C@@H]2CC[C@H]1CNC(=O)C2 ZINC001413916958 1130748697 /nfs/dbraw/zinc/74/86/97/1130748697.db2.gz JDEHWIRSFOHQDG-ZJUUUORDSA-N -1 1 347.375 -0.183 20 0 EBADMM C[C@@H](O)CN(C(=O)NCc1nc2c(c(=O)[n-]1)COCC2)[C@H](C)CO ZINC001413919922 1130753687 /nfs/dbraw/zinc/75/36/87/1130753687.db2.gz OCTBIGUMMLAHID-NXEZZACHSA-N -1 1 340.380 -0.472 20 0 EBADMM O=C(NC[C@@H](O)CNC(=O)[C@]12CCC[C@H]1OCC2)c1ncccc1[O-] ZINC001416755806 1130792375 /nfs/dbraw/zinc/79/23/75/1130792375.db2.gz XQBZLDINUCECPD-NDGTYSDOSA-N -1 1 349.387 -0.047 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)N1CC(CNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001356823235 1130961387 /nfs/dbraw/zinc/96/13/87/1130961387.db2.gz UULVSRZFAPEMAE-PWSUYJOCSA-N -1 1 334.376 -0.843 20 0 EBADMM CC[C@@H](CNC(=O)C1CC=CC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001356874996 1130979234 /nfs/dbraw/zinc/97/92/34/1130979234.db2.gz HGJAJZQCBCTGIN-ZDUSSCGKSA-N -1 1 348.403 -0.096 20 0 EBADMM CC[C@H](CNC(=O)C1CC=CC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001356874995 1130979406 /nfs/dbraw/zinc/97/94/06/1130979406.db2.gz HGJAJZQCBCTGIN-CYBMUJFWSA-N -1 1 348.403 -0.096 20 0 EBADMM CC[C@@H](CNC(=O)c1cnc(C2CC2)[n-]c1=O)NC(=O)CC(=O)NC ZINC001356888539 1130983085 /nfs/dbraw/zinc/98/30/85/1130983085.db2.gz IUMNOJCYXPPFHG-JTQLQIEISA-N -1 1 349.391 -0.180 20 0 EBADMM COC[C@H](C)C(=O)NC/C=C/CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001357657505 1131111935 /nfs/dbraw/zinc/11/19/35/1131111935.db2.gz IRRNBNQTVCISTC-FSIBCCDJSA-N -1 1 348.363 -0.898 20 0 EBADMM C[C@@H]1C[C@@H]1CC(=O)NC/C=C/CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001357964284 1131154503 /nfs/dbraw/zinc/15/45/03/1131154503.db2.gz MRHWXHPZSPUMQV-XCUNLHGCSA-N -1 1 348.403 -0.239 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2CCN(c3cccc(F)c3)CC2)CNCCO1 ZINC001357991975 1131158997 /nfs/dbraw/zinc/15/89/97/1131158997.db2.gz HBDAOEYSFXQHQY-INIZCTEOSA-N -1 1 337.351 -0.083 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)c1nc2ncccn2n1 ZINC001358335079 1131180519 /nfs/dbraw/zinc/18/05/19/1131180519.db2.gz CFMXWEIUSFLIQO-SECBINFHSA-N -1 1 341.331 -0.227 20 0 EBADMM C[C@@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)c1ccccn1 ZINC001358414299 1131185229 /nfs/dbraw/zinc/18/52/29/1131185229.db2.gz RJCVKFBOMYVVSS-VIFPVBQESA-N -1 1 341.331 -0.639 20 0 EBADMM CN(C(=O)c1cccn(CC(=O)OC(C)(C)C)c1=O)c1nn[n-]n1 ZINC001361565075 1131422738 /nfs/dbraw/zinc/42/27/38/1131422738.db2.gz HBMQPJSFKRGTRG-UHFFFAOYSA-N -1 1 334.336 -0.020 20 0 EBADMM CSc1ncc(C(=O)N2CCCN(CC(N)=O)CC2)c(=O)[n-]1 ZINC001361799161 1131457737 /nfs/dbraw/zinc/45/77/37/1131457737.db2.gz PIQXDAQJAMLWOP-UHFFFAOYSA-N -1 1 325.394 -0.463 20 0 EBADMM COC(=O)CNC(=O)Cc1ccc(NC(=O)CCc2nn[n-]n2)cc1 ZINC001361843608 1131465444 /nfs/dbraw/zinc/46/54/44/1131465444.db2.gz FQEYKKKKTIUROA-UHFFFAOYSA-N -1 1 346.347 -0.397 20 0 EBADMM O=C(CCc1nn[n-]n1)N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001361851603 1131466745 /nfs/dbraw/zinc/46/67/45/1131466745.db2.gz IOGMBHDRIOYPML-GHMZBOCLSA-N -1 1 343.409 -0.673 20 0 EBADMM O=C([C@H]1CC(c2ccccn2)=NO1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001361924310 1131480941 /nfs/dbraw/zinc/48/09/41/1131480941.db2.gz LUAPRCZPJCHZTD-VXGBXAGGSA-N -1 1 329.320 -0.312 20 0 EBADMM CCO[C@@H](C(=O)N1CCO[C@H](c2nn[n-]n2)C1)C1CCOCC1 ZINC001361924511 1131481065 /nfs/dbraw/zinc/48/10/65/1131481065.db2.gz NKMOVZQAZCSJJB-NWDGAFQWSA-N -1 1 325.369 -0.069 20 0 EBADMM C[C@@H](NC(=O)CNC(=O)c1ncccc1[O-])C(=O)NCC(F)(F)F ZINC001361951499 1131486676 /nfs/dbraw/zinc/48/66/76/1131486676.db2.gz ICTBPBAMDGIZOR-SSDOTTSWSA-N -1 1 348.281 -0.300 20 0 EBADMM CN1CCN(C)[C@H](CNC(=O)c2ccc(-n3[nH]c(=O)[n-]c3=O)cc2)C1 ZINC001361961474 1131488810 /nfs/dbraw/zinc/48/88/10/1131488810.db2.gz PZTURURXHMKPSX-CYBMUJFWSA-N -1 1 346.391 -0.758 20 0 EBADMM O=C(Cn1cnnn1)N1CCN(C(=O)c2cccc([O-])c2F)CC1 ZINC001362027317 1131499362 /nfs/dbraw/zinc/49/93/62/1131499362.db2.gz PJPAJAKBXMZGSH-UHFFFAOYSA-N -1 1 334.311 -0.498 20 0 EBADMM CS(=O)(=O)c1ccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)s1 ZINC001362058726 1131506093 /nfs/dbraw/zinc/50/60/93/1131506093.db2.gz DCKAUHDECUEQME-ZETCQYMHSA-N -1 1 343.390 -0.122 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCS(=O)(=O)[C@H](C)[C@H]1C ZINC001362117345 1131518714 /nfs/dbraw/zinc/51/87/14/1131518714.db2.gz GSLZBLVVQQCTRX-RNFRBKRXSA-N -1 1 331.350 -0.456 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCN(c2cnn(C)c2)C1 ZINC001362125395 1131520449 /nfs/dbraw/zinc/52/04/49/1131520449.db2.gz BSJBPPYLNANQDC-MRVPVSSYSA-N -1 1 334.336 -0.361 20 0 EBADMM O=C(c1ccc([O-])cn1)N1CCN(S(=O)(=O)c2nc[nH]n2)CC1 ZINC001362331291 1131562091 /nfs/dbraw/zinc/56/20/91/1131562091.db2.gz WFDCUEYEUQUPNK-UHFFFAOYSA-N -1 1 338.349 -0.948 20 0 EBADMM O=C(CCc1nn[n-]n1)NC[C@H](O)CS(=O)(=O)c1cccs1 ZINC001362345171 1131564655 /nfs/dbraw/zinc/56/46/55/1131564655.db2.gz BOOBFPCVGPFTRV-QMMMGPOBSA-N -1 1 345.406 -0.855 20 0 EBADMM COC(=O)[C@@H]1C[C@@H](O)CN1C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC001362371390 1131569979 /nfs/dbraw/zinc/56/99/79/1131569979.db2.gz AIEWOPNRWAQBMP-PWSUYJOCSA-N -1 1 348.359 -0.271 20 0 EBADMM Cn1cc(S(N)(=O)=O)cc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362373051 1131570670 /nfs/dbraw/zinc/57/06/70/1131570670.db2.gz HIGYEEZHDZKUFA-QMMMGPOBSA-N -1 1 339.381 -0.795 20 0 EBADMM O=C(c1cccc2c1NC(=O)CO2)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362386488 1131573336 /nfs/dbraw/zinc/57/33/36/1131573336.db2.gz JAKUSYFXONCOPE-UHFFFAOYSA-N -1 1 344.331 -0.346 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCO[C@@]2(CCOC2)C1 ZINC001362394735 1131574501 /nfs/dbraw/zinc/57/45/01/1131574501.db2.gz MDMOWDJICVTJDU-RTHLEPHNSA-N -1 1 325.321 -0.036 20 0 EBADMM O=C([C@@H]1CCc2ncncc2C1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362394528 1131574542 /nfs/dbraw/zinc/57/45/42/1131574542.db2.gz ZYXHTMMSLGSGPE-SNVBAGLBSA-N -1 1 329.364 -0.395 20 0 EBADMM CCOC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)CCCc1nn[n-]n1 ZINC001362396968 1131575384 /nfs/dbraw/zinc/57/53/84/1131575384.db2.gz IDOCLRIXYZYBQC-IUCAKERBSA-N -1 1 326.357 -0.905 20 0 EBADMM CS(=O)(=O)CC1(CC(=O)N2CCC(O)(c3nn[n-]n3)CC2)CC1 ZINC001362433036 1131582538 /nfs/dbraw/zinc/58/25/38/1131582538.db2.gz AQGIHUJQBCXKRG-UHFFFAOYSA-N -1 1 343.409 -0.775 20 0 EBADMM O=C(CCOC1CCOCC1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362563060 1131611775 /nfs/dbraw/zinc/61/17/75/1131611775.db2.gz PCYYHRKKTVZXNX-UHFFFAOYSA-N -1 1 325.369 -0.405 20 0 EBADMM CC[C@@H](CNS(C)(=O)=O)NC(=O)c1cnc(SC)[n-]c1=O ZINC001362593269 1131619461 /nfs/dbraw/zinc/61/94/61/1131619461.db2.gz UCUJWZGTBPFKEB-ZETCQYMHSA-N -1 1 334.423 -0.038 20 0 EBADMM CCOC(=O)[C@H]1CSCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC001362617930 1131625581 /nfs/dbraw/zinc/62/55/81/1131625581.db2.gz AKZFRTNGWLKWIN-SECBINFHSA-N -1 1 339.373 -0.018 20 0 EBADMM O=C(CN1CCCCS1(=O)=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC001362688806 1131641608 /nfs/dbraw/zinc/64/16/08/1131641608.db2.gz XEFYZWTVQGWXEC-SECBINFHSA-N -1 1 346.438 -0.758 20 0 EBADMM O=C([C@@H]1C[C@@H](O)CN1c1ccncn1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362690652 1131642634 /nfs/dbraw/zinc/64/26/34/1131642634.db2.gz UYPSASVBWLJGDW-TUAOUCFPSA-N -1 1 344.379 -0.665 20 0 EBADMM Cc1ccn(C[C@@](C)(O)C(=O)NCc2nc([O-])cc(=O)[nH]2)c(=O)c1 ZINC001362729270 1131656478 /nfs/dbraw/zinc/65/64/78/1131656478.db2.gz ZPYIGUZZYRQXFL-OAHLLOKOSA-N -1 1 334.332 -0.575 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)CS1 ZINC001362756469 1131664436 /nfs/dbraw/zinc/66/44/36/1131664436.db2.gz DIUQEIHYYAMWER-SFYZADRCSA-N -1 1 347.418 -0.333 20 0 EBADMM COC(=O)[C@@H]1CN(C)CCN(C(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001362787098 1131673597 /nfs/dbraw/zinc/67/35/97/1131673597.db2.gz LZLJBXXDEVFREW-SNVBAGLBSA-N -1 1 333.348 -0.801 20 0 EBADMM COC(=O)[C@H]1CN(C)CCN(C(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001362787094 1131673823 /nfs/dbraw/zinc/67/38/23/1131673823.db2.gz LZLJBXXDEVFREW-JTQLQIEISA-N -1 1 333.348 -0.801 20 0 EBADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001362798731 1131678003 /nfs/dbraw/zinc/67/80/03/1131678003.db2.gz XNIZVVJGGJVUQO-DTWKUNHWSA-N -1 1 342.377 -0.602 20 0 EBADMM C[C@](O)(Cn1ccccc1=O)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001362803138 1131679244 /nfs/dbraw/zinc/67/92/44/1131679244.db2.gz JMSCNKIJTJLJPG-HNNXBMFYSA-N -1 1 332.364 -0.481 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H](N2CCOCC2)C[C@@H]1C ZINC001362805487 1131680784 /nfs/dbraw/zinc/68/07/84/1131680784.db2.gz XUEKQCQQXHXGPG-VHSXEESVSA-N -1 1 338.364 -0.168 20 0 EBADMM COC[C@H](NC(=O)[C@@H]1CCC(=O)N(c2cnn(C)c2)C1)c1nn[n-]n1 ZINC001362903462 1131708639 /nfs/dbraw/zinc/70/86/39/1131708639.db2.gz UWMZSTMLHDYLNP-KOLCDFICSA-N -1 1 348.367 -0.820 20 0 EBADMM CCOC(=O)c1nc([C@H](C)NC(=O)CCn2cnccc2=O)n[n-]1 ZINC001362930414 1131715964 /nfs/dbraw/zinc/71/59/64/1131715964.db2.gz CAQALHLEFYYWMC-VIFPVBQESA-N -1 1 334.336 -0.194 20 0 EBADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CCn2cnccc2=O)[n-]1 ZINC001362930414 1131715974 /nfs/dbraw/zinc/71/59/74/1131715974.db2.gz CAQALHLEFYYWMC-VIFPVBQESA-N -1 1 334.336 -0.194 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CCn2cnccc2=O)n1 ZINC001362930414 1131715978 /nfs/dbraw/zinc/71/59/78/1131715978.db2.gz CAQALHLEFYYWMC-VIFPVBQESA-N -1 1 334.336 -0.194 20 0 EBADMM COC(=O)[C@H]1CSCCN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001362932097 1131716736 /nfs/dbraw/zinc/71/67/36/1131716736.db2.gz YSECCBNBRLCLHI-ZCFIWIBFSA-N -1 1 329.334 -0.373 20 0 EBADMM CCO[C@@H]1C[C@@](NC(=O)CCc2nn[n-]n2)(C(=O)OC)C1(C)C ZINC001362936866 1131717964 /nfs/dbraw/zinc/71/79/64/1131717964.db2.gz HVLKSGUJJPMLQI-YMTOWFKASA-N -1 1 325.369 -0.005 20 0 EBADMM CSc1nc(C)c(CCC(=O)N2C[C@H](O)[C@@H](CO)C2)c(=O)[n-]1 ZINC001363015331 1131746732 /nfs/dbraw/zinc/74/67/32/1131746732.db2.gz WBRLKRYOCCQENY-KOLCDFICSA-N -1 1 327.406 -0.043 20 0 EBADMM O=C([C@H]1CNC(=O)c2ccccc21)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001363028436 1131752960 /nfs/dbraw/zinc/75/29/60/1131752960.db2.gz MHVJJEHIJZLOOI-LBPRGKRZSA-N -1 1 342.359 -0.463 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCNC(=O)CC2(C)C)o1 ZINC001363083688 1131776972 /nfs/dbraw/zinc/77/69/72/1131776972.db2.gz SCYAVZIBPRPKHK-UHFFFAOYSA-N -1 1 329.378 -0.072 20 0 EBADMM CSc1nc(CNC(=O)CCN2CCCS2(=O)=O)cc(=O)[n-]1 ZINC001363141753 1131800270 /nfs/dbraw/zinc/80/02/70/1131800270.db2.gz NITWKHSVEJKCOR-UHFFFAOYSA-N -1 1 346.434 -0.054 20 0 EBADMM O=C(Cc1nc2ncccn2n1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC001363212202 1131833523 /nfs/dbraw/zinc/83/35/23/1131833523.db2.gz ZMADFJGLGGUONM-UHFFFAOYSA-N -1 1 342.281 -0.196 20 0 EBADMM CSCC[C@H](NC(=O)Cn1c(C)cc(C)nc1=O)c1nn[n-]n1 ZINC001363280496 1131869032 /nfs/dbraw/zinc/86/90/32/1131869032.db2.gz RAHHKRHOZCMGMF-JTQLQIEISA-N -1 1 337.409 -0.016 20 0 EBADMM Cc1cc(O)cc(=O)n1CC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001363294386 1131880350 /nfs/dbraw/zinc/88/03/50/1131880350.db2.gz PJMOCAKFPDDYJF-UHFFFAOYSA-N -1 1 346.343 -0.253 20 0 EBADMM CCOC(=O)N1CCC(C(=O)N[C@H](COC)c2nn[n-]n2)CC1 ZINC001363408084 1131937398 /nfs/dbraw/zinc/93/73/98/1131937398.db2.gz BFQLRHCHPRUSJM-SNVBAGLBSA-N -1 1 326.357 -0.128 20 0 EBADMM O=C(c1cncc(N2CCCC2)n1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001363408717 1131937684 /nfs/dbraw/zinc/93/76/84/1131937684.db2.gz OMPXNCVYRFWZCO-LLVKDONJSA-N -1 1 330.352 -0.196 20 0 EBADMM O=C(COc1ccccc1)NCC(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001363413069 1131939349 /nfs/dbraw/zinc/93/93/49/1131939349.db2.gz YJPPMYWZDYVLDZ-GFCCVEGCSA-N -1 1 346.347 -0.705 20 0 EBADMM COC[C@@H](NC(=O)CN1c2ccccc2O[C@@H](C)C1=O)c1nn[n-]n1 ZINC001363414982 1131940700 /nfs/dbraw/zinc/94/07/00/1131940700.db2.gz MXQCXBPTTRZTCH-VHSXEESVSA-N -1 1 346.347 -0.183 20 0 EBADMM COC[C@H](NC(=O)CN1c2ccccc2O[C@H](C)C1=O)c1nn[n-]n1 ZINC001363414985 1131940816 /nfs/dbraw/zinc/94/08/16/1131940816.db2.gz MXQCXBPTTRZTCH-ZJUUUORDSA-N -1 1 346.347 -0.183 20 0 EBADMM COC[C@@H](NC(=O)c1cc(N2CCNC2=O)ccc1F)c1nn[n-]n1 ZINC001363431652 1131946558 /nfs/dbraw/zinc/94/65/58/1131946558.db2.gz ILLVSMNOLAEEFU-LLVKDONJSA-N -1 1 349.326 -0.014 20 0 EBADMM CCS(=O)(=O)N[C@H](C)C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001363435016 1131948461 /nfs/dbraw/zinc/94/84/61/1131948461.db2.gz OLVRWDBAIXBTBT-NXEZZACHSA-N -1 1 330.414 -0.691 20 0 EBADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CS(=O)(=O)C2CC2)n[n-]1 ZINC001363476116 1131964674 /nfs/dbraw/zinc/96/46/74/1131964674.db2.gz PLUQMCBXPLFNMY-SSDOTTSWSA-N -1 1 330.366 -0.264 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CS(=O)(=O)C2CC2)n1 ZINC001363476116 1131964683 /nfs/dbraw/zinc/96/46/83/1131964683.db2.gz PLUQMCBXPLFNMY-SSDOTTSWSA-N -1 1 330.366 -0.264 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCN[C@@H](c3cn[nH]c3)C2)co1 ZINC001363496203 1131975424 /nfs/dbraw/zinc/97/54/24/1131975424.db2.gz BFMCSBFUUSUYFZ-LLVKDONJSA-N -1 1 339.377 -0.303 20 0 EBADMM Cc1oc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc1S(C)(=O)=O ZINC001363510902 1131983169 /nfs/dbraw/zinc/98/31/69/1131983169.db2.gz HFLAGXSCDFSDID-MRVPVSSYSA-N -1 1 341.349 -0.282 20 0 EBADMM Cn1nc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc1Br ZINC001363510936 1131983634 /nfs/dbraw/zinc/98/36/34/1131983634.db2.gz HGXXBEWURNGHBS-SSDOTTSWSA-N -1 1 342.157 -0.091 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@H]3CCNC(=O)[C@H]32)o1 ZINC001363529506 1131995703 /nfs/dbraw/zinc/99/57/03/1131995703.db2.gz NOKXNESDYGLSGU-CABZTGNLSA-N -1 1 341.389 -0.072 20 0 EBADMM COc1cnc(C(C)(C)NC(=O)C2CN(S(C)(=O)=O)C2)[n-]c1=O ZINC001363604020 1132030868 /nfs/dbraw/zinc/03/08/68/1132030868.db2.gz PGAJSMLMMPNZNE-UHFFFAOYSA-N -1 1 344.393 -0.567 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H](O)c2ccnn2C)co1 ZINC001363631244 1132038995 /nfs/dbraw/zinc/03/89/95/1132038995.db2.gz OQECQFYGKOQQMQ-SNVBAGLBSA-N -1 1 328.350 -0.615 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC([C@@H]2COC(=O)N2)CC1 ZINC001363646950 1132043552 /nfs/dbraw/zinc/04/35/52/1132043552.db2.gz KXRHNLXMTMEOJU-QMMMGPOBSA-N -1 1 338.320 -0.143 20 0 EBADMM COC(=O)[C@@H]1CC[C@H](C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)O1 ZINC001363683388 1132057173 /nfs/dbraw/zinc/05/71/73/1132057173.db2.gz DPKGAJACTLBWRI-MNOVXSKESA-N -1 1 337.332 -0.408 20 0 EBADMM COC(=O)c1cc(NC(=O)CNC(=O)c2ncccc2[O-])n(C)n1 ZINC001363723176 1132069565 /nfs/dbraw/zinc/06/95/65/1132069565.db2.gz ILKAZXQYURRVGB-UHFFFAOYSA-N -1 1 333.304 -0.324 20 0 EBADMM Cc1noc([C@@H](C)[N-]S(=O)(=O)CCS(=O)(=O)N(C)C)n1 ZINC001363768094 1132081741 /nfs/dbraw/zinc/08/17/41/1132081741.db2.gz FDLNBLIGGLUPMU-SSDOTTSWSA-N -1 1 326.400 -0.750 20 0 EBADMM CCn1ccnc1[C@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001363867449 1132116289 /nfs/dbraw/zinc/11/62/89/1132116289.db2.gz BEXSNXKSWAGCIZ-QMMMGPOBSA-N -1 1 327.366 -0.258 20 0 EBADMM CSc1ncc(C(=O)N[C@](C)(Cn2cccn2)C(N)=O)c(=O)[n-]1 ZINC001363904920 1132125968 /nfs/dbraw/zinc/12/59/68/1132125968.db2.gz ZOYKYXCMIBRBRG-CYBMUJFWSA-N -1 1 336.377 -0.225 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC(C)(C)OCC2CC2)c(=O)[nH]c1=O ZINC001363931066 1132133553 /nfs/dbraw/zinc/13/35/53/1132133553.db2.gz FCXPAANXYTVXRZ-UHFFFAOYSA-N -1 1 331.394 -0.031 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(CC(N)=O)C2CCCC2)[n-]n1 ZINC001363944725 1132138462 /nfs/dbraw/zinc/13/84/62/1132138462.db2.gz RNHDJVPGHFSQFF-UHFFFAOYSA-N -1 1 330.366 -0.385 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(CC(N)=O)C2CCCC2)n[n-]1 ZINC001363944725 1132138466 /nfs/dbraw/zinc/13/84/66/1132138466.db2.gz RNHDJVPGHFSQFF-UHFFFAOYSA-N -1 1 330.366 -0.385 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@@H]2CCOC[C@H]2OC)[n-]n1 ZINC001363982203 1132153105 /nfs/dbraw/zinc/15/31/05/1132153105.db2.gz CVWZGFDZMOYWFO-WCBMZHEXSA-N -1 1 333.366 -0.474 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@@H]2CCOC[C@H]2OC)n[n-]1 ZINC001363982203 1132153111 /nfs/dbraw/zinc/15/31/11/1132153111.db2.gz CVWZGFDZMOYWFO-WCBMZHEXSA-N -1 1 333.366 -0.474 20 0 EBADMM CCN(C)C(=O)[C@H](C)[N-]S(=O)(=O)c1n[nH]c(C)c1C(=O)OC ZINC001363987433 1132155279 /nfs/dbraw/zinc/15/52/79/1132155279.db2.gz UCWCMDJXAXJSFF-QMMMGPOBSA-N -1 1 332.382 -0.350 20 0 EBADMM Cn1ncc(S(=O)(=O)[N-][C@H]2C[C@@H](C(N)=O)C2)c1C(F)(F)F ZINC001364011637 1132165077 /nfs/dbraw/zinc/16/50/77/1132165077.db2.gz HTKXJEAIOKHQED-OLQVQODUSA-N -1 1 326.300 -0.019 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@H]2COc3ccccc32)c(=O)[nH]c1=O ZINC001364013152 1132166067 /nfs/dbraw/zinc/16/60/67/1132166067.db2.gz XHACOEMWIAFNAY-VIFPVBQESA-N -1 1 337.357 -0.060 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2C[C@H]3OC(C)(C)O[C@H]3C2)c(=O)[nH]c1=O ZINC001364045369 1132181258 /nfs/dbraw/zinc/18/12/58/1132181258.db2.gz BTDVJDAJZQZTIM-PSVAKVPMSA-N -1 1 345.377 -0.553 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1C(=O)NCC12CCOCC2 ZINC001364047148 1132182210 /nfs/dbraw/zinc/18/22/10/1132182210.db2.gz GSJNQFNDKYMVPS-VIFPVBQESA-N -1 1 330.366 -0.861 20 0 EBADMM C[C@H]([N-]S(=O)(=O)N=[S@](C)(=O)N(C)C)c1nnnn1C1CC1 ZINC001364052292 1132185267 /nfs/dbraw/zinc/18/52/67/1132185267.db2.gz FPZAZPHLWDVOEJ-JKNYTWMOSA-N -1 1 337.431 -0.522 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCn3c(C)nnc3[C@@H]2C)[n-]n1 ZINC001364068104 1132194023 /nfs/dbraw/zinc/19/40/23/1132194023.db2.gz IJLSJVWGQBVPBG-ZETCQYMHSA-N -1 1 340.365 -0.138 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCn3c(C)nnc3[C@@H]2C)n[n-]1 ZINC001364068104 1132194029 /nfs/dbraw/zinc/19/40/29/1132194029.db2.gz IJLSJVWGQBVPBG-ZETCQYMHSA-N -1 1 340.365 -0.138 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@@H]2C[C@@H]3COC[C@@H]3O2)[n-]n1 ZINC001364082507 1132201199 /nfs/dbraw/zinc/20/11/99/1132201199.db2.gz NGPBSEDCTTYFDE-WEDXCCLWSA-N -1 1 331.350 -0.722 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@@H]2C[C@@H]3COC[C@@H]3O2)n[n-]1 ZINC001364082507 1132201204 /nfs/dbraw/zinc/20/12/04/1132201204.db2.gz NGPBSEDCTTYFDE-WEDXCCLWSA-N -1 1 331.350 -0.722 20 0 EBADMM COC(=O)c1c(NC(=O)[C@@H]2CCn3ccnc3C2)n[n-]c1OCCO ZINC001364101229 1132205992 /nfs/dbraw/zinc/20/59/92/1132205992.db2.gz GTQFIBXJBWTQMA-SECBINFHSA-N -1 1 349.347 -0.035 20 0 EBADMM COC(=O)c1c(NC(=O)[C@@H]2CCn3ccnc3C2)[n-]nc1OCCO ZINC001364101229 1132205995 /nfs/dbraw/zinc/20/59/95/1132205995.db2.gz GTQFIBXJBWTQMA-SECBINFHSA-N -1 1 349.347 -0.035 20 0 EBADMM COC(=O)c1c(NC(=O)[C@@H]2C[C@]23CCOC3)n[n-]c1OCCO ZINC001364105480 1132208014 /nfs/dbraw/zinc/20/80/14/1132208014.db2.gz RVVRSRCHLRUWCW-RTHLEPHNSA-N -1 1 325.321 -0.068 20 0 EBADMM COC(=O)c1c(NC(=O)[C@@H]2C[C@]23CCOC3)[n-]nc1OCCO ZINC001364105480 1132208021 /nfs/dbraw/zinc/20/80/21/1132208021.db2.gz RVVRSRCHLRUWCW-RTHLEPHNSA-N -1 1 325.321 -0.068 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H](CCO)C2CCCC2)c(=O)[nH]c1=O ZINC001364192531 1132231424 /nfs/dbraw/zinc/23/14/24/1132231424.db2.gz KHMSGSOMIVCQTD-SNVBAGLBSA-N -1 1 331.394 -0.295 20 0 EBADMM O=C(NCc1nc([O-])cc(=O)[nH]1)[C@@H]1CC12CCS(=O)(=O)CC2 ZINC001364204247 1132235504 /nfs/dbraw/zinc/23/55/04/1132235504.db2.gz KCWUQPIWCARVQI-QMMMGPOBSA-N -1 1 327.362 -0.281 20 0 EBADMM COc1cc(COCC(=O)N2CCOC[C@H]2c2nn[n-]n2)ccn1 ZINC001364382518 1132300822 /nfs/dbraw/zinc/30/08/22/1132300822.db2.gz GOVXDUZAHLGMAB-NSHDSACASA-N -1 1 334.336 -0.280 20 0 EBADMM O=C(NC[C@@]12COCCN1C(=O)COC2)c1cnc(C2CC2)[n-]c1=O ZINC001364479739 1132333729 /nfs/dbraw/zinc/33/37/29/1132333729.db2.gz ZHGROFOYDWDPFY-INIZCTEOSA-N -1 1 348.359 -0.583 20 0 EBADMM O=c1cc(CN2CCC3(CC2)C[C@@H](O)CNC3=O)nc2cc[n-]n21 ZINC001364546375 1132358392 /nfs/dbraw/zinc/35/83/92/1132358392.db2.gz AHPXHDABASXPHD-GFCCVEGCSA-N -1 1 331.376 -0.514 20 0 EBADMM C[C@@H](O)[C@H](NC(=O)OC(C)(C)C)C(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001364570015 1132364573 /nfs/dbraw/zinc/36/45/73/1132364573.db2.gz ZGCHCMGKOFOLGS-BBBLOLIVSA-N -1 1 340.384 -0.210 20 0 EBADMM COC(=O)c1cccn(CC(=O)N(Cc2nn[n-]n2)CC(C)C)c1=O ZINC001364653021 1132384926 /nfs/dbraw/zinc/38/49/26/1132384926.db2.gz ZCIUYWUNYHIKKA-UHFFFAOYSA-N -1 1 348.363 -0.167 20 0 EBADMM CCNS(=O)(=O)CCNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC001364765714 1132419768 /nfs/dbraw/zinc/41/97/68/1132419768.db2.gz DUFJBSCAPIZFAO-UHFFFAOYSA-N -1 1 338.407 -0.382 20 0 EBADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CCN1CCCS1(=O)=O ZINC001364767689 1132420347 /nfs/dbraw/zinc/42/03/47/1132420347.db2.gz SCPKPJLBFUNMBX-UHFFFAOYSA-N -1 1 347.484 -0.636 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@H](CO)Cc2ccco2)c(=O)[nH]c1=O ZINC001364785513 1132426388 /nfs/dbraw/zinc/42/63/88/1132426388.db2.gz MPAFSLGECJNYSS-SECBINFHSA-N -1 1 343.361 -0.792 20 0 EBADMM COC(=O)CN(C)CC[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC001364797222 1132430453 /nfs/dbraw/zinc/43/04/53/1132430453.db2.gz IAIVJISTXQCYKP-UHFFFAOYSA-N -1 1 327.428 -0.813 20 0 EBADMM CC(C)CNC(=O)C1CCN(Cc2cc(=O)n3[n-]c(N)nc3n2)CC1 ZINC001364814109 1132435824 /nfs/dbraw/zinc/43/58/24/1132435824.db2.gz FWMNCDFLOVMZTB-UHFFFAOYSA-N -1 1 347.423 -0.016 20 0 EBADMM C[C@]1(CC(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)CCS(=O)(=O)N1 ZINC001364819579 1132439705 /nfs/dbraw/zinc/43/97/05/1132439705.db2.gz SDYMUEJCKABQNA-GXFFZTMASA-N -1 1 342.425 -0.547 20 0 EBADMM CNC(=O)CC1CCN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)CC1 ZINC001364820852 1132440531 /nfs/dbraw/zinc/44/05/31/1132440531.db2.gz JQRBYEBWRVAZCC-UHFFFAOYSA-N -1 1 344.393 -0.267 20 0 EBADMM CNC(=O)CC1CCN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)CC1 ZINC001364820852 1132440534 /nfs/dbraw/zinc/44/05/34/1132440534.db2.gz JQRBYEBWRVAZCC-UHFFFAOYSA-N -1 1 344.393 -0.267 20 0 EBADMM CCOC(=O)[C@H](COC)NC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC001364824773 1132442660 /nfs/dbraw/zinc/44/26/60/1132442660.db2.gz TYUGRVFLLSOOHU-VIFPVBQESA-N -1 1 334.350 -0.504 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCN3C(=O)OCC[C@H]3C2)[n-]n1 ZINC001364828261 1132444843 /nfs/dbraw/zinc/44/48/43/1132444843.db2.gz LBCDHGDZTSQJLE-QMMMGPOBSA-N -1 1 344.349 -0.589 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCN3C(=O)OCC[C@H]3C2)n[n-]1 ZINC001364828261 1132444856 /nfs/dbraw/zinc/44/48/56/1132444856.db2.gz LBCDHGDZTSQJLE-QMMMGPOBSA-N -1 1 344.349 -0.589 20 0 EBADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CC1CN(S(C)(=O)=O)C1 ZINC001364846555 1132451569 /nfs/dbraw/zinc/45/15/69/1132451569.db2.gz CLZISBCTPRNYMJ-UHFFFAOYSA-N -1 1 347.484 -0.780 20 0 EBADMM Cc1cc(C[C@H](C)[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)[nH]n1 ZINC001364849802 1132452608 /nfs/dbraw/zinc/45/26/08/1132452608.db2.gz GILAXVJTCOCXEF-VIFPVBQESA-N -1 1 341.393 -0.975 20 0 EBADMM CCn1cc([C@H](C)[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)cn1 ZINC001364859821 1132457527 /nfs/dbraw/zinc/45/75/27/1132457527.db2.gz UUJJEUNKRTXBAI-VIFPVBQESA-N -1 1 341.393 -0.660 20 0 EBADMM CC[C@](COC)([N-]S(=O)(=O)c1n[nH]cc1C(=O)OC)C(=O)OC ZINC001364875612 1132464285 /nfs/dbraw/zinc/46/42/85/1132464285.db2.gz WPZIRSOSKBZPJQ-GFCCVEGCSA-N -1 1 349.365 -0.557 20 0 EBADMM O=S(=O)([N-]C[C@H](O)Cn1ccnn1)c1c[nH]nc1C(F)(F)F ZINC001364883218 1132468459 /nfs/dbraw/zinc/46/84/59/1132468459.db2.gz KYHIMFZLHJKCFD-LURJTMIESA-N -1 1 340.287 -0.641 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H]1CCO[C@H]1C(=O)OC ZINC001364906015 1132478824 /nfs/dbraw/zinc/47/88/24/1132478824.db2.gz SBIJGKYZTFTKPV-VXNVDRBHSA-N -1 1 347.349 -0.947 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)Cc1cn(C)nn1 ZINC001364915050 1132483723 /nfs/dbraw/zinc/48/37/23/1132483723.db2.gz PVPPCAUDAMXSSI-SSDOTTSWSA-N -1 1 328.354 -0.766 20 0 EBADMM COC[C@H](C)CS(=O)(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001364927478 1132489425 /nfs/dbraw/zinc/48/94/25/1132489425.db2.gz LHAKZLYUEPQBED-VIFPVBQESA-N -1 1 331.394 -0.043 20 0 EBADMM COC(=O)[C@@H](CC1OCCO1)[N-]S(=O)(=O)C[C@H]1CCCOC1 ZINC001364991564 1132513029 /nfs/dbraw/zinc/51/30/29/1132513029.db2.gz JPJJNNMOMOQHON-WDEREUQCSA-N -1 1 337.394 -0.363 20 0 EBADMM COC(=O)[C@H](CC1OCCO1)[N-]S(=O)(=O)C[C@@H]1CCCOC1 ZINC001364991543 1132513500 /nfs/dbraw/zinc/51/35/00/1132513500.db2.gz JPJJNNMOMOQHON-MNOVXSKESA-N -1 1 337.394 -0.363 20 0 EBADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-]CC(=O)NCC(C)C ZINC001365016109 1132520506 /nfs/dbraw/zinc/52/05/06/1132520506.db2.gz NVSKAXOFCSZDRP-UHFFFAOYSA-N -1 1 332.382 -0.445 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCC2CCN(C(C)=O)CC2)[n-]n1 ZINC001365031620 1132524103 /nfs/dbraw/zinc/52/41/03/1132524103.db2.gz NTWJNBWIWYJOOG-UHFFFAOYSA-N -1 1 344.393 -0.267 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCC2CCN(C(C)=O)CC2)n[n-]1 ZINC001365031620 1132524109 /nfs/dbraw/zinc/52/41/09/1132524109.db2.gz NTWJNBWIWYJOOG-UHFFFAOYSA-N -1 1 344.393 -0.267 20 0 EBADMM CCOC(=O)[C@@H](Cc1ccccc1)[N-]S(=O)(=O)[C@@H]1COC[C@H]1O ZINC001365034133 1132524508 /nfs/dbraw/zinc/52/45/08/1132524508.db2.gz WRJFYHAKJPAHGV-MGPQQGTHSA-N -1 1 343.401 -0.160 20 0 EBADMM CNC(=O)[C@@H]1CCCN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)C1 ZINC001365033370 1132524791 /nfs/dbraw/zinc/52/47/91/1132524791.db2.gz UIXWAFATIKOYJG-MRVPVSSYSA-N -1 1 330.366 -0.657 20 0 EBADMM CNC(=O)[C@@H]1CCCN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)C1 ZINC001365033370 1132524801 /nfs/dbraw/zinc/52/48/01/1132524801.db2.gz UIXWAFATIKOYJG-MRVPVSSYSA-N -1 1 330.366 -0.657 20 0 EBADMM COC(=O)C1(C2(O)CCN(C(=O)CCc3nn[n-]n3)CC2)CCC1 ZINC001365144816 1132559870 /nfs/dbraw/zinc/55/98/70/1132559870.db2.gz AEVMSEPBSHULGZ-UHFFFAOYSA-N -1 1 337.380 -0.171 20 0 EBADMM CCc1nncn1CC[N-]S(=O)(=O)c1n[nH]cc1C(=O)OC ZINC001365381224 1132642735 /nfs/dbraw/zinc/64/27/35/1132642735.db2.gz RJVRTOCLKNCURP-UHFFFAOYSA-N -1 1 328.354 -0.671 20 0 EBADMM CCO[C@@H]1C[C@@H](NS(=O)(=O)c2cc(C(=O)OC)n[n-]2)[C@@H]1OC ZINC001365383186 1132644593 /nfs/dbraw/zinc/64/45/93/1132644593.db2.gz YGMOSNQGQHOUAH-ZOFUNIGCSA-N -1 1 333.366 -0.333 20 0 EBADMM CCO[C@@H]1C[C@@H](NS(=O)(=O)c2cc(C(=O)OC)[n-]n2)[C@@H]1OC ZINC001365383186 1132644598 /nfs/dbraw/zinc/64/45/98/1132644598.db2.gz YGMOSNQGQHOUAH-ZOFUNIGCSA-N -1 1 333.366 -0.333 20 0 EBADMM CCO[C@@H]1C[C@@H](NS(=O)(=O)c2cc(C(=O)OC)n[n-]2)[C@H]1OC ZINC001365383181 1132644607 /nfs/dbraw/zinc/64/46/07/1132644607.db2.gz YGMOSNQGQHOUAH-BCMRRPTOSA-N -1 1 333.366 -0.333 20 0 EBADMM CCO[C@@H]1C[C@@H](NS(=O)(=O)c2cc(C(=O)OC)[n-]n2)[C@H]1OC ZINC001365383181 1132644610 /nfs/dbraw/zinc/64/46/10/1132644610.db2.gz YGMOSNQGQHOUAH-BCMRRPTOSA-N -1 1 333.366 -0.333 20 0 EBADMM C[C@H]1CCNC(=O)[C@H]1[N-]S(=O)(=O)c1nc[nH]c1Br ZINC001365385303 1132646094 /nfs/dbraw/zinc/64/60/94/1132646094.db2.gz MKXAFWMOSSHDHO-WDSKDSINSA-N -1 1 337.199 -0.025 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@H](O)c2ccc(F)cc2)c(=O)[nH]c1=O ZINC001365394052 1132649932 /nfs/dbraw/zinc/64/99/32/1132649932.db2.gz FRPCEMOSGWJMTR-JTQLQIEISA-N -1 1 343.336 -0.363 20 0 EBADMM C[C@@H](Cc1cnn(C)c1)[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001365401674 1132652735 /nfs/dbraw/zinc/65/27/35/1132652735.db2.gz DLPPYHHFHCBCKG-QMMMGPOBSA-N -1 1 327.366 -0.871 20 0 EBADMM CCC1(C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)CCOCC1 ZINC001365413148 1132658086 /nfs/dbraw/zinc/65/80/86/1132658086.db2.gz STTKBHJEHGITEN-UHFFFAOYSA-N -1 1 331.394 -0.029 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC[C@@H]2CCCC[C@@H]2O)c(=O)n(C)c1=O ZINC001365467179 1132696987 /nfs/dbraw/zinc/69/69/87/1132696987.db2.gz BLTJZWUBHZDRRT-QWRGUYRKSA-N -1 1 345.421 -0.697 20 0 EBADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@@H]1CCS(=O)(=O)C1 ZINC001365633572 1132740600 /nfs/dbraw/zinc/74/06/00/1132740600.db2.gz CMNAAFHKZPNMBT-VIFPVBQESA-N -1 1 332.469 -0.237 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N1C[C@@H]2C[C@H]1C[S@]2=O ZINC001365664780 1132753166 /nfs/dbraw/zinc/75/31/66/1132753166.db2.gz SCAARIYGTVKJFL-GHMSSADISA-N -1 1 338.389 -0.330 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CC(=O)N([C@H]3C[C@@H]3C)C2)[n-]n1 ZINC001365671840 1132757254 /nfs/dbraw/zinc/75/72/54/1132757254.db2.gz DLSZFQDLCRJOEW-XKSSXDPKSA-N -1 1 342.377 -0.516 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CC(=O)N([C@H]3C[C@@H]3C)C2)n[n-]1 ZINC001365671840 1132757262 /nfs/dbraw/zinc/75/72/62/1132757262.db2.gz DLSZFQDLCRJOEW-XKSSXDPKSA-N -1 1 342.377 -0.516 20 0 EBADMM COC[C@@](C)(CC(=O)OC)[N-]S(=O)(=O)N=[S@](C)(=O)N(C)C ZINC001365673058 1132757843 /nfs/dbraw/zinc/75/78/43/1132757843.db2.gz LQLNTJKPOCFHFH-SBKAZYGRSA-N -1 1 345.443 -0.637 20 0 EBADMM CCOC(=O)[C@@H](C)[C@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001365683995 1132762624 /nfs/dbraw/zinc/76/26/24/1132762624.db2.gz KMIJTANDODMQIH-YUMQZZPRSA-N -1 1 333.366 -0.648 20 0 EBADMM COC[C@@H]([N-]S(=O)(=O)c1n[nH]cc1C(=O)OC)[C@@H]1CCCOC1 ZINC001365738255 1132785738 /nfs/dbraw/zinc/78/57/38/1132785738.db2.gz GJVCEBYQKHPDCT-MWLCHTKSSA-N -1 1 347.393 -0.084 20 0 EBADMM Cc1ncc(C(=O)N2CCC[C@@H](N(CCO)Cc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC001365875718 1132853194 /nfs/dbraw/zinc/85/31/94/1132853194.db2.gz LLAUJUYEPVNILM-LLVKDONJSA-N -1 1 349.395 -0.359 20 0 EBADMM O=C(c1cc[nH]n1)N1CCC(N(CCO)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001365907932 1132882044 /nfs/dbraw/zinc/88/20/44/1132882044.db2.gz VZVRVIAVCHSLQU-UHFFFAOYSA-N -1 1 335.368 -0.668 20 0 EBADMM CC[C@H](NC(C)=O)C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001365941170 1132902244 /nfs/dbraw/zinc/90/22/44/1132902244.db2.gz ITQDWKCSTILDOQ-RYUDHWBXSA-N -1 1 338.412 -0.896 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)CCCn3cncn3)C2)nc1=O ZINC001365948023 1132905507 /nfs/dbraw/zinc/90/55/07/1132905507.db2.gz GMXVNNFTGXACGL-GFCCVEGCSA-N -1 1 348.411 -0.739 20 0 EBADMM C[C@H](CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)c1cnn(C)c1 ZINC001365991816 1132951719 /nfs/dbraw/zinc/95/17/19/1132951719.db2.gz WVUSIWQKOFTWLU-YPMHNXCESA-N -1 1 347.423 -0.274 20 0 EBADMM COC(=O)NCC(=O)N1CCC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001366060353 1132984858 /nfs/dbraw/zinc/98/48/58/1132984858.db2.gz FEVOCJKKIQEEPZ-JTQLQIEISA-N -1 1 340.384 -0.463 20 0 EBADMM CCC(=O)N[C@@H](C)C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001366217013 1133060361 /nfs/dbraw/zinc/06/03/61/1133060361.db2.gz QDHJPRADYYZXAW-WDEREUQCSA-N -1 1 338.412 -0.104 20 0 EBADMM O=C(COCc1ccncc1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001366394984 1133128243 /nfs/dbraw/zinc/12/82/43/1133128243.db2.gz ZXALTXBGVJQFEW-LBPRGKRZSA-N -1 1 332.364 -0.187 20 0 EBADMM CN(CCNC(=O)c1ncccc1[O-])CC(=O)NC[C@@H]1CCCO1 ZINC001366521499 1133166836 /nfs/dbraw/zinc/16/68/36/1133166836.db2.gz STFPRZRMJDPOLF-LBPRGKRZSA-N -1 1 336.392 -0.256 20 0 EBADMM CN(CCNC(=O)[C@@H]1Cc2cccnc2C1)Cc1nc(=O)n(C)[n-]1 ZINC001366589009 1133200690 /nfs/dbraw/zinc/20/06/90/1133200690.db2.gz ZLAOHSJNIKPJCD-GFCCVEGCSA-N -1 1 330.392 -0.534 20 0 EBADMM C[C@@H]1CCn2ncc(C(=O)NCCN(C)Cc3nc(=O)n(C)[n-]3)c2C1 ZINC001366588692 1133201078 /nfs/dbraw/zinc/20/10/78/1133201078.db2.gz XGHOHNORRBPPNB-LLVKDONJSA-N -1 1 347.423 -0.251 20 0 EBADMM CCN(CCNC(=O)c1cn(C)c(C)cc1=O)Cc1nc(=O)n(C)[n-]1 ZINC001366618092 1133212635 /nfs/dbraw/zinc/21/26/35/1133212635.db2.gz VVTYNPHLRMVSQR-UHFFFAOYSA-N -1 1 348.407 -0.633 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)COc3ccccc3F)C2)nc1=O ZINC001366987104 1133403919 /nfs/dbraw/zinc/40/39/19/1133403919.db2.gz SQWCVRYGXCDVNX-UHFFFAOYSA-N -1 1 349.366 -0.126 20 0 EBADMM C[C@H](Oc1ccccn1)C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001367020683 1133432257 /nfs/dbraw/zinc/43/22/57/1133432257.db2.gz RZDOQQIBKNUDDJ-RYUDHWBXSA-N -1 1 346.391 -0.339 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)COCc1ccnn1C ZINC001367361993 1133562940 /nfs/dbraw/zinc/56/29/40/1133562940.db2.gz DCVUWHXKTXIKNC-SNVBAGLBSA-N -1 1 337.384 -0.603 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@H]1CCCCS1(=O)=O ZINC001367361128 1133563009 /nfs/dbraw/zinc/56/30/09/1133563009.db2.gz SVXPKPZLYBGPSS-NXEZZACHSA-N -1 1 345.425 -0.586 20 0 EBADMM CC(C)[C@@H](CNC(=O)c1cn2c(n1)COCC2)NCc1n[nH]c(=O)[n-]1 ZINC001367473820 1133597600 /nfs/dbraw/zinc/59/76/00/1133597600.db2.gz ONFLUNGVOWWFGZ-SNVBAGLBSA-N -1 1 349.395 -0.219 20 0 EBADMM C[C@H](CN(C)Cc1cc(=O)n2[n-]ccc2n1)NC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001367824661 1133800706 /nfs/dbraw/zinc/80/07/06/1133800706.db2.gz HJDCDNIGRYTBLX-KBNOKHGBSA-N -1 1 345.403 -0.149 20 0 EBADMM CCNC(=O)CNC1(CNC(=O)c2ccc3oc(=O)nc-3[n-]2)CC1 ZINC001368034878 1133905850 /nfs/dbraw/zinc/90/58/50/1133905850.db2.gz DXHOVWZVRLOVIX-UHFFFAOYSA-N -1 1 333.348 -0.084 20 0 EBADMM C[C@H](CS(C)(=O)=O)C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001368121049 1133947700 /nfs/dbraw/zinc/94/77/00/1133947700.db2.gz RJBVXPVQGGSQEI-NXEZZACHSA-N -1 1 345.425 -0.728 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H](C)NC(=O)CCn1cnccc1=O ZINC001368885334 1134474326 /nfs/dbraw/zinc/47/43/26/1134474326.db2.gz FBHUKNHHXGIKSU-NXEZZACHSA-N -1 1 335.368 -0.860 20 0 EBADMM COc1ccncc1CC(=O)NC[C@H](C)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001368957466 1134497210 /nfs/dbraw/zinc/49/72/10/1134497210.db2.gz YGVAXLGJMQYNOD-NSHDSACASA-N -1 1 348.407 -0.309 20 0 EBADMM C[C@@H](c1nncn1C)N1CC(CCO)(NC(=O)c2ncccc2[O-])C1 ZINC001369017950 1134522988 /nfs/dbraw/zinc/52/29/88/1134522988.db2.gz NGMAOETVPVTZEC-NSHDSACASA-N -1 1 346.391 -0.157 20 0 EBADMM C[C@@H](CN(C)C(=O)Cn1cc(C2CC2)nn1)NCc1n[nH]c(=O)[n-]1 ZINC001369253861 1134630269 /nfs/dbraw/zinc/63/02/69/1134630269.db2.gz ZYEBIHHSYWDHGJ-VIFPVBQESA-N -1 1 334.384 -0.384 20 0 EBADMM CCN(CC)C(=O)[C@H](C)N[C@H](CO)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001369323985 1134664316 /nfs/dbraw/zinc/66/43/16/1134664316.db2.gz RTRNZTZZFWGRCA-QWRGUYRKSA-N -1 1 341.412 -0.639 20 0 EBADMM Cn1[n-]c(CN[C@H](CO)CNC(=O)[C@H]2CCCCC2(F)F)nc1=O ZINC001369337816 1134683595 /nfs/dbraw/zinc/68/35/95/1134683595.db2.gz BTISDJFZQKBKPH-VHSXEESVSA-N -1 1 347.366 -0.499 20 0 EBADMM CC(C)n1cccc1C(=O)NC[C@@H](CO)NCc1nc(=O)n(C)[n-]1 ZINC001369372728 1134729409 /nfs/dbraw/zinc/72/94/09/1134729409.db2.gz APKYIJFJSRWDPX-NSHDSACASA-N -1 1 336.396 -0.629 20 0 EBADMM CCO[C@@H](C)C(=O)N1CC(CCO)(NC(=O)c2ncccc2[O-])C1 ZINC001369981843 1135131223 /nfs/dbraw/zinc/13/12/23/1135131223.db2.gz CVNBULALVIBDEE-NSHDSACASA-N -1 1 337.376 -0.095 20 0 EBADMM O=C(CCn1ccccc1=O)NC[C@@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001370172700 1135234594 /nfs/dbraw/zinc/23/45/94/1135234594.db2.gz DPNJBJXJQJPPBB-GFCCVEGCSA-N -1 1 346.391 -0.253 20 0 EBADMM CCCn1cc(C(=O)NC[C@@H](NCc2n[nH]c(=O)[n-]2)C2CC2)nn1 ZINC001370257213 1135272794 /nfs/dbraw/zinc/27/27/94/1135272794.db2.gz NCNAUFVYLVGGHC-SNVBAGLBSA-N -1 1 334.384 -0.190 20 0 EBADMM O=C(COCc1cc[nH]c(=O)c1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001370363766 1135319988 /nfs/dbraw/zinc/31/99/88/1135319988.db2.gz NUSDHLOXJLKOKG-NSHDSACASA-N -1 1 348.363 -0.482 20 0 EBADMM CCS(=O)(=O)CC(=O)N(C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001370522190 1135389725 /nfs/dbraw/zinc/38/97/25/1135389725.db2.gz RUXXDMZJTTUNSZ-SNVBAGLBSA-N -1 1 343.405 -0.201 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H]1CN(C)C(=O)C(F)F ZINC001371568437 1135972069 /nfs/dbraw/zinc/97/20/69/1135972069.db2.gz OFXOSFKBMMLMDE-LURJTMIESA-N -1 1 346.290 -0.166 20 0 EBADMM CN(CCNC(=O)c1cnc(Cl)n1C)Cc1nc(=O)n(C)[n-]1 ZINC001372044664 1136097656 /nfs/dbraw/zinc/09/76/56/1136097656.db2.gz YVBUMEFRXQOJDO-UHFFFAOYSA-N -1 1 327.776 -0.643 20 0 EBADMM NC(=O)NC1(C(=O)NC2CCN(C(=O)c3ncccc3[O-])CC2)CC1 ZINC001372121241 1136116209 /nfs/dbraw/zinc/11/62/09/1136116209.db2.gz OPVUVJAPFJEFGA-UHFFFAOYSA-N -1 1 347.375 -0.291 20 0 EBADMM CC(C)[C@H]1C(=O)NCCN1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001372186360 1136131033 /nfs/dbraw/zinc/13/10/33/1136131033.db2.gz LDRQWRPGFQSDJC-ZDUSSCGKSA-N -1 1 349.391 -0.079 20 0 EBADMM Cc1cc(CC(=O)NC[C@@H]2CC[N@@H+](Cc3nc(=O)n(C)[nH]3)C2)no1 ZINC001372594012 1136240424 /nfs/dbraw/zinc/24/04/24/1136240424.db2.gz KUABQNAWIUDNNS-NSHDSACASA-N -1 1 334.380 -0.414 20 0 EBADMM O=C(NCC[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1COCCO1 ZINC001373142230 1136380129 /nfs/dbraw/zinc/38/01/29/1136380129.db2.gz BRIWBIICYQICNP-QWRGUYRKSA-N -1 1 325.369 -0.746 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001373151367 1136382721 /nfs/dbraw/zinc/38/27/21/1136382721.db2.gz SQSUEUHTPSDTCG-PWSUYJOCSA-N -1 1 334.376 -0.110 20 0 EBADMM Cn1nnc(CN2CC[C@@H](CCNC(=O)c3ncccc3[O-])C2)n1 ZINC001373180209 1136392357 /nfs/dbraw/zinc/39/23/57/1136392357.db2.gz PXGIAGSAFYSWCE-LLVKDONJSA-N -1 1 331.380 -0.047 20 0 EBADMM CC(C)C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1COCCO1 ZINC001374341568 1136676886 /nfs/dbraw/zinc/67/68/86/1136676886.db2.gz WJCQTIAOKYMJRB-MNOVXSKESA-N -1 1 327.385 -0.454 20 0 EBADMM CN(CCN(C)C(=O)COC1CCCC1)Cc1nc(=O)n(C)[n-]1 ZINC001374466864 1136695278 /nfs/dbraw/zinc/69/52/78/1136695278.db2.gz GNXONYCXXYRQGV-UHFFFAOYSA-N -1 1 325.413 -0.042 20 0 EBADMM COc1ccc(C(=O)N(C)CCN(C)Cc2nc(=O)n(C)[n-]2)nn1 ZINC001374547900 1136715262 /nfs/dbraw/zinc/71/52/62/1136715262.db2.gz LEFTZTUJGVRACT-UHFFFAOYSA-N -1 1 335.368 -0.889 20 0 EBADMM O=C(COC1CCCCC1)NC[C@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001374621214 1136754442 /nfs/dbraw/zinc/75/44/42/1136754442.db2.gz BADTXJBLNUSKAA-SNVBAGLBSA-N -1 1 327.385 -0.574 20 0 EBADMM C[C@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)CCc1ccn(C)n1 ZINC001375042213 1136900064 /nfs/dbraw/zinc/90/00/64/1136900064.db2.gz HKCNAXIQVMCPEP-LLVKDONJSA-N -1 1 335.412 -0.589 20 0 EBADMM C[C@H]1C[C@H](C)N(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C1 ZINC000346336253 291117736 /nfs/dbraw/zinc/11/77/36/291117736.db2.gz LKFWIFPMQROEOT-IUCAKERBSA-N -1 1 329.378 -0.086 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H](C)O[C@H](C(=O)OC)C2)o1 ZINC000600376596 376206966 /nfs/dbraw/zinc/20/69/66/376206966.db2.gz IKFVLASBRYCNBR-SCZZXKLOSA-N -1 1 346.361 -0.410 20 0 EBADMM Cc1csc(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)n1 ZINC000054516525 376164155 /nfs/dbraw/zinc/16/41/55/376164155.db2.gz MPEGPDZCWYSYDR-UHFFFAOYSA-N -1 1 330.391 -0.673 20 0 EBADMM CS(=O)(=O)c1ccc(OCCC(=O)NCc2nn[n-]n2)cc1 ZINC000600496746 376421069 /nfs/dbraw/zinc/42/10/69/376421069.db2.gz VKUIBLPLGZOVNY-UHFFFAOYSA-N -1 1 325.350 -0.312 20 0 EBADMM COc1ccc(CN2C[C@@H](C(=O)NCc3nn[n-]n3)CC2=O)cc1 ZINC000600501425 376423811 /nfs/dbraw/zinc/42/38/11/376423811.db2.gz KTOYSUBSEXJMDH-NSHDSACASA-N -1 1 330.348 -0.127 20 0 EBADMM O=C([C@H]1CC(=O)N(Cc2ccco2)C1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370890644 376606108 /nfs/dbraw/zinc/60/61/08/376606108.db2.gz FRXUYEJBNUKJPS-JQWIXIFHSA-N -1 1 346.347 -0.259 20 0 EBADMM Cn1c2ccccc2n(CC(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c1=O ZINC000370858370 376588099 /nfs/dbraw/zinc/58/80/99/376588099.db2.gz RBXVJQALVASQEU-GFCCVEGCSA-N -1 1 343.347 -0.547 20 0 EBADMM O=C([C@@H]1Cc2ccccc2C(=O)O1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370861697 376591163 /nfs/dbraw/zinc/59/11/63/376591163.db2.gz OFOCIZJRGKQVOS-NWDGAFQWSA-N -1 1 329.316 -0.119 20 0 EBADMM O=C(Cc1cccc(F)c1)NCC(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370862065 376591337 /nfs/dbraw/zinc/59/13/37/376591337.db2.gz ZRCYUMZQBFGJFT-GFCCVEGCSA-N -1 1 348.338 -0.402 20 0 EBADMM O=C(Cc1cccc(F)c1)NCC(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370862066 376593569 /nfs/dbraw/zinc/59/35/69/376593569.db2.gz ZRCYUMZQBFGJFT-LBPRGKRZSA-N -1 1 348.338 -0.402 20 0 EBADMM CS(=O)(=O)N1CCN(CCNC(=O)c2ncccc2[O-])CC1 ZINC000586754820 377119548 /nfs/dbraw/zinc/11/95/48/377119548.db2.gz OFOIQMIBBKURQW-UHFFFAOYSA-N -1 1 328.394 -0.906 20 0 EBADMM C[C@H]1NCCN(S(=O)(=O)c2c[nH]c3nc(=O)[n-]c(=O)c-3c2)[C@H]1C ZINC000262742155 377392233 /nfs/dbraw/zinc/39/22/33/377392233.db2.gz CMEKXTRWEITHOP-SFYZADRCSA-N -1 1 339.377 -0.193 20 0 EBADMM COC(=O)[C@](C)(CO)[N-]S(=O)(=O)N1CCc2ccccc2C1 ZINC000601436600 377573623 /nfs/dbraw/zinc/57/36/23/377573623.db2.gz JANZRKBAGAXPQK-AWEZNQCLSA-N -1 1 328.390 -0.197 20 0 EBADMM COC(=O)[C@@H](CO)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000592043574 377781457 /nfs/dbraw/zinc/78/14/57/377781457.db2.gz PDBPXBXLLYBIGC-SSDOTTSWSA-N -1 1 328.268 -0.087 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)c1cnn(C)c1C)c1ccnn1C ZINC000592095916 377790885 /nfs/dbraw/zinc/79/08/85/377790885.db2.gz HCKSPXLFMZGABH-LLVKDONJSA-N -1 1 327.366 -0.345 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)c1cc(C)cnc1N)c1ccnn1C ZINC000592090567 377791558 /nfs/dbraw/zinc/79/15/58/377791558.db2.gz CIICPOVPDIYZDG-LLVKDONJSA-N -1 1 339.377 -0.102 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)c1c(C)nn(C)c1C)c1ccnn1C ZINC000592092419 377798301 /nfs/dbraw/zinc/79/83/01/377798301.db2.gz OUYGQTWZBCVERF-LLVKDONJSA-N -1 1 341.393 -0.037 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-]CCNS(C)(=O)=O)cc1C ZINC000595303768 377995464 /nfs/dbraw/zinc/99/54/64/377995464.db2.gz JGJKMCFQXZURLB-UHFFFAOYSA-N -1 1 340.379 -0.798 20 0 EBADMM C[C@@H]1C[C@]2(CC(C)(C)C1)NC(=O)N(CC(=O)NCc1nn[n-]n1)C2=O ZINC000599334963 378232024 /nfs/dbraw/zinc/23/20/24/378232024.db2.gz VVFUUWPNUDZWOJ-BJOHPYRUSA-N -1 1 349.395 -0.047 20 0 EBADMM C[C@H](NS(=O)(=O)c1ccc(Cl)cc1)C(=O)NCc1nn[n-]n1 ZINC000599377364 378233325 /nfs/dbraw/zinc/23/33/25/378233325.db2.gz PUEDRWNJZDMDJD-ZETCQYMHSA-N -1 1 344.784 -0.164 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000338167062 378739431 /nfs/dbraw/zinc/73/94/31/378739431.db2.gz ZPRSNEUMJAJPSK-UWVGGRQHSA-N -1 1 341.389 -0.544 20 0 EBADMM C[C@H]([C@@H](C)S(C)(=O)=O)N(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000337905539 378706545 /nfs/dbraw/zinc/70/65/45/378706545.db2.gz MPZFGJGZFPKDGZ-NXEZZACHSA-N -1 1 343.405 -0.203 20 0 EBADMM CCOC(=O)[C@H]1CC[C@H](C(=O)OCC)N1Cc1nc(=O)n(C)[n-]1 ZINC000338331895 378759422 /nfs/dbraw/zinc/75/94/22/378759422.db2.gz QTRTZHKHIORQGY-NXEZZACHSA-N -1 1 326.353 -0.432 20 0 EBADMM COC(=O)[C@H](O)C[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000338939872 378832321 /nfs/dbraw/zinc/83/23/21/378832321.db2.gz YBVYVKYXNQCQMK-RXMQYKEDSA-N -1 1 331.272 -0.749 20 0 EBADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)NCCn2ncnn2)o1 ZINC000338961179 378834425 /nfs/dbraw/zinc/83/44/25/378834425.db2.gz JKEHMNBJDHFRMU-UHFFFAOYSA-N -1 1 342.381 -0.227 20 0 EBADMM C[C@@H](CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1)N1CCCCC1=O ZINC000338730465 378808597 /nfs/dbraw/zinc/80/85/97/378808597.db2.gz USPQDYPMRAVMIU-QMMMGPOBSA-N -1 1 329.382 -0.062 20 0 EBADMM C[C@@H](CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1)N1CCCCC1=O ZINC000338730465 378808603 /nfs/dbraw/zinc/80/86/03/378808603.db2.gz USPQDYPMRAVMIU-QMMMGPOBSA-N -1 1 329.382 -0.062 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCN(C(C)=O)C[C@H]2C)co1 ZINC000339439290 378920249 /nfs/dbraw/zinc/92/02/49/378920249.db2.gz NQVNXRNTEJTGOB-SECBINFHSA-N -1 1 329.378 -0.120 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCN(CC)C1=O ZINC000619797857 379233391 /nfs/dbraw/zinc/23/33/91/379233391.db2.gz UUFNRMAWSYIRBX-VIFPVBQESA-N -1 1 330.366 -0.515 20 0 EBADMM CCOC(=O)CNC(=O)[C@H]1COCCN1C(=O)c1ncccc1[O-] ZINC000619876748 379238529 /nfs/dbraw/zinc/23/85/29/379238529.db2.gz VYRUAOJOYOYPSU-SNVBAGLBSA-N -1 1 337.332 -0.693 20 0 EBADMM COC[C@](C)([N-]S(=O)(=O)c1ncn(C)c1Cl)C(=O)OC ZINC000268220265 379287853 /nfs/dbraw/zinc/28/78/53/379287853.db2.gz JHTSVEBCOSRTAY-JTQLQIEISA-N -1 1 325.774 -0.070 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@]2(C)CCCS2)c(=O)n(C)c1=O ZINC000268231680 379288071 /nfs/dbraw/zinc/28/80/71/379288071.db2.gz VJBVMTVXTUOTSG-LBPRGKRZSA-N -1 1 333.435 -0.352 20 0 EBADMM CCC[C@@H](NC(=O)CN(C)c1ncnc2nc[nH]c21)c1nn[n-]n1 ZINC000268124900 379280100 /nfs/dbraw/zinc/28/01/00/379280100.db2.gz AKIZXEMXMSCYII-MRVPVSSYSA-N -1 1 330.356 -0.040 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@@H]1CCC(=O)NC1=O ZINC000269565759 379382732 /nfs/dbraw/zinc/38/27/32/379382732.db2.gz MDAGFGNNSDJYEU-LLVKDONJSA-N -1 1 345.359 -0.094 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC(=O)NC2CCCC2)o1 ZINC000622012587 379395497 /nfs/dbraw/zinc/39/54/97/379395497.db2.gz FPYAFHMRRMFNLT-UHFFFAOYSA-N -1 1 329.378 -0.024 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCCC[C@@H]2C(=O)NC)co1 ZINC000270067381 379431532 /nfs/dbraw/zinc/43/15/32/379431532.db2.gz WKLSPIHTFXXJLJ-SNVBAGLBSA-N -1 1 329.378 -0.072 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCO[C@@H](CC(=O)OC)C2)co1 ZINC000270208451 379438496 /nfs/dbraw/zinc/43/84/96/379438496.db2.gz RQMWKTLUZGQSHR-JTQLQIEISA-N -1 1 346.361 -0.408 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2CC[C@H](C(N)=O)O2)c1 ZINC000270040094 379426558 /nfs/dbraw/zinc/42/65/58/379426558.db2.gz RUNBQULJWXMARB-DTWKUNHWSA-N -1 1 332.334 -0.623 20 0 EBADMM Cn1cc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)c(=O)[nH]c1=O ZINC000622610639 379452314 /nfs/dbraw/zinc/45/23/14/379452314.db2.gz ZNRMDRSMWGMVTQ-JTQLQIEISA-N -1 1 327.304 -0.482 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)CN2CCN(C(=O)[C@H](C)O)CC2)cc1 ZINC000270857553 379482437 /nfs/dbraw/zinc/48/24/37/379482437.db2.gz JFYFYBMBEWCEOU-LBPRGKRZSA-N -1 1 349.387 -0.523 20 0 EBADMM C[C@H](O)C(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1[O-] ZINC000271534435 379532894 /nfs/dbraw/zinc/53/28/94/379532894.db2.gz NOSMGNIQSVALFI-VIFPVBQESA-N -1 1 330.362 -0.268 20 0 EBADMM C[C@H]1C[C@@H](C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCO1 ZINC000271310005 379515892 /nfs/dbraw/zinc/51/58/92/379515892.db2.gz YMIAPOQEFLHMIW-UWVGGRQHSA-N -1 1 331.394 -0.823 20 0 EBADMM O=C1CN(CC[N-]S(=O)(=O)c2cc(F)c(F)cc2F)CCN1 ZINC000272633872 379589975 /nfs/dbraw/zinc/58/99/75/379589975.db2.gz BHBRINSLINNRBT-UHFFFAOYSA-N -1 1 337.323 -0.186 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2CC(C)(C)OC2(C)C)c(=O)n(C)c1=O ZINC000272180044 379564726 /nfs/dbraw/zinc/56/47/26/379564726.db2.gz SITIDZXQMNIZAI-JTQLQIEISA-N -1 1 345.421 -0.292 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCOC[C@@H]2C[C@@H](C)O)co1 ZINC000274404811 379688620 /nfs/dbraw/zinc/68/86/20/379688620.db2.gz VQGLSYFNTGHWAU-KOLCDFICSA-N -1 1 332.378 -0.200 20 0 EBADMM CNS(=O)(=O)c1ccc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)cc1 ZINC000273568064 379653520 /nfs/dbraw/zinc/65/35/20/379653520.db2.gz YRAWEOJDDONZLM-VIFPVBQESA-N -1 1 338.393 -0.017 20 0 EBADMM CC(C)C[N@H+]1CCO[C@@H](CNC(=O)CC[C@@H]2NC(=O)NC2=O)C1 ZINC000642164414 379726937 /nfs/dbraw/zinc/72/69/37/379726937.db2.gz ILNZKXUOLDOLTA-RYUDHWBXSA-N -1 1 326.397 -0.552 20 0 EBADMM COC(=O)C1(NC(=O)c2ncccc2[O-])CCS(=O)(=O)CC1 ZINC000275102737 379735858 /nfs/dbraw/zinc/73/58/58/379735858.db2.gz CJSJEQKCRWDZJH-UHFFFAOYSA-N -1 1 328.346 -0.363 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1C[C@@H](C)O[C@@H](CO)C1 ZINC000191868058 379795969 /nfs/dbraw/zinc/79/59/69/379795969.db2.gz BBXCGJVEBSXFDY-BXKDBHETSA-N -1 1 334.376 -0.210 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1C[C@@H](C)O[C@H](CO)C1 ZINC000191868034 379796040 /nfs/dbraw/zinc/79/60/40/379796040.db2.gz BBXCGJVEBSXFDY-SKDRFNHKSA-N -1 1 334.376 -0.210 20 0 EBADMM O=S(=O)([N-]C[C@@H]1CN2CCN1CC2)c1c[nH]nc1C(F)(F)F ZINC000632129693 379819052 /nfs/dbraw/zinc/81/90/52/379819052.db2.gz MGBIJSLNXSIUBY-MRVPVSSYSA-N -1 1 339.343 -0.293 20 0 EBADMM CN1CCO[C@H](CS(=O)(=O)[N-][C@H]2CN(c3ccccc3)C2=O)C1 ZINC000632198528 379827043 /nfs/dbraw/zinc/82/70/43/379827043.db2.gz GSCGCQPGNQFBOK-KBPBESRZSA-N -1 1 339.417 -0.348 20 0 EBADMM CCS(=O)(=O)N[C@@H](C)C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614389299 379925212 /nfs/dbraw/zinc/92/52/12/379925212.db2.gz KYJNSFFQSCCMIH-IUCAKERBSA-N -1 1 332.382 -0.191 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]CC2(S(C)(=O)=O)CC2)c1 ZINC000280125617 379971646 /nfs/dbraw/zinc/97/16/46/379971646.db2.gz CYNOZKAENVJYIV-UHFFFAOYSA-N -1 1 337.375 -0.078 20 0 EBADMM C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614472986 379932047 /nfs/dbraw/zinc/93/20/47/379932047.db2.gz GEOZFSALFKOKAO-GZMMTYOYSA-N -1 1 338.364 -0.243 20 0 EBADMM COC(=O)c1c[n-]c(S(=O)(=O)[C@H](C)C(=O)NC[C@@H]2CCCO2)n1 ZINC000279700228 379960196 /nfs/dbraw/zinc/96/01/96/379960196.db2.gz VVRCEQWDDKVPFN-BDAKNGLRSA-N -1 1 345.377 -0.346 20 0 EBADMM Cc1ccn(C[C@](C)(O)C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c(=O)c1 ZINC000279820378 379962275 /nfs/dbraw/zinc/96/22/75/379962275.db2.gz QMWOGBHKTDNWGX-ABAIWWIYSA-N -1 1 334.380 -0.317 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H](CO)[C@@H]2CCOC2)o1 ZINC000280658141 379989482 /nfs/dbraw/zinc/98/94/82/379989482.db2.gz AXISVTKJHYVGDW-ZJUUUORDSA-N -1 1 333.362 -0.011 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@](C)(C(=O)NC)C2)o1 ZINC000280786522 379993552 /nfs/dbraw/zinc/99/35/52/379993552.db2.gz PPVOZLCEMDQBEU-ZDUSSCGKSA-N -1 1 329.378 -0.214 20 0 EBADMM CC[C@H](C)[C@@H](NS(C)(=O)=O)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000539323958 379993925 /nfs/dbraw/zinc/99/39/25/379993925.db2.gz AGYLBKIRLWRBBJ-GARJFASQSA-N -1 1 344.441 -0.130 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]CC(=O)NCC(F)F)c1 ZINC000280972527 380001418 /nfs/dbraw/zinc/00/14/18/380001418.db2.gz LWXXKNNZFROZEA-UHFFFAOYSA-N -1 1 326.277 -0.274 20 0 EBADMM COCCOCCS(=O)(=O)[N-][C@]1(C(=O)OC)CCSC1 ZINC000340073966 380012301 /nfs/dbraw/zinc/01/23/01/380012301.db2.gz JNCQTCJWUWUUSP-LLVKDONJSA-N -1 1 327.424 -0.383 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H](C)C(=O)NCCF ZINC000282060930 380056367 /nfs/dbraw/zinc/05/63/67/380056367.db2.gz PVNTYUPRJLXFSD-SECBINFHSA-N -1 1 337.355 -0.228 20 0 EBADMM Cc1ccc(S(=O)(=O)N[C@H](C(=O)Nc2nnn[n-]2)[C@H](C)O)cc1 ZINC000354737188 380089789 /nfs/dbraw/zinc/08/97/89/380089789.db2.gz IVWDDDINHXGYIC-WPRPVWTQSA-N -1 1 340.365 -0.825 20 0 EBADMM Cc1ccc(S(=O)(=O)N[C@H](C(=O)Nc2nn[n-]n2)[C@H](C)O)cc1 ZINC000354737188 380089794 /nfs/dbraw/zinc/08/97/94/380089794.db2.gz IVWDDDINHXGYIC-WPRPVWTQSA-N -1 1 340.365 -0.825 20 0 EBADMM COC(=O)c1ccc(NC(=O)C(=O)NCc2nn[n-]n2)c(OC)c1 ZINC000614949574 380086110 /nfs/dbraw/zinc/08/61/10/380086110.db2.gz XMZSLFWSEUNNNQ-UHFFFAOYSA-N -1 1 334.292 -0.750 20 0 EBADMM C[C@@H](NC(=O)C1CCCC1)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000354722059 380087737 /nfs/dbraw/zinc/08/77/37/380087737.db2.gz HOTPIZFPDWEYPI-SSDOTTSWSA-N -1 1 329.382 -0.158 20 0 EBADMM C[C@@H](NC(=O)C1CCCC1)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000354722059 380087742 /nfs/dbraw/zinc/08/77/42/380087742.db2.gz HOTPIZFPDWEYPI-SSDOTTSWSA-N -1 1 329.382 -0.158 20 0 EBADMM CC[C@H](C)[C@@H](O)CNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000355103496 380144392 /nfs/dbraw/zinc/14/43/92/380144392.db2.gz YYQQSOCLMPQRNN-IUCAKERBSA-N -1 1 347.393 -0.820 20 0 EBADMM O=C(CNC(=O)c1ccccn1)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000282855703 380092085 /nfs/dbraw/zinc/09/20/85/380092085.db2.gz GTXQSHOHPQUIRG-JTQLQIEISA-N -1 1 330.348 -0.371 20 0 EBADMM O=C(CNS(=O)(=O)c1c(F)cccc1F)NCc1n[nH]c(=O)[n-]1 ZINC000354928859 380117543 /nfs/dbraw/zinc/11/75/43/380117543.db2.gz YRCVCYYARLAKSW-UHFFFAOYSA-N -1 1 347.303 -0.617 20 0 EBADMM C[C@@](O)(Cn1ccccc1=O)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000547737060 380161050 /nfs/dbraw/zinc/16/10/50/380161050.db2.gz VKRFWHNZGYUZRQ-IAQYHMDHSA-N -1 1 332.364 -0.481 20 0 EBADMM C[C@H]1CN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)[C@@H](C)CO1 ZINC000355367078 380189637 /nfs/dbraw/zinc/18/96/37/380189637.db2.gz IMIXYHBRIONQDN-IUCAKERBSA-N -1 1 345.377 -0.707 20 0 EBADMM CN(Cc1ccco1)C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000355383187 380193048 /nfs/dbraw/zinc/19/30/48/380193048.db2.gz LANQGAGHYPGYLE-UHFFFAOYSA-N -1 1 341.345 -0.092 20 0 EBADMM Cn1c(=O)[nH]c(=O)c2cc(S(=O)(=O)[N-]CC(F)(F)F)cnc21 ZINC000284026772 380160083 /nfs/dbraw/zinc/16/00/83/380160083.db2.gz JXOWULVDDKOKQE-UHFFFAOYSA-N -1 1 338.267 -0.125 20 0 EBADMM Cc1cnc(N)c(S(=O)(=O)N[C@H](Cc2cnc[nH]2)C(=O)[O-])c1 ZINC000548526631 380211580 /nfs/dbraw/zinc/21/15/80/380211580.db2.gz QGHPEJGSBBSZIT-SECBINFHSA-N -1 1 325.350 -0.330 20 0 EBADMM COC(=O)C[C@@]1(NC(=O)CNC(=O)c2ncccc2[O-])CCOC1 ZINC000362039383 380366642 /nfs/dbraw/zinc/36/66/42/380366642.db2.gz SESAYDOHOKLLOO-HNNXBMFYSA-N -1 1 337.332 -0.645 20 0 EBADMM Cn1[n-]cc2/c(=N\C(=O)N3CCN(S(C)(=O)=O)CC3)ccnc1-2 ZINC000287669923 380367848 /nfs/dbraw/zinc/36/78/48/380367848.db2.gz QCJIFINHPUVKMM-WJDWOHSUSA-N -1 1 338.393 -0.549 20 0 EBADMM CCN1CCN(C(=O)C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)[C@H](C)C1 ZINC000287684848 380369908 /nfs/dbraw/zinc/36/99/08/380369908.db2.gz CEXCIGJAHASREO-ZNCULLJESA-N -1 1 330.392 -0.167 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H]2CCCS2)o1 ZINC000362558442 380410150 /nfs/dbraw/zinc/41/01/50/380410150.db2.gz SHGUBPCYQQCLQL-MRVPVSSYSA-N -1 1 347.418 -0.331 20 0 EBADMM O=C(c1cnc(OCC2CC2)cn1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000367527304 380472631 /nfs/dbraw/zinc/47/26/31/380472631.db2.gz ZIZUIQFLKXOCIO-LLVKDONJSA-N -1 1 331.336 -0.008 20 0 EBADMM COCCCO[N-]C(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000367776555 380482983 /nfs/dbraw/zinc/48/29/83/380482983.db2.gz NPPNWBCWGRSMLT-CYBMUJFWSA-N -1 1 329.397 -0.749 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CC[C@@H]2C(N)=O)c1 ZINC000568210102 380583165 /nfs/dbraw/zinc/58/31/65/380583165.db2.gz OQECAHNLLKYSOK-IUCAKERBSA-N -1 1 327.362 -0.250 20 0 EBADMM COCC(=O)N1CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000340609444 380567231 /nfs/dbraw/zinc/56/72/31/380567231.db2.gz VASZHMCTUGDHMN-UHFFFAOYSA-N -1 1 330.344 -0.146 20 0 EBADMM C[C@H](C(=O)N(C)C)S(=O)(=O)[N-]c1ccc2n[nH]c(C(N)=O)c2c1 ZINC000340635284 380575314 /nfs/dbraw/zinc/57/53/14/380575314.db2.gz CVZRVRTYHONDQP-SSDOTTSWSA-N -1 1 339.377 -0.120 20 0 EBADMM CCC[C@H](NC(=O)Cn1nc2n(c1=O)CCCCC2)c1nn[n-]n1 ZINC000370220902 380595779 /nfs/dbraw/zinc/59/57/79/380595779.db2.gz RSMOCPPVQZITME-JTQLQIEISA-N -1 1 334.384 -0.058 20 0 EBADMM O=S(=O)([N-]C[C@@]1(O)CCS(=O)(=O)C1)c1cc(F)ccc1F ZINC000573268088 380666917 /nfs/dbraw/zinc/66/69/17/380666917.db2.gz ZHBSHEDWDGLCSC-NSHDSACASA-N -1 1 341.357 -0.207 20 0 EBADMM C[C@H](C1CC1)N(C)C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000573297472 380667098 /nfs/dbraw/zinc/66/70/98/380667098.db2.gz CZGUDXAWBSHIDH-MRVPVSSYSA-N -1 1 329.378 -0.086 20 0 EBADMM CCCC[C@H](C(=O)[O-])N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000574578628 380716925 /nfs/dbraw/zinc/71/69/25/380716925.db2.gz RPKUZTYOUFLLSO-CYBMUJFWSA-N -1 1 349.453 -0.176 20 0 EBADMM CO[C@@H](C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)CC(C)C ZINC000416650724 380791568 /nfs/dbraw/zinc/79/15/68/380791568.db2.gz QWRAQODGZJQKTC-SNVBAGLBSA-N -1 1 333.410 -0.577 20 0 EBADMM COC(=O)CC1(CS(=O)(=O)N(CCN(C)C)CC(=O)[O-])CC1 ZINC000535499710 380804827 /nfs/dbraw/zinc/80/48/27/380804827.db2.gz YCWHOGHXQXJCTD-UHFFFAOYSA-N -1 1 336.410 -0.392 20 0 EBADMM COC(=O)N[C@@H](CC(C)C)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000375790514 380870473 /nfs/dbraw/zinc/87/04/73/380870473.db2.gz DKDGOXMJWJXKKV-UWVGGRQHSA-N -1 1 326.357 -0.130 20 0 EBADMM CN(C)S(=O)(=O)c1c[nH]c(C(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000577558696 380828455 /nfs/dbraw/zinc/82/84/55/380828455.db2.gz JILQURDPBFMHGB-UHFFFAOYSA-N -1 1 325.354 -0.803 20 0 EBADMM COC(=O)C[C@@H]([N-]S(=O)(=O)N1CCOC(C)(C)C1)C(=O)OC ZINC000330692212 380832657 /nfs/dbraw/zinc/83/26/57/380832657.db2.gz ZQMAYQLXGYFSFK-SECBINFHSA-N -1 1 338.382 -0.964 20 0 EBADMM O=S(=O)(CCCS(=O)(=O)N1CCCCO1)[N-]CC(F)F ZINC000349455824 380879306 /nfs/dbraw/zinc/87/93/06/380879306.db2.gz CUZXPRFZBYXIAY-UHFFFAOYSA-N -1 1 336.382 -0.082 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@@H]3CCC[C@H]3[C@H]2C(N)=O)co1 ZINC000375495933 380852385 /nfs/dbraw/zinc/85/23/85/380852385.db2.gz OKGJZWLQLNHMRF-XRNSZHNASA-N -1 1 341.389 -0.086 20 0 EBADMM Cc1ccn2c(c1)nnc2[N-]S(=O)(=O)CCCCS(N)(=O)=O ZINC000349613264 380893561 /nfs/dbraw/zinc/89/35/61/380893561.db2.gz WMOQPNFQZVTPNQ-UHFFFAOYSA-N -1 1 347.422 -0.152 20 0 EBADMM CSCCCNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000346675840 381098575 /nfs/dbraw/zinc/09/85/75/381098575.db2.gz JPZBNIYPEGUIKT-UHFFFAOYSA-N -1 1 335.407 -0.474 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCOc2ccccc2)c(=O)n(C)c1=O ZINC000015329092 381114545 /nfs/dbraw/zinc/11/45/45/381114545.db2.gz GSEGXYIVCYNAJX-UHFFFAOYSA-N -1 1 339.373 -0.559 20 0 EBADMM COC(=O)[C@H](CN1CCOCC1)[N-]S(=O)(=O)Cc1ccccc1 ZINC000583805723 381142459 /nfs/dbraw/zinc/14/24/59/381142459.db2.gz BPVXJFDLTPLHGJ-AWEZNQCLSA-N -1 1 342.417 -0.020 20 0 EBADMM COc1ccc(C=CC(=O)N[C@H](C)C(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000029020025 381169990 /nfs/dbraw/zinc/16/99/90/381169990.db2.gz WEFQOUAISFAJHH-VHODGJRUSA-N -1 1 346.343 -0.204 20 0 EBADMM COCC(=O)N(C)CC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000347727687 381254485 /nfs/dbraw/zinc/25/44/85/381254485.db2.gz XJXYXTUUKAVUMV-UHFFFAOYSA-N -1 1 331.350 -0.917 20 0 EBADMM CS(=O)(=O)N1CC[C@@H](CN2CCC[C@H](c3n[nH]c(=O)[n-]3)C2)C1 ZINC000564092090 381324076 /nfs/dbraw/zinc/32/40/76/381324076.db2.gz GAETUVWJSZEZGZ-QWRGUYRKSA-N -1 1 329.426 -0.029 20 0 EBADMM CCOC(=O)c1cnn2c1nccc2C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348276117 381352805 /nfs/dbraw/zinc/35/28/05/381352805.db2.gz NRHSQSBYXWTMOS-UHFFFAOYSA-N -1 1 342.319 -0.162 20 0 EBADMM CS(=O)(=O)c1nc(C(=O)NC2(c3nn[n-]n3)CC2)c2ccccn21 ZINC000348295190 381358840 /nfs/dbraw/zinc/35/88/40/381358840.db2.gz VPFZRKTXLKAVTP-UHFFFAOYSA-N -1 1 347.360 -0.330 20 0 EBADMM COC(=O)C1(CS(=O)(=O)[N-][C@H]2CC(=O)N(C)C2=O)CCCC1 ZINC000349933205 381494975 /nfs/dbraw/zinc/49/49/75/381494975.db2.gz QDSOZZMTGYETFM-VIFPVBQESA-N -1 1 332.378 -0.604 20 0 EBADMM C[C@H]1CCCC[C@]12NC(=O)N(CC(=O)NN1CC(=O)[N-]C1=O)C2=O ZINC000053286052 381659155 /nfs/dbraw/zinc/65/91/55/381659155.db2.gz ZVEWEKUQYGFLHX-RTHLEPHNSA-N -1 1 337.336 -0.930 20 0 EBADMM CC(=O)NCC[N-]S(=O)(=O)c1nc(C)n(C)c1Br ZINC000286536035 381665833 /nfs/dbraw/zinc/66/58/33/381665833.db2.gz OIHMTCLLLXPQFB-UHFFFAOYSA-N -1 1 339.215 -0.095 20 0 EBADMM COCCN1C[C@H](C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)CC1=O ZINC000351851722 381685550 /nfs/dbraw/zinc/68/55/50/381685550.db2.gz JQLVZTXDELYMEL-LLVKDONJSA-N -1 1 338.364 -0.024 20 0 EBADMM O=C(CNS(=O)(=O)c1ccccc1F)NC1(c2nn[n-]n2)CC1 ZINC000572450016 381733821 /nfs/dbraw/zinc/73/38/21/381733821.db2.gz OAEXQBRKPJGORY-UHFFFAOYSA-N -1 1 340.340 -0.577 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1nncn1C1CC1 ZINC000352064039 381865342 /nfs/dbraw/zinc/86/53/42/381865342.db2.gz SSQTZPCYPDUYRJ-UHFFFAOYSA-N -1 1 340.365 -0.009 20 0 EBADMM CCNC(=O)C1CN(C(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000121401966 381872576 /nfs/dbraw/zinc/87/25/76/381872576.db2.gz HWDPHIULFOYNHX-UHFFFAOYSA-N -1 1 331.376 -0.224 20 0 EBADMM C[C@H](NC(=O)CNC(=O)c1ncccc1[O-])c1nnc2n1CCC2 ZINC000352534627 381996802 /nfs/dbraw/zinc/99/68/02/381996802.db2.gz XXKBECPQSUAPST-VIFPVBQESA-N -1 1 330.348 -0.068 20 0 EBADMM NC(=O)[C@H](CO)[N-]S(=O)(=O)c1sccc1Br ZINC000352603304 382008843 /nfs/dbraw/zinc/00/88/43/382008843.db2.gz HYTYAEBJUQGUAH-YFKPBYRVSA-N -1 1 329.197 -0.365 20 0 EBADMM COC(=O)[C@@H](CO)NC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000352696449 382019679 /nfs/dbraw/zinc/01/96/79/382019679.db2.gz MMBJFQUPNIUXFA-MRVPVSSYSA-N -1 1 348.377 -0.380 20 0 EBADMM COc1ccccc1COC[C@@H](O)C[N@H+]1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000352771832 382031020 /nfs/dbraw/zinc/03/10/20/382031020.db2.gz OAPNDASIWQLQJN-KBPBESRZSA-N -1 1 349.387 -0.191 20 0 EBADMM Cc1cnc(SCC(=O)N(C)CC(=O)N2CCOCC2)[n-]c1=O ZINC000572498390 382138213 /nfs/dbraw/zinc/13/82/13/382138213.db2.gz QSZSQJNCMDDVFT-UHFFFAOYSA-N -1 1 340.405 -0.100 20 0 EBADMM C/C=C\C[C@H](CO)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000354243465 382221451 /nfs/dbraw/zinc/22/14/51/382221451.db2.gz WJIJUKAMJHRDOI-PKRMOACSSA-N -1 1 345.377 -0.900 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@@H]2C(=O)N(C)C)o1 ZINC000299221940 155148724 /nfs/dbraw/zinc/14/87/24/155148724.db2.gz MLCSTPDBTVOSCM-SECBINFHSA-N -1 1 329.378 -0.120 20 0 EBADMM C[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C(=O)OC(C)(C)C ZINC000321987930 155181173 /nfs/dbraw/zinc/18/11/73/155181173.db2.gz VHOZJGCEWZNBPW-MRVPVSSYSA-N -1 1 347.393 -0.908 20 0 EBADMM Cn1[n-]c(CN2CCN(CC(=O)N3CCCCCC3)CC2)nc1=O ZINC000329289570 155218257 /nfs/dbraw/zinc/21/82/57/155218257.db2.gz QCQVIIKVXHUPKH-UHFFFAOYSA-N -1 1 336.440 -0.371 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2CCCCS2)c(=O)n(C)c1=O ZINC000329665152 155235244 /nfs/dbraw/zinc/23/52/44/155235244.db2.gz QPPWRRZROPFOPI-VIFPVBQESA-N -1 1 333.435 -0.352 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@H](OCCO)C2)co1 ZINC000330481738 155275316 /nfs/dbraw/zinc/27/53/16/155275316.db2.gz VCGYVCMWRNXFIR-NSHDSACASA-N -1 1 332.378 -0.199 20 0 EBADMM Cc1nc(C)c(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)s1 ZINC000330890697 155318309 /nfs/dbraw/zinc/31/83/09/155318309.db2.gz JMPLOZOZACIUML-UHFFFAOYSA-N -1 1 344.418 -0.364 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@@H](OCCO)C2)o1 ZINC000331221595 155351365 /nfs/dbraw/zinc/35/13/65/155351365.db2.gz CBSHORYLBZRIBE-SNVBAGLBSA-N -1 1 332.378 -0.199 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@H]1CN2CCN1CC2 ZINC000178779750 154120815 /nfs/dbraw/zinc/12/08/15/154120815.db2.gz LHRQWPBNVWWVJL-ZDUSSCGKSA-N -1 1 344.419 -0.702 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCCc2ccccn2)c(=O)n(C)c1=O ZINC000178910604 154121601 /nfs/dbraw/zinc/12/16/01/154121601.db2.gz AKMLGEFJXHYYAW-UHFFFAOYSA-N -1 1 338.389 -0.610 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCC(=O)NCC1CC1 ZINC000180903189 154128063 /nfs/dbraw/zinc/12/80/63/154128063.db2.gz JOKOQQLVLBMLPG-UHFFFAOYSA-N -1 1 331.376 -0.176 20 0 EBADMM C[C@@H](CN(C)C(=O)CN1CCN(c2ccccn2)CC1)c1nn[n-]n1 ZINC000183414269 154135563 /nfs/dbraw/zinc/13/55/63/154135563.db2.gz JFTNEBHHMHYDGZ-ZDUSSCGKSA-N -1 1 344.423 -0.021 20 0 EBADMM CCC[C@@H](NCC(=O)N1CCN(CC(=O)N(C)C)CC1)C(=O)[O-] ZINC000262272728 154221684 /nfs/dbraw/zinc/22/16/84/154221684.db2.gz ITCGGFXHSZVXTH-GFCCVEGCSA-N -1 1 328.413 -0.938 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)CCN2CCOCC2)o1 ZINC000263849621 154265836 /nfs/dbraw/zinc/26/58/36/154265836.db2.gz GDKVZYRUGQGIRH-UHFFFAOYSA-N -1 1 331.394 -0.408 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(CCOC)CC(=O)N(C)C)o1 ZINC000263970496 154270062 /nfs/dbraw/zinc/27/00/62/154270062.db2.gz VGZOQCMFAKIOOJ-UHFFFAOYSA-N -1 1 347.393 -0.636 20 0 EBADMM CCO[C@@H](CC[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C(C)C ZINC000266817137 154355938 /nfs/dbraw/zinc/35/59/38/154355938.db2.gz YDHCDZMYALRBFO-NSHDSACASA-N -1 1 347.437 -0.187 20 0 EBADMM COCCNC(=O)Cn1cc([N-]S(=O)(=O)CCOC(C)C)cn1 ZINC000267392651 154374517 /nfs/dbraw/zinc/37/45/17/154374517.db2.gz WDAOIMOCZBRXEP-UHFFFAOYSA-N -1 1 348.425 -0.188 20 0 EBADMM COC(=O)c1c[n-]c(S(=O)(=O)[C@@H](C)C(=O)NC[C@H]2CCCO2)n1 ZINC000279700229 154749709 /nfs/dbraw/zinc/74/97/09/154749709.db2.gz VVRCEQWDDKVPFN-DTWKUNHWSA-N -1 1 345.377 -0.346 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CN2CCOCC2)c1 ZINC000292363087 155032763 /nfs/dbraw/zinc/03/27/63/155032763.db2.gz XHPDPMGOYGDFSM-UHFFFAOYSA-N -1 1 329.378 -0.429 20 0 EBADMM COC(=O)[C@H](C)CS(=O)(=O)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000293151070 155042922 /nfs/dbraw/zinc/04/29/22/155042922.db2.gz QYOHZVBCPYLMJF-RKDXNWHRSA-N -1 1 332.382 -0.584 20 0 EBADMM O=S(=O)(c1ccc(SCc2nn[n-]n2)nc1)N1CCOCC1 ZINC000298317716 155108355 /nfs/dbraw/zinc/10/83/55/155108355.db2.gz QQFWAGCDHJDECU-UHFFFAOYSA-N -1 1 342.406 -0.092 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCCC(=O)NC1CC1 ZINC000298516339 155113535 /nfs/dbraw/zinc/11/35/35/155113535.db2.gz ZLDLEWQFWUGHSC-UHFFFAOYSA-N -1 1 344.393 -0.077 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H](C(N)=O)CC[C@H]2C)o1 ZINC000341867534 155989084 /nfs/dbraw/zinc/98/90/84/155989084.db2.gz KSNRLZQBLCOAFC-RKDXNWHRSA-N -1 1 329.378 -0.086 20 0 EBADMM C[C@@H](NC(=O)CNC(=O)c1ncccc1[O-])C1(S(C)(=O)=O)CC1 ZINC000342685099 156050510 /nfs/dbraw/zinc/05/05/10/156050510.db2.gz BORMDRVKJLOTFY-SECBINFHSA-N -1 1 341.389 -0.401 20 0 EBADMM C[C@H](NC(=O)CNC(=O)c1ncccc1[O-])C1(S(C)(=O)=O)CC1 ZINC000342685100 156050935 /nfs/dbraw/zinc/05/09/35/156050935.db2.gz BORMDRVKJLOTFY-VIFPVBQESA-N -1 1 341.389 -0.401 20 0 EBADMM CC(C)C(=O)N1CCN(C(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000343044681 156090370 /nfs/dbraw/zinc/09/03/70/156090370.db2.gz WQOCRCXPMVNENZ-UHFFFAOYSA-N -1 1 334.376 -0.156 20 0 EBADMM NC(=O)[C@@H]1CCCN(C(=O)CN2CCC(c3n[nH]c(=O)[n-]3)CC2)C1 ZINC000343725565 156160356 /nfs/dbraw/zinc/16/03/56/156160356.db2.gz GCGVVEUZCYHPKM-LLVKDONJSA-N -1 1 336.396 -0.586 20 0 EBADMM C[C@@H]1[C@H](C)[S@](=O)CCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000343717904 156161124 /nfs/dbraw/zinc/16/11/24/156161124.db2.gz QYOUWVQNPNJMLZ-BNJANLQDSA-N -1 1 325.390 -0.115 20 0 EBADMM C[C@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])CCS1(=O)=O ZINC000343717546 156161402 /nfs/dbraw/zinc/16/14/02/156161402.db2.gz GUKATLWTUJGXLV-VIFPVBQESA-N -1 1 327.362 -0.838 20 0 EBADMM COC(=O)[C@H](O)CNC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000345291244 156319385 /nfs/dbraw/zinc/31/93/85/156319385.db2.gz HPPRGZFSEUBNBA-LLVKDONJSA-N -1 1 336.348 -0.366 20 0 EBADMM CCO[C@H](C)C(=O)NCC[N-]S(=O)(=O)c1sc(=O)[nH]c1C ZINC000345448227 156334531 /nfs/dbraw/zinc/33/45/31/156334531.db2.gz NGIACEIVMGZASN-MRVPVSSYSA-N -1 1 337.423 -0.023 20 0 EBADMM CN1C[C@H]2[C@@H](CCCN2C(=O)CNC(=O)c2ncccc2[O-])C1=O ZINC000345558942 156345247 /nfs/dbraw/zinc/34/52/47/156345247.db2.gz RHFVCAWMQRBIOR-MNOVXSKESA-N -1 1 332.360 -0.404 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CCC[C@H]2C(=O)NC)o1 ZINC000345816816 156364120 /nfs/dbraw/zinc/36/41/20/156364120.db2.gz UQVPWUVCMOJNLI-BDAKNGLRSA-N -1 1 329.378 -0.168 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CCC[C@@H]2C(=O)NC)co1 ZINC000345818701 156364290 /nfs/dbraw/zinc/36/42/90/156364290.db2.gz WDDIBEGWEFNABP-VHSXEESVSA-N -1 1 329.378 -0.168 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NCC2(O)CCCC2)o1 ZINC000346128484 156387827 /nfs/dbraw/zinc/38/78/27/156387827.db2.gz KXSBQRIGJBIDBK-UHFFFAOYSA-N -1 1 345.377 -0.922 20 0 EBADMM C[C@H](NC(N)=O)C(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-] ZINC000356382756 157062755 /nfs/dbraw/zinc/06/27/55/157062755.db2.gz WMBHJXLBGUOPEM-ZETCQYMHSA-N -1 1 342.377 -0.172 20 0 EBADMM CNC(=O)NCC(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-] ZINC000356408565 157064672 /nfs/dbraw/zinc/06/46/72/157064672.db2.gz GKBMXORXURRFKW-UHFFFAOYSA-N -1 1 342.377 -0.300 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H](O)COCC(C)C)o1 ZINC000356533577 157075101 /nfs/dbraw/zinc/07/51/01/157075101.db2.gz MTMDTVOEYQKFGA-SNVBAGLBSA-N -1 1 334.394 -0.049 20 0 EBADMM CNC(=O)Cn1cc([N-]S(=O)(=O)CCOCC(F)(F)F)cn1 ZINC000357032401 157114058 /nfs/dbraw/zinc/11/40/58/157114058.db2.gz WOTQGBBRMRXQBF-UHFFFAOYSA-N -1 1 344.315 -0.050 20 0 EBADMM COc1cc(NC(=O)NC(C)(CO)CO)ccc1[N-]S(C)(=O)=O ZINC000357062898 157117043 /nfs/dbraw/zinc/11/70/43/157117043.db2.gz YXRSVZXCSOCEDL-UHFFFAOYSA-N -1 1 347.393 -0.069 20 0 EBADMM NC(=O)c1ccc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])cn1 ZINC000357093264 157119351 /nfs/dbraw/zinc/11/93/51/157119351.db2.gz KVIWPDZFFNHDFD-UHFFFAOYSA-N -1 1 336.329 -0.214 20 0 EBADMM CN1C(=O)C[C@H](C(=O)NC2(c3nn[n-]n3)CC2)[C@@H]1c1cccnc1 ZINC000357098621 157119417 /nfs/dbraw/zinc/11/94/17/157119417.db2.gz UCGXRRUKAHEHNT-JQWIXIFHSA-N -1 1 327.348 -0.080 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H](CO)Cc2cccnc2)o1 ZINC000357276073 157132252 /nfs/dbraw/zinc/13/22/52/157132252.db2.gz VQAGBEKTOYVNKM-LLVKDONJSA-N -1 1 339.373 -0.084 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)CN2Cc3ccccc3C2=O)n1 ZINC000358249427 157228507 /nfs/dbraw/zinc/22/85/07/157228507.db2.gz NOGLUAOYCPLWGK-UHFFFAOYSA-N -1 1 335.345 -0.197 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)CN2Cc3ccccc3C2=O)[n-]1 ZINC000358249427 157228514 /nfs/dbraw/zinc/22/85/14/157228514.db2.gz NOGLUAOYCPLWGK-UHFFFAOYSA-N -1 1 335.345 -0.197 20 0 EBADMM CC[N@H+]1CCC[C@H]1CN1C[C@@H](C(=O)NCc2n[nH]c(=O)[n-]2)CC1=O ZINC000359270520 157308315 /nfs/dbraw/zinc/30/83/15/157308315.db2.gz KLJXXDZFAQNMHO-QWRGUYRKSA-N -1 1 336.396 -0.541 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2ccc(=O)n(C)n2)c1 ZINC000359680843 157336801 /nfs/dbraw/zinc/33/68/01/157336801.db2.gz OYEPDNGGCMGQLB-UHFFFAOYSA-N -1 1 338.345 -0.354 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2nnn(C)c2C)c1 ZINC000359700327 157338194 /nfs/dbraw/zinc/33/81/94/157338194.db2.gz PBMIKZCAWUQRDB-UHFFFAOYSA-N -1 1 325.350 -0.010 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NCCc2ccco2)o1 ZINC000359738702 157339992 /nfs/dbraw/zinc/33/99/92/157339992.db2.gz JJQHTEAPWWMCJJ-UHFFFAOYSA-N -1 1 341.345 -0.391 20 0 EBADMM C[C@H](NC(=O)c1n[nH]c2ccccc21)C(=O)NCc1n[nH]c(=O)[n-]1 ZINC000359836510 157346007 /nfs/dbraw/zinc/34/60/07/157346007.db2.gz ARFMQXODRLCWPN-ZETCQYMHSA-N -1 1 329.320 -0.179 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1ncnn1CC ZINC000360345729 157374909 /nfs/dbraw/zinc/37/49/09/157374909.db2.gz NADYVLRJGOYCIB-UHFFFAOYSA-N -1 1 328.354 -0.324 20 0 EBADMM CO[C@@H]1[C@@H](C)[C@H]([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)C1(C)C ZINC000271750698 419088559 /nfs/dbraw/zinc/08/85/59/419088559.db2.gz BSHKWKGGELMNFD-INTQDDNPSA-N -1 1 345.421 -0.578 20 0 EBADMM COc1ccc(N2C(=O)C[C@H](NCc3nn[n-]n3)C2=O)cc1OC ZINC000653285526 419121259 /nfs/dbraw/zinc/12/12/59/419121259.db2.gz QUQOPXDDBLTZRZ-VIFPVBQESA-N -1 1 332.320 -0.361 20 0 EBADMM CC(C)(CN1CCOCC1)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000413960266 419313525 /nfs/dbraw/zinc/31/35/25/419313525.db2.gz PTRLYCTYTPTHOH-UHFFFAOYSA-N -1 1 336.392 -0.256 20 0 EBADMM NS(=O)(=O)CCN1CCN(C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000288207552 419340431 /nfs/dbraw/zinc/34/04/31/419340431.db2.gz UHVXWKLAZHFKNY-UHFFFAOYSA-N -1 1 341.389 -0.992 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCCC(=O)NC2CC2)o1 ZINC000298757809 419369767 /nfs/dbraw/zinc/36/97/67/419369767.db2.gz AXWYNTFQDUPATH-UHFFFAOYSA-N -1 1 329.378 -0.024 20 0 EBADMM COC[C@@H](CC(C)(C)C)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000655650703 419471059 /nfs/dbraw/zinc/47/10/59/419471059.db2.gz DAZYRMVPQCDFNW-SNVBAGLBSA-N -1 1 347.437 -0.187 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H](NS(C)(=O)=O)C(C)(C)C)c1nn[n-]n1 ZINC000352455082 419599193 /nfs/dbraw/zinc/59/91/93/419599193.db2.gz XDZSKPRZKZVZBF-BDAKNGLRSA-N -1 1 332.430 -0.275 20 0 EBADMM COC(C)(C)CN(C)C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000532337678 419603299 /nfs/dbraw/zinc/60/32/99/419603299.db2.gz BJQDYXPNNSQJNM-UHFFFAOYSA-N -1 1 347.393 -0.460 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H]3CCC[C@H]3[C@H]2C(N)=O)o1 ZINC000375511359 419734738 /nfs/dbraw/zinc/73/47/38/419734738.db2.gz GBIQSAJHXDADLA-SBMIAAHKSA-N -1 1 341.389 -0.086 20 0 EBADMM COc1ccc2c(c1)[nH]nc2NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000447257580 420552369 /nfs/dbraw/zinc/55/23/69/420552369.db2.gz OQJNMYVZXHROLY-UHFFFAOYSA-N -1 1 325.288 0.555 20 0 EBADMM Cn1cc(C=CC(=O)NC2(c3nn[n-]n3)CCCC2)c(=O)n(C)c1=O ZINC000491859204 420564428 /nfs/dbraw/zinc/56/44/28/420564428.db2.gz LLUHJNCCMXWVDV-WAYWQWQTSA-N -1 1 345.363 -0.804 20 0 EBADMM CNS(=O)(=O)c1cc(C=CC(=O)Nc2nnn[n-]2)ccc1OC ZINC000491882757 420565673 /nfs/dbraw/zinc/56/56/73/420565673.db2.gz JLIJXCXJDJVZAI-XQRVVYSFSA-N -1 1 338.349 -0.232 20 0 EBADMM CNS(=O)(=O)c1cc(C=CC(=O)Nc2nn[n-]n2)ccc1OC ZINC000491882757 420565676 /nfs/dbraw/zinc/56/56/76/420565676.db2.gz JLIJXCXJDJVZAI-XQRVVYSFSA-N -1 1 338.349 -0.232 20 0 EBADMM CC(C)(C)OC(=O)N1C[C@H](O)C[C@H]1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000492255417 420572732 /nfs/dbraw/zinc/57/27/32/420572732.db2.gz BXEDWLREOPGATL-BDAKNGLRSA-N -1 1 338.368 -0.325 20 0 EBADMM CC(C)(C)OC(=O)NCC(=O)NCC(=O)NC(C)(C)c1nn[n-]n1 ZINC000494094817 420584645 /nfs/dbraw/zinc/58/46/45/420584645.db2.gz OQKAECFSSDQFRA-UHFFFAOYSA-N -1 1 341.372 -0.808 20 0 EBADMM CCOC(=O)NCCC(=O)OCCc1c(C)nc2nc[n-]n2c1=O ZINC000495302308 420597225 /nfs/dbraw/zinc/59/72/25/420597225.db2.gz PAWWAVPHLJMUIZ-UHFFFAOYSA-N -1 1 337.336 -0.052 20 0 EBADMM COCC(=O)N1CCC([N-]S(=O)(=O)c2c(C)onc2N)CC1 ZINC000574121279 420621026 /nfs/dbraw/zinc/62/10/26/420621026.db2.gz QVWVZOYZKWXONY-UHFFFAOYSA-N -1 1 332.382 -0.519 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CCNC(=O)OC)c1 ZINC000496388951 420632426 /nfs/dbraw/zinc/63/24/26/420632426.db2.gz ZVXNTTYDBWXJFW-UHFFFAOYSA-N -1 1 331.350 -0.015 20 0 EBADMM Cc1nn(C)c(C)c1[C@@H](NS(=O)(=O)c1c(N)noc1C)C(=O)[O-] ZINC000580453183 420652674 /nfs/dbraw/zinc/65/26/74/420652674.db2.gz QRBGZDUKWSWJGV-SECBINFHSA-N -1 1 343.365 0.020 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1cccc(S(N)(=O)=O)c1 ZINC000558433381 420660470 /nfs/dbraw/zinc/66/04/70/420660470.db2.gz YEWNBWIVPIOVQL-UHFFFAOYSA-N -1 1 346.390 -0.309 20 0 EBADMM O=C(CN1C(=O)CCC1=O)N1CCN(Cc2ncccc2[O-])CC1 ZINC000517624542 420701830 /nfs/dbraw/zinc/70/18/30/420701830.db2.gz WRFBRBIOXXDNBI-UHFFFAOYSA-N -1 1 332.360 -0.420 20 0 EBADMM CCc1nncn1CCNC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000525340969 420741051 /nfs/dbraw/zinc/74/10/51/420741051.db2.gz PQCSBRZFDDWISA-UHFFFAOYSA-N -1 1 327.366 -0.228 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CC[C@H]3C[C@H]3C2)o1 ZINC000649168874 420789398 /nfs/dbraw/zinc/78/93/98/420789398.db2.gz JONRJVXBTBBRPH-LPEHRKFASA-N -1 1 341.389 -0.038 20 0 EBADMM CN1CC[C@@H](C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)S1(=O)=O ZINC000649210042 420791291 /nfs/dbraw/zinc/79/12/91/420791291.db2.gz GNZNVNYSUCMJHX-QWRGUYRKSA-N -1 1 336.377 -0.561 20 0 EBADMM NC(=O)COCC[N-]S(=O)(=O)c1ncccc1Br ZINC000656934680 420904997 /nfs/dbraw/zinc/90/49/97/420904997.db2.gz KXPGHQSCIAHRPR-UHFFFAOYSA-N -1 1 338.183 -0.376 20 0 EBADMM C[C@H]1CCC[C@@H](C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)O1 ZINC000657154567 420920321 /nfs/dbraw/zinc/92/03/21/420920321.db2.gz AHSDNVCRVJLOEI-UWVGGRQHSA-N -1 1 331.394 -0.680 20 0 EBADMM CC(C)O[C@]1(CS(=O)(=O)[N-][C@]2(C(N)=O)CCOC2)CCOC1 ZINC000659989866 421036362 /nfs/dbraw/zinc/03/63/62/421036362.db2.gz VDSVESIMJKSOIV-CHWSQXEVSA-N -1 1 336.410 -0.866 20 0 EBADMM CC(C)O[C@]1(CS(=O)(=O)[N-][C@@]2(C(N)=O)CCOC2)CCOC1 ZINC000659989867 421037078 /nfs/dbraw/zinc/03/70/78/421037078.db2.gz VDSVESIMJKSOIV-OLZOCXBDSA-N -1 1 336.410 -0.866 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000664343160 421154468 /nfs/dbraw/zinc/15/44/68/421154468.db2.gz WHZYDGUSAWQBRJ-WDEREUQCSA-N -1 1 337.357 -0.535 20 0 EBADMM Cn1c(=O)oc2cc(CN3Cc4n[nH]c(=O)n4C[C@H]3C(=O)[O-])ccc21 ZINC000424419027 265060993 /nfs/dbraw/zinc/06/09/93/265060993.db2.gz ZPWKAIOCPJUJPC-JTQLQIEISA-N -1 1 345.315 -0.102 20 0 EBADMM O=C([O-])[C@@H]1CN(C(=O)N[C@H]2CCCc3cn[nH]c32)CCS1(=O)=O ZINC000424411950 265061483 /nfs/dbraw/zinc/06/14/83/265061483.db2.gz PKMCHAHHZSQMGQ-UWVGGRQHSA-N -1 1 342.377 -0.320 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2CCC(=O)N(C)C2=O)c1 ZINC000425226961 265086553 /nfs/dbraw/zinc/08/65/53/265086553.db2.gz QWGJWBXPFZPMJQ-MRVPVSSYSA-N -1 1 330.318 -0.508 20 0 EBADMM CC(C)NS(=O)(=O)c1c[nH]c(C(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000430319165 265147951 /nfs/dbraw/zinc/14/79/51/265147951.db2.gz VHKZJCHEWRVOBN-UHFFFAOYSA-N -1 1 339.381 -0.366 20 0 EBADMM Cc1ccc(-c2nnn(CC(=O)NC3(c4nn[n-]n4)CC3)n2)cc1 ZINC000430324444 265148148 /nfs/dbraw/zinc/14/81/48/265148148.db2.gz VCSTYLUWOBQKMD-UHFFFAOYSA-N -1 1 325.336 -0.033 20 0 EBADMM C[C@H](NC(=O)COc1ccccc1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000430324604 265148267 /nfs/dbraw/zinc/14/82/67/265148267.db2.gz HPXUELZVYTVUQL-JTQLQIEISA-N -1 1 330.348 -0.111 20 0 EBADMM C[C@@H]1CCN(C(=O)CNC(=O)c2ncccc2[O-])CCS1(=O)=O ZINC000430388657 265148368 /nfs/dbraw/zinc/14/83/68/265148368.db2.gz YRUCRPARTQCIKR-SNVBAGLBSA-N -1 1 341.389 -0.447 20 0 EBADMM C[C@@H](NC(=O)COc1ccccc1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000430324605 265148375 /nfs/dbraw/zinc/14/83/75/265148375.db2.gz HPXUELZVYTVUQL-SNVBAGLBSA-N -1 1 330.348 -0.111 20 0 EBADMM CC(C)OC[C@@H](CO)N(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000432611192 265176881 /nfs/dbraw/zinc/17/68/81/265176881.db2.gz CBYZPIJKIATUAW-LLVKDONJSA-N -1 1 325.365 -0.239 20 0 EBADMM C[C@H]1CC[S@@](=O)CCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000432470975 265176920 /nfs/dbraw/zinc/17/69/20/265176920.db2.gz WFQKVIZNZWYBTI-MLMJSJRWSA-N -1 1 325.390 -0.114 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCCN(C)C1=O ZINC000443359868 265347093 /nfs/dbraw/zinc/34/70/93/265347093.db2.gz QNPFYQMELQDNNI-VIFPVBQESA-N -1 1 330.366 -0.515 20 0 EBADMM CC[C@@H](C[C@H](C)CO)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000443339699 265347658 /nfs/dbraw/zinc/34/76/58/265347658.db2.gz DIVSCLBSKHEVTI-UWVGGRQHSA-N -1 1 333.410 -0.841 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCN1CCOC[C@@H]1C ZINC000444082422 265357835 /nfs/dbraw/zinc/35/78/35/265357835.db2.gz WSIIBMWGIHMKID-JTQLQIEISA-N -1 1 346.409 -0.415 20 0 EBADMM CCOC(=O)c1n[n-]c(C2CCN(S(=O)(=O)N(C)C)CC2)n1 ZINC000443983944 265358171 /nfs/dbraw/zinc/35/81/71/265358171.db2.gz RRVQEYWJIRWYMF-UHFFFAOYSA-N -1 1 331.398 -0.033 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC(C)(C)C(F)(F)F)c(=O)n(C)c1=O ZINC000520761512 265650477 /nfs/dbraw/zinc/65/04/77/265650477.db2.gz LCSYHJYOVZXLNQ-UHFFFAOYSA-N -1 1 343.327 -0.049 20 0 EBADMM CO[C@@H]1C[C@@H]([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)C12CCC2 ZINC000668270482 545652344 /nfs/dbraw/zinc/65/23/44/545652344.db2.gz OHONXDLLHBBQCE-GHMZBOCLSA-N -1 1 343.405 -0.680 20 0 EBADMM CN1CCN(C)[C@H](CNC(=O)c2cc(S(N)(=O)=O)ccc2[O-])C1 ZINC000668581471 545717491 /nfs/dbraw/zinc/71/74/91/545717491.db2.gz FAGWCGOOFWYKFJ-SNVBAGLBSA-N -1 1 342.421 -0.985 20 0 EBADMM Cn1cc(CNC(=O)CNC(=O)c2c[nH]c3ccccc3c2=O)nn1 ZINC000092084335 545730142 /nfs/dbraw/zinc/73/01/42/545730142.db2.gz ZLFYDMLVBKZJQA-UHFFFAOYSA-N -1 1 340.343 -0.297 20 0 EBADMM CN(C)S(=O)(=O)CCC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000670384134 545994344 /nfs/dbraw/zinc/99/43/44/545994344.db2.gz FJRMTPMGQBHPMG-SECBINFHSA-N -1 1 332.382 -0.237 20 0 EBADMM CS(=O)(=O)N1CCN(CCNC(=O)c2cc(F)ccc2[O-])CC1 ZINC000674323792 546160003 /nfs/dbraw/zinc/16/00/03/546160003.db2.gz HTPIWYLXNQFNEV-UHFFFAOYSA-N -1 1 345.396 -0.162 20 0 EBADMM Cc1ccn(-c2ccccc2NC(=O)C(=O)NCc2nn[n-]n2)n1 ZINC000675263895 546181377 /nfs/dbraw/zinc/18/13/77/546181377.db2.gz UDYFBFOYYAFLKS-UHFFFAOYSA-N -1 1 326.320 -0.051 20 0 EBADMM CC(C)[C@@H](NS(C)(=O)=O)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000677794078 546235729 /nfs/dbraw/zinc/23/57/29/546235729.db2.gz YRCUSNPMRUQKRT-NXEZZACHSA-N -1 1 330.414 -0.521 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NCCc1nnnn1C ZINC000678577826 546258619 /nfs/dbraw/zinc/25/86/19/546258619.db2.gz HAVZHUDMGYIDFL-UHFFFAOYSA-N -1 1 344.379 -0.133 20 0 EBADMM CS(=O)(=O)c1ccccc1S(=O)(=O)[N-]c1cc(C(N)=O)n[nH]1 ZINC000679202191 546267520 /nfs/dbraw/zinc/26/75/20/546267520.db2.gz AFCSZPKVNKQOAW-UHFFFAOYSA-N -1 1 344.374 -0.287 20 0 EBADMM Cc1ccnn1-c1ccccc1NC(=O)C(=O)NCc1nn[n-]n1 ZINC000680159732 546282997 /nfs/dbraw/zinc/28/29/97/546282997.db2.gz WTINZKUCNDVPFL-UHFFFAOYSA-N -1 1 326.320 -0.051 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1CCCCN1S(C)(=O)=O)c1nn[n-]n1 ZINC000681353421 546303934 /nfs/dbraw/zinc/30/39/34/546303934.db2.gz LVCHFLASNTYTLZ-VHSXEESVSA-N -1 1 330.414 -0.424 20 0 EBADMM Cc1cnc(C(=O)N2CCN(S(=O)(=O)N(C)C)CC2)c([O-])c1 ZINC000681710787 546309453 /nfs/dbraw/zinc/30/94/53/546309453.db2.gz ILVWEIAOXZSDCQ-UHFFFAOYSA-N -1 1 328.394 -0.340 20 0 EBADMM CNC(=O)CN1CCC(N=c2nn[n-]n2Cc2ccccc2)CC1 ZINC000891768532 582561074 /nfs/dbraw/zinc/56/10/74/582561074.db2.gz XSSDSPQDJLGRAA-UHFFFAOYSA-N -1 1 329.408 -0.234 20 0 EBADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C[C@@H](C)N1CCN(C)CC1 ZINC000866857602 582566046 /nfs/dbraw/zinc/56/60/46/582566046.db2.gz LWOZEAKBQMXAOV-WYRIXSBYSA-N -1 1 326.488 -0.426 20 0 EBADMM O=C(N1CCCC1)N1CCN(c2nccnc2-c2nnn[n-]2)CC1 ZINC000737994002 582578548 /nfs/dbraw/zinc/57/85/48/582578548.db2.gz UUCHSZNDXCKRBI-UHFFFAOYSA-N -1 1 329.368 -0.006 20 0 EBADMM O=C(N1CCCC1)N1CCN(c2nccnc2-c2nn[n-]n2)CC1 ZINC000737994002 582578552 /nfs/dbraw/zinc/57/85/52/582578552.db2.gz UUCHSZNDXCKRBI-UHFFFAOYSA-N -1 1 329.368 -0.006 20 0 EBADMM CN(C)[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1nn(C)cc1Cl ZINC000882237067 582641991 /nfs/dbraw/zinc/64/19/91/582641991.db2.gz DGSCLFFVJNRJPD-SFHVURJKSA-N -1 1 329.835 -0.018 20 0 EBADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)Cc1csc(-c2nc[nH]n2)n1 ZINC000737777253 582647369 /nfs/dbraw/zinc/64/73/69/582647369.db2.gz RDULUZANTNEYKR-UHFFFAOYSA-N -1 1 343.336 -0.230 20 0 EBADMM Cc1ncc2c(n1)CC[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C2 ZINC000727847962 582659733 /nfs/dbraw/zinc/65/97/33/582659733.db2.gz DDYUIYIYEFAFLW-LBPRGKRZSA-N -1 1 329.360 -0.301 20 0 EBADMM NC(=O)[C@H](Cc1ccccc1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000727853901 582661263 /nfs/dbraw/zinc/66/12/63/582661263.db2.gz YMQXFHYPRMJAHD-LBPRGKRZSA-N -1 1 330.344 -0.861 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC(=O)N1CCc2ccccc21 ZINC000727854404 582661793 /nfs/dbraw/zinc/66/17/93/582661793.db2.gz BYWDLTZOARWRLA-UHFFFAOYSA-N -1 1 342.355 -0.368 20 0 EBADMM COCCN(CCC(=O)[O-])C(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000737790379 582681042 /nfs/dbraw/zinc/68/10/42/582681042.db2.gz TXFGDYDVNAVHSO-UHFFFAOYSA-N -1 1 336.352 -0.261 20 0 EBADMM Cn1ccnc1CN1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000730034641 582724263 /nfs/dbraw/zinc/72/42/63/582724263.db2.gz SOJNULHPTITBEJ-UHFFFAOYSA-N -1 1 346.391 -0.995 20 0 EBADMM COC[C@]1(C(=O)[N-]S(=O)(=O)CCc2ccccc2)CNCCO1 ZINC000901022576 582789626 /nfs/dbraw/zinc/78/96/26/582789626.db2.gz FCJFIDGUVGJCOU-HNNXBMFYSA-N -1 1 342.417 -0.320 20 0 EBADMM COCCOCCN1C(=O)C[C@H](N2CCC(CC(=O)[O-])CC2)C1=O ZINC000737814306 582836656 /nfs/dbraw/zinc/83/66/56/582836656.db2.gz MVCBBEDUFYQBKF-ZDUSSCGKSA-N -1 1 342.392 -0.036 20 0 EBADMM CCS(=O)(=O)NCCOC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000731708727 582839502 /nfs/dbraw/zinc/83/95/02/582839502.db2.gz INDNNXXLARIUIS-UHFFFAOYSA-N -1 1 340.379 -0.716 20 0 EBADMM O=C(CN1C(=O)COc2ccccc21)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000731854990 582841355 /nfs/dbraw/zinc/84/13/55/582841355.db2.gz NWUDQVXMMPKWLI-LBPRGKRZSA-N -1 1 344.331 -0.475 20 0 EBADMM O=C(Cn1cnc2sccc2c1=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000732090335 582844321 /nfs/dbraw/zinc/84/43/21/582844321.db2.gz HIIJSUTZWMKZFC-SECBINFHSA-N -1 1 347.360 -0.429 20 0 EBADMM COc1cccc([C@H](O)CNC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000732296314 582847490 /nfs/dbraw/zinc/84/74/90/582847490.db2.gz BFLCJPOVELVBMJ-CYBMUJFWSA-N -1 1 333.344 -0.215 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@H](N2CCCCC2=O)C1 ZINC000732834116 582854143 /nfs/dbraw/zinc/85/41/43/582854143.db2.gz MUWOPECCKLLGEF-ZDUSSCGKSA-N -1 1 348.403 -0.070 20 0 EBADMM CC(C)(C)OC(=O)N1CCN(CC(=O)NCc2nn[n-]n2)CC1 ZINC000735633197 582901587 /nfs/dbraw/zinc/90/15/87/582901587.db2.gz NYUHBEAVKCTSRX-UHFFFAOYSA-N -1 1 325.373 -0.631 20 0 EBADMM CC(C)CN(CC(N)=O)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735828025 582907770 /nfs/dbraw/zinc/90/77/70/582907770.db2.gz YGGRTERNSOMDBO-UHFFFAOYSA-N -1 1 339.381 -0.606 20 0 EBADMM CC(C)CN(CC(N)=O)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735828025 582907771 /nfs/dbraw/zinc/90/77/71/582907771.db2.gz YGGRTERNSOMDBO-UHFFFAOYSA-N -1 1 339.381 -0.606 20 0 EBADMM C[C@@H](NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)c1nncn1C ZINC000736129162 582918636 /nfs/dbraw/zinc/91/86/36/582918636.db2.gz CMBNZMJKYZEWOH-SSDOTTSWSA-N -1 1 335.353 -0.570 20 0 EBADMM C[C@@H](NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)c1nncn1C ZINC000736129162 582918637 /nfs/dbraw/zinc/91/86/37/582918637.db2.gz CMBNZMJKYZEWOH-SSDOTTSWSA-N -1 1 335.353 -0.570 20 0 EBADMM C[C@@H](OC(=O)c1ccc(-c2nnn[n-]2)nc1)C(=O)N1CCNC1=O ZINC000736159553 582922027 /nfs/dbraw/zinc/92/20/27/582922027.db2.gz WEAULFBOXMEVFL-SSDOTTSWSA-N -1 1 331.292 -0.641 20 0 EBADMM C[C@@H](OC(=O)c1ccc(-c2nn[n-]n2)nc1)C(=O)N1CCNC1=O ZINC000736159553 582922028 /nfs/dbraw/zinc/92/20/28/582922028.db2.gz WEAULFBOXMEVFL-SSDOTTSWSA-N -1 1 331.292 -0.641 20 0 EBADMM CC[C@](C)(NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)C(=O)OC ZINC000736315843 582930181 /nfs/dbraw/zinc/93/01/81/582930181.db2.gz MQHHRXOBCSBQIB-LBPRGKRZSA-N -1 1 340.365 -0.118 20 0 EBADMM CC[C@](C)(NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)C(=O)OC ZINC000736315843 582930184 /nfs/dbraw/zinc/93/01/84/582930184.db2.gz MQHHRXOBCSBQIB-LBPRGKRZSA-N -1 1 340.365 -0.118 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N(CCOC)CCC(=O)OC)co1 ZINC000755895725 582930405 /nfs/dbraw/zinc/93/04/05/582930405.db2.gz JVBFFQQMDDXQPG-UHFFFAOYSA-N -1 1 348.377 -0.161 20 0 EBADMM CC(C)CN1CCO[C@@H](CNC(=O)N2CCO[C@@H](C(=O)[O-])C2)C1 ZINC000736444028 582934326 /nfs/dbraw/zinc/93/43/26/582934326.db2.gz VMFWFZVEMQOTBB-QWHCGFSZSA-N -1 1 329.397 -0.162 20 0 EBADMM CCn1cnnc1CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736723301 582951600 /nfs/dbraw/zinc/95/16/00/582951600.db2.gz WHUMOKFBMJMYGW-UHFFFAOYSA-N -1 1 335.353 -0.648 20 0 EBADMM CCn1cnnc1CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736723301 582951601 /nfs/dbraw/zinc/95/16/01/582951601.db2.gz WHUMOKFBMJMYGW-UHFFFAOYSA-N -1 1 335.353 -0.648 20 0 EBADMM CN(C)C(=O)CN(C)S(=O)(=O)c1ccc(F)c(-c2nn[n-]n2)c1 ZINC000736748235 582952537 /nfs/dbraw/zinc/95/25/37/582952537.db2.gz UZXPACNXHNAWGC-UHFFFAOYSA-N -1 1 342.356 -0.286 20 0 EBADMM CN1C[C@H](NS(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)CCC1=O ZINC000736845563 582961633 /nfs/dbraw/zinc/96/16/33/582961633.db2.gz MTEFDYHRGDQKBM-MRVPVSSYSA-N -1 1 337.365 -0.839 20 0 EBADMM CN1C[C@H](NS(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)CCC1=O ZINC000736845563 582961635 /nfs/dbraw/zinc/96/16/35/582961635.db2.gz MTEFDYHRGDQKBM-MRVPVSSYSA-N -1 1 337.365 -0.839 20 0 EBADMM CC[C@@H]1CN(CCn2cccn2)CCN1[C@H](C)C(=O)NCC(=O)[O-] ZINC000736943580 582976371 /nfs/dbraw/zinc/97/63/71/582976371.db2.gz OSMYLAQFPKXMHM-ZIAGYGMSSA-N -1 1 337.424 -0.131 20 0 EBADMM COC(=O)[C@@H](NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)C(C)C ZINC000736939199 582976382 /nfs/dbraw/zinc/97/63/82/582976382.db2.gz HOZSACQCOONEOT-JTQLQIEISA-N -1 1 340.365 -0.262 20 0 EBADMM COC(=O)[C@@H](NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)C(C)C ZINC000736939199 582976383 /nfs/dbraw/zinc/97/63/83/582976383.db2.gz HOZSACQCOONEOT-JTQLQIEISA-N -1 1 340.365 -0.262 20 0 EBADMM CC[C@@H]1CN(CCn2cccn2)CCN1CC(=O)NCCC(=O)[O-] ZINC000736943124 582976521 /nfs/dbraw/zinc/97/65/21/582976521.db2.gz ICPVWGHFYJQZPT-CQSZACIVSA-N -1 1 337.424 -0.130 20 0 EBADMM Cc1ncsc1CN(C)c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000737503166 583010903 /nfs/dbraw/zinc/01/09/03/583010903.db2.gz KNCZGAYAOBMFAX-UHFFFAOYSA-N -1 1 348.392 -0.335 20 0 EBADMM Cn1c(=O)c(-c2nnn[n-]2)c(NCCN2CCCCC2)n(C)c1=O ZINC000737597589 583018754 /nfs/dbraw/zinc/01/87/54/583018754.db2.gz SNDXYOJBNOWNDO-UHFFFAOYSA-N -1 1 334.384 -0.838 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N[C@H]2CCCC[C@@H]2CO)n(C)c1=O ZINC000737595677 583018844 /nfs/dbraw/zinc/01/88/44/583018844.db2.gz JTHVHFOJAMPOGI-BDAKNGLRSA-N -1 1 335.368 -0.773 20 0 EBADMM CN1C(=S)N=NC1CCNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737595725 583018868 /nfs/dbraw/zinc/01/88/68/583018868.db2.gz KMKHWZMVFALDHP-UHFFFAOYSA-N -1 1 331.365 -0.349 20 0 EBADMM CN1C(=S)N=NC1CCNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737595725 583018870 /nfs/dbraw/zinc/01/88/70/583018870.db2.gz KMKHWZMVFALDHP-UHFFFAOYSA-N -1 1 331.365 -0.349 20 0 EBADMM COC(=O)CN(CC(=O)[O-])CC1=Nc2ccccc2S(=O)(=O)N1 ZINC000737616282 583022362 /nfs/dbraw/zinc/02/23/62/583022362.db2.gz VGPDDJPVNYLTIU-UHFFFAOYSA-N -1 1 341.345 -0.432 20 0 EBADMM COc1cc(C)nc(N2CCN(CCC(=O)NCC(=O)[O-])CC2)n1 ZINC000737868809 583030415 /nfs/dbraw/zinc/03/04/15/583030415.db2.gz XVNSKSVGRGYUSI-UHFFFAOYSA-N -1 1 337.380 -0.493 20 0 EBADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCN(C(=O)C2CC2)CC1 ZINC000738260063 583046682 /nfs/dbraw/zinc/04/66/82/583046682.db2.gz YGTVEPRDDWKACH-UHFFFAOYSA-N -1 1 327.348 -0.044 20 0 EBADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCN(C(=O)C2CC2)CC1 ZINC000738260063 583046685 /nfs/dbraw/zinc/04/66/85/583046685.db2.gz YGTVEPRDDWKACH-UHFFFAOYSA-N -1 1 327.348 -0.044 20 0 EBADMM O=C(NN1CC(=O)[N-]C1=O)[C@H]1CCCN1C(=O)c1ccccc1O ZINC000743287839 583084539 /nfs/dbraw/zinc/08/45/39/583084539.db2.gz RCWJJGOXGZSSKZ-SNVBAGLBSA-N -1 1 332.316 -0.420 20 0 EBADMM CNC(=O)C1([N-]S(=O)(=O)c2n[nH]c(C)c2C(=O)OC)CCCC1 ZINC000751063239 583141773 /nfs/dbraw/zinc/14/17/73/583141773.db2.gz LYNPUUGEZDLLDP-UHFFFAOYSA-N -1 1 344.393 -0.158 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H](C)C(=O)N2CCOCC2)co1 ZINC000756389964 583183115 /nfs/dbraw/zinc/18/31/15/583183115.db2.gz NSXHDHLTCMNYFO-VIFPVBQESA-N -1 1 346.361 -0.408 20 0 EBADMM CCS(=O)(=O)NCCOC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000756402327 583183237 /nfs/dbraw/zinc/18/32/37/583183237.db2.gz NLDVSBGLISQNRL-UHFFFAOYSA-N -1 1 340.379 -0.716 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@@H]1[C@H](O)C(F)(F)F ZINC000759650361 583215982 /nfs/dbraw/zinc/21/59/82/583215982.db2.gz KMIJGXRASBVUHI-SCZZXKLOSA-N -1 1 335.282 -0.159 20 0 EBADMM CN(C)Cc1ccc(C(N)=O)cc1NC(=O)NCc1n[nH]c(=O)[n-]1 ZINC000762219708 583245667 /nfs/dbraw/zinc/24/56/67/583245667.db2.gz DAWZAJTXKXAAHS-UHFFFAOYSA-N -1 1 333.352 -0.008 20 0 EBADMM C[C@@H](O)c1cn(CC(=O)OCC(=O)[N-]C(=O)c2ccccc2)nn1 ZINC000762959570 583254934 /nfs/dbraw/zinc/25/49/34/583254934.db2.gz WFGVMKRELMQRAM-SNVBAGLBSA-N -1 1 332.316 -0.169 20 0 EBADMM Cc1cc(S(N)(=O)=O)sc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765458668 583282973 /nfs/dbraw/zinc/28/29/73/583282973.db2.gz GXYXTUSTGMYPDH-UHFFFAOYSA-N -1 1 332.363 -0.517 20 0 EBADMM CC(C)CN1N=C(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)CCC1=O ZINC000768031154 583311851 /nfs/dbraw/zinc/31/18/51/583311851.db2.gz PLRFKNKNYNSIIY-LLVKDONJSA-N -1 1 335.368 -0.266 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCS[C@@H]2COCC[C@@H]21 ZINC000768378388 583314148 /nfs/dbraw/zinc/31/41/48/583314148.db2.gz VYXPHNKHXYKNAH-WDEREUQCSA-N -1 1 325.390 -0.340 20 0 EBADMM C[C@@H]1CCCN1S(=O)(=O)NNc1nc(=O)c2cnn(C)c2[n-]1 ZINC000769869549 583329253 /nfs/dbraw/zinc/32/92/53/583329253.db2.gz CFKCFERUEPYREY-SSDOTTSWSA-N -1 1 327.370 -0.286 20 0 EBADMM CC(C)NS(=O)(=O)c1ccc(C(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000770034570 583331865 /nfs/dbraw/zinc/33/18/65/583331865.db2.gz RMCKSRASVJZNLS-UHFFFAOYSA-N -1 1 340.361 -0.430 20 0 EBADMM C/C=C/C[C@H]([N-]S(=O)(=O)CCS(C)(=O)=O)C(=O)OCC ZINC000773290746 583371608 /nfs/dbraw/zinc/37/16/08/583371608.db2.gz NXJNEZTYGARWSF-RWCYGVJQSA-N -1 1 327.424 -0.152 20 0 EBADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@@H]1CC(=O)N(C2CC2)C1 ZINC000777354986 583412698 /nfs/dbraw/zinc/41/26/98/583412698.db2.gz IJBJERKTVJULEU-SNVBAGLBSA-N -1 1 345.359 -0.177 20 0 EBADMM CC(C)CN1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)[C@H](C)C1=O ZINC000779112073 583430889 /nfs/dbraw/zinc/43/08/89/583430889.db2.gz YGSFDPSRWVXNHI-GFCCVEGCSA-N -1 1 336.392 -0.358 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)Cn2ncn(C)c2=O)cc1 ZINC000779128484 583431372 /nfs/dbraw/zinc/43/13/72/583431372.db2.gz SLTIPYBUVHBMFC-UHFFFAOYSA-N -1 1 348.315 -0.910 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H]2CCC=CO2)o1 ZINC000781489282 583457305 /nfs/dbraw/zinc/45/73/05/583457305.db2.gz KSBYZRUXZGUBCD-SECBINFHSA-N -1 1 343.361 -0.534 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)OCCOCC2CC2)o1 ZINC000786201224 583507066 /nfs/dbraw/zinc/50/70/66/583507066.db2.gz RPJIAYZSHCVAPE-UHFFFAOYSA-N -1 1 346.361 -0.373 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)[C@@H](NC(=O)OC)C(C)C)n[n-]1 ZINC000787907203 583524597 /nfs/dbraw/zinc/52/45/97/583524597.db2.gz YWECGPHVYPGIQE-VIFPVBQESA-N -1 1 327.341 -0.022 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H](NC(=O)OC)C(C)C)n1 ZINC000787907203 583524599 /nfs/dbraw/zinc/52/45/99/583524599.db2.gz YWECGPHVYPGIQE-VIFPVBQESA-N -1 1 327.341 -0.022 20 0 EBADMM COC(=O)[C@H](C[S@@](=O)CCC[N-]C(=O)C(F)(F)F)NC(C)=O ZINC000790637185 583545021 /nfs/dbraw/zinc/54/50/21/583545021.db2.gz UXRHLPNJOBLUTB-ZCAPAMDOSA-N -1 1 346.327 -0.519 20 0 EBADMM CC1(C)CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@]2(CCOC2)O1 ZINC000794311282 583579068 /nfs/dbraw/zinc/57/90/68/583579068.db2.gz HJFVKGBTJQBALR-MRXNPFEDSA-N -1 1 337.376 -0.277 20 0 EBADMM O=C([C@H]1Cc2ccccc2C(=O)O1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000798147508 583602236 /nfs/dbraw/zinc/60/22/36/583602236.db2.gz AXMDAQPLWXIHCF-GFCCVEGCSA-N -1 1 343.343 -0.209 20 0 EBADMM CNS(=O)(=O)CC(=O)N1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000799021178 583609416 /nfs/dbraw/zinc/60/94/16/583609416.db2.gz PIXJAQAIGAIFOZ-UHFFFAOYSA-N -1 1 345.343 -0.547 20 0 EBADMM CN(C)c1ncn(-c2cccc(NC(=O)NN3CC(=O)[N-]C3=O)c2)n1 ZINC000799414257 583611626 /nfs/dbraw/zinc/61/16/26/583611626.db2.gz KNGNITPVODEDQJ-UHFFFAOYSA-N -1 1 344.335 -0.078 20 0 EBADMM COCCCCOC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000803502491 583630185 /nfs/dbraw/zinc/63/01/85/583630185.db2.gz SFEZSUXHTHGMQC-UHFFFAOYSA-N -1 1 334.350 -0.373 20 0 EBADMM CCNC(=O)CN1CCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1 ZINC000806485313 583650282 /nfs/dbraw/zinc/65/02/82/583650282.db2.gz QUTAAFYZEZLVAA-UHFFFAOYSA-N -1 1 345.407 -0.373 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)N3CCN4C(=O)NC(=O)[C@@H]4C3)ccnc1-2 ZINC000806492917 583650795 /nfs/dbraw/zinc/65/07/95/583650795.db2.gz PYIUTKCMIYAGMD-JTQLQIEISA-N -1 1 329.320 -0.890 20 0 EBADMM CC(C)OC(=O)CCNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806832965 583654895 /nfs/dbraw/zinc/65/48/95/583654895.db2.gz FZVFSXZAICZHDP-UHFFFAOYSA-N -1 1 333.348 -0.262 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCC(=O)NC3CCCC3)ccnc1-2 ZINC000806879311 583655086 /nfs/dbraw/zinc/65/50/86/583655086.db2.gz YUYBHLIOURUSCO-UHFFFAOYSA-N -1 1 344.375 -0.545 20 0 EBADMM CO[C@@H](CNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)C(F)(F)F ZINC000806898092 583655333 /nfs/dbraw/zinc/65/53/33/583655333.db2.gz UVDIWSFFTYRVHF-VIFPVBQESA-N -1 1 345.281 -0.026 20 0 EBADMM CC(C)C(=O)NCCN(C)C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806957138 583656166 /nfs/dbraw/zinc/65/61/66/583656166.db2.gz SVPFUDVXDMCMKL-UHFFFAOYSA-N -1 1 346.391 -0.489 20 0 EBADMM CN(C[C@@H]1CCC[C@H]1O)C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806951310 583656183 /nfs/dbraw/zinc/65/61/83/583656183.db2.gz WRMALLKNIZEHLT-GXFFZTMASA-N -1 1 331.376 -0.100 20 0 EBADMM CCOC(=O)C[C@@H](C)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806959065 583656200 /nfs/dbraw/zinc/65/62/00/583656200.db2.gz NXUQNISAPDVIFH-SECBINFHSA-N -1 1 333.348 -0.262 20 0 EBADMM COC(=O)[C@@H]1CCN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)[C@H]1C ZINC000807029318 583656986 /nfs/dbraw/zinc/65/69/86/583656986.db2.gz CVVWKCLLARUICE-VHSXEESVSA-N -1 1 345.359 -0.310 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCCOCC(F)F)ccnc1-2 ZINC000807029201 583657005 /nfs/dbraw/zinc/65/70/05/583657005.db2.gz FIMRKXKAQLWHDD-UHFFFAOYSA-N -1 1 327.291 -0.322 20 0 EBADMM CCCOC(=O)c1ccc(NC(=O)C(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000807062718 583657615 /nfs/dbraw/zinc/65/76/15/583657615.db2.gz CDGYXKGMKACDBI-UHFFFAOYSA-N -1 1 348.315 -0.225 20 0 EBADMM CNC(=O)[C@@H](O)C[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000809838331 583680798 /nfs/dbraw/zinc/68/07/98/583680798.db2.gz VNQBCDFTJAQERY-YFKPBYRVSA-N -1 1 327.156 -0.573 20 0 EBADMM CC(C)OCCO[N-]C(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000812802478 583696704 /nfs/dbraw/zinc/69/67/04/583696704.db2.gz OIZRHBYXYNSZDT-RYUDHWBXSA-N -1 1 348.421 -0.505 20 0 EBADMM Cn1[nH]cc2c(=NC(=O)C(=O)N3CC[C@@H]4C[N@@H+](C)C[C@@H]43)ccnc1-2 ZINC000815704711 583711928 /nfs/dbraw/zinc/71/19/28/583711928.db2.gz NJGHKYWPTBSJCA-MFKMUULPSA-N -1 1 328.376 -0.557 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCn2cnccc2=O)co1 ZINC000816281461 583716059 /nfs/dbraw/zinc/71/60/59/583716059.db2.gz QLRHPYUMVXLJJW-UHFFFAOYSA-N -1 1 327.318 -0.399 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@H]3[C@H](C(=O)OC)[C@H]3C2)co1 ZINC000816970150 583724531 /nfs/dbraw/zinc/72/45/31/583724531.db2.gz QMDCXDHBZHBRLC-JZYVYDRUSA-N -1 1 328.346 -0.321 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(CCN(C)C)CC(=O)[O-])c[nH]1 ZINC000818520341 583745032 /nfs/dbraw/zinc/74/50/32/583745032.db2.gz LGESYKXYPCYYRG-UHFFFAOYSA-N -1 1 333.366 -0.562 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCc1ncn(-c2ccccc2)n1 ZINC000819180872 583755792 /nfs/dbraw/zinc/75/57/92/583755792.db2.gz FYIKDMBEIGDDJL-UHFFFAOYSA-N -1 1 340.343 -0.176 20 0 EBADMM O=C([O-])[C@H]1CN(C(=O)C(=O)Nc2cnn(-c3ccncc3)c2)CCO1 ZINC000819219915 583755974 /nfs/dbraw/zinc/75/59/74/583755974.db2.gz RDEQXWWVJTXVOC-GFCCVEGCSA-N -1 1 345.315 -0.482 20 0 EBADMM O=C([O-])CNC(=O)c1cc(S(=O)(=O)N2CCCC2)ccc1O ZINC000819527224 583758485 /nfs/dbraw/zinc/75/84/85/583758485.db2.gz QSSBJASQUICGNV-UHFFFAOYSA-N -1 1 328.346 -0.009 20 0 EBADMM CC(=O)NCCN(C(=O)CSc1nnc(C)[nH]1)[C@@H](C)C(=O)[O-] ZINC000819745867 583760613 /nfs/dbraw/zinc/76/06/13/583760613.db2.gz OFPCUXPBAHFRNG-ZETCQYMHSA-N -1 1 329.382 -0.357 20 0 EBADMM CC(=O)NCCN(C(=O)CSc1nc(C)n[nH]1)[C@@H](C)C(=O)[O-] ZINC000819745867 583760614 /nfs/dbraw/zinc/76/06/14/583760614.db2.gz OFPCUXPBAHFRNG-ZETCQYMHSA-N -1 1 329.382 -0.357 20 0 EBADMM CC(=O)NCCN(C(=O)CN(C)[C@H]1CCSC1)[C@H](C)C(=O)[O-] ZINC000819746015 583760659 /nfs/dbraw/zinc/76/06/59/583760659.db2.gz QHCFDDJEJFQLQS-PWSUYJOCSA-N -1 1 331.438 -0.139 20 0 EBADMM CC(=O)NC[C@H]1CCCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000819805178 583761401 /nfs/dbraw/zinc/76/14/01/583761401.db2.gz ZTSRXVKLDYJXOJ-SNVBAGLBSA-N -1 1 347.383 -0.020 20 0 EBADMM CC(=O)NC[C@H]1CCCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000819805178 583761403 /nfs/dbraw/zinc/76/14/03/583761403.db2.gz ZTSRXVKLDYJXOJ-SNVBAGLBSA-N -1 1 347.383 -0.020 20 0 EBADMM CC(=O)N[C@H]1CCCN(C(=O)Cn2cccc(-c3nn[n-]n3)c2=O)C1 ZINC000819802770 583761462 /nfs/dbraw/zinc/76/14/62/583761462.db2.gz IYZXVYGGXAEQEW-NSHDSACASA-N -1 1 345.363 -0.845 20 0 EBADMM C[C@H](C[C@H]1CCOC1)Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000820233219 583768898 /nfs/dbraw/zinc/76/88/98/583768898.db2.gz JPSDELPIHPYHBD-RKDXNWHRSA-N -1 1 335.368 -0.509 20 0 EBADMM C[C@H](CC[S@](C)=O)NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820249328 583769228 /nfs/dbraw/zinc/76/92/28/583769228.db2.gz UTBMLEGINKBCLR-YNSPTIENSA-N -1 1 326.386 -0.121 20 0 EBADMM C[C@H](CC[S@](C)=O)NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820249328 583769230 /nfs/dbraw/zinc/76/92/30/583769230.db2.gz UTBMLEGINKBCLR-YNSPTIENSA-N -1 1 326.386 -0.121 20 0 EBADMM C[C@H](CN1CCOCC1)NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820259897 583769541 /nfs/dbraw/zinc/76/95/41/583769541.db2.gz CDJMVRQENMZKRS-SECBINFHSA-N -1 1 335.372 -0.558 20 0 EBADMM C[C@H](CS(C)(=O)=O)C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000820291996 583770950 /nfs/dbraw/zinc/77/09/50/583770950.db2.gz BXYYHKQRHFHLHU-MRVPVSSYSA-N -1 1 325.350 -0.014 20 0 EBADMM C[C@H](CS(C)(=O)=O)C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000820291996 583770951 /nfs/dbraw/zinc/77/09/51/583770951.db2.gz BXYYHKQRHFHLHU-MRVPVSSYSA-N -1 1 325.350 -0.014 20 0 EBADMM CC1(C)[C@H](NC(=O)Cn2cnc(-c3nn[n-]n3)n2)[C@@H]2CCCO[C@H]21 ZINC000820583866 583775881 /nfs/dbraw/zinc/77/58/81/583775881.db2.gz GAHNJUONIQRYPQ-JMJZKYOTSA-N -1 1 332.368 -0.222 20 0 EBADMM C[C@@]12CCC(=O)N1[C@H](C(=O)Nc1n[nH]cc1-c1nnn[n-]1)CS2 ZINC000820634264 583776434 /nfs/dbraw/zinc/77/64/34/583776434.db2.gz GGBASENVNBUAHF-JVXZTZIISA-N -1 1 334.365 -0.018 20 0 EBADMM C[C@@]12CCC(=O)N1[C@H](C(=O)Nc1n[nH]cc1-c1nn[n-]n1)CS2 ZINC000820634264 583776435 /nfs/dbraw/zinc/77/64/35/583776435.db2.gz GGBASENVNBUAHF-JVXZTZIISA-N -1 1 334.365 -0.018 20 0 EBADMM C[C@H]1CN(c2nccnc2-c2nnn[n-]2)CCN1Cc1ncnn1C ZINC000820804685 583781366 /nfs/dbraw/zinc/78/13/66/583781366.db2.gz XMHPMGAMVZBOCR-JTQLQIEISA-N -1 1 341.383 -0.504 20 0 EBADMM C[C@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1Cc1ncnn1C ZINC000820804685 583781368 /nfs/dbraw/zinc/78/13/68/583781368.db2.gz XMHPMGAMVZBOCR-JTQLQIEISA-N -1 1 341.383 -0.504 20 0 EBADMM CCCCCN(CCO)c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000821056414 583784414 /nfs/dbraw/zinc/78/44/14/583784414.db2.gz QVHMXVYCPLUHBC-UHFFFAOYSA-N -1 1 337.384 -0.747 20 0 EBADMM CCCCCOC1CN(c2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)C1 ZINC000821056649 583784482 /nfs/dbraw/zinc/78/44/82/583784482.db2.gz VMJAJIGXNXPBDP-UHFFFAOYSA-N -1 1 349.395 -0.341 20 0 EBADMM CCN(CCc1ccccn1)C(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821213436 583786520 /nfs/dbraw/zinc/78/65/20/583786520.db2.gz CNUYBWJCUBSWKF-UHFFFAOYSA-N -1 1 327.352 -0.056 20 0 EBADMM CCOC(=O)CC1CCN(C(=O)Cn2cnc(-c3nn[n-]n3)n2)CC1 ZINC000821325696 583788839 /nfs/dbraw/zinc/78/88/39/583788839.db2.gz KIUUABMUYGSIJQ-UHFFFAOYSA-N -1 1 348.367 -0.350 20 0 EBADMM CCOC(=O)[C@H]1CCCN(C(=O)Cn2cnc(-c3nn[n-]n3)n2)C1 ZINC000821337242 583789235 /nfs/dbraw/zinc/78/92/35/583789235.db2.gz DRRSJCMFGZCXMY-VIFPVBQESA-N -1 1 334.340 -0.740 20 0 EBADMM CCn1cnnc1CCNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821566902 583793308 /nfs/dbraw/zinc/79/33/08/583793308.db2.gz ZQAUZZJNOLZSQU-UHFFFAOYSA-N -1 1 349.380 -0.606 20 0 EBADMM CCn1cnnc1CCNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821566902 583793310 /nfs/dbraw/zinc/79/33/10/583793310.db2.gz ZQAUZZJNOLZSQU-UHFFFAOYSA-N -1 1 349.380 -0.606 20 0 EBADMM CN(C(=O)Nc1nn(C)cc1-c1nnn[n-]1)[C@@H]1CCS(=O)(=O)C1 ZINC000821571836 583793471 /nfs/dbraw/zinc/79/34/71/583793471.db2.gz HOSXXPKTBWQBNW-SSDOTTSWSA-N -1 1 340.369 -0.749 20 0 EBADMM CN(C(=O)Nc1nn(C)cc1-c1nn[n-]n1)[C@@H]1CCS(=O)(=O)C1 ZINC000821571836 583793473 /nfs/dbraw/zinc/79/34/73/583793473.db2.gz HOSXXPKTBWQBNW-SSDOTTSWSA-N -1 1 340.369 -0.749 20 0 EBADMM CN(C)C(=O)C1CCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)CC1 ZINC000821575681 583793882 /nfs/dbraw/zinc/79/38/82/583793882.db2.gz LNGHGJFRXYSCCY-UHFFFAOYSA-N -1 1 347.383 -0.068 20 0 EBADMM CN(C)C(=O)C1CCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)CC1 ZINC000821575681 583793883 /nfs/dbraw/zinc/79/38/83/583793883.db2.gz LNGHGJFRXYSCCY-UHFFFAOYSA-N -1 1 347.383 -0.068 20 0 EBADMM CN(CCOC(C)(C)C)c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000821603594 583795169 /nfs/dbraw/zinc/79/51/69/583795169.db2.gz GAFXQXREUASEEE-UHFFFAOYSA-N -1 1 337.384 -0.485 20 0 EBADMM CN1CCN(C(=O)Cn2cnc(-c3nn[n-]n3)n2)c2ccccc2C1 ZINC000821626874 583796123 /nfs/dbraw/zinc/79/61/23/583796123.db2.gz CKILTSZFLILXFV-UHFFFAOYSA-N -1 1 339.363 -0.063 20 0 EBADMM CO[C@@H](C)C(=O)N1CCN(c2nccnc2-c2nnn[n-]2)C[C@H]1C ZINC000821674031 583800358 /nfs/dbraw/zinc/80/03/58/583800358.db2.gz RPRUXMTWIQUCCU-ZJUUUORDSA-N -1 1 332.368 -0.271 20 0 EBADMM CO[C@@H](C)C(=O)N1CCN(c2nccnc2-c2nn[n-]n2)C[C@H]1C ZINC000821674031 583800359 /nfs/dbraw/zinc/80/03/59/583800359.db2.gz RPRUXMTWIQUCCU-ZJUUUORDSA-N -1 1 332.368 -0.271 20 0 EBADMM Cc1ccc(NCCCNC(=O)Cn2cnc(-c3nn[n-]n3)n2)nc1 ZINC000822254193 583808913 /nfs/dbraw/zinc/80/89/13/583808913.db2.gz CNRLUJCNQSIMDZ-UHFFFAOYSA-N -1 1 342.367 -0.220 20 0 EBADMM Cc1ccccc1OCCNC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000822366383 583810534 /nfs/dbraw/zinc/81/05/34/583810534.db2.gz JDNURCUXCWHCBM-UHFFFAOYSA-N -1 1 328.336 -0.038 20 0 EBADMM Cc1nc2c(-c3nnn[n-]3)cnn2c(C)c1CCC(=O)N1CC(O)C1 ZINC000822459177 583811360 /nfs/dbraw/zinc/81/13/60/583811360.db2.gz OGOITLNDQCIPLZ-UHFFFAOYSA-N -1 1 342.363 -0.338 20 0 EBADMM Cc1nc2c(-c3nn[n-]n3)cnn2c(C)c1CCC(=O)N1CC(O)C1 ZINC000822459177 583811361 /nfs/dbraw/zinc/81/13/61/583811361.db2.gz OGOITLNDQCIPLZ-UHFFFAOYSA-N -1 1 342.363 -0.338 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N2CCC(C(F)F)CC2)n(C)c1=O ZINC000822599793 583813286 /nfs/dbraw/zinc/81/32/86/583813286.db2.gz HLPQJJAUDUCVKN-UHFFFAOYSA-N -1 1 341.322 -0.254 20 0 EBADMM NS(=O)(=O)[C@H]1CC[N@@H+](CCOc2ccccc2-c2nn[nH]n2)C1 ZINC000822894934 583820872 /nfs/dbraw/zinc/82/08/72/583820872.db2.gz HVWGPDIXMNELNX-JTQLQIEISA-N -1 1 338.393 -0.392 20 0 EBADMM NS(=O)(=O)[C@H]1CCN(CCOc2ccccc2-c2nn[nH]n2)C1 ZINC000822894934 583820874 /nfs/dbraw/zinc/82/08/74/583820874.db2.gz HVWGPDIXMNELNX-JTQLQIEISA-N -1 1 338.393 -0.392 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CC[C@H](Oc2ccccn2)C1 ZINC000823123249 583824319 /nfs/dbraw/zinc/82/43/19/583824319.db2.gz UMEXAULYLFIUTA-JTQLQIEISA-N -1 1 341.335 -0.467 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@@H]1CCc2c1cccc2O ZINC000823125723 583824796 /nfs/dbraw/zinc/82/47/96/583824796.db2.gz BYEHMHQJEPQRDJ-SNVBAGLBSA-N -1 1 326.320 -0.033 20 0 EBADMM O=C(CCNC(=O)Cn1cnc(-c2nn[n-]n2)n1)Nc1ccccc1 ZINC000823127014 583825209 /nfs/dbraw/zinc/82/52/09/583825209.db2.gz QSSVTFSFTPQXOA-UHFFFAOYSA-N -1 1 341.335 -0.397 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCC[C@@]2(CCCOC2)C1 ZINC000823126899 583825239 /nfs/dbraw/zinc/82/52/39/583825239.db2.gz NDHWVSOYJZCJCV-CQSZACIVSA-N -1 1 332.368 -0.123 20 0 EBADMM O=C1NC(=O)[C@]2(CCC[C@H]2CNc2nccnc2-c2nnn[n-]2)N1 ZINC000823540417 583831671 /nfs/dbraw/zinc/83/16/71/583831671.db2.gz CZKRJWKZENNPDZ-WPPNPWJKSA-N -1 1 329.324 -0.553 20 0 EBADMM O=C1NC(=O)[C@]2(CCC[C@H]2CNc2nccnc2-c2nn[n-]n2)N1 ZINC000823540417 583831674 /nfs/dbraw/zinc/83/16/74/583831674.db2.gz CZKRJWKZENNPDZ-WPPNPWJKSA-N -1 1 329.324 -0.553 20 0 EBADMM O=C1NC(=O)[C@]2(CCC[C@@H]2CNc2nccnc2-c2nnn[n-]2)N1 ZINC000823540415 583831695 /nfs/dbraw/zinc/83/16/95/583831695.db2.gz CZKRJWKZENNPDZ-FUXBKTLASA-N -1 1 329.324 -0.553 20 0 EBADMM O=C1NC(=O)[C@]2(CCC[C@@H]2CNc2nccnc2-c2nn[n-]n2)N1 ZINC000823540415 583831698 /nfs/dbraw/zinc/83/16/98/583831698.db2.gz CZKRJWKZENNPDZ-FUXBKTLASA-N -1 1 329.324 -0.553 20 0 EBADMM CC(=O)N1CCCN([C@@H]2CN(C(=O)CN(C)C(=O)[O-])C[C@@H]2C)CC1 ZINC000823555131 583832723 /nfs/dbraw/zinc/83/27/23/583832723.db2.gz ARHYDGHEAXCDQU-GXTWGEPZSA-N -1 1 340.424 -0.003 20 0 EBADMM CC(=O)N1CCN(C(=O)[C@@H](C)N2CC[C@@H](N(C)C(=O)[O-])C2)CC1 ZINC000823555769 583833053 /nfs/dbraw/zinc/83/30/53/583833053.db2.gz FVBGTAPYYDJAPV-DGCLKSJQSA-N -1 1 326.397 -0.250 20 0 EBADMM CC(=O)N1CCN(C(=O)[C@@H](C)N2CC[C@H](N(C)C(=O)[O-])C2)CC1 ZINC000823555774 583833178 /nfs/dbraw/zinc/83/31/78/583833178.db2.gz FVBGTAPYYDJAPV-YPMHNXCESA-N -1 1 326.397 -0.250 20 0 EBADMM O=S(=O)(N[C@@H]1CCCn2ncnc21)c1ccc(-c2nnn[n-]2)nc1 ZINC000823573782 583834005 /nfs/dbraw/zinc/83/40/05/583834005.db2.gz YRJNGQGZASWERS-SNVBAGLBSA-N -1 1 347.364 -0.333 20 0 EBADMM O=S(=O)(N[C@@H]1CCCn2ncnc21)c1ccc(-c2nn[n-]n2)nc1 ZINC000823573782 583834006 /nfs/dbraw/zinc/83/40/06/583834006.db2.gz YRJNGQGZASWERS-SNVBAGLBSA-N -1 1 347.364 -0.333 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000823967001 583839477 /nfs/dbraw/zinc/83/94/77/583839477.db2.gz GHXBDWHQAHBJCQ-UHFFFAOYSA-N -1 1 335.372 -0.959 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000823967001 583839480 /nfs/dbraw/zinc/83/94/80/583839480.db2.gz GHXBDWHQAHBJCQ-UHFFFAOYSA-N -1 1 335.372 -0.959 20 0 EBADMM CC(=O)Nc1cccc(Cn2c(=O)c(-c3nn[n-]n3)cn(C)c2=O)c1 ZINC000824005400 583839756 /nfs/dbraw/zinc/83/97/56/583839756.db2.gz QLQBYGYQIGVJIX-UHFFFAOYSA-N -1 1 341.331 -0.266 20 0 EBADMM CC(C)(C)OC(=O)CNC(=O)Cn1cccc(-c2nn[n-]n2)c1=O ZINC000824100560 583841416 /nfs/dbraw/zinc/84/14/16/583841416.db2.gz VETVDFFCANCIFX-UHFFFAOYSA-N -1 1 334.336 -0.514 20 0 EBADMM CC(C)[C@@H](CNC(=O)NC1CN(C(=O)[O-])C1)N1CCN(C)CC1 ZINC000824115567 583841504 /nfs/dbraw/zinc/84/15/04/583841504.db2.gz DACIHDSINKUVRU-CYBMUJFWSA-N -1 1 327.429 -0.080 20 0 EBADMM CC(C)C(=O)NCCCNC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000824178055 583842224 /nfs/dbraw/zinc/84/22/24/583842224.db2.gz LVHOXTRBAIYFDN-UHFFFAOYSA-N -1 1 335.372 -0.116 20 0 EBADMM CC(C)C(=O)NCCCNC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000824178055 583842225 /nfs/dbraw/zinc/84/22/25/583842225.db2.gz LVHOXTRBAIYFDN-UHFFFAOYSA-N -1 1 335.372 -0.116 20 0 EBADMM CC(C)[C@H](NS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1)C(N)=O ZINC000824199403 583842414 /nfs/dbraw/zinc/84/24/14/583842414.db2.gz JORBDFVCHPMSOZ-JTQLQIEISA-N -1 1 342.356 -0.206 20 0 EBADMM CC(C)[C@H](NS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1)C(N)=O ZINC000824199403 583842415 /nfs/dbraw/zinc/84/24/15/583842415.db2.gz JORBDFVCHPMSOZ-JTQLQIEISA-N -1 1 342.356 -0.206 20 0 EBADMM C[C@H](CNc1c2ccccc2nnc1-c1nnn[n-]1)S(N)(=O)=O ZINC000824429010 583845712 /nfs/dbraw/zinc/84/57/12/583845712.db2.gz VGHWIOXAZLBRHI-SSDOTTSWSA-N -1 1 334.365 -0.101 20 0 EBADMM C[C@H](CNc1c2ccccc2nnc1-c1nn[n-]n1)S(N)(=O)=O ZINC000824429010 583845713 /nfs/dbraw/zinc/84/57/13/583845713.db2.gz VGHWIOXAZLBRHI-SSDOTTSWSA-N -1 1 334.365 -0.101 20 0 EBADMM C[C@H](NS(=O)(=O)c1c(F)cc(-c2nn[nH]n2)cc1F)C(=O)[O-] ZINC000824635035 583848054 /nfs/dbraw/zinc/84/80/54/583848054.db2.gz YAUPMHGCAPESHL-BYPYZUCNSA-N -1 1 333.276 -0.104 20 0 EBADMM C[C@H](Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C)c1cncc(F)c1 ZINC000824650665 583848327 /nfs/dbraw/zinc/84/83/27/583848327.db2.gz UTPLISKLXHLXQZ-ZETCQYMHSA-N -1 1 346.326 -0.029 20 0 EBADMM CC[C@H](C)[C@H](O)CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000825059649 583854632 /nfs/dbraw/zinc/85/46/32/583854632.db2.gz IGJSXLCFSYMZQJ-GZMMTYOYSA-N -1 1 326.382 -0.053 20 0 EBADMM CC[C@H](C)[C@H](O)CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000825059649 583854633 /nfs/dbraw/zinc/85/46/33/583854633.db2.gz IGJSXLCFSYMZQJ-GZMMTYOYSA-N -1 1 326.382 -0.053 20 0 EBADMM CC[C@@H](CSC)Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000825128454 583855381 /nfs/dbraw/zinc/85/53/81/583855381.db2.gz UQHPESZJGSNHFS-ZETCQYMHSA-N -1 1 325.398 -0.182 20 0 EBADMM CCOC(=O)CCCCCn1c(=O)c(-c2nn[n-]n2)cn(C)c1=O ZINC000825507398 583862373 /nfs/dbraw/zinc/86/23/73/583862373.db2.gz QPBVJNUQUHMALO-UHFFFAOYSA-N -1 1 336.352 -0.149 20 0 EBADMM CCOC(=O)c1cnn(CCCC(=O)NC(N)=O)c1-c1nn[n-]n1 ZINC000825570483 583863278 /nfs/dbraw/zinc/86/32/78/583863278.db2.gz KRRGICDNYFFDOS-UHFFFAOYSA-N -1 1 336.312 -0.785 20 0 EBADMM C[C@H]1CC[C@H](C)N1CC(=O)NCC(=O)N1CCN(C(=O)[O-])CC1 ZINC000825873573 583869519 /nfs/dbraw/zinc/86/95/19/583869519.db2.gz VINCWDHJTYKGTB-RYUDHWBXSA-N -1 1 326.397 -0.202 20 0 EBADMM CN([C@H]1CCNC1=O)S(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000825888852 583869816 /nfs/dbraw/zinc/86/98/16/583869816.db2.gz WQHWKNJFPSHASU-VIFPVBQESA-N -1 1 340.340 -0.485 20 0 EBADMM CNC(=O)[C@H]1CN(c2c3ccccc3nnc2-c2nnn[n-]2)CCO1 ZINC000826060037 583876137 /nfs/dbraw/zinc/87/61/37/583876137.db2.gz FLQXAEKZYWUENT-LLVKDONJSA-N -1 1 340.347 -0.239 20 0 EBADMM CNC(=O)[C@H]1CN(c2c3ccccc3nnc2-c2nn[n-]n2)CCO1 ZINC000826060037 583876138 /nfs/dbraw/zinc/87/61/38/583876138.db2.gz FLQXAEKZYWUENT-LLVKDONJSA-N -1 1 340.347 -0.239 20 0 EBADMM C[C@@H]1OC(C)(C)N(C(=O)[O-])[C@@H]1C(=O)NC[C@H]1CN(C)CCN1C ZINC000826110620 583879259 /nfs/dbraw/zinc/87/92/59/583879259.db2.gz SMENZDVUMAPVHU-SRVKXCTJSA-N -1 1 328.413 -0.148 20 0 EBADMM C[C@@H]1OC(C)(C)N(C(=O)[O-])[C@H]1C(=O)NC[C@@H]1CN(C)CCN1C ZINC000826110618 583879279 /nfs/dbraw/zinc/87/92/79/583879279.db2.gz SMENZDVUMAPVHU-QJPTWQEYSA-N -1 1 328.413 -0.148 20 0 EBADMM C[C@@H]1OC(C)(C)N(C(=O)[O-])[C@@H]1C(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000826111074 583879351 /nfs/dbraw/zinc/87/93/51/583879351.db2.gz YLKDZCHUXZRUGZ-CIUDSAMLSA-N -1 1 340.340 -0.397 20 0 EBADMM COC(=O)[C@H]1C[C@H]1C(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000826129568 583881483 /nfs/dbraw/zinc/88/14/83/583881483.db2.gz VMBPTPFTCFRFAY-SFYZADRCSA-N -1 1 348.367 -0.628 20 0 EBADMM COC(=O)[C@H]1C[C@H]1C(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000826129568 583881486 /nfs/dbraw/zinc/88/14/86/583881486.db2.gz VMBPTPFTCFRFAY-SFYZADRCSA-N -1 1 348.367 -0.628 20 0 EBADMM COc1cccc(OC)c1OC[C@@H](O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000826217374 583888241 /nfs/dbraw/zinc/88/82/41/583888241.db2.gz JPIRCGOWFYLALO-VIFPVBQESA-N -1 1 347.335 -0.085 20 0 EBADMM CS(=O)(=O)NC[C@@H]1CCCN(c2ccc(-c3nnn[n-]3)nn2)C1 ZINC000826223666 583888562 /nfs/dbraw/zinc/88/85/62/583888562.db2.gz VMQWBOHDFJTZMB-VIFPVBQESA-N -1 1 338.397 -0.578 20 0 EBADMM CS(=O)(=O)NC[C@@H]1CCCN(c2ccc(-c3nn[n-]n3)nn2)C1 ZINC000826223666 583888564 /nfs/dbraw/zinc/88/85/64/583888564.db2.gz VMQWBOHDFJTZMB-VIFPVBQESA-N -1 1 338.397 -0.578 20 0 EBADMM CS(=O)(=O)N[C@H]1CCCN(Cc2ccc(-c3nnn[n-]3)o2)C1 ZINC000826223524 583888661 /nfs/dbraw/zinc/88/86/61/583888661.db2.gz POUDWGRUGHNISS-VIFPVBQESA-N -1 1 326.382 -0.027 20 0 EBADMM Cc1onc(CC(=O)N(C)CCNC(=O)C(C)C)c1-c1nnn[n-]1 ZINC000826343294 583892298 /nfs/dbraw/zinc/89/22/98/583892298.db2.gz UTTGEFFDNLPCQL-UHFFFAOYSA-N -1 1 335.368 -0.064 20 0 EBADMM Cc1onc(CC(=O)N(C)CCNC(=O)C(C)C)c1-c1nn[n-]n1 ZINC000826343294 583892301 /nfs/dbraw/zinc/89/23/01/583892301.db2.gz UTTGEFFDNLPCQL-UHFFFAOYSA-N -1 1 335.368 -0.064 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NCc2cccc(F)n2)n(C)c1=O ZINC000826355588 583893356 /nfs/dbraw/zinc/89/33/56/583893356.db2.gz GOVHOGWCRCHBBM-UHFFFAOYSA-N -1 1 332.299 -0.590 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCC2(CO)CCOCC2)n1 ZINC000826357077 583893731 /nfs/dbraw/zinc/89/37/31/583893731.db2.gz QEOFMKAZPVIIIC-UHFFFAOYSA-N -1 1 336.356 -0.489 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCC2(CO)CCOCC2)n1 ZINC000826357077 583893732 /nfs/dbraw/zinc/89/37/32/583893732.db2.gz QEOFMKAZPVIIIC-UHFFFAOYSA-N -1 1 336.356 -0.489 20 0 EBADMM NC(=O)CCCCNS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000826390939 583896884 /nfs/dbraw/zinc/89/68/84/583896884.db2.gz RPXJYELMJMHNCZ-UHFFFAOYSA-N -1 1 342.356 -0.060 20 0 EBADMM NC(=O)c1nccnc1C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000826393981 583898414 /nfs/dbraw/zinc/89/84/14/583898414.db2.gz RUAGBNQGQLXLDN-UHFFFAOYSA-N -1 1 326.276 -0.287 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@H](CO)c1ccc(Cl)cc1 ZINC000826424807 583900721 /nfs/dbraw/zinc/90/07/21/583900721.db2.gz DKDMFAVWQRRGAY-SNVBAGLBSA-N -1 1 348.754 -0.039 20 0 EBADMM O=S(=O)(NCCc1cnccn1)c1ccc(-c2nnn[n-]2)nc1 ZINC000826491502 583905719 /nfs/dbraw/zinc/90/57/19/583905719.db2.gz MEAHOFSETHJNQR-UHFFFAOYSA-N -1 1 332.349 -0.427 20 0 EBADMM O=S(=O)(NCCc1cnccn1)c1ccc(-c2nn[n-]n2)nc1 ZINC000826491502 583905720 /nfs/dbraw/zinc/90/57/20/583905720.db2.gz MEAHOFSETHJNQR-UHFFFAOYSA-N -1 1 332.349 -0.427 20 0 EBADMM O=C(N1CCO[C@@H](c2nn[n-]n2)C1)[C@]1(c2ccccc2)CNC(=O)C1 ZINC000826833698 583911159 /nfs/dbraw/zinc/91/11/59/583911159.db2.gz HPDLZVCNQYUUJL-WBMJQRKESA-N -1 1 342.359 -0.443 20 0 EBADMM CCN1CCN(CC(=O)N2CCN(C)C[C@@H]2CNC(=O)[O-])CC1 ZINC000827222614 583916972 /nfs/dbraw/zinc/91/69/72/583916972.db2.gz LNPLGRGVZKYCLO-ZDUSSCGKSA-N -1 1 327.429 -0.966 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@@H]2CCCN(C(N)=O)C2)CC1 ZINC000827357352 583919973 /nfs/dbraw/zinc/91/99/73/583919973.db2.gz WYIPDBWDUFPOGB-NWDGAFQWSA-N -1 1 326.397 -0.216 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)Cc2nc3ncccn3n2)CC1 ZINC000827369289 583920148 /nfs/dbraw/zinc/92/01/48/583920148.db2.gz UBUIAGGQRILDTN-NSHDSACASA-N -1 1 332.364 -0.326 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)c1c(C)n[nH]c1C)c1ccnn1C ZINC000828127462 583934096 /nfs/dbraw/zinc/93/40/96/583934096.db2.gz NYPXCYHVPHKRSB-SNVBAGLBSA-N -1 1 327.366 -0.047 20 0 EBADMM C[C@@H]1CN(CC(=O)N2CCC(C(=O)[O-])CC2)C[C@@H]1N1CCOCC1 ZINC000828431417 583938885 /nfs/dbraw/zinc/93/88/85/583938885.db2.gz IGMWIIHJLFXRDJ-HIFRSBDPSA-N -1 1 339.436 -0.038 20 0 EBADMM CC(=O)N1CCO[C@@H](C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)C1 ZINC000828702167 583946111 /nfs/dbraw/zinc/94/61/11/583946111.db2.gz XOHLMOKRCITDST-SNVBAGLBSA-N -1 1 337.298 -0.629 20 0 EBADMM COCCN(CC(=O)N1CCO[C@@H](c2nnc(C)[nH]2)C1)C(=O)[O-] ZINC000828971483 583949343 /nfs/dbraw/zinc/94/93/43/583949343.db2.gz XPSGPADXGCMCQV-SNVBAGLBSA-N -1 1 327.341 -0.361 20 0 EBADMM COCCN1CCCN(C(=O)C[C@H]2CN(C(=O)[O-])CCO2)CC1 ZINC000828993033 583949926 /nfs/dbraw/zinc/94/99/26/583949926.db2.gz VGCQLNIIGFFSBE-ZDUSSCGKSA-N -1 1 329.397 -0.064 20 0 EBADMM CC[C@H]1CN(C(=O)Cn2cc(C(=O)[O-])nn2)CCN1CCOC ZINC000829134208 583950709 /nfs/dbraw/zinc/95/07/09/583950709.db2.gz QHNVJLQJIYKFIH-NSHDSACASA-N -1 1 325.369 -0.455 20 0 EBADMM COCCN(C(=O)CCn1cc[n-]c(=O)c1=O)C1CCOCC1 ZINC000829771208 583958299 /nfs/dbraw/zinc/95/82/99/583958299.db2.gz LWWPKXUIPYRFMZ-UHFFFAOYSA-N -1 1 325.365 -0.419 20 0 EBADMM CN(C)c1[nH+]cc(CNS(=O)(=O)c2c[n-]c(C(=O)[O-])c2)n1C ZINC000830650894 583968993 /nfs/dbraw/zinc/96/89/93/583968993.db2.gz CNXNKHQGPURTCR-UHFFFAOYSA-N -1 1 327.366 -0.009 20 0 EBADMM CN(CCC(=O)[O-])S(=O)(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000830735660 583970094 /nfs/dbraw/zinc/97/00/94/583970094.db2.gz WCKRPRCARIDESP-LBPRGKRZSA-N -1 1 335.426 -0.708 20 0 EBADMM CN(CCCNC(=O)CN[C@@](C)(C(=O)[O-])C1CC1)S(C)(=O)=O ZINC000830772178 583970550 /nfs/dbraw/zinc/97/05/50/583970550.db2.gz AJTSNLSIMLDGIR-CYBMUJFWSA-N -1 1 335.426 -0.773 20 0 EBADMM CN(CCCNC(=O)CN[C@](C)(C(=O)[O-])C1CC1)S(C)(=O)=O ZINC000830772179 583970620 /nfs/dbraw/zinc/97/06/20/583970620.db2.gz AJTSNLSIMLDGIR-ZDUSSCGKSA-N -1 1 335.426 -0.773 20 0 EBADMM CN1CCN(C(C)(C)CNC(=O)N2CCO[C@H](CC(=O)[O-])C2)CC1 ZINC000830949897 583972681 /nfs/dbraw/zinc/97/26/81/583972681.db2.gz HQXIPXYUWAUBHY-CYBMUJFWSA-N -1 1 342.440 -0.103 20 0 EBADMM CN1CC[C@H](C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)S1(=O)=O ZINC000831121287 583976714 /nfs/dbraw/zinc/97/67/14/583976714.db2.gz JQAILNZZIAQXFQ-MRVPVSSYSA-N -1 1 343.327 -0.843 20 0 EBADMM CCOC(=O)C[C@H](O)C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831125655 583976749 /nfs/dbraw/zinc/97/67/49/583976749.db2.gz ORAQUMSJRZCKED-QMMMGPOBSA-N -1 1 326.271 -0.563 20 0 EBADMM O=C([O-])N1C[C@@H](O)C[C@H]1C(=O)N1CC[C@H](CN2CCOCC2)C1 ZINC000831271588 583981225 /nfs/dbraw/zinc/98/12/25/583981225.db2.gz DDPBYWGSTCPNNL-AGIUHOORSA-N -1 1 327.381 -0.720 20 0 EBADMM O=C([O-])N1C[C@H](O)C[C@H]1C(=O)N1CCCN(C[C@H]2CCCO2)CC1 ZINC000831271384 583981233 /nfs/dbraw/zinc/98/12/33/583981233.db2.gz BHGHEIXWWQRXEX-MCIONIFRSA-N -1 1 341.408 -0.187 20 0 EBADMM COC(=O)[C@@H]1C[C@@H](O)CN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000831748807 583991110 /nfs/dbraw/zinc/99/11/10/583991110.db2.gz NZUUXQDLZYVJGC-NXEZZACHSA-N -1 1 333.348 -0.271 20 0 EBADMM O=C([O-])N1CCC(n2cc(C(=O)N3CCc4[nH]nnc4C3)nn2)CC1 ZINC000831970121 583994212 /nfs/dbraw/zinc/99/42/12/583994212.db2.gz ZNANHOXKJMMLJL-UHFFFAOYSA-N -1 1 346.351 -0.090 20 0 EBADMM O=C([O-])c1cc(C(=O)N[C@H]2CCN(CCN3CCOCC3)C2)ccn1 ZINC000833129418 584006347 /nfs/dbraw/zinc/00/63/47/584006347.db2.gz BUQWSGRWLGYGIT-AWEZNQCLSA-N -1 1 348.403 -0.084 20 0 EBADMM O=C([O-])C[C@H]1C(=O)NCCN1C(=O)CSc1n[nH]c(=S)s1 ZINC000833206072 584007070 /nfs/dbraw/zinc/00/70/70/584007070.db2.gz NFXDXZCBHGHMAD-YFKPBYRVSA-N -1 1 348.431 -0.279 20 0 EBADMM C[C@H](C(=O)N1CCC[C@H](C(N)=O)C1)N1CCC([C@@H](O)C(=O)[O-])CC1 ZINC000833405252 584010100 /nfs/dbraw/zinc/01/01/00/584010100.db2.gz YTLZTJCTUIIYNP-KGYLQXTDSA-N -1 1 341.408 -0.744 20 0 EBADMM CC(C)CNC(=O)NC(=O)CN1CCN(CCC(=O)[O-])C[C@H]1C ZINC000833489069 584010915 /nfs/dbraw/zinc/01/09/15/584010915.db2.gz LXIRWUIFUARSAS-GFCCVEGCSA-N -1 1 328.413 -0.051 20 0 EBADMM C[C@@H]1COCCN1CCNC(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C ZINC000833631934 584012796 /nfs/dbraw/zinc/01/27/96/584012796.db2.gz RGJXXYXTMPAUKP-UONOGXRCSA-N -1 1 342.440 -0.103 20 0 EBADMM CS[C@@H]1CN(S(=O)(=O)c2cnn(CC(=O)[O-])c2)C[C@@H]1N(C)C ZINC000833737407 584015742 /nfs/dbraw/zinc/01/57/42/584015742.db2.gz ARRIGTAVGFSFDM-WDEREUQCSA-N -1 1 348.450 -0.366 20 0 EBADMM O=C([O-])N1CC(CNC(=O)C(=O)Nc2ccn(-c3ccncc3)n2)C1 ZINC000834030692 584024889 /nfs/dbraw/zinc/02/48/89/584024889.db2.gz ZJIARPDUYKINLX-UHFFFAOYSA-N -1 1 344.331 -0.068 20 0 EBADMM O=C([O-])N1CC(OCCC(=O)N2CCN(C[C@H]3CCOC3)CC2)C1 ZINC000834073072 584025872 /nfs/dbraw/zinc/02/58/72/584025872.db2.gz JXJCVOYFNOMRMF-CYBMUJFWSA-N -1 1 341.408 -0.064 20 0 EBADMM O=C([O-])N[C@@H]1CC(=O)N(CN2CC[C@H](CN3CCOCC3)C2)C1 ZINC000834157157 584027204 /nfs/dbraw/zinc/02/72/04/584027204.db2.gz HJZOEGDIIWXCEA-CHWSQXEVSA-N -1 1 326.397 -0.534 20 0 EBADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@H]2CCCN(C(N)=O)C2)CCC1 ZINC000843017572 584069613 /nfs/dbraw/zinc/06/96/13/584069613.db2.gz JASGUPNDTZFBLV-NSHDSACASA-N -1 1 346.453 -0.293 20 0 EBADMM O=C(Cn1nnnc1-c1ccsc1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000843791308 584083067 /nfs/dbraw/zinc/08/30/67/584083067.db2.gz KQDBOQIVIZDXNC-VIFPVBQESA-N -1 1 347.364 -0.485 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC(=O)c2cn(C)nn2)o1 ZINC000845094049 584099715 /nfs/dbraw/zinc/09/97/15/584099715.db2.gz JWOZPOAVPMJTPV-UHFFFAOYSA-N -1 1 328.306 -0.644 20 0 EBADMM Cn1cc(C[C@@H](CO)[N-]S(=O)(=O)c2ccc(F)nc2F)cn1 ZINC000867418210 584125247 /nfs/dbraw/zinc/12/52/47/584125247.db2.gz FFZGTEGZFXXOMW-VIFPVBQESA-N -1 1 332.332 -0.025 20 0 EBADMM COC(=O)c1c[n-]c(S(=O)(=O)Cc2nnn(C(C)(C)C)n2)n1 ZINC000846768079 584130972 /nfs/dbraw/zinc/13/09/72/584130972.db2.gz ATBYJBJMWKSZDV-UHFFFAOYSA-N -1 1 328.354 -0.088 20 0 EBADMM CN1C[C@@H](C(=O)N2CCC(C[N-]C(=O)C(F)(F)F)CC2)NC1=O ZINC000847098116 584134867 /nfs/dbraw/zinc/13/48/67/584134867.db2.gz KNDPIECBUMFAMG-VIFPVBQESA-N -1 1 336.314 -0.073 20 0 EBADMM CC1=C(C(=O)N(C)C[C@H](C)c2nn[n-]n2)[C@@H](C)n2nnnc2N1C ZINC000847724976 584145572 /nfs/dbraw/zinc/14/55/72/584145572.db2.gz HSPDQTDIGHCMQL-IONNQARKSA-N -1 1 332.372 -0.267 20 0 EBADMM CN(C)S(=O)(=O)N1CCC[C@H](NC(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC000849233071 584167144 /nfs/dbraw/zinc/16/71/44/584167144.db2.gz WGUHPBCCMRIKDG-NKWVEPMBSA-N -1 1 347.315 -0.611 20 0 EBADMM CCOCCOC[C@H](O)C[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000849390720 584169066 /nfs/dbraw/zinc/16/90/66/584169066.db2.gz URVFFEXANWVRRE-MRVPVSSYSA-N -1 1 327.790 -0.245 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCCO[C@H]3CC3(F)F)ccnc1-2 ZINC000850623317 584181455 /nfs/dbraw/zinc/18/14/55/584181455.db2.gz ALLHLXWCWMZLNI-JTQLQIEISA-N -1 1 339.302 -0.179 20 0 EBADMM O=C([O-])[C@@H]1[C@H](NC(=O)C(F)(F)F)CCCN1C(=O)c1nc[nH]n1 ZINC000851704102 584200798 /nfs/dbraw/zinc/20/07/98/584200798.db2.gz SIMPXUJCXYBNKF-RITPCOANSA-N -1 1 335.242 -0.459 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@@H]2CC(C(N)=O)=NO2)cc1C ZINC000851786526 584202591 /nfs/dbraw/zinc/20/25/91/584202591.db2.gz XPTRAITVTCEBBH-ZETCQYMHSA-N -1 1 345.333 -0.717 20 0 EBADMM CS(=O)(=O)CCN1CCC[C@@H](NC(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC000852736782 584215348 /nfs/dbraw/zinc/21/53/48/584215348.db2.gz OJRYSHZEZPWHIL-SFYZADRCSA-N -1 1 346.327 -0.373 20 0 EBADMM CC(C)(C)OC(=O)N[C@@H]1CCO[C@@H]1C(=O)NN1CC(=O)[N-]C1=O ZINC000858542590 584289029 /nfs/dbraw/zinc/28/90/29/584289029.db2.gz MMEMBGVHSBCJPE-APPZFPTMSA-N -1 1 328.325 -0.748 20 0 EBADMM O=C([C@@H]1CNC(=O)c2ccccc21)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000859278188 584299001 /nfs/dbraw/zinc/29/90/01/584299001.db2.gz MJEOXHLXDNRIFQ-NEPJUHHUSA-N -1 1 328.332 -0.373 20 0 EBADMM CCOC[C@H]1CN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)CCO1 ZINC000862069621 584346633 /nfs/dbraw/zinc/34/66/33/584346633.db2.gz SKSARVPAGOAXBY-LLVKDONJSA-N -1 1 347.375 -0.456 20 0 EBADMM Cn1cc(N2C[C@H](C(=O)[N-]OCCN3CCCC3=O)CC2=O)cn1 ZINC000862217921 584349851 /nfs/dbraw/zinc/34/98/51/584349851.db2.gz XVLHXXFROVJKQB-LLVKDONJSA-N -1 1 335.364 -0.557 20 0 EBADMM COC(=O)Cc1ccc(CNC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC000862583531 584351999 /nfs/dbraw/zinc/35/19/99/584351999.db2.gz VUZBOPCSPUQROF-UHFFFAOYSA-N -1 1 345.355 -0.041 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1Cc2ccccc2NC1=O ZINC000862996316 584363886 /nfs/dbraw/zinc/36/38/86/584363886.db2.gz KENDTTYJZFYWIP-LBPRGKRZSA-N -1 1 328.328 -0.394 20 0 EBADMM O=C(CC[N-]S(=O)(=O)c1ccc(F)nc1F)N1CCOCC1 ZINC000866451137 584401345 /nfs/dbraw/zinc/40/13/45/584401345.db2.gz DNKXGXOOYAGKNJ-UHFFFAOYSA-N -1 1 335.332 -0.113 20 0 EBADMM CN1C[C@H]([N-]S(=O)(=O)c2cnn(C)c2C(F)(F)F)CC1=O ZINC000866695856 584403375 /nfs/dbraw/zinc/40/33/75/584403375.db2.gz ZFDPHQRJCLMONY-ZCFIWIBFSA-N -1 1 326.300 -0.052 20 0 EBADMM CCN(C)C(=O)[C@@H]([N-]S(=O)(=O)N=[S@](C)(=O)N(C)C)C(C)C ZINC000882229406 584586052 /nfs/dbraw/zinc/58/60/52/584586052.db2.gz KJLSOXFXFSQZHV-FVINQWEUSA-N -1 1 342.487 -0.102 20 0 EBADMM COC[C@H]([N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C)[C@@H]1CCCOC1 ZINC000885752897 584651845 /nfs/dbraw/zinc/65/18/45/584651845.db2.gz HJFPOFDWDWGXFA-QZXWKGOOSA-N -1 1 343.471 -0.163 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1C[C@@H](Cc2ccccc2)[C@H](O)C1 ZINC000890515554 584695744 /nfs/dbraw/zinc/69/57/44/584695744.db2.gz FDVHXFODFKXRLO-HUUCEWRRSA-N -1 1 343.383 -0.011 20 0 EBADMM O=C([O-])C1CCN(CC(=O)N2CCN(c3cnccn3)CC2)CC1 ZINC000740482135 596917078 /nfs/dbraw/zinc/91/70/78/596917078.db2.gz GZEJPGZJWIYTJM-UHFFFAOYSA-N -1 1 333.392 -0.078 20 0 EBADMM O=C([O-])[C@@H]1CN(CC2=Nc3ccccc3S(=O)(=O)N2)CCO1 ZINC000738549224 599966492 /nfs/dbraw/zinc/96/64/92/599966492.db2.gz RLNGYXMBUNHJIN-JTQLQIEISA-N -1 1 325.346 -0.206 20 0 EBADMM O=C([O-])CCCCNC(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC000739671183 600186154 /nfs/dbraw/zinc/18/61/54/600186154.db2.gz YRQABPOPMYEVGF-AWEZNQCLSA-N -1 1 342.440 -0.053 20 0 EBADMM O=C([O-])CCCCNC(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC000739671185 600186287 /nfs/dbraw/zinc/18/62/87/600186287.db2.gz YRQABPOPMYEVGF-CQSZACIVSA-N -1 1 342.440 -0.053 20 0 EBADMM CN1CCN(C)[C@@H](CNC(=O)NC[C@@H]2CCCN(C(=O)[O-])C2)C1 ZINC000739733524 602587919 /nfs/dbraw/zinc/58/79/19/602587919.db2.gz DKANWEKYYGEJIP-STQMWFEESA-N -1 1 327.429 -0.079 20 0 EBADMM CN1CCN(C)[C@@H](CNC(=O)N2CCC(CNC(=O)[O-])CC2)C1 ZINC000739735536 602588115 /nfs/dbraw/zinc/58/81/15/602588115.db2.gz SLGUZQSUZZVFNG-ZDUSSCGKSA-N -1 1 327.429 -0.079 20 0 EBADMM CN1CCN(C)[C@@H](CNC(=O)N2CCC[C@@H](CNC(=O)[O-])C2)C1 ZINC000739733604 602637190 /nfs/dbraw/zinc/63/71/90/602637190.db2.gz FSTKGQIDZMRWHR-STQMWFEESA-N -1 1 327.429 -0.079 20 0 EBADMM COC1(C(=O)N[C@@H](C)CN2CCN(C)CC2)CCN(C(=O)[O-])CC1 ZINC000739799261 602736232 /nfs/dbraw/zinc/73/62/32/602736232.db2.gz RGZUCCOTXLUJQL-ZDUSSCGKSA-N -1 1 342.440 -0.103 20 0 EBADMM COc1cc(NC(=O)C(=O)NCc2nn[nH]n2)ccc1NC(=O)[O-] ZINC000739868669 602763001 /nfs/dbraw/zinc/76/30/01/602763001.db2.gz UUNUNQMBHMUVGR-UHFFFAOYSA-N -1 1 335.280 -0.447 20 0 EBADMM C[C@@H]1CN(C(=O)NC[C@H]2CN(C)CCN2C)CC[C@H]1NC(=O)[O-] ZINC000739130704 602834894 /nfs/dbraw/zinc/83/48/94/602834894.db2.gz AVBACDYVQXCLKC-FRRDWIJNSA-N -1 1 327.429 -0.080 20 0 EBADMM O=C([O-])N1CCOC[C@@H]1C(=O)N1CCN(CC2CCOCC2)CC1 ZINC000740348448 602914898 /nfs/dbraw/zinc/91/48/98/602914898.db2.gz LUKHCPTVPNFQES-CQSZACIVSA-N -1 1 341.408 -0.064 20 0 EBADMM CC(C)CN1CCN(C(=O)CN2CCN(C(=O)[O-])CC2=O)CC1 ZINC000738819172 603013481 /nfs/dbraw/zinc/01/34/81/603013481.db2.gz FUPHXNWIFPAUHA-UHFFFAOYSA-N -1 1 326.397 -0.391 20 0 EBADMM O=C([O-])N1C[C@H](O)C[C@@H]1C(=O)N1CCC(CN2CCOCC2)CC1 ZINC000740341504 603071323 /nfs/dbraw/zinc/07/13/23/603071323.db2.gz ORUCJDAVQLPZPC-ZIAGYGMSSA-N -1 1 341.408 -0.330 20 0 EBADMM CN(CCCNC(=O)[O-])CC(=O)N1CCN(C(=O)C2CC2)CC1 ZINC000739675368 603252168 /nfs/dbraw/zinc/25/21/68/603252168.db2.gz BRJNLVOYWIJOPM-UHFFFAOYSA-N -1 1 326.397 -0.343 20 0 EBADMM O=C([O-])N1CC[C@@H](C(=O)N[C@H]2CCN(CCN3CCOCC3)C2)C1 ZINC000740400586 603316502 /nfs/dbraw/zinc/31/65/02/603316502.db2.gz QIQIDXCNUXXRML-KGLIPLIRSA-N -1 1 340.424 -0.491 20 0 EBADMM O=C([O-])N[C@@H]1CCN(C[C@H](O)CN2C(=O)c3ccccc3C2=O)C1 ZINC000740592702 604369873 /nfs/dbraw/zinc/36/98/73/604369873.db2.gz ASXPBKUWLSUGGZ-MNOVXSKESA-N -1 1 333.344 -0.015 20 0 EBADMM COC(=O)[C@@H]1CN(CC[C@H](C)N2CCO[C@H](C(=O)[O-])C2)CCO1 ZINC000831114902 604435911 /nfs/dbraw/zinc/43/59/11/604435911.db2.gz RWDJFLIODUWMJF-AVGNSLFASA-N -1 1 330.381 -0.576 20 0 EBADMM C[C@@]12CCC(=O)N1[C@@H](C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-])CS2 ZINC000378721994 604896791 /nfs/dbraw/zinc/89/67/91/604896791.db2.gz RCKRHLRUDSIMSW-IMSIIYSGSA-N -1 1 338.389 -0.024 20 0 EBADMM CNC(=O)NC(=O)COC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000057604773 649959633 /nfs/dbraw/zinc/95/96/33/649959633.db2.gz AIMYVKMISDKFED-UHFFFAOYSA-N -1 1 349.347 -0.017 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)CN2CC[C@H](NC(=O)[O-])C2)C1 ZINC000738825313 605910875 /nfs/dbraw/zinc/91/08/75/605910875.db2.gz ORVCTNYYMURQTK-UONOGXRCSA-N -1 1 342.440 -0.199 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CCc3ccccc3C2)c(=O)n(C)c1=O ZINC000070829431 649968709 /nfs/dbraw/zinc/96/87/09/649968709.db2.gz BCCWCLJETQPZHH-CYBMUJFWSA-N -1 1 349.412 -0.080 20 0 EBADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-][C@H]1CCOC12CCOCC2 ZINC000908195634 650098062 /nfs/dbraw/zinc/09/80/62/650098062.db2.gz ZKQKFDKCDNITLU-WVDJIFEKSA-N -1 1 341.455 -0.267 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@@H]2COCCN2CC(F)F)CC1 ZINC000910176182 650109127 /nfs/dbraw/zinc/10/91/27/650109127.db2.gz ZTOWPASKADCHOB-NEPJUHHUSA-N -1 1 349.378 -0.040 20 0 EBADMM CCS(=O)(=O)C[C@H](C)[N-]S(=O)(=O)c1n[nH]cc1C(=O)OC ZINC000912542241 650207369 /nfs/dbraw/zinc/20/73/69/650207369.db2.gz MWFDHIWMVWWYBT-ZETCQYMHSA-N -1 1 339.395 -0.702 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@]1(C)CC(C)(C)OC1=O ZINC000912554300 650208348 /nfs/dbraw/zinc/20/83/48/650208348.db2.gz WTJYCVQTZAXMAV-GFCCVEGCSA-N -1 1 331.350 -0.041 20 0 EBADMM CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@@H]1C[C@@H](C)OC1=O ZINC000912551711 650208518 /nfs/dbraw/zinc/20/85/18/650208518.db2.gz CXQHMQHQRITFGP-HTRCEHHLSA-N -1 1 331.350 -0.123 20 0 EBADMM CC(C)[C@H]([N-]C(=O)C(F)(F)F)C(=O)NC[C@]1(CO)COCCN1 ZINC000913185448 650224491 /nfs/dbraw/zinc/22/44/91/650224491.db2.gz LTUXFRZFYYBZGY-CABZTGNLSA-N -1 1 341.330 -0.843 20 0 EBADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)[C@@H]2COC[C@H]2O)C(=O)N1c1ccccc1 ZINC000914195054 650255070 /nfs/dbraw/zinc/25/50/70/650255070.db2.gz CWYAMCWNMXVTRX-YXCITZCRSA-N -1 1 340.401 -0.141 20 0 EBADMM O=S(=O)([N-]CC12COCCN1CCOC2)c1c[nH]nc1Cl ZINC000918379112 650289071 /nfs/dbraw/zinc/28/90/71/650289071.db2.gz RYELEMFQWRZPOQ-UHFFFAOYSA-N -1 1 336.801 -0.557 20 0 EBADMM CCN(C(=O)C[N-]S(=O)(=O)[C@@H]1COC[C@H]1O)c1ccccc1 ZINC000921003788 650315777 /nfs/dbraw/zinc/31/57/77/650315777.db2.gz SLZYGDCTFDMVSB-CHWSQXEVSA-N -1 1 328.390 -0.281 20 0 EBADMM CCC(=O)N1CCCC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000937133371 651648111 /nfs/dbraw/zinc/64/81/11/651648111.db2.gz NCBYOWKIKLGMLN-JTQLQIEISA-N -1 1 332.364 -0.062 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)CN2CCOCC2)C1 ZINC000937181723 651663527 /nfs/dbraw/zinc/66/35/27/651663527.db2.gz RWTXGCBWRSDUTI-ZDUSSCGKSA-N -1 1 348.403 -0.208 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@H]2COCCN2C)C1 ZINC000937193189 651668834 /nfs/dbraw/zinc/66/88/34/651668834.db2.gz FYOISOPHGJURIK-CHWSQXEVSA-N -1 1 348.403 -0.209 20 0 EBADMM CN(C(=O)[C@@H]1CCNC(=O)C1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937211841 651680026 /nfs/dbraw/zinc/68/00/26/651680026.db2.gz PQLRSCGJWXUBMF-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)c2ncn(C)n2)C1 ZINC000937311130 651729821 /nfs/dbraw/zinc/72/98/21/651729821.db2.gz GYUKAFJWZVDEFF-JTQLQIEISA-N -1 1 330.348 -0.098 20 0 EBADMM O=C(CC1CC1)N[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000937597740 651853136 /nfs/dbraw/zinc/85/31/36/651853136.db2.gz SGVOXDXGGDZCNG-LBPRGKRZSA-N -1 1 334.376 -0.556 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H](NC(=O)c2ccc[nH]2)C1 ZINC000937672921 651874935 /nfs/dbraw/zinc/87/49/35/651874935.db2.gz RJSZPYYXAZKQSG-QMMMGPOBSA-N -1 1 347.331 -0.131 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H](NC(=O)c2cn[nH]c2)C1 ZINC000937708243 651887405 /nfs/dbraw/zinc/88/74/05/651887405.db2.gz SYUUTJJXOJQUIZ-QMMMGPOBSA-N -1 1 348.319 -0.736 20 0 EBADMM O=C(C=C1CCC1)N[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000937810980 651928110 /nfs/dbraw/zinc/92/81/10/651928110.db2.gz FPWDBLZDPOEHBL-ZDUSSCGKSA-N -1 1 346.387 -0.246 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1=CCCC1 ZINC000937818743 651931532 /nfs/dbraw/zinc/93/15/32/651931532.db2.gz KTMVEWXKTPPZET-NSHDSACASA-N -1 1 342.359 -0.141 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H](NC(=O)C2=CCCC2)C1 ZINC000937819626 651932563 /nfs/dbraw/zinc/93/25/63/651932563.db2.gz BFHHJFQAAKWMSQ-ZDUSSCGKSA-N -1 1 346.387 -0.246 20 0 EBADMM C[C@H](C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)n1cncn1 ZINC000937848966 651946412 /nfs/dbraw/zinc/94/64/12/651946412.db2.gz QIPNDEBWPPHSLE-MNOVXSKESA-N -1 1 330.348 -0.029 20 0 EBADMM O=C(CN1CN=NC1=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937849826 651948127 /nfs/dbraw/zinc/94/81/27/651948127.db2.gz LAZWMYAPXCBZIT-VIFPVBQESA-N -1 1 332.320 -0.885 20 0 EBADMM C[C@@H]1OCCO[C@@H]1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937863071 651959037 /nfs/dbraw/zinc/95/90/37/651959037.db2.gz CFSADHDHVZLJDU-MJVIPROJSA-N -1 1 335.360 -0.078 20 0 EBADMM O=C(CCc1cn[nH]n1)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937866210 651960221 /nfs/dbraw/zinc/96/02/21/651960221.db2.gz WYKDMLVWPYEQSP-NSHDSACASA-N -1 1 330.348 -0.131 20 0 EBADMM O=C(CCc1c[nH]nn1)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937866210 651960222 /nfs/dbraw/zinc/96/02/22/651960222.db2.gz WYKDMLVWPYEQSP-NSHDSACASA-N -1 1 330.348 -0.131 20 0 EBADMM CCN1C[C@H](C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC000938091861 652074649 /nfs/dbraw/zinc/07/46/49/652074649.db2.gz NUCMDAIIYNLURK-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCN(C(=O)c2ncc[nH]2)C1 ZINC000938311148 652188793 /nfs/dbraw/zinc/18/87/93/652188793.db2.gz HRDDYHRHHSOEEX-ZETCQYMHSA-N -1 1 348.319 -0.736 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H]1CCN(C(=O)C(N)=O)C1 ZINC000938394536 652230105 /nfs/dbraw/zinc/23/01/05/652230105.db2.gz AAAFFZCLJAPBCI-VIFPVBQESA-N -1 1 335.364 -0.570 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@H]2CCC(=O)N2)C1 ZINC000936896388 652236096 /nfs/dbraw/zinc/23/60/96/652236096.db2.gz GVXPLSRCBBWKDE-GHMZBOCLSA-N -1 1 332.360 -0.261 20 0 EBADMM CC[C@@H](C)C(=O)N1CCN(C2CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC000941320305 652660802 /nfs/dbraw/zinc/66/08/02/652660802.db2.gz ZJBVMJISPVNCQM-GFCCVEGCSA-N -1 1 336.440 -0.517 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@@H]1CNC(=O)C1CCC1 ZINC000944139604 652692588 /nfs/dbraw/zinc/69/25/88/652692588.db2.gz KTTAKBXHDYOXIN-CYBMUJFWSA-N -1 1 348.403 -0.166 20 0 EBADMM Cc1nc(C(=O)NC[C@H]2CCCN2C(=O)Cn2c(=O)[n-][nH]c2=O)c[nH]1 ZINC000944173990 652702212 /nfs/dbraw/zinc/70/22/12/652702212.db2.gz FZZIPAXOEWTULG-SECBINFHSA-N -1 1 349.351 -0.858 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CCC[C@@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC000944177877 652704035 /nfs/dbraw/zinc/70/40/35/652704035.db2.gz SNHZNITXZZMCKM-SECBINFHSA-N -1 1 333.352 -0.115 20 0 EBADMM CN1CC[C@H](C(=O)N2CCC[C@@H]2CNC(=O)c2ncccc2[O-])C1=O ZINC000944214937 652715947 /nfs/dbraw/zinc/71/59/47/652715947.db2.gz RTCRWKZWJZNQOC-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCC(=O)NC1 ZINC000941423160 652730269 /nfs/dbraw/zinc/73/02/69/652730269.db2.gz FPUZIXRIRTWYKR-GHMZBOCLSA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(C[C@H]1CC(=O)NC1=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000941479429 652762188 /nfs/dbraw/zinc/76/21/88/652762188.db2.gz ZYRIKTLJNFJSRQ-VHSXEESVSA-N -1 1 346.343 -0.829 20 0 EBADMM C[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000938893892 652762809 /nfs/dbraw/zinc/76/28/09/652762809.db2.gz WRXZWBCABHOLIP-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)C1(C)CC1)[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000938901855 652766519 /nfs/dbraw/zinc/76/65/19/652766519.db2.gz ROURBGHYFQPBMB-SNVBAGLBSA-N -1 1 344.375 -0.109 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)[C@@H]1CC12CC2 ZINC000938972902 652787967 /nfs/dbraw/zinc/78/79/67/652787967.db2.gz BXQMRULRNILPTB-UWVGGRQHSA-N -1 1 342.359 -0.452 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H](NC(=O)[C@H]2CC23CC3)C1 ZINC000938973386 652788171 /nfs/dbraw/zinc/78/81/71/652788171.db2.gz QANHXVOJKXTVAA-NWDGAFQWSA-N -1 1 346.387 -0.556 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H](NC(=O)[C@@H]2CC23CC3)C1 ZINC000938973387 652788198 /nfs/dbraw/zinc/78/81/98/652788198.db2.gz QANHXVOJKXTVAA-RYUDHWBXSA-N -1 1 346.387 -0.556 20 0 EBADMM Cn1nccc1C(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC000944514190 652821161 /nfs/dbraw/zinc/82/11/61/652821161.db2.gz VATMXORDFBKRBZ-LLVKDONJSA-N -1 1 345.359 -0.208 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ccnn2C)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941601537 652825904 /nfs/dbraw/zinc/82/59/04/652825904.db2.gz WVBKHQHTMVIAED-ZWNOBZJWSA-N -1 1 345.359 -0.210 20 0 EBADMM CO[C@@H]1CN(C(=O)c2cnsn2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941600858 652825925 /nfs/dbraw/zinc/82/59/25/652825925.db2.gz IYUBLZIWCREYKQ-MWLCHTKSSA-N -1 1 349.372 -0.092 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2CN(C(=O)Cc3ccn[nH]3)CCO2)c1[O-] ZINC000944551061 652851506 /nfs/dbraw/zinc/85/15/06/652851506.db2.gz WCRPVFAKMNHPBO-NSHDSACASA-N -1 1 348.363 -0.653 20 0 EBADMM C[C@@H]1CN(C(=O)Cn2cc(Cl)cn2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939221067 652860463 /nfs/dbraw/zinc/86/04/63/652860463.db2.gz KYCKVZDYILRUBP-PSASIEDQSA-N -1 1 339.787 -0.003 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2nc[nH]n2)CCO1)c1ncccc1[O-] ZINC000944565010 652864045 /nfs/dbraw/zinc/86/40/45/652864045.db2.gz MUMZZWJHBRAVMZ-VIFPVBQESA-N -1 1 332.320 -0.824 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCN(C(=O)CSC)C1 ZINC000941662908 652864180 /nfs/dbraw/zinc/86/41/80/652864180.db2.gz IEWFYCLDUBAQRK-SSDOTTSWSA-N -1 1 342.377 -0.410 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)C2CCC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000941664047 652866755 /nfs/dbraw/zinc/86/67/55/652866755.db2.gz LDSXCDLQNGIHEQ-SNVBAGLBSA-N -1 1 330.348 -0.452 20 0 EBADMM Cc1c(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)cnn1C ZINC000941822990 652923273 /nfs/dbraw/zinc/92/32/73/652923273.db2.gz FIGBMQLODNEVCZ-GWCFXTLKSA-N -1 1 347.423 -0.209 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1ccn(C)n1 ZINC000941856874 652932429 /nfs/dbraw/zinc/93/24/29/652932429.db2.gz ZOJNZYFHAWITNL-DGCLKSJQSA-N -1 1 345.359 -0.210 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)nn1C ZINC000941869127 652935798 /nfs/dbraw/zinc/93/57/98/652935798.db2.gz GFSSYARECJISAP-JQWIXIFHSA-N -1 1 347.423 -0.209 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)ncn1 ZINC000941965212 653008594 /nfs/dbraw/zinc/00/85/94/653008594.db2.gz WYHPJZFLWNHNHX-JQWIXIFHSA-N -1 1 345.407 -0.153 20 0 EBADMM CCn1nccc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC000941989055 653013951 /nfs/dbraw/zinc/01/39/51/653013951.db2.gz JYUPESYJLCVDSS-VXGBXAGGSA-N -1 1 347.423 -0.035 20 0 EBADMM CCn1nncc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC000942023231 653022999 /nfs/dbraw/zinc/02/29/99/653022999.db2.gz XQMGYZNPDZDBMB-GHMZBOCLSA-N -1 1 348.411 -0.640 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1C ZINC000942155677 653046813 /nfs/dbraw/zinc/04/68/13/653046813.db2.gz JURHCCPIWZZZRE-WPRPVWTQSA-N -1 1 349.395 -0.514 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC000942155675 653047211 /nfs/dbraw/zinc/04/72/11/653047211.db2.gz JURHCCPIWZZZRE-SCZZXKLOSA-N -1 1 349.395 -0.514 20 0 EBADMM C[C@@H]1CN(C(=O)c2cc(C(N)=O)ccn2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939888777 653063212 /nfs/dbraw/zinc/06/32/12/653063212.db2.gz JYSVCXKDFZKBQX-LDYMZIIASA-N -1 1 345.363 -0.746 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC000939916384 653067707 /nfs/dbraw/zinc/06/77/07/653067707.db2.gz SWKNZUGMSSPGDC-GXSJLCMTSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H](NC(=O)C2=CCCC2)C1 ZINC000939995052 653072718 /nfs/dbraw/zinc/07/27/18/653072718.db2.gz BFHHJFQAAKWMSQ-CYBMUJFWSA-N -1 1 346.387 -0.246 20 0 EBADMM C[C@H]1CN(Cc2cnn(C)c2)CC[C@@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC000942305723 653078695 /nfs/dbraw/zinc/07/86/95/653078695.db2.gz VHUBPVYQYCAVKK-ONGXEEELSA-N -1 1 347.379 -0.343 20 0 EBADMM CN1CCOC[C@@H]1C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000940091170 653080473 /nfs/dbraw/zinc/08/04/73/653080473.db2.gz OJGDEHYKPQRWQA-VXGBXAGGSA-N -1 1 334.376 -0.552 20 0 EBADMM Cn1cc(CCC(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)nn1 ZINC000940129867 653084586 /nfs/dbraw/zinc/08/45/86/653084586.db2.gz CPBDJPYKDYJIOO-LLVKDONJSA-N -1 1 344.375 -0.121 20 0 EBADMM O=C(CN1CN=NC1=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940132448 653084821 /nfs/dbraw/zinc/08/48/21/653084821.db2.gz ZMGCTMZQNBUQEI-SECBINFHSA-N -1 1 332.320 -0.885 20 0 EBADMM CN1CCO[C@@H](C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000940132370 653085030 /nfs/dbraw/zinc/08/50/30/653085030.db2.gz VVJMMYYYHFDEFH-DGCLKSJQSA-N -1 1 334.376 -0.552 20 0 EBADMM CC(=O)N1CC(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000940132168 653085213 /nfs/dbraw/zinc/08/52/13/653085213.db2.gz PESXEAFTEXAMQI-GFCCVEGCSA-N -1 1 332.360 -0.404 20 0 EBADMM CN(C)C(=O)[C@@H]1C[C@@H]1C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000942436726 653102822 /nfs/dbraw/zinc/10/28/22/653102822.db2.gz OEWXROZJTLWYRJ-GRYCIOLGSA-N -1 1 346.387 -0.158 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1csnn1 ZINC000942537497 653136112 /nfs/dbraw/zinc/13/61/12/653136112.db2.gz BQKBCZKMDACHRR-RKDXNWHRSA-N -1 1 337.409 -0.400 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1c[nH]c(=O)n1C ZINC000942559771 653146469 /nfs/dbraw/zinc/14/64/69/653146469.db2.gz GOWKVAAAYZKDDZ-ZJUUUORDSA-N -1 1 349.395 -0.812 20 0 EBADMM CC(C)C(=O)N1CCO[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000944682545 653152390 /nfs/dbraw/zinc/15/23/90/653152390.db2.gz QWGYMIGFBZLDIY-JTQLQIEISA-N -1 1 348.363 -0.969 20 0 EBADMM CCCN1C[C@H](C(=O)N2CCC(NC(=O)c3cnn[nH]3)CC2)CC1=O ZINC000946766372 653213155 /nfs/dbraw/zinc/21/31/55/653213155.db2.gz SGAGFIWJAQDYFI-LLVKDONJSA-N -1 1 348.407 -0.216 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)Cc1nc[nH]n1 ZINC000946862691 653252426 /nfs/dbraw/zinc/25/24/26/653252426.db2.gz AYVOEBBBMUSLKR-MWLCHTKSSA-N -1 1 346.347 -0.896 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)Cc1nnc[nH]1 ZINC000946862691 653252432 /nfs/dbraw/zinc/25/24/32/653252432.db2.gz AYVOEBBBMUSLKR-MWLCHTKSSA-N -1 1 346.347 -0.896 20 0 EBADMM Cc1nc(CC(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[n-]3)CC2(C)C)n[nH]1 ZINC000940733866 653270574 /nfs/dbraw/zinc/27/05/74/653270574.db2.gz SBMRODXUKUOIDY-JTQLQIEISA-N -1 1 348.411 -0.104 20 0 EBADMM O=C(c1ncccc1[O-])N1CCCN(C(=O)[C@@H]2CCNC(=O)C2)CC1 ZINC000940734642 653271423 /nfs/dbraw/zinc/27/14/23/653271423.db2.gz QPDRWCFFHPJKPU-GFCCVEGCSA-N -1 1 346.387 -0.012 20 0 EBADMM CN1C[C@H](C(=O)N2CCC(NC(=O)c3ncccc3[O-])CC2)CC1=O ZINC000947028538 653294191 /nfs/dbraw/zinc/29/41/91/653294191.db2.gz VVUNJRVWJPAOIW-LLVKDONJSA-N -1 1 346.387 -0.014 20 0 EBADMM Cc1c(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H](C)C2)nnn1C ZINC000947157871 653328207 /nfs/dbraw/zinc/32/82/07/653328207.db2.gz SASYPELZJMRHDX-KOLCDFICSA-N -1 1 348.411 -0.672 20 0 EBADMM CCC(=O)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H](C)C1 ZINC000945079457 653341228 /nfs/dbraw/zinc/34/12/28/653341228.db2.gz RWTUAIVVBHBMSO-UWVGGRQHSA-N -1 1 332.364 -0.206 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)Cc2cncnc2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947258486 653350126 /nfs/dbraw/zinc/35/01/26/653350126.db2.gz SMKWGYADTOOFJG-DGCLKSJQSA-N -1 1 345.407 -0.390 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccn[nH]2)CC[C@H]1NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC000945194720 653362658 /nfs/dbraw/zinc/36/26/58/653362658.db2.gz GOTRYQZAUOPVOK-RKDXNWHRSA-N -1 1 349.351 -0.921 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H](C)C2)nn1 ZINC000947307044 653363158 /nfs/dbraw/zinc/36/31/58/653363158.db2.gz UFADDGBUTJQKRM-NWDGAFQWSA-N -1 1 345.407 -0.010 20 0 EBADMM CC(C)=CC(=O)N1C[C@@H](C)[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000947316850 653368440 /nfs/dbraw/zinc/36/84/40/653368440.db2.gz WIGINWCITBRKGY-ZYHUDNBSSA-N -1 1 344.375 -0.040 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N[C@H]1CCCCN(C(=O)c2ccn[nH]2)C1 ZINC000943408961 653376101 /nfs/dbraw/zinc/37/61/01/653376101.db2.gz MWGUTUJBFSIFGV-VIFPVBQESA-N -1 1 349.351 -0.777 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ccncn3)C(C)(C)C2)nc1=O ZINC000941107017 653415549 /nfs/dbraw/zinc/41/55/49/653415549.db2.gz IECNYVMURGNTDV-GFCCVEGCSA-N -1 1 345.407 -0.071 20 0 EBADMM CCC(=O)N[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1C ZINC000945401337 653438826 /nfs/dbraw/zinc/43/88/26/653438826.db2.gz DSDPQIWAZQLAHB-VXGBXAGGSA-N -1 1 336.392 -0.310 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)Cc2cnoc2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947644013 653446541 /nfs/dbraw/zinc/44/65/41/653446541.db2.gz UGAJLDGKAFNSEG-ZYHUDNBSSA-N -1 1 334.380 -0.192 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)Cn1cccn1 ZINC000941197709 653447627 /nfs/dbraw/zinc/44/76/27/653447627.db2.gz GPQLBVGYVMLCIH-DGCLKSJQSA-N -1 1 345.359 -0.361 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)Cn3cncn3)C(C)(C)C2)nc1=O ZINC000941204705 653450734 /nfs/dbraw/zinc/45/07/34/653450734.db2.gz SDEPYQXQASDKOL-LLVKDONJSA-N -1 1 348.411 -0.883 20 0 EBADMM COCC(=O)N1CCC[C@@H]1CNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000943820641 653477736 /nfs/dbraw/zinc/47/77/36/653477736.db2.gz TYJRAQUVTONLDQ-MRVPVSSYSA-N -1 1 340.336 -0.736 20 0 EBADMM CO[C@H](C)C(=O)N1C[C@@H](C)[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000947803271 653485550 /nfs/dbraw/zinc/48/55/50/653485550.db2.gz WNWNQCURYIELDE-FXPVBKGRSA-N -1 1 348.363 -0.971 20 0 EBADMM CN1CCO[C@@H](C(=O)N2CCC[C@@H]2CNC(=O)c2ncccc2[O-])C1 ZINC000943928369 653495843 /nfs/dbraw/zinc/49/58/43/653495843.db2.gz TZBMGERRORWNKT-TZMCWYRMSA-N -1 1 348.403 -0.161 20 0 EBADMM CN1CCOC[C@@H]1C(=O)NC[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000943976136 653500826 /nfs/dbraw/zinc/50/08/26/653500826.db2.gz CDICJRCDHCSCGZ-CHWSQXEVSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2ccc(=O)[nH]c2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947998725 653510889 /nfs/dbraw/zinc/51/08/89/653510889.db2.gz NWZPSCFPGPZBOQ-CMPLNLGQSA-N -1 1 346.391 -0.008 20 0 EBADMM Cc1nonc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@H](C)C1 ZINC000948095351 653522455 /nfs/dbraw/zinc/52/24/55/653522455.db2.gz VUQDKTRNYRHNHU-PSASIEDQSA-N -1 1 335.368 -0.417 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1ncn(C)n1 ZINC000948629816 653564254 /nfs/dbraw/zinc/56/42/54/653564254.db2.gz BVOBYPRFQCSVIZ-NXEZZACHSA-N -1 1 330.348 -0.194 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@H]1CN(C)C(=O)N1 ZINC000948630202 653564320 /nfs/dbraw/zinc/56/43/20/653564320.db2.gz RCTSFLZQRKUYBA-GMTAPVOTSA-N -1 1 347.375 -0.613 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C)C1 ZINC000948629833 653564349 /nfs/dbraw/zinc/56/43/49/653564349.db2.gz DBNDETYWIDFWKY-ZWNOBZJWSA-N -1 1 346.387 -0.158 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cc4c([nH]3)CCOC4)CC2)nc1=O ZINC000948708926 653567852 /nfs/dbraw/zinc/56/78/52/653567852.db2.gz FZNZSMYEKGPETH-UHFFFAOYSA-N -1 1 346.391 -0.533 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)C[C@@H]3CC(C)(C)CO3)CC2)nc1=O ZINC000949249842 653849183 /nfs/dbraw/zinc/84/91/83/653849183.db2.gz CUSXHNXXOCRIRC-GFCCVEGCSA-N -1 1 337.424 -0.042 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3ncc4ccccn43)CC2)nc1=O ZINC000949286047 653854548 /nfs/dbraw/zinc/85/45/48/653854548.db2.gz ODWDYGGQQSTFMQ-UHFFFAOYSA-N -1 1 341.375 -0.286 20 0 EBADMM CC(=O)N1CC[C@H](C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])C1 ZINC000949537813 653922826 /nfs/dbraw/zinc/92/28/26/653922826.db2.gz CCSUFFZDVWXXPZ-QWHCGFSZSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(CN1CCCNC1=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949535534 653922914 /nfs/dbraw/zinc/92/29/14/653922914.db2.gz WVLVCNSKKQTWOY-LLVKDONJSA-N -1 1 347.375 -0.467 20 0 EBADMM Cn1ncc(C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])c1N ZINC000949535680 653922977 /nfs/dbraw/zinc/92/29/77/653922977.db2.gz YDDUDLIPCXTDEP-VIFPVBQESA-N -1 1 330.348 -0.253 20 0 EBADMM C[C@]1(C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])CCNC1=O ZINC000949539036 653923608 /nfs/dbraw/zinc/92/36/08/653923608.db2.gz WSHOCJHSVUKPIH-HWPZZCPQSA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(Cn1ccccc1=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949539022 653923771 /nfs/dbraw/zinc/92/37/71/653923771.db2.gz WHOJHEWMBQTQSQ-GFCCVEGCSA-N -1 1 342.355 -0.020 20 0 EBADMM CN1C[C@H](C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])CCC1=O ZINC000949541286 653923999 /nfs/dbraw/zinc/92/39/99/653923999.db2.gz DPEWCUPISMSVRY-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(N[C@H]1CCCN(C(=O)C2CCC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000949624958 653938975 /nfs/dbraw/zinc/93/89/75/653938975.db2.gz JZMXTXFUDINHLQ-NSHDSACASA-N -1 1 344.375 -0.062 20 0 EBADMM CCCC(=O)N1CCC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000949742410 653962498 /nfs/dbraw/zinc/96/24/98/653962498.db2.gz GEEZMWJEPXPJRJ-JTQLQIEISA-N -1 1 332.364 -0.062 20 0 EBADMM CO[C@@H]1CCC[C@H](C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)C1 ZINC000949935447 654003066 /nfs/dbraw/zinc/00/30/66/654003066.db2.gz NXRLHANVYZVPQP-QWHCGFSZSA-N -1 1 337.424 -0.042 20 0 EBADMM C[C@@H]1CN(C(=O)C(C)(C)C(N)=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000949986470 654013682 /nfs/dbraw/zinc/01/36/82/654013682.db2.gz VKMJKQGEESJVAZ-NXEZZACHSA-N -1 1 334.376 -0.125 20 0 EBADMM CC(=O)N1CC[C@@H](C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])C1 ZINC000951524546 654172511 /nfs/dbraw/zinc/17/25/11/654172511.db2.gz OVZBKVZUEGTWRX-CHWSQXEVSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1)[C@H]1CNC(=O)N1 ZINC000950542593 654173676 /nfs/dbraw/zinc/17/36/76/654173676.db2.gz FKGGZNTTYSORSR-NXEZZACHSA-N -1 1 333.348 -0.811 20 0 EBADMM CCc1ccc(CC(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)nc1 ZINC000950608768 654195310 /nfs/dbraw/zinc/19/53/10/654195310.db2.gz TYJWAGLIDCKGDE-UHFFFAOYSA-N -1 1 344.419 -0.047 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3noc4c3CCCC4)CC2)nc1=O ZINC000950679381 654216832 /nfs/dbraw/zinc/21/68/32/654216832.db2.gz ZRKSZWLCOGUZOX-UHFFFAOYSA-N -1 1 346.391 -0.067 20 0 EBADMM Cc1n[nH]c(C)c1[C@H](C)C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000951786591 654281851 /nfs/dbraw/zinc/28/18/51/654281851.db2.gz HADYVSJIBYJZMY-JTQLQIEISA-N -1 1 347.423 -0.104 20 0 EBADMM NC(=O)C(=O)N[C@H]1CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000950847147 654284796 /nfs/dbraw/zinc/28/47/96/654284796.db2.gz OATMXYPNQKYDHP-VIFPVBQESA-N -1 1 333.348 -0.734 20 0 EBADMM CN1CC[C@@H](C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])CC1=O ZINC000950953403 654325121 /nfs/dbraw/zinc/32/51/21/654325121.db2.gz ZBBWOSAREDDUMA-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cnc(C4CC4)nc3)CC2)nc1=O ZINC000951219211 654420411 /nfs/dbraw/zinc/42/04/11/654420411.db2.gz URMMCEWUWZTNKB-UHFFFAOYSA-N -1 1 343.391 -0.266 20 0 EBADMM CC[C@@H](F)C(=O)N1CC[C@H]1CNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000951222264 654422968 /nfs/dbraw/zinc/42/29/68/654422968.db2.gz IYKLQRWTCGRDGF-JGVFFNPUSA-N -1 1 342.327 -0.025 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCN1C(=O)CSC ZINC000951222669 654424173 /nfs/dbraw/zinc/42/41/73/654424173.db2.gz PMZJDPXHERVXKR-SSDOTTSWSA-N -1 1 342.377 -0.410 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCN1C(=O)[C@@H]1C[C@H]1C ZINC000951223117 654424781 /nfs/dbraw/zinc/42/47/81/654424781.db2.gz YTQVNNZFUWOZHX-IWSPIJDZSA-N -1 1 336.348 -0.117 20 0 EBADMM CC1(CC(=O)N2CC[C@@H]2CNC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000951224688 654425292 /nfs/dbraw/zinc/42/52/92/654425292.db2.gz LHPTXWQYASUSTN-SNVBAGLBSA-N -1 1 344.375 -0.062 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)C(F)F)c1cc(=O)n2[n-]cnc2n1 ZINC000951225316 654426085 /nfs/dbraw/zinc/42/60/85/654426085.db2.gz ZBHDPXHTFNQRIH-ZCFIWIBFSA-N -1 1 326.263 -0.987 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cccc4nc[nH]c43)CC2)nc1=O ZINC000952376973 654449971 /nfs/dbraw/zinc/44/99/71/654449971.db2.gz GCAQJPKYHREKST-UHFFFAOYSA-N -1 1 341.375 -0.057 20 0 EBADMM CCN(C(=O)c1cncc(OC)n1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000952409083 654454401 /nfs/dbraw/zinc/45/44/01/654454401.db2.gz FYSRAORLVMNTMK-UHFFFAOYSA-N -1 1 347.379 -0.747 20 0 EBADMM O=C(NCC1CCN(C(=O)c2ncccc2[O-])CC1)[C@H]1CCNC1=O ZINC000952416670 654455966 /nfs/dbraw/zinc/45/59/66/654455966.db2.gz FUVAAMPRNYPPOC-LBPRGKRZSA-N -1 1 346.387 -0.108 20 0 EBADMM NC(=O)CC(=O)N1CC[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000953305030 654553470 /nfs/dbraw/zinc/55/34/70/654553470.db2.gz SVMJFBQPJQFPHD-GHMZBOCLSA-N -1 1 332.360 -0.274 20 0 EBADMM CC(=O)N1CC[C@@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@@H]21 ZINC000953375866 654568379 /nfs/dbraw/zinc/56/83/79/654568379.db2.gz KGEAYAWCWYNPRJ-STQMWFEESA-N -1 1 334.376 -0.604 20 0 EBADMM CN(C(=O)C(C)(F)F)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000953521096 654592598 /nfs/dbraw/zinc/59/25/98/654592598.db2.gz NYDZARIMNGBOLZ-UHFFFAOYSA-N -1 1 344.318 -0.749 20 0 EBADMM CN(C(=O)C(C)(C)F)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000953512666 654592603 /nfs/dbraw/zinc/59/26/03/654592603.db2.gz FHFOSLWFZFCVLZ-UHFFFAOYSA-N -1 1 336.327 -0.552 20 0 EBADMM CN(C(=O)C1CC1)C1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000953681184 654609070 /nfs/dbraw/zinc/60/90/70/654609070.db2.gz IZGWCAIVLCPEDF-UHFFFAOYSA-N -1 1 344.375 -0.109 20 0 EBADMM CN(C(=O)Cn1cnnn1)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000953744927 654618544 /nfs/dbraw/zinc/61/85/44/654618544.db2.gz WUKSZJBYRKAKAQ-UHFFFAOYSA-N -1 1 345.363 -0.463 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(N(C)C(=O)c2ccon2)C1 ZINC000953817748 654634186 /nfs/dbraw/zinc/63/41/86/654634186.db2.gz TXMKQVAUFPNRHR-UHFFFAOYSA-N -1 1 349.303 -0.519 20 0 EBADMM COC(=O)[C@H]1C[C@H]1C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000953871306 654642879 /nfs/dbraw/zinc/64/28/79/654642879.db2.gz BPINCYNWILWFBX-MNOVXSKESA-N -1 1 333.344 -0.121 20 0 EBADMM CC(=O)N1CCC[C@H](CN(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000965771722 724488397 /nfs/dbraw/zinc/48/83/97/724488397.db2.gz RQOBTQUZHZOOLP-LLVKDONJSA-N -1 1 332.364 -0.252 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)ncn1 ZINC000965916581 724539395 /nfs/dbraw/zinc/53/93/95/724539395.db2.gz INIGRYUGMZGEKX-GWCFXTLKSA-N -1 1 345.407 -0.153 20 0 EBADMM Cc1c[nH]nc1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1C ZINC000965986371 724555548 /nfs/dbraw/zinc/55/55/48/724555548.db2.gz YKEWTVPJFQDVEM-KOLCDFICSA-N -1 1 333.396 -0.220 20 0 EBADMM CCn1ncc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)n1 ZINC000965997297 724557683 /nfs/dbraw/zinc/55/76/83/724557683.db2.gz YGOOHSFJGSNDRH-CMPLNLGQSA-N -1 1 348.411 -0.640 20 0 EBADMM C[C@H]1CN(C(=O)C2CCC2)C[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000966029147 724560753 /nfs/dbraw/zinc/56/07/53/724560753.db2.gz LQDKBKJHFGLZNT-CABZTGNLSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@H]1CN(C(=O)C2CC2)C[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000966032059 724560831 /nfs/dbraw/zinc/56/08/31/724560831.db2.gz YTGAHHNLXHDTLP-KWQFWETISA-N -1 1 330.348 -0.596 20 0 EBADMM C[C@@H]1CN(C(=O)Cn2cnnn2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966145318 724576952 /nfs/dbraw/zinc/57/69/52/724576952.db2.gz JZXIINLNXJZNCQ-ZJUUUORDSA-N -1 1 331.336 -0.949 20 0 EBADMM CN(C[C@H]1CCCN(C(=O)CC(N)=O)C1)C(=O)c1ncccc1[O-] ZINC000966215511 724590340 /nfs/dbraw/zinc/59/03/40/724590340.db2.gz HXJDWHLLUBZFCK-LLVKDONJSA-N -1 1 334.376 -0.027 20 0 EBADMM Cc1ncc(CC(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)o1 ZINC000966237912 724594882 /nfs/dbraw/zinc/59/48/82/724594882.db2.gz ZTYJDWMFPRJKMD-YPMHNXCESA-N -1 1 346.343 -0.372 20 0 EBADMM CCn1nncc1C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954124005 719569347 /nfs/dbraw/zinc/56/93/47/719569347.db2.gz HRNVXNHZQGNPRB-UHFFFAOYSA-N -1 1 330.348 -0.005 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)CS(C)(=O)=O)C1 ZINC000954124281 719569416 /nfs/dbraw/zinc/56/94/16/719569416.db2.gz TWPWNLLTSOZWRM-UHFFFAOYSA-N -1 1 327.362 -0.885 20 0 EBADMM Cc1c(C(=O)N2CC(N(C)C(=O)c3ncccc3[O-])C2)nnn1C ZINC000954124292 719569649 /nfs/dbraw/zinc/56/96/49/719569649.db2.gz UIYSNAGNKHIOKI-UHFFFAOYSA-N -1 1 330.348 -0.179 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)c2ccc(=O)n(C)n2)C1 ZINC000954127804 719570623 /nfs/dbraw/zinc/57/06/23/719570623.db2.gz GZXCFIUJSIPRGP-UHFFFAOYSA-N -1 1 343.343 -0.523 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CCCNC2=O)C1 ZINC000954128092 719571467 /nfs/dbraw/zinc/57/14/67/719571467.db2.gz RFODRMFYVBMRFZ-NSHDSACASA-N -1 1 332.360 -0.404 20 0 EBADMM C[C@@H]1OCCO[C@@H]1C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954128126 719571741 /nfs/dbraw/zinc/57/17/41/719571741.db2.gz SSRLAMVJEGSRSG-HZMBPMFUSA-N -1 1 335.360 -0.126 20 0 EBADMM CCN1C[C@H](C(=O)N2CC(N(C)C(=O)c3ncccc3[O-])C2)CC1=O ZINC000954128163 719571778 /nfs/dbraw/zinc/57/17/78/719571778.db2.gz VMVZJDAHTUXXGU-LLVKDONJSA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C(=O)CN1C(=O)CCC1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954253088 719639687 /nfs/dbraw/zinc/63/96/87/719639687.db2.gz NERYPHURKQPTRA-UHFFFAOYSA-N -1 1 346.343 -0.781 20 0 EBADMM CN(C(=O)c1cnc(C2CC2)[n-]c1=O)C1CN(C(=O)CC(N)=O)C1 ZINC000954303240 719659795 /nfs/dbraw/zinc/65/97/95/719659795.db2.gz LLAKIMAKKYMFCC-UHFFFAOYSA-N -1 1 333.348 -0.782 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)CN1CCCC1=O ZINC000966333550 724615668 /nfs/dbraw/zinc/61/56/68/724615668.db2.gz VYHVEZNOZWEXBU-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1ncn(C)n1 ZINC000966342779 724618396 /nfs/dbraw/zinc/61/83/96/724618396.db2.gz BVOBYPRFQCSVIZ-VHSXEESVSA-N -1 1 330.348 -0.194 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1cnnn1C ZINC000966351091 724620492 /nfs/dbraw/zinc/62/04/92/724620492.db2.gz RDOANPSHYOIWJY-VHSXEESVSA-N -1 1 330.348 -0.194 20 0 EBADMM CN(C(=O)[C@H]1CCN(C)C(=O)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954716700 719857536 /nfs/dbraw/zinc/85/75/36/719857536.db2.gz MJVJIOSIPWLDRZ-NSHDSACASA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C(=O)c1cn[nH]n1)C1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000954728321 719868583 /nfs/dbraw/zinc/86/85/83/719868583.db2.gz XWZUTSLKWOEZCA-UHFFFAOYSA-N -1 1 343.347 -0.226 20 0 EBADMM CN(C(=O)C[C@@H]1CCC(=O)N1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954748500 719884688 /nfs/dbraw/zinc/88/46/88/719884688.db2.gz CGPNNJFWEDSOPW-JTQLQIEISA-N -1 1 332.360 -0.261 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C1CN(C(=O)[C@@H](C)OC)C1 ZINC000954828931 719924351 /nfs/dbraw/zinc/92/43/51/719924351.db2.gz TTYLYTSOGBULQF-SSDOTTSWSA-N -1 1 340.336 -0.786 20 0 EBADMM CSCC(=O)N1CC(N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000954829963 719925244 /nfs/dbraw/zinc/92/52/44/719925244.db2.gz DLQZYOCOJKAOIL-UHFFFAOYSA-N -1 1 336.377 -0.937 20 0 EBADMM CC[C@H](OC)C(=O)N1CC(N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000954829886 719925333 /nfs/dbraw/zinc/92/53/33/719925333.db2.gz BSYWRBGLPXFHHJ-NSHDSACASA-N -1 1 348.363 -0.875 20 0 EBADMM C[C@H]1C[C@@H]1CC(=O)N1CC(N(C)C(=O)c2cc(=O)n3nc[n-]c3n2)C1 ZINC000954831716 719925818 /nfs/dbraw/zinc/92/58/18/719925818.db2.gz KULTZZGEIIRMMI-VHSXEESVSA-N -1 1 344.375 -0.254 20 0 EBADMM C[C@H]1C[C@H]1CC(=O)N1CC(N(C)C(=O)c2cc(=O)n3nc[n-]c3n2)C1 ZINC000954831715 719925882 /nfs/dbraw/zinc/92/58/82/719925882.db2.gz KULTZZGEIIRMMI-UWVGGRQHSA-N -1 1 344.375 -0.254 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)c2ccc[nH]2)C1 ZINC000954833631 719926982 /nfs/dbraw/zinc/92/69/82/719926982.db2.gz ZVOOEYRDVZOVQU-UHFFFAOYSA-N -1 1 341.331 -0.658 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)N1CC(N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000954833374 719927096 /nfs/dbraw/zinc/92/70/96/719927096.db2.gz XIEUHXKWURIUJM-MWLCHTKSSA-N -1 1 344.375 -0.254 20 0 EBADMM CN(C(=O)c1cc(C(N)=O)c[nH]1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955120939 720060289 /nfs/dbraw/zinc/06/02/89/720060289.db2.gz VUDBKQSHQSITMA-UHFFFAOYSA-N -1 1 343.343 -0.189 20 0 EBADMM CN(C(=O)C(C)(C)C)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000955274116 720109010 /nfs/dbraw/zinc/10/90/10/720109010.db2.gz MBLYYJNNGGZMFI-UHFFFAOYSA-N -1 1 336.392 -0.358 20 0 EBADMM CN(C(=O)[C@]1(C)CCNC1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955327298 720120713 /nfs/dbraw/zinc/12/07/13/720120713.db2.gz JJALNMMRBMEONX-MRXNPFEDSA-N -1 1 332.360 -0.404 20 0 EBADMM C[C@@H](C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCC(=O)N1 ZINC000955410863 720169530 /nfs/dbraw/zinc/16/95/30/720169530.db2.gz PEWRRRDCVRENQV-PWSUYJOCSA-N -1 1 346.387 -0.015 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(N(C)C(=O)[C@H]2CC23CC3)C1 ZINC000955430165 720173832 /nfs/dbraw/zinc/17/38/32/720173832.db2.gz DUZTWNMEGRTRJF-SECBINFHSA-N -1 1 348.359 -0.021 20 0 EBADMM CN(C(=O)[C@H]1CC12CC2)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000955433586 720174586 /nfs/dbraw/zinc/17/45/86/720174586.db2.gz YXNSWMRDAVMZST-GFCCVEGCSA-N -1 1 346.387 -0.604 20 0 EBADMM COCC(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1C ZINC000955482354 720180804 /nfs/dbraw/zinc/18/08/04/720180804.db2.gz GPOMHSIJXUSLPE-VHSXEESVSA-N -1 1 348.363 -0.827 20 0 EBADMM CS(=O)(=O)CC(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000955917852 720294408 /nfs/dbraw/zinc/29/44/08/720294408.db2.gz CKOIAQGAYONAJB-JTQLQIEISA-N -1 1 341.389 -0.447 20 0 EBADMM O=C(NC[C@@H]1CCCN1C(=O)c1ncccc1[O-])[C@H]1CCC(=O)N1 ZINC000955979883 720315458 /nfs/dbraw/zinc/31/54/58/720315458.db2.gz USOHOBYQQUPYCI-WDEREUQCSA-N -1 1 332.360 -0.213 20 0 EBADMM C[C@@]1(NC(=O)[C@H]2COCCO2)CCN(C(=O)c2ncccc2[O-])C1 ZINC000956717287 720477416 /nfs/dbraw/zinc/47/74/16/720477416.db2.gz IFHDYUOPVMDZAL-MLGOLLRUSA-N -1 1 335.360 -0.077 20 0 EBADMM C[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H]1NC(=O)C1CCC1 ZINC000966591116 724691508 /nfs/dbraw/zinc/69/15/08/724691508.db2.gz IXIGUZQVNCVVIP-AAEUAGOBSA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1NC(=O)C(F)F ZINC000966610022 724697886 /nfs/dbraw/zinc/69/78/86/724697886.db2.gz MJJNZWUCTJUNPX-SVRRBLITSA-N -1 1 340.290 -0.741 20 0 EBADMM C[C@@H]1CN(C(=O)C(N)=O)C[C@@H]1NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000966764405 724746436 /nfs/dbraw/zinc/74/64/36/724746436.db2.gz ZVXUZRFTKWBKJT-XCBNKYQSSA-N -1 1 333.348 -0.878 20 0 EBADMM CN1C[C@H](C(=O)N2CC[C@](C)(NC(=O)c3ncccc3[O-])C2)NC1=O ZINC000956851785 722119789 /nfs/dbraw/zinc/11/97/89/722119789.db2.gz NDYRFGGTWOLSDD-HWPZZCPQSA-N -1 1 347.375 -0.468 20 0 EBADMM CN1C[C@H](C(=O)N2CC[C@](C)(NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000956852496 722120145 /nfs/dbraw/zinc/12/01/45/722120145.db2.gz XWRKZTTVNOOWJZ-DIFFPNOSSA-N -1 1 346.387 -0.014 20 0 EBADMM CN1C[C@H](C(=O)N2CC[C@@](C)(NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000956852498 722120282 /nfs/dbraw/zinc/12/02/82/722120282.db2.gz XWRKZTTVNOOWJZ-PIGZYNQJSA-N -1 1 346.387 -0.014 20 0 EBADMM COCc1nc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)co1 ZINC000956957859 722139636 /nfs/dbraw/zinc/13/96/36/722139636.db2.gz DFQXTUHJUODHLA-UHFFFAOYSA-N -1 1 336.352 -0.799 20 0 EBADMM C[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1NC(=O)C1(C)CC1 ZINC000957053282 722147579 /nfs/dbraw/zinc/14/75/79/722147579.db2.gz CKBDZASAHVCVHX-MWLCHTKSSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1NC(=O)C1CCC1 ZINC000957053785 722148091 /nfs/dbraw/zinc/14/80/91/722148091.db2.gz TUTCDKQGDYDSNF-BXKDBHETSA-N -1 1 344.375 -0.206 20 0 EBADMM CC1(C(=O)N2CC[C@](C)(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)CC1 ZINC000957220374 722176427 /nfs/dbraw/zinc/17/64/27/722176427.db2.gz YTHNWEYFARQZHV-INIZCTEOSA-N -1 1 344.375 -0.062 20 0 EBADMM CN1C[C@H](C(=O)N[C@@]2(C)CCN(C(=O)c3ncccc3[O-])C2)NC1=O ZINC000957272516 722183655 /nfs/dbraw/zinc/18/36/55/722183655.db2.gz MCZNDBGBMPJKPN-HWPZZCPQSA-N -1 1 347.375 -0.468 20 0 EBADMM Cn1ccc(CN2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC2)n1 ZINC000957314929 722193156 /nfs/dbraw/zinc/19/31/56/722193156.db2.gz SYWMFTMTTXXWFA-UHFFFAOYSA-N -1 1 342.363 -0.891 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(Cc2ccnn2C)CC1 ZINC000957319666 722195235 /nfs/dbraw/zinc/19/52/35/722195235.db2.gz XUOSZROVXLRZHB-UHFFFAOYSA-N -1 1 348.363 -0.412 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(Cc2ccn(C)n2)CC1 ZINC000957319290 722195282 /nfs/dbraw/zinc/19/52/82/722195282.db2.gz GWXTWLTXLQUVNL-UHFFFAOYSA-N -1 1 348.363 -0.412 20 0 EBADMM Cc1nc(CC(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cs1 ZINC000957580218 722223056 /nfs/dbraw/zinc/22/30/56/722223056.db2.gz HQCVHIZPSYWBPA-UHFFFAOYSA-N -1 1 336.421 -0.240 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](CNC(=O)C3CCCCC3)[C@H](O)C2)nc1=O ZINC000957822916 722245461 /nfs/dbraw/zinc/24/54/61/722245461.db2.gz ZRUXPJQKDJOXAJ-CHWSQXEVSA-N -1 1 337.424 -0.402 20 0 EBADMM Cc1ccc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)cn1 ZINC000957855997 722253214 /nfs/dbraw/zinc/25/32/14/722253214.db2.gz VXEUKNZAUMMEKY-CHWSQXEVSA-N -1 1 346.391 -0.965 20 0 EBADMM Cc1cc(C)c(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)o1 ZINC000957946670 722274865 /nfs/dbraw/zinc/27/48/65/722274865.db2.gz AIOJIXUJDNIYTE-RYUDHWBXSA-N -1 1 349.391 -0.459 20 0 EBADMM Cc1nn(C)cc1CN1C[C@H](O)[C@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000957976644 722280226 /nfs/dbraw/zinc/28/02/26/722280226.db2.gz XONYXACCGDOOBO-YPMHNXCESA-N -1 1 348.407 -0.312 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2CN(Cc3cnoc3C)C[C@H]2O)c1[O-] ZINC000957976311 722280229 /nfs/dbraw/zinc/28/02/29/722280229.db2.gz WONFTSBPLFHZDP-NWDGAFQWSA-N -1 1 335.364 -0.057 20 0 EBADMM Cc1nnc(CN2C[C@@H](CNC(=O)c3ncccc3[O-])[C@H](O)C2)o1 ZINC000957989360 722283282 /nfs/dbraw/zinc/28/32/82/722283282.db2.gz CDTJCNHAGOPVGD-ZYHUDNBSSA-N -1 1 333.348 -0.299 20 0 EBADMM O=C(NC[C@H]1CN(Cc2csnn2)C[C@H]1O)c1ncccc1[O-] ZINC000957989691 722283467 /nfs/dbraw/zinc/28/34/67/722283467.db2.gz HKZJULPQRFMATG-JOYOIKCWSA-N -1 1 335.389 -0.139 20 0 EBADMM Cn1ccnc1CN1C[C@@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957990255 722283918 /nfs/dbraw/zinc/28/39/18/722283918.db2.gz LUODHDRQMBBYNR-WCQYABFASA-N -1 1 331.376 -0.257 20 0 EBADMM CCc1noc(CN2C[C@@H](CNC(=O)c3ncccc3[O-])[C@H](O)C2)n1 ZINC000957994523 722284819 /nfs/dbraw/zinc/28/48/19/722284819.db2.gz DCECLZCGPHPYRB-ZYHUDNBSSA-N -1 1 347.375 -0.045 20 0 EBADMM CCn1nncc1CN1C[C@H](O)[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957994466 722284943 /nfs/dbraw/zinc/28/49/43/722284943.db2.gz CLMJDQPSYGKHFS-RISCZKNCSA-N -1 1 346.391 -0.379 20 0 EBADMM CCn1ncc(CN2C[C@@H](CNC(=O)c3ncccc3[O-])[C@H](O)C2)n1 ZINC000957994904 722285015 /nfs/dbraw/zinc/28/50/15/722285015.db2.gz JWYZBUIGYAYGIU-BXUZGUMPSA-N -1 1 346.391 -0.379 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](CNC(=O)C3C=CC=CC=C3)[C@H](O)C2)nc1=O ZINC000958111527 722305386 /nfs/dbraw/zinc/30/53/86/722305386.db2.gz OOSCKYTXBQPTOE-ZIAGYGMSSA-N -1 1 345.403 -0.684 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@@H](CNC(=O)C34CCC(CC3)C4)C2)nc1=O ZINC000958184266 722320465 /nfs/dbraw/zinc/32/04/65/722320465.db2.gz XRLGLWXNBJKCEN-XPFWZQFZSA-N -1 1 349.435 -0.402 20 0 EBADMM Cc1cccc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)n1 ZINC000958240528 722332670 /nfs/dbraw/zinc/33/26/70/722332670.db2.gz AZFMGWFBPZMETE-YABSGUDNSA-N -1 1 328.376 -0.328 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)ncn1 ZINC000958593403 722397892 /nfs/dbraw/zinc/39/78/92/722397892.db2.gz GJLMBEBVYCEVKP-IWIIMEHWSA-N -1 1 329.364 -0.933 20 0 EBADMM Cc1ccc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)cc1 ZINC000958619348 722403278 /nfs/dbraw/zinc/40/32/78/722403278.db2.gz ROBWFLKDPNXLCX-KBPBESRZSA-N -1 1 345.403 -0.360 20 0 EBADMM CN(C(=O)c1cnsn1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958660424 722411797 /nfs/dbraw/zinc/41/17/97/722411797.db2.gz RFTASMGRQSBPHO-ONGXEEELSA-N -1 1 349.372 -0.404 20 0 EBADMM CC(C)[C@@H](O)C(=O)N1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000958830183 722603898 /nfs/dbraw/zinc/60/38/98/722603898.db2.gz IXTWSMOMCZYOKO-VHRBIJSZSA-N -1 1 337.376 -0.552 20 0 EBADMM C[C@H](NC(=O)c1n[nH]c(=O)[n-]c1=O)[C@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC000959072316 722684805 /nfs/dbraw/zinc/68/48/05/722684805.db2.gz JCCRPUGGSWOQJK-YUMQZZPRSA-N -1 1 347.335 -0.714 20 0 EBADMM NC(=O)C1(C(=O)N2CCC3(CN(C(=O)c4ncccc4[O-])C3)C2)CC1 ZINC001018962438 728756874 /nfs/dbraw/zinc/75/68/74/728756874.db2.gz QTKQHMOULFAFHK-UHFFFAOYSA-N -1 1 344.371 -0.273 20 0 EBADMM O=C(c1ncccc1[O-])N1CC2(C1)CCN(C(=O)[C@H]1COCCO1)C2 ZINC001018962569 728757008 /nfs/dbraw/zinc/75/70/08/728757008.db2.gz UTUFQGSHBQDRNC-CYBMUJFWSA-N -1 1 347.371 -0.123 20 0 EBADMM C[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1CNC(=O)C1CC1 ZINC000959521147 722734903 /nfs/dbraw/zinc/73/49/03/722734903.db2.gz YMXYLMMOUOQJSV-DGCLKSJQSA-N -1 1 348.403 -0.453 20 0 EBADMM O=C(C[C@H]1CCC(=O)N1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000960163273 722801153 /nfs/dbraw/zinc/80/11/53/722801153.db2.gz OKXSMROQEPVDDU-MNOVXSKESA-N -1 1 336.396 -0.350 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)c1cnns1 ZINC000960468286 722831820 /nfs/dbraw/zinc/83/18/20/722831820.db2.gz WRQTZZVAAJMNSU-SECBINFHSA-N -1 1 337.409 -0.304 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)C[C@@H]1CCOC1 ZINC000960508878 722835025 /nfs/dbraw/zinc/83/50/25/722835025.db2.gz DQEVMSQLEIGPQC-STQMWFEESA-N -1 1 337.424 -0.042 20 0 EBADMM Cn1[nH]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H]2CCCC[N@H+]2C)nc1=O ZINC000960582177 722841474 /nfs/dbraw/zinc/84/14/74/722841474.db2.gz TTXDJRRVNZRISI-CZXHOFHRSA-N -1 1 334.424 -0.861 20 0 EBADMM Cc1c[nH]nc1C(=O)N1CCC[C@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC000960618163 722845442 /nfs/dbraw/zinc/84/54/42/722845442.db2.gz PIRJGLHXOKRMII-NSHDSACASA-N -1 1 333.396 -0.123 20 0 EBADMM Cc1c[nH]nc1C(=O)N1CCC[C@@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC000960618162 722845457 /nfs/dbraw/zinc/84/54/57/722845457.db2.gz PIRJGLHXOKRMII-LLVKDONJSA-N -1 1 333.396 -0.123 20 0 EBADMM Cc1ncn(C)c1C(=O)N1CCC[C@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC000960686911 722853324 /nfs/dbraw/zinc/85/33/24/722853324.db2.gz JFGJRBSBWKJKCT-LBPRGKRZSA-N -1 1 347.423 -0.113 20 0 EBADMM Cc1nnccc1C(=O)N1CCC[C@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC000960741989 722859513 /nfs/dbraw/zinc/85/95/13/722859513.db2.gz WBLQAROXSVFQBS-LBPRGKRZSA-N -1 1 345.407 -0.057 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@H]2OCCN(C(=O)c3nc[nH]n3)[C@H]2C1 ZINC000960760240 722861815 /nfs/dbraw/zinc/86/18/15/722861815.db2.gz SFNBLEFLLOGCPZ-GXSJLCMTSA-N -1 1 344.331 -0.729 20 0 EBADMM Cc1cc(C)n(CC(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)n1 ZINC000960906737 722878616 /nfs/dbraw/zinc/87/86/16/722878616.db2.gz DOYKBLPELCSVEI-JYAVWHMHSA-N -1 1 345.407 -0.832 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1ccncc1 ZINC000961223907 722919579 /nfs/dbraw/zinc/91/95/79/722919579.db2.gz KPCUOEFCJJXXQX-YPMHNXCESA-N -1 1 328.328 -0.203 20 0 EBADMM CC(C)n1cnc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)c1 ZINC000961344969 723007463 /nfs/dbraw/zinc/00/74/63/723007463.db2.gz WUDKKXSRRNAIJQ-YABSGUDNSA-N -1 1 345.407 -0.254 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)no1 ZINC000961396968 723012912 /nfs/dbraw/zinc/01/29/12/723012912.db2.gz MMRKOFPAZWYOCC-PWSUYJOCSA-N -1 1 332.316 -0.301 20 0 EBADMM Cn1cc(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2cnc([O-])n(C)c2=O)cn1 ZINC000961467291 723023552 /nfs/dbraw/zinc/02/35/52/723023552.db2.gz LBVZDBFIGHSIGR-ITGUQSILSA-N -1 1 344.375 -0.920 20 0 EBADMM O=C(c1cnc2[nH]c(=O)[nH]c2c1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019066597 728788676 /nfs/dbraw/zinc/78/86/76/728788676.db2.gz OLBKFGSOCPXKTF-QMMMGPOBSA-N -1 1 344.335 -0.509 20 0 EBADMM O=C(C[C@@H]1CCOC1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000961998135 723143186 /nfs/dbraw/zinc/14/31/86/723143186.db2.gz XUNASHUCQKXPQS-LOWVWBTDSA-N -1 1 335.360 -0.485 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@]2(C)CCCOC2)nc1=O ZINC000962165537 723185470 /nfs/dbraw/zinc/18/54/70/723185470.db2.gz MRNYCZFPHJXOSM-IQDSPRDJSA-N -1 1 335.408 -0.529 20 0 EBADMM O=C(NC1CC(CNCc2cnon2)C1)c1c[n-]n2c1nccc2=O ZINC000962397836 723240320 /nfs/dbraw/zinc/24/03/20/723240320.db2.gz GUXJMVAKEHMDMO-UHFFFAOYSA-N -1 1 343.347 -0.296 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)[C@@H]2CC2(F)F)C[C@@H]1O)c1ncccc1[O-] ZINC000962433919 723251520 /nfs/dbraw/zinc/25/15/20/723251520.db2.gz ANUCMZWYTAYJKN-XKSSXDPKSA-N -1 1 327.287 -0.256 20 0 EBADMM COc1cc(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)on1 ZINC000962434260 723251529 /nfs/dbraw/zinc/25/15/29/723251529.db2.gz FPHFLORYMKMOES-SCZZXKLOSA-N -1 1 348.315 -0.601 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)[C@@H]2CCCOCC2)C[C@@H]1O)c1ncccc1[O-] ZINC000962434281 723251540 /nfs/dbraw/zinc/25/15/40/723251540.db2.gz GJGGQDONQZAEFK-BZPMIXESSA-N -1 1 349.387 -0.095 20 0 EBADMM C[C@]1(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)CCCOC1 ZINC000962435262 723252003 /nfs/dbraw/zinc/25/20/03/723252003.db2.gz NNRNQTKWHDLGSY-ZUCKAHLUSA-N -1 1 349.387 -0.095 20 0 EBADMM CO[C@@H](C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1)C1CC1 ZINC000962435273 723252044 /nfs/dbraw/zinc/25/20/44/723252044.db2.gz OCAYVZVHGQYMOH-SCDSUCTJSA-N -1 1 335.360 -0.486 20 0 EBADMM CCOC1CC(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)C1 ZINC000962435383 723252619 /nfs/dbraw/zinc/25/26/19/723252619.db2.gz QZGXRLRWLYVCLI-YZVRNYIASA-N -1 1 349.387 -0.096 20 0 EBADMM CCc1n[nH]cc1C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962437171 723253187 /nfs/dbraw/zinc/25/31/87/723253187.db2.gz PGDLNWGVIQWXMU-YPMHNXCESA-N -1 1 345.359 -0.312 20 0 EBADMM O=C(CCc1cn[nH]c1)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962442441 723254296 /nfs/dbraw/zinc/25/42/96/723254296.db2.gz AHJNKWDQSSKNGQ-YPMHNXCESA-N -1 1 345.359 -0.555 20 0 EBADMM NC(=O)CC(=O)N1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ncccc1[O-] ZINC000962944681 723356051 /nfs/dbraw/zinc/35/60/51/723356051.db2.gz LCTWJZCAVDFVRK-WDEREUQCSA-N -1 1 332.360 -0.132 20 0 EBADMM O=C([C@H]1CCc2nncn2CC1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019166858 728813878 /nfs/dbraw/zinc/81/38/78/728813878.db2.gz WSESAKBUOULDBK-QWRGUYRKSA-N -1 1 346.395 -0.555 20 0 EBADMM CCn1ccc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)n1 ZINC000963013329 723365333 /nfs/dbraw/zinc/36/53/33/723365333.db2.gz USJSDGOXYZUEBZ-YPMHNXCESA-N -1 1 345.359 -0.381 20 0 EBADMM CC(C)C(=O)N1CCC2(CN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)C1 ZINC001019193286 728823668 /nfs/dbraw/zinc/82/36/68/728823668.db2.gz AEVKHKHVKVNXLK-UHFFFAOYSA-N -1 1 348.403 -0.356 20 0 EBADMM O=C(c1cnn2cccnc12)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019223194 728829553 /nfs/dbraw/zinc/82/95/53/728829553.db2.gz JZMDUGHFKIZOIZ-VIFPVBQESA-N -1 1 328.336 -0.443 20 0 EBADMM O=C(c1ccc2[nH]c(=O)[nH]c2n1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019293546 728849588 /nfs/dbraw/zinc/84/95/88/728849588.db2.gz CBVFHMXQCAKVFS-ZETCQYMHSA-N -1 1 344.335 -0.509 20 0 EBADMM O=C(c1nc[nH]n1)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001019281489 728846753 /nfs/dbraw/zinc/84/67/53/728846753.db2.gz OBFFGNWYWKIPCB-UHFFFAOYSA-N -1 1 328.332 -0.106 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1cnns1)c1ncccc1[O-] ZINC000964154883 723841372 /nfs/dbraw/zinc/84/13/72/723841372.db2.gz GZDFMGHSCMUBFH-VIFPVBQESA-N -1 1 349.372 -0.090 20 0 EBADMM Cc1ncc(C(=O)N2CCOC[C@H]2CNC(=O)c2[nH]nc(C)c2[O-])[nH]1 ZINC000964156448 723842561 /nfs/dbraw/zinc/84/25/61/723842561.db2.gz RESXOWIEBAWQRS-SNVBAGLBSA-N -1 1 348.363 -0.274 20 0 EBADMM Cc1c[nH]c(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)n1 ZINC000964172003 723854850 /nfs/dbraw/zinc/85/48/50/723854850.db2.gz XHFAZGZKFYHVHW-KOLCDFICSA-N -1 1 331.332 -0.566 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1ncccc1[O-])c1ccnnc1 ZINC000964371923 724001152 /nfs/dbraw/zinc/00/11/52/724001152.db2.gz BSSYLIOLDDPUPP-LBPRGKRZSA-N -1 1 343.343 -0.152 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1cc[n+]([O-])cc1 ZINC000964867788 724068356 /nfs/dbraw/zinc/06/83/56/724068356.db2.gz BGYBBPBNJNFBKG-AAEUAGOBSA-N -1 1 346.391 -0.618 20 0 EBADMM Cc1ncncc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1C ZINC000965347002 724192082 /nfs/dbraw/zinc/19/20/82/724192082.db2.gz MCGPUCANALYCQR-GXFFZTMASA-N -1 1 345.407 -0.153 20 0 EBADMM O=C(c1cnon1)N1CCOC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000965453752 724251271 /nfs/dbraw/zinc/25/12/71/724251271.db2.gz QHFTUBOPBQUKOA-UHFFFAOYSA-N -1 1 345.315 -0.463 20 0 EBADMM Cc1cnc2c(C(=O)N3CC[C@H](NCc4n[nH]c(=O)[n-]4)C3)cnn2c1 ZINC001019450557 728888125 /nfs/dbraw/zinc/88/81/25/728888125.db2.gz DYHSHMIHZBDCIO-JTQLQIEISA-N -1 1 342.363 -0.134 20 0 EBADMM O=C(Cc1nnc[nH]1)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001019464600 728893783 /nfs/dbraw/zinc/89/37/83/728893783.db2.gz KQAPWLDNOOUXJW-UHFFFAOYSA-N -1 1 342.359 -0.178 20 0 EBADMM O=C(N[C@@H]1COC2(CN(C(=O)c3ncccc3[O-])C2)C1)[C@@H]1CCOC1 ZINC000965648528 724341090 /nfs/dbraw/zinc/34/10/90/724341090.db2.gz ZRECTOBJGLTOGV-NEPJUHHUSA-N -1 1 347.371 -0.077 20 0 EBADMM Cc1cc(CC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)no1 ZINC000965649810 724342216 /nfs/dbraw/zinc/34/22/16/724342216.db2.gz CBTXCEKWEOYYKY-GXFFZTMASA-N -1 1 348.407 -0.026 20 0 EBADMM O=C(N[C@H]1COC2(CN(C(=O)[C@@H]3CCOC3)C2)C1)c1ncccc1[O-] ZINC000965680029 724362215 /nfs/dbraw/zinc/36/22/15/724362215.db2.gz GSXXXSDIGYYGJP-VXGBXAGGSA-N -1 1 347.371 -0.077 20 0 EBADMM Cc1nn[nH]c1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1C ZINC000967700670 724896653 /nfs/dbraw/zinc/89/66/53/724896653.db2.gz JAWVGMPZCKRNBD-SCZZXKLOSA-N -1 1 334.384 -0.825 20 0 EBADMM Cc1nn[nH]c1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1C ZINC000967700671 724896686 /nfs/dbraw/zinc/89/66/86/724896686.db2.gz JAWVGMPZCKRNBD-WCBMZHEXSA-N -1 1 334.384 -0.825 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)c2cn(C)nn2)C1 ZINC000967898859 724927697 /nfs/dbraw/zinc/92/76/97/724927697.db2.gz LJDSXMVBUSZMOL-UHFFFAOYSA-N -1 1 330.348 -0.098 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2COCCN2C)C1 ZINC000967898882 724927711 /nfs/dbraw/zinc/92/77/11/724927711.db2.gz MDVJMHCTHHNGHV-ZDUSSCGKSA-N -1 1 348.403 -0.209 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CN(C)CCO2)C1 ZINC000967902565 724927994 /nfs/dbraw/zinc/92/79/94/724927994.db2.gz ZQKNUFHFMGADFY-AWEZNQCLSA-N -1 1 348.403 -0.209 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)C2(C(N)=O)CC2)C1 ZINC000967903391 724928289 /nfs/dbraw/zinc/92/82/89/724928289.db2.gz BAYQQCSBKVPUBZ-UHFFFAOYSA-N -1 1 332.360 -0.274 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CCC(=O)NC2)C1 ZINC000967907587 724929014 /nfs/dbraw/zinc/92/90/14/724929014.db2.gz NTDQIJYAHNQELC-LLVKDONJSA-N -1 1 346.387 -0.014 20 0 EBADMM CCN(C(=O)c1cnc(C2CC2)[n-]c1=O)C1CN(C(=O)CC(N)=O)C1 ZINC000967975655 724941924 /nfs/dbraw/zinc/94/19/24/724941924.db2.gz RXTIROJRHJVUBV-UHFFFAOYSA-N -1 1 347.375 -0.392 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cc1cncn1C ZINC000968535466 725078233 /nfs/dbraw/zinc/07/82/33/725078233.db2.gz ZSBNDFRBRFRATO-DGCLKSJQSA-N -1 1 347.423 -0.589 20 0 EBADMM CCn1cnc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)c1 ZINC000968552002 725080160 /nfs/dbraw/zinc/08/01/60/725080160.db2.gz ARHFSKMSELQWBD-NWDGAFQWSA-N -1 1 347.423 -0.035 20 0 EBADMM C[C@H](C(=O)N1C[C@@H](NC(=O)c2cnn[nH]2)[C@@H](O)C1)c1ccccc1 ZINC000968707227 725106456 /nfs/dbraw/zinc/10/64/56/725106456.db2.gz GRRCLKGTIJDHPO-GDLCADMTSA-N -1 1 329.360 -0.090 20 0 EBADMM CC(C)N1C[C@@H](C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CC1=O ZINC000968839537 725119140 /nfs/dbraw/zinc/11/91/40/725119140.db2.gz YSBIMGKHULPIIQ-WDEREUQCSA-N -1 1 336.396 -0.542 20 0 EBADMM Cn1[n-]c(CN2CCCCC[C@@H]2CNC(=O)[C@H]2[C@@H]3COC[C@@H]32)nc1=O ZINC000968991481 725139732 /nfs/dbraw/zinc/13/97/32/725139732.db2.gz YZVBWSWYMGZMLQ-CXTNEJHOSA-N -1 1 349.435 -0.138 20 0 EBADMM Cc1cnc2nc(C(=O)N3CC[C@@H](NCc4n[nH]c(=O)[n-]4)C3)nn2c1 ZINC000969038254 725144449 /nfs/dbraw/zinc/14/44/49/725144449.db2.gz CSUZYFYDOSWCNH-SECBINFHSA-N -1 1 343.351 -0.739 20 0 EBADMM C[C@@H](Cc1cnn(C)c1)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019579905 728928300 /nfs/dbraw/zinc/92/83/00/728928300.db2.gz BOBOIQSNOLGGFT-JQWIXIFHSA-N -1 1 333.396 -0.187 20 0 EBADMM O=C([C@@H]1CCn2cncc2C1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969124177 725153667 /nfs/dbraw/zinc/15/36/67/725153667.db2.gz AUUNYNWCFDXBLO-GHMZBOCLSA-N -1 1 331.380 -0.340 20 0 EBADMM COc1cncc(CN[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC000969210185 725161772 /nfs/dbraw/zinc/16/17/72/725161772.db2.gz MMOHOHIKAALEHK-MRVPVSSYSA-N -1 1 347.335 -0.914 20 0 EBADMM O=C(c1nnc2ccccc2n1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969360654 725173710 /nfs/dbraw/zinc/17/37/10/725173710.db2.gz ZRGKQIMHMMGYAK-SECBINFHSA-N -1 1 340.347 -0.147 20 0 EBADMM Cn1cnc2cc(C(=O)N3CC[C@@H](NCc4n[nH]c(=O)[n-]4)C3)cnc21 ZINC000969559151 725192887 /nfs/dbraw/zinc/19/28/87/725192887.db2.gz USIJGRZBGVMDQL-SNVBAGLBSA-N -1 1 342.363 -0.204 20 0 EBADMM Cn1nnc2c1C[C@@H](C(=O)N1CC[C@@H](NCc3n[nH]c(=O)[n-]3)C1)CC2 ZINC000969731822 725207520 /nfs/dbraw/zinc/20/75/20/725207520.db2.gz XGANEPHPVVJCQX-VHSXEESVSA-N -1 1 346.395 -0.866 20 0 EBADMM Cc1cnn(C)c1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000969808108 725219265 /nfs/dbraw/zinc/21/92/65/725219265.db2.gz LVDIQSKCUCTOOY-PWSUYJOCSA-N -1 1 345.359 -0.556 20 0 EBADMM C[C@@H](NC(=O)c1ccc(=O)n(C)c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970038419 725242206 /nfs/dbraw/zinc/24/22/06/725242206.db2.gz QNUTWMWHNXJNJP-SNVBAGLBSA-N -1 1 346.391 -0.943 20 0 EBADMM COc1nccc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000970126897 725254164 /nfs/dbraw/zinc/25/41/64/725254164.db2.gz JSUPTPIQTHIEIG-SECBINFHSA-N -1 1 347.379 -0.843 20 0 EBADMM COc1nc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)co1 ZINC000970218715 725264225 /nfs/dbraw/zinc/26/42/25/725264225.db2.gz AGVOIWYIHHJBBF-QMMMGPOBSA-N -1 1 336.352 -0.645 20 0 EBADMM O=C(c1cn([C@H]2CCOC2)nn1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970433359 725291077 /nfs/dbraw/zinc/29/10/77/725291077.db2.gz WJSDZJGQKWTSQD-ZJUUUORDSA-N -1 1 348.367 -0.933 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H](NCc2ncccn2)C1 ZINC000970472443 725294603 /nfs/dbraw/zinc/29/46/03/725294603.db2.gz ORRQZRJTXNXDFQ-SECBINFHSA-N -1 1 346.347 -0.309 20 0 EBADMM CCc1cc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC000970644700 725310536 /nfs/dbraw/zinc/31/05/36/725310536.db2.gz FRZMEQGDDIETLK-JTQLQIEISA-N -1 1 347.423 -0.345 20 0 EBADMM COc1ccc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC000970693400 725318086 /nfs/dbraw/zinc/31/80/86/725318086.db2.gz MUOFLNHYLQPGLC-SECBINFHSA-N -1 1 347.379 -0.843 20 0 EBADMM NC(=O)c1csc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC000970816883 725331713 /nfs/dbraw/zinc/33/17/13/725331713.db2.gz ZQWBHBBRSIRMEM-MRVPVSSYSA-N -1 1 336.377 -0.325 20 0 EBADMM Cc1cc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC000971153511 725352282 /nfs/dbraw/zinc/35/22/82/725352282.db2.gz KTLPCOXDISLVKP-LLVKDONJSA-N -1 1 333.396 -0.503 20 0 EBADMM COc1cc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)on1 ZINC000971379741 725362037 /nfs/dbraw/zinc/36/20/37/725362037.db2.gz AYLKHYBEBTUDJU-SECBINFHSA-N -1 1 336.352 -0.549 20 0 EBADMM COc1cc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)on1 ZINC000971379742 725362082 /nfs/dbraw/zinc/36/20/82/725362082.db2.gz AYLKHYBEBTUDJU-VIFPVBQESA-N -1 1 336.352 -0.549 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)c2ccc(F)cn2)C1 ZINC000971386796 725362309 /nfs/dbraw/zinc/36/23/09/725362309.db2.gz ULBMDMRYNWKRMG-LLVKDONJSA-N -1 1 334.355 -0.011 20 0 EBADMM Cc1c(CC(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC000971656980 725428650 /nfs/dbraw/zinc/42/86/50/725428650.db2.gz YKTYVNGLDSSVAO-CYBMUJFWSA-N -1 1 347.423 -0.574 20 0 EBADMM Cc1nc(CN(C)[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC000971870523 725444398 /nfs/dbraw/zinc/44/43/98/725444398.db2.gz RRTVIAXGDUBUOR-QMMMGPOBSA-N -1 1 334.340 -0.944 20 0 EBADMM O=C(Cc1nnc[nH]1)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000971898953 725446849 /nfs/dbraw/zinc/44/68/49/725446849.db2.gz ADIGOIJACSMCFV-GHMZBOCLSA-N -1 1 342.359 -0.179 20 0 EBADMM O=C(c1ncccc1[O-])N1CC[C@@H]2CN(C(=O)[C@@H]3COC(=O)N3)[C@@H]2C1 ZINC000971995262 725453673 /nfs/dbraw/zinc/45/36/73/725453673.db2.gz RUAMWMHDHMGVOO-OUAUKWLOSA-N -1 1 346.343 -0.432 20 0 EBADMM CO[C@@H](C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1)C1CCC1 ZINC000972025892 725456529 /nfs/dbraw/zinc/45/65/29/725456529.db2.gz WJYGCKFYQSKCGU-TZMCWYRMSA-N -1 1 337.424 -0.044 20 0 EBADMM Cn1[n-]c(CN2CCO[C@]3(CCN(C(=O)c4ccon4)C3)C2)nc1=O ZINC000972271432 725487908 /nfs/dbraw/zinc/48/79/08/725487908.db2.gz HXDMCGNGYOUIFO-OAHLLOKOSA-N -1 1 348.363 -0.787 20 0 EBADMM CC[C@H](C)C(=O)N1CC[C@@]2(C1)CN(Cc1nc(=O)n(C)[n-]1)CCO2 ZINC000972269700 725487975 /nfs/dbraw/zinc/48/79/75/725487975.db2.gz QMEPPKMAXJBWEW-LRDDRELGSA-N -1 1 337.424 -0.042 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)c2cccc(=O)n2C)C1 ZINC000972301777 725494689 /nfs/dbraw/zinc/49/46/89/725494689.db2.gz WFFRKDKQISDFGW-NSHDSACASA-N -1 1 346.391 -0.846 20 0 EBADMM Cc1ncc(CC(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)o1 ZINC001051653450 735294713 /nfs/dbraw/zinc/29/47/13/735294713.db2.gz ZUHISJDNWXPJHF-NSHDSACASA-N -1 1 336.352 -0.634 20 0 EBADMM O=C(Cn1nccn1)N1C[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000972353049 725504424 /nfs/dbraw/zinc/50/44/24/725504424.db2.gz NMMKOJINANYBQH-VXGBXAGGSA-N -1 1 342.359 -0.248 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)Cc2cscn2)C1 ZINC000972489517 725534138 /nfs/dbraw/zinc/53/41/38/725534138.db2.gz NTCXRSIPNKIJTN-NSHDSACASA-N -1 1 336.421 -0.160 20 0 EBADMM C[C@@H](C(N)=O)N(C)[C@H]1CCN(C(=O)c2c[n-]n3c2nccc3=O)C1 ZINC000972494904 725535818 /nfs/dbraw/zinc/53/58/18/725535818.db2.gz IHLXUHMCGHFESI-UWVGGRQHSA-N -1 1 332.364 -0.957 20 0 EBADMM Cc1cc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)nc(C)n1 ZINC000972546343 725547512 /nfs/dbraw/zinc/54/75/12/725547512.db2.gz IMQHHIQUOUGFBT-LBPRGKRZSA-N -1 1 345.407 -0.138 20 0 EBADMM CCn1ncc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC000972598577 725557722 /nfs/dbraw/zinc/55/77/22/725557722.db2.gz NRNBQXCEUPYYEB-GFCCVEGCSA-N -1 1 347.423 -0.020 20 0 EBADMM CCCn1cc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC000972630525 725566046 /nfs/dbraw/zinc/56/60/46/725566046.db2.gz AYXUMXFXQZMUEL-NSHDSACASA-N -1 1 348.411 -0.544 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)c1ncccn1 ZINC000991719955 725573208 /nfs/dbraw/zinc/57/32/08/725573208.db2.gz QEAXUXYVLLKIHP-CMPLNLGQSA-N -1 1 343.343 -0.417 20 0 EBADMM COc1ccc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC000972671381 725575772 /nfs/dbraw/zinc/57/57/72/725575772.db2.gz QOEAKPNSAHZEQD-JTQLQIEISA-N -1 1 347.379 -0.747 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000972920969 725603045 /nfs/dbraw/zinc/60/30/45/725603045.db2.gz AWKTUWFVFQYYQI-XKNYDFJKSA-N -1 1 330.348 -0.549 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)c1n[nH]cc1F ZINC000991753379 725604967 /nfs/dbraw/zinc/60/49/67/725604967.db2.gz LEZBHRXHQRPKEJ-GXSJLCMTSA-N -1 1 349.322 -0.345 20 0 EBADMM Cc1cc(CC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)no1 ZINC000972972684 725613820 /nfs/dbraw/zinc/61/38/20/725613820.db2.gz JBFCBYLWUAIVNI-GFCCVEGCSA-N -1 1 348.407 -0.026 20 0 EBADMM COC(=O)[C@H]1C[C@@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973092730 725635009 /nfs/dbraw/zinc/63/50/09/725635009.db2.gz LADYJXLMYPKPAP-NAKRPEOUSA-N -1 1 333.344 -0.027 20 0 EBADMM CN1CCO[C@@H](C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000973129889 725650049 /nfs/dbraw/zinc/65/00/49/725650049.db2.gz OMUKLFLFNIWOIC-NQBHXWOUSA-N -1 1 334.376 -0.505 20 0 EBADMM C[C@]1(C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)CCNC1=O ZINC000973197689 725667464 /nfs/dbraw/zinc/66/74/64/725667464.db2.gz OFVWFBWRMXLVSF-PUTJDCORSA-N -1 1 332.360 -0.310 20 0 EBADMM C[C@H](C(N)=O)N1CC[C@@]2(NC(=O)c3cnc([O-])n(C)c3=O)CCC[C@H]12 ZINC000992106266 725726430 /nfs/dbraw/zinc/72/64/30/725726430.db2.gz FFGGTLJVVCVPJJ-RBIVETJNSA-N -1 1 349.391 -0.914 20 0 EBADMM O=C(N[C@H]1C[C@H](NC(=O)[C@@H]2CC23CC3)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000973465730 725756204 /nfs/dbraw/zinc/75/62/04/725756204.db2.gz MBLXKDSPRFAEJQ-GUBZILKMSA-N -1 1 342.359 -0.405 20 0 EBADMM O=C(NC1CC(CNC(=O)c2cnc3nccn3c2)C1)c1cnn[nH]1 ZINC000992232580 725757247 /nfs/dbraw/zinc/75/72/47/725757247.db2.gz QVBKUAXYDZGRDQ-UHFFFAOYSA-N -1 1 340.347 -0.214 20 0 EBADMM CN1C[C@H](C(=O)NCC2CC(NC(=O)c3ncccc3[O-])C2)NC1=O ZINC000992285625 725768172 /nfs/dbraw/zinc/76/81/72/725768172.db2.gz HSXRIKSHRUBEHI-VQXHTEKXSA-N -1 1 347.375 -0.565 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)c4cccnn4)CCC[C@@H]23)nc1=O ZINC000992354113 725785123 /nfs/dbraw/zinc/78/51/23/725785123.db2.gz VWFLYFLREWNDJB-MLGOLLRUSA-N -1 1 343.391 -0.175 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)[C@@H]4CCOC4)CCC[C@@H]23)nc1=O ZINC000992395732 725791359 /nfs/dbraw/zinc/79/13/59/725791359.db2.gz UZXTXPKFBTVBEP-HSMVNMDESA-N -1 1 335.408 -0.242 20 0 EBADMM CC(=O)N1CC[C@H]2[C@@H](CCCN2C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000973747105 725797245 /nfs/dbraw/zinc/79/72/45/725797245.db2.gz IXVQGICUZALENT-KBPBESRZSA-N -1 1 348.403 -0.214 20 0 EBADMM CC(C)(F)C(=O)N[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000973786336 725803506 /nfs/dbraw/zinc/80/35/06/725803506.db2.gz UMTNZGYAROOBON-OCAPTIKFSA-N -1 1 336.327 -0.457 20 0 EBADMM Cc1c(C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)nnn1C ZINC000973977662 725840033 /nfs/dbraw/zinc/84/00/33/725840033.db2.gz ZMDYJAUDYBYOJY-AOOOYVTPSA-N -1 1 330.348 -0.085 20 0 EBADMM O=C(CC1CCC1)N[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000973981186 725840966 /nfs/dbraw/zinc/84/09/66/725840966.db2.gz IHVSMMLPBLXHCH-PHIMTYICSA-N -1 1 344.375 -0.015 20 0 EBADMM Cc1cnn(CC(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)c1 ZINC000993084276 725853833 /nfs/dbraw/zinc/85/38/33/725853833.db2.gz WCQFZCQXIFLZAZ-OLZOCXBDSA-N -1 1 347.423 -0.217 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)nn1C ZINC000993082820 725854106 /nfs/dbraw/zinc/85/41/06/725854106.db2.gz CBFMQIGCZPETTJ-VXGBXAGGSA-N -1 1 347.423 -0.067 20 0 EBADMM COc1ccnc(N2CC[C@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1 ZINC001051683201 735321247 /nfs/dbraw/zinc/32/12/47/735321247.db2.gz YWDBMAFFFONZMN-JQWIXIFHSA-N -1 1 345.359 -0.045 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cc2ccon2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993160015 725858996 /nfs/dbraw/zinc/85/89/96/725858996.db2.gz PWDJOXMTGDOKHY-PWSUYJOCSA-N -1 1 334.380 -0.192 20 0 EBADMM CN1C[C@@H](C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000974057026 725859195 /nfs/dbraw/zinc/85/91/95/725859195.db2.gz VILHZAOTQRXGRS-GARJFASQSA-N -1 1 332.360 -0.358 20 0 EBADMM O=C(C[C@H]1CCC(=O)N1)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974057295 725859614 /nfs/dbraw/zinc/85/96/14/725859614.db2.gz PMBQGXKYYPCWIT-MXWKQRLJSA-N -1 1 332.360 -0.167 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cc2ccn(C)n2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993308471 725870995 /nfs/dbraw/zinc/87/09/95/725870995.db2.gz CRNGPBXTVZTTHR-YPMHNXCESA-N -1 1 347.423 -0.446 20 0 EBADMM Cc1cn(C)nc1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC000993319858 725872294 /nfs/dbraw/zinc/87/22/94/725872294.db2.gz XLAAWOLXZVOJJF-VXGBXAGGSA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cn[nH]c(=O)c2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993470964 725892598 /nfs/dbraw/zinc/89/25/98/725892598.db2.gz ZUTHRSLBOLLZHE-KOLCDFICSA-N -1 1 347.379 -0.613 20 0 EBADMM C[C@H]1[C@@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993683408 725927657 /nfs/dbraw/zinc/92/76/57/725927657.db2.gz AJMSYGKDQURRAW-BYNIDDHOSA-N -1 1 335.408 -0.530 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993683413 725927857 /nfs/dbraw/zinc/92/78/57/725927857.db2.gz AJMSYGKDQURRAW-JLDUMIBSSA-N -1 1 335.408 -0.530 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2c[nH]c(=O)n2C)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993697734 725929449 /nfs/dbraw/zinc/92/94/49/725929449.db2.gz FZJAVBZWTRLJCJ-UWVGGRQHSA-N -1 1 349.395 -0.670 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2n[nH]cc2F)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993749201 725933382 /nfs/dbraw/zinc/93/33/82/725933382.db2.gz ZJXDLUVPGHKZNY-PSASIEDQSA-N -1 1 337.359 -0.247 20 0 EBADMM C[C@H](C(=O)NC1CN(C(=O)c2ncccc2[O-])C1)N1C(=O)CCC1=O ZINC000993799048 725945174 /nfs/dbraw/zinc/94/51/74/725945174.db2.gz NLFFCPNKVFRKKV-SECBINFHSA-N -1 1 346.343 -0.735 20 0 EBADMM C[C@@H]1C[C@H]1CC(=O)N[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000974324865 725945481 /nfs/dbraw/zinc/94/54/81/725945481.db2.gz PPHXBRYIFKYQTN-YTWAJWBKSA-N -1 1 344.375 -0.159 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2COC(=O)N2)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000993931029 725964292 /nfs/dbraw/zinc/96/42/92/725964292.db2.gz SERFSMPANJLEBB-GMTAPVOTSA-N -1 1 348.359 -0.280 20 0 EBADMM Cc1c[nH]c(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)n1 ZINC000993962481 725967666 /nfs/dbraw/zinc/96/76/66/725967666.db2.gz LVOGJULQOZXHGA-QWRGUYRKSA-N -1 1 333.396 -0.077 20 0 EBADMM C[C@@H]1[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)CCCN1CCO ZINC000994014471 725971937 /nfs/dbraw/zinc/97/19/37/725971937.db2.gz ZGNCGCXUVSPKLK-ZYHUDNBSSA-N -1 1 334.380 -0.967 20 0 EBADMM O=C(c1cn[nH]n1)N1C[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000974475888 726028743 /nfs/dbraw/zinc/02/87/43/726028743.db2.gz FHGZMKACWPFYSI-MWLCHTKSSA-N -1 1 328.332 -0.108 20 0 EBADMM CCn1ncc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)n1 ZINC000974569197 726039509 /nfs/dbraw/zinc/03/95/09/726039509.db2.gz GMAOBCJKXZCXLX-LLVKDONJSA-N -1 1 348.411 -0.640 20 0 EBADMM CCC(=O)N1CC[C@@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)[C@@H]2C1 ZINC000974578312 726040303 /nfs/dbraw/zinc/04/03/03/726040303.db2.gz RSDLATMJCYEOSQ-MWLCHTKSSA-N -1 1 330.348 -0.500 20 0 EBADMM Cc1ncn(C)c1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000974684800 726052121 /nfs/dbraw/zinc/05/21/21/726052121.db2.gz GKEZJQYVSCIZFX-LLVKDONJSA-N -1 1 347.423 -0.209 20 0 EBADMM C/C=C(\C)C(=O)N1CC(n2cc(CNC(=O)c3cnn[nH]3)nn2)C1 ZINC000994719236 726062477 /nfs/dbraw/zinc/06/24/77/726062477.db2.gz QAXYYBLSBGQBSD-YCRREMRBSA-N -1 1 330.352 -0.324 20 0 EBADMM Cc1nc(CN2C[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C(C)(C)C2)n[nH]1 ZINC000974771549 726064140 /nfs/dbraw/zinc/06/41/40/726064140.db2.gz DZCPSJIPJWLNGY-MRVPVSSYSA-N -1 1 348.367 -0.650 20 0 EBADMM O=C(NC[C@H]1CCCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CNC(=O)N1 ZINC000975493983 726167849 /nfs/dbraw/zinc/16/78/49/726167849.db2.gz YAHMLKQRZISHQJ-MNOVXSKESA-N -1 1 347.375 -0.563 20 0 EBADMM CC1(C)CN(C(=O)c2ccc(=O)[nH]n2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995388898 726209273 /nfs/dbraw/zinc/20/92/73/726209273.db2.gz HXCPTKPSAIIZOV-SECBINFHSA-N -1 1 333.352 -0.354 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2[C@H]3CN(C(=O)CCc4c[nH]nn4)C[C@H]32)c1[O-] ZINC000976375881 726281100 /nfs/dbraw/zinc/28/11/00/726281100.db2.gz LRJBJCRMPGRNBX-IAZYJMLFSA-N -1 1 345.363 -0.639 20 0 EBADMM CC1(C)CN(C(=O)CN2CCOCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995692570 726288654 /nfs/dbraw/zinc/28/86/54/726288654.db2.gz FDDSVRPSOMNJHH-NSHDSACASA-N -1 1 338.412 -0.831 20 0 EBADMM CN1CCOC[C@@H]1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000995698271 726290217 /nfs/dbraw/zinc/29/02/17/726290217.db2.gz QLCCCRVTEJMCSD-GHMZBOCLSA-N -1 1 338.412 -0.833 20 0 EBADMM COC(=O)[C@H]1C[C@@H]1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976396762 726291653 /nfs/dbraw/zinc/29/16/53/726291653.db2.gz HVMNIJPGZYOZDG-HKLXJQGRSA-N -1 1 345.355 -0.217 20 0 EBADMM Cn1ccc(CC(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ncccc2[O-])n1 ZINC000976396580 726291699 /nfs/dbraw/zinc/29/16/99/726291699.db2.gz FALWDGDDYZUPOR-JYAVWHMHSA-N -1 1 341.371 -0.050 20 0 EBADMM Cc1nnc(CC(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)[nH]1 ZINC000995816939 726303934 /nfs/dbraw/zinc/30/39/34/726303934.db2.gz UZUPCSNJMFKAIX-SECBINFHSA-N -1 1 334.384 -0.494 20 0 EBADMM O=C(N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)[C@@H]1CCNC1=O)c1ncccc1[O-] ZINC000996143314 726338210 /nfs/dbraw/zinc/33/82/10/726338210.db2.gz UOIVLWATEBIELK-WYUUTHIRSA-N -1 1 344.371 -0.215 20 0 EBADMM CN1CC[C@H](C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)C1=O ZINC000996182766 726342025 /nfs/dbraw/zinc/34/20/25/726342025.db2.gz LSVWUJQCCQTTOA-UWVGGRQHSA-N -1 1 336.396 -0.685 20 0 EBADMM CO[C@H](C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1)C1CCC1 ZINC001051741191 735364283 /nfs/dbraw/zinc/36/42/83/735364283.db2.gz URGXYICZAUUDCI-YPMHNXCESA-N -1 1 339.396 -0.358 20 0 EBADMM Cn1cc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)[nH]c1=O ZINC000996228070 726349061 /nfs/dbraw/zinc/34/90/61/726349061.db2.gz NRSDBIQGPGPBAZ-SECBINFHSA-N -1 1 335.368 -0.410 20 0 EBADMM CC1(C)CN(C(=O)c2ccc(C(N)=O)[nH]2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996314662 726365351 /nfs/dbraw/zinc/36/53/51/726365351.db2.gz JQXSWYABZAESOC-SNVBAGLBSA-N -1 1 347.379 -0.422 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)C[C@H]2C[C@@H]2C)C1 ZINC000996396080 726384879 /nfs/dbraw/zinc/38/48/79/726384879.db2.gz GQUBLAQURVPNIP-JGVFFNPUSA-N -1 1 336.348 -0.117 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)c2nocc2C)C1 ZINC000996396131 726384939 /nfs/dbraw/zinc/38/49/39/726384939.db2.gz HJBGZZBPHUBMIB-UHFFFAOYSA-N -1 1 349.303 -0.553 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)c2cc(C)on2)C1 ZINC000996397209 726385408 /nfs/dbraw/zinc/38/54/08/726385408.db2.gz NTLBYZZYNREBFU-UHFFFAOYSA-N -1 1 349.303 -0.553 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)[C@@H](C)C2CC2)C1 ZINC000996397608 726385772 /nfs/dbraw/zinc/38/57/72/726385772.db2.gz OWXUCBNGNALJLY-ZETCQYMHSA-N -1 1 336.348 -0.117 20 0 EBADMM O=C(C[C@H]1C=CCC1)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996405763 726388303 /nfs/dbraw/zinc/38/83/03/726388303.db2.gz KFKHIHFACISUDK-JTQLQIEISA-N -1 1 342.359 -0.286 20 0 EBADMM Cc1occc1C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996404892 726388441 /nfs/dbraw/zinc/38/84/41/726388441.db2.gz HMPLPICLBDMNSM-UHFFFAOYSA-N -1 1 342.315 -0.427 20 0 EBADMM O=C(/C=C\C1CC1)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996408398 726388857 /nfs/dbraw/zinc/38/88/57/726388857.db2.gz OAZGMNWIKNCQJR-ARJAWSKDSA-N -1 1 328.332 -0.676 20 0 EBADMM C[C@]1(C(=O)N2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)C=CCC1 ZINC000996409589 726389365 /nfs/dbraw/zinc/38/93/65/726389365.db2.gz VQNIGMWTQUYMNE-INIZCTEOSA-N -1 1 342.359 -0.286 20 0 EBADMM CC1(C)CN(C(=O)C[C@H]2CCC(=O)N2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996411416 726389783 /nfs/dbraw/zinc/38/97/83/726389783.db2.gz LTRXDEFIFQGIGE-NXEZZACHSA-N -1 1 336.396 -0.494 20 0 EBADMM CC1(C)CN(C(=O)Cn2ccccc2=O)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996594813 726419550 /nfs/dbraw/zinc/41/95/50/726419550.db2.gz RVAJAVHZECKOOK-LLVKDONJSA-N -1 1 346.391 -0.301 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)c2cnco2)C1 ZINC000996653791 726421152 /nfs/dbraw/zinc/42/11/52/726421152.db2.gz FIVQRCDWHSNHLG-UHFFFAOYSA-N -1 1 335.276 -0.861 20 0 EBADMM COc1nccc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)n1 ZINC000996906017 726432536 /nfs/dbraw/zinc/43/25/36/726432536.db2.gz DIVDNIGFKXGBOZ-UHFFFAOYSA-N -1 1 329.316 -0.160 20 0 EBADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)c1ccn2nnnc2c1 ZINC000997384615 726449322 /nfs/dbraw/zinc/44/93/22/726449322.db2.gz MWGCQGDXXUUVFN-UHFFFAOYSA-N -1 1 339.315 -0.521 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCC(CNCc2cnon2)CC1 ZINC000997888446 726469178 /nfs/dbraw/zinc/46/91/78/726469178.db2.gz LDEQNTJTRBGNSI-UHFFFAOYSA-N -1 1 335.324 -0.692 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCC(CNCc2ncccn2)CC1 ZINC000997889690 726469267 /nfs/dbraw/zinc/46/92/67/726469267.db2.gz ZDFLVWZTILONFV-UHFFFAOYSA-N -1 1 345.363 -0.285 20 0 EBADMM Cc1nnc([C@H](C)NC2CC(N(C)C(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC000999056048 726505765 /nfs/dbraw/zinc/50/57/65/726505765.db2.gz AVQUKAFEIOTOGF-GVWIPJJGSA-N -1 1 348.367 -0.337 20 0 EBADMM O=C(N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-])[C@@H]1CCNC1=O ZINC000999123763 726508062 /nfs/dbraw/zinc/50/80/62/726508062.db2.gz NOIICFFXVVVZCT-WYUUTHIRSA-N -1 1 344.371 -0.215 20 0 EBADMM Cn1nccc1CCC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999286075 726513049 /nfs/dbraw/zinc/51/30/49/726513049.db2.gz FKSBCPACIYYYKA-NSHDSACASA-N -1 1 333.396 -0.043 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC2(CC2)C1 ZINC000999330467 726514637 /nfs/dbraw/zinc/51/46/37/726514637.db2.gz KKRLWWKENQMGBO-UHFFFAOYSA-N -1 1 342.359 -0.452 20 0 EBADMM Cn1cc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)ccc1=O ZINC000999595997 726523950 /nfs/dbraw/zinc/52/39/50/726523950.db2.gz MAPPLOUQVAGIBE-LLVKDONJSA-N -1 1 332.364 -0.397 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCC[C@H](NCc2cnns2)C1 ZINC000999623899 726525288 /nfs/dbraw/zinc/52/52/88/726525288.db2.gz ZSKLAROEBXEZDQ-ZETCQYMHSA-N -1 1 337.365 -0.471 20 0 EBADMM COc1cc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)nn1C ZINC000999661340 726526356 /nfs/dbraw/zinc/52/63/56/726526356.db2.gz VXUFVHOWYYYTPD-SECBINFHSA-N -1 1 335.368 -0.353 20 0 EBADMM COc1c[nH]c(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC000999703284 726528774 /nfs/dbraw/zinc/52/87/74/726528774.db2.gz DECHMOMIRXSSIT-SECBINFHSA-N -1 1 348.363 -0.398 20 0 EBADMM Cc1c(C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)cnn1C ZINC001000443657 726567083 /nfs/dbraw/zinc/56/70/83/726567083.db2.gz BGMQHZRFPZGKBJ-UHFFFAOYSA-N -1 1 345.407 -0.288 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)[C@H]2CC23CC3)C1 ZINC001000497662 726568822 /nfs/dbraw/zinc/56/88/22/726568822.db2.gz VRNBGPWDEAMIMH-MRVPVSSYSA-N -1 1 334.332 -0.363 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)c3cncnc3)CC2)nc1=O ZINC001000608185 726572512 /nfs/dbraw/zinc/57/25/12/726572512.db2.gz APPOWKJNMLOJTE-UHFFFAOYSA-N -1 1 329.364 -0.540 20 0 EBADMM O=C(NCC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1ccco1 ZINC001000662577 726574549 /nfs/dbraw/zinc/57/45/49/726574549.db2.gz MVHLXHHSKABZFK-UHFFFAOYSA-N -1 1 342.315 -0.487 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(CNC(=O)[C@@H]2C[C@@H]2C)C1 ZINC001000768931 726577167 /nfs/dbraw/zinc/57/71/67/726577167.db2.gz CKZVJADBYVIOGM-IONNQARKSA-N -1 1 336.348 -0.259 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)NCC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001000773317 726577358 /nfs/dbraw/zinc/57/73/58/726577358.db2.gz MQQQVRKVZYFZJN-ZYHUDNBSSA-N -1 1 334.376 -0.843 20 0 EBADMM Cn1ccnc1CN[C@H]1CCCN(C(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC001000789829 726577701 /nfs/dbraw/zinc/57/77/01/726577701.db2.gz POPBJRVUPKYCRM-JTQLQIEISA-N -1 1 335.368 -0.796 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N1CCC[C@H](NCc2cnns2)C1 ZINC001000799616 726578338 /nfs/dbraw/zinc/57/83/38/726578338.db2.gz DDNGCZJCRPZBTQ-QMMMGPOBSA-N -1 1 339.381 -0.678 20 0 EBADMM CCn1ccc(CN[C@@H]2CCCN(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)n1 ZINC001000801794 726578645 /nfs/dbraw/zinc/57/86/45/726578645.db2.gz PQQQISXZGBPUDM-GFCCVEGCSA-N -1 1 349.395 -0.314 20 0 EBADMM O=C(NCC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC=CC1 ZINC001001268007 726599886 /nfs/dbraw/zinc/59/98/86/726599886.db2.gz UIUIOMGQKXWCEI-UHFFFAOYSA-N -1 1 342.359 -0.428 20 0 EBADMM Cc1n[nH]c(C(=O)NCC2CC[NH+](Cc3n[nH]c(=O)[n-]3)CC2)c1[O-] ZINC001001314861 726602513 /nfs/dbraw/zinc/60/25/13/726602513.db2.gz OPWLDLQLFCUPOW-UHFFFAOYSA-N -1 1 335.368 -0.111 20 0 EBADMM Cn1nccc1CC(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001542101 726611266 /nfs/dbraw/zinc/61/12/66/726611266.db2.gz QUXVFZIYSPRCDK-UHFFFAOYSA-N -1 1 329.360 -0.048 20 0 EBADMM C[C@@]1(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)CNC(=O)C1 ZINC001001542909 726611307 /nfs/dbraw/zinc/61/13/07/726611307.db2.gz BWVAYOZQRLOQNE-INIZCTEOSA-N -1 1 332.360 -0.498 20 0 EBADMM CC(=O)N1CCC[C@@H]1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001545664 726611456 /nfs/dbraw/zinc/61/14/56/726611456.db2.gz WDCOTKXJYGHREI-CYBMUJFWSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@@H]1CCCN1C(=O)C1CC1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001002016725 726630202 /nfs/dbraw/zinc/63/02/02/726630202.db2.gz WBFLDDOKLATPNI-NSHDSACASA-N -1 1 344.375 -0.109 20 0 EBADMM COCC(=O)N1CCC[C@@H]1CN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001002048868 726631029 /nfs/dbraw/zinc/63/10/29/726631029.db2.gz WEUBBRSJYUTISR-SNVBAGLBSA-N -1 1 348.363 -0.873 20 0 EBADMM CN(C[C@H]1CCCN1C(=O)Cn1cnnn1)C(=O)c1ncccc1[O-] ZINC001002128090 726633473 /nfs/dbraw/zinc/63/34/73/726633473.db2.gz HNEPTBOCQLBJBQ-LLVKDONJSA-N -1 1 345.363 -0.463 20 0 EBADMM O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)[C@@H]1CCC(=O)N1)c1ncccc1[O-] ZINC001002195624 726635276 /nfs/dbraw/zinc/63/52/76/726635276.db2.gz RMQHGHRWBAEVRS-KXNHARMFSA-N -1 1 344.371 -0.073 20 0 EBADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCCNC1=O ZINC001002529947 726642618 /nfs/dbraw/zinc/64/26/18/726642618.db2.gz PTAGKYBYJZJCET-LLVKDONJSA-N -1 1 332.360 -0.498 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)c3cc4n(n3)CCC4)CC2)nc1=O ZINC001002967198 726656450 /nfs/dbraw/zinc/65/64/50/726656450.db2.gz QQXRJSQSYVUTBO-UHFFFAOYSA-N -1 1 345.407 -0.355 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)C3(F)CCOCC3)CC2)nc1=O ZINC001002987447 726657198 /nfs/dbraw/zinc/65/71/98/726657198.db2.gz PVHRXIWRBOBDBE-UHFFFAOYSA-N -1 1 341.387 -0.292 20 0 EBADMM Cc1nn(C)cc1CN1CCC(NC(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001003250602 726666744 /nfs/dbraw/zinc/66/67/44/726666744.db2.gz JUHRZOLURVSGHQ-UHFFFAOYSA-N -1 1 347.379 -0.281 20 0 EBADMM Cc1nc([C@@H](C)N2CCC(NC(=O)c3n[nH]c(=O)[n-]c3=O)CC2)n[nH]1 ZINC001003250862 726666760 /nfs/dbraw/zinc/66/67/60/726666760.db2.gz KXQFMEDVUCCQSN-SSDOTTSWSA-N -1 1 348.367 -0.335 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)c3cn(C)ccc3=O)CC2)nc1=O ZINC001003287281 726667811 /nfs/dbraw/zinc/66/78/11/726667811.db2.gz ZEALDSGNCSHKOX-UHFFFAOYSA-N -1 1 346.391 -0.799 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)c3coc(C(N)=O)c3)CC2)nc1=O ZINC001003372379 726671617 /nfs/dbraw/zinc/67/16/17/726671617.db2.gz JCNZNRVEEMVLCY-UHFFFAOYSA-N -1 1 348.363 -0.805 20 0 EBADMM CC(=O)N1CC[C@H](C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001003641486 726683156 /nfs/dbraw/zinc/68/31/56/726683156.db2.gz QSUYDKSRNCPERB-ZDUSSCGKSA-N -1 1 346.387 -0.156 20 0 EBADMM COc1ncc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cn1 ZINC001003904286 726693800 /nfs/dbraw/zinc/69/38/00/726693800.db2.gz XCVNENBKKYTEDE-UHFFFAOYSA-N -1 1 347.379 -0.699 20 0 EBADMM COc1ccc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)nn1 ZINC001003907893 726693999 /nfs/dbraw/zinc/69/39/99/726693999.db2.gz UUGSCTUNAILOBR-UHFFFAOYSA-N -1 1 347.379 -0.699 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)c3cnn4cc[nH]c34)CC2)nc1=O ZINC001004050925 726698125 /nfs/dbraw/zinc/69/81/25/726698125.db2.gz RIWQYKQVQTUGFI-UHFFFAOYSA-N -1 1 344.379 -0.521 20 0 EBADMM COc1c(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cnn1C ZINC001004059573 726698311 /nfs/dbraw/zinc/69/83/11/726698311.db2.gz RQRCQALEIPMASE-UHFFFAOYSA-N -1 1 349.395 -0.755 20 0 EBADMM Cc1nccc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC001004168372 726702127 /nfs/dbraw/zinc/70/21/27/726702127.db2.gz YCRABPFJVGYRLU-UHFFFAOYSA-N -1 1 331.380 -0.399 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)CS(C)(=O)=O ZINC001004743025 726714711 /nfs/dbraw/zinc/71/47/11/726714711.db2.gz FXQRQNBMNBWBAI-ZJUUUORDSA-N -1 1 345.425 -0.586 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)c1cnn(C)n1 ZINC001004952818 726720659 /nfs/dbraw/zinc/72/06/59/726720659.db2.gz HBEUQKKVMICJEI-GXSJLCMTSA-N -1 1 334.384 -0.188 20 0 EBADMM Cc1cc(C(=O)N(C)C2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n(C)n1 ZINC001005157394 726727501 /nfs/dbraw/zinc/72/75/01/726727501.db2.gz WPVHMYRGQDHDQY-UHFFFAOYSA-N -1 1 347.423 -0.113 20 0 EBADMM Cc1nc(C(=O)N(C)C2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c[nH]1 ZINC001005186852 726728379 /nfs/dbraw/zinc/72/83/79/726728379.db2.gz JFOCOOPVQQNEQO-UHFFFAOYSA-N -1 1 333.396 -0.123 20 0 EBADMM CN(C(=O)c1cncn1C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005671931 726738890 /nfs/dbraw/zinc/73/88/90/726738890.db2.gz ZJGVFFJGNRPOQG-UHFFFAOYSA-N -1 1 333.396 -0.422 20 0 EBADMM CN(C(=O)c1csnn1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005791949 726742345 /nfs/dbraw/zinc/74/23/45/726742345.db2.gz YBFLXIZILWCXTE-UHFFFAOYSA-N -1 1 337.409 -0.304 20 0 EBADMM Cc1n[nH]nc1C(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005805623 726742771 /nfs/dbraw/zinc/74/27/71/726742771.db2.gz NJODIPXFTJTVEE-UHFFFAOYSA-N -1 1 334.384 -0.728 20 0 EBADMM C[C@H](NC(=O)[C@H]1CCNC(=O)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005897894 726746992 /nfs/dbraw/zinc/74/69/92/726746992.db2.gz FGCMSBXXYRGFHV-QWRGUYRKSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)c2cc(=O)n(C)o2)C1 ZINC001005949439 726749467 /nfs/dbraw/zinc/74/94/67/726749467.db2.gz GCTCUVCBFFJLDI-SECBINFHSA-N -1 1 346.343 -0.031 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CN(C)CCO2)C1 ZINC001005954260 726749863 /nfs/dbraw/zinc/74/98/63/726749863.db2.gz XMGVYXYINGELMG-FZMZJTMJSA-N -1 1 348.403 -0.306 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001005955481 726749955 /nfs/dbraw/zinc/74/99/55/726749955.db2.gz GGMFELXGRUNRGP-GHMZBOCLSA-N -1 1 346.387 -0.158 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CCCNC2=O)C1 ZINC001005956682 726750030 /nfs/dbraw/zinc/75/00/30/726750030.db2.gz MLKLBYOHYHYSES-JQWIXIFHSA-N -1 1 346.387 -0.110 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@@H](C)C2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001005974190 726750423 /nfs/dbraw/zinc/75/04/23/726750423.db2.gz QDEWPXCCVUHIHT-JTQLQIEISA-N -1 1 346.387 -0.158 20 0 EBADMM C[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)CC2CC2)C1 ZINC001006460967 726770159 /nfs/dbraw/zinc/77/01/59/726770159.db2.gz BRNBFGFSYRMCEP-VIFPVBQESA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@@H](NC(=O)c1c[nH]c(=O)cn1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006731531 726781653 /nfs/dbraw/zinc/78/16/53/726781653.db2.gz OOMVPMCXVWQETI-SECBINFHSA-N -1 1 343.343 -0.239 20 0 EBADMM Cc1nn(C)cc1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001006934605 726787477 /nfs/dbraw/zinc/78/74/77/726787477.db2.gz USHDXUZAVZKTFN-LLVKDONJSA-N -1 1 333.396 -0.455 20 0 EBADMM CCn1cc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001006953249 726787716 /nfs/dbraw/zinc/78/77/16/726787716.db2.gz VHRZWPCQLVNDLS-GFCCVEGCSA-N -1 1 333.396 -0.281 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CCCN(CCN3CCNC3=O)C2)c1[O-] ZINC001007135022 726789464 /nfs/dbraw/zinc/78/94/64/726789464.db2.gz SPLGAWAFKQVMPM-LLVKDONJSA-N -1 1 336.396 -0.357 20 0 EBADMM O=C(N[C@H]1CCCN([C@@H]2CCC(=O)NC2=O)C1)c1ncccc1[O-] ZINC001007189775 726790225 /nfs/dbraw/zinc/79/02/25/726790225.db2.gz NIILJMXLBPSNDN-WDEREUQCSA-N -1 1 332.360 -0.213 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)c3cn4c(n3)CCC4)C2)nc1=O ZINC001007364971 726792784 /nfs/dbraw/zinc/79/27/84/726792784.db2.gz YJWWDLIJZQTTLE-NSHDSACASA-N -1 1 345.407 -0.355 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)C3(F)CCOCC3)C2)nc1=O ZINC001007478427 726794376 /nfs/dbraw/zinc/79/43/76/726794376.db2.gz VFJVPPNXXSUHDC-NSHDSACASA-N -1 1 341.387 -0.292 20 0 EBADMM Cc1ncn(C)c1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001007539264 726796240 /nfs/dbraw/zinc/79/62/40/726796240.db2.gz YSFSOXWMTLXTHE-LLVKDONJSA-N -1 1 333.396 -0.455 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2[C@H]3CN(C(=O)c4ccn[nH]4)C[C@H]32)c1[O-] ZINC001008964275 726836148 /nfs/dbraw/zinc/83/61/48/726836148.db2.gz SPALIAZBABDGCE-OWUUHHOZSA-N -1 1 330.348 -0.105 20 0 EBADMM Cn1nncc1C(=O)NC[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001009005808 726842448 /nfs/dbraw/zinc/84/24/48/726842448.db2.gz YNCMVUYSDZSTMB-RTCCRHLQSA-N -1 1 342.359 -0.336 20 0 EBADMM CC(C)n1cc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001009009460 726843178 /nfs/dbraw/zinc/84/31/78/726843178.db2.gz LZRNSGKUBWAAKF-NSHDSACASA-N -1 1 348.411 -0.325 20 0 EBADMM Cc1cc(=O)c(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)c[nH]1 ZINC001009067661 726852617 /nfs/dbraw/zinc/85/26/17/726852617.db2.gz PRMMDNXECWHIST-NSHDSACASA-N -1 1 346.391 -0.500 20 0 EBADMM CCC(=O)N1CCO[C@H]([C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001009588448 726935428 /nfs/dbraw/zinc/93/54/28/726935428.db2.gz SJDWXTMROUNOMI-ONGXEEELSA-N -1 1 348.363 -0.827 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@@H]1CN(C(=O)c2nc[nH]n2)CCO1 ZINC001009730117 726982816 /nfs/dbraw/zinc/98/28/16/726982816.db2.gz RFXVDYXFSFCXIV-KOLCDFICSA-N -1 1 346.347 -0.435 20 0 EBADMM CC(=O)N[C@@H]1CC[C@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]2C1 ZINC001009765135 726999430 /nfs/dbraw/zinc/99/94/30/726999430.db2.gz HGWUFIRKINYQIV-QJPTWQEYSA-N -1 1 344.375 -0.206 20 0 EBADMM CC(=O)N1C[C@@H]2CC[C@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]2C1 ZINC001009851879 727006221 /nfs/dbraw/zinc/00/62/21/727006221.db2.gz GBPKAYOFVBAARQ-SRVKXCTJSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@@H]1C[C@@H](CNC(=O)c2ncccc2[O-])CN1C(=O)Cn1cnnn1 ZINC001009984657 727015984 /nfs/dbraw/zinc/01/59/84/727015984.db2.gz FJCFXQKHHKXJGC-MNOVXSKESA-N -1 1 345.363 -0.559 20 0 EBADMM O=C(/C=C/C1CC1)N1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001010884330 727163931 /nfs/dbraw/zinc/16/39/31/727163931.db2.gz OYKDZNZTCPJSDK-ONEGZZNKSA-N -1 1 346.387 -0.436 20 0 EBADMM CC1(C)C[C@@H]1C(=O)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001011015004 727178129 /nfs/dbraw/zinc/17/81/29/727178129.db2.gz NHUFXNDTFGBEAP-SNVBAGLBSA-N -1 1 344.375 -0.252 20 0 EBADMM O=C(CCN1CCOCC1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011035318 727185858 /nfs/dbraw/zinc/18/58/58/727185858.db2.gz DJFSRDNQPGQVSQ-UHFFFAOYSA-N -1 1 348.403 -0.206 20 0 EBADMM O=C(c1ncccc1[O-])N1CCN(C(=O)C2CS(=O)(=O)C2)CC1 ZINC001011035690 727186134 /nfs/dbraw/zinc/18/61/34/727186134.db2.gz NRLCYFQORQJKPC-UHFFFAOYSA-N -1 1 339.373 -0.884 20 0 EBADMM CC(=O)N1CC[C@H](C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC001011035478 727186296 /nfs/dbraw/zinc/18/62/96/727186296.db2.gz IARYYLXVGGMRGV-ZDUSSCGKSA-N -1 1 346.387 -0.060 20 0 EBADMM CCn1cc(CC(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)nn1 ZINC001011035984 727186328 /nfs/dbraw/zinc/18/63/28/727186328.db2.gz WEJNKFSAFCUGNB-UHFFFAOYSA-N -1 1 344.375 -0.074 20 0 EBADMM C[C@]1(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)CNC(=O)C1 ZINC001011037942 727187086 /nfs/dbraw/zinc/18/70/86/727187086.db2.gz UNAIKUAJWYUOME-MRXNPFEDSA-N -1 1 332.360 -0.402 20 0 EBADMM Cc1nc(C)c(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC001051828609 735419042 /nfs/dbraw/zinc/41/90/42/735419042.db2.gz HDLJTFUBDGIJRZ-JTQLQIEISA-N -1 1 335.368 -0.519 20 0 EBADMM C[C@H](CC(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)n1ccnc1 ZINC001051851227 735428040 /nfs/dbraw/zinc/42/80/40/735428040.db2.gz PDPYCAPJWFGYAD-NEPJUHHUSA-N -1 1 349.395 -0.325 20 0 EBADMM CCCn1cc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001051854243 735429780 /nfs/dbraw/zinc/42/97/80/735429780.db2.gz VZJRQOJEMGJOMA-LBPRGKRZSA-N -1 1 349.395 -0.252 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)nc(C)n1 ZINC001051869159 735435854 /nfs/dbraw/zinc/43/58/54/735435854.db2.gz KREJDBPACHGCAQ-NSHDSACASA-N -1 1 347.379 -0.452 20 0 EBADMM Cc1nc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)c(C)o1 ZINC001051882528 735443675 /nfs/dbraw/zinc/44/36/75/735443675.db2.gz QWYBYXUGGJMHIN-SNVBAGLBSA-N -1 1 336.352 -0.254 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2cnc([O-])n(C)c2=O)CCN1C(=O)c1ccn[nH]1 ZINC001011517927 727536095 /nfs/dbraw/zinc/53/60/95/727536095.db2.gz MDBRCCWZZNROBN-WPRPVWTQSA-N -1 1 346.347 -0.758 20 0 EBADMM C[C@H]1[C@@H](NC(=O)CN2CCOCC2)CCN1C(=O)c1ncccc1[O-] ZINC001011671004 727556370 /nfs/dbraw/zinc/55/63/70/727556370.db2.gz CZJNOWFTDBVLIW-STQMWFEESA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2cnnn2C)CCN1C(=O)c1ncccc1[O-] ZINC001011670763 727556454 /nfs/dbraw/zinc/55/64/54/727556454.db2.gz BIMGZGZUPIEUNM-NXEZZACHSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@@]1(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)CCCOC1 ZINC001051887904 735446861 /nfs/dbraw/zinc/44/68/61/735446861.db2.gz LBDYDZSILIKDSW-XHDPSFHLSA-N -1 1 339.396 -0.356 20 0 EBADMM C[C@H]1[C@H](NC(=O)C(F)F)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001011913570 727602494 /nfs/dbraw/zinc/60/24/94/727602494.db2.gz NONBJNLEISJDNE-NKWVEPMBSA-N -1 1 340.290 -0.598 20 0 EBADMM C[C@H]1[C@@H](NC(=O)C(F)F)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001011913567 727602612 /nfs/dbraw/zinc/60/26/12/727602612.db2.gz NONBJNLEISJDNE-BQBZGAKWSA-N -1 1 340.290 -0.598 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CN1C(=O)C1CCC1 ZINC001012140942 727639678 /nfs/dbraw/zinc/63/96/78/727639678.db2.gz GFRLMMSWNWKUNW-MWLCHTKSSA-N -1 1 344.375 -0.063 20 0 EBADMM COCC(=O)N1C[C@H](NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C[C@H]1C ZINC001012165100 727641162 /nfs/dbraw/zinc/64/11/62/727641162.db2.gz MCMFYDVEAVHDHE-HTQZYQBOSA-N -1 1 340.336 -0.738 20 0 EBADMM Cc1ncc(C(=O)N2C[C@H](NC(=O)Cn3c(=O)[n-][nH]c3=O)C[C@@H]2C)[nH]1 ZINC001012392103 727660348 /nfs/dbraw/zinc/66/03/48/727660348.db2.gz NILNCQORXVSQNO-IONNQARKSA-N -1 1 349.351 -0.860 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2C[C@H](C)N(C(=O)CCc3nc[nH]n3)C2)c1[O-] ZINC001012455665 727667654 /nfs/dbraw/zinc/66/76/54/727667654.db2.gz PTIZCOFYOXXJCH-WCBMZHEXSA-N -1 1 347.379 -0.106 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2ncn(C)n2)CN1C(=O)c1ncccc1[O-] ZINC001012510783 727673778 /nfs/dbraw/zinc/67/37/78/727673778.db2.gz LVZCCGMLZKXENU-VHSXEESVSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@H]1C[C@H](NC(=O)CN2CN=NC2=O)CN1C(=O)c1ncccc1[O-] ZINC001012511818 727674006 /nfs/dbraw/zinc/67/40/06/727674006.db2.gz PPSFBRFMYOVNEU-UWVGGRQHSA-N -1 1 346.347 -0.496 20 0 EBADMM CC(=O)N1CC(C(=O)N2C[C@H](NC(=O)c3ncccc3[O-])C[C@@H]2C)C1 ZINC001012530183 727677062 /nfs/dbraw/zinc/67/70/62/727677062.db2.gz ZUMNEMIYFLCGAB-GXFFZTMASA-N -1 1 346.387 -0.015 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)Cn1nccn1 ZINC001012716062 727697703 /nfs/dbraw/zinc/69/77/03/727697703.db2.gz IHEQFSYUHQRTMR-WDEREUQCSA-N -1 1 330.348 -0.202 20 0 EBADMM C[C@H]1C[C@H](NC(=O)CC2CC2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001013241257 727786711 /nfs/dbraw/zinc/78/67/11/727786711.db2.gz QWOALHOAVXEIAY-AAEUAGOBSA-N -1 1 348.403 -0.168 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)C2CCC2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001013326196 727794237 /nfs/dbraw/zinc/79/42/37/727794237.db2.gz MAJKAROTZUGKSV-KOLCDFICSA-N -1 1 344.375 -0.063 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C3(c4ccccn4)CC3)C2)nc1=O ZINC001014428157 727949687 /nfs/dbraw/zinc/94/96/87/727949687.db2.gz RSXDTPOSKHYOOB-GFCCVEGCSA-N -1 1 342.403 -0.074 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@@H]3C[C@H]3c3ccncc3)C2)nc1=O ZINC001014477706 727959628 /nfs/dbraw/zinc/95/96/28/727959628.db2.gz ZIXSUWHTHFKVOU-MELADBBJSA-N -1 1 342.403 -0.002 20 0 EBADMM CC(C)n1nccc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001014553245 727967997 /nfs/dbraw/zinc/96/79/97/727967997.db2.gz OJHKFKKLMPCEDE-NSHDSACASA-N -1 1 333.396 -0.110 20 0 EBADMM C[C@@H](C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1)c1cnn(C)c1 ZINC001051956410 735483359 /nfs/dbraw/zinc/48/33/59/735483359.db2.gz NHOTVVHWMKTSSA-ZYHUDNBSSA-N -1 1 349.395 -0.636 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ccc4nc[nH]c4n3)C2)nc1=O ZINC001014883796 728025782 /nfs/dbraw/zinc/02/57/82/728025782.db2.gz HLXYIGQHSZNWQR-VIFPVBQESA-N -1 1 342.363 -0.616 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCCN1C(=O)Cn1cnnn1 ZINC001014894921 728027537 /nfs/dbraw/zinc/02/75/37/728027537.db2.gz RBQOPFVQGRYNJP-QWRGUYRKSA-N -1 1 345.363 -0.417 20 0 EBADMM COc1ccncc1CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001014916040 728031434 /nfs/dbraw/zinc/03/14/34/728031434.db2.gz OWJAVNXEEHHPBE-LBPRGKRZSA-N -1 1 346.391 -0.555 20 0 EBADMM Cc1cn(C(C)(C)C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001014921108 728032938 /nfs/dbraw/zinc/03/29/38/728032938.db2.gz VAIGGMRKIOLAEZ-LBPRGKRZSA-N -1 1 347.423 -0.261 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)Cc3ccc(F)cn3)C2)nc1=O ZINC001014979062 728048982 /nfs/dbraw/zinc/04/89/82/728048982.db2.gz PJCJPSGYWUGRAY-LBPRGKRZSA-N -1 1 334.355 -0.424 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3n[nH]c4c3CCCC4)C2)nc1=O ZINC001015077571 728072315 /nfs/dbraw/zinc/07/23/15/728072315.db2.gz NCIJJRQPKGLKEQ-SNVBAGLBSA-N -1 1 345.407 -0.285 20 0 EBADMM CCC(=O)N1CCC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@H]1C ZINC001015083537 728073988 /nfs/dbraw/zinc/07/39/88/728073988.db2.gz MIDIZMFAABZMIH-ZJUUUORDSA-N -1 1 332.364 -0.063 20 0 EBADMM COCC(=O)N1CCC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@H]1C ZINC001015086159 728075945 /nfs/dbraw/zinc/07/59/45/728075945.db2.gz USNPQVBVDWLEAM-ZJUUUORDSA-N -1 1 348.363 -0.827 20 0 EBADMM Cc1cccnc1CN1CC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001015108377 728079975 /nfs/dbraw/zinc/07/99/75/728079975.db2.gz BOVCLRHCVNIBMV-JTQLQIEISA-N -1 1 330.348 -0.009 20 0 EBADMM Cc1nc([C@@H](C)N2CC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001015117828 728083911 /nfs/dbraw/zinc/08/39/11/728083911.db2.gz HAOJCMJDCHMNAF-SVRRBLITSA-N -1 1 334.340 -0.725 20 0 EBADMM C[C@H]1[C@@H](NC(=O)C(N)=O)CCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001015189944 728109556 /nfs/dbraw/zinc/10/95/56/728109556.db2.gz MWUXDUWZZIUGMY-KWQFWETISA-N -1 1 347.375 -0.346 20 0 EBADMM Cn1nc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cc1Cl ZINC001015211396 728114103 /nfs/dbraw/zinc/11/41/03/728114103.db2.gz IPRRHSUEYZJCFI-QMMMGPOBSA-N -1 1 339.787 -0.500 20 0 EBADMM Cc1cc(CC(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001015257823 728124227 /nfs/dbraw/zinc/12/42/27/728124227.db2.gz QRCRQLLFBBNUQE-LLVKDONJSA-N -1 1 333.396 -0.916 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C2)nc1=O ZINC001015322320 728397674 /nfs/dbraw/zinc/39/76/74/728397674.db2.gz ZMKMVRQKFABOCG-RXSPXGNFSA-N -1 1 333.392 -0.778 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@@H]3Cc4ccncc4C3)C2)nc1=O ZINC001015710153 728429202 /nfs/dbraw/zinc/42/92/02/728429202.db2.gz GMRIZZVQBJKEKZ-OCCSQVGLSA-N -1 1 342.403 -0.391 20 0 EBADMM Cn1cncc1[C@@H]1C[C@H]1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001015722630 728430240 /nfs/dbraw/zinc/43/02/40/728430240.db2.gz GYYUEPRFQCVXIY-IJLUTSLNSA-N -1 1 345.407 -0.664 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cc(C4CC4)n[nH]3)C2)nc1=O ZINC001015742681 728431001 /nfs/dbraw/zinc/43/10/01/728431001.db2.gz AGIFJCDERHPKOH-JTQLQIEISA-N -1 1 331.380 -0.287 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cc4c([nH]3)CCC4)C2)nc1=O ZINC001015977391 728440319 /nfs/dbraw/zinc/44/03/19/728440319.db2.gz TXRMIFMOWRRUCD-LLVKDONJSA-N -1 1 330.392 -0.071 20 0 EBADMM CCc1ncncc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001016276029 728447807 /nfs/dbraw/zinc/44/78/07/728447807.db2.gz QUPCSSRXUGQNMH-JTQLQIEISA-N -1 1 331.380 -0.535 20 0 EBADMM CN(C)c1ccnc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001016384025 728465222 /nfs/dbraw/zinc/46/52/22/728465222.db2.gz MMOOCFLIEBUTPD-NSHDSACASA-N -1 1 345.407 -0.426 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)NC1CC(N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001016763462 728503296 /nfs/dbraw/zinc/50/32/96/728503296.db2.gz BTDVCVQKWKHGIP-AGVGLQIMSA-N -1 1 344.375 -0.207 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)Cn2nccn2)C1 ZINC001017139991 728549967 /nfs/dbraw/zinc/54/99/67/728549967.db2.gz YUZCHBDPFXGWEL-LLVKDONJSA-N -1 1 334.384 -0.528 20 0 EBADMM Cn1cnc(C(=O)N2CCC(C3(NC(=O)c4cnn[nH]4)CC3)CC2)n1 ZINC001017175695 728551947 /nfs/dbraw/zinc/55/19/47/728551947.db2.gz HSGPRSXZQVKLES-UHFFFAOYSA-N -1 1 344.379 -0.252 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC=C(CNC(=O)C2CC2)CC1 ZINC001017217695 728556293 /nfs/dbraw/zinc/55/62/93/728556293.db2.gz ODCOHSIOTKDBHN-UHFFFAOYSA-N -1 1 346.387 -0.388 20 0 EBADMM O=C(NCC1=CCN(C(=O)c2ncccc2[O-])CC1)[C@@H]1COC(=O)N1 ZINC001017422754 728574191 /nfs/dbraw/zinc/57/41/91/728574191.db2.gz ZGKAQINUHKENBG-NSHDSACASA-N -1 1 346.343 -0.216 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)C[C@@](C)(O)C2CC2)nc1=O ZINC001017488292 728580408 /nfs/dbraw/zinc/58/04/08/728580408.db2.gz CIXZXVORCMZPOG-IIYDPXPESA-N -1 1 349.435 -0.165 20 0 EBADMM O=C(NCC1=CCN(C(=O)c2ncccc2[O-])CC1)[C@H]1CCNC1=O ZINC001017617644 728595184 /nfs/dbraw/zinc/59/51/84/728595184.db2.gz GHQXJXVXTSYMLD-LBPRGKRZSA-N -1 1 344.371 -0.188 20 0 EBADMM COCCN1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1n[nH]c(=O)[n-]c1=O ZINC001017697535 728605356 /nfs/dbraw/zinc/60/53/56/728605356.db2.gz ZABKLOGVEWVJCG-PHIMTYICSA-N -1 1 337.380 -0.463 20 0 EBADMM Cc1nc(CN2C[C@H]3CC[C@@H](C2)N3C(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC001017705247 728606005 /nfs/dbraw/zinc/60/60/05/728606005.db2.gz WZFDRTULPRPKKB-DTORHVGOSA-N -1 1 346.351 -0.802 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)CCc2ccon2)nc1=O ZINC001017735414 728607260 /nfs/dbraw/zinc/60/72/60/728607260.db2.gz GEPPLBQOXZXPIP-BETUJISGSA-N -1 1 346.391 -0.096 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)[C@@]2(C)CCOC2)nc1=O ZINC001018105509 728633944 /nfs/dbraw/zinc/63/39/44/728633944.db2.gz JGTSAANDAMHACX-OZVIIMIRSA-N -1 1 335.408 -0.290 20 0 EBADMM COCC(=O)N1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CC[C@H]1C ZINC001018274953 728652971 /nfs/dbraw/zinc/65/29/71/728652971.db2.gz HQRHNSXZXVEBQX-ZJUUUORDSA-N -1 1 348.363 -0.827 20 0 EBADMM CC(=O)N1CC[C@@H](C)[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001018450501 728670504 /nfs/dbraw/zinc/67/05/04/728670504.db2.gz QHTVRZURPVBJIT-MWLCHTKSSA-N -1 1 332.364 -0.348 20 0 EBADMM CCC(=O)N1CC2(C1)CCN(C(=O)c1[nH]c(=O)[n-]c(=O)c1OC)C2 ZINC001018648072 728683724 /nfs/dbraw/zinc/68/37/24/728683724.db2.gz RBHHIUCPEVJXFX-UHFFFAOYSA-N -1 1 336.348 -0.019 20 0 EBADMM C[C@@H](CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1)N1CCCC1=O ZINC001019642130 728954150 /nfs/dbraw/zinc/95/41/50/728954150.db2.gz AVKCIDYFRGAQJO-QWRGUYRKSA-N -1 1 336.396 -0.398 20 0 EBADMM O=C(c1cccn2c(=O)[nH]nc12)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019645844 728958631 /nfs/dbraw/zinc/95/86/31/728958631.db2.gz RYYOXAMWZLPOGY-QMMMGPOBSA-N -1 1 344.335 -0.737 20 0 EBADMM Cc1nonc1CN[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001019650703 728962216 /nfs/dbraw/zinc/96/22/16/728962216.db2.gz PDPPYYAMSAGUET-VIFPVBQESA-N -1 1 344.335 -0.887 20 0 EBADMM CC(=O)NCC(=O)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])CC2 ZINC001060885909 738406874 /nfs/dbraw/zinc/40/68/74/738406874.db2.gz GAUPZNNJZDSQIX-UHFFFAOYSA-N -1 1 346.387 -0.012 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)[C@H]1C ZINC001020402947 729159534 /nfs/dbraw/zinc/15/95/34/729159534.db2.gz OBOUVOZFZZVMHZ-CYDGBPFRSA-N -1 1 348.403 -0.312 20 0 EBADMM O=C(N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C1)[C@H]1CCCS(=O)(=O)C1 ZINC001020661236 729214804 /nfs/dbraw/zinc/21/48/04/729214804.db2.gz BAQHRNWRPHJPPG-GUBZILKMSA-N -1 1 343.409 -0.928 20 0 EBADMM C[C@@H]1CN(C(=O)CC(N)=O)CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001020717530 729222410 /nfs/dbraw/zinc/22/24/10/729222410.db2.gz ZMZHNMKLLSZXBB-MNOVXSKESA-N -1 1 334.376 -0.123 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@H](CCCN3C(=O)c3ncc[nH]3)C2)nc1=O ZINC001021580073 729324065 /nfs/dbraw/zinc/32/40/65/729324065.db2.gz BVJCQZZHCSSPMW-NEPJUHHUSA-N -1 1 345.407 -0.042 20 0 EBADMM CCC(=O)N1C[C@@H]2CCN(C(=O)c3[nH]c(=O)[n-]c(=O)c3OC)[C@@H]2C1 ZINC001022205983 729466293 /nfs/dbraw/zinc/46/62/93/729466293.db2.gz ZAQPZHNJVVOUQB-DTWKUNHWSA-N -1 1 336.348 -0.021 20 0 EBADMM CCn1cc(CN[C@H]2C[C@@H](NC(=O)c3cnc([O-])n(C)c3=O)C2)nn1 ZINC001022530067 729504374 /nfs/dbraw/zinc/50/43/74/729504374.db2.gz PNQFLLSHNCTOEB-AOOOYVTPSA-N -1 1 347.379 -0.852 20 0 EBADMM C[C@]1(C(=O)N[C@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CCCS1(=O)=O ZINC001022840690 729537533 /nfs/dbraw/zinc/53/75/33/729537533.db2.gz HNJJUTQFGNREFG-VYUIOLGVSA-N -1 1 343.409 -0.786 20 0 EBADMM Cc1nn(C)cc1C(=O)NC[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001023273776 729654517 /nfs/dbraw/zinc/65/45/17/729654517.db2.gz QEZOYGUWTOGWTJ-LBPRGKRZSA-N -1 1 347.423 -0.208 20 0 EBADMM CCn1cc(C(=O)NC[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001023280773 729656902 /nfs/dbraw/zinc/65/69/02/729656902.db2.gz NJMHPNVFKFLOOL-GFCCVEGCSA-N -1 1 347.423 -0.033 20 0 EBADMM Cc1cn(C)nc1C(=O)NC[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001023366134 729672227 /nfs/dbraw/zinc/67/22/27/729672227.db2.gz NSKCXAFZVCTYQF-GFCCVEGCSA-N -1 1 347.423 -0.208 20 0 EBADMM CCc1cc(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3[nH]nc(C)c3[O-])C2)n[nH]1 ZINC001023412622 729682144 /nfs/dbraw/zinc/68/21/44/729682144.db2.gz YUGLLJFJYGOUFM-GHMZBOCLSA-N -1 1 348.363 -0.675 20 0 EBADMM CCC(=O)NC[C@H]1COCCN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001061153895 738436347 /nfs/dbraw/zinc/43/63/47/738436347.db2.gz DHWRHUUTQJCJTD-QMMMGPOBSA-N -1 1 340.336 -0.736 20 0 EBADMM Cc1cnc(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)cn1 ZINC001023486784 729701754 /nfs/dbraw/zinc/70/17/54/729701754.db2.gz RMHGIKVPQBODTF-DGCLKSJQSA-N -1 1 343.343 -0.499 20 0 EBADMM Cn1ccc(CC(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001023488363 729702224 /nfs/dbraw/zinc/70/22/24/729702224.db2.gz DZFJCFCCZFZPJP-DGCLKSJQSA-N -1 1 345.359 -0.935 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)C2CCC(O)CC2)C[C@H]1O)c1ncccc1[O-] ZINC001023488787 729702745 /nfs/dbraw/zinc/70/27/45/729702745.db2.gz WXYBZKGWMMRZJV-UAKHECDHSA-N -1 1 349.387 -0.360 20 0 EBADMM O=C(CC1=CCOCC1)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023490426 729703664 /nfs/dbraw/zinc/70/36/64/729703664.db2.gz JHZRQTNGKPXOQJ-TZMCWYRMSA-N -1 1 347.371 -0.175 20 0 EBADMM C[C@@H]1CCO[C@@H]1C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023493644 729704379 /nfs/dbraw/zinc/70/43/79/729704379.db2.gz ISCQMANUUFMNKG-FTUHBYGFSA-N -1 1 335.360 -0.486 20 0 EBADMM CCc1ncoc1C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023493736 729704515 /nfs/dbraw/zinc/70/45/15/729704515.db2.gz JVDOEDKZASECLN-ZYHUDNBSSA-N -1 1 346.343 -0.047 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](CNC(=O)[C@H]3[C@@H]4COC[C@@H]43)C2)nc1=O ZINC001023535271 729716340 /nfs/dbraw/zinc/71/63/40/729716340.db2.gz HQDJNGPNDWUQTL-NMKXLXIOSA-N -1 1 335.408 -0.671 20 0 EBADMM CCn1ccnc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001023542597 729718788 /nfs/dbraw/zinc/71/87/88/729718788.db2.gz OGBHKJUZTDDGAH-ZYHUDNBSSA-N -1 1 345.359 -0.381 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)[C@H]1CCCOCC1 ZINC001023546248 729720303 /nfs/dbraw/zinc/72/03/03/729720303.db2.gz YSNNNMFYAPTFMS-OUCADQQQSA-N -1 1 349.387 -0.095 20 0 EBADMM Cc1ccnc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)n1 ZINC001023548908 729721222 /nfs/dbraw/zinc/72/12/22/729721222.db2.gz RNIAVQZSMMJLFF-ZYHUDNBSSA-N -1 1 343.343 -0.499 20 0 EBADMM C[C@H](C(N)=O)N1CCC[C@H](CNC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001023635600 729743768 /nfs/dbraw/zinc/74/37/68/729743768.db2.gz FVFXKHCLHHAOQM-GHMZBOCLSA-N -1 1 346.391 -0.662 20 0 EBADMM Cc1nonc1CNC[C@H]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001024054807 729835642 /nfs/dbraw/zinc/83/56/42/729835642.db2.gz VSYHXQIQPSRFPW-SECBINFHSA-N -1 1 349.351 -0.384 20 0 EBADMM Cc1nonc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001024425190 729886388 /nfs/dbraw/zinc/88/63/88/729886388.db2.gz NUXBTBKWUGZZGU-PSASIEDQSA-N -1 1 333.304 -0.906 20 0 EBADMM Cc1nnccc1C(=O)NC[C@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001024532373 729899121 /nfs/dbraw/zinc/89/91/21/729899121.db2.gz FRLABVGISJKGPF-GFCCVEGCSA-N -1 1 345.407 -0.009 20 0 EBADMM Cc1nc[nH]c1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001024565707 729905596 /nfs/dbraw/zinc/90/55/96/729905596.db2.gz QRLZJMPZZYARAS-MWLCHTKSSA-N -1 1 331.332 -0.566 20 0 EBADMM CCCC(=O)NC[C@H]1COCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001061212343 738454120 /nfs/dbraw/zinc/45/41/20/738454120.db2.gz ZIPBLDBRFMPVEJ-JTQLQIEISA-N -1 1 348.363 -0.825 20 0 EBADMM O=C(CCn1cnnn1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001025123343 730002539 /nfs/dbraw/zinc/00/25/39/730002539.db2.gz ZEYLUXTWHCIZIA-SNVBAGLBSA-N -1 1 335.372 -0.942 20 0 EBADMM Cc1cnn(C)c1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001025136008 730004165 /nfs/dbraw/zinc/00/41/65/730004165.db2.gz LVDIQSKCUCTOOY-ZYHUDNBSSA-N -1 1 345.359 -0.556 20 0 EBADMM C[C@H](NC(=O)c1c[n-]n2c1nccc2=O)[C@H]1CCCN(CC(N)=O)C1 ZINC001025551988 730054131 /nfs/dbraw/zinc/05/41/31/730054131.db2.gz KEWCDJGSUFKQPG-QWRGUYRKSA-N -1 1 346.391 -0.662 20 0 EBADMM Cc1ccn(CC(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)n1 ZINC001025702029 730075265 /nfs/dbraw/zinc/07/52/65/730075265.db2.gz XBTWRCFSSCWYED-DGCLKSJQSA-N -1 1 345.359 -0.706 20 0 EBADMM C[C@@H]1OCCC[C@H]1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001025754954 730084365 /nfs/dbraw/zinc/08/43/65/730084365.db2.gz VAXZQLROCHFTHV-SVPLCASGSA-N -1 1 335.408 -0.530 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C2CCC(O)CC2)nc1=O ZINC001025758653 730084727 /nfs/dbraw/zinc/08/47/27/730084727.db2.gz LHZVLRSZCJISNL-SGQZKWBFSA-N -1 1 335.408 -0.794 20 0 EBADMM C[C@@H]1OCC[C@@]1(C)C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001025924337 730108264 /nfs/dbraw/zinc/10/82/64/730108264.db2.gz PTEZYHMIHSJVHH-XYOMKSJCSA-N -1 1 335.408 -0.530 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)N[C@@H]1[C@H]2CN(C/C=C/Cl)C[C@H]21 ZINC001026111150 730122274 /nfs/dbraw/zinc/12/22/74/730122274.db2.gz UVBQEWMSGPIBNC-KQICPDCUSA-N -1 1 348.794 -0.130 20 0 EBADMM Cn1ncc(CNC2CCC(NC(=O)c3n[nH]c(=O)[n-]c3=O)CC2)n1 ZINC001026522811 730148413 /nfs/dbraw/zinc/14/84/13/730148413.db2.gz XYMBMOWIVRQVTF-UHFFFAOYSA-N -1 1 348.367 -0.758 20 0 EBADMM O=C(NC1CCC(NCc2cnon2)CC1)c1n[nH]c(=O)[n-]c1=O ZINC001026522382 730148552 /nfs/dbraw/zinc/14/85/52/730148552.db2.gz FEVZSDXKFJFZRJ-UHFFFAOYSA-N -1 1 335.324 -0.503 20 0 EBADMM Cc1nnc([C@H](C)NC[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC001026940239 730173574 /nfs/dbraw/zinc/17/35/74/730173574.db2.gz DHQIGOWIVGAPOY-IONNQARKSA-N -1 1 349.351 -0.213 20 0 EBADMM C[C@H](NC[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1)c1ncccn1 ZINC001026940852 730173857 /nfs/dbraw/zinc/17/38/57/730173857.db2.gz SSAHZZKOKVWBAX-UWVGGRQHSA-N -1 1 345.363 -0.114 20 0 EBADMM Cc1conc1CNC[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001026941024 730173906 /nfs/dbraw/zinc/17/39/06/730173906.db2.gz XSGIXSLBWFZHBC-VIFPVBQESA-N -1 1 334.336 -0.169 20 0 EBADMM C[C@H](NC[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1)c1ncccn1 ZINC001026940853 730173984 /nfs/dbraw/zinc/17/39/84/730173984.db2.gz SSAHZZKOKVWBAX-VHSXEESVSA-N -1 1 345.363 -0.114 20 0 EBADMM CCn1ncc(CNC[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001026942006 730174189 /nfs/dbraw/zinc/17/41/89/730174189.db2.gz YJRWLBGMUCJQPN-VIFPVBQESA-N -1 1 348.367 -0.854 20 0 EBADMM Cc1nonc1CNC[C@H]1CCN(C(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001026986548 730178804 /nfs/dbraw/zinc/17/88/04/730178804.db2.gz RQDWZVFMNCKZRI-SNVBAGLBSA-N -1 1 348.363 -0.571 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N1CC[C@@H](CNCc2csnn2)C1 ZINC001027191575 730196146 /nfs/dbraw/zinc/19/61/46/730196146.db2.gz QKJPCFLENMSJSD-QMMMGPOBSA-N -1 1 339.381 -0.821 20 0 EBADMM O=C([C@H]1CCCS1(=O)=O)N1CC[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001027225068 730200923 /nfs/dbraw/zinc/20/09/23/730200923.db2.gz DNNHLILBCVKHCX-VHSXEESVSA-N -1 1 343.409 -0.974 20 0 EBADMM Cc1[nH]nc(C(=O)N2CCC[C@H](N(C)[C@@H]3CCC(=O)NC3=O)C2)c1[O-] ZINC001027370201 730209827 /nfs/dbraw/zinc/20/98/27/730209827.db2.gz ITPLDZCNGWDCGB-WDEREUQCSA-N -1 1 349.391 -0.235 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)n(C)n1 ZINC001027778457 730238523 /nfs/dbraw/zinc/23/85/23/730238523.db2.gz QTMFOIQAPPQAMI-NSHDSACASA-N -1 1 333.396 -0.455 20 0 EBADMM CCn1nccc1C(=O)NC[C@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001027846092 730242350 /nfs/dbraw/zinc/24/23/50/730242350.db2.gz YVYRBMBURQQMDA-LLVKDONJSA-N -1 1 333.396 -0.281 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H]2CNC(=O)c2ccc(=O)n(C)c2)nc1=O ZINC001027991254 730254727 /nfs/dbraw/zinc/25/47/27/730254727.db2.gz YTFJMJOLKFLUMI-LBPRGKRZSA-N -1 1 346.391 -0.799 20 0 EBADMM COc1nccc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001028020384 730258769 /nfs/dbraw/zinc/25/87/69/730258769.db2.gz SVXCAXBHMSRRAN-JTQLQIEISA-N -1 1 347.379 -0.699 20 0 EBADMM Cc1cc(=O)[nH]cc1C(=O)NC[C@@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001028130346 730269171 /nfs/dbraw/zinc/26/91/71/730269171.db2.gz MCBQSTRVODKBMV-NSHDSACASA-N -1 1 346.391 -0.088 20 0 EBADMM CC[C@@H](C(N)=O)N1CCC[C@@H]1CNC(=O)c1c[n-]n2c1nccc2=O ZINC001028186839 730272766 /nfs/dbraw/zinc/27/27/66/730272766.db2.gz UIOCOPPDMDXAGQ-PWSUYJOCSA-N -1 1 346.391 -0.519 20 0 EBADMM CCn1nc(C)c(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001028354801 730288101 /nfs/dbraw/zinc/28/81/01/730288101.db2.gz VISASBCZFLCWNX-NSHDSACASA-N -1 1 348.411 -0.577 20 0 EBADMM O=C(NC[C@@H]1CCN(Cc2cc(=O)n3[n-]ccc3n2)C1)c1ncc[nH]1 ZINC001028530503 730308677 /nfs/dbraw/zinc/30/86/77/730308677.db2.gz XULDEGWKRMRFBS-NSHDSACASA-N -1 1 341.375 -0.002 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)c3coc(C(N)=O)c3)C2)nc1=O ZINC001028709656 730343222 /nfs/dbraw/zinc/34/32/22/730343222.db2.gz CPVKQIQRRCDCCV-VIFPVBQESA-N -1 1 348.363 -0.948 20 0 EBADMM O=C(NC[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CCCc2n[nH]nc21 ZINC001028766086 730353674 /nfs/dbraw/zinc/35/36/74/730353674.db2.gz MIAGGGCHPVOBSL-UWVGGRQHSA-N -1 1 346.395 -0.313 20 0 EBADMM CCc1nocc1C(=O)NC[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001028785419 730356572 /nfs/dbraw/zinc/35/65/72/730356572.db2.gz ICJGCEYLYCSEPR-JTQLQIEISA-N -1 1 334.380 -0.089 20 0 EBADMM CCc1oncc1C(=O)NC[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001028840848 730362385 /nfs/dbraw/zinc/36/23/85/730362385.db2.gz NKBFLMDRBSEQGB-SNVBAGLBSA-N -1 1 334.380 -0.089 20 0 EBADMM COc1cncc(C(=O)NC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001028848755 730363097 /nfs/dbraw/zinc/36/30/97/730363097.db2.gz NVMQPZKUOWHRBY-SNVBAGLBSA-N -1 1 347.379 -0.841 20 0 EBADMM O=C(NC[C@@H]1CCN(Cc2cc(=O)n3[n-]ccc3n2)C1)c1cnon1 ZINC001028882583 730367190 /nfs/dbraw/zinc/36/71/90/730367190.db2.gz JASZLFUWILLFGM-JTQLQIEISA-N -1 1 343.347 -0.343 20 0 EBADMM Cc1cc(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)on1 ZINC001029209574 730393300 /nfs/dbraw/zinc/39/33/00/730393300.db2.gz BGQQYONBRXSEDI-PHIMTYICSA-N -1 1 332.364 -0.106 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1C[C@H]2CC[C@@H](C1)N2CCn1cccn1 ZINC001029379481 730402286 /nfs/dbraw/zinc/40/22/86/730402286.db2.gz BDQPGLIWZDZREB-PHIMTYICSA-N -1 1 345.363 -0.532 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)CN2CCCC2=O)C1 ZINC001029642900 730479873 /nfs/dbraw/zinc/47/98/73/730479873.db2.gz MAMDIGKNPGQQRT-NSHDSACASA-N -1 1 336.396 -0.587 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)c2nccnc2N)C1 ZINC001029681528 730482542 /nfs/dbraw/zinc/48/25/42/730482542.db2.gz WZLWSNQOJLMHIM-VIFPVBQESA-N -1 1 332.368 -0.523 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)CN2CCOCC2)C1 ZINC001029709277 730485612 /nfs/dbraw/zinc/48/56/12/730485612.db2.gz HXZFQJVTNRJPIF-GFCCVEGCSA-N -1 1 338.412 -0.877 20 0 EBADMM O=C(CN1CC(NC(=O)c2ncccc2[O-])C1)Nc1cnccn1 ZINC001030241255 730557954 /nfs/dbraw/zinc/55/79/54/730557954.db2.gz BFXMRDFQPJFQLY-UHFFFAOYSA-N -1 1 328.332 -0.370 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)Cc3[nH]nc4c3CCCC4)C2)nc1=O ZINC001030313453 730566567 /nfs/dbraw/zinc/56/65/67/730566567.db2.gz YJULJCMUIGWGNU-UHFFFAOYSA-N -1 1 345.407 -0.747 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3snnc3C3CC3)C2)nc1=O ZINC001030476877 730592996 /nfs/dbraw/zinc/59/29/96/730592996.db2.gz QGKQBGAFSXOKAW-UHFFFAOYSA-N -1 1 335.393 -0.549 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cc(C(F)(F)F)no3)C2)nc1=O ZINC001030484681 730594110 /nfs/dbraw/zinc/59/41/10/730594110.db2.gz VXJPLNIXYOFPSU-UHFFFAOYSA-N -1 1 346.269 -0.271 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cc4n(n3)CCCC4)C2)nc1=O ZINC001030494085 730595577 /nfs/dbraw/zinc/59/55/77/730595577.db2.gz KTIPGEJNJCKCDM-UHFFFAOYSA-N -1 1 331.380 -0.745 20 0 EBADMM Cc1ccc2n[nH]cc2c1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030582338 730608407 /nfs/dbraw/zinc/60/84/07/730608407.db2.gz TWQFBCNJWKQDFS-UHFFFAOYSA-N -1 1 341.375 -0.093 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ccc4nonc4c3)C2)nc1=O ZINC001030884964 730652497 /nfs/dbraw/zinc/65/24/97/730652497.db2.gz PIPQRJDYFQSCFB-UHFFFAOYSA-N -1 1 329.320 -0.741 20 0 EBADMM C[C@H](C(=O)N(C)C)N1CC(NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001031060418 730669856 /nfs/dbraw/zinc/66/98/56/730669856.db2.gz DWOHCEFATCGPAY-SECBINFHSA-N -1 1 333.392 -0.050 20 0 EBADMM O=C(NC1CN([C@@H]2CCC(=O)NC2=O)C1)c1cnc(C2CC2)[n-]c1=O ZINC001031061310 730670127 /nfs/dbraw/zinc/67/01/27/730670127.db2.gz VIYYZVULPMBTBL-LLVKDONJSA-N -1 1 345.359 -0.721 20 0 EBADMM Cc1nc(CN2CC(NC(=O)c3c[n-]n4c3nccc4=O)C2)n[nH]1 ZINC001031068470 730670451 /nfs/dbraw/zinc/67/04/51/730670451.db2.gz JHAZIBYXUPGKRL-UHFFFAOYSA-N -1 1 328.336 -0.937 20 0 EBADMM COc1ccc(F)cc1CC(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031130615 730679897 /nfs/dbraw/zinc/67/98/97/730679897.db2.gz BMEXPTHKMGHRBA-UHFFFAOYSA-N -1 1 349.366 -0.201 20 0 EBADMM O=C(NC1CN(Cc2nccs2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001031249747 730693328 /nfs/dbraw/zinc/69/33/28/730693328.db2.gz GLZFIOUWSPNMJC-UHFFFAOYSA-N -1 1 331.361 -0.512 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(Cc2cccnc2)C1 ZINC001031250032 730693739 /nfs/dbraw/zinc/69/37/39/730693739.db2.gz QDKLIYWEYFQAGL-UHFFFAOYSA-N -1 1 331.332 -0.094 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(CCn2cccn2)C1 ZINC001031249984 730693769 /nfs/dbraw/zinc/69/37/69/730693769.db2.gz OCAVOCYMNAUABK-UHFFFAOYSA-N -1 1 334.336 -0.793 20 0 EBADMM Cc1ncc(CN2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)o1 ZINC001031252307 730695423 /nfs/dbraw/zinc/69/54/23/730695423.db2.gz DEOISDJVNWDCDM-UHFFFAOYSA-N -1 1 329.320 -0.672 20 0 EBADMM COc1cc(OC)cc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001031306251 730699879 /nfs/dbraw/zinc/69/98/79/730699879.db2.gz CWFFOHKXNMVHSB-UHFFFAOYSA-N -1 1 347.375 -0.260 20 0 EBADMM COc1ccc(CC(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)cc1 ZINC001031301819 730699926 /nfs/dbraw/zinc/69/99/26/730699926.db2.gz NORIQRVVQVWNPP-UHFFFAOYSA-N -1 1 331.376 -0.340 20 0 EBADMM COc1cccc(OC)c1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031305094 730699935 /nfs/dbraw/zinc/69/99/35/730699935.db2.gz CXYMSOAFVYNDBB-UHFFFAOYSA-N -1 1 347.375 -0.260 20 0 EBADMM CN(C)c1cccc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001031306596 730699949 /nfs/dbraw/zinc/69/99/49/730699949.db2.gz JHMNMGPNHSBJOH-UHFFFAOYSA-N -1 1 330.392 -0.211 20 0 EBADMM CC(C)c1nn(C)cc1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031383571 730713313 /nfs/dbraw/zinc/71/33/13/730713313.db2.gz FPCAMAYNDCLSNH-UHFFFAOYSA-N -1 1 333.396 -0.421 20 0 EBADMM O=C(Cc1[nH]nc2ccccc21)NC1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001031432382 730722791 /nfs/dbraw/zinc/72/27/91/730722791.db2.gz OZXWENSIHKBMBO-UHFFFAOYSA-N -1 1 327.348 -0.070 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)Cc3c[nH]c4ncccc34)C2)nc1=O ZINC001031450004 730725675 /nfs/dbraw/zinc/72/56/75/730725675.db2.gz IUAYDJOEJJHXBJ-UHFFFAOYSA-N -1 1 341.375 -0.472 20 0 EBADMM Cc1nc(C)n(CCN2CC(CNC(=O)c3[nH]nc(C)c3[O-])C2)n1 ZINC001031617596 730741350 /nfs/dbraw/zinc/74/13/50/730741350.db2.gz JJGPZGFTLAHEJO-UHFFFAOYSA-N -1 1 333.396 -0.006 20 0 EBADMM CCc1ccnc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001031694396 730751939 /nfs/dbraw/zinc/75/19/39/730751939.db2.gz QXFNAQIVCRGALM-UHFFFAOYSA-N -1 1 330.392 -0.072 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3ccnc4[nH]cnc43)C2)nc1=O ZINC001031703730 730753673 /nfs/dbraw/zinc/75/36/73/730753673.db2.gz LPBYJZJVLAQBLQ-UHFFFAOYSA-N -1 1 342.363 -0.759 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cn(C(C)(C)C)nn3)C2)nc1=O ZINC001031718431 730756920 /nfs/dbraw/zinc/75/69/20/730756920.db2.gz IVAHCVBMVJZPIV-UHFFFAOYSA-N -1 1 348.411 -0.683 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cnn4ccncc34)C2)nc1=O ZINC001031734883 730759262 /nfs/dbraw/zinc/75/92/62/730759262.db2.gz NJALKOUOGXBBOM-UHFFFAOYSA-N -1 1 342.363 -0.987 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@@H]3CCCn4nccc43)C2)nc1=O ZINC001031782010 730768349 /nfs/dbraw/zinc/76/83/49/730768349.db2.gz SWXYAYPQGBRFRW-GFCCVEGCSA-N -1 1 345.407 -0.570 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@H]3CCc4nccn4C3)C2)nc1=O ZINC001031782775 730768554 /nfs/dbraw/zinc/76/85/54/730768554.db2.gz LSDHETYKHIXARV-LBPRGKRZSA-N -1 1 345.407 -0.885 20 0 EBADMM Cn1cc(CCN2CC(CNC(=O)c3cnc([O-])n(C)c3=O)C2)cn1 ZINC001031895371 730786815 /nfs/dbraw/zinc/78/68/15/730786815.db2.gz YOHFBDBMBUCKMP-UHFFFAOYSA-N -1 1 346.391 -0.876 20 0 EBADMM Cc1nocc1CN1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001032128208 730816921 /nfs/dbraw/zinc/81/69/21/730816921.db2.gz VXBNHOUWPJTRSE-UHFFFAOYSA-N -1 1 343.347 -0.424 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@@H]3CCc4c[nH]nc4C3)C2)nc1=O ZINC001032201370 730827884 /nfs/dbraw/zinc/82/78/84/730827884.db2.gz AKXTYUWJLWZHRP-LLVKDONJSA-N -1 1 345.407 -0.815 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)CC[C@@H]2CCCO2)nc1=O ZINC001032291700 730837862 /nfs/dbraw/zinc/83/78/62/730837862.db2.gz QXUTXTSZTVJKQT-AVGNSLFASA-N -1 1 335.408 -0.147 20 0 EBADMM Cc1cc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)ncn1 ZINC001032358548 730844538 /nfs/dbraw/zinc/84/45/38/730844538.db2.gz CIEOHZZSCNXCQS-QWRGUYRKSA-N -1 1 329.364 -0.694 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@@H]2C[C@H]1CN2CCN1C(=O)CNC1=O ZINC001032368487 730846578 /nfs/dbraw/zinc/84/65/78/730846578.db2.gz DOONTJNVEKCRGX-QWRGUYRKSA-N -1 1 345.359 -0.762 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@@H]2C[C@H]1CN2CCN1C(=O)CCC1=O ZINC001032368938 730846661 /nfs/dbraw/zinc/84/66/61/730846661.db2.gz NIEQJCSDAKFHCT-RYUDHWBXSA-N -1 1 344.371 -0.165 20 0 EBADMM C[C@@H](c1nnnn1C)N1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1[O-] ZINC001032369698 730846734 /nfs/dbraw/zinc/84/67/34/730846734.db2.gz NVDSUOLKBOKQCO-DCAQKATOSA-N -1 1 329.364 -0.029 20 0 EBADMM Cc1nc([C@@H](C)N2C[C@@H]3C[C@H]2CN3C(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC001032499031 730876246 /nfs/dbraw/zinc/87/62/46/730876246.db2.gz PUKCVRLJIYAKPK-YEPSODPASA-N -1 1 346.351 -0.631 20 0 EBADMM Cc1ccn(CC(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)n1 ZINC001032633242 730903627 /nfs/dbraw/zinc/90/36/27/730903627.db2.gz XDPOWJQRJMFRMP-RYUDHWBXSA-N -1 1 331.380 -0.901 20 0 EBADMM Cc1n[nH]c(C)c1CC(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032778194 730929514 /nfs/dbraw/zinc/92/95/14/730929514.db2.gz JVQAGBATNGNEDU-RYUDHWBXSA-N -1 1 345.407 -0.524 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)[C@@H]2CC23CCOCC3)nc1=O ZINC001032822211 730938498 /nfs/dbraw/zinc/93/84/98/730938498.db2.gz IMLMYYKCUYKWEW-AVGNSLFASA-N -1 1 347.419 -0.290 20 0 EBADMM CCn1cc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001032959577 730952309 /nfs/dbraw/zinc/95/23/09/730952309.db2.gz ZFQABSHTGOQIGN-GFCCVEGCSA-N -1 1 333.396 -0.329 20 0 EBADMM CN(C(=O)c1cccc(=O)[nH]1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032976993 730954619 /nfs/dbraw/zinc/95/46/19/730954619.db2.gz XXULZRWZADMTDD-SNVBAGLBSA-N -1 1 332.364 -0.445 20 0 EBADMM CN(C(=O)Cc1ccc(F)cn1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033197261 730985054 /nfs/dbraw/zinc/98/50/54/730985054.db2.gz GXLMKIDGFOKJBJ-ZDUSSCGKSA-N -1 1 348.382 -0.082 20 0 EBADMM CCc1n[nH]cc1C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033220943 730990167 /nfs/dbraw/zinc/99/01/67/730990167.db2.gz NTZHJHFXYRYSGU-SNVBAGLBSA-N -1 1 333.396 -0.260 20 0 EBADMM CN(C(=O)c1csc(=O)[nH]1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033348782 731013177 /nfs/dbraw/zinc/01/31/77/731013177.db2.gz KSWJZMKWCBQTJN-MRVPVSSYSA-N -1 1 338.393 -0.383 20 0 EBADMM C[C@H](C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)c1cncnc1 ZINC001033356637 731013700 /nfs/dbraw/zinc/01/37/00/731013700.db2.gz QAURKNGIVNECMS-AAEUAGOBSA-N -1 1 345.407 -0.265 20 0 EBADMM Cc1cc(=O)[nH]cc1C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033410690 731020041 /nfs/dbraw/zinc/02/00/41/731020041.db2.gz NTRDNTUNODSZEY-LLVKDONJSA-N -1 1 346.391 -0.136 20 0 EBADMM Cc1nc(C)c(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)[nH]1 ZINC001033430691 731022860 /nfs/dbraw/zinc/02/28/60/731022860.db2.gz ABEYIHRDKAEABL-NSHDSACASA-N -1 1 333.396 -0.205 20 0 EBADMM COC[C@H](C)N1CC[C@H](N(C)C(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001033510626 731033898 /nfs/dbraw/zinc/03/38/98/731033898.db2.gz WNJMYNXYKRWIKK-RYUDHWBXSA-N -1 1 348.407 -0.361 20 0 EBADMM COc1cccc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001033550716 731037980 /nfs/dbraw/zinc/03/79/80/731037980.db2.gz IHEZGIHUNABWOQ-NSHDSACASA-N -1 1 346.391 -0.142 20 0 EBADMM CN(C(=O)[C@@H]1CC12CCOCC2)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033717528 731069993 /nfs/dbraw/zinc/06/99/93/731069993.db2.gz DJEKWLJWCFBARH-STQMWFEESA-N -1 1 349.435 -0.042 20 0 EBADMM CCN(C(=O)C1CCOCC1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033726452 731070360 /nfs/dbraw/zinc/07/03/60/731070360.db2.gz UHHGXHASRFQDIK-CYBMUJFWSA-N -1 1 337.424 -0.042 20 0 EBADMM CCN(C(=O)c1c[nH]c(=O)n1C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033918665 731087116 /nfs/dbraw/zinc/08/71/16/731087116.db2.gz DXASCWIIKFRJDH-SNVBAGLBSA-N -1 1 349.395 -0.716 20 0 EBADMM CCN(C(=O)c1cccnn1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034001090 731097534 /nfs/dbraw/zinc/09/75/34/731097534.db2.gz TVZUSEFOMLYUBX-LLVKDONJSA-N -1 1 331.380 -0.365 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H](NC(=O)Cc3ccon3)C2)nc1=O ZINC001034142479 731104912 /nfs/dbraw/zinc/10/49/12/731104912.db2.gz KHTLVLNHMSQTNE-GFCCVEGCSA-N -1 1 334.380 -0.190 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H](NC(=O)c3nccnc3N)C2)nc1=O ZINC001034140723 731105182 /nfs/dbraw/zinc/10/51/82/731105182.db2.gz BCXOYMUJNAZMPF-SNVBAGLBSA-N -1 1 346.395 -0.735 20 0 EBADMM CNC(=O)[C@@H](C)N1CCCC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001034290125 731117800 /nfs/dbraw/zinc/11/78/00/731117800.db2.gz DYYDVDADWFHBPL-BDAKNGLRSA-N -1 1 338.368 -0.998 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H](NC(=O)c3c[nH]c(=O)n3C)C2)nc1=O ZINC001034344069 731123088 /nfs/dbraw/zinc/12/30/88/731123088.db2.gz AXDHZNRGBPKKQE-SNVBAGLBSA-N -1 1 349.395 -0.668 20 0 EBADMM Cn1cncc1CC(=O)N[C@@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034487075 731135136 /nfs/dbraw/zinc/13/51/36/731135136.db2.gz DQKZOSVNINMDDD-GFCCVEGCSA-N -1 1 347.423 -0.445 20 0 EBADMM Cn1cc(CN2CCCC[C@@H](NC(=O)Cn3c(=O)[n-][nH]c3=O)C2)cn1 ZINC001034510185 731136621 /nfs/dbraw/zinc/13/66/21/731136621.db2.gz GPCRZRXKAFMXGV-GFCCVEGCSA-N -1 1 349.395 -0.406 20 0 EBADMM Cn1ncnc1CN[C@H]1CC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001034683269 731150739 /nfs/dbraw/zinc/15/07/39/731150739.db2.gz DOJKXLNABOEVGS-KYZUINATSA-N -1 1 348.367 -0.758 20 0 EBADMM CN1CC[C@H](C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CC1=O ZINC001034976639 731170984 /nfs/dbraw/zinc/17/09/84/731170984.db2.gz XMDPFLDJCRDEAB-WDEREUQCSA-N -1 1 336.396 -0.541 20 0 EBADMM O=C(c1nccn2ccnc12)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035057709 731181512 /nfs/dbraw/zinc/18/15/12/731181512.db2.gz YPOOVVGCVUUSHK-SNVBAGLBSA-N -1 1 342.363 -0.053 20 0 EBADMM Cn1cc(CCC(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)cn1 ZINC001035133588 731190683 /nfs/dbraw/zinc/19/06/83/731190683.db2.gz RTIRDNICPVFDKB-GFCCVEGCSA-N -1 1 333.396 -0.043 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)c3cccnc3)C2)nc1=O ZINC001035263929 731202266 /nfs/dbraw/zinc/20/22/66/731202266.db2.gz BNKGUKROSSNUQO-LBPRGKRZSA-N -1 1 332.364 -0.866 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)C3=COCCC3)C2)nc1=O ZINC001035310567 731211289 /nfs/dbraw/zinc/21/12/89/731211289.db2.gz RFRLFRZIJGIGQB-LBPRGKRZSA-N -1 1 337.380 -0.880 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)[C@H]3[C@@H]4CCCC[C@@H]43)C2)nc1=O ZINC001035331723 731217721 /nfs/dbraw/zinc/21/77/21/731217721.db2.gz YXPHWOZQEHCQMW-CXTNEJHOSA-N -1 1 349.435 -0.138 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)[C@H]3[C@@H]4CCCC[C@@H]43)C2)nc1=O ZINC001035331726 731217755 /nfs/dbraw/zinc/21/77/55/731217755.db2.gz YXPHWOZQEHCQMW-RMRHIDDWSA-N -1 1 349.435 -0.138 20 0 EBADMM Cn1ncnc1CN1CCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001035343099 731221682 /nfs/dbraw/zinc/22/16/82/731221682.db2.gz FYMMLEGXSLZVNS-LLVKDONJSA-N -1 1 332.364 -0.454 20 0 EBADMM Cc1cnccc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035368668 731232030 /nfs/dbraw/zinc/23/20/30/731232030.db2.gz QYJIQKMVHYOBDY-LBPRGKRZSA-N -1 1 346.391 -0.557 20 0 EBADMM C[C@@H]1CCCN(C(=O)c2cn(C)c(=O)[nH]2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036575065 731262805 /nfs/dbraw/zinc/26/28/05/731262805.db2.gz VROLXSSKQBVDJU-MWLCHTKSSA-N -1 1 349.395 -0.020 20 0 EBADMM C[C@H]1CCCN(C(=O)Cc2nnc[nH]2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036591333 731263054 /nfs/dbraw/zinc/26/30/54/731263054.db2.gz PUNZECJYHBOKRW-VHSXEESVSA-N -1 1 334.384 -0.412 20 0 EBADMM O=C(NC[C@H]1CCN1CCCN1C(=O)CNC1=O)c1ncccc1[O-] ZINC001038183822 731291332 /nfs/dbraw/zinc/29/13/32/731291332.db2.gz MXKOWGJFFXATTH-LLVKDONJSA-N -1 1 347.375 -0.467 20 0 EBADMM Cn1cc(Cl)c(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001038283520 731302288 /nfs/dbraw/zinc/30/22/88/731302288.db2.gz HKZVESMLJNEWMG-QMMMGPOBSA-N -1 1 339.787 -0.500 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cnc3[nH]cnc3c2)nc1=O ZINC001038304971 731304576 /nfs/dbraw/zinc/30/45/76/731304576.db2.gz DSNBYOKSZWQSSW-SNVBAGLBSA-N -1 1 342.363 -0.616 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cnn3ccncc23)nc1=O ZINC001038351912 731311191 /nfs/dbraw/zinc/31/11/91/731311191.db2.gz VAJCLPDCRZYCFJ-JTQLQIEISA-N -1 1 342.363 -0.845 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cnn(CCF)c2)nc1=O ZINC001038441994 731326215 /nfs/dbraw/zinc/32/62/15/731326215.db2.gz TXWQLHRJGTVIOC-LLVKDONJSA-N -1 1 337.359 -0.721 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2ncnc3[nH]ccc32)nc1=O ZINC001038465192 731330748 /nfs/dbraw/zinc/33/07/48/731330748.db2.gz JAPHSFOHCPUPON-SECBINFHSA-N -1 1 342.363 -0.664 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@H]2Cc3ccccc3O2)nc1=O ZINC001038474147 731332387 /nfs/dbraw/zinc/33/23/87/731332387.db2.gz DGEORBHFKGXUMM-GXTWGEPZSA-N -1 1 343.387 -0.197 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cccc3ncnn32)nc1=O ZINC001038475447 731332746 /nfs/dbraw/zinc/33/27/46/731332746.db2.gz DJTYPPFBNDHHSU-JTQLQIEISA-N -1 1 342.363 -0.845 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2ccn3ccnc3c2)nc1=O ZINC001038509269 731337564 /nfs/dbraw/zinc/33/75/64/731337564.db2.gz VUTFYEYTYGQMTQ-LBPRGKRZSA-N -1 1 341.375 -0.240 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@@H]2OC[C@@H]3CCC[C@@H]32)nc1=O ZINC001038529528 731339241 /nfs/dbraw/zinc/33/92/41/731339241.db2.gz MLWFSHSRNOXYJW-ZJQBRPOHSA-N -1 1 335.408 -0.386 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cccc3c[nH]nc32)nc1=O ZINC001038578777 731345665 /nfs/dbraw/zinc/34/56/65/731345665.db2.gz OKXRKMKKNCBLNP-NSHDSACASA-N -1 1 341.375 -0.011 20 0 EBADMM O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[n-]1)[C@H]1CCCc2n[nH]nc21 ZINC001038648099 731359788 /nfs/dbraw/zinc/35/97/88/731359788.db2.gz VEDCGTKDOGMTQY-IUCAKERBSA-N -1 1 332.368 -0.561 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2ccc3nonc3c2)nc1=O ZINC001038655688 731362014 /nfs/dbraw/zinc/36/20/14/731362014.db2.gz BAHXWEDMSCRRFX-SNVBAGLBSA-N -1 1 343.347 -0.351 20 0 EBADMM CCc1cc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)n(C)n1 ZINC001038844399 731384289 /nfs/dbraw/zinc/38/42/89/731384289.db2.gz DMBXADRHRUSSDC-NSHDSACASA-N -1 1 333.396 -0.591 20 0 EBADMM Cc1cc(CN2CC[C@@H]2CNC(=O)c2cc(=O)n3[n-]cnc3n2)on1 ZINC001038919211 731392893 /nfs/dbraw/zinc/39/28/93/731392893.db2.gz CFBOASCOLFHBNE-SNVBAGLBSA-N -1 1 343.347 -0.282 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2c[nH]c3cccnc23)nc1=O ZINC001038924261 731393780 /nfs/dbraw/zinc/39/37/80/731393780.db2.gz WOLOXUZHYVCDDF-SNVBAGLBSA-N -1 1 341.375 -0.011 20 0 EBADMM CCc1cc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)nn1C ZINC001039085194 731416917 /nfs/dbraw/zinc/41/69/17/731416917.db2.gz RGIAMMSMYYOJLD-NSHDSACASA-N -1 1 333.396 -0.591 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CC[C@@H](C2)N3C(=O)c2cncnc2)nc1=O ZINC001039358880 731428967 /nfs/dbraw/zinc/42/89/67/731428967.db2.gz QBYWHLMBTKQVSO-OLZOCXBDSA-N -1 1 343.391 -0.223 20 0 EBADMM Cc1nonc1C(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001040024580 731573540 /nfs/dbraw/zinc/57/35/40/731573540.db2.gz SGYUCKQLVPGUFL-PHIMTYICSA-N -1 1 347.379 -0.321 20 0 EBADMM O=C(NC[C@]1(O)CCN(C(=O)c2ncccc2[O-])C1)[C@H]1[C@@H]2COC[C@@H]21 ZINC001040613124 731793944 /nfs/dbraw/zinc/79/39/44/731793944.db2.gz IRUKQSGEJWEJNW-JJTUWOBKSA-N -1 1 347.371 -0.627 20 0 EBADMM COc1nc(C)cc(N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001040995183 731955213 /nfs/dbraw/zinc/95/52/13/731955213.db2.gz CFYDJLQYOLIIRY-ZYHUDNBSSA-N -1 1 345.359 -0.126 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(CCN(C(=O)C4CCOCC4)C3)C2)nc1=O ZINC001040998439 731956742 /nfs/dbraw/zinc/95/67/42/731956742.db2.gz LTZWWWKSJAIQPD-QGZVFWFLSA-N -1 1 349.435 -0.041 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(CCN(C(=O)[C@@H]4CCCO4)C3)C2)nc1=O ZINC001041045911 731973460 /nfs/dbraw/zinc/97/34/60/731973460.db2.gz ULYOEPRVJRPKCW-BLLLJJGKSA-N -1 1 335.408 -0.288 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(CCN(C(=O)c4ccon4)C3)C2)nc1=O ZINC001041095980 731989132 /nfs/dbraw/zinc/98/91/32/731989132.db2.gz AAPHUGPYJONXSD-HNNXBMFYSA-N -1 1 332.364 -0.165 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC[C@@]3(CCN([C@@H]4CCNC4=O)C3)C2)c1[O-] ZINC001041208634 732032183 /nfs/dbraw/zinc/03/21/83/732032183.db2.gz WWVYJMQAMLLGGR-BDJLRTHQSA-N -1 1 333.392 -0.150 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(CCN(C(=O)c4cncnc4)C3)C2)nc1=O ZINC001041233180 732043553 /nfs/dbraw/zinc/04/35/53/732043553.db2.gz DBYFKTSYXPXRPG-MRXNPFEDSA-N -1 1 343.391 -0.363 20 0 EBADMM CSCCC(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001041372647 732106145 /nfs/dbraw/zinc/10/61/45/732106145.db2.gz GTLNONMAPHGWLX-UHFFFAOYSA-N -1 1 325.390 -0.157 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)c4cnccn4)C[C@H]32)nc1=O ZINC001041909049 732252137 /nfs/dbraw/zinc/25/21/37/732252137.db2.gz HLBPZGAXXNXMOS-WCQYABFASA-N -1 1 343.391 -0.365 20 0 EBADMM Cn1cc(C(=O)N2CC[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)[C@H]3C2)cn1 ZINC001041926880 732256680 /nfs/dbraw/zinc/25/66/80/732256680.db2.gz FWUFSAFNUSZDGM-YPMHNXCESA-N -1 1 345.407 -0.422 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)C4=CCOCC4)C[C@@H]32)nc1=O ZINC001042024915 732280391 /nfs/dbraw/zinc/28/03/91/732280391.db2.gz AJSJXGDJQGGUBT-JSGCOSHPSA-N -1 1 347.419 -0.122 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)c4cnco4)C[C@@H]32)nc1=O ZINC001042169976 732341085 /nfs/dbraw/zinc/34/10/85/732341085.db2.gz OQCRULRQRAHEPD-QWRGUYRKSA-N -1 1 332.364 -0.167 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CC[C@H]2CCN(Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001042179039 732345514 /nfs/dbraw/zinc/34/55/14/732345514.db2.gz IPRJZIDIMLNPPG-NXEZZACHSA-N -1 1 332.368 -0.327 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)[C@H]4[C@@H]5COC[C@@H]54)C[C@H]32)nc1=O ZINC001042194012 732351089 /nfs/dbraw/zinc/35/10/89/732351089.db2.gz RLOSYGFOXXGVJK-RLPMIEJPSA-N -1 1 347.419 -0.576 20 0 EBADMM Cc1c[nH]c(C(=O)N2CC[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)[C@H]3C2)n1 ZINC001042267866 732382891 /nfs/dbraw/zinc/38/28/91/732382891.db2.gz XLTVIMXVJNGUBH-NEPJUHHUSA-N -1 1 345.407 -0.123 20 0 EBADMM O=C(CC[C@@H]1CCOC1)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001042454809 732441230 /nfs/dbraw/zinc/44/12/30/732441230.db2.gz SGFCDPDBCZJKSD-GFCCVEGCSA-N -1 1 349.387 -0.093 20 0 EBADMM O=C(CC[C@H]1CCOC1)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001042454810 732441278 /nfs/dbraw/zinc/44/12/78/732441278.db2.gz SGFCDPDBCZJKSD-LBPRGKRZSA-N -1 1 349.387 -0.093 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(CCCN2C(=O)CNC2=O)C1 ZINC001042645871 732512837 /nfs/dbraw/zinc/51/28/37/732512837.db2.gz DVKLONWGGCIKCL-UHFFFAOYSA-N -1 1 347.375 -0.515 20 0 EBADMM Cc1cc(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)n(C)n1 ZINC001042712781 732545940 /nfs/dbraw/zinc/54/59/40/732545940.db2.gz IGILOUFYDXEFLF-UHFFFAOYSA-N -1 1 345.359 -0.554 20 0 EBADMM Cn1ccc(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)c1 ZINC001042713406 732547255 /nfs/dbraw/zinc/54/72/55/732547255.db2.gz XKTQADNBOTWBEA-UHFFFAOYSA-N -1 1 330.344 -0.257 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)CCO1 ZINC001042716585 732548862 /nfs/dbraw/zinc/54/88/62/732548862.db2.gz TVGRRDXAXOVEQH-NEPJUHHUSA-N -1 1 349.387 -0.095 20 0 EBADMM C[C@H]1C[C@H](C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)CO1 ZINC001042719454 732550074 /nfs/dbraw/zinc/55/00/74/732550074.db2.gz DJDBLLDDLJLSJF-QWRGUYRKSA-N -1 1 335.360 -0.485 20 0 EBADMM CCc1ncoc1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042719893 732550641 /nfs/dbraw/zinc/55/06/41/732550641.db2.gz MYXSGUNRNMKPSO-UHFFFAOYSA-N -1 1 346.343 -0.046 20 0 EBADMM O=C(Cc1ccc[nH]1)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042720149 732551055 /nfs/dbraw/zinc/55/10/55/732551055.db2.gz RXYVAWWWFIRKLI-UHFFFAOYSA-N -1 1 330.344 -0.339 20 0 EBADMM CCOCC1(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)CC1 ZINC001042720367 732551328 /nfs/dbraw/zinc/55/13/28/732551328.db2.gz VQCBWZLUPXATOK-UHFFFAOYSA-N -1 1 349.387 -0.093 20 0 EBADMM O=C(Cc1ccoc1)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001042732037 732556718 /nfs/dbraw/zinc/55/67/18/732556718.db2.gz LKCULOKMPKYOQO-UHFFFAOYSA-N -1 1 331.328 -0.074 20 0 EBADMM Cn1ccc(CC(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)n1 ZINC001042982914 732697423 /nfs/dbraw/zinc/69/74/23/732697423.db2.gz AQVDJFLYZBJNCL-UHFFFAOYSA-N -1 1 345.359 -0.934 20 0 EBADMM CN(C(=O)c1cnc2[nH]ccc2c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042998813 732705224 /nfs/dbraw/zinc/70/52/24/732705224.db2.gz UUIAUYIIUYFPEM-UHFFFAOYSA-N -1 1 341.375 -0.107 20 0 EBADMM O=C(CCOCC1CC1)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001043044493 732730096 /nfs/dbraw/zinc/73/00/96/732730096.db2.gz XMWKFTXRAUYHPD-UHFFFAOYSA-N -1 1 349.387 -0.093 20 0 EBADMM CCc1nc([C@H](C)N2CC(N(C)C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001043230863 732837073 /nfs/dbraw/zinc/83/70/73/732837073.db2.gz GQTNHHBTRDTLST-ZETCQYMHSA-N -1 1 348.367 -0.519 20 0 EBADMM C[C@H]1C[C@@H](CN2CC(N(C)C(=O)c3n[nH]c(=O)[n-]c3=O)C2)CCO1 ZINC001043231217 732837562 /nfs/dbraw/zinc/83/75/62/732837562.db2.gz WXACILCLCGGVRG-UWVGGRQHSA-N -1 1 337.380 -0.146 20 0 EBADMM CN(C(=O)c1cnc([O-])n(C)c1=O)C1CN(CC[C@@H]2CCOC2)C1 ZINC001043509277 732977375 /nfs/dbraw/zinc/97/73/75/732977375.db2.gz BMQUKFUCVRMMKA-LLVKDONJSA-N -1 1 336.392 -0.331 20 0 EBADMM C[C@H]1OCC[C@]1(C)C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001043943690 733212553 /nfs/dbraw/zinc/21/25/53/733212553.db2.gz DASVKMJKYZELLU-BZNIZROVSA-N -1 1 349.387 -0.095 20 0 EBADMM CN(C(=O)c1csc2cncn21)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043994160 733235975 /nfs/dbraw/zinc/23/59/75/733235975.db2.gz CGDBEDYZPSLHKQ-UHFFFAOYSA-N -1 1 347.404 -0.226 20 0 EBADMM CN(C(=O)c1cnc(C2CC2)[n-]c1=O)C1CN(Cc2nnnn2C)C1 ZINC001044014508 733247482 /nfs/dbraw/zinc/24/74/82/733247482.db2.gz XRCROCSXQZNJEK-UHFFFAOYSA-N -1 1 344.379 -0.461 20 0 EBADMM CN(C(=O)C(C)(C)c1cnn(C)c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044120115 733291333 /nfs/dbraw/zinc/29/13/33/733291333.db2.gz NABKYRNPNIPRKS-UHFFFAOYSA-N -1 1 347.423 -0.538 20 0 EBADMM CC[C@@H]1C[C@@H](C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)CCO1 ZINC001044154676 733305629 /nfs/dbraw/zinc/30/56/29/733305629.db2.gz YNOPHPXBNQSVDM-WCQYABFASA-N -1 1 337.424 -0.044 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(Cc2ccon2)C1 ZINC001044180630 733320185 /nfs/dbraw/zinc/32/01/85/733320185.db2.gz IPMCAQKETVEQLY-UHFFFAOYSA-N -1 1 329.320 -0.638 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(Cc2cscn2)C1 ZINC001044180837 733320625 /nfs/dbraw/zinc/32/06/25/733320625.db2.gz NSEJVTVSNWEHTK-UHFFFAOYSA-N -1 1 345.388 -0.170 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C1CN(Cc2ccnn2C)C1 ZINC001044181044 733320703 /nfs/dbraw/zinc/32/07/03/733320703.db2.gz PREFKEWAIPTROX-UHFFFAOYSA-N -1 1 348.363 -0.414 20 0 EBADMM C[C@H]1C[C@@H](NCc2ncccn2)CCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001044665403 733475414 /nfs/dbraw/zinc/47/54/14/733475414.db2.gz YKCFBZOYDPVINX-UWVGGRQHSA-N -1 1 345.363 -0.144 20 0 EBADMM Cn1cc(OCC(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)cn1 ZINC001045171900 733609359 /nfs/dbraw/zinc/60/93/59/733609359.db2.gz IWEYTNSPQAGMFO-JTQLQIEISA-N -1 1 335.368 -0.597 20 0 EBADMM O=C(c1cnn2cc[nH]c12)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045289201 733651534 /nfs/dbraw/zinc/65/15/34/733651534.db2.gz XBYICPGBVIXOLT-VIFPVBQESA-N -1 1 330.352 -0.120 20 0 EBADMM COCCn1cc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)cn1 ZINC001045292712 733653683 /nfs/dbraw/zinc/65/36/83/733653683.db2.gz QIOVVLRMSUXBLX-LBPRGKRZSA-N -1 1 349.395 -0.252 20 0 EBADMM Cn1[n-]c(CN2CCC(C)(NC(=O)c3cnsn3)CC2)nc1=O ZINC001045375182 733679243 /nfs/dbraw/zinc/67/92/43/733679243.db2.gz QAQIOTJRKXYBOE-UHFFFAOYSA-N -1 1 337.409 -0.256 20 0 EBADMM Cc1ncn(C)c1C(=O)NC1(C)CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001045470386 733701152 /nfs/dbraw/zinc/70/11/52/733701152.db2.gz RRMYVFVSECSXLL-UHFFFAOYSA-N -1 1 347.423 -0.065 20 0 EBADMM Cc1nnccc1C(=O)NC1(C)CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001045492274 733705209 /nfs/dbraw/zinc/70/52/09/733705209.db2.gz DTWPNOCFGPQDQS-UHFFFAOYSA-N -1 1 345.407 -0.009 20 0 EBADMM Cc1nc(CN2CCC(C)(NC(=O)c3n[nH]c(=O)[n-]c3=O)CC2)n[nH]1 ZINC001045493354 733705241 /nfs/dbraw/zinc/70/52/41/733705241.db2.gz WVQSZQRCYRXGCT-UHFFFAOYSA-N -1 1 348.367 -0.506 20 0 EBADMM Cn1cc(C(=O)N2CC[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)nn1 ZINC001045769850 733771778 /nfs/dbraw/zinc/77/17/78/733771778.db2.gz WPMSPHQYCGQAPN-MNOVXSKESA-N -1 1 346.395 -0.884 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](N3CCN(C(=O)CC4CC4)CC3)C2)nc1=O ZINC001045993854 733789024 /nfs/dbraw/zinc/78/90/24/733789024.db2.gz BWMVHNXXQOJJII-AWEZNQCLSA-N -1 1 348.451 -0.373 20 0 EBADMM CC(C)C(=O)N1CCN([C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001046005500 733794333 /nfs/dbraw/zinc/79/43/33/733794333.db2.gz SBLSUQNYOLUEHY-CYBMUJFWSA-N -1 1 336.440 -0.517 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CCN([C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001046053251 733832799 /nfs/dbraw/zinc/83/27/99/733832799.db2.gz WHJPZJXKOUORFU-MJBXVCDLSA-N -1 1 348.451 -0.517 20 0 EBADMM O=C(CC1=CCOCC1)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001046127317 733864913 /nfs/dbraw/zinc/86/49/13/733864913.db2.gz XTOOSIBXDPGXIZ-UHFFFAOYSA-N -1 1 347.371 -0.173 20 0 EBADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN([C@@H]2CCC(=O)NC2=O)C1 ZINC001046261488 733898477 /nfs/dbraw/zinc/89/84/77/733898477.db2.gz DRJGVCKHGSHEJV-HWPZZCPQSA-N -1 1 332.360 -0.213 20 0 EBADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(CC(=O)N2CCOCC2)C1 ZINC001046262446 733898880 /nfs/dbraw/zinc/89/88/80/733898880.db2.gz RWIGAKLWXPDFRY-QGZVFWFLSA-N -1 1 348.403 -0.160 20 0 EBADMM Cc1nn(C)cc1CN1CC[C@@](C)(NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001046453296 733939502 /nfs/dbraw/zinc/93/95/02/733939502.db2.gz GSHRKWHQPYXHHC-OAHLLOKOSA-N -1 1 347.379 -0.281 20 0 EBADMM Cc1nn(C)c(C)c1C(=O)N[C@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001046523506 733953586 /nfs/dbraw/zinc/95/35/86/733953586.db2.gz DOGQPAGJJCDBPP-MRXNPFEDSA-N -1 1 347.423 -0.147 20 0 EBADMM CC(C)n1cc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001046738502 734017669 /nfs/dbraw/zinc/01/76/69/734017669.db2.gz ZEGRUGSMTRYBRF-OAHLLOKOSA-N -1 1 348.411 -0.325 20 0 EBADMM O=C(Cc1cc[nH]n1)N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047045426 734084786 /nfs/dbraw/zinc/08/47/86/734084786.db2.gz RINNBVRZFFUDKS-DLOVCJGASA-N -1 1 331.380 -0.055 20 0 EBADMM O=C(Cc1c[nH]cn1)N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047150585 734101216 /nfs/dbraw/zinc/10/12/16/734101216.db2.gz QFZWVIRYTHSRMY-NHCYSSNCSA-N -1 1 331.380 -0.055 20 0 EBADMM Cc1c[nH]c(C(=O)N[C@@H]2C[C@H]3C[C@@H](C2)[N@@H+](Cc2nc(=O)n(C)[nH]2)C3)n1 ZINC001047159026 734103246 /nfs/dbraw/zinc/10/32/46/734103246.db2.gz AKHJMHOUHNMOIA-TUAOUCFPSA-N -1 1 345.407 -0.077 20 0 EBADMM Cc1cc(C(=O)N(C)[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c(C)o1 ZINC001047300659 734122070 /nfs/dbraw/zinc/12/20/70/734122070.db2.gz JJXCNKXMFHXOGC-STQMWFEESA-N -1 1 349.391 -0.364 20 0 EBADMM CN(C(=O)[C@@H]1CC=CCC1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047300648 734122119 /nfs/dbraw/zinc/12/21/19/734122119.db2.gz IZHDCASCZGMVKG-AGIUHOORSA-N -1 1 335.408 -0.532 20 0 EBADMM C[C@H](c1nnnn1C)N1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001047359462 734152580 /nfs/dbraw/zinc/15/25/80/734152580.db2.gz WRAAZJFREIKGLU-SCVCMEIPSA-N -1 1 347.379 -0.811 20 0 EBADMM CN(C(=O)C1(C2CC2)CC1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047421327 734186482 /nfs/dbraw/zinc/18/64/82/734186482.db2.gz BLWQKQGNPIOVHL-RYUDHWBXSA-N -1 1 335.408 -0.698 20 0 EBADMM CN(C(=O)[C@@H]1CCC1(F)F)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047423221 734187373 /nfs/dbraw/zinc/18/73/73/734187373.db2.gz KPJXDMZNAJERPV-GUBZILKMSA-N -1 1 345.350 -0.843 20 0 EBADMM C[C@H](C(=O)N(C)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O)C1CCC1 ZINC001047424606 734188321 /nfs/dbraw/zinc/18/83/21/734188321.db2.gz QUPQYEWQNALONU-DRZSPHRISA-N -1 1 337.424 -0.452 20 0 EBADMM CCC(=O)N[C@@H]1CC[C@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@@H]21 ZINC000979866760 805591790 /nfs/dbraw/zinc/59/17/90/805591790.db2.gz JNDIKTKTRUECLS-GARJFASQSA-N -1 1 344.375 -0.206 20 0 EBADMM CCn1cccc1C(=O)N(C)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047628022 734289507 /nfs/dbraw/zinc/28/95/07/734289507.db2.gz CNMXTLRIUBGYOS-STQMWFEESA-N -1 1 348.407 -0.753 20 0 EBADMM C[C@H](NCc1ncccn1)[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001047896522 734319228 /nfs/dbraw/zinc/31/92/28/734319228.db2.gz VTTKLVDPSQSHDR-VHSXEESVSA-N -1 1 345.363 -0.287 20 0 EBADMM Cc1nonc1CNC[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1C ZINC001048302597 734386036 /nfs/dbraw/zinc/38/60/36/734386036.db2.gz DBTMBQSSELOCQZ-APPZFPTMSA-N -1 1 349.351 -0.528 20 0 EBADMM O=C(NC[C@H]1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1)[C@H]1CCC(=O)N1 ZINC001048512295 734432735 /nfs/dbraw/zinc/43/27/35/734432735.db2.gz BKFDOKDIIXKUES-WRWGMCAJSA-N -1 1 348.407 -0.352 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4cncs4)C[C@@H]3C2)nc1=O ZINC001048707950 734475933 /nfs/dbraw/zinc/47/59/33/734475933.db2.gz XCNDSWXCTQLSSM-AOOOYVTPSA-N -1 1 334.405 -0.231 20 0 EBADMM Cc1ncn(C)c1C(=O)N1C[C@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C1 ZINC001048878429 734553321 /nfs/dbraw/zinc/55/33/21/734553321.db2.gz KQWBDDZYBJGTMQ-PHIMTYICSA-N -1 1 331.380 -0.244 20 0 EBADMM O=C(Cc1nc[nH]n1)NC[C@H]1COCCN1C(=O)c1ncccc1[O-] ZINC001061920725 738828352 /nfs/dbraw/zinc/82/83/52/738828352.db2.gz ZUDKTGSGNNLDEC-JTQLQIEISA-N -1 1 346.347 -0.895 20 0 EBADMM O=C(Cc1nnc[nH]1)NC[C@H]1COCCN1C(=O)c1ncccc1[O-] ZINC001061920725 738828359 /nfs/dbraw/zinc/82/83/59/738828359.db2.gz ZUDKTGSGNNLDEC-JTQLQIEISA-N -1 1 346.347 -0.895 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@H]2CCCN3C(=O)c2cocn2)nc1=O ZINC001049367902 734715661 /nfs/dbraw/zinc/71/56/61/734715661.db2.gz BUTYHKCADROXRK-NEPJUHHUSA-N -1 1 332.364 -0.025 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@H]2CCCN3C(=O)Cc2ccon2)nc1=O ZINC001049377860 734717187 /nfs/dbraw/zinc/71/71/87/734717187.db2.gz RUVFTDWDJSSZHN-CHWSQXEVSA-N -1 1 346.391 -0.096 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CCC[C@H]2[C@H]1CCN2Cc1nc(=O)n(C)[n-]1 ZINC001049632528 734769046 /nfs/dbraw/zinc/76/90/46/734769046.db2.gz YAAKUILTMKVQLX-WDEREUQCSA-N -1 1 346.395 -0.586 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@H]2CCCN3C(=O)Cc2cnoc2)nc1=O ZINC001049666276 734774153 /nfs/dbraw/zinc/77/41/53/734774153.db2.gz YEFGORPLGTUEQZ-CHWSQXEVSA-N -1 1 346.391 -0.096 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@@H]2CCCN3C(=O)c2ccncn2)nc1=O ZINC001049793688 734790867 /nfs/dbraw/zinc/79/08/67/734790867.db2.gz PUDMXFXWXQIDQM-STQMWFEESA-N -1 1 343.391 -0.223 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)NC[C@H]1CCCCN1C(=O)c1ccn[nH]1 ZINC001062023225 738850769 /nfs/dbraw/zinc/85/07/69/738850769.db2.gz XHQAPXQYLRGWID-SECBINFHSA-N -1 1 349.351 -0.777 20 0 EBADMM Cc1nonc1C(=O)N1CCC[C@@H]2[C@H]1CCN2Cc1nc(=O)n(C)[n-]1 ZINC001049932868 734809816 /nfs/dbraw/zinc/80/98/16/734809816.db2.gz OSXFDEUOMDBRQA-GHMZBOCLSA-N -1 1 347.379 -0.321 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCCN(C(=O)c4cnns4)[C@@H]3C2)nc1=O ZINC001049985180 734818942 /nfs/dbraw/zinc/81/89/42/734818942.db2.gz LUSBJDUXXCJWHD-VHSXEESVSA-N -1 1 349.420 -0.304 20 0 EBADMM Cn1cncc1C(=O)N1CCC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001050088406 734839504 /nfs/dbraw/zinc/83/95/04/734839504.db2.gz IUKKCQHLWPBDTK-WCQYABFASA-N -1 1 345.407 -0.422 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CCC[C@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001050111078 734844193 /nfs/dbraw/zinc/84/41/93/734844193.db2.gz AKMCYNBLDNJMGP-VHSXEESVSA-N -1 1 332.368 -0.327 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC3(C2)CC[NH+](Cc2n[nH]c(=O)[n-]2)CC3)c1[O-] ZINC001050492889 734909415 /nfs/dbraw/zinc/90/94/15/734909415.db2.gz NFRRAEGUXUZHGQ-UHFFFAOYSA-N -1 1 347.379 -0.014 20 0 EBADMM CNC(=O)NCC(=O)N[C@@H](C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050528957 734919281 /nfs/dbraw/zinc/91/92/81/734919281.db2.gz GEMDSRBISMSHRQ-QWRGUYRKSA-N -1 1 349.391 -0.317 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)c2cccnc2)nc1=O ZINC001050784446 734959523 /nfs/dbraw/zinc/95/95/23/734959523.db2.gz UIACHHMIYFYMGF-GFCCVEGCSA-N -1 1 332.364 -0.866 20 0 EBADMM Cc1ccncc1C(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001050853312 734978435 /nfs/dbraw/zinc/97/84/35/734978435.db2.gz YRDJMCHQQZCRSJ-GFCCVEGCSA-N -1 1 346.391 -0.557 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)C2CC(C)(C)C2)nc1=O ZINC001050902556 734996914 /nfs/dbraw/zinc/99/69/14/734996914.db2.gz JISXZHPYXYWRAD-LBPRGKRZSA-N -1 1 337.424 -0.138 20 0 EBADMM CCc1n[nH]cc1C(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001050996106 735029527 /nfs/dbraw/zinc/02/95/27/735029527.db2.gz PAJIYIRYIRZSCY-SNVBAGLBSA-N -1 1 349.395 -0.975 20 0 EBADMM CC[C@@H](C)CN1CCOC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001051209341 735099985 /nfs/dbraw/zinc/09/99/85/735099985.db2.gz IZDFHQCWOQYNAL-VXGBXAGGSA-N -1 1 348.407 -0.106 20 0 EBADMM CCC(=O)N[C@@H](C)[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001051251906 735112200 /nfs/dbraw/zinc/11/22/00/735112200.db2.gz SGUHDLNCQFINPS-UWVGGRQHSA-N -1 1 332.364 -0.206 20 0 EBADMM O=C(COC1CCCC1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051460562 735161418 /nfs/dbraw/zinc/16/14/18/735161418.db2.gz IINWVHHGFVYLRI-GFCCVEGCSA-N -1 1 339.396 -0.214 20 0 EBADMM CCc1ocnc1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051504547 735213592 /nfs/dbraw/zinc/21/35/92/735213592.db2.gz HQIMELFIGNXTHJ-VIFPVBQESA-N -1 1 336.352 -0.309 20 0 EBADMM O=C(C[C@@H]1CCCCO1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051539569 735224652 /nfs/dbraw/zinc/22/46/52/735224652.db2.gz IGHFZPLFDUFMIR-NWDGAFQWSA-N -1 1 339.396 -0.214 20 0 EBADMM Cc1cc(CC(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC001051539854 735224709 /nfs/dbraw/zinc/22/47/09/735224709.db2.gz LLQGWXIBWGPPJR-LLVKDONJSA-N -1 1 335.368 -0.898 20 0 EBADMM O=C([C@H]1CCCCO1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051548036 735227220 /nfs/dbraw/zinc/22/72/20/735227220.db2.gz CIONKBUMIBWCNW-GHMZBOCLSA-N -1 1 325.369 -0.604 20 0 EBADMM CC[C@@H](SC)C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051548437 735227974 /nfs/dbraw/zinc/22/79/74/735227974.db2.gz GCFFEVPJIJMYIL-NXEZZACHSA-N -1 1 329.426 -0.031 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)cnn1 ZINC001051573682 735241389 /nfs/dbraw/zinc/24/13/89/735241389.db2.gz SNTFLNBSOLDQJI-LLVKDONJSA-N -1 1 333.352 -0.760 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@@H]2CC[C@@H](NC(C)=O)[C@H]2C1 ZINC000979874809 805598183 /nfs/dbraw/zinc/59/81/83/805598183.db2.gz SKLPZVOEZHONBU-LPEHRKFASA-N -1 1 336.348 -0.117 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNC(=O)Cn1nccn1 ZINC001052420889 735611192 /nfs/dbraw/zinc/61/11/92/735611192.db2.gz HKJNIMLEIWMHEK-RYUDHWBXSA-N -1 1 344.375 -0.097 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)Cn1nccn1 ZINC001052420890 735611245 /nfs/dbraw/zinc/61/12/45/735611245.db2.gz HKJNIMLEIWMHEK-VXGBXAGGSA-N -1 1 344.375 -0.097 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@H]1CNC(=O)C(N)=O ZINC001052619475 735653339 /nfs/dbraw/zinc/65/33/39/735653339.db2.gz SUAJAUPVNYRLOK-PSASIEDQSA-N -1 1 347.375 -0.631 20 0 EBADMM NC(=O)CN1CCC[C@H](NC(=O)c2c[n-]n3c2nccc3=O)CC1 ZINC001052773220 735687585 /nfs/dbraw/zinc/68/75/85/735687585.db2.gz HRYFAKLEUSKAHF-JTQLQIEISA-N -1 1 332.364 -0.908 20 0 EBADMM Cc1cc(C(=O)N2CCOC3(CN(Cc4n[nH]c(=O)[n-]4)C3)C2)n[nH]1 ZINC001053175072 735768689 /nfs/dbraw/zinc/76/86/89/735768689.db2.gz ZAAZHTDXXUNEEJ-UHFFFAOYSA-N -1 1 333.352 -0.731 20 0 EBADMM Cc1ccc(C(=O)N[C@H]2COC3(CN(Cc4nc(=O)n(C)[n-]4)C3)C2)o1 ZINC001053730855 735981929 /nfs/dbraw/zinc/98/19/29/735981929.db2.gz LMIAEARJGAFQQK-LLVKDONJSA-N -1 1 347.375 -0.217 20 0 EBADMM Cc1cc(C(=O)N[C@H]2COC3(CN(Cc4nc(=O)n(C)[n-]4)C3)C2)on1 ZINC001053732964 735982797 /nfs/dbraw/zinc/98/27/97/735982797.db2.gz JNFZFHBHZGOFBO-SNVBAGLBSA-N -1 1 348.363 -0.822 20 0 EBADMM CNC(=O)[C@H](C)N1CC2(C1)C[C@@H](NC(=O)c1ncccc1[O-])CO2 ZINC001053784476 736013959 /nfs/dbraw/zinc/01/39/59/736013959.db2.gz PDNUAOVGYWETJT-WDEREUQCSA-N -1 1 334.376 -0.505 20 0 EBADMM CNC(=O)[C@@H](C)N1CC2(C1)C[C@@H](NC(=O)c1ncccc1[O-])CO2 ZINC001053784473 736013994 /nfs/dbraw/zinc/01/39/94/736013994.db2.gz PDNUAOVGYWETJT-GHMZBOCLSA-N -1 1 334.376 -0.505 20 0 EBADMM O=C(CN1CC2(C1)C[C@@H](NC(=O)c1ncccc1[O-])CO2)NC1CC1 ZINC001053784821 736014715 /nfs/dbraw/zinc/01/47/15/736014715.db2.gz YZLDBFUBJABFDZ-GFCCVEGCSA-N -1 1 346.387 -0.361 20 0 EBADMM Cc1nc(C(=O)N[C@H]2COC3(CN(Cc4nc(=O)n(C)[n-]4)C3)C2)co1 ZINC001053786180 736015544 /nfs/dbraw/zinc/01/55/44/736015544.db2.gz BKCDEWNHIZINFB-SNVBAGLBSA-N -1 1 348.363 -0.822 20 0 EBADMM CC(C)N1CC2(C1)C[C@@H](NC(=O)c1cc(=O)n3[n-]cnc3n1)CO2 ZINC001053981756 736132194 /nfs/dbraw/zinc/13/21/94/736132194.db2.gz UJJGJMXRSUASEE-SNVBAGLBSA-N -1 1 332.364 -0.601 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1COC2(CN(CCF)C2)C1 ZINC001053982263 736133119 /nfs/dbraw/zinc/13/31/19/736133119.db2.gz HMTZXEJTFOJPER-MRVPVSSYSA-N -1 1 342.327 -0.561 20 0 EBADMM CC[C@@H](C)CN1CC2(C1)C[C@H](NC(=O)Cn1c(=O)[n-][nH]c1=O)CO2 ZINC001053993215 736138164 /nfs/dbraw/zinc/13/81/64/736138164.db2.gz HVJLDMOWAGVBMY-MNOVXSKESA-N -1 1 339.396 -0.305 20 0 EBADMM Cc1c[nH]cc1C(=O)N[C@@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001054006865 736146145 /nfs/dbraw/zinc/14/61/45/736146145.db2.gz QQSJPZSBEPFGPE-NSHDSACASA-N -1 1 346.391 -0.482 20 0 EBADMM CCn1ccc(CC(=O)N2C[C@@H](C)[C@@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001054672733 736229427 /nfs/dbraw/zinc/22/94/27/736229427.db2.gz PCHVDKFVPIAALK-PWSUYJOCSA-N -1 1 333.396 -0.094 20 0 EBADMM COc1nc(C)ncc1C(=O)N1C[C@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001054708183 736234068 /nfs/dbraw/zinc/23/40/68/736234068.db2.gz JWSCVVKTRTWJGG-GZMMTYOYSA-N -1 1 347.379 -0.132 20 0 EBADMM C[C@H]1CN(C(=O)c2n[nH]c3c2CCC3)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054847346 736254064 /nfs/dbraw/zinc/25/40/64/736254064.db2.gz GJWXOIYMXDPCJU-GZMMTYOYSA-N -1 1 331.380 -0.028 20 0 EBADMM C[C@@H]1CN(C(=O)c2cn3cccnc3n2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054854196 736256258 /nfs/dbraw/zinc/25/62/58/736256258.db2.gz QCXCRSOUBFAIPL-NXEZZACHSA-N -1 1 342.363 -0.197 20 0 EBADMM Cc1ncc(CN[C@H]2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@@H]2C)o1 ZINC001054858710 736256878 /nfs/dbraw/zinc/25/68/78/736256878.db2.gz AASDTAHSETYHII-XVKPBYJWSA-N -1 1 334.336 -0.170 20 0 EBADMM Cc1cc(CN[C@H]2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@H]2C)ncn1 ZINC001054859092 736257463 /nfs/dbraw/zinc/25/74/63/736257463.db2.gz HONFJNOMFQDYIW-KCJUWKMLSA-N -1 1 345.363 -0.368 20 0 EBADMM C[C@H]1CN(C(=O)c2ccc3nnnn3c2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054894959 736264709 /nfs/dbraw/zinc/26/47/09/736264709.db2.gz BODUCTPBKANYCH-WCBMZHEXSA-N -1 1 343.351 -0.802 20 0 EBADMM Cc1cc(CC(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H](C)C2)n(C)n1 ZINC001054898605 736265560 /nfs/dbraw/zinc/26/55/60/736265560.db2.gz OQZUYRNTBSYENR-CABZTGNLSA-N -1 1 333.396 -0.269 20 0 EBADMM C[C@H]1CN(C(=O)c2cnc3nccn3c2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054902155 736265915 /nfs/dbraw/zinc/26/59/15/736265915.db2.gz BFQLWSMEHZHWNH-GXSJLCMTSA-N -1 1 342.363 -0.197 20 0 EBADMM C[C@@H]1CN(C(=O)CCc2cncnc2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054904708 736266029 /nfs/dbraw/zinc/26/60/29/736266029.db2.gz XTRNPZNRWCLFDE-PWSUYJOCSA-N -1 1 331.380 -0.130 20 0 EBADMM NC(=O)CCCC(=O)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC001055299852 736327352 /nfs/dbraw/zinc/32/73/52/736327352.db2.gz SQWOKYBESZBHBY-TXEJJXNPSA-N -1 1 346.387 -0.027 20 0 EBADMM Cc1n[nH]c(C(=O)NCCN2CCC(NC(=O)C(F)F)CC2)c1[O-] ZINC001055561544 736382384 /nfs/dbraw/zinc/38/23/84/736382384.db2.gz GMKYMOMCABFTJO-UHFFFAOYSA-N -1 1 345.350 -0.001 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(c2cccc(F)n2)CC1 ZINC001055851458 736520984 /nfs/dbraw/zinc/52/09/84/736520984.db2.gz YXHIUGSNZVMFGC-UHFFFAOYSA-N -1 1 347.350 -0.190 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCN(c2cc(F)ncn2)CC1 ZINC001055857267 736524018 /nfs/dbraw/zinc/52/40/18/736524018.db2.gz ULRMDQFOWQTPOT-UHFFFAOYSA-N -1 1 344.310 -0.691 20 0 EBADMM CO[C@@H](C)C(=O)N1CCC[C@](CO)(NC(=O)c2ncccc2[O-])C1 ZINC001055901109 736541767 /nfs/dbraw/zinc/54/17/67/736541767.db2.gz ZQLJLDNIHTVKDJ-ZBEGNZNMSA-N -1 1 337.376 -0.095 20 0 EBADMM CNC(=O)CC(=O)N1C[C@@H]2CCCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001056647365 736760018 /nfs/dbraw/zinc/76/00/18/736760018.db2.gz BKNXBHPLMZQSBY-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H](Nc2ncc(F)cn2)C1 ZINC001056665532 736764981 /nfs/dbraw/zinc/76/49/81/736764981.db2.gz AVNJTXAPRRCKCF-LLVKDONJSA-N -1 1 348.338 -0.431 20 0 EBADMM CCO[C@@H]1C[C@@H]1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057089176 736978509 /nfs/dbraw/zinc/97/85/09/736978509.db2.gz OQPYNCBWEZYRJP-WCQYABFASA-N -1 1 337.376 -0.237 20 0 EBADMM O=C(NCCN(CCO)C(=O)[C@H]1CCCCO1)c1ncccc1[O-] ZINC001057353237 737182511 /nfs/dbraw/zinc/18/25/11/737182511.db2.gz RDDXKFHUDDDYQI-CYBMUJFWSA-N -1 1 337.376 -0.093 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccc1[O-])c1ccc(=O)[nH]n1 ZINC001057410057 737229168 /nfs/dbraw/zinc/22/91/68/737229168.db2.gz AYSYCXAAGLNBPO-UHFFFAOYSA-N -1 1 347.331 -0.853 20 0 EBADMM Cc1cc(C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])no1 ZINC001057410587 737230790 /nfs/dbraw/zinc/23/07/90/737230790.db2.gz SORSJTGRUGRRSP-UHFFFAOYSA-N -1 1 334.332 -0.052 20 0 EBADMM Cn1cccc1C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410946 737231352 /nfs/dbraw/zinc/23/13/52/737231352.db2.gz YORPTJYVTYZPCB-UHFFFAOYSA-N -1 1 332.360 -0.010 20 0 EBADMM Cc1ccn(CC(=O)NCCN(CCO)C(=O)c2ncccc2[O-])n1 ZINC001057412939 737233306 /nfs/dbraw/zinc/23/33/06/737233306.db2.gz BDBNRXSUMZVLGT-UHFFFAOYSA-N -1 1 347.375 -0.457 20 0 EBADMM COCC1(C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])CC1 ZINC001057417011 737236912 /nfs/dbraw/zinc/23/69/12/737236912.db2.gz MLUCMDGAQQWMNS-UHFFFAOYSA-N -1 1 337.376 -0.236 20 0 EBADMM Cc1ncc(CC(=O)N(CCO)CCNC(=O)c2ncccc2[O-])o1 ZINC001057872961 737491721 /nfs/dbraw/zinc/49/17/21/737491721.db2.gz WIZWWOVSCBQBTH-UHFFFAOYSA-N -1 1 348.359 -0.123 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1csnn1)c1ncccc1[O-] ZINC001058185804 737702905 /nfs/dbraw/zinc/70/29/05/737702905.db2.gz IHMGEEHDRHBFKV-UHFFFAOYSA-N -1 1 337.361 -0.497 20 0 EBADMM CCc1nc[nH]c1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001058362357 737793102 /nfs/dbraw/zinc/79/31/02/737793102.db2.gz VNRJNIBRKAIWMI-UHFFFAOYSA-N -1 1 347.375 -0.063 20 0 EBADMM Cc1cc(N2CC[C@@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)ncn1 ZINC001058403531 737815311 /nfs/dbraw/zinc/81/53/11/737815311.db2.gz RFCMPXFFCPGQRZ-SNVBAGLBSA-N -1 1 340.347 -0.475 20 0 EBADMM O=C(N[C@H]1CCN(c2nccnc2F)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001058403661 737815362 /nfs/dbraw/zinc/81/53/62/737815362.db2.gz VGYKCSJZDKBSCB-QMMMGPOBSA-N -1 1 344.310 -0.645 20 0 EBADMM Cc1ccnc(N[C@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)n1 ZINC001058926792 738076608 /nfs/dbraw/zinc/07/66/08/738076608.db2.gz LRHCWQXZQMOKCZ-LBPRGKRZSA-N -1 1 344.375 -0.262 20 0 EBADMM Cc1ccc(N[C@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)nn1 ZINC001058927020 738076868 /nfs/dbraw/zinc/07/68/68/738076868.db2.gz YAOJVIVWBHNVFH-LBPRGKRZSA-N -1 1 344.375 -0.262 20 0 EBADMM CCC(=O)N1CC[C@H](CCNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001058952495 738091013 /nfs/dbraw/zinc/09/10/13/738091013.db2.gz QHZXYMLLVPKZJU-JTQLQIEISA-N -1 1 332.364 -0.204 20 0 EBADMM O=C(N[C@H]1C[C@H](Nc2ccc3nnnn3n2)C1)c1ncccc1[O-] ZINC001059200852 738128419 /nfs/dbraw/zinc/12/84/19/738128419.db2.gz FQDKDPMTPGOPDG-KYZUINATSA-N -1 1 326.320 -0.007 20 0 EBADMM O=C(N[C@H]1C[C@H](Nc2nccnc2F)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001059264876 738141440 /nfs/dbraw/zinc/14/14/40/738141440.db2.gz HBEBWKVOEBWWQM-ZKCHVHJHSA-N -1 1 344.310 -0.280 20 0 EBADMM O=C(NCC[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1CNC(=O)N1 ZINC001059516951 738187925 /nfs/dbraw/zinc/18/79/25/738187925.db2.gz YFYVFVQPVDRSTH-WDEREUQCSA-N -1 1 347.375 -0.563 20 0 EBADMM O=C(NCC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1CNC(=O)N1 ZINC001059516948 738188050 /nfs/dbraw/zinc/18/80/50/738188050.db2.gz YFYVFVQPVDRSTH-GHMZBOCLSA-N -1 1 347.375 -0.563 20 0 EBADMM Cn1nnc(C(=O)N2CC[C@@H](CCNC(=O)c3ncccc3[O-])C2)n1 ZINC001060147564 738314917 /nfs/dbraw/zinc/31/49/17/738314917.db2.gz WZRNDKKAVFFCEO-SNVBAGLBSA-N -1 1 345.363 -0.407 20 0 EBADMM O=C(NC1(CNC(=O)[C@H]2CNC(=O)N2)CCC1)c1ncccc1[O-] ZINC001064272833 739266123 /nfs/dbraw/zinc/26/61/23/739266123.db2.gz RSSPTHZOTUHUNS-SECBINFHSA-N -1 1 333.348 -0.763 20 0 EBADMM O=C(NC[C@@H]1CN(c2ncc(F)cn2)C[C@@H]1O)c1ncccc1[O-] ZINC001067665544 740178481 /nfs/dbraw/zinc/17/84/81/740178481.db2.gz PLNVZMITOMPDQE-SKDRFNHKSA-N -1 1 333.323 -0.057 20 0 EBADMM Cn1[n-]c(CN[C@@H]2CN(C(=O)CC3CC3)C[C@@H]2n2ccnn2)nc1=O ZINC001070121250 740708506 /nfs/dbraw/zinc/70/85/06/740708506.db2.gz ATLSSXDLMRHTFZ-NEPJUHHUSA-N -1 1 346.395 -0.958 20 0 EBADMM CC(C)C(=O)N1C[C@@H](c2cn(C)cn2)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001070469812 740839317 /nfs/dbraw/zinc/83/93/17/740839317.db2.gz ONZWCPIXUKUOLJ-CMPLNLGQSA-N -1 1 333.396 -0.016 20 0 EBADMM CNC(=O)NCC(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648224 740908708 /nfs/dbraw/zinc/90/87/08/740908708.db2.gz AUWJLVYFRIEJMR-SNVBAGLBSA-N -1 1 335.364 -0.563 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)Cn2cccn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071353663 741126763 /nfs/dbraw/zinc/12/67/63/741126763.db2.gz AOJDNHBAJURSOP-NEPJUHHUSA-N -1 1 333.396 -0.526 20 0 EBADMM Cc1cnn(CC(=O)N[C@@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001071376644 741129625 /nfs/dbraw/zinc/12/96/25/741129625.db2.gz XSLJSITWHCDQEP-CHWSQXEVSA-N -1 1 347.423 -0.217 20 0 EBADMM Cc1ncc(C(=O)N[C@@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)[nH]1 ZINC001071392658 741131965 /nfs/dbraw/zinc/13/19/65/741131965.db2.gz ZWOVUWBHUXHSSL-MWLCHTKSSA-N -1 1 333.396 -0.077 20 0 EBADMM C[C@H]1CN(C(=O)C2CC2)C[C@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001071429159 741135561 /nfs/dbraw/zinc/13/55/61/741135561.db2.gz KSYDBCDUVWTMPC-CMPLNLGQSA-N -1 1 334.376 -0.700 20 0 EBADMM C[C@H]1CN(C(=O)CC2CC2)C[C@@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001071448135 741138705 /nfs/dbraw/zinc/13/87/05/741138705.db2.gz DIDFRVMOLBSGNZ-AAEUAGOBSA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)C2=CCOCC2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071456875 741140158 /nfs/dbraw/zinc/14/01/58/741140158.db2.gz DIIHBEJABPYWRN-DGCLKSJQSA-N -1 1 335.408 -0.076 20 0 EBADMM Cc1cn(C)nc1C(=O)N[C@@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071504482 741148648 /nfs/dbraw/zinc/14/86/48/741148648.db2.gz GBCZKXGVAQFTLR-VXGBXAGGSA-N -1 1 347.423 -0.067 20 0 EBADMM COC(=O)NCC(=O)N1C[C@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001071715585 741201303 /nfs/dbraw/zinc/20/13/03/741201303.db2.gz YXGVYADOWRXLPS-VHSXEESVSA-N -1 1 336.348 -0.280 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2c[nH]c(=O)n2C)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071739470 741208867 /nfs/dbraw/zinc/20/88/67/741208867.db2.gz TYKFUXNBAVOHEI-ZJUUUORDSA-N -1 1 349.395 -0.670 20 0 EBADMM Cc1cnn(C)c1C(=O)N[C@@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071754154 741212525 /nfs/dbraw/zinc/21/25/25/741212525.db2.gz VKHDUWAGUHCXCL-VXGBXAGGSA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2cn(C)c(=O)[nH]2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071802700 741219552 /nfs/dbraw/zinc/21/95/52/741219552.db2.gz ATSOASNLXKUMTF-UWVGGRQHSA-N -1 1 349.395 -0.670 20 0 EBADMM Cc1ccnn1CC(=O)N[C@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071866167 741235972 /nfs/dbraw/zinc/23/59/72/741235972.db2.gz SMUTVYBZMGWTMB-AAEUAGOBSA-N -1 1 347.423 -0.217 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)CN1CCO ZINC001071908496 741243381 /nfs/dbraw/zinc/24/33/81/741243381.db2.gz WZIVRZYUDFIYTB-MNOVXSKESA-N -1 1 334.380 -0.967 20 0 EBADMM C[C@H]1CN(C(=O)C2(NC(N)=O)CC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001071925721 741248406 /nfs/dbraw/zinc/24/84/06/741248406.db2.gz NMGVCLFEKDMHOP-VHSXEESVSA-N -1 1 347.375 -0.435 20 0 EBADMM CNC(=O)NCC(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001071952490 741263788 /nfs/dbraw/zinc/26/37/88/741263788.db2.gz MUBJUDKTMRNVJN-ZJUUUORDSA-N -1 1 335.364 -0.707 20 0 EBADMM CCNC(=O)CC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001071952811 741264001 /nfs/dbraw/zinc/26/40/01/741264001.db2.gz QZTBEPJEGGBNDI-GHMZBOCLSA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@@H]1CN(C(=O)CCNC(N)=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001072065367 741294884 /nfs/dbraw/zinc/29/48/84/741294884.db2.gz GHXJBNXHTOCODW-NXEZZACHSA-N -1 1 335.364 -0.578 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2ccn(C)n2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001072073384 741298115 /nfs/dbraw/zinc/29/81/15/741298115.db2.gz YRJGRZUTHDKCNP-MNOVXSKESA-N -1 1 333.396 -0.375 20 0 EBADMM Cc1cn(C)nc1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072726304 741465674 /nfs/dbraw/zinc/46/56/74/741465674.db2.gz IDOCMXSGYMCBKP-UHFFFAOYSA-N -1 1 345.407 -0.502 20 0 EBADMM C[C@H]1C[C@H](C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)CO1 ZINC001072871429 741509323 /nfs/dbraw/zinc/50/93/23/741509323.db2.gz IIAIGXNJEFZXNL-RYUDHWBXSA-N -1 1 335.408 -0.432 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)Cc4cnoc4)C3)C2)nc1=O ZINC001072909054 741517410 /nfs/dbraw/zinc/51/74/10/741517410.db2.gz JSZYQYRAICJOKG-UHFFFAOYSA-N -1 1 332.364 -0.627 20 0 EBADMM C[C@H]1COCC[C@H]1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072959675 741527578 /nfs/dbraw/zinc/52/75/78/741527578.db2.gz DHRZBYNDTYPFCN-QWHCGFSZSA-N -1 1 349.435 -0.185 20 0 EBADMM C[C@H](C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2)[C@H]1CCCO1 ZINC001072961530 741528012 /nfs/dbraw/zinc/52/80/12/741528012.db2.gz PZQBKRQRWVEOKQ-QWHCGFSZSA-N -1 1 349.435 -0.042 20 0 EBADMM C[C@H](NC(N)=O)C(=O)NC1(C)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001072968868 741529222 /nfs/dbraw/zinc/52/92/22/741529222.db2.gz FFYLNSQHBLONSG-JTQLQIEISA-N -1 1 349.391 -0.045 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@H](CNC(=O)c3ccoc3)C2)nc1=O ZINC001073535638 741637530 /nfs/dbraw/zinc/63/75/30/741637530.db2.gz RXNKBGWOTVXPLK-GFCCVEGCSA-N -1 1 335.364 -0.278 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@@H](CNC(=O)[C@H]3CC3(C)C)C2)nc1=O ZINC001073574301 741662374 /nfs/dbraw/zinc/66/23/74/741662374.db2.gz OPTILPUCUDXOBQ-NWDGAFQWSA-N -1 1 337.424 -0.138 20 0 EBADMM Cn1cc(CN2CCCO[C@@H](CNC(=O)c3ncccc3[O-])C2)nn1 ZINC001073584256 741669265 /nfs/dbraw/zinc/66/92/65/741669265.db2.gz QHJZGSXBCJNJTJ-ZDUSSCGKSA-N -1 1 346.391 -0.063 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1NC(=O)CNC(N)=O ZINC001073704443 741715565 /nfs/dbraw/zinc/71/55/65/741715565.db2.gz IIPODSCBPPYDII-NXEZZACHSA-N -1 1 335.364 -0.578 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC001073899685 741781358 /nfs/dbraw/zinc/78/13/58/741781358.db2.gz ABVWRJKCPAYKSB-ZYHUDNBSSA-N -1 1 334.376 -0.110 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCCN1C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001074047159 741818507 /nfs/dbraw/zinc/81/85/07/741818507.db2.gz SWMWAEPVTUEDRI-CXTNEJHOSA-N -1 1 349.435 -0.186 20 0 EBADMM CC(C)=CC(=O)N1CC[C@H]2OCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1 ZINC001074151826 741842583 /nfs/dbraw/zinc/84/25/83/741842583.db2.gz UIIHKCDHYFPNQJ-QWHCGFSZSA-N -1 1 335.408 -0.124 20 0 EBADMM CNC(=O)CN1CCO[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC001074218919 741862280 /nfs/dbraw/zinc/86/22/80/741862280.db2.gz HIIQXTGEJFKIKF-AAEUAGOBSA-N -1 1 334.376 -0.552 20 0 EBADMM CO[C@@H](C)C(=O)NCC1(O)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001074558601 741996515 /nfs/dbraw/zinc/99/65/15/741996515.db2.gz GXNCGEAOMUFLHK-NSHDSACASA-N -1 1 337.376 -0.095 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C[C@H]1CCC(=O)N1C ZINC001074788243 742107432 /nfs/dbraw/zinc/10/74/32/742107432.db2.gz HSILTBSHEDBBMI-AXFHLTTASA-N -1 1 336.396 -0.400 20 0 EBADMM CCc1cc(C(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)[nH]n1 ZINC001075562029 742251192 /nfs/dbraw/zinc/25/11/92/742251192.db2.gz WCHMUJOSRVAPDH-GXFFZTMASA-N -1 1 345.407 -0.260 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)[C@H]4CCCCO4)[C@@H]3C2)nc1=O ZINC001075691075 742272773 /nfs/dbraw/zinc/27/27/73/742272773.db2.gz RQPJRCFVPHMKRZ-YNEHKIRRSA-N -1 1 335.408 -0.290 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)[C@@]4(F)CCOC4)[C@@H]3C2)nc1=O ZINC001076172092 742394050 /nfs/dbraw/zinc/39/40/50/742394050.db2.gz YAVAYHBTBOZYOP-FIXISWKDSA-N -1 1 339.371 -0.730 20 0 EBADMM Cc1ncc(C(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)n1C ZINC001076175501 742395108 /nfs/dbraw/zinc/39/51/08/742395108.db2.gz HYSTXLNFNHOTPX-WCQYABFASA-N -1 1 345.407 -0.503 20 0 EBADMM C[C@@H](CCNC(=O)CN1CCOCC1)NC(=O)c1ncccc1[O-] ZINC001076197023 742399547 /nfs/dbraw/zinc/39/95/47/742399547.db2.gz FMCNAIVOEYPSHW-LBPRGKRZSA-N -1 1 336.392 -0.256 20 0 EBADMM C[C@@H]1CCO[C@@H]1C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001076256251 742422958 /nfs/dbraw/zinc/42/29/58/742422958.db2.gz QVFOYOHAPHOEFN-CZXHOFHRSA-N -1 1 335.408 -0.434 20 0 EBADMM Cc1ncoc1CN1C[C@@H]2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)[C@@H]2C1 ZINC001076500654 742481993 /nfs/dbraw/zinc/48/19/93/742481993.db2.gz JKBUNKOAOPQOLQ-WDEREUQCSA-N -1 1 348.363 -0.281 20 0 EBADMM Cc1cc(C)c(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)o1 ZINC001076845825 742599961 /nfs/dbraw/zinc/59/99/61/742599961.db2.gz QSCDNXSGCZVTOZ-GHMZBOCLSA-N -1 1 335.364 -0.707 20 0 EBADMM CC(C)n1nnnc1CN1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001076916539 742642240 /nfs/dbraw/zinc/64/22/40/742642240.db2.gz PZXYURYZVDKPSQ-ZYHUDNBSSA-N -1 1 347.379 -0.670 20 0 EBADMM CC[C@H](F)C(=O)N[C@@H](C)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001077402342 742955759 /nfs/dbraw/zinc/95/57/59/742955759.db2.gz KOMHUFJMDXFWPL-QWRGUYRKSA-N -1 1 342.371 -0.314 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O)c1ccccc1 ZINC001078019504 743418769 /nfs/dbraw/zinc/41/87/69/743418769.db2.gz YFAPXISQSMESSL-IACUBPJLSA-N -1 1 345.403 -0.427 20 0 EBADMM O=C(C1CCOCC1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078311693 743582113 /nfs/dbraw/zinc/58/21/13/743582113.db2.gz JWZXOBFWZUTXQU-GFCCVEGCSA-N -1 1 339.396 -0.356 20 0 EBADMM O=C(c1ccccn1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078317180 743583932 /nfs/dbraw/zinc/58/39/32/743583932.db2.gz MAGZSWVNALTOJI-NSHDSACASA-N -1 1 332.364 -0.074 20 0 EBADMM O=C([C@@H]1CCCO1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078327631 743586175 /nfs/dbraw/zinc/58/61/75/743586175.db2.gz UOMURZFVTNUTIO-MNOVXSKESA-N -1 1 325.369 -0.604 20 0 EBADMM Cc1c(C(=O)N2CCCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)cnn1C ZINC001078335624 743589165 /nfs/dbraw/zinc/58/91/65/743589165.db2.gz AAUYXMXKNLLJBL-LLVKDONJSA-N -1 1 349.395 -0.427 20 0 EBADMM Cc1cc(C(=O)N2CCCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC001078349851 743597368 /nfs/dbraw/zinc/59/73/68/743597368.db2.gz UOZQNJMNWUXZFI-JTQLQIEISA-N -1 1 335.368 -0.437 20 0 EBADMM O=C(c1csnn1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078436684 743646829 /nfs/dbraw/zinc/64/68/29/743646829.db2.gz ZFWKBZSANGHRMZ-QMMMGPOBSA-N -1 1 339.381 -0.617 20 0 EBADMM Cc1nc(C)c(C(=O)N2CCCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC001078470634 743664652 /nfs/dbraw/zinc/66/46/52/743664652.db2.gz UQYUAUZCMRYHGG-NSHDSACASA-N -1 1 349.395 -0.129 20 0 EBADMM Cn1ccc(C(=O)N2CCCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001078507990 743684187 /nfs/dbraw/zinc/68/41/87/743684187.db2.gz KEXUJYJDXJSWFV-SNVBAGLBSA-N -1 1 335.368 -0.735 20 0 EBADMM O=C(Cc1ccc[nH]1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078509700 743685800 /nfs/dbraw/zinc/68/58/00/743685800.db2.gz GYNYUUWRDRRWQH-LBPRGKRZSA-N -1 1 334.380 -0.212 20 0 EBADMM Cc1c(C(=O)N[C@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)nnn1C ZINC001078585137 743699957 /nfs/dbraw/zinc/69/99/57/743699957.db2.gz BFUCRSOCCBYREX-ZJUUUORDSA-N -1 1 346.395 -0.222 20 0 EBADMM Cn1ncc(C(=O)N[C@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)c1N ZINC001078603245 743705415 /nfs/dbraw/zinc/70/54/15/743705415.db2.gz ATLCZCJVHXOZME-UWVGGRQHSA-N -1 1 346.395 -0.343 20 0 EBADMM C[C@@H](CCNC(=O)[C@@H]1CC12CC2)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001078730185 743725212 /nfs/dbraw/zinc/72/52/12/743725212.db2.gz OZWLABZSFNASCR-RYUDHWBXSA-N -1 1 348.403 -0.262 20 0 EBADMM COCc1nocc1C(=O)NC[C@H]1C[C@H](NC(=O)c2cnn[nH]2)C1 ZINC000980048979 805708868 /nfs/dbraw/zinc/70/88/68/805708868.db2.gz IWSFRMIXWWHMMT-KYZUINATSA-N -1 1 334.336 -0.123 20 0 EBADMM O=C(N[C@H]1C[C@H](CNC(=O)[C@@H]2CCNC2=O)C1)c1ncccc1[O-] ZINC000980103063 805732425 /nfs/dbraw/zinc/73/24/25/805732425.db2.gz AGHUZJRKANXWKH-GMTAPVOTSA-N -1 1 332.360 -0.452 20 0 EBADMM O=C(NC[C@@H]1C[C@@H](NC(=O)c2cnn[nH]2)C1)c1cnn2cc[nH]c12 ZINC000980104524 805733996 /nfs/dbraw/zinc/73/39/96/805733996.db2.gz UONRZUKLMIBBKI-KYZUINATSA-N -1 1 328.336 -0.281 20 0 EBADMM Cc1nc[nH]c1CC(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000980266495 805822784 /nfs/dbraw/zinc/82/27/84/805822784.db2.gz YUNRWDCCHIJYIB-LLVKDONJSA-N -1 1 333.396 -0.585 20 0 EBADMM CCc1cc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC000980552449 805936540 /nfs/dbraw/zinc/93/65/40/805936540.db2.gz DIXKQXPZXADXIF-LBPRGKRZSA-N -1 1 347.423 -0.249 20 0 EBADMM Cc1nonc1CNC[C@H]1C[C@H](C)N(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000980641428 805971928 /nfs/dbraw/zinc/97/19/28/805971928.db2.gz FDDMUMCRYFAHHK-IONNQARKSA-N -1 1 349.351 -0.385 20 0 EBADMM Cc1cc(CC(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)[nH]n1 ZINC000980951851 806046520 /nfs/dbraw/zinc/04/65/20/806046520.db2.gz QKIQWEAXFQTWTG-UHFFFAOYSA-N -1 1 333.396 -0.583 20 0 EBADMM Cn1cnnc1CN[C@H]1CCC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000981203501 806108263 /nfs/dbraw/zinc/10/82/63/806108263.db2.gz ZELVQPOSHXBVTE-IUCAKERBSA-N -1 1 348.367 -0.758 20 0 EBADMM Cn1ncnc1CN[C@@H]1CCC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000981201515 806108390 /nfs/dbraw/zinc/10/83/90/806108390.db2.gz LXRLXRCIOWVTGQ-BDAKNGLRSA-N -1 1 348.367 -0.758 20 0 EBADMM Cc1ncn(C)c1C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000981388024 806159531 /nfs/dbraw/zinc/15/95/31/806159531.db2.gz YJHZOJYJDZNCGI-UHFFFAOYSA-N -1 1 333.396 -0.502 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)c3ccc(=O)n(C)c3)CC2)nc1=O ZINC000981536888 806194390 /nfs/dbraw/zinc/19/43/90/806194390.db2.gz MVPXHTIXNFBAOO-UHFFFAOYSA-N -1 1 346.391 -0.845 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)CCc3cncnc3)CC2)nc1=O ZINC000981622407 806218250 /nfs/dbraw/zinc/21/82/50/806218250.db2.gz FFBQQMRGYPOABK-UHFFFAOYSA-N -1 1 345.407 -0.435 20 0 EBADMM Cn1[n-]c(CN2C[C@]3(C)CN(C(=O)[C@H]4CCCO4)C[C@]3(C)C2)nc1=O ZINC000982200713 806422372 /nfs/dbraw/zinc/42/23/72/806422372.db2.gz USNFHRKOPSIZBL-JLZZUVOBSA-N -1 1 349.435 -0.042 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCCN(Cc2cnon2)CC1 ZINC000982226850 806426561 /nfs/dbraw/zinc/42/65/61/806426561.db2.gz AGKCRJAVUJEUPK-UHFFFAOYSA-N -1 1 348.363 -0.956 20 0 EBADMM Cn1[n-]c(CN2C[C@]3(C)CN(C(=O)c4ncc[nH]4)C[C@]3(C)C2)nc1=O ZINC000982275141 806446089 /nfs/dbraw/zinc/44/60/89/806446089.db2.gz BWHNWNMEEGABFY-IYBDPMFKSA-N -1 1 345.407 -0.184 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)[C@@H]2CCNC(=O)C2)C1)c1ncccc1[O-] ZINC000982633622 806597510 /nfs/dbraw/zinc/59/75/10/806597510.db2.gz OBCFBFUXGISZEE-VXGBXAGGSA-N -1 1 346.387 -0.108 20 0 EBADMM CN1CCO[C@H](C(=O)NC[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000982671229 806627423 /nfs/dbraw/zinc/62/74/23/806627423.db2.gz OYMUDXIOJRTZPU-JSGCOSHPSA-N -1 1 348.403 -0.304 20 0 EBADMM Cn1ncc(C(=O)NC[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC000982673553 806629760 /nfs/dbraw/zinc/62/97/60/806629760.db2.gz PWIXHASSNXJKPB-JTQLQIEISA-N -1 1 330.348 -0.192 20 0 EBADMM CN1CC[C@@H](C(=O)NC[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)C1=O ZINC000982675151 806631034 /nfs/dbraw/zinc/63/10/34/806631034.db2.gz IZOSAZXJFWQNGH-RYUDHWBXSA-N -1 1 346.387 -0.156 20 0 EBADMM CN1CC[C@H](C(=O)NC[C@H]2CCN(C(=O)c3ncccc3[O-])C2)C1=O ZINC000982675152 806631125 /nfs/dbraw/zinc/63/11/25/806631125.db2.gz IZOSAZXJFWQNGH-VXGBXAGGSA-N -1 1 346.387 -0.156 20 0 EBADMM CN1CC[C@H](C(=O)NC[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)C1=O ZINC000982675150 806631233 /nfs/dbraw/zinc/63/12/33/806631233.db2.gz IZOSAZXJFWQNGH-NWDGAFQWSA-N -1 1 346.387 -0.156 20 0 EBADMM Cn1nncc1C(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982706629 806651672 /nfs/dbraw/zinc/65/16/72/806651672.db2.gz WBIYLQLSEGFLFT-SNVBAGLBSA-N -1 1 330.348 -0.192 20 0 EBADMM O=C(Cn1ncnn1)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982789181 806704753 /nfs/dbraw/zinc/70/47/53/806704753.db2.gz CEWKYAOJOAQBNK-JTQLQIEISA-N -1 1 331.336 -0.948 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NC[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000983013713 806836894 /nfs/dbraw/zinc/83/68/94/806836894.db2.gz PRPNQFLNAVQAPJ-AXFHLTTASA-N -1 1 344.375 -0.348 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)[C@@H]2CCC(=O)N2)C1)c1ncccc1[O-] ZINC000983053395 806853392 /nfs/dbraw/zinc/85/33/92/806853392.db2.gz FTBHGGJUIMZXDW-MNOVXSKESA-N -1 1 332.360 -0.356 20 0 EBADMM CS(=O)(=O)CS(=O)(=O)[N-][C@H]1CCc2ccccc2NC1=O ZINC001260595986 812841142 /nfs/dbraw/zinc/84/11/42/812841142.db2.gz SCFOOEWYSFSHTR-NSHDSACASA-N -1 1 332.403 -0.139 20 0 EBADMM CN1C(=O)CC[C@H]1C(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000976989507 804035591 /nfs/dbraw/zinc/03/55/91/804035591.db2.gz KEPGOZRTUGSIME-BBGACYKPSA-N -1 1 344.371 -0.405 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)c1c[nH]c(=O)cn1 ZINC000977076387 804114192 /nfs/dbraw/zinc/11/41/92/804114192.db2.gz UGAPIGUNGYZDNS-WOFXILAISA-N -1 1 341.327 -0.629 20 0 EBADMM Cn1cc(C(=O)N[C@@H]2[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)[nH]c1=O ZINC000977127879 804161029 /nfs/dbraw/zinc/16/10/29/804161029.db2.gz BQFTVMWZXCUGHG-GDGBQDQQSA-N -1 1 343.343 -0.273 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)Cc1nnc[nH]1)C(=O)c1ncccc1[O-] ZINC000977596588 804405509 /nfs/dbraw/zinc/40/55/09/804405509.db2.gz DTRYAZCJFDGKNJ-JTQLQIEISA-N -1 1 330.348 -0.179 20 0 EBADMM CN(C[C@H]1CCN1C(=O)[C@@H]1COCCO1)C(=O)c1ncccc1[O-] ZINC000977600079 804407698 /nfs/dbraw/zinc/40/76/98/804407698.db2.gz AMEUKMMNOGSEMY-YPMHNXCESA-N -1 1 335.360 -0.125 20 0 EBADMM Cc1nccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)n1 ZINC000977604002 804409141 /nfs/dbraw/zinc/40/91/41/804409141.db2.gz PCMNEHLLVYSLKJ-GFCCVEGCSA-N -1 1 345.407 -0.153 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1cn(C)nn1)C(=O)c1ncccc1[O-] ZINC000977617957 804415965 /nfs/dbraw/zinc/41/59/65/804415965.db2.gz MVAOHPGEKQFGBF-JTQLQIEISA-N -1 1 330.348 -0.098 20 0 EBADMM CN(C[C@H]1CCN1C(=O)CN1CN=NC1=O)C(=O)c1ncccc1[O-] ZINC000977623753 804419928 /nfs/dbraw/zinc/41/99/28/804419928.db2.gz ZPDWWOPNTZWVPE-SNVBAGLBSA-N -1 1 346.347 -0.543 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)CC(N)=O)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000977722603 804476853 /nfs/dbraw/zinc/47/68/53/804476853.db2.gz FDPYYEFETDIMNG-JTQLQIEISA-N -1 1 347.375 -0.392 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1CCC(=O)NC1 ZINC000977967505 804594197 /nfs/dbraw/zinc/59/41/97/804594197.db2.gz HOLKTLMBFUDYDC-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@H]1CCN1C(=O)C1CCC1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000978054448 804635575 /nfs/dbraw/zinc/63/55/75/804635575.db2.gz NJKPIOLZXXSNGM-LLVKDONJSA-N -1 1 344.375 -0.109 20 0 EBADMM NC(=O)[C@@H]1CC[C@@H]1C(=O)NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000979106229 805146239 /nfs/dbraw/zinc/14/62/39/805146239.db2.gz MWQYEMWGSUURHC-KXNHARMFSA-N -1 1 346.387 -0.077 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2ccccn2)CCO1 ZINC000979166709 805185207 /nfs/dbraw/zinc/18/52/07/805185207.db2.gz VMBXULHZBGNVAR-JQWIXIFHSA-N -1 1 332.364 -0.075 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2cnccn2)CCO1 ZINC000979168046 805186114 /nfs/dbraw/zinc/18/61/14/805186114.db2.gz AIZZALVHAFGVRD-ONGXEEELSA-N -1 1 333.352 -0.680 20 0 EBADMM O=C(NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)c1c[nH]c(=O)cn1 ZINC000979199244 805205244 /nfs/dbraw/zinc/20/52/44/805205244.db2.gz PUJHSFLLXDFVFP-AOOOYVTPSA-N -1 1 343.343 -0.191 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2cncs2)CCO1 ZINC000979216840 805214571 /nfs/dbraw/zinc/21/45/71/805214571.db2.gz NJXTURFHRGJHEO-RKDXNWHRSA-N -1 1 338.393 -0.014 20 0 EBADMM CCn1cc(C(=O)N2CCO[C@@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC000979241667 805232663 /nfs/dbraw/zinc/23/26/63/805232663.db2.gz MBJPWIZNKQYMJF-CMPLNLGQSA-N -1 1 349.395 -0.254 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2cnsn2)CCO1 ZINC000979259101 805245802 /nfs/dbraw/zinc/24/58/02/805245802.db2.gz RXAWWRHBQNJLEI-CBAPKCEASA-N -1 1 339.381 -0.619 20 0 EBADMM Cc1ncoc1C(=O)N1CCO[C@@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979266827 805249165 /nfs/dbraw/zinc/24/91/65/805249165.db2.gz ITJOUFFZLIOYRM-PSASIEDQSA-N -1 1 336.352 -0.174 20 0 EBADMM C[C@H](NCc1nnn(C)n1)[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC000979272965 805253437 /nfs/dbraw/zinc/25/34/37/805253437.db2.gz IMMAVMSMLXPHPA-JQWIXIFHSA-N -1 1 347.379 -0.670 20 0 EBADMM C[C@@H](NCc1nnnn1C)[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC000979277951 805258124 /nfs/dbraw/zinc/25/81/24/805258124.db2.gz QGXSYAPCYPEELS-PWSUYJOCSA-N -1 1 347.379 -0.670 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2cncnc2)CCO1 ZINC000979283260 805260734 /nfs/dbraw/zinc/26/07/34/805260734.db2.gz XVMXWEXJKYKEDM-GXSJLCMTSA-N -1 1 333.352 -0.680 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)Cc2cccnc2)CCO1 ZINC000979290269 805266368 /nfs/dbraw/zinc/26/63/68/805266368.db2.gz HVULCXAYJBVILH-DGCLKSJQSA-N -1 1 346.391 -0.147 20 0 EBADMM Cc1c[nH]nc1C(=O)N1CCO[C@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979330684 805298801 /nfs/dbraw/zinc/29/88/01/805298801.db2.gz JTDJHJNKPZZCRL-UWVGGRQHSA-N -1 1 335.368 -0.439 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2ccsn2)CCO1 ZINC000979333504 805300973 /nfs/dbraw/zinc/30/09/73/805300973.db2.gz PJFVGXFQQZVQIB-PSASIEDQSA-N -1 1 338.393 -0.014 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)CC2(O)CCC2)CCO1 ZINC000979338108 805304384 /nfs/dbraw/zinc/30/43/84/805304384.db2.gz TYBGAMVHYBEBEG-QWRGUYRKSA-N -1 1 339.396 -0.479 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2cc(F)c[nH]2)CCO1 ZINC000979344755 805309918 /nfs/dbraw/zinc/30/99/18/805309918.db2.gz TYXAQTXXVMMRFU-KCJUWKMLSA-N -1 1 338.343 -0.003 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2n[nH]cc2F)CCO1 ZINC000979398357 805353319 /nfs/dbraw/zinc/35/33/19/805353319.db2.gz PUDRDTQRKQYCCV-VXNVDRBHSA-N -1 1 339.331 -0.608 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2cccnn2)CCO1 ZINC000979418744 805363614 /nfs/dbraw/zinc/36/36/14/805363614.db2.gz UTZQBBCLJLESNJ-GXSJLCMTSA-N -1 1 333.352 -0.680 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2ccn(C)n2)CCO1 ZINC000979442858 805382672 /nfs/dbraw/zinc/38/26/72/805382672.db2.gz GWBAMGVQQVOUKK-KOLCDFICSA-N -1 1 335.368 -0.737 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)Cc2ccc[nH]2)CCO1 ZINC000979457194 805391006 /nfs/dbraw/zinc/39/10/06/805391006.db2.gz LULOJVSZHWJOAW-CMPLNLGQSA-N -1 1 334.380 -0.213 20 0 EBADMM Cn1ncc(C(=O)N[C@H]2C[C@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC000979663133 805475124 /nfs/dbraw/zinc/47/51/24/805475124.db2.gz DANKVBOGMJCKBY-MGCOHNPYSA-N -1 1 330.348 -0.146 20 0 EBADMM Cn1nnc(C(=O)N[C@H]2C[C@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC000979663497 805475328 /nfs/dbraw/zinc/47/53/28/805475328.db2.gz ANURZZHBDSKUDF-KYZUINATSA-N -1 1 331.336 -0.751 20 0 EBADMM NC(=O)[C@H]1CC[C@H]1C(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000979663600 805475569 /nfs/dbraw/zinc/47/55/69/805475569.db2.gz DGTGUCNZXAVYCY-FIQHERPVSA-N -1 1 346.387 -0.077 20 0 EBADMM CCC(=O)N[C@@H]1CCC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000983376045 807009653 /nfs/dbraw/zinc/00/96/53/807009653.db2.gz MTAAZZIGZPOMRC-NXEZZACHSA-N -1 1 332.364 -0.015 20 0 EBADMM O=C(N[C@H]1CCC[C@H](NC(=O)C2CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000983408353 807013517 /nfs/dbraw/zinc/01/35/17/807013517.db2.gz SXMLXYSVUYMFBE-QWRGUYRKSA-N -1 1 344.375 -0.015 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2C[C@H](CN[C@H](C)c3nnnn3C)C2)c1[O-] ZINC000983487437 807023935 /nfs/dbraw/zinc/02/39/35/807023935.db2.gz PAHOZMNTVFFUBF-OPRDCNLKSA-N -1 1 334.384 -0.194 20 0 EBADMM CN(C(=O)[C@H]1C[C@H]1C(N)=O)[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984121077 807171158 /nfs/dbraw/zinc/17/11/58/807171158.db2.gz PSBZOTJCAJLLGI-UTUOFQBUSA-N -1 1 346.387 -0.028 20 0 EBADMM COc1coc(C(=O)N[C@H]2C[C@H](CNCc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC000984335562 807261114 /nfs/dbraw/zinc/26/11/14/807261114.db2.gz HGJFDGFNXIVLOQ-KYZUINATSA-N -1 1 349.347 -0.230 20 0 EBADMM Cc1nonc1CNC[C@H]1C[C@H](NC(=O)c2cnc([O-])n(C)c2=O)C1 ZINC000984534670 807320119 /nfs/dbraw/zinc/32/01/19/807320119.db2.gz NOGQLNQLBTWMGR-MGCOHNPYSA-N -1 1 348.363 -0.524 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)[C@H]2COCCN2C)CC1 ZINC000985392222 807503258 /nfs/dbraw/zinc/50/32/58/807503258.db2.gz RWZGFLBKSSUUPO-GFCCVEGCSA-N -1 1 338.412 -0.736 20 0 EBADMM CCn1cc(CC(=O)N2CCC(N(C)Cc3n[nH]c(=O)[n-]3)CC2)nn1 ZINC000985553726 807534473 /nfs/dbraw/zinc/53/44/73/807534473.db2.gz WOTDGAUUHZWRIA-UHFFFAOYSA-N -1 1 348.411 -0.213 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)C[C@H]2CCC(=O)N2)CC1 ZINC000985698781 807563576 /nfs/dbraw/zinc/56/35/76/807563576.db2.gz IKGMDDIJVYRMDS-SNVBAGLBSA-N -1 1 336.396 -0.398 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cccn2nnnc12 ZINC000986310139 807673579 /nfs/dbraw/zinc/67/35/79/807673579.db2.gz PMTDPEFOVQFTKE-WCBMZHEXSA-N -1 1 343.351 -0.659 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cccn2nnnc12 ZINC000986310138 807673649 /nfs/dbraw/zinc/67/36/49/807673649.db2.gz PMTDPEFOVQFTKE-SCZZXKLOSA-N -1 1 343.351 -0.659 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCc1ccn(C)n1 ZINC000986337312 807678319 /nfs/dbraw/zinc/67/83/19/807678319.db2.gz VIZPNDRABWPGRM-ZYHUDNBSSA-N -1 1 333.396 -0.044 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)Cn1cc(C2CC2)nn1 ZINC000986358014 807683752 /nfs/dbraw/zinc/68/37/52/807683752.db2.gz HLZCDESLCYZZJT-GXSJLCMTSA-N -1 1 346.395 -0.242 20 0 EBADMM CC(=O)N1CC[C@@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@@H]2C1 ZINC000986400541 807696391 /nfs/dbraw/zinc/69/63/91/807696391.db2.gz MSIDFAQGZZIWHX-OLZOCXBDSA-N -1 1 334.376 -0.747 20 0 EBADMM COCCn1nccc1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000986414283 807697569 /nfs/dbraw/zinc/69/75/69/807697569.db2.gz QRYTYBSHUNKICN-GHMZBOCLSA-N -1 1 349.395 -0.254 20 0 EBADMM Cc1cc(CN[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)[C@H]2C)ncn1 ZINC000986486513 807717522 /nfs/dbraw/zinc/71/75/22/807717522.db2.gz GYTZSHKKTVDWAR-GXSJLCMTSA-N -1 1 345.363 -0.226 20 0 EBADMM Cc1nocc1CN[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)[C@@H]1C ZINC000986487866 807717860 /nfs/dbraw/zinc/71/78/60/807717860.db2.gz MCSPZIDIIHGASM-PSASIEDQSA-N -1 1 334.336 -0.028 20 0 EBADMM COc1cc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)nn1C ZINC000986543616 807731414 /nfs/dbraw/zinc/73/14/14/807731414.db2.gz ZTSISFARCFHSHW-IUCAKERBSA-N -1 1 335.368 -0.355 20 0 EBADMM COc1nccc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)n1 ZINC000986548097 807731706 /nfs/dbraw/zinc/73/17/06/807731706.db2.gz IAIMIYCMVFOIGY-IUCAKERBSA-N -1 1 333.352 -0.298 20 0 EBADMM CCn1cc(CC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)nn1 ZINC000986605033 807751991 /nfs/dbraw/zinc/75/19/91/807751991.db2.gz WFWWRFGMGOCEGA-GXSJLCMTSA-N -1 1 334.384 -0.557 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnn2cccnc12 ZINC000986635359 807754614 /nfs/dbraw/zinc/75/46/14/807754614.db2.gz CNMVPLNAQXMUJB-GXSJLCMTSA-N -1 1 342.363 -0.054 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000986690246 807765832 /nfs/dbraw/zinc/76/58/32/807765832.db2.gz PEBKKHKSPLXNEF-RKDXNWHRSA-N -1 1 333.352 -0.293 20 0 EBADMM CC(=O)N1CCC([C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000986706736 807766883 /nfs/dbraw/zinc/76/68/83/807766883.db2.gz XPAQGZXIPLVYAR-SECBINFHSA-N -1 1 332.364 -0.206 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cccc(=O)n1C ZINC000986912513 807795055 /nfs/dbraw/zinc/79/50/55/807795055.db2.gz FXEBAACEHIMSKJ-NXEZZACHSA-N -1 1 332.364 -0.398 20 0 EBADMM CCCn1cc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)nn1 ZINC000987275947 807881598 /nfs/dbraw/zinc/88/15/98/807881598.db2.gz UALYEQKVRMLBTF-ZJUUUORDSA-N -1 1 334.384 -0.095 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cn(CC2CC2)nn1 ZINC000987282633 807883147 /nfs/dbraw/zinc/88/31/47/807883147.db2.gz YEAHLPRYWZYMKO-GXSJLCMTSA-N -1 1 346.395 -0.095 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cccn(C)c1=O ZINC000987377677 807904216 /nfs/dbraw/zinc/90/42/16/807904216.db2.gz ZPLCGOVZRUTXPF-KOLCDFICSA-N -1 1 332.364 -0.398 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc(C(N)=O)nc1 ZINC000987607563 807971481 /nfs/dbraw/zinc/97/14/81/807971481.db2.gz CCYIMAMXNSUSPG-WPRPVWTQSA-N -1 1 345.363 -0.603 20 0 EBADMM CCC(=O)N1CC[C@H](CN(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000987624504 807974631 /nfs/dbraw/zinc/97/46/31/807974631.db2.gz IIQHVXMYBWNBBP-SNVBAGLBSA-N -1 1 332.364 -0.252 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cc(C(N)=O)ccn1 ZINC000987630287 807974846 /nfs/dbraw/zinc/97/48/46/807974846.db2.gz CCGVYUQYLVMUFS-SCZZXKLOSA-N -1 1 345.363 -0.603 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@@H]1CCC(=O)N1 ZINC000987683104 807990395 /nfs/dbraw/zinc/99/03/95/807990395.db2.gz GTDQPVBHUXXVHU-VWYCJHECSA-N -1 1 332.360 -0.215 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCn1ccccc1=O ZINC000988926584 808327302 /nfs/dbraw/zinc/32/73/02/808327302.db2.gz HJCPAIZXEXEXBD-VXGBXAGGSA-N -1 1 346.391 -0.159 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnc2n[nH]nc2c1 ZINC000988972226 808338721 /nfs/dbraw/zinc/33/87/21/808338721.db2.gz HWNJHEADAGITIV-APPZFPTMSA-N -1 1 343.351 -0.431 20 0 EBADMM CCn1nncc1CN[C@H]1C[C@@H](C)N(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000989117888 808381449 /nfs/dbraw/zinc/38/14/49/808381449.db2.gz DITJHQTYPVMWQP-BDAKNGLRSA-N -1 1 348.367 -0.713 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cc2n(n1)CCCO2 ZINC000989160503 808390912 /nfs/dbraw/zinc/39/09/12/808390912.db2.gz PMPNHFYSASZUEV-UWVGGRQHSA-N -1 1 347.379 -0.118 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCc1nccn1C ZINC000989221150 808406647 /nfs/dbraw/zinc/40/66/47/808406647.db2.gz JDZHCSHAMPARSP-QWRGUYRKSA-N -1 1 333.396 -0.044 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1coc(C(N)=O)c1 ZINC000989231404 808410280 /nfs/dbraw/zinc/41/02/80/808410280.db2.gz RMWQMRXQBFURBS-VXNVDRBHSA-N -1 1 334.336 -0.405 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccn2nnnc2c1 ZINC000989301835 808433970 /nfs/dbraw/zinc/43/39/70/808433970.db2.gz MIHGBKNQEWBIFT-WPRPVWTQSA-N -1 1 343.351 -0.659 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC000989330577 808445386 /nfs/dbraw/zinc/44/53/86/808445386.db2.gz UGPJBRSJDHXGOB-APPZFPTMSA-N -1 1 333.352 -0.293 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)[C@H]2CCN(C)C2=O)CCN1C(=O)c1ncccc1[O-] ZINC000989344398 808450868 /nfs/dbraw/zinc/45/08/68/808450868.db2.gz MXKJMDOFGJEFMF-UTUOFQBUSA-N -1 1 346.387 -0.015 20 0 EBADMM Cc1ccn(CC(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)c(=O)c1 ZINC000989501528 808491361 /nfs/dbraw/zinc/49/13/61/808491361.db2.gz FVDGJQYEBMQCJH-RYUDHWBXSA-N -1 1 346.391 -0.240 20 0 EBADMM C[C@H]1C[C@H](NCc2cnon2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000989562684 808508241 /nfs/dbraw/zinc/50/82/41/808508241.db2.gz RKJNCZDPHREECV-QWRGUYRKSA-N -1 1 348.363 -0.911 20 0 EBADMM COc1c(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)cnn1C ZINC000989568901 808511915 /nfs/dbraw/zinc/51/19/15/808511915.db2.gz NUJNOFNFCWCURL-UHFFFAOYSA-N -1 1 349.395 -0.801 20 0 EBADMM Cc1n[nH]c(C)c1CC(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000989646525 808544779 /nfs/dbraw/zinc/54/47/79/808544779.db2.gz VMKKIYSEYHNYHH-UHFFFAOYSA-N -1 1 347.423 -0.275 20 0 EBADMM Cc1nccc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC000989689555 808558729 /nfs/dbraw/zinc/55/87/29/808558729.db2.gz OOLKASUZMWHUSH-UHFFFAOYSA-N -1 1 331.380 -0.445 20 0 EBADMM Cc1ccc(=O)n(CC(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)n1 ZINC000989704236 808563317 /nfs/dbraw/zinc/56/33/17/808563317.db2.gz QYKHALDVKIQTDH-WDEREUQCSA-N -1 1 347.379 -0.845 20 0 EBADMM Cc1cc(CN[C@H]2C[C@@H](C)N(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)nn1C ZINC000989721311 808567102 /nfs/dbraw/zinc/56/71/02/808567102.db2.gz ASQKFCORSAJCFY-PWSUYJOCSA-N -1 1 349.395 -0.490 20 0 EBADMM Cc1cc(CN[C@@H]2C[C@H](C)N(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)nn1C ZINC000989721309 808567433 /nfs/dbraw/zinc/56/74/33/808567433.db2.gz ASQKFCORSAJCFY-CMPLNLGQSA-N -1 1 349.395 -0.490 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)Cn4cccn4)CCC[C@H]23)nc1=O ZINC000989951345 808625736 /nfs/dbraw/zinc/62/57/36/808625736.db2.gz MGCCYDOBYZEXIW-LRDDRELGSA-N -1 1 345.407 -0.382 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)c4cnsn4)CCC[C@@H]23)nc1=O ZINC000989968654 808628967 /nfs/dbraw/zinc/62/89/67/808628967.db2.gz AAIKHVPLZKZRGP-YGRLFVJLSA-N -1 1 349.420 -0.113 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)[C@H]2C[C@H]2C(N)=O)CCN1C(=O)c1ncccc1[O-] ZINC000990014992 808639100 /nfs/dbraw/zinc/63/91/00/808639100.db2.gz FLJLDDVECDDQTL-ZNSHCXBVSA-N -1 1 332.360 -0.372 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1ccco1 ZINC000990077424 808650435 /nfs/dbraw/zinc/65/04/35/808650435.db2.gz MTKBWQVQXBMRHF-UHFFFAOYSA-N -1 1 328.288 -0.735 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(NC(=O)[C@@H]2CC=CCC2)C1 ZINC000990212783 808701423 /nfs/dbraw/zinc/70/14/23/808701423.db2.gz MFKVMZKHCDTHMD-GFCCVEGCSA-N -1 1 346.387 -0.390 20 0 EBADMM Cn1cccc1C(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000990259876 808715848 /nfs/dbraw/zinc/71/58/48/808715848.db2.gz CZVLPSHYGZPZMA-UHFFFAOYSA-N -1 1 341.331 -0.990 20 0 EBADMM CN1CCC[C@H]1C(=O)NC1CN(C(=O)c2c[n-]n3c2nccc3=O)C1 ZINC000990527140 808827349 /nfs/dbraw/zinc/82/73/49/808827349.db2.gz UVEXANOOGXKXMD-LBPRGKRZSA-N -1 1 344.375 -0.943 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)C2CC=CC2)C1 ZINC000990576277 808849461 /nfs/dbraw/zinc/84/94/61/808849461.db2.gz WFQXYUGOKCZXTI-UHFFFAOYSA-N -1 1 334.332 -0.197 20 0 EBADMM O=C(CC1CCC1)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000990629214 808877299 /nfs/dbraw/zinc/87/72/99/808877299.db2.gz MHLATGCPDPBKRB-UHFFFAOYSA-N -1 1 330.348 -0.452 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)[C@]45C[C@H]4COC5)CCC[C@H]23)nc1=O ZINC000990818032 808934313 /nfs/dbraw/zinc/93/43/13/808934313.db2.gz RLPMXZOLUDKJHZ-MWDDYTRKSA-N -1 1 347.419 -0.242 20 0 EBADMM C[C@]1(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)CCNC(=O)C1 ZINC000990974682 809020687 /nfs/dbraw/zinc/02/06/87/809020687.db2.gz JOYXFULKWQMMRI-INIZCTEOSA-N -1 1 332.360 -0.356 20 0 EBADMM CN1C(=O)CC[C@@H]1CC(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990974165 809020810 /nfs/dbraw/zinc/02/08/10/809020810.db2.gz FUNVIRHHMWLJDF-LLVKDONJSA-N -1 1 332.360 -0.261 20 0 EBADMM CO[C@@H](C(=O)N1CC(NC(=O)c2ncccc2[O-])C1)c1cnn(C)c1 ZINC000990975496 809021614 /nfs/dbraw/zinc/02/16/14/809021614.db2.gz SVENYLMBUQOQGR-CQSZACIVSA-N -1 1 345.359 -0.151 20 0 EBADMM C[C@H](C(=O)N1CC(NC(=O)c2ncccc2[O-])C1)N1C(=O)CCC1=O ZINC000990978359 809025462 /nfs/dbraw/zinc/02/54/62/809025462.db2.gz NMFKCVPZNHKPHF-SECBINFHSA-N -1 1 346.343 -0.735 20 0 EBADMM O=C(NC1CN(C(=O)[C@H]2CCNC(=O)CC2)C1)c1ncccc1[O-] ZINC000990978394 809025561 /nfs/dbraw/zinc/02/55/61/809025561.db2.gz ODKDWMCOICPDLN-SNVBAGLBSA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)C1CCOCC1 ZINC000991185648 809134502 /nfs/dbraw/zinc/13/45/02/809134502.db2.gz FXSNFGDEOVVNEC-TZMCWYRMSA-N -1 1 349.387 -0.095 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1cnns1 ZINC000991303292 809261480 /nfs/dbraw/zinc/26/14/80/809261480.db2.gz HJRJGIFLMILWTO-SCZZXKLOSA-N -1 1 349.372 -0.356 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)c1cnsn1 ZINC000991310673 809274580 /nfs/dbraw/zinc/27/45/80/809274580.db2.gz QLIUDNYCRIHCMG-LDYMZIIASA-N -1 1 349.372 -0.356 20 0 EBADMM Cc1c[nH]nc1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991406316 809423293 /nfs/dbraw/zinc/42/32/93/809423293.db2.gz CIVPHZRMQLRWDN-ZYHUDNBSSA-N -1 1 345.359 -0.176 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)C2CCOCC2)C[C@H]1O)c1ncccc1[O-] ZINC000991406683 809424131 /nfs/dbraw/zinc/42/41/31/809424131.db2.gz DANYJXGHMODJRY-GXTWGEPZSA-N -1 1 349.387 -0.095 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)c1cncnc1 ZINC000991412446 809430753 /nfs/dbraw/zinc/43/07/53/809430753.db2.gz XGFYFJNOOUVQFS-DGCLKSJQSA-N -1 1 343.343 -0.417 20 0 EBADMM Cn1cc(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])[C@H](O)C2)cn1 ZINC000991413558 809431554 /nfs/dbraw/zinc/43/15/54/809431554.db2.gz ZHJGOQPJTCMPCC-WCQYABFASA-N -1 1 345.359 -0.474 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)C2=CCOCC2)C[C@@H]1O)c1ncccc1[O-] ZINC000991427816 809455473 /nfs/dbraw/zinc/45/54/73/809455473.db2.gz PARRRAQZWISKGH-OCCSQVGLSA-N -1 1 347.371 -0.175 20 0 EBADMM Cc1cc(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)[nH]n1 ZINC000991429756 809458874 /nfs/dbraw/zinc/45/88/74/809458874.db2.gz RTADNIBTVWTURF-GWCFXTLKSA-N -1 1 345.359 -0.176 20 0 EBADMM Cc1cc(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n[nH]1 ZINC000991429756 809458879 /nfs/dbraw/zinc/45/88/79/809458879.db2.gz RTADNIBTVWTURF-GWCFXTLKSA-N -1 1 345.359 -0.176 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991434439 809466840 /nfs/dbraw/zinc/46/68/40/809466840.db2.gz ZNOROCXKXILLNJ-ONGXEEELSA-N -1 1 346.347 -0.781 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991434439 809466850 /nfs/dbraw/zinc/46/68/50/809466850.db2.gz ZNOROCXKXILLNJ-ONGXEEELSA-N -1 1 346.347 -0.781 20 0 EBADMM CN(C[C@H]1CCCN1C(=O)CCn1cc[n-]c(=O)c1=O)C(=O)C1CC1 ZINC001002696285 809765436 /nfs/dbraw/zinc/76/54/36/809765436.db2.gz JVSFUFKDYCAERM-CYBMUJFWSA-N -1 1 348.403 -0.214 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)c1nnn(C)n1 ZINC001004923666 809835182 /nfs/dbraw/zinc/83/51/82/809835182.db2.gz JXFJILMBYJBVLA-DTWKUNHWSA-N -1 1 335.372 -0.793 20 0 EBADMM CN(C(=O)c1cncnc1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005299118 809849875 /nfs/dbraw/zinc/84/98/75/809849875.db2.gz DAOZNLUXEWUPMX-UHFFFAOYSA-N -1 1 331.380 -0.365 20 0 EBADMM C[C@@H](NC(=O)[C@H]1CCCNC1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006255465 809889184 /nfs/dbraw/zinc/88/91/84/809889184.db2.gz GXPOWCXJIXLODW-PWSUYJOCSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1)n1cccn1 ZINC001006790781 809921335 /nfs/dbraw/zinc/92/13/35/809921335.db2.gz SKTAEKACUZHASE-VXGBXAGGSA-N -1 1 333.396 -0.353 20 0 EBADMM C[C@H](C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1)n1cccn1 ZINC001006790777 809921599 /nfs/dbraw/zinc/92/15/99/809921599.db2.gz SKTAEKACUZHASE-NEPJUHHUSA-N -1 1 333.396 -0.353 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)c(C)nn1 ZINC001007412998 809938281 /nfs/dbraw/zinc/93/82/81/809938281.db2.gz QUEQCGWVDSZDJB-GFCCVEGCSA-N -1 1 345.407 -0.090 20 0 EBADMM COCC(=O)N1CCO[C@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC001007638540 809959202 /nfs/dbraw/zinc/95/92/02/809959202.db2.gz GRXJJSSAUUOTFN-MRXNPFEDSA-N -1 1 335.360 -0.123 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)Cc3ccc(F)cn3)C2)nc1=O ZINC001007683258 809980848 /nfs/dbraw/zinc/98/08/48/809980848.db2.gz ZRQGMUJMPBTWSW-ZDUSSCGKSA-N -1 1 348.382 -0.034 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)c3cn(C)ccc3=O)C2)nc1=O ZINC001007893093 810038896 /nfs/dbraw/zinc/03/88/96/810038896.db2.gz ZXAUIGZVKJGXBE-LLVKDONJSA-N -1 1 346.391 -0.799 20 0 EBADMM Cn1nnc(C(=O)N2C[C@H](NC(=O)c3ncccc3[O-])C(C)(C)C2)n1 ZINC001008065452 810071437 /nfs/dbraw/zinc/07/14/37/810071437.db2.gz VMGVBWDYKDPRBP-JTQLQIEISA-N -1 1 345.363 -0.409 20 0 EBADMM O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCCc2n[nH]nc21 ZINC001008345204 810140767 /nfs/dbraw/zinc/14/07/67/810140767.db2.gz UGIRIYKGYUQLDL-VHSXEESVSA-N -1 1 346.395 -0.171 20 0 EBADMM COCC(=O)N1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C(C)(C)C1 ZINC001008350679 810141947 /nfs/dbraw/zinc/14/19/47/810141947.db2.gz GBXCDOVAHJRZLL-JTQLQIEISA-N -1 1 348.363 -0.969 20 0 EBADMM CC1(C)CN(C(=O)C2CC2)C[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001008352598 810142075 /nfs/dbraw/zinc/14/20/75/810142075.db2.gz NRGRQJWZUFXWKA-NSHDSACASA-N -1 1 344.375 -0.206 20 0 EBADMM Cc1ccc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)c(=O)[nH]1 ZINC001008665121 810212182 /nfs/dbraw/zinc/21/21/82/810212182.db2.gz KZTVYFGINVJTAY-NSHDSACASA-N -1 1 346.391 -0.088 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(C(=O)C(F)F)CC1 ZINC001009679792 810252019 /nfs/dbraw/zinc/25/20/19/810252019.db2.gz YCWPNWUACWBQQN-UHFFFAOYSA-N -1 1 332.263 -0.554 20 0 EBADMM CC(=O)N[C@@H]1CC[C@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@H]2C1 ZINC001009767217 810263504 /nfs/dbraw/zinc/26/35/04/810263504.db2.gz NDMIDTODCSYUFM-BFHYXJOUSA-N -1 1 348.403 -0.310 20 0 EBADMM CC[C@@H](OC)C(=O)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001010622786 810289206 /nfs/dbraw/zinc/28/92/06/810289206.db2.gz ITFCJKZGLMDDCN-LLVKDONJSA-N -1 1 348.363 -0.873 20 0 EBADMM C[C@@H]1CN(C(=O)CN2CC[C@H](NC(=O)c3ncccc3[O-])C2)CCO1 ZINC001010735594 810296380 /nfs/dbraw/zinc/29/63/80/810296380.db2.gz YNXKVJQLXXWTBS-OLZOCXBDSA-N -1 1 348.403 -0.161 20 0 EBADMM COC[C@]1(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)CCOC1 ZINC001215242629 810317401 /nfs/dbraw/zinc/31/74/01/810317401.db2.gz QBQGHOYNHBZFLS-UEKVPHQBSA-N -1 1 339.396 -0.500 20 0 EBADMM COCCOCCC(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001216965252 810399080 /nfs/dbraw/zinc/39/90/80/810399080.db2.gz QPRSOQQYQQEAFZ-GHMZBOCLSA-N -1 1 327.385 -0.500 20 0 EBADMM CCC(=O)N[C@]12CCC[C@H]1N(C(=O)CCn1cc[n-]c(=O)c1=O)CC2 ZINC001014106688 810415654 /nfs/dbraw/zinc/41/56/54/810415654.db2.gz LZLFUXFATRXXEP-PXAZEXFGSA-N -1 1 348.403 -0.024 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C3(c4cccnc4)CC3)C2)nc1=O ZINC001015597245 810534478 /nfs/dbraw/zinc/53/44/78/810534478.db2.gz PFMZBYNEMRPPAY-CYBMUJFWSA-N -1 1 342.403 -0.074 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cnc4n3CCCC4)C2)nc1=O ZINC001015603180 810535992 /nfs/dbraw/zinc/53/59/92/810535992.db2.gz OFDZJAMHDPHWFA-NSHDSACASA-N -1 1 345.407 -0.355 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3nccc4[nH]ccc43)C2)nc1=O ZINC001015720961 810571080 /nfs/dbraw/zinc/57/10/80/810571080.db2.gz VNXYJJWKDLZHCN-SNVBAGLBSA-N -1 1 341.375 -0.011 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cnn(CC4CC4)c3)C2)nc1=O ZINC001015958533 810627452 /nfs/dbraw/zinc/62/74/52/810627452.db2.gz QMQJQNFIELWVES-ZDUSSCGKSA-N -1 1 345.407 -0.281 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCN(Cc2nccn2C)C1 ZINC001015989470 810644032 /nfs/dbraw/zinc/64/40/32/810644032.db2.gz QIMGENOCAZCOBX-VIFPVBQESA-N -1 1 348.363 -0.366 20 0 EBADMM Cc1ncccc1CN1CC[C@@H](NC(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC001016112355 810669493 /nfs/dbraw/zinc/66/94/93/810669493.db2.gz XGMMSYPQZGQQDT-GFCCVEGCSA-N -1 1 332.364 -0.217 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)[C@@H]2COCCO2)C1 ZINC001016431571 810725897 /nfs/dbraw/zinc/72/58/97/810725897.db2.gz ROHPFIHLMKSANK-XIVSLSHWSA-N -1 1 335.360 -0.078 20 0 EBADMM CN(C(=O)[C@]1(C)CCCOC1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033544744 810779264 /nfs/dbraw/zinc/77/92/64/810779264.db2.gz MCXXNBWEXXMLCT-BLLLJJGKSA-N -1 1 337.424 -0.042 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)c3cc(F)c[nH]3)C2)nc1=O ZINC001035450137 810809518 /nfs/dbraw/zinc/80/95/18/810809518.db2.gz QAKIIHZWAUZRMX-SNVBAGLBSA-N -1 1 338.343 -0.794 20 0 EBADMM N[C@@H](Cc1ccccc1)C(=O)NCC(=O)N[C@@H]1SC(=O)[N-]C1=O ZINC001219903979 810902395 /nfs/dbraw/zinc/90/23/95/810902395.db2.gz MCTKRISGJCXFAD-TVQRCGJNSA-N -1 1 336.373 -0.902 20 0 EBADMM O=C(NC[C@H]1CN(CCCF)CCO1)c1cc(=O)n2[n-]cnc2n1 ZINC001035573555 810923654 /nfs/dbraw/zinc/92/36/54/810923654.db2.gz DNPMTTVNUNLEDO-JTQLQIEISA-N -1 1 338.343 -0.792 20 0 EBADMM Cc1conc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035573855 810924147 /nfs/dbraw/zinc/92/41/47/810924147.db2.gz ODFAHGDRMONXRE-JTQLQIEISA-N -1 1 336.352 -0.964 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)Cc2ccon2)CC3)nc1=O ZINC001035670073 810983422 /nfs/dbraw/zinc/98/34/22/810983422.db2.gz BYOCMZUAMUGUPB-UHFFFAOYSA-N -1 1 346.391 -0.237 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)c2csnn2)CC3)nc1=O ZINC001035759007 811012861 /nfs/dbraw/zinc/01/28/61/811012861.db2.gz NBSSBEZENXEQLM-UHFFFAOYSA-N -1 1 349.420 -0.302 20 0 EBADMM Cc1noc(CN[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@H]2C)n1 ZINC001036096458 811096731 /nfs/dbraw/zinc/09/67/31/811096731.db2.gz RWBGEWPNHROSOW-VXNVDRBHSA-N -1 1 349.351 -0.385 20 0 EBADMM C[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC[C@@H]1NCc1cnn(C)n1 ZINC001036096846 811097057 /nfs/dbraw/zinc/09/70/57/811097057.db2.gz VHXXRCMAMXYVQW-SCZZXKLOSA-N -1 1 348.367 -0.948 20 0 EBADMM C[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC[C@H]1NCc1cnn(C)n1 ZINC001036096847 811097845 /nfs/dbraw/zinc/09/78/45/811097845.db2.gz VHXXRCMAMXYVQW-WCBMZHEXSA-N -1 1 348.367 -0.948 20 0 EBADMM CCS(=O)(=O)c1ccc(CNC(=O)[C@@H](N)Cc2nnn[n-]2)cc1 ZINC001220489878 811125193 /nfs/dbraw/zinc/12/51/93/811125193.db2.gz KLQMVPUJIRKYKJ-NSHDSACASA-N -1 1 338.393 -0.821 20 0 EBADMM C[C@@H](NCc1cnon1)[C@@H]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001036426006 811156515 /nfs/dbraw/zinc/15/65/15/811156515.db2.gz PHFNUDHCMCJYBH-RKDXNWHRSA-N -1 1 349.351 -0.304 20 0 EBADMM CCCCCN1C[C@@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)[C@@H](O)C1 ZINC001220565266 811157852 /nfs/dbraw/zinc/15/78/52/811157852.db2.gz OGFAMCSSVLOSDY-OLZOCXBDSA-N -1 1 348.407 -0.577 20 0 EBADMM C[C@@H]1CCCN(C(=O)c2nccnc2N)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036523791 811170318 /nfs/dbraw/zinc/17/03/18/811170318.db2.gz ASCZTFKHWMEUFV-NXEZZACHSA-N -1 1 346.395 -0.087 20 0 EBADMM C[C@@H]1CCCN(C(=O)c2nnn(C)n2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036568943 811176780 /nfs/dbraw/zinc/17/67/80/811176780.db2.gz GXIVCAKBUKNGOA-BDAKNGLRSA-N -1 1 335.372 -0.936 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CCC[C@H](C)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036568310 811177178 /nfs/dbraw/zinc/17/71/78/811177178.db2.gz VIGXVMGEGBVIRC-WPRPVWTQSA-N -1 1 334.384 -0.033 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CCC[C@@H](C)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036568307 811177880 /nfs/dbraw/zinc/17/78/80/811177880.db2.gz VIGXVMGEGBVIRC-PSASIEDQSA-N -1 1 334.384 -0.033 20 0 EBADMM C[C@H]1CCCN(C(=O)c2c[nH]c(=O)cn2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036572162 811178715 /nfs/dbraw/zinc/17/87/15/811178715.db2.gz DINNLTZPCMKSHA-ONGXEEELSA-N -1 1 347.379 -0.376 20 0 EBADMM Cn1cc(C(=O)N2CC[C@@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001036642647 811193426 /nfs/dbraw/zinc/19/34/26/811193426.db2.gz UBAKPHHIWDVWHJ-CMPLNLGQSA-N -1 1 331.380 -0.020 20 0 EBADMM Cn1cc(CC(=O)N2CC[C@@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001036664547 811199058 /nfs/dbraw/zinc/19/90/58/811199058.db2.gz DMCNWSURGFRXOJ-CHWSQXEVSA-N -1 1 345.407 -0.091 20 0 EBADMM Cn1cc(CC(=O)N2CC[C@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001036664548 811200261 /nfs/dbraw/zinc/20/02/61/811200261.db2.gz DMCNWSURGFRXOJ-OLZOCXBDSA-N -1 1 345.407 -0.091 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001036707161 811220676 /nfs/dbraw/zinc/22/06/76/811220676.db2.gz JYTUKPSKVOOCCB-VHSXEESVSA-N -1 1 332.368 -0.625 20 0 EBADMM CC(C)C[C@H](C)CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001220741954 811225029 /nfs/dbraw/zinc/22/50/29/811225029.db2.gz KMAPTSPWUCJNCQ-XQQFMLRXSA-N -1 1 339.440 -0.158 20 0 EBADMM O=C(c1c[nH]c(=O)cn1)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036802827 811262811 /nfs/dbraw/zinc/26/28/11/811262811.db2.gz JPHUZLKDGGLVDD-GXSJLCMTSA-N -1 1 345.363 -0.670 20 0 EBADMM Cn1cc(C(=O)N2CC[C@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)[nH]c1=O ZINC001036809396 811270174 /nfs/dbraw/zinc/27/01/74/811270174.db2.gz KIBHEIZSIMVTFY-KOLCDFICSA-N -1 1 347.379 -0.314 20 0 EBADMM Cn1cc(C(=O)N2CC[C@@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)[nH]c1=O ZINC001036809397 811271290 /nfs/dbraw/zinc/27/12/90/811271290.db2.gz KIBHEIZSIMVTFY-MWLCHTKSSA-N -1 1 347.379 -0.314 20 0 EBADMM CCn1cc(C(=O)N2CC[C@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)nn1 ZINC001036856717 811291380 /nfs/dbraw/zinc/29/13/80/811291380.db2.gz WSRAIOKIYOIYCJ-PWSUYJOCSA-N -1 1 346.395 -0.142 20 0 EBADMM O=C(N[C@@H]1CCC[C@@H]1CNCc1ccon1)c1n[nH]c(=O)[n-]c1=O ZINC001037038895 811347326 /nfs/dbraw/zinc/34/73/26/811347326.db2.gz WAHMTIRQVKCFOB-PSASIEDQSA-N -1 1 334.336 -0.041 20 0 EBADMM O=C(N[C@@H]1CCC[C@H]1CNCc1ccon1)c1n[nH]c(=O)[n-]c1=O ZINC001037038897 811347798 /nfs/dbraw/zinc/34/77/98/811347798.db2.gz WAHMTIRQVKCFOB-WCBMZHEXSA-N -1 1 334.336 -0.041 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)CC(C3CC3)C3CC3)[C@@H](O)C2)nc1=O ZINC001221104094 811382086 /nfs/dbraw/zinc/38/20/86/811382086.db2.gz IQBRJNOGWCTTIC-KGLIPLIRSA-N -1 1 349.435 -0.404 20 0 EBADMM CCN(C(=O)CCOC)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001079182021 811440831 /nfs/dbraw/zinc/44/08/31/811440831.db2.gz QLAWDPIUAUTOQX-UHFFFAOYSA-N -1 1 348.363 -0.873 20 0 EBADMM CCN(C(=O)[C@@H](C)NC(C)=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079264801 811468364 /nfs/dbraw/zinc/46/83/64/811468364.db2.gz PNARZZWNORJLAO-SNVBAGLBSA-N -1 1 334.376 -0.015 20 0 EBADMM O=C(COC[C@@H]1CCCO1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001222136261 811601323 /nfs/dbraw/zinc/60/13/23/811601323.db2.gz JOLFXHQNLHCGES-NEPJUHHUSA-N -1 1 339.396 -0.214 20 0 EBADMM O=C(COC[C@@H]1CCCO1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001222136263 811601346 /nfs/dbraw/zinc/60/13/46/811601346.db2.gz JOLFXHQNLHCGES-RYUDHWBXSA-N -1 1 339.396 -0.214 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)Cn3cccn3)CC2)nc1=O ZINC001222377610 811626275 /nfs/dbraw/zinc/62/62/75/811626275.db2.gz VFTQMFCNIPXENR-UHFFFAOYSA-N -1 1 333.396 -0.667 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)CNC(=O)C2CC2)C1 ZINC001079652633 811637999 /nfs/dbraw/zinc/63/79/99/811637999.db2.gz AUCAFJRDWAYXMZ-UHFFFAOYSA-N -1 1 346.387 -0.014 20 0 EBADMM CCN(C(=O)CCNC(=O)NC)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079718337 811683796 /nfs/dbraw/zinc/68/37/96/811683796.db2.gz WXCYXAYIANFZIE-UHFFFAOYSA-N -1 1 349.391 -0.221 20 0 EBADMM CCN(C(=O)C1(C)CC1)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001079902975 811781045 /nfs/dbraw/zinc/78/10/45/811781045.db2.gz XEJIAWFSHSNDIS-UHFFFAOYSA-N -1 1 348.403 -0.214 20 0 EBADMM Cc1cc(CC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)n(C)n1 ZINC001080122635 811908377 /nfs/dbraw/zinc/90/83/77/811908377.db2.gz LCAZFJODHFHQBJ-ZWNOBZJWSA-N -1 1 347.423 -0.670 20 0 EBADMM CCN(C(=O)Cn1c(=O)[n-][nH]c1=O)C1CN(C(=O)c2cnc(C)[nH]2)C1 ZINC001080201228 811938029 /nfs/dbraw/zinc/93/80/29/811938029.db2.gz WJGXIZITXDHHRD-UHFFFAOYSA-N -1 1 349.351 -0.906 20 0 EBADMM CCN(C(=O)[C@H](C)CC(N)=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001080216683 811947870 /nfs/dbraw/zinc/94/78/70/811947870.db2.gz XZFHZDPSYZXKBH-SNVBAGLBSA-N -1 1 334.376 -0.028 20 0 EBADMM CCO[C@H](CC)C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001230957114 812260978 /nfs/dbraw/zinc/26/09/78/812260978.db2.gz CUZQQGHXGTUCPP-VXGBXAGGSA-N -1 1 325.413 -0.044 20 0 EBADMM COC(=O)c1nc[n-]c(=O)c1O[C@H]1CO[C@@H]2[C@H](O[N+](=O)[O-])CO[C@H]12 ZINC001233756237 812339295 /nfs/dbraw/zinc/33/92/95/812339295.db2.gz JGCPFOFEDINHRA-HIORRCEOSA-N -1 1 343.248 -0.909 20 0 EBADMM CCNC(=O)NC(=O)CN1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001233838053 812354347 /nfs/dbraw/zinc/35/43/47/812354347.db2.gz SBYCJIVZKRVQAV-LLVKDONJSA-N -1 1 349.391 -0.221 20 0 EBADMM CCOC(=O)CC[C@@H](Oc1c(C(N)=O)nc[n-]c1=O)C(=O)OCC ZINC001234468655 812428726 /nfs/dbraw/zinc/42/87/26/812428726.db2.gz ROJNFXPNHKJXHO-MRVPVSSYSA-N -1 1 341.320 -0.065 20 0 EBADMM COCCC1(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)CC1 ZINC001235161763 812472286 /nfs/dbraw/zinc/47/22/86/812472286.db2.gz WFKRIPADOGPQCX-LBPRGKRZSA-N -1 1 337.424 -0.042 20 0 EBADMM COCCC1(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)CC1 ZINC001235161759 812472546 /nfs/dbraw/zinc/47/25/46/812472546.db2.gz WFKRIPADOGPQCX-GFCCVEGCSA-N -1 1 337.424 -0.042 20 0 EBADMM COc1ncc(CC(=O)N2CCOCC2)cc1[N-]S(C)(=O)=O ZINC001250283014 812609990 /nfs/dbraw/zinc/60/99/90/812609990.db2.gz GHWSKCJPWQIGPP-UHFFFAOYSA-N -1 1 329.378 -0.137 20 0 EBADMM Cc1ccnc2nc(C(=O)N3CCSC[C@@H]3c3nn[n-]n3)nn21 ZINC001255243471 812711247 /nfs/dbraw/zinc/71/12/47/812711247.db2.gz QPVRVDFNZKLHKP-MRVPVSSYSA-N -1 1 331.365 -0.124 20 0 EBADMM NC(=O)C([N-]S(=O)(=O)c1cc(F)c(F)cc1Cl)C(N)=O ZINC001260288412 812831106 /nfs/dbraw/zinc/83/11/06/812831106.db2.gz OJJGWVVOHHOPHV-UHFFFAOYSA-N -1 1 327.696 -0.764 20 0 EBADMM CC(C)(C)c1cnc(NC(=O)C(=O)NN2CC(=O)[N-]C2=O)s1 ZINC001260404588 812833169 /nfs/dbraw/zinc/83/31/69/812833169.db2.gz SZIQWFAQRALMJR-UHFFFAOYSA-N -1 1 325.350 -0.038 20 0 EBADMM CS(=O)(=O)c1cccc(S(=O)(=O)NCC[P@](=O)([O-])O)c1 ZINC001260607665 812844067 /nfs/dbraw/zinc/84/40/67/812844067.db2.gz MAYNOMWNKUFFLJ-UHFFFAOYSA-N -1 1 343.319 -0.454 20 0 EBADMM COC(=O)C([N-]S(=O)(=O)c1cnc(NC(C)=O)s1)=C(C)O ZINC001260709239 812846556 /nfs/dbraw/zinc/84/65/56/812846556.db2.gz BOOOGAXIZLTSOT-QMMMGPOBSA-N -1 1 335.363 -0.490 20 0 EBADMM CCOC(=O)Cn1cnc([N-]C(=O)c2cnc(C(=O)OC)cn2)n1 ZINC001261438593 812906000 /nfs/dbraw/zinc/90/60/00/812906000.db2.gz JYEXRLSXLNGWNR-UHFFFAOYSA-N -1 1 334.292 -0.330 20 0 EBADMM COCCCC(=O)N1C[C@@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001081424157 812909749 /nfs/dbraw/zinc/90/97/49/812909749.db2.gz ATOMLNSRZVKPQY-WCQYABFASA-N -1 1 337.376 -0.237 20 0 EBADMM O=C(Cc1ccc[nH]1)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001081525687 813126068 /nfs/dbraw/zinc/12/60/68/813126068.db2.gz KSBCOWDVHKJCHG-SMDDNHRTSA-N -1 1 344.371 -0.093 20 0 EBADMM CO[C@@H]1CN(CC(=O)N2CCCC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001081576180 813217548 /nfs/dbraw/zinc/21/75/48/813217548.db2.gz OSYBYFFFOMESRX-TZMCWYRMSA-N -1 1 348.403 -0.161 20 0 EBADMM CO[C@@H]1CN([C@@H](C)C(=O)N(C)C)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001081578944 813226776 /nfs/dbraw/zinc/22/67/76/813226776.db2.gz DWYYLNYCYDSFCX-DMDPSCGWSA-N -1 1 336.392 -0.307 20 0 EBADMM CO[C@@H]1CN([C@@H]2CCCN(C)C2=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001081578710 813227068 /nfs/dbraw/zinc/22/70/68/813227068.db2.gz RYPZCDIMWPSNIR-YRGRVCCFSA-N -1 1 348.403 -0.163 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C(C)=C1CCC1 ZINC001081648190 813357404 /nfs/dbraw/zinc/35/74/04/813357404.db2.gz MFDLSLUCPXSVQD-CHWSQXEVSA-N -1 1 335.408 -0.076 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)Cc1[nH]nc2c1CCCC2 ZINC001266702204 813645839 /nfs/dbraw/zinc/64/58/39/813645839.db2.gz SVRJPWICMBHBDN-UHFFFAOYSA-N -1 1 333.396 -0.097 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@@H]1CCC1(C)C ZINC001082043983 813735064 /nfs/dbraw/zinc/73/50/64/813735064.db2.gz VRMVWTWVNYOQPX-QJPTWQEYSA-N -1 1 337.424 -0.140 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@@H](C)c1ccco1 ZINC001082126650 813777037 /nfs/dbraw/zinc/77/70/37/813777037.db2.gz NNFSDDKFCXURJT-DMDPSCGWSA-N -1 1 349.391 -0.180 20 0 EBADMM CN(CCNC(=O)c1cc2c[nH]ccc-2n1)Cc1nc(=O)n(C)[n-]1 ZINC001267202842 813785998 /nfs/dbraw/zinc/78/59/98/813785998.db2.gz IVSHAFXGVNTPEA-UHFFFAOYSA-N -1 1 329.364 -0.154 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ccnc(C)c1 ZINC001082251713 813848681 /nfs/dbraw/zinc/84/86/81/813848681.db2.gz AKMPVTDXPALKEL-CHWSQXEVSA-N -1 1 346.391 -0.559 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1oncc1C ZINC001082290363 813873510 /nfs/dbraw/zinc/87/35/10/813873510.db2.gz VOKZBZBQUBVEDS-NXEZZACHSA-N -1 1 336.352 -0.966 20 0 EBADMM Cc1c(C(=O)NCCCN(Cc2n[nH]c(=O)[n-]2)C(C)C)nnn1C ZINC001267498864 813916334 /nfs/dbraw/zinc/91/63/34/813916334.db2.gz AYTHZEZTNYRHND-UHFFFAOYSA-N -1 1 336.400 -0.022 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2CCNC(=O)CC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082665399 813988206 /nfs/dbraw/zinc/98/82/06/813988206.db2.gz KTWFXBJWLFLSFU-OUAUKWLOSA-N -1 1 336.396 -0.637 20 0 EBADMM Cc1cnc(CN2CC[C@@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)o1 ZINC001267750603 814024867 /nfs/dbraw/zinc/02/48/67/814024867.db2.gz CUKHURJNIHAJOT-GFCCVEGCSA-N -1 1 347.375 -0.386 20 0 EBADMM C[C@H](C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1)c1cnn(C)c1 ZINC001082968138 814035053 /nfs/dbraw/zinc/03/50/53/814035053.db2.gz NBDWMIKEPBQBKX-JFGNBEQYSA-N -1 1 333.396 -0.016 20 0 EBADMM COC(=O)NCC(=O)N1CCC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001267877455 814060178 /nfs/dbraw/zinc/06/01/78/814060178.db2.gz DVSZSUOMVWILCM-SNVBAGLBSA-N -1 1 340.384 -0.415 20 0 EBADMM Cc1ncc(C(=O)N2CCO[C@@H]3CN(Cc4n[nH]c(=O)[n-]4)C[C@@H]32)[nH]1 ZINC001083025444 814073213 /nfs/dbraw/zinc/07/32/13/814073213.db2.gz JQRCYNZYQAEYKB-WDEREUQCSA-N -1 1 333.352 -0.733 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)C=C4CCC4)[C@H]3C2)nc1=O ZINC001083046798 814086554 /nfs/dbraw/zinc/08/65/54/814086554.db2.gz SGQQHPWNQMEWNO-QWHCGFSZSA-N -1 1 333.392 -0.370 20 0 EBADMM CC(C)C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CCCNC1=O ZINC001268103570 814126559 /nfs/dbraw/zinc/12/65/59/814126559.db2.gz CUJHWBTZMBRIFY-WDEREUQCSA-N -1 1 338.412 -0.343 20 0 EBADMM CC(C)[C@@H](C)C(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21 ZINC001083212602 814204740 /nfs/dbraw/zinc/20/47/40/814204740.db2.gz VZQDMHZJUZTUIK-FRRDWIJNSA-N -1 1 337.424 -0.188 20 0 EBADMM COCCN1C[C@H]2OCCN(C(=O)c3c[n-]n4c3nccc4=O)[C@H]2C1 ZINC001083217265 814208221 /nfs/dbraw/zinc/20/82/21/814208221.db2.gz QHZNSSOJSWWGSM-QWHCGFSZSA-N -1 1 347.375 -0.806 20 0 EBADMM Cc1conc1C(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21 ZINC001083242086 814229374 /nfs/dbraw/zinc/22/93/74/814229374.db2.gz OFMGXSRPZUXRDE-WDEREUQCSA-N -1 1 348.363 -0.870 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)oc1C ZINC001083407735 814381206 /nfs/dbraw/zinc/38/12/06/814381206.db2.gz BEIAHLIQQMIMQD-MNOVXSKESA-N -1 1 335.364 -0.707 20 0 EBADMM Cc1noc(CCCN2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1 ZINC001083423510 814404022 /nfs/dbraw/zinc/40/40/22/814404022.db2.gz ZHCSNCREWQNSRZ-YPMHNXCESA-N -1 1 347.375 -0.114 20 0 EBADMM CC(=O)[C@@H](C)N1C(=O)COCC12CN(C(=O)c1ncccc1[O-])C2 ZINC001268842672 814410525 /nfs/dbraw/zinc/41/05/25/814410525.db2.gz NRPKEKQMMMBLSU-SNVBAGLBSA-N -1 1 333.344 -0.182 20 0 EBADMM CN(CCCNCc1cn(C)nn1)C(=O)c1c[n-]n2c1nccc2=O ZINC001268871764 814423056 /nfs/dbraw/zinc/42/30/56/814423056.db2.gz BFPLQXYKCKZITP-UHFFFAOYSA-N -1 1 344.379 -0.597 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)c3cc4occc4[nH]3)[C@@H](O)C2)nc1=O ZINC001083500766 814493393 /nfs/dbraw/zinc/49/33/93/814493393.db2.gz IESMIRQWEWBEIG-MNOVXSKESA-N -1 1 346.347 -0.842 20 0 EBADMM CN(C)C(=O)CNC(=O)[C@@]12C[C@@H]1CN(C(=O)c1ncccc1[O-])C2 ZINC001269345498 814650267 /nfs/dbraw/zinc/65/02/67/814650267.db2.gz AMMPKCFODJVEHJ-QLJPJBMISA-N -1 1 332.360 -0.546 20 0 EBADMM O=C(NCCn1ccnn1)[C@]12C[C@H]1CCN2C(=O)c1ncccc1[O-] ZINC001269346513 814651211 /nfs/dbraw/zinc/65/12/11/814651211.db2.gz QVGBZSPNUAZJSZ-BZNIZROVSA-N -1 1 342.359 -0.200 20 0 EBADMM CC[C@@H](C(N)=O)N1C(=O)COCC12CN(C(=O)c1ccc([O-])cn1)C2 ZINC001269354335 814658556 /nfs/dbraw/zinc/65/85/56/814658556.db2.gz GRQWFRXWUOHBOT-LBPRGKRZSA-N -1 1 348.359 -0.896 20 0 EBADMM CCNC(=O)CN1CC[C@]2(CCN(C(=O)c3ccc([O-])cn3)C2)C1=O ZINC001269354419 814659085 /nfs/dbraw/zinc/65/90/85/814659085.db2.gz ITRBKEBIAJYCLJ-QGZVFWFLSA-N -1 1 346.387 -0.012 20 0 EBADMM CC(C)(C)C(=O)CN1CC[C@]2(CCN(C(=O)Cc3nn[n-]n3)C2)C1=O ZINC001269576480 814734516 /nfs/dbraw/zinc/73/45/16/814734516.db2.gz MZIHBHVPHMTVAW-MRXNPFEDSA-N -1 1 348.407 -0.192 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@@H]2[C@H]1CCC(=O)N2CC1CCOCC1 ZINC001269577597 814735304 /nfs/dbraw/zinc/73/53/04/814735304.db2.gz KNPHEUZNOWXKJE-CHWSQXEVSA-N -1 1 348.407 -0.239 20 0 EBADMM CC(C)CN1C[C@@]2(F)CN(C(=O)Cc3nn[n-]n3)C[C@@]2(F)C1=O ZINC001269577650 814736064 /nfs/dbraw/zinc/73/60/64/814736064.db2.gz MTHSEYZEFWCNHY-CHWSQXEVSA-N -1 1 328.323 -0.501 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC2(C1)COCC(=O)N2CC1CCCC1 ZINC001269577971 814736180 /nfs/dbraw/zinc/73/61/80/814736180.db2.gz QPZNHHPZVNKJMV-UHFFFAOYSA-N -1 1 334.380 -0.628 20 0 EBADMM C[C@H](O)CN1C[C@@H](NC(=O)c2n[n-]c3ccccc3c2=O)[C@@H](O)C1 ZINC001083826722 815632421 /nfs/dbraw/zinc/63/24/21/815632421.db2.gz HKALDHOXWMRGKV-BIMULSAOSA-N -1 1 332.360 -0.509 20 0 EBADMM Cc1ncc(CO)c(C(=O)N2C[C@H]3C[C@@H](CC(N)=O)O[C@H]3C2)c1[O-] ZINC001269980463 815655034 /nfs/dbraw/zinc/65/50/34/815655034.db2.gz SEQJXZIHSIBBRZ-USWWRNFRSA-N -1 1 335.360 -0.307 20 0 EBADMM Cc1ncc(CO)c(C(=O)N2[C@H]3CC[C@H]([C@H](O)C3)[C@H]2C(N)=O)c1[O-] ZINC001269980938 815655407 /nfs/dbraw/zinc/65/54/07/815655407.db2.gz XVGBQJDPSSRTCI-WGBDABJCSA-N -1 1 335.360 -0.573 20 0 EBADMM CN(C)C(=O)CNC(=O)[C@@]12C[C@@H]1CN(C(=O)c1ccc([O-])cn1)C2 ZINC001270139170 815698299 /nfs/dbraw/zinc/69/82/99/815698299.db2.gz SNVSYPLFHNONIS-QLJPJBMISA-N -1 1 332.360 -0.546 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCCC2(CN(Cc3cccc(=O)[nH]3)C2)C1 ZINC001270182692 815712485 /nfs/dbraw/zinc/71/24/85/815712485.db2.gz KLEUSZHMYMJXFU-UHFFFAOYSA-N -1 1 343.391 -0.033 20 0 EBADMM O=C(Cc1nn[n-]n1)N1C[C@@H]2C[C@H]1CN(C(=O)CCc1ccc[nH]1)C2 ZINC001270182330 815712695 /nfs/dbraw/zinc/71/26/95/815712695.db2.gz CMAYQBDEUDNPOD-YPMHNXCESA-N -1 1 343.391 -0.238 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC[C@@]2(CN(Cc3cn[nH]c3)CCO2)C1 ZINC001270182644 815712944 /nfs/dbraw/zinc/71/29/44/815712944.db2.gz JBJMFOQQWPLAST-OAHLLOKOSA-N -1 1 346.395 -0.641 20 0 EBADMM CCn1ccnc1CN1CCC2(CN(C(=O)Cc3nn[n-]n3)C2)C1 ZINC001270183548 815714834 /nfs/dbraw/zinc/71/48/34/815714834.db2.gz YZOSAKONAWGOJS-UHFFFAOYSA-N -1 1 330.396 -0.307 20 0 EBADMM Cc1noc(C)c1NC(=O)N1CC2(CN(C(=O)Cc3nn[n-]n3)C2)C1 ZINC001270186232 815715884 /nfs/dbraw/zinc/71/58/84/815715884.db2.gz SMKLOWQQKBJWER-UHFFFAOYSA-N -1 1 346.351 -0.277 20 0 EBADMM CN1C(=O)COCC12CN(C(=O)CCC[N-]C(=O)C(F)(F)F)C2 ZINC001270374546 815761815 /nfs/dbraw/zinc/76/18/15/815761815.db2.gz UBXWKBINGXHXDF-UHFFFAOYSA-N -1 1 337.298 -0.485 20 0 EBADMM CSc1ncc2c(n1)[n-]cc(C(=O)N1C[C@H]3C[C@@]3(C(N)=O)C1)c2=O ZINC001270397131 815765048 /nfs/dbraw/zinc/76/50/48/815765048.db2.gz UMGLRMIXZCZSEW-KWKYVRJSSA-N -1 1 345.384 -0.061 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)Cc3ccccc3F)[C@@H](O)C2)nc1=O ZINC001084019359 815776213 /nfs/dbraw/zinc/77/62/13/815776213.db2.gz TUQJAULXBHQSRJ-OLZOCXBDSA-N -1 1 349.366 -0.849 20 0 EBADMM Cc1nn(C)cc1C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001084235121 815870410 /nfs/dbraw/zinc/87/04/10/815870410.db2.gz SFEKJMSDGZIQBQ-DGCLKSJQSA-N -1 1 345.407 -0.503 20 0 EBADMM CCn1nccc1C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001084301160 815885964 /nfs/dbraw/zinc/88/59/64/815885964.db2.gz ADBDAWWIXYEVHQ-DGCLKSJQSA-N -1 1 345.407 -0.329 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCc2onc(C(=O)NCC(F)F)c2C1 ZINC001270789224 815892196 /nfs/dbraw/zinc/89/21/96/815892196.db2.gz PGTZSYVXPPVZOZ-UHFFFAOYSA-N -1 1 341.278 -0.690 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCc2c(n[nH]c2C(=O)NCC(F)F)C1 ZINC001270789700 815893045 /nfs/dbraw/zinc/89/30/45/815893045.db2.gz VCADZLSBEYWHME-UHFFFAOYSA-N -1 1 340.294 -0.955 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCc2c([nH]nc2C(=O)N2CCCCO2)C1 ZINC001270789524 815893338 /nfs/dbraw/zinc/89/33/38/815893338.db2.gz SDBUBXIYQSZZFL-UHFFFAOYSA-N -1 1 346.351 -0.782 20 0 EBADMM COCCN(C)C(=O)c1cc2c([nH]1)CN(C(=O)Cc1nn[n-]n1)CC2 ZINC001270789442 815893955 /nfs/dbraw/zinc/89/39/55/815893955.db2.gz QBODBPXAUQVPAF-UHFFFAOYSA-N -1 1 347.379 -0.626 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4ncccn4)[C@@H]3C2)nc1=O ZINC001084733446 815970759 /nfs/dbraw/zinc/97/07/59/815970759.db2.gz PIJIFHAIZOCQFV-GHMZBOCLSA-N -1 1 329.364 -0.755 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)Cc4ccco4)[C@@H]3C2)nc1=O ZINC001084733673 815972133 /nfs/dbraw/zinc/97/21/33/815972133.db2.gz AFMPWMGIUNWSAU-DGCLKSJQSA-N -1 1 331.376 -0.023 20 0 EBADMM CO[C@H](C(=O)N1CC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1)C1CC1 ZINC001084932823 816003353 /nfs/dbraw/zinc/00/33/53/816003353.db2.gz QVEMLZPFCSDSPU-ZLDLUXBVSA-N -1 1 349.435 -0.044 20 0 EBADMM CN1CCOC[C@H]1C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001085176003 816026190 /nfs/dbraw/zinc/02/61/90/816026190.db2.gz LOEFHZHGAAKIJV-UTUOFQBUSA-N -1 1 338.412 -0.642 20 0 EBADMM Cn1cc(CN[C@@H]2CCCC[C@H]2NC(=O)c2n[nH]c(=O)[n-]c2=O)nn1 ZINC001085260596 816034842 /nfs/dbraw/zinc/03/48/42/816034842.db2.gz VFZGYYAZKKNQCQ-NXEZZACHSA-N -1 1 348.367 -0.758 20 0 EBADMM O=C(C[C@@H]1CCC(=O)N1)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001085361407 816042914 /nfs/dbraw/zinc/04/29/14/816042914.db2.gz ZNXVQJJYUKPEBC-HBNTYKKESA-N -1 1 336.396 -0.304 20 0 EBADMM Cc1nnsc1C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085479688 816053520 /nfs/dbraw/zinc/05/35/20/816053520.db2.gz YDKMUWWQQHIENB-VIFPVBQESA-N -1 1 337.409 -0.385 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1cncc(F)c1 ZINC001085541981 816066307 /nfs/dbraw/zinc/06/63/07/816066307.db2.gz NAXDPBZSHLHJFI-LBPRGKRZSA-N -1 1 334.355 -0.011 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1cnn2c1CCC2 ZINC001085632521 816092446 /nfs/dbraw/zinc/09/24/46/816092446.db2.gz WNYQCALTYCQDCI-LLVKDONJSA-N -1 1 345.407 -0.402 20 0 EBADMM CC(=O)[C@@H](C)N1C(=O)COCC12CN(C(=O)c1cncc([O-])c1)C2 ZINC001271384657 816094055 /nfs/dbraw/zinc/09/40/55/816094055.db2.gz AAUSEQRJCGGLRY-SNVBAGLBSA-N -1 1 333.344 -0.182 20 0 EBADMM CCOc1cc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)[nH]n1 ZINC001085666684 816104439 /nfs/dbraw/zinc/10/44/39/816104439.db2.gz NJSTWRJHMBCASF-JTQLQIEISA-N -1 1 349.395 -0.423 20 0 EBADMM CN(C[C@@H]1CCN1CCc1cnn(C)c1)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001085699290 816114423 /nfs/dbraw/zinc/11/44/23/816114423.db2.gz KOLAWUMVXSVGKR-NSHDSACASA-N -1 1 347.379 -0.595 20 0 EBADMM CN(C[C@@H]1CCN1CCOC1CCC1)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001085700497 816116143 /nfs/dbraw/zinc/11/61/43/816116143.db2.gz PUWSWAXVAWDABN-JTQLQIEISA-N -1 1 337.380 -0.002 20 0 EBADMM Cc1cnn(C)c1C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085772674 816143250 /nfs/dbraw/zinc/14/32/50/816143250.db2.gz DCQOEFCMSLQESM-LLVKDONJSA-N -1 1 333.396 -0.503 20 0 EBADMM Cc1cc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)nc(C)n1 ZINC001085872478 816168054 /nfs/dbraw/zinc/16/80/54/816168054.db2.gz XBEAALWPVQTSQO-GFCCVEGCSA-N -1 1 345.407 -0.138 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085878259 816169012 /nfs/dbraw/zinc/16/90/12/816169012.db2.gz XKZSTRYXOIRERM-NSHDSACASA-N -1 1 333.396 -0.205 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1ncccc1F ZINC001085893916 816173426 /nfs/dbraw/zinc/17/34/26/816173426.db2.gz NFRYNRAAERAFFR-JTQLQIEISA-N -1 1 334.355 -0.011 20 0 EBADMM COc1ccc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)nn1 ZINC001085908646 816176682 /nfs/dbraw/zinc/17/66/82/816176682.db2.gz OLLRGZDVKUQQMH-SNVBAGLBSA-N -1 1 347.379 -0.747 20 0 EBADMM CN(C[C@@H]1CCN1Cc1cnon1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001085923543 816179211 /nfs/dbraw/zinc/17/92/11/816179211.db2.gz AHMIZZYUGFAZDL-JTQLQIEISA-N -1 1 344.335 -0.853 20 0 EBADMM Cc1ncc(CN[C@H]2C[C@@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC001086138364 816243601 /nfs/dbraw/zinc/24/36/01/816243601.db2.gz FMCUGCILIHQHPS-DTORHVGOSA-N -1 1 334.336 -0.123 20 0 EBADMM O=C(CN1CCCC1=O)N1CC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001272353079 816407473 /nfs/dbraw/zinc/40/74/73/816407473.db2.gz HCUZFIWOFJBZQT-LLVKDONJSA-N -1 1 336.396 -0.539 20 0 EBADMM C[C@@H]1CCN(C(=O)Cn2nccn2)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087171668 816471899 /nfs/dbraw/zinc/47/18/99/816471899.db2.gz YCTPVLKJASCXKV-MNOVXSKESA-N -1 1 334.384 -0.481 20 0 EBADMM C[C@@H]1CCN(C(=O)[C@@H]2CCNC2=O)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087198775 816473422 /nfs/dbraw/zinc/47/34/22/816473422.db2.gz ZYEQIUBQKWUSGK-OUAUKWLOSA-N -1 1 336.396 -0.637 20 0 EBADMM O=C(CC(F)(F)C(F)(F)F)NC[C@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001272591573 816489372 /nfs/dbraw/zinc/48/93/72/816489372.db2.gz ICEIGDRHVPZGAI-RXMQYKEDSA-N -1 1 347.244 -0.335 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)C2=COCCO2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087493475 816524883 /nfs/dbraw/zinc/52/48/83/816524883.db2.gz XHFYCBMFXVFWGW-WDEREUQCSA-N -1 1 337.380 -0.534 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2cnnn2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087559290 816532465 /nfs/dbraw/zinc/53/24/65/816532465.db2.gz BOMAVSSMXZQGES-VHSXEESVSA-N -1 1 334.384 -0.980 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2n[nH]cc2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087565278 816534374 /nfs/dbraw/zinc/53/43/74/816534374.db2.gz PRMIBNPCLPMIAK-WDEREUQCSA-N -1 1 333.396 -0.077 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2cncn2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087644174 816543025 /nfs/dbraw/zinc/54/30/25/816543025.db2.gz HBFZPYWAIAOZCF-WDEREUQCSA-N -1 1 333.396 -0.375 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2ccn(C)n2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087829229 816564244 /nfs/dbraw/zinc/56/42/44/816564244.db2.gz QTFZYKBNDOCXFN-CMPLNLGQSA-N -1 1 333.396 -0.375 20 0 EBADMM CC(=O)N1CCC(CO[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001088225851 816652570 /nfs/dbraw/zinc/65/25/70/816652570.db2.gz YLCJACKEMXRICV-CQSZACIVSA-N -1 1 337.424 -0.042 20 0 EBADMM O=C([C@@H]1COCCO1)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088268363 816657472 /nfs/dbraw/zinc/65/74/72/816657472.db2.gz MSFPUKJGLBUNJT-NEPJUHHUSA-N -1 1 339.396 -0.214 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCCN(C(C)=O)C1 ZINC001273492060 816683663 /nfs/dbraw/zinc/68/36/63/816683663.db2.gz IWQBGQHXPWQVSX-GFCCVEGCSA-N -1 1 338.412 -0.293 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cc2cncnc2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088544773 816699500 /nfs/dbraw/zinc/69/95/00/816699500.db2.gz WLAPNEYJIVEROQ-PWSUYJOCSA-N -1 1 331.380 -0.780 20 0 EBADMM Cn1ncnc1C(=O)N1CC2(C1)CN(C(=O)c1ccc(F)c(=O)[n-]1)C2 ZINC001273577231 816701141 /nfs/dbraw/zinc/70/11/41/816701141.db2.gz JLQBSIVXGKSESY-UHFFFAOYSA-N -1 1 346.322 -0.347 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CCCCN(C)C1=O ZINC001273710447 816737919 /nfs/dbraw/zinc/73/79/19/816737919.db2.gz VHXXVNDZTPULIQ-NSHDSACASA-N -1 1 338.412 -0.293 20 0 EBADMM Cc1ccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)c(=O)[nH]1 ZINC001088883511 816757963 /nfs/dbraw/zinc/75/79/63/816757963.db2.gz TVLBOMDMQLNRHX-PWSUYJOCSA-N -1 1 346.391 -0.090 20 0 EBADMM CCn1nc(C)c(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)n1 ZINC001089081354 816795433 /nfs/dbraw/zinc/79/54/33/816795433.db2.gz LSUGLFCOLAFLFT-MNOVXSKESA-N -1 1 348.411 -0.579 20 0 EBADMM Cc1nonc1C(=O)N1CCCC[C@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001089262837 816823324 /nfs/dbraw/zinc/82/33/24/816823324.db2.gz CZJZAXPFNPRVRB-NSHDSACASA-N -1 1 349.395 -0.073 20 0 EBADMM CC(C)C(=O)N1CC[C@H](n2cc(CNCc3nc(=O)n(C)[n-]3)nn2)C1 ZINC001089577986 816877608 /nfs/dbraw/zinc/87/76/08/816877608.db2.gz IQJIVUDILXZWFJ-LBPRGKRZSA-N -1 1 348.411 -0.581 20 0 EBADMM CCN(c1ncccn1)[C@H](C)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001089669750 816896288 /nfs/dbraw/zinc/89/62/88/816896288.db2.gz SRQVVYKYZZFLBT-GFCCVEGCSA-N -1 1 346.391 -0.252 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ccc(F)cc3)[C@H](O)C2)nc1=O ZINC001090015932 816970019 /nfs/dbraw/zinc/97/00/19/816970019.db2.gz DMTACBARKYUQNJ-CHWSQXEVSA-N -1 1 349.366 -0.387 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ccccc3)[C@H](O)C2)nc1=O ZINC001090027978 816972998 /nfs/dbraw/zinc/97/29/98/816972998.db2.gz YKGPBOVHGANLCQ-QWHCGFSZSA-N -1 1 331.376 -0.526 20 0 EBADMM O=C(c1c[nH]c(=O)c(=O)[n-]1)N1CC[C@H]2[C@@H]1CCN2Cc1cn[nH]c1 ZINC001274502118 816985648 /nfs/dbraw/zinc/98/56/48/816985648.db2.gz NWEZQKKNFHBWKM-RYUDHWBXSA-N -1 1 330.348 -0.725 20 0 EBADMM Cc1ccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)o1 ZINC001090048973 816986545 /nfs/dbraw/zinc/98/65/45/816986545.db2.gz GEOGTOSOVXIOJW-QWRGUYRKSA-N -1 1 335.364 -0.625 20 0 EBADMM Cc1occc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001090055055 816990304 /nfs/dbraw/zinc/99/03/04/816990304.db2.gz OFDOPMYRCGNTES-NEPJUHHUSA-N -1 1 335.364 -0.625 20 0 EBADMM Cc1ccc(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)o1 ZINC001090204796 817120351 /nfs/dbraw/zinc/12/03/51/817120351.db2.gz VSLBIYITPZYUFH-QWHCGFSZSA-N -1 1 349.391 -0.696 20 0 EBADMM O=C(CN1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1)N1CCCC1 ZINC001090213279 817130649 /nfs/dbraw/zinc/13/06/49/817130649.db2.gz IMJFFVPIEHEKBS-JSGCOSHPSA-N -1 1 348.403 -0.425 20 0 EBADMM Cc1nnc(CN2CC[C@@H](NC(=O)c3ncccc3[O-])[C@H](O)C2)[nH]1 ZINC001090215441 817132094 /nfs/dbraw/zinc/13/20/94/817132094.db2.gz DWZYEQDHDMBXJH-ZYHUDNBSSA-N -1 1 332.364 -0.421 20 0 EBADMM Cn1cnnc1CN1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001090216381 817135163 /nfs/dbraw/zinc/13/51/63/817135163.db2.gz MLPSRKPEQNGCNI-CMPLNLGQSA-N -1 1 332.364 -0.719 20 0 EBADMM Cc1coc(C)c1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001090235068 817164102 /nfs/dbraw/zinc/16/41/02/817164102.db2.gz CPAMXLRGQQXPFS-NEPJUHHUSA-N -1 1 349.391 -0.317 20 0 EBADMM C[C@@H](CNCc1ccn(C)n1)NC(=O)c1c[n-]n2c1nccc2=O ZINC001275335138 817198381 /nfs/dbraw/zinc/19/83/81/817198381.db2.gz YRQWFAZZMCSXLK-JTQLQIEISA-N -1 1 329.364 -0.336 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C3(F)CCCC3)[C@@H](O)C2)nc1=O ZINC001090371993 817314472 /nfs/dbraw/zinc/31/44/72/817314472.db2.gz OJQFDYGXNLEQFH-MNOVXSKESA-N -1 1 341.387 -0.558 20 0 EBADMM CN(C)S(=O)(=O)N1C[C@@H]2COC[C@H](C1)N2Cc1ncccc1[O-] ZINC001276593912 817435569 /nfs/dbraw/zinc/43/55/69/817435569.db2.gz OWQALKBSKUDFNM-TXEJJXNPSA-N -1 1 342.421 -0.521 20 0 EBADMM Cc1ncccc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001090531207 817511316 /nfs/dbraw/zinc/51/13/16/817511316.db2.gz JEXFEQSCVPXLSF-STQMWFEESA-N -1 1 346.391 -0.823 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C3(C)CC=CC3)[C@H](O)C2)nc1=O ZINC001090551393 817532209 /nfs/dbraw/zinc/53/22/09/817532209.db2.gz YXHHTVSVEBWNCE-NWDGAFQWSA-N -1 1 335.408 -0.484 20 0 EBADMM Cc1ccoc1CC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001090562604 817543982 /nfs/dbraw/zinc/54/39/82/817543982.db2.gz KRTULUTUDZZAEK-NEPJUHHUSA-N -1 1 349.391 -0.696 20 0 EBADMM Cn1nnnc1NC(=O)[C@H]1CCC2(CN(Cc3ncccc3[O-])C2)O1 ZINC001277314975 817550150 /nfs/dbraw/zinc/55/01/50/817550150.db2.gz DTRXBJJSNAWCTE-GFCCVEGCSA-N -1 1 345.363 -0.317 20 0 EBADMM CS(=O)(=O)CCNC(=O)[C@H]1CC12CN(Cc1ncccc1[O-])C2 ZINC001277319033 817551579 /nfs/dbraw/zinc/55/15/79/817551579.db2.gz ZEPJWSSFDDCGLC-LLVKDONJSA-N -1 1 339.417 -0.230 20 0 EBADMM CNC(=O)[C@H](C)[N@@H+](C)CCOCCNC(=O)c1c(C)nc[nH]c1=O ZINC001277515006 817587730 /nfs/dbraw/zinc/58/77/30/817587730.db2.gz QYDSHKCOMILXNF-NSHDSACASA-N -1 1 339.396 -0.697 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCN(CCF)C[C@@H]1O ZINC001090721923 817696099 /nfs/dbraw/zinc/69/60/99/817696099.db2.gz IPTYCTKIUHDLKN-YUMQZZPRSA-N -1 1 330.316 -0.969 20 0 EBADMM CCn1ncc(CNC2CC(CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001091123862 817896434 /nfs/dbraw/zinc/89/64/34/817896434.db2.gz LIYQCGGGQBOGKU-UHFFFAOYSA-N -1 1 348.367 -0.808 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)[C@]3(F)CCOC3)CC2)nc1=O ZINC001279622359 817959852 /nfs/dbraw/zinc/95/98/52/817959852.db2.gz VRDKZUYHBKTXEN-HNNXBMFYSA-N -1 1 339.371 -0.515 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)[C@@H]4CCOC4)C[C@]3(C)C2)nc1=O ZINC001091528636 818004734 /nfs/dbraw/zinc/00/47/34/818004734.db2.gz LZYRRPSQOKMZQJ-HSMVNMDESA-N -1 1 335.408 -0.575 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4ncc[nH]4)C[C@]3(C)C2)nc1=O ZINC001091655141 818027145 /nfs/dbraw/zinc/02/71/45/818027145.db2.gz DGRRVWNDEPKJKO-BMIGLBTASA-N -1 1 331.380 -0.574 20 0 EBADMM COc1c(C(=O)N2CC[C@H](CCNCc3n[nH]c(=O)[n-]3)C2)cnn1C ZINC001280274533 818031512 /nfs/dbraw/zinc/03/15/12/818031512.db2.gz PYUDCAIDHQCYFZ-JTQLQIEISA-N -1 1 349.395 -0.106 20 0 EBADMM C[C@H]1[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)CCN1C(=O)C1CCC1 ZINC001281119853 818040503 /nfs/dbraw/zinc/04/05/03/818040503.db2.gz CGFJQVJQEPYMGW-WCQYABFASA-N -1 1 348.403 -0.168 20 0 EBADMM Cc1cc(NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)nc(C)n1 ZINC001093761589 818097749 /nfs/dbraw/zinc/09/77/49/818097749.db2.gz NLPLJTZBGPXFNP-UHFFFAOYSA-N -1 1 328.336 -0.334 20 0 EBADMM CC(=O)N1CCC([C@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001281336331 818148820 /nfs/dbraw/zinc/14/88/20/818148820.db2.gz YEICLEDEFLSLOG-NSHDSACASA-N -1 1 336.392 -0.310 20 0 EBADMM C[C@H]1C[C@H]1C(=O)NCc1cn(C2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001094271120 818192350 /nfs/dbraw/zinc/19/23/50/818192350.db2.gz NTYHRQCLMMEMFR-JOYOIKCWSA-N -1 1 346.395 -0.971 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCCNc1ncc(F)cn1 ZINC001094499354 818277732 /nfs/dbraw/zinc/27/77/32/818277732.db2.gz VZLONCYAELWDKJ-UHFFFAOYSA-N -1 1 336.327 -0.526 20 0 EBADMM C[C@@H](NC(=O)c1cccc2ncnn21)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001282161119 818370644 /nfs/dbraw/zinc/37/06/44/818370644.db2.gz ONWFYQOTRVAIIG-DTWKUNHWSA-N -1 1 330.352 -0.150 20 0 EBADMM C[C@@H](O)CN1CC(N2C[C@H](NC(=O)c3ncccc3[O-])CC2=O)C1 ZINC001094730579 818380879 /nfs/dbraw/zinc/38/08/79/818380879.db2.gz FKAYOUROJUZJNT-GHMZBOCLSA-N -1 1 334.376 -0.817 20 0 EBADMM CN(C)Cc1cc(C(=O)NCCNC(=O)c2ncccc2[O-])no1 ZINC001282983802 818562973 /nfs/dbraw/zinc/56/29/73/818562973.db2.gz SRFJYIHPWOMHNH-UHFFFAOYSA-N -1 1 333.348 -0.003 20 0 EBADMM Cn1cc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)cn1 ZINC001095160152 818576093 /nfs/dbraw/zinc/57/60/93/818576093.db2.gz HHMAOOZQKUKGPU-WOPDTQHZSA-N -1 1 331.380 -0.623 20 0 EBADMM C[C@@H](C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1)n1cccn1 ZINC001095199644 818582204 /nfs/dbraw/zinc/58/22/04/818582204.db2.gz WGRXERHVPHYBBQ-RNJOBUHISA-N -1 1 345.407 -0.212 20 0 EBADMM Cc1ncncc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001095193431 818582431 /nfs/dbraw/zinc/58/24/31/818582431.db2.gz PKVZPEDQAMKIMH-WXHSDQCUSA-N -1 1 343.391 -0.258 20 0 EBADMM Cc1cc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)nn1C ZINC001095217823 818586627 /nfs/dbraw/zinc/58/66/27/818586627.db2.gz YLXKZFKVSFXXOJ-MDZLAQPJSA-N -1 1 345.407 -0.314 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@H]2CCCOC2)C3)nc1=O ZINC001095230115 818587950 /nfs/dbraw/zinc/58/79/50/818587950.db2.gz YXOITWZSQPBGBQ-RNJOBUHISA-N -1 1 335.408 -0.244 20 0 EBADMM Cc1c(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)nnn1C ZINC001095262514 818593073 /nfs/dbraw/zinc/59/30/73/818593073.db2.gz XNJRHBSOHPBTRY-VWYCJHECSA-N -1 1 346.395 -0.919 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@@H]2CCCCO2)C3)nc1=O ZINC001095322176 818600715 /nfs/dbraw/zinc/60/07/15/818600715.db2.gz URILRAPFDGBLFJ-VOAKCMCISA-N -1 1 335.408 -0.101 20 0 EBADMM Cc1nnc(CC(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)o1 ZINC001095383620 818614893 /nfs/dbraw/zinc/61/48/93/818614893.db2.gz MTCXLOHNEZNFJS-VWYCJHECSA-N -1 1 347.379 -0.736 20 0 EBADMM CCn1nncc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001095385547 818615676 /nfs/dbraw/zinc/61/56/76/818615676.db2.gz IPDUBUXSSYVXOL-VWYCJHECSA-N -1 1 346.395 -0.745 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNC(=O)C[C@@H]1CC[C@H]2C[C@H]21 ZINC001283088257 818639212 /nfs/dbraw/zinc/63/92/12/818639212.db2.gz HUYHEYKGPPDBCN-RWMBFGLXSA-N -1 1 348.403 -0.405 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2cn[nH]c(=O)c2)C3)nc1=O ZINC001095581276 818651270 /nfs/dbraw/zinc/65/12/70/818651270.db2.gz ITDYECVRRZNOJX-VWYCJHECSA-N -1 1 345.363 -0.861 20 0 EBADMM Cc1nccc(N(C)CCCNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001095592998 818654546 /nfs/dbraw/zinc/65/45/46/818654546.db2.gz YMYRJSRMQLYWHR-UHFFFAOYSA-N -1 1 342.363 -0.228 20 0 EBADMM Cc1nc(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C3)n[nH]1 ZINC001095694036 818672085 /nfs/dbraw/zinc/67/20/85/818672085.db2.gz GSYMJRQSMPPKBT-VGMNWLOBSA-N -1 1 346.351 -0.755 20 0 EBADMM Cn1cncc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001095701986 818674726 /nfs/dbraw/zinc/67/47/26/818674726.db2.gz GINCVJMXPJZPNV-VWYCJHECSA-N -1 1 331.380 -0.623 20 0 EBADMM Cc1cnn(C)c1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001095886191 818703757 /nfs/dbraw/zinc/70/37/57/818703757.db2.gz AQDAFBFCLPHEFU-WOPDTQHZSA-N -1 1 345.407 -0.314 20 0 EBADMM CC1CC(C(=O)NCCCNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001283219657 818704201 /nfs/dbraw/zinc/70/42/01/818704201.db2.gz CBXYUGXRZSBFAW-UHFFFAOYSA-N -1 1 336.392 -0.405 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001096183677 818739816 /nfs/dbraw/zinc/73/98/16/818739816.db2.gz QBVKWKCNBYMCER-WOPDTQHZSA-N -1 1 345.407 -0.016 20 0 EBADMM Cc1nonc1CC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001096266495 818763266 /nfs/dbraw/zinc/76/32/66/818763266.db2.gz NBSOHVFAMVDMGW-USWWRNFRSA-N -1 1 347.379 -0.736 20 0 EBADMM Cc1ccc(N2CC[C@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)nn1 ZINC001096403602 818817810 /nfs/dbraw/zinc/81/78/10/818817810.db2.gz VKVCMVIFEHSOIX-LBPRGKRZSA-N -1 1 344.375 -0.580 20 0 EBADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)CCn1cnnn1 ZINC001283529074 818842158 /nfs/dbraw/zinc/84/21/58/818842158.db2.gz MYYGGZDUKWXHGU-UHFFFAOYSA-N -1 1 333.352 -0.558 20 0 EBADMM CCn1ncc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)n1 ZINC001097038953 818931519 /nfs/dbraw/zinc/93/15/19/818931519.db2.gz HEABSMWIRFPODM-FOGDFJRCSA-N -1 1 346.395 -0.745 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1C[C@H](Nc2cc(F)ncn2)C1 ZINC001097045451 818932773 /nfs/dbraw/zinc/93/27/73/818932773.db2.gz HHRZNMSRWRXXJC-MGCOHNPYSA-N -1 1 348.338 -0.385 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1C[C@H](Nc2cnc(F)cn2)C1 ZINC001097045771 818933061 /nfs/dbraw/zinc/93/30/61/818933061.db2.gz MPUMILYSGZKOKL-MGCOHNPYSA-N -1 1 348.338 -0.385 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1C[C@@H](Nc2ncc(F)cn2)C1 ZINC001097201169 818954710 /nfs/dbraw/zinc/95/47/10/818954710.db2.gz ADRHBGYGHMNLOK-PHIMTYICSA-N -1 1 348.338 -0.385 20 0 EBADMM Cn1cncc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001097452605 818993239 /nfs/dbraw/zinc/99/32/39/818993239.db2.gz GINCVJMXPJZPNV-MXWKQRLJSA-N -1 1 331.380 -0.623 20 0 EBADMM O=C(NCC1CC1)C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001283890471 819021076 /nfs/dbraw/zinc/02/10/76/819021076.db2.gz SEMLHHXLAHMGPX-NSHDSACASA-N -1 1 332.360 -0.356 20 0 EBADMM Cc1ncc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)n1C ZINC001097720823 819021167 /nfs/dbraw/zinc/02/11/67/819021167.db2.gz GAXVZNUUGLKRIE-UTUOFQBUSA-N -1 1 345.407 -0.314 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)Nc1cnc(F)cn1 ZINC001097940307 819059013 /nfs/dbraw/zinc/05/90/13/819059013.db2.gz YHCWIZXFKGOEIF-VIFPVBQESA-N -1 1 336.327 -0.527 20 0 EBADMM Cc1cc(N[C@H](C)CNC(=O)c2cc(=O)n3[n-]cnc3n2)ncn1 ZINC001097950645 819062378 /nfs/dbraw/zinc/06/23/78/819062378.db2.gz SYHUTVBMGOCXTM-SECBINFHSA-N -1 1 328.336 -0.254 20 0 EBADMM C[C@@H](O)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cn1[n-]cc3c(=O)ncnc1-3)C2 ZINC001098058515 819083233 /nfs/dbraw/zinc/08/32/33/819083233.db2.gz QLDQMDSDEVWCEZ-WFFHOREQSA-N -1 1 346.391 -0.827 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)c2cccnn2)C3)nc1=O ZINC001098085067 819089113 /nfs/dbraw/zinc/08/91/13/819089113.db2.gz DMEICCLAZYTWGD-JLLWLGSASA-N -1 1 329.364 -0.566 20 0 EBADMM CCOCCC(=O)N[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001284081621 819089897 /nfs/dbraw/zinc/08/98/97/819089897.db2.gz LTWNVDGUCAJNEL-AOOOYVTPSA-N -1 1 348.363 -0.779 20 0 EBADMM CCn1cnc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)c1 ZINC001098227675 819114544 /nfs/dbraw/zinc/11/45/44/819114544.db2.gz CAZCWEGKYVNUHH-WZRBSPASSA-N -1 1 345.407 -0.140 20 0 EBADMM Cc1occc1C(=O)NC[C@@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001284198649 819130535 /nfs/dbraw/zinc/13/05/35/819130535.db2.gz MBZHZFKLLORIMS-SNVBAGLBSA-N -1 1 348.359 -0.237 20 0 EBADMM C[C@@H](CNC(=O)C=C1CCC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001284223440 819137638 /nfs/dbraw/zinc/13/76/38/819137638.db2.gz ZTKRQUMQVGQYGI-NSHDSACASA-N -1 1 334.376 -0.342 20 0 EBADMM C[C@H](CNC(=O)C=C1CCC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001284223437 819137643 /nfs/dbraw/zinc/13/76/43/819137643.db2.gz ZTKRQUMQVGQYGI-LLVKDONJSA-N -1 1 334.376 -0.342 20 0 EBADMM O=C(Cc1cnc[nH]1)N1CCC(N(CCO)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001098488339 819214121 /nfs/dbraw/zinc/21/41/21/819214121.db2.gz SCTMLSGFQOXOMJ-UHFFFAOYSA-N -1 1 349.395 -0.739 20 0 EBADMM Cc1conc1C(=O)NCCN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001284588809 819297951 /nfs/dbraw/zinc/29/79/51/819297951.db2.gz RQGDGLANVFHNQM-UHFFFAOYSA-N -1 1 345.319 -0.784 20 0 EBADMM CC(C)CCC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001099663855 819550811 /nfs/dbraw/zinc/55/08/11/819550811.db2.gz UDRNCCUXQUBDFS-NEPJUHHUSA-N -1 1 325.413 -0.404 20 0 EBADMM C/C(=C/C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O)C1CC1 ZINC001099715211 819613626 /nfs/dbraw/zinc/61/36/26/819613626.db2.gz FAQZPYMAYWOJLD-JSQQBDPTSA-N -1 1 335.408 -0.484 20 0 EBADMM Cc1nnc(CC(=O)NCC=CCNC(=O)c2ncccc2[O-])[nH]1 ZINC001285529797 819645182 /nfs/dbraw/zinc/64/51/82/819645182.db2.gz IVZQFIYGIPDFNE-NSCUHMNNSA-N -1 1 330.348 -0.141 20 0 EBADMM Cc1nc(CC(=O)NCC=CCNC(=O)c2ncccc2[O-])n[nH]1 ZINC001285529797 819645190 /nfs/dbraw/zinc/64/51/90/819645190.db2.gz IVZQFIYGIPDFNE-NSCUHMNNSA-N -1 1 330.348 -0.141 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)c1ccccc1 ZINC001285621256 819697164 /nfs/dbraw/zinc/69/71/64/819697164.db2.gz YNENMGOQRRDBPZ-GFCCVEGCSA-N -1 1 344.371 -0.139 20 0 EBADMM C[C@H](CNC(=O)[C@@H]1CC1(F)F)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285671020 819717323 /nfs/dbraw/zinc/71/73/23/819717323.db2.gz YRMFEJTZQAWQPP-BDAKNGLRSA-N -1 1 344.318 -0.797 20 0 EBADMM CC(F)(F)C(=O)NC[C@@H]1CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001285824442 819778838 /nfs/dbraw/zinc/77/88/38/819778838.db2.gz QNYRURIKDGJUFT-VIFPVBQESA-N -1 1 344.318 -0.701 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C3(CCF)CC3)[C@H](O)C2)nc1=O ZINC001099933735 819934820 /nfs/dbraw/zinc/93/48/20/819934820.db2.gz TVTBBIJRSQTKED-WDEREUQCSA-N -1 1 341.387 -0.700 20 0 EBADMM CCC1(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)CCC1 ZINC001099965472 819969019 /nfs/dbraw/zinc/96/90/19/819969019.db2.gz XOFUNJBVZHNNAU-NEPJUHHUSA-N -1 1 337.424 -0.260 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)CCC3(C)CC3)[C@@H](O)C2)nc1=O ZINC001100060207 820142382 /nfs/dbraw/zinc/14/23/82/820142382.db2.gz OLJDXLKSNOPHHZ-RYUDHWBXSA-N -1 1 337.424 -0.260 20 0 EBADMM CCC(=O)N1CCC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)[C@H]1C ZINC001288011006 820211907 /nfs/dbraw/zinc/21/19/07/820211907.db2.gz SEFUXWKRTMHXDT-VXGBXAGGSA-N -1 1 336.392 -0.168 20 0 EBADMM CN(C[C@H]1CCN1C(=O)C(=O)NCC1CC1)C(=O)c1ncccc1[O-] ZINC001289955338 820429220 /nfs/dbraw/zinc/42/92/20/820429220.db2.gz MFGLTMUWECQDIK-GFCCVEGCSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)C(=O)NCC1CC1)C(=O)c1ncccc1[O-] ZINC001289955340 820429473 /nfs/dbraw/zinc/42/94/73/820429473.db2.gz MFGLTMUWECQDIK-LBPRGKRZSA-N -1 1 346.387 -0.014 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)CCN1C(=O)C1CC1 ZINC001290443331 820464644 /nfs/dbraw/zinc/46/46/44/820464644.db2.gz GHCWAZMBOPWJFY-QWHCGFSZSA-N -1 1 348.403 -0.168 20 0 EBADMM CC(C)SCC(=O)NCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001292519259 820550080 /nfs/dbraw/zinc/55/00/80/820550080.db2.gz QZFQYXWZRPYOGQ-UHFFFAOYSA-N -1 1 342.421 -0.699 20 0 EBADMM C[C@H]1CC[C@H](C(=O)NCCNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001292736662 820598345 /nfs/dbraw/zinc/59/83/45/820598345.db2.gz CPYKOJJEXWYRAJ-RYUDHWBXSA-N -1 1 336.392 -0.405 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001294773789 820997218 /nfs/dbraw/zinc/99/72/18/820997218.db2.gz PLQMRMKTRFOWSE-SRVKXCTJSA-N -1 1 334.376 -0.700 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@@H](NC(=O)C(F)F)C1CC1 ZINC001294912824 821023069 /nfs/dbraw/zinc/02/30/69/821023069.db2.gz LGNLOISIGAVKJR-SECBINFHSA-N -1 1 344.318 -0.797 20 0 EBADMM CNC(=O)C1(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001295022462 821036727 /nfs/dbraw/zinc/03/67/27/821036727.db2.gz BBXZLIJCAPNPTQ-JTQLQIEISA-N -1 1 332.360 -0.356 20 0 EBADMM CC[C@@H](C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001295354558 821083430 /nfs/dbraw/zinc/08/34/30/821083430.db2.gz DISCFZCWHWAQJC-NMKXLXIOSA-N -1 1 348.403 -0.454 20 0 EBADMM Cc1ccn(CC(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C)n1 ZINC001102386551 821086181 /nfs/dbraw/zinc/08/61/81/821086181.db2.gz PKSAYESPZUFJSF-ZYHUDNBSSA-N -1 1 333.396 -0.100 20 0 EBADMM C[C@H](CNC(=O)C[C@H]1C=CCC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001295413893 821091096 /nfs/dbraw/zinc/09/10/96/821091096.db2.gz CPAVDDOJNCODRD-OLZOCXBDSA-N -1 1 348.403 -0.096 20 0 EBADMM Cc1ccc(S(=O)(=O)NCCCC(=O)[N-]OCC(N)=O)cc1C ZINC001295742137 821164544 /nfs/dbraw/zinc/16/45/44/821164544.db2.gz LSPCGCPXWKRVIQ-UHFFFAOYSA-N -1 1 343.405 -0.105 20 0 EBADMM C[C@@H](C(=O)N1CC(NC(=O)CCn2cc[n-]c(=O)c2=O)C1)C1CC1 ZINC001297097570 821321841 /nfs/dbraw/zinc/32/18/41/821321841.db2.gz OIUABKGKNATLLX-SNVBAGLBSA-N -1 1 334.376 -0.700 20 0 EBADMM CC[C@H](CNC(=O)[C@H]1C[C@@H]1C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001297469286 821378001 /nfs/dbraw/zinc/37/80/01/821378001.db2.gz LWTLQHFDGFFWCH-TUAOUCFPSA-N -1 1 336.392 -0.406 20 0 EBADMM Cc1nccc(NC[C@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001104017810 821387411 /nfs/dbraw/zinc/38/74/11/821387411.db2.gz UTFDQAFANJTFJV-NSHDSACASA-N -1 1 346.391 -0.110 20 0 EBADMM C[C@@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)CNc1ncccn1 ZINC001104036273 821392186 /nfs/dbraw/zinc/39/21/86/821392186.db2.gz FJSRJQBGTYYZCW-VIFPVBQESA-N -1 1 328.336 -0.314 20 0 EBADMM CNC(=O)C1(C(=O)NC[C@H](C)CNC(=O)c2ncccc2[O-])CC1 ZINC001297745170 821410644 /nfs/dbraw/zinc/41/06/44/821410644.db2.gz XRGTZGXJCKMLKC-SNVBAGLBSA-N -1 1 334.376 -0.205 20 0 EBADMM COCCOCC(=O)N(C)CCN(C)C(=O)c1ncccc1[O-] ZINC001298052115 821439930 /nfs/dbraw/zinc/43/99/30/821439930.db2.gz ZZJZXGFHIZVYLJ-UHFFFAOYSA-N -1 1 325.365 -0.019 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001104557668 821510511 /nfs/dbraw/zinc/51/05/11/821510511.db2.gz QVEIEWOWHAVIPE-SSKLVLDBSA-N -1 1 335.408 -0.023 20 0 EBADMM C[C@@H](CNC(=O)[C@@]1(C)C=CCC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001298825254 821604558 /nfs/dbraw/zinc/60/45/58/821604558.db2.gz JJFRZUZCPQGFIO-SJCJKPOMSA-N -1 1 348.403 -0.096 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CC12CCC2)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001298826094 821605193 /nfs/dbraw/zinc/60/51/93/821605193.db2.gz RWTWXTZMAXAOOQ-NWDGAFQWSA-N -1 1 348.403 -0.262 20 0 EBADMM O=C(CN1C(=O)CCC1=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001299026434 821628044 /nfs/dbraw/zinc/62/80/44/821628044.db2.gz YXZJJEQWDJEBCS-JTQLQIEISA-N -1 1 346.343 -0.733 20 0 EBADMM CN(CCN(C)c1nccnc1F)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001105428331 821748618 /nfs/dbraw/zinc/74/86/18/821748618.db2.gz HKEITLRTXWXLGV-UHFFFAOYSA-N -1 1 346.326 -0.445 20 0 EBADMM C[C@H](C(=O)N1CC[C@H](c2nn[n-]n2)C1)N1C(=O)NC2(CCCC2)C1=O ZINC001302935500 821753008 /nfs/dbraw/zinc/75/30/08/821753008.db2.gz ABNUJDDBNRKHMS-ZJUUUORDSA-N -1 1 347.379 -0.231 20 0 EBADMM COC[C@H](NC(=O)[C@H]1CC[C@H](C(F)(F)F)NC1=O)c1nn[n-]n1 ZINC001303578599 821757493 /nfs/dbraw/zinc/75/74/93/821757493.db2.gz POLBFBDANJHIJB-DSYKOEDSSA-N -1 1 336.274 -0.540 20 0 EBADMM Cn1c2cc(NC(=O)CCCc3nn[n-]n3)ccc2c(=O)n(C)c1=O ZINC001303876776 821760594 /nfs/dbraw/zinc/76/05/94/821760594.db2.gz ITKAZWJFTNCUTI-UHFFFAOYSA-N -1 1 343.347 -0.288 20 0 EBADMM CSc1ncc(C(=O)NCCCn2ccc(=O)[nH]c2=O)c(=O)[n-]1 ZINC001304768815 821764205 /nfs/dbraw/zinc/76/42/05/821764205.db2.gz UZNWXPJWZNORCP-UHFFFAOYSA-N -1 1 337.361 -0.014 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)CN2CCN(c3nc[nH]n3)CC2)cc1 ZINC001307886003 821771942 /nfs/dbraw/zinc/77/19/42/821771942.db2.gz AVMGRJUWZMNPAN-UHFFFAOYSA-N -1 1 344.375 -0.108 20 0 EBADMM CCN(CCCNC(=O)c1cccc(=O)n1C)Cc1n[nH]c(=O)[n-]1 ZINC001316901107 821910539 /nfs/dbraw/zinc/91/05/39/821910539.db2.gz ZFOQBOQQDPDOKF-UHFFFAOYSA-N -1 1 334.380 -0.149 20 0 EBADMM O=C(N[C@H]1CCN(CCOCC(F)F)C1)c1n[nH]c(=O)[n-]c1=O ZINC001316981343 821959245 /nfs/dbraw/zinc/95/92/45/821959245.db2.gz UBOZXPXNWKAOKW-ZETCQYMHSA-N -1 1 333.295 -0.631 20 0 EBADMM CN(CCNC(=O)c1nncc2ccccc21)Cc1nc(=O)n(C)[n-]1 ZINC001317450017 822113217 /nfs/dbraw/zinc/11/32/17/822113217.db2.gz ABUSMPCKCMBQGH-UHFFFAOYSA-N -1 1 341.375 -0.087 20 0 EBADMM CN(CCNC(=O)c1c[nH]nc1-c1ccoc1)Cc1nc(=O)n(C)[n-]1 ZINC001317454526 822115397 /nfs/dbraw/zinc/11/53/97/822115397.db2.gz KIEJOILEBGEDTE-UHFFFAOYSA-N -1 1 345.363 -0.047 20 0 EBADMM CCN(CCNc1ncccn1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001106800364 822333686 /nfs/dbraw/zinc/33/36/86/822333686.db2.gz SJSCYIUOAGXYFE-UHFFFAOYSA-N -1 1 328.336 -0.218 20 0 EBADMM C[C@@](CNCc1n[nH]c(=O)[n-]1)(NC(=O)[C@@H]1CCCNC1=O)C1CC1 ZINC001318701553 822354297 /nfs/dbraw/zinc/35/42/97/822354297.db2.gz KZAXCIRGPJJOSK-BMIGLBTASA-N -1 1 336.396 -0.589 20 0 EBADMM O=S(=O)([N-]C(CO)(CO)CO)c1ccc(Cl)nc1Cl ZINC001187979936 833989652 /nfs/dbraw/zinc/98/96/52/833989652.db2.gz ORYDYRLRUUTHOT-UHFFFAOYSA-N -1 1 331.177 -0.618 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@](C)(CNC(=O)C(C)(F)F)C2)nc1=O ZINC001107685890 823904829 /nfs/dbraw/zinc/90/48/29/823904829.db2.gz PFKKKWSXZWXAFO-LBPRGKRZSA-N -1 1 333.339 -0.529 20 0 EBADMM Cn1[n-]c(CN2CCO[C@](C)(CNC(=O)c3ccc[nH]3)C2)nc1=O ZINC001107734290 823930826 /nfs/dbraw/zinc/93/08/26/823930826.db2.gz ABOYVMSGFVKZPK-OAHLLOKOSA-N -1 1 334.380 -0.543 20 0 EBADMM CS[C@@H](C)C(=O)NC[C@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107764178 823947209 /nfs/dbraw/zinc/94/72/09/823947209.db2.gz SUSOXHBOTWHWMF-IINYFYTJSA-N -1 1 343.453 -0.433 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cnn(-c2cccnc2)c1 ZINC001129328106 828597971 /nfs/dbraw/zinc/59/79/71/828597971.db2.gz LXLBAPYIKXNVEO-UHFFFAOYSA-N -1 1 328.336 -0.389 20 0 EBADMM COC[C@H](C)C(=O)NC[C@@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107811946 823975568 /nfs/dbraw/zinc/97/55/68/823975568.db2.gz VPRIVFCHQWEWJY-NHYWBVRUSA-N -1 1 341.412 -0.902 20 0 EBADMM C[C@H](CNc1cnc(F)cn1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001107837640 823994923 /nfs/dbraw/zinc/99/49/23/823994923.db2.gz SJNJQNIWLMLYEZ-SECBINFHSA-N -1 1 336.327 -0.527 20 0 EBADMM Cn1[n-]c(CN2CCO[C@](C)(CNC(=O)[C@H]3CC3(F)F)C2)nc1=O ZINC001107921567 824042350 /nfs/dbraw/zinc/04/23/50/824042350.db2.gz AGKUWERXSNHCBK-NOZJJQNGSA-N -1 1 345.350 -0.529 20 0 EBADMM C[C@H](F)CCN1CCO[C@@](C)(CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001108051837 824106984 /nfs/dbraw/zinc/10/69/84/824106984.db2.gz PYNKCEVLFXIFTQ-XPTSAGLGSA-N -1 1 343.359 -0.148 20 0 EBADMM CC[C@@H](F)C(=O)NC[C@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001108121985 824134718 /nfs/dbraw/zinc/13/47/18/824134718.db2.gz KTCCGEHXNMCPSH-QMTHXVAHSA-N -1 1 329.376 -0.436 20 0 EBADMM C[C@@H](CNc1ncc(F)cn1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001108445402 824289387 /nfs/dbraw/zinc/28/93/87/824289387.db2.gz TYZGSJVMXCKFEL-VIFPVBQESA-N -1 1 336.327 -0.527 20 0 EBADMM C[C@@H](CN(C)c1nccnc1F)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001109039070 824474602 /nfs/dbraw/zinc/47/46/02/824474602.db2.gz GXGFDTORPGIXKD-QMMMGPOBSA-N -1 1 346.326 -0.399 20 0 EBADMM Cc1nccc(N(C)C[C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001109039010 824474666 /nfs/dbraw/zinc/47/46/66/824474666.db2.gz FOBCNMJZNXVKGG-VIFPVBQESA-N -1 1 342.363 -0.229 20 0 EBADMM C[C@H](C(=O)NC(N)=O)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncccc1[O-])C2 ZINC001109185797 824499884 /nfs/dbraw/zinc/49/98/84/824499884.db2.gz LDBVGSIPOPYPOY-ZNSHCXBVSA-N -1 1 347.375 -0.294 20 0 EBADMM O=C(NCC1(Nc2ccc3nnnn3n2)CC1)c1ncccc1[O-] ZINC001110098150 824655017 /nfs/dbraw/zinc/65/50/17/824655017.db2.gz VMWUADIZEZUZTM-UHFFFAOYSA-N -1 1 326.320 -0.006 20 0 EBADMM Cc1ccnc(NC2(CNC(=O)CCn3cc[n-]c(=O)c3=O)CC2)n1 ZINC001110180256 824672808 /nfs/dbraw/zinc/67/28/08/824672808.db2.gz AAAIYTGVHRHEDI-UHFFFAOYSA-N -1 1 344.375 -0.214 20 0 EBADMM Cc1ccnc(NC2(CNC(=O)c3cc(=O)n4[n-]cnc4n3)CC2)n1 ZINC001110182777 824672953 /nfs/dbraw/zinc/67/29/53/824672953.db2.gz ZSVDMDKPRNJTBK-UHFFFAOYSA-N -1 1 340.347 -0.109 20 0 EBADMM COC[C@@H](C)[C@@H](C)C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001112944674 825700728 /nfs/dbraw/zinc/70/07/28/825700728.db2.gz JKJJCTKYMZCHFA-VXGBXAGGSA-N -1 1 325.413 -0.329 20 0 EBADMM Cc1nccc(N(C)[C@@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001113655977 825967334 /nfs/dbraw/zinc/96/73/34/825967334.db2.gz VOJTVKUOCOIAOJ-NSHDSACASA-N -1 1 346.391 -0.334 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)CCCn3ccnc3)CC2)nc1=O ZINC001113722028 825995509 /nfs/dbraw/zinc/99/55/09/825995509.db2.gz JRQSCZWTJFWZSQ-UHFFFAOYSA-N -1 1 333.396 -0.571 20 0 EBADMM CCO[C@H](C)C(=O)N[C@@H]1[C@H]2CN(Cc3cc(=O)n4[n-]ccc4n3)C[C@H]21 ZINC001113919708 826073201 /nfs/dbraw/zinc/07/32/01/826073201.db2.gz LPBFKCPYJFQKLD-KBNOKHGBSA-N -1 1 345.403 -0.006 20 0 EBADMM CC(C)CO[C@@H](C)C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001113966195 826098765 /nfs/dbraw/zinc/09/87/65/826098765.db2.gz UFXNUWURXTWHNL-CIQGVGRVSA-N -1 1 337.424 -0.284 20 0 EBADMM CC(C)(O)CC(=O)N[C@@H]1[C@H]2CN(Cc3cc(=O)n4[n-]ccc4n3)C[C@H]21 ZINC001114214947 826174368 /nfs/dbraw/zinc/17/43/68/826174368.db2.gz NKDREMRNNPJPKW-ATCWAGBWSA-N -1 1 345.403 -0.270 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CCOCC(F)F)nc1=O ZINC001114290372 826196251 /nfs/dbraw/zinc/19/62/51/826196251.db2.gz HAPGITYIMCQEET-WOFXILAISA-N -1 1 345.350 -0.673 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CNC(=O)c1ccco1 ZINC001180062234 833039078 /nfs/dbraw/zinc/03/90/78/833039078.db2.gz LSBZTYZXRCAETG-UWVGGRQHSA-N -1 1 348.363 -0.388 20 0 EBADMM C[C@@H](Cc1cnc[nH]1)C(=O)N[C@@H]1[C@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001114956681 826381884 /nfs/dbraw/zinc/38/18/84/826381884.db2.gz MOTJZELNTCYGAE-MVVXRAEJSA-N -1 1 331.380 -0.341 20 0 EBADMM Cn1cncc1CCC(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001115190415 826445211 /nfs/dbraw/zinc/44/52/11/826445211.db2.gz PGFOXQSSFKXSAX-JYAVWHMHSA-N -1 1 345.407 -0.979 20 0 EBADMM CN(C)c1nc(NC(=O)[C@@H]2CC(=O)N(C)C(=O)N2)c(N=O)c(=O)[n-]1 ZINC001181129987 833134974 /nfs/dbraw/zinc/13/49/74/833134974.db2.gz ZNVVTHQVBZITFI-YFKPBYRVSA-N -1 1 337.296 -0.475 20 0 EBADMM C[C@@H](O)CN(C)C(=O)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC001119032726 827051413 /nfs/dbraw/zinc/05/14/13/827051413.db2.gz DXXAFEPOZRSQSI-PELKAZGASA-N -1 1 339.314 -0.505 20 0 EBADMM COC(=O)CCc1cccc(NC(=O)C(=O)NN2CC(=O)[N-]C2=O)c1 ZINC001119437957 827109359 /nfs/dbraw/zinc/10/93/59/827109359.db2.gz CLKGHBQSGNKUTN-UHFFFAOYSA-N -1 1 348.315 -0.686 20 0 EBADMM COC(=O)C[C@H](C)N(OC)C(=O)CNC(=O)c1ncccc1[O-] ZINC001181549272 833164723 /nfs/dbraw/zinc/16/47/23/833164723.db2.gz QEYQAZOZVAAQMW-VIFPVBQESA-N -1 1 325.321 -0.141 20 0 EBADMM Cn1cnc2c1ncnc2N[C@H](CO)CNC(=O)c1ncccc1[O-] ZINC001121339559 827346873 /nfs/dbraw/zinc/34/68/73/827346873.db2.gz LVIWKKWSMYWAJP-VIFPVBQESA-N -1 1 343.347 -0.333 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2CCS[C@@H](C(F)(F)F)C2)CNCCO1 ZINC001122165727 827533674 /nfs/dbraw/zinc/53/36/74/827533674.db2.gz CBEFRAVPQIBIBG-GMSGAONNSA-N -1 1 328.312 -0.064 20 0 EBADMM O=C([O-])[C@]1(C(=O)N[C@@H]2COc3c(F)cc(F)cc3C2)CNCCO1 ZINC001122465875 827600001 /nfs/dbraw/zinc/60/00/01/827600001.db2.gz FQEYLRMLWFSWAO-ZUZCIYMTSA-N -1 1 342.298 -0.172 20 0 EBADMM CN(C[C@H](O)CNc1nccn2nnnc12)C(=O)c1ncccc1[O-] ZINC001124329635 827815849 /nfs/dbraw/zinc/81/58/49/827815849.db2.gz NSDBZVVOUBFKFE-SECBINFHSA-N -1 1 344.335 -0.835 20 0 EBADMM O=C(CNC(=O)C1CCCC1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001182781154 833258794 /nfs/dbraw/zinc/25/87/94/833258794.db2.gz DTWYNDCVAUCSGN-LLVKDONJSA-N -1 1 336.396 -0.493 20 0 EBADMM COCC1(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CCOCC1 ZINC001183094010 833280338 /nfs/dbraw/zinc/28/03/38/833280338.db2.gz HNPUTBHAMCVUDI-LLVKDONJSA-N -1 1 339.396 -0.356 20 0 EBADMM Cc1cc(COCC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)no1 ZINC001183562744 833295301 /nfs/dbraw/zinc/29/53/01/833295301.db2.gz QLBVFXSPXSSIGR-SNVBAGLBSA-N -1 1 336.352 -0.286 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H](NC(C)=O)[C@@H](C)O)c1 ZINC001183700951 833302435 /nfs/dbraw/zinc/30/24/35/833302435.db2.gz XKGKYTPWICFXSK-KRTXAFLBSA-N -1 1 345.377 -0.876 20 0 EBADMM O=C(C[C@@H]1NC(=O)CNC1=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001183727352 833303804 /nfs/dbraw/zinc/30/38/04/833303804.db2.gz RTYCXXMZIPBJFO-NSHDSACASA-N -1 1 329.316 -0.479 20 0 EBADMM C[C@@H]1NC(=O)[C@H](CCC(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)NC1=O ZINC001184065331 833319077 /nfs/dbraw/zinc/31/90/77/833319077.db2.gz ZKUALNOGOIWXIP-WHFBIAKZSA-N -1 1 338.280 -0.993 20 0 EBADMM Cc1cnc(CNCCNC(=O)CCCn2c(=O)[n-][nH]c2=O)cn1 ZINC001130556816 828883216 /nfs/dbraw/zinc/88/32/16/828883216.db2.gz ZJSSKKNJBUXGMG-UHFFFAOYSA-N -1 1 335.368 -0.526 20 0 EBADMM CC(C)C[C@@H]1C[C@@H](C(=O)NCCNCc2n[nH]c(=O)[n-]2)CC(=O)N1 ZINC001130661607 828908878 /nfs/dbraw/zinc/90/88/78/828908878.db2.gz OVNQCKKLBAJDGK-GHMZBOCLSA-N -1 1 338.412 -0.343 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cnc2ccccc2c1O ZINC001130737095 828924844 /nfs/dbraw/zinc/92/48/44/828924844.db2.gz XEVOGDAFHNJMHH-UHFFFAOYSA-N -1 1 328.332 -0.129 20 0 EBADMM COC[C@@]1(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CCOC1 ZINC001184639292 833336431 /nfs/dbraw/zinc/33/64/31/833336431.db2.gz ZFIMLQRLTPJSEW-YGRLFVJLSA-N -1 1 325.369 -0.746 20 0 EBADMM C[C@H](NC(=O)C(C)(C)C)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001184763436 833339241 /nfs/dbraw/zinc/33/92/41/833339241.db2.gz WMLLPLOMCDTCMK-VHSXEESVSA-N -1 1 338.412 -0.248 20 0 EBADMM CCOC(=O)c1sc(S(=O)(=O)N[C@@H]2CO[N-]C2=O)nc1C ZINC001184977596 833344450 /nfs/dbraw/zinc/34/44/50/833344450.db2.gz ICHBKMGNOVMQIZ-ZCFIWIBFSA-N -1 1 335.363 -0.663 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)NCCCNS(C)(=O)=O)c1 ZINC001185205731 833349734 /nfs/dbraw/zinc/34/97/34/833349734.db2.gz SHCCSPYFROKPIF-UHFFFAOYSA-N -1 1 338.407 -0.382 20 0 EBADMM CCOC(=O)N[C@@H](CC(N)=O)C(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC001185859472 833372203 /nfs/dbraw/zinc/37/22/03/833372203.db2.gz XKWFKYUCDXAXSG-BYPYZUCNSA-N -1 1 338.246 -0.248 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)CCn2cncn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001131811869 829225843 /nfs/dbraw/zinc/22/58/43/829225843.db2.gz DPSNVHODSNEFIS-VXGBXAGGSA-N -1 1 348.411 -0.741 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2ncccc2[O-])CN1CC(=O)NC(N)=O ZINC001131971992 829286799 /nfs/dbraw/zinc/28/67/99/829286799.db2.gz OCGKSYSCUOTXCE-VHSXEESVSA-N -1 1 335.364 -0.435 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)CC(C)(C)O)CN1Cc1nc(=O)n(C)[n-]1 ZINC001132008978 829301306 /nfs/dbraw/zinc/30/13/06/829301306.db2.gz DSCQBDSOKMDNBQ-QWRGUYRKSA-N -1 1 325.413 -0.262 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cnnn1-c1ccccc1 ZINC001134483590 829775915 /nfs/dbraw/zinc/77/59/15/829775915.db2.gz XZPNOWNISHXHRN-UHFFFAOYSA-N -1 1 328.336 -0.389 20 0 EBADMM CCCS(=O)(=O)CC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001211132824 837397574 /nfs/dbraw/zinc/39/75/74/837397574.db2.gz JYTWYRLMNMLZEG-SNVBAGLBSA-N -1 1 345.425 -0.584 20 0 EBADMM C[C@H](C[C@H](C)NCc1cnn(C)n1)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001134863643 829860000 /nfs/dbraw/zinc/86/00/00/829860000.db2.gz KVVMCFZOTXJJSR-JGVFFNPUSA-N -1 1 336.356 -0.902 20 0 EBADMM C[C@@H](C[C@H](C)NC(=O)c1n[nH]c(=O)[n-]c1=O)NCc1cn(C)nn1 ZINC001134868998 829861723 /nfs/dbraw/zinc/86/17/23/829861723.db2.gz UZLFFJNTYKWDSF-YUMQZZPRSA-N -1 1 336.356 -0.902 20 0 EBADMM C[C@H](C[C@H](C)NCc1n[nH]c(=O)[n-]1)NC(=O)c1cn(C)ccc1=O ZINC001134951324 829878209 /nfs/dbraw/zinc/87/82/09/829878209.db2.gz PVKYLJJMUKAUPD-VHSXEESVSA-N -1 1 334.380 -0.104 20 0 EBADMM Cc1[nH]nc2nc(C)cc(C(=O)NCCNCc3n[nH]c(=O)[n-]3)c12 ZINC001135293049 829939428 /nfs/dbraw/zinc/93/94/28/829939428.db2.gz LQFVRQFOHOWSAR-UHFFFAOYSA-N -1 1 330.352 -0.082 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNCC(F)(F)C(F)F ZINC001135391670 829962019 /nfs/dbraw/zinc/96/20/19/829962019.db2.gz YJPRZFFFUCVIKM-UHFFFAOYSA-N -1 1 340.277 -0.467 20 0 EBADMM COc1ccc2c(C(=O)NCCNCc3n[nH]c(=O)[n-]3)cnn2c1 ZINC001135412260 829964694 /nfs/dbraw/zinc/96/46/94/829964694.db2.gz RGVFZYOMNZCKEI-UHFFFAOYSA-N -1 1 331.336 -0.314 20 0 EBADMM O=C(CNC(=O)C1CCC1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001211257017 837416854 /nfs/dbraw/zinc/41/68/54/837416854.db2.gz OHMBOUGMMZJRLX-NSHDSACASA-N -1 1 336.396 -0.493 20 0 EBADMM CC(C)S(=O)(=O)CC(=O)N[C@H](C)C[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001146440397 830200638 /nfs/dbraw/zinc/20/06/38/830200638.db2.gz GGBYEONORATNNN-NXEZZACHSA-N -1 1 347.441 -0.294 20 0 EBADMM CC(C)c1nnc(CNCCNC(=O)c2cc(=O)n3[n-]cnc3n2)o1 ZINC001147704864 830396993 /nfs/dbraw/zinc/39/69/93/830396993.db2.gz BHRPVTUUOGDWTM-UHFFFAOYSA-N -1 1 346.351 -0.556 20 0 EBADMM NC(=O)C1=NO[C@H](C[N-]S(=O)(=O)c2ccc(Cl)nc2F)C1 ZINC001211548658 837449277 /nfs/dbraw/zinc/44/92/77/837449277.db2.gz CJMZSBAXLVAIBW-YFKPBYRVSA-N -1 1 336.732 -0.217 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)/C=C\c1ccco1 ZINC001211779277 837463116 /nfs/dbraw/zinc/46/31/16/837463116.db2.gz CSSNUXVZIOSLQM-NTQVKLLNSA-N -1 1 347.375 -0.270 20 0 EBADMM O=C(Nc1ncnc2n[nH]nc21)c1cc(S(=O)(=O)[O-])ccc1O ZINC001148914746 830554263 /nfs/dbraw/zinc/55/42/63/830554263.db2.gz DSUJDDNMFLVVRG-UHFFFAOYSA-N -1 1 336.289 -0.048 20 0 EBADMM NC(=O)c1nccnc1NC(=O)c1cc(S(=O)(=O)[O-])ccc1O ZINC001148915582 830554783 /nfs/dbraw/zinc/55/47/83/830554783.db2.gz TVOKDKOQDFBJON-UHFFFAOYSA-N -1 1 338.301 -0.220 20 0 EBADMM CN1C(=O)C[C@@H]([N-]S(=O)(=O)Cc2noc3c2CCCC3)C1=O ZINC001211831918 837474227 /nfs/dbraw/zinc/47/42/27/837474227.db2.gz QAJFFHNPFBYKBF-SECBINFHSA-N -1 1 327.362 -0.270 20 0 EBADMM COC(=O)c1cn(CC[N-]S(=O)(=O)c2ccc(F)nc2F)nn1 ZINC001211834697 837476215 /nfs/dbraw/zinc/47/62/15/837476215.db2.gz YFSRQWLZHLXLIH-UHFFFAOYSA-N -1 1 347.303 -0.284 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)[C@H]1CCCN1CC(F)(F)F ZINC001152474113 831167039 /nfs/dbraw/zinc/16/70/39/831167039.db2.gz ZZGLRUORZKPMLH-MRVPVSSYSA-N -1 1 336.318 -0.257 20 0 EBADMM CCN(Cc1cc(=O)n2[n-]ccc2n1)[C@H](C)CNC(=O)CC(N)=O ZINC001152500372 831167565 /nfs/dbraw/zinc/16/75/65/831167565.db2.gz SPLQJABCMUGMAO-SNVBAGLBSA-N -1 1 334.380 -0.775 20 0 EBADMM CNC(=O)Nc1ccc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)cc1 ZINC001152951058 831199409 /nfs/dbraw/zinc/19/94/09/831199409.db2.gz WMHKKRAJVSUIBI-UHFFFAOYSA-N -1 1 333.352 -0.219 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCc1nnc2n1CCNC2=O ZINC001153543527 831243801 /nfs/dbraw/zinc/24/38/01/831243801.db2.gz UNZSXGSQPVGUFI-UHFFFAOYSA-N -1 1 335.349 -0.956 20 0 EBADMM COC[C@H](NC(=O)c1nn(C)c(=O)c2ccccc21)c1nn[n-]n1 ZINC001155068399 831383376 /nfs/dbraw/zinc/38/33/76/831383376.db2.gz HLSFJZLXESKTKF-JTQLQIEISA-N -1 1 329.320 -0.436 20 0 EBADMM CCC[C@H](C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1OC ZINC001212052801 837529931 /nfs/dbraw/zinc/52/99/31/837529931.db2.gz DPOGOQRUHGYGDI-QJPTWQEYSA-N -1 1 325.413 -0.140 20 0 EBADMM Cc1nc2ccnn2cc1C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001155521819 831411729 /nfs/dbraw/zinc/41/17/29/831411729.db2.gz YSOFBLYRQBUKFX-UHFFFAOYSA-N -1 1 328.336 -0.325 20 0 EBADMM CNS(=O)(=O)N1CCN(C(=O)c2c(C)[nH]c(=O)[n-]c2=S)CC1 ZINC001157729022 831588430 /nfs/dbraw/zinc/58/84/30/831588430.db2.gz VSYYHVREKHWZAB-UHFFFAOYSA-N -1 1 347.422 -0.999 20 0 EBADMM Cn1[n-]c(CN2CC=C(CCNC(=O)[C@@H]3CCCO3)CC2)nc1=O ZINC001159177544 831713347 /nfs/dbraw/zinc/71/33/47/831713347.db2.gz PJPSWLIJDMPOIE-ZDUSSCGKSA-N -1 1 335.408 -0.074 20 0 EBADMM Cn1[n-]c(CN2CC=C(CCNC(=O)Cn3cccn3)CC2)nc1=O ZINC001159252366 831730224 /nfs/dbraw/zinc/73/02/24/831730224.db2.gz DOYQAAJQULGKHC-UHFFFAOYSA-N -1 1 345.407 -0.357 20 0 EBADMM CCn1nncc1C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001164451943 832188513 /nfs/dbraw/zinc/18/85/13/832188513.db2.gz MFWBVZBEAKHLMM-UHFFFAOYSA-N -1 1 334.384 -0.188 20 0 EBADMM COc1cc(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)ncn1 ZINC001164550093 832196648 /nfs/dbraw/zinc/19/66/48/832196648.db2.gz MWQWKRICVJGPMN-UHFFFAOYSA-N -1 1 347.379 -0.001 20 0 EBADMM Cn1ncnc1CNCCCNC(=O)c1c[n-]n2c1nccc2=O ZINC001167783842 832372483 /nfs/dbraw/zinc/37/24/83/832372483.db2.gz QTVMBLYTOXBIAF-UHFFFAOYSA-N -1 1 330.352 -0.939 20 0 EBADMM CN1CC[C@@H](C(=O)NC[C@@H](NC(=O)c2ncccc2[O-])C2CC2)C1=O ZINC001353181439 832413658 /nfs/dbraw/zinc/41/36/58/832413658.db2.gz PCIWBNYNPOOPQD-NWDGAFQWSA-N -1 1 346.387 -0.110 20 0 EBADMM C/C=C(/C)C(=O)N[C@@H]1[C@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@H]21 ZINC001354067586 832460448 /nfs/dbraw/zinc/46/04/48/832460448.db2.gz GPKNWXLMTHEWRI-RENUBTGMSA-N -1 1 346.387 -0.534 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C(=O)N1CC[C@@H](C)C1 ZINC001354345816 832479348 /nfs/dbraw/zinc/47/93/48/832479348.db2.gz ITDTVOJWBFXERW-MNOVXSKESA-N -1 1 334.376 -0.110 20 0 EBADMM CN(CCNC(=O)CC1(C)CC1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001355759702 832581832 /nfs/dbraw/zinc/58/18/32/832581832.db2.gz QQRMELKPQZZJII-UHFFFAOYSA-N -1 1 336.392 -0.309 20 0 EBADMM CCc1nnc(CNCCCNC(=O)Cn2c(=O)[n-][nH]c2=O)s1 ZINC001174713307 832595897 /nfs/dbraw/zinc/59/58/97/832595897.db2.gz KIDCBLOZEFRZSY-UHFFFAOYSA-N -1 1 341.397 -0.601 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)NCCCNCc1ncc(C2CC2)o1 ZINC001174712981 832595918 /nfs/dbraw/zinc/59/59/18/832595918.db2.gz HCUQQXRAZOCLEK-UHFFFAOYSA-N -1 1 336.352 -0.149 20 0 EBADMM CCN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)C=C(C)C ZINC001356126391 832602405 /nfs/dbraw/zinc/60/24/05/832602405.db2.gz QKPJNYFIGFHWJZ-UHFFFAOYSA-N -1 1 336.392 -0.142 20 0 EBADMM Cc1nc(CNC(=O)c2cc3n(n2)CCCN(CC(=O)[O-])C3=O)n[nH]1 ZINC001178374804 832858271 /nfs/dbraw/zinc/85/82/71/832858271.db2.gz JLGCCIGBENLZOB-UHFFFAOYSA-N -1 1 347.335 -0.830 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CNC(=O)c1ccoc1 ZINC001178763211 832909988 /nfs/dbraw/zinc/90/99/88/832909988.db2.gz VJDJJAUXZQYMSA-ONGXEEELSA-N -1 1 348.363 -0.388 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCO[C@H](CS(C)(=O)=O)C1 ZINC001179412553 832975401 /nfs/dbraw/zinc/97/54/01/832975401.db2.gz BFNIMICHUJGARX-QMMMGPOBSA-N -1 1 347.418 -0.335 20 0 EBADMM O=S(=O)([N-]c1cc(N2CCN(CCO)CC2)ncn1)C1CCC1 ZINC001188105289 834002420 /nfs/dbraw/zinc/00/24/20/834002420.db2.gz UTYQJLVISYAMGU-UHFFFAOYSA-N -1 1 341.437 -0.115 20 0 EBADMM CCO[C@@H](CC)C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001189190604 834133989 /nfs/dbraw/zinc/13/39/89/834133989.db2.gz WTWDZDFGJNYHHE-NEPJUHHUSA-N -1 1 325.413 -0.044 20 0 EBADMM O=C([N-]CCNC(=O)c1c[nH]c(=O)[nH]1)C(F)(F)Br ZINC001189558689 834169352 /nfs/dbraw/zinc/16/93/52/834169352.db2.gz LZRHRJHEDVVGNB-UHFFFAOYSA-N -1 1 327.085 -0.051 20 0 EBADMM CC[C@@H](C)OCC(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001189716275 834182194 /nfs/dbraw/zinc/18/21/94/834182194.db2.gz IEPGXXHDHNJSTA-VXGBXAGGSA-N -1 1 325.413 -0.044 20 0 EBADMM CO[C@H](C)CCC(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001189798145 834193077 /nfs/dbraw/zinc/19/30/77/834193077.db2.gz QSKCRTYBKCXHIK-VXGBXAGGSA-N -1 1 325.413 -0.044 20 0 EBADMM COCC1(CC(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001190106281 834230065 /nfs/dbraw/zinc/23/00/65/834230065.db2.gz SXHWGRKZGZSKJA-LBPRGKRZSA-N -1 1 337.424 -0.042 20 0 EBADMM CCc1n[nH]cc1C(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001190147872 834236635 /nfs/dbraw/zinc/23/66/35/834236635.db2.gz QVKWHDPOVAOECT-LBPRGKRZSA-N -1 1 348.363 -0.373 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)/C=C/c3ccco3)C2)nc1=O ZINC001190709597 834323836 /nfs/dbraw/zinc/32/38/36/834323836.db2.gz SOSNRTKWKHEXLU-GKUNOOHESA-N -1 1 333.348 -0.924 20 0 EBADMM COCCNC(=O)C1([N-]S(=O)(=O)c2ccc(C(=O)OC)o2)CC1 ZINC001191114316 834415301 /nfs/dbraw/zinc/41/53/01/834415301.db2.gz QDOSFEALQLDSRF-UHFFFAOYSA-N -1 1 346.361 -0.360 20 0 EBADMM COc1cc(C(=O)N2CN[C@H](N)[C@@H]3NCN[C@H]32)cc(Cl)c1[O-] ZINC001191157737 834427190 /nfs/dbraw/zinc/42/71/90/834427190.db2.gz BJNLGFCZNOXAIK-DLOVCJGASA-N -1 1 327.772 -0.813 20 0 EBADMM Cn1cc(Br)cc(C(=O)NCc2nc([O-])n[nH]2)c1=O ZINC001191205657 834438321 /nfs/dbraw/zinc/43/83/21/834438321.db2.gz NBUPDCSAKMQFPR-UHFFFAOYSA-N -1 1 328.126 -0.099 20 0 EBADMM COC(=O)CN1CCN(C(=O)c2cnc(SC)[n-]c2=O)CC1 ZINC001191431220 834477077 /nfs/dbraw/zinc/47/70/77/834477077.db2.gz RQUHKNHYHWYHOQ-UHFFFAOYSA-N -1 1 326.378 -0.165 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)CCCC(C)(C)C)C2)nc1=O ZINC001191793752 834553652 /nfs/dbraw/zinc/55/36/52/834553652.db2.gz NXVJCJNTWIVHMK-VXGBXAGGSA-N -1 1 339.440 -0.014 20 0 EBADMM CC[C@H](C)NC(=O)CN1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001192374839 834653091 /nfs/dbraw/zinc/65/30/91/834653091.db2.gz LTLCURTYPXEPMU-DMDPSCGWSA-N -1 1 336.392 -0.523 20 0 EBADMM CCOCCN1C[C@@H](NC(=O)c2c[n-]n3c2nccc3=O)[C@H](OC)C1 ZINC001213543054 837794349 /nfs/dbraw/zinc/79/43/49/837794349.db2.gz KBGIQRURBFOKHN-CHWSQXEVSA-N -1 1 349.391 -0.512 20 0 EBADMM CCCC[C@@H](C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001192599433 834697973 /nfs/dbraw/zinc/69/79/73/834697973.db2.gz JBSZYBWEMMMYPA-IJLUTSLNSA-N -1 1 325.413 -0.404 20 0 EBADMM CN1CCN(Cc2cn3c(n2)CN(C(=O)c2cc(=O)[nH][n-]2)CC3)CC1 ZINC001193465941 834882589 /nfs/dbraw/zinc/88/25/89/834882589.db2.gz NGOOCCGNFXCMNA-UHFFFAOYSA-N -1 1 345.407 -0.285 20 0 EBADMM CC(=O)OCCCS(=O)(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001193959033 834987487 /nfs/dbraw/zinc/98/74/87/834987487.db2.gz IHBHFOMUMAFBHH-UHFFFAOYSA-N -1 1 345.377 -0.373 20 0 EBADMM O=C1CO[C@@H](C[N-]S(=O)(=O)c2ncccc2C(F)(F)F)CN1 ZINC001194586830 835127305 /nfs/dbraw/zinc/12/73/05/835127305.db2.gz QXNXILLKKHECJO-SSDOTTSWSA-N -1 1 339.295 -0.106 20 0 EBADMM CCO[C@H](C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1)C1CC1 ZINC001195873831 835305241 /nfs/dbraw/zinc/30/52/41/835305241.db2.gz NMCNBTMDUVRUGU-AWEZNQCLSA-N -1 1 337.424 -0.042 20 0 EBADMM CC(=O)NCc1nnc2n1CCN(C(=O)c1c[nH]c(=S)[n-]c1=O)C2 ZINC001196013812 835326331 /nfs/dbraw/zinc/32/63/31/835326331.db2.gz LSMAXUOFKKEDQE-UHFFFAOYSA-N -1 1 349.376 -0.646 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)C3(C(F)(F)F)CC3)C2)nc1=O ZINC001196357713 835403847 /nfs/dbraw/zinc/40/38/47/835403847.db2.gz AEYBIEDSGJNQNQ-HTQZYQBOSA-N -1 1 349.313 -0.888 20 0 EBADMM COC(=O)CCCCS(=O)(=O)[N-][C@@H](CO)C(=O)OC(C)(C)C ZINC001196974110 835489654 /nfs/dbraw/zinc/48/96/54/835489654.db2.gz OJIRIFKCYFMODC-JTQLQIEISA-N -1 1 339.410 -0.048 20 0 EBADMM C[C@@H](CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O)c1ccco1 ZINC001197874619 835617981 /nfs/dbraw/zinc/61/79/81/835617981.db2.gz LWSBXKVXNGJEKW-QJPTWQEYSA-N -1 1 349.391 -0.444 20 0 EBADMM C[C@H](CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O)c1ccco1 ZINC001197874618 835618231 /nfs/dbraw/zinc/61/82/31/835618231.db2.gz LWSBXKVXNGJEKW-IJLUTSLNSA-N -1 1 349.391 -0.444 20 0 EBADMM CC(=O)CCCC(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001199864886 835870309 /nfs/dbraw/zinc/87/03/09/835870309.db2.gz RWUIEYJYLFIIAF-LBPRGKRZSA-N -1 1 339.396 -0.023 20 0 EBADMM COc1cc(S(=O)(=O)Nc2c([O-])[nH]c(=O)[nH]c2=S)ccc1F ZINC001200614190 835995861 /nfs/dbraw/zinc/99/58/61/835995861.db2.gz HPDJTKQCJZOCOZ-MRVPVSSYSA-N -1 1 347.349 -0.352 20 0 EBADMM CS(=O)(=O)CC(=O)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202574621 836321302 /nfs/dbraw/zinc/32/13/02/836321302.db2.gz QXYHKUNHCDHCBX-RKDXNWHRSA-N -1 1 343.409 -0.928 20 0 EBADMM COCCOCC(=O)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202655809 836330621 /nfs/dbraw/zinc/33/06/21/836330621.db2.gz PJHVFTQOXDVSSI-QWRGUYRKSA-N -1 1 339.396 -0.310 20 0 EBADMM O=C(NCC1CC1)C(=O)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001203558412 836448962 /nfs/dbraw/zinc/44/89/62/836448962.db2.gz ZABNDESAFODTTP-MNOVXSKESA-N -1 1 348.407 -0.447 20 0 EBADMM O=C(NCC1CC1)C(=O)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001203558411 836449001 /nfs/dbraw/zinc/44/90/01/836449001.db2.gz ZABNDESAFODTTP-GHMZBOCLSA-N -1 1 348.407 -0.447 20 0 EBADMM NC(=O)NCCC(=O)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001203714042 836466958 /nfs/dbraw/zinc/46/69/58/836466958.db2.gz UITJRGFFAJJZMG-BDAKNGLRSA-N -1 1 337.384 -0.914 20 0 EBADMM CCO[C@@H](CC)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001206378686 836643879 /nfs/dbraw/zinc/64/38/79/836643879.db2.gz NWKPHHFGYPOZFQ-UTUOFQBUSA-N -1 1 325.413 -0.140 20 0 EBADMM CNC(=O)NC(=O)CN1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001206892991 836695510 /nfs/dbraw/zinc/69/55/10/836695510.db2.gz RZEQACDDTWAVOA-NXEZZACHSA-N -1 1 335.364 -0.707 20 0 EBADMM C[C@H](C(=O)NC(N)=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001206897785 836697863 /nfs/dbraw/zinc/69/78/63/836697863.db2.gz OOFZLSBFVFXZIR-OPRDCNLKSA-N -1 1 335.364 -0.579 20 0 EBADMM COCC1(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)CCC1 ZINC001208022527 836925666 /nfs/dbraw/zinc/92/56/66/836925666.db2.gz UXUMLQLTXJBBRW-VXGBXAGGSA-N -1 1 337.424 -0.138 20 0 EBADMM O=C(CCCn1ccnn1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001208325560 836995890 /nfs/dbraw/zinc/99/58/90/836995890.db2.gz RVSJEQORMFHNTE-LLVKDONJSA-N -1 1 334.384 -0.337 20 0 EBADMM CC(C)N1C(=O)C[C@H]([N-]S(=O)(=O)[C@@H]2CC(=O)N(C3CC3)C2)C1=O ZINC001210414686 837285131 /nfs/dbraw/zinc/28/51/31/837285131.db2.gz NBKSJDHBGFRKPM-MNOVXSKESA-N -1 1 343.405 -0.795 20 0 EBADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)C[C@@H]1NC(=O)NC1=O ZINC001319786195 838177780 /nfs/dbraw/zinc/17/77/80/838177780.db2.gz NTQHNELBCRLMRE-VIFPVBQESA-N -1 1 344.324 -0.266 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1CCN(c2cccnn2)CC1 ZINC001320890989 838855627 /nfs/dbraw/zinc/85/56/27/838855627.db2.gz UPGVRYVFTSXWIQ-UHFFFAOYSA-N -1 1 344.375 -0.498 20 0 EBADMM O=C(c1sccc1-n1cnnn1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001321175045 838928711 /nfs/dbraw/zinc/92/87/11/838928711.db2.gz SCFDGOVVRLJDTE-UHFFFAOYSA-N -1 1 347.364 -0.639 20 0 EBADMM O=C(CCCC[C@@H]1SC[C@H]2NC(=O)N[C@@H]12)NN1CC(=O)[N-]C1=O ZINC001321509475 839007088 /nfs/dbraw/zinc/00/70/88/839007088.db2.gz UZQYJPJLEFHCSY-VHSKPIJISA-N -1 1 341.393 -0.705 20 0 EBADMM O=C(c1cc(-n2cccn2)ccn1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001321619235 839033871 /nfs/dbraw/zinc/03/38/71/839033871.db2.gz NWBNBZAZTOIYFP-UHFFFAOYSA-N -1 1 340.347 -0.096 20 0 EBADMM O=C(N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)c1cccc2ncnn21 ZINC001323032106 839327581 /nfs/dbraw/zinc/32/75/81/839327581.db2.gz GYHWLKSGQDATSL-JTQLQIEISA-N -1 1 342.363 -0.149 20 0 EBADMM COCCCO[N-]C(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001323262896 839395051 /nfs/dbraw/zinc/39/50/51/839395051.db2.gz CEABNSIDQSJQQZ-MNOVXSKESA-N -1 1 334.394 -0.894 20 0 EBADMM COC(=O)CSCCC(=O)Nc1nc2nc(C)cc(=O)n2[n-]1 ZINC001324028119 839582241 /nfs/dbraw/zinc/58/22/41/839582241.db2.gz ZQVVCAXQELOGEY-UHFFFAOYSA-N -1 1 325.350 -0.039 20 0 EBADMM Cn1[n-]c(CN2CC[C@](O)(CNC(=O)C[C@H]3C=CCCC3)C2)nc1=O ZINC001325102842 839782612 /nfs/dbraw/zinc/78/26/12/839782612.db2.gz VXUJIBAPKROTSY-GUYCJALGSA-N -1 1 349.435 -0.092 20 0 EBADMM Cn1cc(C(=O)N2CC[C@@H](CCNCc3n[nH]c(=O)[n-]3)C2)ccc1=O ZINC001326301407 840083200 /nfs/dbraw/zinc/08/32/00/840083200.db2.gz RTNRVWLVUYJLNG-LLVKDONJSA-N -1 1 346.391 -0.149 20 0 EBADMM CCO[N-]C(=O)CNC(=O)C(=O)N[C@@H]1CCN(Cc2ccccc2)C1 ZINC001326617400 840152522 /nfs/dbraw/zinc/15/25/22/840152522.db2.gz QNMPGCKYCBKITJ-CQSZACIVSA-N -1 1 348.403 -0.439 20 0 EBADMM O=C(c1cn(-c2ccccc2)nn1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001327089865 840297731 /nfs/dbraw/zinc/29/77/31/840297731.db2.gz BBNWVIKIGHYOHB-UHFFFAOYSA-N -1 1 340.347 -0.096 20 0 EBADMM CCO[N-]C(=O)CNC(=O)C(=O)NC[C@H](c1cccs1)N(C)C ZINC001327477088 840430877 /nfs/dbraw/zinc/43/08/77/840430877.db2.gz IOPPGWJXCYCUAG-SNVBAGLBSA-N -1 1 342.421 -0.349 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)NCC2(C(=O)[O-])CCC2)C1 ZINC001327498607 840436882 /nfs/dbraw/zinc/43/68/82/840436882.db2.gz ASSRJCMJTHYRDC-NSHDSACASA-N -1 1 327.381 -0.806 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCn2c(CC3CC3)nnc2C1 ZINC001328823336 840761791 /nfs/dbraw/zinc/76/17/91/840761791.db2.gz WWQGVAJQDGCCIF-UHFFFAOYSA-N -1 1 344.375 -0.487 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NC[C@H]3CCC[C@@H](O)C3)ccnc1-2 ZINC001329063531 840831783 /nfs/dbraw/zinc/83/17/83/840831783.db2.gz QRDVLALUWITXHY-WDEREUQCSA-N -1 1 331.376 -0.052 20 0 EBADMM Cc1nn(C)c2ncc(S(=O)(=O)[N-]C(=O)CCc3nc[nH]n3)cc12 ZINC001330413497 841192438 /nfs/dbraw/zinc/19/24/38/841192438.db2.gz CHNPSLCPSYZRCD-UHFFFAOYSA-N -1 1 349.376 -0.167 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC(N2CCOC2=O)CC1 ZINC001330980501 841307074 /nfs/dbraw/zinc/30/70/74/841307074.db2.gz ACYTVRJUXCODPM-UHFFFAOYSA-N -1 1 336.348 -0.630 20 0 EBADMM Cc1cc(C(=O)NCCOCCN(C)Cc2nc(=O)n(C)[n-]2)ncn1 ZINC001331110765 841339609 /nfs/dbraw/zinc/33/96/09/841339609.db2.gz OXSLDQYELRYTRN-UHFFFAOYSA-N -1 1 349.395 -0.915 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)NCCOCCN(C)Cc2nc(=O)n(C)[n-]2)CO1 ZINC001331133247 841348338 /nfs/dbraw/zinc/34/83/38/841348338.db2.gz QAXCIKKYPJNACU-VXGBXAGGSA-N -1 1 341.412 -0.902 20 0 EBADMM Cn1[n-]c(CN[C@H](CO)CNC(=O)[C@@H]2CCC=CCCC2)nc1=O ZINC001331738361 841477369 /nfs/dbraw/zinc/47/73/69/841477369.db2.gz GYPFNYMGMKGSEY-OLZOCXBDSA-N -1 1 337.424 -0.188 20 0 EBADMM C[C@@H]1COCC[C@@H]1C(=O)N(C)CCOCCNCc1n[nH]c(=O)[n-]1 ZINC001333251453 841835511 /nfs/dbraw/zinc/83/55/11/841835511.db2.gz VDRNCUSYNFKFMQ-NEPJUHHUSA-N -1 1 341.412 -0.253 20 0 EBADMM O=C([N-]OCC(F)(F)F)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001333519623 841897818 /nfs/dbraw/zinc/89/78/18/841897818.db2.gz AJYRJANHCQMOJY-JGVFFNPUSA-N -1 1 344.311 -0.368 20 0 EBADMM COCC(=O)N[C@H](C)CCCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001335812982 842358087 /nfs/dbraw/zinc/35/80/87/842358087.db2.gz CYXVKBCCRJJAQL-SECBINFHSA-N -1 1 336.352 -0.921 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCCc2c1cnn2CCO ZINC001335935995 842374942 /nfs/dbraw/zinc/37/49/42/842374942.db2.gz WRKGFRUXVFGCMH-GFCCVEGCSA-N -1 1 347.375 -0.691 20 0 EBADMM O=C(c1cnn(-c2cccnc2)c1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001340325561 842988219 /nfs/dbraw/zinc/98/82/19/842988219.db2.gz YNPYHCKQSOCYFK-LBPRGKRZSA-N -1 1 326.320 -0.006 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2Cc3ncccc3N3CCC[C@H]3C2)CNCCO1 ZINC001341470886 843092195 /nfs/dbraw/zinc/09/21/95/843092195.db2.gz YWVZJBBJCNTTPM-SJCJKPOMSA-N -1 1 346.387 -0.164 20 0 EBADMM COc1ccccc1OCCN(C)C(=O)[C@]1(C(=O)[O-])CNCCO1 ZINC001343148884 843200488 /nfs/dbraw/zinc/20/04/88/843200488.db2.gz CBIWMDGXJGRVJE-INIZCTEOSA-N -1 1 338.360 -0.024 20 0 EBADMM O=C([O-])[C@]1(C(=O)NCCN2CCCc3ccccc32)CNCCO1 ZINC001343394170 843232696 /nfs/dbraw/zinc/23/26/96/843232696.db2.gz KQYFKYUTTUVJNQ-QGZVFWFLSA-N -1 1 333.388 -0.001 20 0 EBADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)CN1CCSC1=O ZINC001344620811 843324698 /nfs/dbraw/zinc/32/46/98/843324698.db2.gz KTCXGFARIQSCAS-UHFFFAOYSA-N -1 1 337.361 -0.019 20 0 EBADMM CO[N-]C(=O)Cn1nnnc1N1CCC(C)(N2CCOCC2)CC1 ZINC001344756201 843331721 /nfs/dbraw/zinc/33/17/21/843331721.db2.gz MUHFTRBKYSZCGK-UHFFFAOYSA-N -1 1 339.400 -0.958 20 0 EBADMM COC(=O)CCCCNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001346475156 843522122 /nfs/dbraw/zinc/52/21/22/843522122.db2.gz WVSFGSQNUVGFSU-UHFFFAOYSA-N -1 1 333.348 -0.260 20 0 EBADMM COCCN(C)CCNC(=O)C(=O)N1CC[C@H](C)[C@@H](C(=O)[O-])C1 ZINC001349354031 843890617 /nfs/dbraw/zinc/89/06/17/843890617.db2.gz BUHAJXKTGJSRMT-RYUDHWBXSA-N -1 1 329.397 -0.750 20 0 EBADMM CN(C)CCN(CC(=O)[O-])S(=O)(=O)c1cnn2c1OCCC2 ZINC001610898903 970782882 /nfs/dbraw/zinc/78/28/82/970782882.db2.gz YQQKIMFIAFIJSC-UHFFFAOYSA-N -1 1 332.382 -0.698 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(CC[NH+](C)C)CC(=O)[O-])o1 ZINC001610960463 970809906 /nfs/dbraw/zinc/80/99/06/970809906.db2.gz VWHGIJGJXSTYAA-UHFFFAOYSA-N -1 1 333.366 -0.724 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)C[C@@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001573467785 945987147 /nfs/dbraw/zinc/98/71/47/945987147.db2.gz IBAYPFOLSRZPBS-BDAKNGLRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)C[C@@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001573467785 945987151 /nfs/dbraw/zinc/98/71/51/945987151.db2.gz IBAYPFOLSRZPBS-BDAKNGLRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)C[C@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001573469236 946003645 /nfs/dbraw/zinc/00/36/45/946003645.db2.gz TZOJHZDRMBEIGR-IUCAKERBSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)C[C@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001573469236 946003662 /nfs/dbraw/zinc/00/36/62/946003662.db2.gz TZOJHZDRMBEIGR-IUCAKERBSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@@H](C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001573476001 946109619 /nfs/dbraw/zinc/10/96/19/946109619.db2.gz BYBFTUIFXURERU-SFYZADRCSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@@H](C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001573476001 946109624 /nfs/dbraw/zinc/10/96/24/946109624.db2.gz BYBFTUIFXURERU-SFYZADRCSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001573476197 946116197 /nfs/dbraw/zinc/11/61/97/946116197.db2.gz DJLLZUWDLVYJIR-SFYZADRCSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001573476197 946116202 /nfs/dbraw/zinc/11/62/02/946116202.db2.gz DJLLZUWDLVYJIR-SFYZADRCSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@H](C)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001573476846 946126529 /nfs/dbraw/zinc/12/65/29/946126529.db2.gz JUXFBJKDPONSTK-DTWKUNHWSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@@H](C)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001573477324 946131369 /nfs/dbraw/zinc/13/13/69/946131369.db2.gz OOZCJHJXEWOZIK-RKDXNWHRSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@@H](C)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001573477324 946131380 /nfs/dbraw/zinc/13/13/80/946131380.db2.gz OOZCJHJXEWOZIK-RKDXNWHRSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@H](C)CN(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001573477505 946139297 /nfs/dbraw/zinc/13/92/97/946139297.db2.gz RKCSTNAPRFYSBR-BDAKNGLRSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NCC[C@@H](C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001573479981 946171271 /nfs/dbraw/zinc/17/12/71/946171271.db2.gz IUVIMRSYUIKOFK-RKDXNWHRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NCC[C@@H](C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001573479981 946171276 /nfs/dbraw/zinc/17/12/76/946171276.db2.gz IUVIMRSYUIKOFK-RKDXNWHRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NCC(=O)N(C)CCCN(C)c1cncc(-c2nnn[n-]2)n1 ZINC001573501923 946381090 /nfs/dbraw/zinc/38/10/90/946381090.db2.gz SLMAXLLVGZSIDZ-UHFFFAOYSA-N -1 1 347.383 -0.923 20 0 EBADMM CC(=O)NCC(=O)N(C)CCCN(C)c1cncc(-c2nn[n-]n2)n1 ZINC001573501923 946381100 /nfs/dbraw/zinc/38/11/00/946381100.db2.gz SLMAXLLVGZSIDZ-UHFFFAOYSA-N -1 1 347.383 -0.923 20 0 EBADMM CC(=O)NCC(=O)N(C)C[C@@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001573502843 946389621 /nfs/dbraw/zinc/38/96/21/946389621.db2.gz ZTZYJDSNQHKSQT-MRVPVSSYSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)NCC(=O)N(C)C[C@@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001573502843 946389628 /nfs/dbraw/zinc/38/96/28/946389628.db2.gz ZTZYJDSNQHKSQT-MRVPVSSYSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)NCC(=O)N1CCC[C@@H]1CNc1cncc(-c2nnn[n-]2)n1 ZINC001573503687 946395229 /nfs/dbraw/zinc/39/52/29/946395229.db2.gz BCEBXBUMICGLNW-SNVBAGLBSA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)NCC(=O)N1CCC[C@@H]1CNc1cncc(-c2nn[n-]n2)n1 ZINC001573503687 946395239 /nfs/dbraw/zinc/39/52/39/946395239.db2.gz BCEBXBUMICGLNW-SNVBAGLBSA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)CCNc1cnc(-c2nnn[n-]2)cn1 ZINC001573512542 946501289 /nfs/dbraw/zinc/50/12/89/946501289.db2.gz YERNXDZOSMYGIN-QMMMGPOBSA-N -1 1 333.356 -0.901 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)CCNc1cnc(-c2nn[n-]n2)cn1 ZINC001573512542 946501300 /nfs/dbraw/zinc/50/13/00/946501300.db2.gz YERNXDZOSMYGIN-QMMMGPOBSA-N -1 1 333.356 -0.901 20 0 EBADMM CC(=O)NCC(=O)NCC[C@@H](C)Nc1nc(C)cc(-c2nnn[n-]2)n1 ZINC001573520561 946597959 /nfs/dbraw/zinc/59/79/59/946597959.db2.gz KKKJKUPFOBYBGK-MRVPVSSYSA-N -1 1 347.383 -0.592 20 0 EBADMM CC(=O)NCC(=O)NCC[C@@H](C)Nc1nc(C)cc(-c2nn[n-]n2)n1 ZINC001573520561 946597971 /nfs/dbraw/zinc/59/79/71/946597971.db2.gz KKKJKUPFOBYBGK-MRVPVSSYSA-N -1 1 347.383 -0.592 20 0 EBADMM CC(=O)NCC(=O)NCC[C@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001573520933 946603248 /nfs/dbraw/zinc/60/32/48/946603248.db2.gz PZTXUYVVPXHIPB-QMMMGPOBSA-N -1 1 333.356 -0.901 20 0 EBADMM CC(=O)NCC(=O)NCC[C@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001573520933 946603253 /nfs/dbraw/zinc/60/32/53/946603253.db2.gz PZTXUYVVPXHIPB-QMMMGPOBSA-N -1 1 333.356 -0.901 20 0 EBADMM CC(=O)NCC(C)(C)C(=O)NCCNc1cnc(-c2nnn[n-]2)cn1 ZINC001573528091 946654850 /nfs/dbraw/zinc/65/48/50/946654850.db2.gz UEFSRDKJTBCPSE-UHFFFAOYSA-N -1 1 347.383 -0.653 20 0 EBADMM CC(=O)NCC(C)(C)C(=O)NCCNc1cnc(-c2nn[n-]n2)cn1 ZINC001573528091 946654857 /nfs/dbraw/zinc/65/48/57/946654857.db2.gz UEFSRDKJTBCPSE-UHFFFAOYSA-N -1 1 347.383 -0.653 20 0 EBADMM C[C@@H](C(=O)N(C)C[C@@H](O)c1cccnc1)n1cnc(-c2nn[n-]n2)n1 ZINC001573595055 947415313 /nfs/dbraw/zinc/41/53/13/947415313.db2.gz FJIPDRXFETUSGK-GXSJLCMTSA-N -1 1 343.351 -0.394 20 0 EBADMM C[C@@H](C(=O)N(C)C[C@H](O)CNc1cncc(-c2nnn[n-]2)n1)C1CC1 ZINC001573595652 947423444 /nfs/dbraw/zinc/42/34/44/947423444.db2.gz HEZBGUJBEWAAIF-MWLCHTKSSA-N -1 1 346.395 -0.066 20 0 EBADMM C[C@@H](C(=O)N(C)C[C@H](O)CNc1cncc(-c2nn[n-]n2)n1)C1CC1 ZINC001573595652 947423451 /nfs/dbraw/zinc/42/34/51/947423451.db2.gz HEZBGUJBEWAAIF-MWLCHTKSSA-N -1 1 346.395 -0.066 20 0 EBADMM C[C@@H](C(=O)N(CCO)CCNc1cnc(-c2nnn[n-]2)cn1)C1CC1 ZINC001573607314 947544782 /nfs/dbraw/zinc/54/47/82/947544782.db2.gz JKQKSTZAWWSJRD-SNVBAGLBSA-N -1 1 346.395 -0.064 20 0 EBADMM C[C@@H](C(=O)N(CCO)CCNc1cnc(-c2nn[n-]n2)cn1)C1CC1 ZINC001573607314 947544788 /nfs/dbraw/zinc/54/47/88/947544788.db2.gz JKQKSTZAWWSJRD-SNVBAGLBSA-N -1 1 346.395 -0.064 20 0 EBADMM CC(=O)CCCC(=O)NCC1(Nc2ccnc(-c3nn[n-]n3)n2)CC1 ZINC001573381191 947871090 /nfs/dbraw/zinc/87/10/90/947871090.db2.gz QDUIYBRHTLABAL-UHFFFAOYSA-N -1 1 344.379 -0.101 20 0 EBADMM CC(=O)CCCC(=O)NC/C=C/CNc1ccnc(-c2nn[n-]n2)n1 ZINC001573382421 947882555 /nfs/dbraw/zinc/88/25/55/947882555.db2.gz GIKJDOVYVSQXOS-NSCUHMNNSA-N -1 1 344.379 -0.078 20 0 EBADMM CC(=O)CCCC(=O)NCCN(C)c1cncc(-c2nnn[n-]2)n1 ZINC001573383774 947894849 /nfs/dbraw/zinc/89/48/49/947894849.db2.gz WTWONCIAVZTKAE-UHFFFAOYSA-N -1 1 332.368 -0.032 20 0 EBADMM CC(=O)CCCC(=O)NCCN(C)c1cncc(-c2nn[n-]n2)n1 ZINC001573383774 947894859 /nfs/dbraw/zinc/89/48/59/947894859.db2.gz WTWONCIAVZTKAE-UHFFFAOYSA-N -1 1 332.368 -0.032 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)CCNc1nccnc1-c1nnn[n-]1 ZINC001573388654 947920259 /nfs/dbraw/zinc/92/02/59/947920259.db2.gz NLWFOSBLSLTNQO-UHFFFAOYSA-N -1 1 333.356 -0.995 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)CCNc1nccnc1-c1nn[n-]n1 ZINC001573388654 947920263 /nfs/dbraw/zinc/92/02/63/947920263.db2.gz NLWFOSBLSLTNQO-UHFFFAOYSA-N -1 1 333.356 -0.995 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)CCNc1nc(C)cc(-c2nnn[n-]2)n1 ZINC001573389552 947925934 /nfs/dbraw/zinc/92/59/34/947925934.db2.gz SNDFOOMPJISCGT-UHFFFAOYSA-N -1 1 347.383 -0.686 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)CCNc1nc(C)cc(-c2nn[n-]n2)n1 ZINC001573389552 947925944 /nfs/dbraw/zinc/92/59/44/947925944.db2.gz SNDFOOMPJISCGT-UHFFFAOYSA-N -1 1 347.383 -0.686 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)CCN(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001573389556 947926600 /nfs/dbraw/zinc/92/66/00/947926600.db2.gz SPSCKIJNZYFATM-UHFFFAOYSA-N -1 1 347.383 -0.970 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@@H](C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001573403391 948008889 /nfs/dbraw/zinc/00/88/89/948008889.db2.gz LUPPXXMNXWPKLV-MRVPVSSYSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@@H](C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001573403391 948008895 /nfs/dbraw/zinc/00/88/95/948008895.db2.gz LUPPXXMNXWPKLV-MRVPVSSYSA-N -1 1 333.356 -0.948 20 0 EBADMM C[C@H](C(=O)NC1(CCO)CCOCC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573660065 948019381 /nfs/dbraw/zinc/01/93/81/948019381.db2.gz XQMXSPQMTCRODL-SECBINFHSA-N -1 1 336.356 -0.933 20 0 EBADMM C[C@H](C(=O)N[C@H]1C[C@@H]2CCCCN2C1=O)n1cnc(-c2nn[n-]n2)n1 ZINC001573665299 948071892 /nfs/dbraw/zinc/07/18/92/948071892.db2.gz KKIGNIYHDDFACK-UTLUCORTSA-N -1 1 345.367 -0.711 20 0 EBADMM CC(=O)N1CC(C(=O)N(C)CCNc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001573413519 948081734 /nfs/dbraw/zinc/08/17/34/948081734.db2.gz AIOURMRNWJQWLC-UHFFFAOYSA-N -1 1 345.367 -0.995 20 0 EBADMM CC(=O)N1CC(C(=O)N(C)CCNc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001573413519 948081744 /nfs/dbraw/zinc/08/17/44/948081744.db2.gz AIOURMRNWJQWLC-UHFFFAOYSA-N -1 1 345.367 -0.995 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@@H](C)CNc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001573421166 948137373 /nfs/dbraw/zinc/13/73/73/948137373.db2.gz DCTBGVSZYVWMER-QMMMGPOBSA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@@H](C)CNc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001573421166 948137382 /nfs/dbraw/zinc/13/73/82/948137382.db2.gz DCTBGVSZYVWMER-QMMMGPOBSA-N -1 1 345.367 -0.948 20 0 EBADMM C[C@@H](C(=O)NCC(C)(C)n1cccn1)n1cnc(-c2nn[n-]n2)n1 ZINC001573681113 948195151 /nfs/dbraw/zinc/19/51/51/948195151.db2.gz UQEJDORHHQLXEP-VIFPVBQESA-N -1 1 330.356 -0.233 20 0 EBADMM CC(=O)N1CCC(N(CCO)c2nc(C)cc(-c3nnn[n-]3)n2)CC1 ZINC001573434801 948220188 /nfs/dbraw/zinc/22/01/88/948220188.db2.gz WYBXRNWURBUFRZ-UHFFFAOYSA-N -1 1 346.395 -0.225 20 0 EBADMM CC(=O)N1CCC(N(CCO)c2nc(C)cc(-c3nn[n-]n3)n2)CC1 ZINC001573434801 948220198 /nfs/dbraw/zinc/22/01/98/948220198.db2.gz WYBXRNWURBUFRZ-UHFFFAOYSA-N -1 1 346.395 -0.225 20 0 EBADMM CC(=O)N1CCC[C@@H](CNC(=O)[C@@H](C)n2cnc(-c3nn[n-]n3)n2)C1 ZINC001573443028 948303615 /nfs/dbraw/zinc/30/36/15/948303615.db2.gz LKVSCRLWAHSTNE-KOLCDFICSA-N -1 1 347.383 -0.606 20 0 EBADMM C[C@H](C(=O)NCC1CC2(C1)OCCO2)n1cnc(-c2nn[n-]n2)n1 ZINC001573703559 948354977 /nfs/dbraw/zinc/35/49/77/948354977.db2.gz KXGBLLVTIAIXEB-MRVPVSSYSA-N -1 1 334.340 -0.712 20 0 EBADMM C[C@@H](C(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)C(C)(F)F ZINC001573717936 948511919 /nfs/dbraw/zinc/51/19/19/948511919.db2.gz OSKLYDRQPOZYDI-LURJTMIESA-N -1 1 343.294 -0.464 20 0 EBADMM C[C@H](C(=O)NCCNc1cncc(-c2nnn[n-]2)n1)[C@@H]1CCC(=O)N1 ZINC001573718956 948521166 /nfs/dbraw/zinc/52/11/66/948521166.db2.gz VEHUPNROXPOKGH-IUCAKERBSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@H](C(=O)NCCNc1cncc(-c2nn[n-]n2)n1)[C@@H]1CCC(=O)N1 ZINC001573718956 948521168 /nfs/dbraw/zinc/52/11/68/948521168.db2.gz VEHUPNROXPOKGH-IUCAKERBSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@H](C(=O)NCCn1cnc(-c2nn[n-]n2)n1)C(=O)OC(C)(C)C ZINC001573719925 948528766 /nfs/dbraw/zinc/52/87/66/948528766.db2.gz YPAKVMFURSFWBW-MRVPVSSYSA-N -1 1 336.356 -0.448 20 0 EBADMM C[C@H](C(=O)NCc1cn(C2CCC2)nn1)n1cnc(-c2nn[n-]n2)n1 ZINC001573728306 948562271 /nfs/dbraw/zinc/56/22/71/948562271.db2.gz CZEKMYURQUMAGN-MRVPVSSYSA-N -1 1 343.355 -0.348 20 0 EBADMM C[C@@H](C(=O)NCc1noc2c1COCC2)n1cnc(-c2nn[n-]n2)n1 ZINC001573730094 948574640 /nfs/dbraw/zinc/57/46/40/948574640.db2.gz MPQWECIYJHBTBV-ZETCQYMHSA-N -1 1 345.323 -0.604 20 0 EBADMM C[C@H](C(=O)N[C@@H](C)CNc1cncc(-c2nnn[n-]2)n1)n1cncn1 ZINC001573730624 948583613 /nfs/dbraw/zinc/58/36/13/948583613.db2.gz AOPQAIFZDIFCKU-DTWKUNHWSA-N -1 1 343.355 -0.574 20 0 EBADMM C[C@H](C(=O)N[C@@H](C)CNc1cncc(-c2nn[n-]n2)n1)n1cncn1 ZINC001573730624 948583622 /nfs/dbraw/zinc/58/36/22/948583622.db2.gz AOPQAIFZDIFCKU-DTWKUNHWSA-N -1 1 343.355 -0.574 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1Cc2ccccc2[C@H]1O)n1cnc(-c2nn[n-]n2)n1 ZINC001573741096 948651536 /nfs/dbraw/zinc/65/15/36/948651536.db2.gz XSHKGRNHOYMLRZ-XXILOJSOSA-N -1 1 340.347 -0.206 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)N(C)Cc1nncn1C ZINC001570925776 948675293 /nfs/dbraw/zinc/67/52/93/948675293.db2.gz HKUQAOABIDLTDL-UHFFFAOYSA-N -1 1 329.324 -0.324 20 0 EBADMM CN(CCNc1cc(-c2nnn[n-]2)ncn1)CCS(C)(=O)=O ZINC001570926923 948700748 /nfs/dbraw/zinc/70/07/48/948700748.db2.gz IBTDJHHQIPCHIL-UHFFFAOYSA-N -1 1 326.386 -0.955 20 0 EBADMM CN(CCNc1cc(-c2nn[n-]n2)ncn1)CCS(C)(=O)=O ZINC001570926923 948700749 /nfs/dbraw/zinc/70/07/49/948700749.db2.gz IBTDJHHQIPCHIL-UHFFFAOYSA-N -1 1 326.386 -0.955 20 0 EBADMM O=C(N[C@H]1C[C@@H](Nc2cnc(-c3nnn[n-]3)cn2)C1)[C@H]1CCOC1 ZINC001570927226 948707588 /nfs/dbraw/zinc/70/75/88/948707588.db2.gz CIIPDLJGIVQTFN-LPEHRKFASA-N -1 1 330.352 -0.248 20 0 EBADMM O=C(N[C@H]1C[C@@H](Nc2cnc(-c3nn[n-]n3)cn2)C1)[C@H]1CCOC1 ZINC001570927226 948707592 /nfs/dbraw/zinc/70/75/92/948707592.db2.gz CIIPDLJGIVQTFN-LPEHRKFASA-N -1 1 330.352 -0.248 20 0 EBADMM O=C(NC[C@@H]1[C@H]2CN(c3nccnc3-c3nnn[n-]3)C[C@H]21)C1CC1 ZINC001570927529 948712956 /nfs/dbraw/zinc/71/29/56/948712956.db2.gz QFXIZMUAPGBMST-RTCCRHLQSA-N -1 1 326.364 -0.135 20 0 EBADMM O=C(NC[C@@H]1[C@H]2CN(c3nccnc3-c3nn[n-]n3)C[C@H]21)C1CC1 ZINC001570927529 948712960 /nfs/dbraw/zinc/71/29/60/948712960.db2.gz QFXIZMUAPGBMST-RTCCRHLQSA-N -1 1 326.364 -0.135 20 0 EBADMM C[C@H](C(=O)N1Cc2c[nH]nc2[C@H](C)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001570927598 948715046 /nfs/dbraw/zinc/71/50/46/948715046.db2.gz UKPQMQZVVJPVKK-HTQZYQBOSA-N -1 1 328.340 -0.112 20 0 EBADMM Cc1nsc(N2CC[C@@H](CS(N)(=O)=O)C2)c1-c1nn[n-]n1 ZINC001570927738 948716968 /nfs/dbraw/zinc/71/69/68/948716968.db2.gz KFJARITUUHPVGV-SSDOTTSWSA-N -1 1 329.411 -0.254 20 0 EBADMM O=C(N[C@H]1CCN(c2ccnc(-c3nn[n-]n3)n2)C1)[C@@H]1CCCO1 ZINC001570928100 948724918 /nfs/dbraw/zinc/72/49/18/948724918.db2.gz MJDUOPRMNXJICW-UWVGGRQHSA-N -1 1 330.352 -0.469 20 0 EBADMM O=C(Cc1ccc(-c2nnn[n-]2)nc1)NC[C@@H]1C[C@@H]2COC[C@@H]2O1 ZINC001570928379 948731227 /nfs/dbraw/zinc/73/12/27/948731227.db2.gz PMPZAZKTUWXKAD-MDZLAQPJSA-N -1 1 330.348 -0.276 20 0 EBADMM O=C(Cc1ccc(-c2nn[n-]n2)nc1)NC[C@@H]1C[C@@H]2COC[C@@H]2O1 ZINC001570928379 948731236 /nfs/dbraw/zinc/73/12/36/948731236.db2.gz PMPZAZKTUWXKAD-MDZLAQPJSA-N -1 1 330.348 -0.276 20 0 EBADMM C[C@H](C(=O)Nc1ncc2c(n1)CCOC2)n1cnc(-c2nn[n-]n2)n1 ZINC001573754283 948738705 /nfs/dbraw/zinc/73/87/05/948738705.db2.gz DQZSIJIJMWZGHT-SSDOTTSWSA-N -1 1 342.323 -0.479 20 0 EBADMM C[C@@H](Cc1cnn(C)c1)NC(=O)[C@H](C)n1cnc(-c2nn[n-]n2)n1 ZINC001570928663 948739317 /nfs/dbraw/zinc/73/93/17/948739317.db2.gz UDVQSBQMGMFFFI-IUCAKERBSA-N -1 1 330.356 -0.500 20 0 EBADMM COC(=O)c1ccc(NCc2n[nH]c(=O)n2C)c(-c2nnn[n-]2)n1 ZINC001570928790 948741702 /nfs/dbraw/zinc/74/17/02/948741702.db2.gz BXOWJDYHQFDDOS-UHFFFAOYSA-N -1 1 331.296 -0.506 20 0 EBADMM COC(=O)c1ccc(NCc2n[nH]c(=O)n2C)c(-c2nn[n-]n2)n1 ZINC001570928790 948741708 /nfs/dbraw/zinc/74/17/08/948741708.db2.gz BXOWJDYHQFDDOS-UHFFFAOYSA-N -1 1 331.296 -0.506 20 0 EBADMM CS(=O)(=O)CCNC(=O)NCc1ccc(-c2nn[n-]n2)s1 ZINC001570929670 948778726 /nfs/dbraw/zinc/77/87/26/948778726.db2.gz RDVDMRIYNJORTR-UHFFFAOYSA-N -1 1 330.395 -0.228 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)N[C@@H]1CCCN(C)C1=O ZINC001570929825 948787129 /nfs/dbraw/zinc/78/71/29/948787129.db2.gz VEVNVXAUSWWQJY-SECBINFHSA-N -1 1 331.336 -0.374 20 0 EBADMM C[C@@H](C(=O)Nc1cc(N(C)C)ncn1)n1cnc(-c2nn[n-]n2)n1 ZINC001573755955 948791563 /nfs/dbraw/zinc/79/15/63/948791563.db2.gz JRHSTZONWNYKDC-ZETCQYMHSA-N -1 1 329.328 -0.486 20 0 EBADMM C[C@@H](C(=O)Nc1cnc2ccnn2c1)n1cnc(-c2nn[n-]n2)n1 ZINC001573756097 948796859 /nfs/dbraw/zinc/79/68/59/948796859.db2.gz WYASNLUHQKIHLH-ZETCQYMHSA-N -1 1 325.296 -0.299 20 0 EBADMM C[C@@H](C(=O)Nc1ccc(OCCO)nc1)n1cnc(-c2nn[n-]n2)n1 ZINC001573756237 948805299 /nfs/dbraw/zinc/80/52/99/948805299.db2.gz OUQBVGHBSNSMHR-QMMMGPOBSA-N -1 1 345.323 -0.576 20 0 EBADMM C[C@@H]1CCN(C(=O)Cn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)[C@H](C)C1 ZINC001570930771 948821338 /nfs/dbraw/zinc/82/13/38/948821338.db2.gz OYWHJFSZTUYWSA-RKDXNWHRSA-N -1 1 333.352 -0.224 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)[C@@H]1COCCO1 ZINC001574682212 948825905 /nfs/dbraw/zinc/82/59/05/948825905.db2.gz QBEXRJPUNIRQAX-ONGXEEELSA-N -1 1 348.367 -0.621 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)[C@@H]1COCCO1 ZINC001574682212 948825924 /nfs/dbraw/zinc/82/59/24/948825924.db2.gz QBEXRJPUNIRQAX-ONGXEEELSA-N -1 1 348.367 -0.621 20 0 EBADMM COc1ncc(NC(=O)N[C@@H](C(N)=O)C(C)C)cc1-c1nn[n-]n1 ZINC001570933772 948910888 /nfs/dbraw/zinc/91/08/88/948910888.db2.gz GLDMZXRWBNFBIE-SECBINFHSA-N -1 1 334.340 -0.098 20 0 EBADMM CC(C)[C@]1(C)C[C@@H]1NC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001574088481 948925888 /nfs/dbraw/zinc/92/58/88/948925888.db2.gz FODYHSZMVWCVSQ-XPTSAGLGSA-N -1 1 333.352 -0.320 20 0 EBADMM C[C@@H]1CO[C@@H](C(=O)N(C)CCCc2[nH]nc(N)c2-c2nnn[n-]2)C1 ZINC001570935148 948969005 /nfs/dbraw/zinc/96/90/05/948969005.db2.gz QAARPNOLXYTYQD-WCBMZHEXSA-N -1 1 334.384 -0.012 20 0 EBADMM C[C@@H]1CO[C@@H](C(=O)N(C)CCCc2[nH]nc(N)c2-c2nn[n-]n2)C1 ZINC001570935148 948969014 /nfs/dbraw/zinc/96/90/14/948969014.db2.gz QAARPNOLXYTYQD-WCBMZHEXSA-N -1 1 334.384 -0.012 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)N(C)C[C@@H]1COCCO1 ZINC001570935522 948985819 /nfs/dbraw/zinc/98/58/19/948985819.db2.gz TWCQCABMOIIEME-SECBINFHSA-N -1 1 334.336 -0.237 20 0 EBADMM CCCN(CC(=O)OCC)c1[nH]c(=O)n(C)c(=O)c1-c1nn[n-]n1 ZINC001570935699 948992947 /nfs/dbraw/zinc/99/29/47/948992947.db2.gz UTKSPVHLCZJYOT-UHFFFAOYSA-N -1 1 337.340 -0.967 20 0 EBADMM CC(C)(C(=O)NC[C@@H](O)CNc1ccnc(-c2nn[n-]n2)n1)C1CC1 ZINC001573774291 949006425 /nfs/dbraw/zinc/00/64/25/949006425.db2.gz LZPAKPIPOWIQRR-JTQLQIEISA-N -1 1 346.395 -0.596 20 0 EBADMM CO[C@@H]1COCC[C@@H]1CNC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC001570936143 949013714 /nfs/dbraw/zinc/01/37/14/949013714.db2.gz WENOCFUZXJSFBX-MWLCHTKSSA-N -1 1 336.352 -0.127 20 0 EBADMM CO[C@@H]1COCC[C@@H]1CNC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC001570936143 949013732 /nfs/dbraw/zinc/01/37/32/949013732.db2.gz WENOCFUZXJSFBX-MWLCHTKSSA-N -1 1 336.352 -0.127 20 0 EBADMM CSC[C@H](C)C(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570936797 949051624 /nfs/dbraw/zinc/05/16/24/949051624.db2.gz OPHHNLKJVWZZAI-ZETCQYMHSA-N -1 1 339.381 -0.756 20 0 EBADMM C[C@H]1CN(C(=O)c2ccc[nH]2)C[C@H]1Nc1ccnc(-c2nn[n-]n2)n1 ZINC001570936981 949066268 /nfs/dbraw/zinc/06/62/68/949066268.db2.gz VTCRYAFJQFRLJK-GXSJLCMTSA-N -1 1 339.363 -0.021 20 0 EBADMM O=C(Cc1ncc[nH]1)N[C@H]1CCN(c2cnc(-c3nnn[n-]3)cn2)C1 ZINC001570937534 949079622 /nfs/dbraw/zinc/07/96/22/949079622.db2.gz FUBOOZMHPUUFQK-VIFPVBQESA-N -1 1 340.351 -0.683 20 0 EBADMM O=C(Cc1ncc[nH]1)N[C@H]1CCN(c2cnc(-c3nn[n-]n3)cn2)C1 ZINC001570937534 949079642 /nfs/dbraw/zinc/07/96/42/949079642.db2.gz FUBOOZMHPUUFQK-VIFPVBQESA-N -1 1 340.351 -0.683 20 0 EBADMM O=C(Cc1ncc[nH]1)N[C@H]1CCN(c2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570938694 949112702 /nfs/dbraw/zinc/11/27/02/949112702.db2.gz XKTGAPIRIFMZRB-VIFPVBQESA-N -1 1 340.351 -0.683 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)c1cnn(C)n1 ZINC001574696993 949144883 /nfs/dbraw/zinc/14/48/83/949144883.db2.gz WREHHPHOBMLINC-QMMMGPOBSA-N -1 1 343.355 -0.594 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)c1cnn(C)n1 ZINC001574696993 949144899 /nfs/dbraw/zinc/14/48/99/949144899.db2.gz WREHHPHOBMLINC-QMMMGPOBSA-N -1 1 343.355 -0.594 20 0 EBADMM C[C@H](c1ccccc1)[C@H](CO)NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC001575514786 949177524 /nfs/dbraw/zinc/17/75/24/949177524.db2.gz YUGPWJBOOXBCBY-PWSUYJOCSA-N -1 1 342.363 -0.261 20 0 EBADMM CC(C)CC(=O)N(C)C[C@H](O)CN(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001574099516 949179204 /nfs/dbraw/zinc/17/92/04/949179204.db2.gz LWTLLVXSKYWNFC-LLVKDONJSA-N -1 1 348.411 -0.042 20 0 EBADMM CC(C)CC(=O)N(C)C[C@H](O)CNc1nccnc1-c1nnn[n-]1 ZINC001574100314 949193967 /nfs/dbraw/zinc/19/39/67/949193967.db2.gz UZFFMNOLDRCCCM-SNVBAGLBSA-N -1 1 334.384 -0.066 20 0 EBADMM CC(C)CC(=O)N(C)C[C@H](O)CNc1nccnc1-c1nn[n-]n1 ZINC001574100314 949193977 /nfs/dbraw/zinc/19/39/77/949193977.db2.gz UZFFMNOLDRCCCM-SNVBAGLBSA-N -1 1 334.384 -0.066 20 0 EBADMM CC(C)(C(N)=O)C(=O)N[C@H]1C[C@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001573788566 949199783 /nfs/dbraw/zinc/19/97/83/949199783.db2.gz MRYWGAVUSMNTIU-ZKCHVHJHSA-N -1 1 345.367 -0.773 20 0 EBADMM CC(C)(C(N)=O)C(=O)N[C@H]1C[C@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001573788566 949199793 /nfs/dbraw/zinc/19/97/93/949199793.db2.gz MRYWGAVUSMNTIU-ZKCHVHJHSA-N -1 1 345.367 -0.773 20 0 EBADMM Cc1c(-c2nnn[n-]2)c(NC(=O)c2cc(C)c(C(N)=O)cn2)nn1C ZINC001570941659 949238619 /nfs/dbraw/zinc/23/86/19/949238619.db2.gz YXVXJMUYDGZTRY-UHFFFAOYSA-N -1 1 341.335 -0.037 20 0 EBADMM Cc1c(-c2nn[n-]n2)c(NC(=O)c2cc(C)c(C(N)=O)cn2)nn1C ZINC001570941659 949238647 /nfs/dbraw/zinc/23/86/47/949238647.db2.gz YXVXJMUYDGZTRY-UHFFFAOYSA-N -1 1 341.335 -0.037 20 0 EBADMM O=C(N[C@H]1C(=O)NCC12CCOCC2)c1ccc(-c2nnn[n-]2)nc1 ZINC001570942078 949261494 /nfs/dbraw/zinc/26/14/94/949261494.db2.gz DWXOEWOBDWKMSE-NSHDSACASA-N -1 1 343.347 -0.713 20 0 EBADMM O=C(N[C@H]1C(=O)NCC12CCOCC2)c1ccc(-c2nn[n-]n2)nc1 ZINC001570942078 949261511 /nfs/dbraw/zinc/26/15/11/949261511.db2.gz DWXOEWOBDWKMSE-NSHDSACASA-N -1 1 343.347 -0.713 20 0 EBADMM CN(Cc1ccccc1)C(=O)Cn1c(=O)[nH]cc(-c2nn[n-]n2)c1=O ZINC001570942599 949299414 /nfs/dbraw/zinc/29/94/14/949299414.db2.gz RFVZPGDHUVSQKB-UHFFFAOYSA-N -1 1 341.331 -0.212 20 0 EBADMM C[C@@H](Nc1ccnc(-c2nn[n-]n2)n1)[C@H](C)NC(=O)C1=CCOCC1 ZINC001575259507 949328757 /nfs/dbraw/zinc/32/87/57/949328757.db2.gz PIVFPEHFYYLZGK-ZJUUUORDSA-N -1 1 344.379 -0.270 20 0 EBADMM C[C@H](CNC(=O)Cc1ccon1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001574942287 949345919 /nfs/dbraw/zinc/34/59/19/949345919.db2.gz NDAJGXQLPFXRFW-SECBINFHSA-N -1 1 343.351 -0.172 20 0 EBADMM C[C@H](CNC(=O)Cc1ccon1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001574942287 949345938 /nfs/dbraw/zinc/34/59/38/949345938.db2.gz NDAJGXQLPFXRFW-SECBINFHSA-N -1 1 343.351 -0.172 20 0 EBADMM C[C@H](CNC(=O)Cc1cc[nH]n1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001574942743 949363285 /nfs/dbraw/zinc/36/32/85/949363285.db2.gz SEAFBXIPUYOAIT-SECBINFHSA-N -1 1 342.367 -0.437 20 0 EBADMM C[C@H](CNC(=O)Cc1cc[nH]n1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001574942743 949363302 /nfs/dbraw/zinc/36/33/02/949363302.db2.gz SEAFBXIPUYOAIT-SECBINFHSA-N -1 1 342.367 -0.437 20 0 EBADMM CC(C)(C)C(=O)N[C@@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C[C@@H]1O ZINC001573799373 949369316 /nfs/dbraw/zinc/36/93/16/949369316.db2.gz LWGAVNDBNIPPBG-KOLCDFICSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)(C)C(=O)N[C@@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C[C@@H]1O ZINC001573799373 949369338 /nfs/dbraw/zinc/36/93/38/949369338.db2.gz LWGAVNDBNIPPBG-KOLCDFICSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)(C)C(=O)N[C@H]1CCN(c2cncc(-c3nnn[n-]3)n2)C[C@@H]1O ZINC001573800010 949383169 /nfs/dbraw/zinc/38/31/69/949383169.db2.gz UAMYILITBOFJNI-ONGXEEELSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)(C)C(=O)N[C@H]1CCN(c2cncc(-c3nn[n-]n3)n2)C[C@@H]1O ZINC001573800010 949383189 /nfs/dbraw/zinc/38/31/89/949383189.db2.gz UAMYILITBOFJNI-ONGXEEELSA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@@H](NC(=O)CC(C)(C)O)[C@@H](C)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575263132 949387002 /nfs/dbraw/zinc/38/70/02/949387002.db2.gz DYDMMNFCTKMAQT-RKDXNWHRSA-N -1 1 334.384 -0.455 20 0 EBADMM C[C@H](CNC(=O)Cc1cnoc1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574944563 949396289 /nfs/dbraw/zinc/39/62/89/949396289.db2.gz FMAMJUHBISBKMT-MRVPVSSYSA-N -1 1 329.324 -0.196 20 0 EBADMM C[C@H](CNC(=O)Cc1cnoc1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574944563 949396312 /nfs/dbraw/zinc/39/63/12/949396312.db2.gz FMAMJUHBISBKMT-MRVPVSSYSA-N -1 1 329.324 -0.196 20 0 EBADMM C[C@@H]1C[C@@H]1[C@H]1C[C@H]1CNC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570944769 949440931 /nfs/dbraw/zinc/44/09/31/949440931.db2.gz XOMMSTPFOUKIMB-KATARQTJSA-N -1 1 345.363 -0.463 20 0 EBADMM CC(C)CC(=O)N[C@@H]1CCN(c2cncc(-c3nnn[n-]3)n2)C[C@H]1O ZINC001574117080 949446100 /nfs/dbraw/zinc/44/61/00/949446100.db2.gz KZXKDTOVFAXGCJ-ZYHUDNBSSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)CC(=O)N[C@@H]1CCN(c2cncc(-c3nn[n-]n3)n2)C[C@H]1O ZINC001574117080 949446117 /nfs/dbraw/zinc/44/61/17/949446117.db2.gz KZXKDTOVFAXGCJ-ZYHUDNBSSA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@@H](CNC(=O)Cn1ccnc1)CNc1nccnc1-c1nnn[n-]1 ZINC001574948471 949464406 /nfs/dbraw/zinc/46/44/06/949464406.db2.gz JDUBKPKAYYALDZ-JTQLQIEISA-N -1 1 342.367 -0.282 20 0 EBADMM C[C@@H](CNC(=O)Cn1ccnc1)CNc1nccnc1-c1nn[n-]n1 ZINC001574948471 949464417 /nfs/dbraw/zinc/46/44/17/949464417.db2.gz JDUBKPKAYYALDZ-JTQLQIEISA-N -1 1 342.367 -0.282 20 0 EBADMM C[C@@H](CNC(=O)Cn1cccn1)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001574948490 949467308 /nfs/dbraw/zinc/46/73/08/949467308.db2.gz JSVYFPXBYYISNY-SNVBAGLBSA-N -1 1 342.367 -0.282 20 0 EBADMM C[C@@H](CNC(=O)Cn1cccn1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001574948490 949467324 /nfs/dbraw/zinc/46/73/24/949467324.db2.gz JSVYFPXBYYISNY-SNVBAGLBSA-N -1 1 342.367 -0.282 20 0 EBADMM O=C(N[C@H]1CCN(c2ccnc(-c3nn[n-]n3)n2)C1)c1cnns1 ZINC001570945538 949483981 /nfs/dbraw/zinc/48/39/81/949483981.db2.gz DHFPCLZGLHPHCC-ZETCQYMHSA-N -1 1 344.364 -0.483 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1CCCCO1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574709240 949501594 /nfs/dbraw/zinc/50/15/94/949501594.db2.gz YTFQVYBHSDBYPH-QWRGUYRKSA-N -1 1 346.395 -0.094 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1CCCO1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574709394 949506807 /nfs/dbraw/zinc/50/68/07/949506807.db2.gz ZFQOKJFDHYQAHT-UWVGGRQHSA-N -1 1 332.368 -0.484 20 0 EBADMM CCc1nc(-c2nnn[n-]2)cc(N2C[C@@H]3C[C@H]2CN3C(=O)COC)n1 ZINC001570945875 949508355 /nfs/dbraw/zinc/50/83/55/949508355.db2.gz JYLVWSOEZFEENS-UWVGGRQHSA-N -1 1 344.379 -0.345 20 0 EBADMM CCc1nc(-c2nn[n-]n2)cc(N2C[C@@H]3C[C@H]2CN3C(=O)COC)n1 ZINC001570945875 949508373 /nfs/dbraw/zinc/50/83/73/949508373.db2.gz JYLVWSOEZFEENS-UWVGGRQHSA-N -1 1 344.379 -0.345 20 0 EBADMM C[C@@H]1CO[C@@H](C(=O)N2CCN(c3cnc(-c4nnn[n-]4)cn3)CC2)C1 ZINC001570945924 949510648 /nfs/dbraw/zinc/51/06/48/949510648.db2.gz LFPNVRGNVPTAKH-CMPLNLGQSA-N -1 1 344.379 -0.270 20 0 EBADMM C[C@@H]1CO[C@@H](C(=O)N2CCN(c3cnc(-c4nn[n-]n4)cn3)CC2)C1 ZINC001570945924 949510667 /nfs/dbraw/zinc/51/06/67/949510667.db2.gz LFPNVRGNVPTAKH-CMPLNLGQSA-N -1 1 344.379 -0.270 20 0 EBADMM CN(Cc1nc(-c2nnn[n-]2)cs1)Cc1nnc2n1CCNC2=O ZINC001570946277 949522514 /nfs/dbraw/zinc/52/25/14/949522514.db2.gz KHVQXBCJKFBIJP-UHFFFAOYSA-N -1 1 346.380 -0.710 20 0 EBADMM CN(Cc1nc(-c2nn[n-]n2)cs1)Cc1nnc2n1CCNC2=O ZINC001570946277 949522523 /nfs/dbraw/zinc/52/25/23/949522523.db2.gz KHVQXBCJKFBIJP-UHFFFAOYSA-N -1 1 346.380 -0.710 20 0 EBADMM O=C([C@@H]1CCCO1)N1CCC[C@@H]1CNc1ccnc(-c2nn[n-]n2)n1 ZINC001570946208 949523887 /nfs/dbraw/zinc/52/38/87/949523887.db2.gz OEQRORYLFYKJRD-MNOVXSKESA-N -1 1 344.379 -0.340 20 0 EBADMM CC(C)CC(=O)NC[C@@]1(O)CCN(c2cncc(-c3nnn[n-]3)n2)C1 ZINC001574122492 949525912 /nfs/dbraw/zinc/52/59/12/949525912.db2.gz XZEUMCVBXCTONU-HNNXBMFYSA-N -1 1 346.395 -0.240 20 0 EBADMM CC(C)CC(=O)NC[C@@]1(O)CCN(c2cncc(-c3nn[n-]n3)n2)C1 ZINC001574122492 949525930 /nfs/dbraw/zinc/52/59/30/949525930.db2.gz XZEUMCVBXCTONU-HNNXBMFYSA-N -1 1 346.395 -0.240 20 0 EBADMM COC(=O)[C@H](CNC(=O)c1cccc(-c2nnn[n-]2)n1)[C@H]1CCOC1 ZINC001570946662 949543283 /nfs/dbraw/zinc/54/32/83/949543283.db2.gz PBPPSGCEHVIKBC-VHSXEESVSA-N -1 1 346.347 -0.183 20 0 EBADMM COC(=O)[C@H](CNC(=O)c1cccc(-c2nn[n-]n2)n1)[C@H]1CCOC1 ZINC001570946662 949543304 /nfs/dbraw/zinc/54/33/04/949543304.db2.gz PBPPSGCEHVIKBC-VHSXEESVSA-N -1 1 346.347 -0.183 20 0 EBADMM COCC(=O)N1CC[C@H]2CN(c3nc(C)cc(-c4nnn[n-]4)n3)C[C@H]21 ZINC001570946962 949557486 /nfs/dbraw/zinc/55/74/86/949557486.db2.gz UQMIMLACLDLKKA-CMPLNLGQSA-N -1 1 344.379 -0.351 20 0 EBADMM COCC(=O)N1CC[C@H]2CN(c3nc(C)cc(-c4nn[n-]n4)n3)C[C@H]21 ZINC001570946962 949557498 /nfs/dbraw/zinc/55/74/98/949557498.db2.gz UQMIMLACLDLKKA-CMPLNLGQSA-N -1 1 344.379 -0.351 20 0 EBADMM COC(=O)CCCS(=O)(=O)NCc1nc(-c2nnn[n-]2)cs1 ZINC001570947124 949560040 /nfs/dbraw/zinc/56/00/40/949560040.db2.gz UHZYVVSMKUNNTI-UHFFFAOYSA-N -1 1 346.394 -0.304 20 0 EBADMM COC(=O)CCCS(=O)(=O)NCc1nc(-c2nn[n-]n2)cs1 ZINC001570947124 949560051 /nfs/dbraw/zinc/56/00/51/949560051.db2.gz UHZYVVSMKUNNTI-UHFFFAOYSA-N -1 1 346.394 -0.304 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1COC(=O)N1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574712460 949581463 /nfs/dbraw/zinc/58/14/63/949581463.db2.gz SLTXEVLPXRDKMW-IONNQARKSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1COC(=O)N1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574712460 949581480 /nfs/dbraw/zinc/58/14/80/949581480.db2.gz SLTXEVLPXRDKMW-IONNQARKSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1COC(=O)N1)Nc1nccnc1-c1nnn[n-]1 ZINC001574713577 949606308 /nfs/dbraw/zinc/60/63/08/949606308.db2.gz YDVBHEYIJIECTR-JGVFFNPUSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1COC(=O)N1)Nc1nccnc1-c1nn[n-]n1 ZINC001574713577 949606317 /nfs/dbraw/zinc/60/63/17/949606317.db2.gz YDVBHEYIJIECTR-JGVFFNPUSA-N -1 1 347.339 -0.976 20 0 EBADMM O=C(Nc1c[nH]nc1-c1nn[n-]n1)[C@@H]1CC[C@H](CN2CCOCC2)O1 ZINC001570948371 949606310 /nfs/dbraw/zinc/60/63/10/949606310.db2.gz FGSAVPPUJYNKKY-KOLCDFICSA-N -1 1 348.367 -0.592 20 0 EBADMM NS(=O)(=O)C1CN(C(=O)c2[nH]c3ccccc3c2-c2nn[n-]n2)C1 ZINC001570948589 949612532 /nfs/dbraw/zinc/61/25/32/949612532.db2.gz MHGAAPMZWDSJBU-UHFFFAOYSA-N -1 1 347.360 -0.539 20 0 EBADMM CN(Cc1nccs1)C(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570949081 949631781 /nfs/dbraw/zinc/63/17/81/949631781.db2.gz LZONNJSIRRGYLJ-UHFFFAOYSA-N -1 1 348.348 -0.756 20 0 EBADMM C[C@H]1C[C@@H](C(=O)Nc2[nH]nc(N3CCOCC3)c2-c2nnn[n-]2)CO1 ZINC001570949071 949632348 /nfs/dbraw/zinc/63/23/48/949632348.db2.gz LRNRJDBSMBURJN-DTWKUNHWSA-N -1 1 348.367 -0.210 20 0 EBADMM C[C@H]1C[C@@H](C(=O)Nc2[nH]nc(N3CCOCC3)c2-c2nn[n-]n2)CO1 ZINC001570949071 949632357 /nfs/dbraw/zinc/63/23/57/949632357.db2.gz LRNRJDBSMBURJN-DTWKUNHWSA-N -1 1 348.367 -0.210 20 0 EBADMM C[C@@H](CN(C)C(=O)C[C@@H]1CCCO1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574716132 949683201 /nfs/dbraw/zinc/68/32/01/949683201.db2.gz MYNFFVKWPLKPQU-QWRGUYRKSA-N -1 1 346.395 -0.094 20 0 EBADMM C[C@@H](CN(C)C(=O)C[C@@H]1CCOC1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574716334 949688591 /nfs/dbraw/zinc/68/85/91/949688591.db2.gz JNCDFBHBZHSZQV-QWRGUYRKSA-N -1 1 346.395 -0.236 20 0 EBADMM C[C@@H](CNC(=O)[C@]12C[C@H]1COC2)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001574959076 949703403 /nfs/dbraw/zinc/70/34/03/949703403.db2.gz YHCJBBXDGCSTHG-YHAQOWFVSA-N -1 1 344.379 -0.366 20 0 EBADMM CC(C)[C@@H](O)C(=O)NC[C@@H](C)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001574964066 949765561 /nfs/dbraw/zinc/76/55/61/949765561.db2.gz PIHZXFUSDKNLIU-GXSJLCMTSA-N -1 1 334.384 -0.740 20 0 EBADMM C[C@@H](NC(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)[C@H]1CCOC1 ZINC001575278185 949766595 /nfs/dbraw/zinc/76/65/95/949766595.db2.gz UEPLHFMCOWSABB-BDAKNGLRSA-N -1 1 349.351 -0.940 20 0 EBADMM CC(C)[C@@H](O)C(=O)NC[C@@H](C)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001574964531 949783787 /nfs/dbraw/zinc/78/37/87/949783787.db2.gz XVXRNVGUIDXBOX-JOYOIKCWSA-N -1 1 334.384 -0.162 20 0 EBADMM CC(C)[C@@H](O)C(=O)NC[C@@H](C)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001574964531 949783800 /nfs/dbraw/zinc/78/38/00/949783800.db2.gz XVXRNVGUIDXBOX-JOYOIKCWSA-N -1 1 334.384 -0.162 20 0 EBADMM CC(C)(C)[C@@H](NS(C)(=O)=O)C(=O)Nc1n[nH]cc1-c1nnn[n-]1 ZINC001573825179 949862671 /nfs/dbraw/zinc/86/26/71/949862671.db2.gz LPPMEALDHRUTIM-ZETCQYMHSA-N -1 1 342.385 -0.508 20 0 EBADMM CC(C)(C)[C@@H](NS(C)(=O)=O)C(=O)Nc1n[nH]cc1-c1nn[n-]n1 ZINC001573825179 949862682 /nfs/dbraw/zinc/86/26/82/949862682.db2.gz LPPMEALDHRUTIM-ZETCQYMHSA-N -1 1 342.385 -0.508 20 0 EBADMM C[C@@]1(C(=O)N[C@@H]2CCN(c3nccnc3-c3nnn[n-]3)C2)CCOC1 ZINC001575569163 949912390 /nfs/dbraw/zinc/91/23/90/949912390.db2.gz HBXOGYJGGGNJLD-MEBBXXQBSA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@@]1(C(=O)N[C@@H]2CCN(c3nccnc3-c3nn[n-]n3)C2)CCOC1 ZINC001575569163 949912406 /nfs/dbraw/zinc/91/24/06/949912406.db2.gz HBXOGYJGGGNJLD-MEBBXXQBSA-N -1 1 344.379 -0.222 20 0 EBADMM CC1(C(=O)N[C@H]2CCN(c3ccc(-c4nnn[n-]4)nn3)C[C@H]2O)CC1 ZINC001575569793 949928323 /nfs/dbraw/zinc/92/83/23/949928323.db2.gz OBFHYBQIDDONQM-GXSJLCMTSA-N -1 1 344.379 -0.487 20 0 EBADMM CC1(C(=O)N[C@H]2CCN(c3ccc(-c4nn[n-]n4)nn3)C[C@H]2O)CC1 ZINC001575569793 949928333 /nfs/dbraw/zinc/92/83/33/949928333.db2.gz OBFHYBQIDDONQM-GXSJLCMTSA-N -1 1 344.379 -0.487 20 0 EBADMM CC1(C(=O)N[C@@H]2CCN(c3ccc(-c4nnn[n-]4)nn3)C[C@H]2O)CC1 ZINC001575569795 949928889 /nfs/dbraw/zinc/92/88/89/949928889.db2.gz OBFHYBQIDDONQM-MWLCHTKSSA-N -1 1 344.379 -0.487 20 0 EBADMM CC1(C(=O)N[C@@H]2CCN(c3ccc(-c4nn[n-]n4)nn3)C[C@H]2O)CC1 ZINC001575569795 949928898 /nfs/dbraw/zinc/92/88/98/949928898.db2.gz OBFHYBQIDDONQM-MWLCHTKSSA-N -1 1 344.379 -0.487 20 0 EBADMM C[C@]1(C(=O)N[C@@H]2CCN(c3ccc(-c4nnn[n-]4)nn3)C2)CCOC1 ZINC001575569713 949929796 /nfs/dbraw/zinc/92/97/96/949929796.db2.gz NIPLKVDEUKOKEB-BMIGLBTASA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@]1(C(=O)N[C@@H]2CCN(c3ccc(-c4nn[n-]n4)nn3)C2)CCOC1 ZINC001575569713 949929817 /nfs/dbraw/zinc/92/98/17/949929817.db2.gz NIPLKVDEUKOKEB-BMIGLBTASA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@@]1(C(=O)N[C@@H]2CCN(c3ccc(-c4nnn[n-]4)nn3)C2)CCOC1 ZINC001575569718 949930617 /nfs/dbraw/zinc/93/06/17/949930617.db2.gz NIPLKVDEUKOKEB-MEBBXXQBSA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@@]1(C(=O)N[C@@H]2CCN(c3ccc(-c4nn[n-]n4)nn3)C2)CCOC1 ZINC001575569718 949930635 /nfs/dbraw/zinc/93/06/35/949930635.db2.gz NIPLKVDEUKOKEB-MEBBXXQBSA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@@H](CN(C)C(=O)COCC1CC1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574727238 949960667 /nfs/dbraw/zinc/96/06/67/949960667.db2.gz QKSVHBUZZPBMLJ-JTQLQIEISA-N -1 1 346.395 -0.236 20 0 EBADMM CC(C)OCC(=O)N(C)C[C@H](C)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574727296 949960734 /nfs/dbraw/zinc/96/07/34/949960734.db2.gz RLOAMMJZRCMRDA-JTQLQIEISA-N -1 1 334.384 -0.238 20 0 EBADMM C[C@@H](CC(=O)NC[C@@H](CO)Nc1cncc(-c2nnn[n-]2)n1)C1CC1 ZINC001574492738 950105162 /nfs/dbraw/zinc/10/51/62/950105162.db2.gz CHAQTCXNVVAJNJ-ONGXEEELSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](CC(=O)NC[C@@H](CO)Nc1cncc(-c2nn[n-]n2)n1)C1CC1 ZINC001574492738 950105171 /nfs/dbraw/zinc/10/51/71/950105171.db2.gz CHAQTCXNVVAJNJ-ONGXEEELSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](CC(=O)NC[C@@H](CO)Nc1ccc(-c2nnn[n-]2)nn1)C1CC1 ZINC001574497321 950228348 /nfs/dbraw/zinc/22/83/48/950228348.db2.gz ZFJPABIXULUFDO-KOLCDFICSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](CC(=O)NC[C@@H](CO)Nc1ccc(-c2nn[n-]n2)nn1)C1CC1 ZINC001574497321 950228366 /nfs/dbraw/zinc/22/83/66/950228366.db2.gz ZFJPABIXULUFDO-KOLCDFICSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1C[C@H]1C(N)=O)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574741574 950250612 /nfs/dbraw/zinc/25/06/12/950250612.db2.gz JJKRIMVSIUCYRY-YIZRAAEISA-N -1 1 345.367 -0.963 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1C[C@H]1C(N)=O)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574741574 950250631 /nfs/dbraw/zinc/25/06/31/950250631.db2.gz JJKRIMVSIUCYRY-YIZRAAEISA-N -1 1 345.367 -0.963 20 0 EBADMM C[C@@]1(C(=O)NCCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)CC1(F)F ZINC001575592286 950284061 /nfs/dbraw/zinc/28/40/61/950284061.db2.gz GRWLVHQXJJXSOP-NSHDSACASA-N -1 1 341.278 -0.710 20 0 EBADMM C[C@H](CC(=O)NCCn1cnc(-c2nn[n-]n2)n1)c1cnn(C)c1 ZINC001574509775 950530055 /nfs/dbraw/zinc/53/00/55/950530055.db2.gz XEOIBNWLQFJZAD-SECBINFHSA-N -1 1 330.356 -0.498 20 0 EBADMM C[C@@H]1[C@H](Nc2ccnc(-c3nn[n-]n3)n2)CCN1C(=O)c1ccon1 ZINC001575920561 950631736 /nfs/dbraw/zinc/63/17/36/950631736.db2.gz MLCJVPXLFWCVLS-RKDXNWHRSA-N -1 1 341.335 -0.218 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cn(C)cn1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574759266 950635016 /nfs/dbraw/zinc/63/50/16/950635016.db2.gz AMZWCFWZZUEYEI-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cn(C)cn1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574759266 950635030 /nfs/dbraw/zinc/63/50/30/950635030.db2.gz AMZWCFWZZUEYEI-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H]1CCOCCN1C(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001576214126 950660615 /nfs/dbraw/zinc/66/06/15/950660615.db2.gz NJRHOSBQKJCVKU-VIFPVBQESA-N -1 1 349.351 -0.844 20 0 EBADMM CC(C)(C)S(=O)(=O)CCCn1c(=O)[nH]cc(-c2nn[n-]n2)c1=O ZINC001573876315 950671438 /nfs/dbraw/zinc/67/14/38/950671438.db2.gz KOHGHKCMZLLEEW-UHFFFAOYSA-N -1 1 342.381 -0.268 20 0 EBADMM CC1(C)CC(C(=O)NC[C@H](CO)Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001575628238 950715275 /nfs/dbraw/zinc/71/52/75/950715275.db2.gz ALTBHAQQIQJRQR-SNVBAGLBSA-N -1 1 346.395 -0.596 20 0 EBADMM C[C@H](CN(C)C(=O)c1cncnc1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574763713 950730243 /nfs/dbraw/zinc/73/02/43/950730243.db2.gz ISARIPPRVRNYIQ-SECBINFHSA-N -1 1 340.351 -0.559 20 0 EBADMM C[C@H](CN(C)C(=O)c1cncn1C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574764826 950764835 /nfs/dbraw/zinc/76/48/35/950764835.db2.gz ZBPOGANFBILQNV-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CN(C)C(=O)c1cncn1C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574764826 950764846 /nfs/dbraw/zinc/76/48/46/950764846.db2.gz ZBPOGANFBILQNV-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cnon1)Nc1nccnc1-c1nnn[n-]1 ZINC001574767606 950857799 /nfs/dbraw/zinc/85/77/99/950857799.db2.gz SYAZTXYIURMHPU-ZETCQYMHSA-N -1 1 330.312 -0.388 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cnon1)Nc1nccnc1-c1nn[n-]n1 ZINC001574767606 950857813 /nfs/dbraw/zinc/85/78/13/950857813.db2.gz SYAZTXYIURMHPU-ZETCQYMHSA-N -1 1 330.312 -0.388 20 0 EBADMM C[C@@H](CNC(=O)c1ccnn1C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575033757 951227915 /nfs/dbraw/zinc/22/79/15/951227915.db2.gz AAVSCYYHDHXWJE-QMMMGPOBSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@@H](CNC(=O)c1ccnn1C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575033757 951227923 /nfs/dbraw/zinc/22/79/23/951227923.db2.gz AAVSCYYHDHXWJE-QMMMGPOBSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@H](CNC(=O)c1ccnnc1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001575033902 951231941 /nfs/dbraw/zinc/23/19/41/951231941.db2.gz DSCZFZBDPKGTOQ-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@H](CNC(=O)c1ccnnc1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575033902 951231948 /nfs/dbraw/zinc/23/19/48/951231948.db2.gz DSCZFZBDPKGTOQ-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1ccncn1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575035567 951278906 /nfs/dbraw/zinc/27/89/06/951278906.db2.gz XTJRDODBVYJZBN-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@@H](CNC(=O)c1ccncn1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575035567 951278916 /nfs/dbraw/zinc/27/89/16/951278916.db2.gz XTJRDODBVYJZBN-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@@H](CNC(=O)c1ccn(C)c1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575036703 951300503 /nfs/dbraw/zinc/30/05/03/951300503.db2.gz WHTCIUXEISGOKX-VIFPVBQESA-N -1 1 327.352 -0.353 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)cn1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575042366 951360236 /nfs/dbraw/zinc/36/02/36/951360236.db2.gz INBJPPHSVFYUMM-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)cn1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575042366 951360254 /nfs/dbraw/zinc/36/02/54/951360254.db2.gz INBJPPHSVFYUMM-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@@H](CNC(=O)c1cnccn1)Nc1nccnc1-c1nnn[n-]1 ZINC001575046946 951450862 /nfs/dbraw/zinc/45/08/62/951450862.db2.gz VJWWABUHJQVYSG-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@@H](CNC(=O)c1cnccn1)Nc1nccnc1-c1nn[n-]n1 ZINC001575046946 951450866 /nfs/dbraw/zinc/45/08/66/951450866.db2.gz VJWWABUHJQVYSG-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)n1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575054127 951486961 /nfs/dbraw/zinc/48/69/61/951486961.db2.gz WCBADCQFLSBJLY-MRVPVSSYSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)n1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575054127 951486968 /nfs/dbraw/zinc/48/69/68/951486968.db2.gz WCBADCQFLSBJLY-MRVPVSSYSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@H](CNC(=O)c1cnnn1C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001575055205 951499807 /nfs/dbraw/zinc/49/98/07/951499807.db2.gz OBZCBFOSWFDETG-SSDOTTSWSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@H](CNC(=O)c1cnnn1C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575055205 951499811 /nfs/dbraw/zinc/49/98/11/951499811.db2.gz OBZCBFOSWFDETG-SSDOTTSWSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@@H](CNC(=O)c1cnsn1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575055244 951501352 /nfs/dbraw/zinc/50/13/52/951501352.db2.gz ORKWBFHIMFAEQG-ZETCQYMHSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@@H](CNC(=O)c1cnsn1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575055244 951501360 /nfs/dbraw/zinc/50/13/60/951501360.db2.gz ORKWBFHIMFAEQG-ZETCQYMHSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@H](CNC(=O)c1n[nH]cc1F)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575060829 951549863 /nfs/dbraw/zinc/54/98/63/951549863.db2.gz HIYVCUBVNPUMPZ-ZCFIWIBFSA-N -1 1 332.303 -0.251 20 0 EBADMM C[C@H](CNC(=O)c1csnn1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575062138 951566819 /nfs/dbraw/zinc/56/68/19/951566819.db2.gz ULTLNBLPEGAESU-SSDOTTSWSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@H](CNC(=O)c1csnn1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575062138 951566823 /nfs/dbraw/zinc/56/68/23/951566823.db2.gz ULTLNBLPEGAESU-SSDOTTSWSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@@H](CNC(=O)c1csnn1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575062804 951571607 /nfs/dbraw/zinc/57/16/07/951571607.db2.gz YTNXWJPBBQWSIS-SSDOTTSWSA-N -1 1 346.380 -0.592 20 0 EBADMM C[C@H](CNC(=O)c1csnn1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575062855 951574056 /nfs/dbraw/zinc/57/40/56/951574056.db2.gz ZQYKITOVVVIHNT-ZETCQYMHSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@H](CNC(=O)c1csnn1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575062855 951574060 /nfs/dbraw/zinc/57/40/60/951574060.db2.gz ZQYKITOVVVIHNT-ZETCQYMHSA-N -1 1 346.380 -0.014 20 0 EBADMM O=C([O-])CNC(=O)CNC1(C(=O)N2CCOCC2)CCCCC1 ZINC001595098820 951843831 /nfs/dbraw/zinc/84/38/31/951843831.db2.gz TZYLLLXALWZTRD-UHFFFAOYSA-N -1 1 327.381 -0.662 20 0 EBADMM C[C@@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)c1cnn(C)n1 ZINC001575136243 952123210 /nfs/dbraw/zinc/12/32/10/952123210.db2.gz NYTJTIYZPLOSCQ-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)c1cnn(C)n1 ZINC001575136243 952123215 /nfs/dbraw/zinc/12/32/15/952123215.db2.gz NYTJTIYZPLOSCQ-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1cc[nH]c1 ZINC001575145221 952180097 /nfs/dbraw/zinc/18/00/97/952180097.db2.gz SSUXMINMJAVJRV-SECBINFHSA-N -1 1 327.352 -0.021 20 0 EBADMM C[C@@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)[C@@H]1CCC(=O)N1 ZINC001575153155 952207006 /nfs/dbraw/zinc/20/70/06/952207006.db2.gz DFTOJZIOBJBNOL-IUCAKERBSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)[C@@H]1CCC(=O)N1 ZINC001575153155 952207014 /nfs/dbraw/zinc/20/70/14/952207014.db2.gz DFTOJZIOBJBNOL-IUCAKERBSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)C1=COCCO1 ZINC001575156186 952249127 /nfs/dbraw/zinc/24/91/27/952249127.db2.gz QQCMFGQXDAODON-SECBINFHSA-N -1 1 346.351 -0.196 20 0 EBADMM C[C@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)C1=COCCO1 ZINC001575156186 952249131 /nfs/dbraw/zinc/24/91/31/952249131.db2.gz QQCMFGQXDAODON-SECBINFHSA-N -1 1 346.351 -0.196 20 0 EBADMM C[C@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)c1nc[nH]n1 ZINC001575156991 952261942 /nfs/dbraw/zinc/26/19/42/952261942.db2.gz KHZAVDMDEVLKOL-SSDOTTSWSA-N -1 1 329.328 -0.653 20 0 EBADMM C[C@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)c1nc[nH]n1 ZINC001575156991 952261946 /nfs/dbraw/zinc/26/19/46/952261946.db2.gz KHZAVDMDEVLKOL-SSDOTTSWSA-N -1 1 329.328 -0.653 20 0 EBADMM C[C@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)[C@H]1C[C@H]1C(N)=O ZINC001575158448 952271598 /nfs/dbraw/zinc/27/15/98/952271598.db2.gz VOAWZBWPZNMOHK-HLTSFMKQSA-N -1 1 345.367 -0.963 20 0 EBADMM C[C@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)[C@H]1C[C@H]1C(N)=O ZINC001575158448 952271604 /nfs/dbraw/zinc/27/16/04/952271604.db2.gz VOAWZBWPZNMOHK-HLTSFMKQSA-N -1 1 345.367 -0.963 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)c1cn(C)cn1 ZINC001575178205 952404840 /nfs/dbraw/zinc/40/48/40/952404840.db2.gz LFARIOVFUXJOIY-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)c1cn(C)cn1 ZINC001575178205 952404846 /nfs/dbraw/zinc/40/48/46/952404846.db2.gz LFARIOVFUXJOIY-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM CC(CO)(CO)CNC(=O)NCc1ccc(-c2nn[n-]n2)s1 ZINC001575178943 952409191 /nfs/dbraw/zinc/40/91/91/952409191.db2.gz QXJOISISCKYMEZ-UHFFFAOYSA-N -1 1 326.382 -0.282 20 0 EBADMM C[C@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)c1ccnn1C ZINC001575180221 952416885 /nfs/dbraw/zinc/41/68/85/952416885.db2.gz UDRZNSRWIQVBFW-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)c1ccnn1C ZINC001575180221 952416889 /nfs/dbraw/zinc/41/68/89/952416889.db2.gz UDRZNSRWIQVBFW-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)[C@H]1CCC(=O)N1 ZINC001575180528 952418269 /nfs/dbraw/zinc/41/82/69/952418269.db2.gz VJQXYSCCPOHWLM-DTWKUNHWSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)[C@H]1CCC(=O)N1 ZINC001575180528 952418274 /nfs/dbraw/zinc/41/82/74/952418274.db2.gz VJQXYSCCPOHWLM-DTWKUNHWSA-N -1 1 345.367 -0.806 20 0 EBADMM O=C([O-])C1(C(=O)NC[C@@H]2CN3CCC2CC3)CCS(=O)(=O)CC1 ZINC001594858787 954258856 /nfs/dbraw/zinc/25/88/56/954258856.db2.gz BUVCBWIDXMNNEJ-GFCCVEGCSA-N -1 1 344.433 -0.276 20 0 EBADMM O=C([O-])[C@@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1CCn1cnnn1 ZINC001594894842 954558240 /nfs/dbraw/zinc/55/82/40/954558240.db2.gz AFZFHIWQOKQOGU-YUMQZZPRSA-N -1 1 336.274 -0.731 20 0 EBADMM O=C([O-])[C@H]1CN(C(=O)C(=O)N2CCC(c3cnc[nH]3)CC2)CCO1 ZINC001594941087 954892317 /nfs/dbraw/zinc/89/23/17/954892317.db2.gz WYTXYSBXXSHEGC-GFCCVEGCSA-N -1 1 336.348 -0.572 20 0 EBADMM C[C@H](CCN1CCN(C)CC1)NS(=O)(=O)N1CCC[C@H]1C(=O)[O-] ZINC001589014336 955418937 /nfs/dbraw/zinc/41/89/37/955418937.db2.gz VDZAQGOAWNTEES-OLZOCXBDSA-N -1 1 348.469 -0.604 20 0 EBADMM Cn1ncc(C(=O)[O-])c1CNCCS(=O)(=O)NCC1CCC1 ZINC001594579980 955953873 /nfs/dbraw/zinc/95/38/73/955953873.db2.gz BEPDKLCRLDGXGY-UHFFFAOYSA-N -1 1 330.410 -0.073 20 0 EBADMM Cn1nccc1[C@@H](O)C1CCN([C@H]2CCN(CC(=O)[O-])C2=O)CC1 ZINC001594593751 956069596 /nfs/dbraw/zinc/06/95/96/956069596.db2.gz WDXNKFWFONEXJB-ZFWWWQNUSA-N -1 1 336.392 -0.149 20 0 EBADMM CC(C)[C@@H](NCC(=O)NCc1ccc(OCC(N)=O)cc1)C(=O)[O-] ZINC001603093212 972166078 /nfs/dbraw/zinc/16/60/78/972166078.db2.gz HGRHTMULOWKRHL-OAHLLOKOSA-N -1 1 337.376 -0.134 20 0 EBADMM O=C([O-])C1CCC(O)(CN2CCN(CC(=O)NC3CC3)CC2)CC1 ZINC001594695853 956769605 /nfs/dbraw/zinc/76/96/05/956769605.db2.gz AURBWPJWYJWVID-UHFFFAOYSA-N -1 1 339.436 -0.112 20 0 EBADMM CC1(C)CN(C(=O)NCC2(C(=O)[O-])CCOCC2)CCN1CCO ZINC001589230212 956817389 /nfs/dbraw/zinc/81/73/89/956817389.db2.gz OOGDIIGFRHUGHE-UHFFFAOYSA-N -1 1 343.424 -0.034 20 0 EBADMM COCCN(C)CCNC(=O)C(=O)N1CC[C@@H](C(=O)[O-])[C@H](C)C1 ZINC001593995870 956897399 /nfs/dbraw/zinc/89/73/99/956897399.db2.gz ZEIOAZKRFPXOQJ-VXGBXAGGSA-N -1 1 329.397 -0.750 20 0 EBADMM CC1(N2CCOCC2)CCN(C(=O)[C@@H]2CC(C(=O)[O-])=NO2)CC1 ZINC001589246480 956927510 /nfs/dbraw/zinc/92/75/10/956927510.db2.gz CINGCOSWGRBGPH-LBPRGKRZSA-N -1 1 325.365 -0.071 20 0 EBADMM COCCO[C@@H]1C[C@H](CO)CN(Cc2c(C(=O)[O-])cnn2C)C1 ZINC001594019299 957071324 /nfs/dbraw/zinc/07/13/24/957071324.db2.gz YVSFHGQXTRMQBF-NWDGAFQWSA-N -1 1 327.381 -0.036 20 0 EBADMM C[C@@H]1CN(C(=O)NC2CCN(CC(=O)[O-])CC2)CCS1(=O)=O ZINC001594221557 959382318 /nfs/dbraw/zinc/38/23/18/959382318.db2.gz DSOGHUAFRSRAEG-SNVBAGLBSA-N -1 1 333.410 -0.636 20 0 EBADMM C[C@@H]1[C@H](NC(=O)NC2CCN(CC(=O)[O-])CC2)CCS1(=O)=O ZINC001594231684 959476134 /nfs/dbraw/zinc/47/61/34/959476134.db2.gz SDUGFKWSBQAZBI-MWLCHTKSSA-N -1 1 333.410 -0.590 20 0 EBADMM CC(C)(C)N1CC[C@@H]1CNC(=O)C(=O)Nc1ccn(CC(=O)[O-])n1 ZINC001588660432 960437655 /nfs/dbraw/zinc/43/76/55/960437655.db2.gz ZJAYPWMTCGQZDO-SNVBAGLBSA-N -1 1 337.380 -0.105 20 0 EBADMM Cn1ncc(C(=O)N2CCC[C@H](NC(=O)C(F)(F)F)[C@@H]2C(=O)[O-])n1 ZINC001574467888 961594881 /nfs/dbraw/zinc/59/48/81/961594881.db2.gz XARNHRIBECFOSL-POYBYMJQSA-N -1 1 349.269 -0.449 20 0 EBADMM O=C([O-])CC[C@@H]1CC[C@H](C(=O)N2CCOC[C@@H]2c2nn[nH]n2)O1 ZINC001571167322 962005944 /nfs/dbraw/zinc/00/59/44/962005944.db2.gz CIWJVSGLIZCKDA-IVZWLZJFSA-N -1 1 325.325 -0.488 20 0 EBADMM O=C([O-])[C@H]1C[C@@H](S(=O)(=O)NCc2n[nH]c([C@H]3CCCO3)n2)C1 ZINC001571190638 962244022 /nfs/dbraw/zinc/24/40/22/962244022.db2.gz LQJWSADPCRMRON-HRDYMLBCSA-N -1 1 330.366 -0.061 20 0 EBADMM O=C([O-])c1nccc(NC[C@H]2CCCN2C(=O)Cc2ncn[nH]2)n1 ZINC001571195635 962303607 /nfs/dbraw/zinc/30/36/07/962303607.db2.gz DKWHOEBMKFPIBJ-SECBINFHSA-N -1 1 331.336 -0.640 20 0 EBADMM NC(=O)COc1cccc(C(=O)N[C@H](Cc2cnc[nH]2)C(=O)[O-])c1 ZINC001571201686 962370649 /nfs/dbraw/zinc/37/06/49/962370649.db2.gz YECIWLGGRSVYBI-GFCCVEGCSA-N -1 1 332.316 -0.301 20 0 EBADMM COC[C@@]1(C(=O)[O-])CN(C(=O)N[C@@H]2CCc3[nH]cnc3C2)CCO1 ZINC001574142049 962584630 /nfs/dbraw/zinc/58/46/30/962584630.db2.gz RNBYIRCAPLDMNC-MEBBXXQBSA-N -1 1 338.364 -0.221 20 0 EBADMM O=C([O-])[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1C[C@@H]1CNC(=O)C1 ZINC001606555106 970337500 /nfs/dbraw/zinc/33/75/00/970337500.db2.gz FJYJYHNLKGRLHU-OYNCUSHFSA-N -1 1 337.298 -0.281 20 0 EBADMM O=C([O-])CN1CCN(C(=O)[C@H]2CC(=O)N(CC(F)(F)F)C2)CC1 ZINC000319497809 970542736 /nfs/dbraw/zinc/54/27/36/970542736.db2.gz FVHRUHTYNVZFSQ-VIFPVBQESA-N -1 1 337.298 -0.374 20 0 EBADMM CN1CCN(c2ncccc2CNC(=O)[C@H]2CC(C(=O)[O-])=NO2)CC1 ZINC001604227704 972726248 /nfs/dbraw/zinc/72/62/48/972726248.db2.gz KYUMQFSLVSASTK-CYBMUJFWSA-N -1 1 347.375 -0.321 20 0 EBADMM C[C@H]1CN(CCCOC(=O)[C@H]2CCCN(CC(=O)[O-])C2=O)CCO1 ZINC001603461194 973297819 /nfs/dbraw/zinc/29/78/19/973297819.db2.gz OOHSUVBDBCXTQO-STQMWFEESA-N -1 1 342.392 -0.036 20 0 EBADMM Cc1nnc(SCC(=O)N[C@@H]2CCCCN(CC(=O)[O-])C2=O)[nH]1 ZINC001605388052 973357810 /nfs/dbraw/zinc/35/78/10/973357810.db2.gz CJPOAFRTLPZVTI-SECBINFHSA-N -1 1 341.393 -0.213 20 0 EBADMM O=C([O-])CCCNC(=O)C(=O)N1CC[C@@H]2[C@@H]1CCCN2CCO ZINC001606175200 973422826 /nfs/dbraw/zinc/42/28/26/973422826.db2.gz TYDLMSAMLIRCCQ-NEPJUHHUSA-N -1 1 327.381 -0.975 20 0 EBADMM O=C([O-])CN1CCCC[C@@H](NC(=O)C2CN([C@H]3CCOC3)C2)C1=O ZINC001606258529 973611735 /nfs/dbraw/zinc/61/17/35/973611735.db2.gz WKDCDYGEENFHIB-QWHCGFSZSA-N -1 1 339.392 -0.711 20 0 EBADMM C[C@@H](CCNC(=O)C1=NC(=O)N(C)C1)Nc1ccnc(C(=O)[O-])n1 ZINC001592519946 978365910 /nfs/dbraw/zinc/36/59/10/978365910.db2.gz KWRQTPFZEKFGDN-QMMMGPOBSA-N -1 1 334.336 -0.344 20 0 EBADMM C[C@@H](CS(=O)(=O)N[C@H](CO)C(=O)OCc1ccccc1)C(=O)[O-] ZINC001592806003 979466224 /nfs/dbraw/zinc/46/62/24/979466224.db2.gz BEYRVIHOHLAIJA-CMPLNLGQSA-N -1 1 345.373 -0.269 20 0 EBADMM CC[C@@](COC)(NS(=O)(=O)c1cc(C(=O)OC)no1)C(=O)[O-] ZINC001595199585 979942216 /nfs/dbraw/zinc/94/22/16/979942216.db2.gz LAKOZSHJBDQVEA-NSHDSACASA-N -1 1 336.322 -0.381 20 0 EBADMM CC[C@H](O)Cn1cc(C(=O)N2CCN(C)C[C@@H](C(=O)[O-])C2)nn1 ZINC001595332166 980578695 /nfs/dbraw/zinc/57/86/95/980578695.db2.gz SGYVDNMJGUCUNN-MNOVXSKESA-N -1 1 325.369 -0.863 20 0 EBADMM CCCCS(=O)(=O)NCC(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC001595786925 982578644 /nfs/dbraw/zinc/57/86/44/982578644.db2.gz CCNKVMBEWDWVGT-GFCCVEGCSA-N -1 1 349.453 -0.287 20 0 EBADMM C[C@H](C(=O)N1CCN(CC(=O)[O-])CC1)N1C(=O)c2ccccc2C1=O ZINC001599885791 984634932 /nfs/dbraw/zinc/63/49/32/984634932.db2.gz RDOGCIWZNXLEJM-LLVKDONJSA-N -1 1 345.355 -0.100 20 0 EBADMM Cc1[nH]c(C(=O)N2CCC(O)(c3nn[nH]n3)CC2)c(C)c1C(=O)[O-] ZINC001599950407 985598927 /nfs/dbraw/zinc/59/89/27/985598927.db2.gz IWYMLHZNBFYJFS-UHFFFAOYSA-N -1 1 334.336 -0.033 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@H]1CC(c2cnn(C)c2)=NO1 ZINC001594515423 985874820 /nfs/dbraw/zinc/87/48/20/985874820.db2.gz OEECNKDWEQOWLJ-SMDDNHRTSA-N -1 1 349.391 -0.080 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)COCc1nncn1C ZINC001594516193 985896361 /nfs/dbraw/zinc/89/63/61/985896361.db2.gz VALNHVHLXQDICC-NSHDSACASA-N -1 1 325.369 -0.661 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)CCCN1C(=O)CCC1=O ZINC001594516565 985909158 /nfs/dbraw/zinc/90/91/58/985909158.db2.gz YAMNYTRUTKHRDN-LBPRGKRZSA-N -1 1 339.392 -0.077 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NCc1nc2ncccn2n1 ZINC001594519098 985942562 /nfs/dbraw/zinc/94/25/62/985942562.db2.gz KFPDAWWGJZBLHT-LLVKDONJSA-N -1 1 347.379 -0.185 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)c1ccc(-c2nn[nH]n2)cn1 ZINC001594520256 985973760 /nfs/dbraw/zinc/97/37/60/985973760.db2.gz OIYCBVFYFMJBTM-SNVBAGLBSA-N -1 1 345.363 -0.117 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)c1ccc(-c2nn[nH]n2)cn1 ZINC001594520255 985974999 /nfs/dbraw/zinc/97/49/99/985974999.db2.gz OIYCBVFYFMJBTM-JTQLQIEISA-N -1 1 345.363 -0.117 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1CC(=O)N=[S@](C)(=O)N(C)C ZINC001594521851 985996663 /nfs/dbraw/zinc/99/66/63/985996663.db2.gz KHGDHHJZFLWFAL-XFNZEKPQSA-N -1 1 334.442 -0.432 20 0 EBADMM C[C@H]1CNCCN1C(=O)C(=O)N[C@@H]1CCOC2(CC(C(=O)[O-])C2)C1 ZINC001594585368 986477588 /nfs/dbraw/zinc/47/75/88/986477588.db2.gz YVIIYMIRXKATCI-BYHRKAOISA-N -1 1 339.392 -0.665 20 0 EBADMM C[C@H](C(=O)NCC(=O)[O-])N1C[C@H]2COC[C@@]2(C(=O)OC(C)(C)C)C1 ZINC001589400328 986566919 /nfs/dbraw/zinc/56/69/19/986566919.db2.gz KHWJBXAZVVRCDT-GDLVEWKHSA-N -1 1 342.392 -0.134 20 0 EBADMM CCS(=O)(=O)N1CCC(CNCc2cn(CC(=O)[O-])nn2)CC1 ZINC001597219383 987149405 /nfs/dbraw/zinc/14/94/05/987149405.db2.gz OIFQLQROBAKHNX-UHFFFAOYSA-N -1 1 345.425 -0.486 20 0 EBADMM CCS(=O)(=O)N[C@H](C)C(=O)N1CCN(CCC(=O)[O-])[C@@H](C)C1 ZINC001597224417 987165300 /nfs/dbraw/zinc/16/53/00/987165300.db2.gz WECPGZFOTYLQKD-WDEREUQCSA-N -1 1 335.426 -0.678 20 0 EBADMM CCS(=O)(=O)NCCN1CCC(n2cc(C(=O)[O-])nn2)CC1 ZINC001597225076 987171360 /nfs/dbraw/zinc/17/13/60/987171360.db2.gz JAVSRKPABDHKQX-UHFFFAOYSA-N -1 1 331.398 -0.448 20 0 EBADMM C[C@H](C(=O)[O-])c1cccc(NC(=O)C(=O)NCCN2CC[C@H](O)C2)c1 ZINC001589522455 987178194 /nfs/dbraw/zinc/17/81/94/987178194.db2.gz KRTBYFZFXXGKCT-FZMZJTMJSA-N -1 1 349.387 -0.004 20 0 EBADMM CC(C)(C(=O)N1CC(CNC(=O)[C@@H]2C[C@H]2C(=O)[O-])C1)c1c[nH]cn1 ZINC001589560725 987316668 /nfs/dbraw/zinc/31/66/68/987316668.db2.gz OHZCZPVKSHFXAR-GHMZBOCLSA-N -1 1 334.376 -0.017 20 0 EBADMM CC(C)(C(=O)[O-])N1CCN(C(=O)C(=O)NCc2cccnc2)CC1 ZINC001589621093 987501056 /nfs/dbraw/zinc/50/10/56/987501056.db2.gz SHVLFWOBRXZCBO-UHFFFAOYSA-N -1 1 334.376 -0.295 20 0 EBADMM C[C@@H]1CN(C(=O)C(=O)NCCCCN2CCOCC2)C[C@H]1C(=O)[O-] ZINC001599850473 989004394 /nfs/dbraw/zinc/00/43/94/989004394.db2.gz QJYKBBBMUGDNFP-CHWSQXEVSA-N -1 1 341.408 -0.606 20 0 EBADMM CCn1ccc(CC(=O)N(CCN2CCN(C)CC2)CC(=O)[O-])n1 ZINC001597905232 989794979 /nfs/dbraw/zinc/79/49/79/989794979.db2.gz XNJJIEGHJHNASH-UHFFFAOYSA-N -1 1 337.424 -0.394 20 0 EBADMM CC(C)(CO)N1CCN([C@@H]2CCCN(CCC(=O)[O-])C2=O)CC1 ZINC001590783906 990601226 /nfs/dbraw/zinc/60/12/26/990601226.db2.gz UOJLXRORSZXCCK-CYBMUJFWSA-N -1 1 327.425 -0.159 20 0 EBADMM CN(C)S(=O)(=O)NCCNCc1ccccc1OCC(=O)[O-] ZINC001598266904 991115670 /nfs/dbraw/zinc/11/56/70/991115670.db2.gz KGLFEECNKPBATP-UHFFFAOYSA-N -1 1 331.394 -0.364 20 0 EBADMM CN(C)S(=O)(=O)c1ccc(CN2CCN(CC(=O)[O-])CC2)o1 ZINC001598271461 991142232 /nfs/dbraw/zinc/14/22/32/991142232.db2.gz VYVOLMVQBZSYHP-UHFFFAOYSA-N -1 1 331.394 -0.268 20 0 EBADMM CC(C)[C@H]1CNCCN1C(=O)C(=O)N1CCO[C@@H](CC(=O)[O-])C1 ZINC001591096814 991694090 /nfs/dbraw/zinc/69/40/90/991694090.db2.gz UIQRPVKJFGOISB-NWDGAFQWSA-N -1 1 327.381 -0.855 20 0 EBADMM CC(C)[C@@H]1CNCCN1C(=O)C(=O)N1CCO[C@@H](CC(=O)[O-])C1 ZINC001591096815 991694334 /nfs/dbraw/zinc/69/43/34/991694334.db2.gz UIQRPVKJFGOISB-RYUDHWBXSA-N -1 1 327.381 -0.855 20 0 EBADMM CC(C)CN(CCC(=O)[O-])CC(=O)c1c(N)n(C)c(=O)n(C)c1=O ZINC001591331624 992305435 /nfs/dbraw/zinc/30/54/35/992305435.db2.gz OUMFXFHAWLOXMA-UHFFFAOYSA-N -1 1 340.380 -0.718 20 0 EBADMM CC(C)Cn1[nH]c(CC(=O)N[C@H](Cn2cncn2)C(=O)[O-])cc1=O ZINC001591505330 992649347 /nfs/dbraw/zinc/64/93/47/992649347.db2.gz HDYVANPAUNIZML-LLVKDONJSA-N -1 1 336.352 -0.764 20 0 EBADMM CC(C)Cn1[nH]c(CC(=O)N[C@@H](Cn2cncn2)C(=O)[O-])cc1=O ZINC001591505331 992649582 /nfs/dbraw/zinc/64/95/82/992649582.db2.gz HDYVANPAUNIZML-NSHDSACASA-N -1 1 336.352 -0.764 20 0 EBADMM CN1CCN(C(=O)C(=O)Nc2ccn3ccnc3c2)C[C@@H](C(=O)[O-])C1 ZINC001598603836 993609574 /nfs/dbraw/zinc/60/95/74/993609574.db2.gz MJSKIEXMXHYKEP-NSHDSACASA-N -1 1 345.359 -0.252 20 0 EBADMM CN1CCN(C(=O)Cn2ncc(=O)c3ccccc32)C[C@@H](C(=O)[O-])C1 ZINC001598606868 993677248 /nfs/dbraw/zinc/67/72/48/993677248.db2.gz DCOVMXYBBCXEKI-LBPRGKRZSA-N -1 1 344.371 -0.129 20 0 EBADMM CN1CCN(C2CCN(S(=O)(=O)CCCC(=O)[O-])CC2)CC1 ZINC001598616479 993890843 /nfs/dbraw/zinc/89/08/43/993890843.db2.gz ULCGMFHLUZEUMK-UHFFFAOYSA-N -1 1 333.454 -0.107 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])CN2C[C@@H]3CCC[C@@H]3C2=O)CC1 ZINC001598617219 993910778 /nfs/dbraw/zinc/91/07/78/993910778.db2.gz PODVBKGIPZKUBZ-GJZGRUSLSA-N -1 1 338.452 -0.164 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])S(=O)(=O)CCCCF)CC1 ZINC001598617300 993911707 /nfs/dbraw/zinc/91/17/07/993911707.db2.gz QXUMOENYVFWCEQ-UHFFFAOYSA-N -1 1 339.433 -0.300 20 0 EBADMM CN1CCN(c2cc(C(=O)N3C[C@H](O)C[C@H]3C(=O)[O-])ccn2)CC1 ZINC001598619403 993971423 /nfs/dbraw/zinc/97/14/23/993971423.db2.gz GDJDYISOGUIRMN-OLZOCXBDSA-N -1 1 334.376 -0.507 20 0 EBADMM CNS(=O)(=O)C[C@H]1CCCN(CCc2cn(CC(=O)[O-])nn2)C1 ZINC001598670873 994753679 /nfs/dbraw/zinc/75/36/79/994753679.db2.gz KHSDNTWMUULABD-NSHDSACASA-N -1 1 345.425 -0.834 20 0 EBADMM CNS(=O)(=O)c1cccc([C@H](C)N[C@H](C)C(=O)NCC(=O)[O-])c1 ZINC001598676424 994852098 /nfs/dbraw/zinc/85/20/98/994852098.db2.gz GSFJLXRDGIQJPL-VHSXEESVSA-N -1 1 343.405 -0.165 20 0 EBADMM C[C@H](NS(=O)(=O)c1cc(C(=O)[O-])no1)C(=O)NCC(F)(F)F ZINC001593330653 994897420 /nfs/dbraw/zinc/89/74/20/994897420.db2.gz NJVASUOGUSCRRW-BYPYZUCNSA-N -1 1 345.255 -0.282 20 0 EBADMM C[C@H](O)CN1CCN(C(=O)CN2CCC[C@H](C(=O)[O-])C2=O)[C@H](C)C1 ZINC001593462766 995389327 /nfs/dbraw/zinc/38/93/27/995389327.db2.gz OWQLJQYBGQUBJL-AGIUHOORSA-N -1 1 341.408 -0.777 20 0 EBADMM COC(=O)C1CCN(C(=O)CN2CC[C@](OC)(C(=O)[O-])C2)CC1 ZINC001598793675 996094761 /nfs/dbraw/zinc/09/47/61/996094761.db2.gz MDVFHKNXYVWMPG-OAHLLOKOSA-N -1 1 328.365 -0.427 20 0 EBADMM COC(=O)[C@@H]1CN(C(=O)c2cn[nH]c2-c2cnn(C)c2)C[C@@H]1C(=O)[O-] ZINC001598814701 996260219 /nfs/dbraw/zinc/26/02/19/996260219.db2.gz CRNZBWLOYIAJIJ-WDEREUQCSA-N -1 1 347.331 -0.244 20 0 EBADMM COC(=O)[C@H]1CN(Cc2ccc(S(N)(=O)=O)cc2)C[C@@H]1C(=O)[O-] ZINC001598830260 996428479 /nfs/dbraw/zinc/42/84/79/996428479.db2.gz WNFGVYQVCGAIQV-RYUDHWBXSA-N -1 1 342.373 -0.360 20 0 EBADMM COC(=O)C[C@@H](C)CC(=O)N1CCN([C@]2(C(=O)[O-])CCOC2)CC1 ZINC001598836135 996486121 /nfs/dbraw/zinc/48/61/21/996486121.db2.gz UUEFNXIGNOTUNY-BLLLJJGKSA-N -1 1 342.392 -0.036 20 0 EBADMM COC(=O)CCNC(=O)CN1[C@@H]2C[C@H](C(=O)[O-])O[C@H]2CC[C@H]1C ZINC001598855527 996635286 /nfs/dbraw/zinc/63/52/86/996635286.db2.gz XJRZHVRIOHYXOU-WISYIIOYSA-N -1 1 328.365 -0.239 20 0 EBADMM COc1cc(C(=O)[O-])ccc1OC[C@@H](O)CN1C[C@@H](O)[C@H](OC)C1 ZINC001599380706 996837927 /nfs/dbraw/zinc/83/79/27/996837927.db2.gz LKJLDRPOLXYKSQ-YWPYICTPSA-N -1 1 341.360 -0.175 20 0 EBADMM COc1cc(C(=O)[O-])ccc1OC[C@H](O)CN(C)[C@@H]1CCNC1=O ZINC001599381215 996852771 /nfs/dbraw/zinc/85/27/71/996852771.db2.gz UHPRJARQBBQNDB-VXGBXAGGSA-N -1 1 338.360 -0.047 20 0 EBADMM CC1(C)CN(C(=O)NC[C@H]2CCO[C@H]2C(=O)[O-])CCN1CCO ZINC001593929278 996889781 /nfs/dbraw/zinc/88/97/81/996889781.db2.gz RHWRGYRJOAMJMW-VXGBXAGGSA-N -1 1 329.397 -0.426 20 0 EBADMM COC(=O)[C@@H](NS(=O)(=O)Cc1cccc(C(=O)[O-])c1)[C@@H](C)O ZINC001598894329 997052296 /nfs/dbraw/zinc/05/22/96/997052296.db2.gz PREDGLDTFLZCPE-KCJUWKMLSA-N -1 1 331.346 -0.273 20 0 EBADMM COC(=O)[C@@H]1C[C@@H]1CNC(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C ZINC001598900598 997164070 /nfs/dbraw/zinc/16/40/70/997164070.db2.gz ZMVRTAVEQGNJPL-QJPTWQEYSA-N -1 1 327.381 -0.014 20 0 EBADMM COC(=O)[C@@H]1OCC[C@@H]1NCC(=O)NC1(C(=O)[O-])CCCCC1 ZINC001598902504 997198935 /nfs/dbraw/zinc/19/89/35/997198935.db2.gz ZEOPFICJMGAPAV-CMPLNLGQSA-N -1 1 328.365 -0.190 20 0 EBADMM CC(C)(C(=O)[O-])N1CCN(C(=O)C(=O)NCC2(C)COC2)CC1 ZINC001594013095 997476559 /nfs/dbraw/zinc/47/65/59/997476559.db2.gz NCOWVFWJBFOBDN-UHFFFAOYSA-N -1 1 327.381 -0.854 20 0 EBADMM COCCN(C)CCNC(=O)C(=O)N1CC[C@]2(C(=O)[O-])CCC[C@H]12 ZINC001599230641 997843837 /nfs/dbraw/zinc/84/38/37/997843837.db2.gz AOPXSPCYAFNPOD-BLLLJJGKSA-N -1 1 341.408 -0.463 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@]2(CC(=O)[O-])CCOC2)on1 ZINC001598954557 998217009 /nfs/dbraw/zinc/21/70/09/998217009.db2.gz YJXASTPBECHVGZ-LLVKDONJSA-N -1 1 334.306 -0.627 20 0 EBADMM COc1ccc(CNC(=O)C(=O)N2CCNC[C@@H]2C)cc1C(=O)[O-] ZINC001599563732 998248356 /nfs/dbraw/zinc/24/83/56/998248356.db2.gz WVJZNGFVQVJCSN-JTQLQIEISA-N -1 1 335.360 -0.170 20 0 EBADMM C[C@H]1[C@H](C(=O)[O-])CCN1S(=O)(=O)CCCN1CCN(C)CC1 ZINC001594171290 998657910 /nfs/dbraw/zinc/65/79/10/998657910.db2.gz SOLAUUIVCHIKJX-QWHCGFSZSA-N -1 1 333.454 -0.251 20 0 EBADMM C[C@@H]1[C@H](Nc2ccnc(C(=O)[O-])n2)CCN1C(=O)Cc1ccn[nH]1 ZINC001594195143 998758207 /nfs/dbraw/zinc/75/82/07/998758207.db2.gz ZRRSSPWWZQIKOY-MWLCHTKSSA-N -1 1 330.348 -0.036 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)N[C@H](CC(F)(F)F)C(=O)[O-] ZINC001599007057 998864184 /nfs/dbraw/zinc/86/41/84/998864184.db2.gz BCNNVKFJPULBMO-RXMQYKEDSA-N -1 1 345.255 -0.120 20 0 EBADMM COC(=O)c1cnc(N2CCN([C@@]3(C(=O)[O-])CCOC3)CC2)cn1 ZINC001599010381 998892804 /nfs/dbraw/zinc/89/28/04/998892804.db2.gz OTSZAKIYXKVEFI-HNNXBMFYSA-N -1 1 336.348 -0.371 20 0 EBADMM CC(C)OCCCN1CC[C@@H]1CNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001670663156 1125812172 /nfs/dbraw/zinc/81/21/72/1125812172.db2.gz VXSXXGVTNKNOJY-SNVBAGLBSA-N -1 1 325.369 -0.098 20 0 EBADMM CN(C)C(=O)CC(=O)N(C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001687209949 1125817555 /nfs/dbraw/zinc/81/75/55/1125817555.db2.gz SCSZOMOIVGLDJB-NSHDSACASA-N -1 1 334.376 -0.062 20 0 EBADMM CN(CCNC(=O)c1cnc(C2CC2)[n-]c1=O)C(=O)c1nnn(C)n1 ZINC001408573530 1125869016 /nfs/dbraw/zinc/86/90/16/1125869016.db2.gz KSDQUJFEDVEBGC-UHFFFAOYSA-N -1 1 346.351 -0.915 20 0 EBADMM CN(CCNC(=O)[C@@H]1CCc2n[nH]cc2C1)Cc1nc(=O)n(C)[n-]1 ZINC001480936109 1125883733 /nfs/dbraw/zinc/88/37/33/1125883733.db2.gz SDSWIPZGZTYHQP-SNVBAGLBSA-N -1 1 333.396 -0.815 20 0 EBADMM CN(CCNC(=O)c1ccc(=O)n(C)c1)C(=O)c1ncccc1[O-] ZINC001408621234 1125892973 /nfs/dbraw/zinc/89/29/73/1125892973.db2.gz YQFOIJNRUZGUNX-UHFFFAOYSA-N -1 1 330.344 -0.012 20 0 EBADMM CCc1nnsc1C(=O)NCCN(CC)Cc1nc(=O)n(C)[n-]1 ZINC001480986603 1125904024 /nfs/dbraw/zinc/90/40/24/1125904024.db2.gz BHPGFOROZXJICU-UHFFFAOYSA-N -1 1 339.425 -0.226 20 0 EBADMM CCN(CCNC(=O)COc1cc(C)on1)Cc1nc(=O)n(C)[n-]1 ZINC001480980806 1125903530 /nfs/dbraw/zinc/90/35/30/1125903530.db2.gz WJXHSSQBALWLFI-UHFFFAOYSA-N -1 1 338.368 -0.578 20 0 EBADMM Cc1ncoc1CN1CCC[C@@H]1CNC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001481067427 1125916335 /nfs/dbraw/zinc/91/63/35/1125916335.db2.gz REYSCQPMULQUOP-SNVBAGLBSA-N -1 1 336.352 -0.233 20 0 EBADMM CC(=O)Nc1ccc(C(=O)N(C)CCNCc2n[nH]c(=O)[n-]2)nc1 ZINC001481238173 1125949862 /nfs/dbraw/zinc/94/98/62/1125949862.db2.gz MRXPVCWUFYIYFA-UHFFFAOYSA-N -1 1 333.352 -0.275 20 0 EBADMM Cc1nn(C)c(=O)c(C(=O)N(C)CCNCc2n[nH]c(=O)[n-]2)c1C ZINC001481264637 1125957087 /nfs/dbraw/zinc/95/70/87/1125957087.db2.gz GEPKQQCVZALLDV-UHFFFAOYSA-N -1 1 335.368 -0.917 20 0 EBADMM CCC(=O)N[C@@H](C)C(=O)NCC[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001481430666 1125987916 /nfs/dbraw/zinc/98/79/16/1125987916.db2.gz BLJPLKMGRSHAHY-QWRGUYRKSA-N -1 1 338.412 -0.247 20 0 EBADMM C[C@H](CC(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1)c1ccncc1 ZINC001481566804 1126015549 /nfs/dbraw/zinc/01/55/49/1126015549.db2.gz BIMCDAGZAYAEQD-LLVKDONJSA-N -1 1 330.392 -0.002 20 0 EBADMM O=C(CCc1n[nH]c(=O)[n-]c1=O)NC1CN(C[C@H]2C[C@@]23CCOC3)C1 ZINC001481568582 1126015908 /nfs/dbraw/zinc/01/59/08/1126015908.db2.gz FTGIFKOXYYVPNM-QLJPJBMISA-N -1 1 349.391 -0.558 20 0 EBADMM Cc1nc(CN2CC(NC(=O)CCn3cc[n-]c(=O)c3=O)C2)c(C)o1 ZINC001481590000 1126019316 /nfs/dbraw/zinc/01/93/16/1126019316.db2.gz OMHSGCLHLMSLOK-UHFFFAOYSA-N -1 1 347.375 -0.468 20 0 EBADMM CCN(CC)C(=O)CCC(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001481602494 1126021431 /nfs/dbraw/zinc/02/14/31/1126021431.db2.gz FVVNYCMRYPYQCT-UHFFFAOYSA-N -1 1 338.412 -0.943 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)CCc3ccc(=O)[nH]c3)C2)nc1=O ZINC001481640407 1126029157 /nfs/dbraw/zinc/02/91/57/1126029157.db2.gz SZVVOUGCXZAYLK-UHFFFAOYSA-N -1 1 346.391 -0.610 20 0 EBADMM CN1C[C@@H](C(=O)NCCN(C(=O)c2ncccc2[O-])C2CC2)CC1=O ZINC001408920710 1126052841 /nfs/dbraw/zinc/05/28/41/1126052841.db2.gz VXICXUFCXFDUNZ-NSHDSACASA-N -1 1 346.387 -0.014 20 0 EBADMM CC[C@@H](CNC(=O)c1c(C)nn(C)c1OC)NCc1n[nH]c(=O)[n-]1 ZINC001481855878 1126062242 /nfs/dbraw/zinc/06/22/42/1126062242.db2.gz VGKMTCRTTLQQBI-VIFPVBQESA-N -1 1 337.384 -0.141 20 0 EBADMM CCS(=O)(=O)CC[N-]S(=O)(=O)c1nc[nH]c1Br ZINC001414212395 1126115490 /nfs/dbraw/zinc/11/54/90/1126115490.db2.gz MNDJXCJKFLECBP-UHFFFAOYSA-N -1 1 346.228 -0.115 20 0 EBADMM CN(C[C@H]1CCN(C(=O)CCNC(N)=O)C1)C(=O)c1ncccc1[O-] ZINC001408993058 1126129841 /nfs/dbraw/zinc/12/98/41/1126129841.db2.gz XWTJZEDNTJPCMQ-LLVKDONJSA-N -1 1 349.391 -0.234 20 0 EBADMM Cc1nc(C)c(CC(=O)N(C)[C@@H](C)CNCc2n[nH]c(=O)[n-]2)c(=O)[nH]1 ZINC001482365301 1126139015 /nfs/dbraw/zinc/13/90/15/1126139015.db2.gz UUJXUESFWQUGEZ-QMMMGPOBSA-N -1 1 349.395 -0.198 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)CC(C)C ZINC001482376655 1126141549 /nfs/dbraw/zinc/14/15/49/1126141549.db2.gz RRWKMQVJODWKHK-MWLCHTKSSA-N -1 1 326.401 -0.345 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2[C@@H]3CCO[C@H]3C2(C)C)c(=O)[nH]c1=O ZINC001414230156 1126227557 /nfs/dbraw/zinc/22/75/57/1126227557.db2.gz XFHPXTQBXQCOAP-FXBDTBDDSA-N -1 1 329.378 -0.422 20 0 EBADMM CN(CCN(C)C(=O)c1cn(C)nc1C1CC1)Cc1nc(=O)n(C)[n-]1 ZINC001482600692 1126231852 /nfs/dbraw/zinc/23/18/52/1126231852.db2.gz YKLSVVDIZOOFMA-UHFFFAOYSA-N -1 1 347.423 -0.077 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)CNC(=O)c1cccs1 ZINC001691587167 1126322862 /nfs/dbraw/zinc/32/28/62/1126322862.db2.gz HKGVRWSLNXPQNL-UHFFFAOYSA-N -1 1 338.393 -0.450 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@]1(C)CCCS1(=O)=O ZINC001483175803 1126350233 /nfs/dbraw/zinc/35/02/33/1126350233.db2.gz YVPWHPRSAUEVSR-PRHODGIISA-N -1 1 331.398 -0.928 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)C1CCS(=O)(=O)CC1 ZINC001409367792 1126359497 /nfs/dbraw/zinc/35/94/97/1126359497.db2.gz JSOLQLDETCXDHB-IUCAKERBSA-N -1 1 345.425 -0.682 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)CS(=O)(=O)C(C)(C)C ZINC001409423615 1126366143 /nfs/dbraw/zinc/36/61/43/1126366143.db2.gz REFGQXSLLMAILJ-BDAKNGLRSA-N -1 1 347.441 -0.294 20 0 EBADMM CSC[C@H](C)C(=O)NC1(CCO)CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001409700667 1126393976 /nfs/dbraw/zinc/39/39/76/1126393976.db2.gz FDTAQFARUQCJJE-JTQLQIEISA-N -1 1 343.453 -0.840 20 0 EBADMM C[C@@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)CC1OCCCO1 ZINC001483332443 1126416979 /nfs/dbraw/zinc/41/69/79/1126416979.db2.gz MIHOUPFCNFHZPS-JTQLQIEISA-N -1 1 327.385 -0.802 20 0 EBADMM Cc1ccc(C(=O)N[C@@H](C)CN(C)Cc2nc(=O)n(C)[n-]2)c(=O)[nH]1 ZINC001483386901 1126422255 /nfs/dbraw/zinc/42/22/55/1126422255.db2.gz DEAUVQQQZBXFQV-JTQLQIEISA-N -1 1 334.380 -0.232 20 0 EBADMM C[C@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)CCc1cnccn1 ZINC001483402383 1126424411 /nfs/dbraw/zinc/42/44/11/1126424411.db2.gz FTFNOSSTUSYGIG-LLVKDONJSA-N -1 1 333.396 -0.532 20 0 EBADMM Cc1nnc(CNCCCN(C)C(=O)Cn2c(=O)[n-][nH]c2=O)s1 ZINC001483505990 1126431923 /nfs/dbraw/zinc/43/19/23/1126431923.db2.gz FRZQWBBCFAJPAJ-UHFFFAOYSA-N -1 1 341.397 -0.512 20 0 EBADMM CCCC[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CCC(=O)NC1 ZINC001409813362 1126443613 /nfs/dbraw/zinc/44/36/13/1126443613.db2.gz FUDRDBBWXMSHGG-MNOVXSKESA-N -1 1 338.412 -0.199 20 0 EBADMM CC(C)[C@H](CNC(=O)c1ncccc1[O-])NC(=O)Cn1cnnn1 ZINC001409882293 1126457389 /nfs/dbraw/zinc/45/73/89/1126457389.db2.gz XBDFCMHOBOQHSC-JTQLQIEISA-N -1 1 333.352 -0.656 20 0 EBADMM C[C@@H](C(=O)NC[C@]1(O)CCN(Cc2nc(=O)n(C)[n-]2)C1)n1cccc1 ZINC001484217250 1126519939 /nfs/dbraw/zinc/51/99/39/1126519939.db2.gz ZZPWUZXEJUPFII-BLLLJJGKSA-N -1 1 348.407 -0.776 20 0 EBADMM O=C(CN1CC(O)(CNC(=O)c2ncccc2[O-])C1)N1CCCCC1 ZINC001484268397 1126547747 /nfs/dbraw/zinc/54/77/47/1126547747.db2.gz TVDBUXOPTKOQFM-UHFFFAOYSA-N -1 1 348.403 -0.424 20 0 EBADMM COCCC(=O)N(C)CCN(C)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001409917937 1126600428 /nfs/dbraw/zinc/60/04/28/1126600428.db2.gz GUBLAVYZVPEGGO-UHFFFAOYSA-N -1 1 342.352 -0.537 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)CCC(F)F)nc1=O ZINC001484596438 1126609552 /nfs/dbraw/zinc/60/95/52/1126609552.db2.gz BQELWFXDWNHUCJ-SECBINFHSA-N -1 1 333.339 -0.529 20 0 EBADMM COCCOCCC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H](C)C1 ZINC001484909903 1126652575 /nfs/dbraw/zinc/65/25/75/1126652575.db2.gz QPRSOQQYQQEAFZ-QWRGUYRKSA-N -1 1 327.385 -0.500 20 0 EBADMM Cc1ccc(C(=O)N2CCC(O)(CNCc3n[nH]c(=O)[n-]3)CC2)nn1 ZINC001484952363 1126657511 /nfs/dbraw/zinc/65/75/11/1126657511.db2.gz CTZTVHYHTOXAJT-UHFFFAOYSA-N -1 1 347.379 -0.634 20 0 EBADMM C[C@@H](NCc1nnn(C)n1)[C@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001485197048 1126679774 /nfs/dbraw/zinc/67/97/74/1126679774.db2.gz CHGKZORSRBPCIR-BDAKNGLRSA-N -1 1 346.395 -0.120 20 0 EBADMM CC(C)[C@H](CNC(=O)CCn1cnnn1)NC(=O)c1ncccc1[O-] ZINC001409920543 1126741516 /nfs/dbraw/zinc/74/15/16/1126741516.db2.gz JFFNASAONNCMIW-NSHDSACASA-N -1 1 347.379 -0.265 20 0 EBADMM CCC[C@@H](OC)C(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001409937043 1126860264 /nfs/dbraw/zinc/86/02/64/1126860264.db2.gz YMSIKVNLYPMGOL-ZYHUDNBSSA-N -1 1 325.413 -0.140 20 0 EBADMM C[C@H](CN(C)C(=O)c1n[nH]c(=O)[n-]c1=O)N[C@H](C)c1cnccn1 ZINC001485895650 1126911039 /nfs/dbraw/zinc/91/10/39/1126911039.db2.gz NETJYZYYBQHHLQ-RKDXNWHRSA-N -1 1 333.352 -0.116 20 0 EBADMM O=C(C[C@H]1CCCO1)NC[C@@H](CO)NCc1cc(=O)n2[n-]ccc2n1 ZINC001485976043 1126934647 /nfs/dbraw/zinc/93/46/47/1126934647.db2.gz JAPGEBJGSZHQOH-QWHCGFSZSA-N -1 1 349.391 -0.842 20 0 EBADMM Cn1[n-]c(CN[C@@H](CO)CNC(=O)c2csc(Cl)c2)nc1=O ZINC001486041255 1126988179 /nfs/dbraw/zinc/98/81/79/1126988179.db2.gz NCKWSRRFCBAQPA-MRVPVSSYSA-N -1 1 345.812 -0.296 20 0 EBADMM CC1=CC[C@@](C)(C(=O)NC[C@H](CO)NCc2nc(=O)n(C)[n-]2)CC1 ZINC001486064404 1127014555 /nfs/dbraw/zinc/01/45/55/1127014555.db2.gz ZXDQOSBGIQNNOC-MLGOLLRUSA-N -1 1 337.424 -0.188 20 0 EBADMM CN(C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)c1c(Cl)cnn1C ZINC001486276819 1127072827 /nfs/dbraw/zinc/07/28/27/1127072827.db2.gz QEYMIBIRSFBWDE-ZETCQYMHSA-N -1 1 343.775 -0.880 20 0 EBADMM CO[C@@H](C(=O)N(C)C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C1CCCC1 ZINC001486312911 1127126326 /nfs/dbraw/zinc/12/63/26/1127126326.db2.gz HRZUUAAIAOGZEG-DGCLKSJQSA-N -1 1 341.412 -0.376 20 0 EBADMM CO[C@H](C(=O)N(C)C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C1CCCC1 ZINC001486312910 1127126485 /nfs/dbraw/zinc/12/64/85/1127126485.db2.gz HRZUUAAIAOGZEG-AAEUAGOBSA-N -1 1 341.412 -0.376 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H](O)CN(C)C(=O)/C=C/C(C)(C)C ZINC001486328636 1127129886 /nfs/dbraw/zinc/12/98/86/1127129886.db2.gz LEUFADMHAHWRIB-ABZNLYFFSA-N -1 1 339.440 -0.038 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H](O)CN(C)C(=O)/C=C/C(C)(C)C ZINC001486328637 1127130227 /nfs/dbraw/zinc/13/02/27/1127130227.db2.gz LEUFADMHAHWRIB-GUOLPTJISA-N -1 1 339.440 -0.038 20 0 EBADMM CC(C)(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C[C@H]1CCC(=O)N1 ZINC001486414777 1127172564 /nfs/dbraw/zinc/17/25/64/1127172564.db2.gz BSMZYHSMFZGYRY-NXEZZACHSA-N -1 1 338.412 -0.201 20 0 EBADMM CC(C)(C(N)=O)C(=O)NCC1CC(NC(=O)c2ncccc2[O-])C1 ZINC001486592278 1127210578 /nfs/dbraw/zinc/21/05/78/1127210578.db2.gz UPQSZWQZIQADNE-UHFFFAOYSA-N -1 1 334.376 -0.077 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)CC(=O)N(C)C)C1 ZINC001487170758 1127421787 /nfs/dbraw/zinc/42/17/87/1127421787.db2.gz VMZMASQZGAUQNN-JTQLQIEISA-N -1 1 334.376 -0.158 20 0 EBADMM COC[C@@H](OC)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC001410043499 1127521765 /nfs/dbraw/zinc/52/17/65/1127521765.db2.gz ZFFBDTQRPJLCDM-GHMZBOCLSA-N -1 1 341.412 -0.904 20 0 EBADMM COC[C@H](OC)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC001410043522 1127522241 /nfs/dbraw/zinc/52/22/41/1127522241.db2.gz ZFFBDTQRPJLCDM-WDEREUQCSA-N -1 1 341.412 -0.904 20 0 EBADMM CCOCC(=O)NC[C@H](O)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001410060816 1127531871 /nfs/dbraw/zinc/53/18/71/1127531871.db2.gz FQUSFBMVQYCESM-JTQLQIEISA-N -1 1 338.364 -0.697 20 0 EBADMM CSC[C@@H](C)C(=O)NC[C@@H](O)CNC(=O)c1ncccc1[O-] ZINC001410096880 1127558773 /nfs/dbraw/zinc/55/87/73/1127558773.db2.gz VIOYERXEOSEQNG-NXEZZACHSA-N -1 1 327.406 -0.007 20 0 EBADMM COC(=O)c1coc(C[N-]S(=O)(=O)N=[S@](C)(=O)N(C)C)c1 ZINC001364911205 1127722893 /nfs/dbraw/zinc/72/28/93/1127722893.db2.gz OCPPUBFAYPHUEU-FQEVSTJZSA-N -1 1 339.395 -0.025 20 0 EBADMM C[C@H](CN(C)C(=O)CS(=O)(=O)C(C)(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001410492241 1127728639 /nfs/dbraw/zinc/72/86/39/1127728639.db2.gz UWPGTWSVQLQWIA-SECBINFHSA-N -1 1 347.441 -0.340 20 0 EBADMM COC[C@@H](C)CS(=O)(=O)[N-][C@H](CC1OCCO1)C(=O)OC ZINC001434674348 1127768346 /nfs/dbraw/zinc/76/83/46/1127768346.db2.gz NQGOBOBMJDRAAV-NXEZZACHSA-N -1 1 325.383 -0.507 20 0 EBADMM O=C(NC1(CNC(=O)[C@H]2CCC(=O)NC2)CC1)c1ncccc1[O-] ZINC001410748227 1127859911 /nfs/dbraw/zinc/85/99/11/1127859911.db2.gz JYPLZFMISJKTQY-JTQLQIEISA-N -1 1 332.360 -0.308 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCCN1CC(=O)NC(N)=O ZINC001410905228 1127938333 /nfs/dbraw/zinc/93/83/33/1127938333.db2.gz AQMIFOVPTVASTQ-NXEZZACHSA-N -1 1 335.364 -0.435 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)CC2(O)CCC2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001410915971 1127941937 /nfs/dbraw/zinc/94/19/37/1127941937.db2.gz HIQCWNWEVDTDMJ-NEPJUHHUSA-N -1 1 337.424 -0.117 20 0 EBADMM C[C@@H]1[C@H](NC(=O)CC2(O)CCC2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001410916051 1127942095 /nfs/dbraw/zinc/94/20/95/1127942095.db2.gz HIQCWNWEVDTDMJ-VXGBXAGGSA-N -1 1 337.424 -0.117 20 0 EBADMM C[C@]1(CNC(=O)CCNC(N)=O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001411073535 1128002181 /nfs/dbraw/zinc/00/21/81/1128002181.db2.gz ROYBCGXDIIYZGS-MRXNPFEDSA-N -1 1 349.391 -0.186 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4csnn4)C[C@]3(C)C2)nc1=O ZINC001091890244 1128161588 /nfs/dbraw/zinc/16/15/88/1128161588.db2.gz MSIQEKBFSGSLCR-OTYXRUKQSA-N -1 1 349.420 -0.446 20 0 EBADMM Cc1nc(CN2C[C@@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)[C@H](C)C2)n[nH]1 ZINC001092784113 1128277802 /nfs/dbraw/zinc/27/78/02/1128277802.db2.gz QHXMNNUPPFFLKN-VXNVDRBHSA-N -1 1 348.367 -0.793 20 0 EBADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)[C@]1(C)CCNC1=O ZINC001487756599 1128418519 /nfs/dbraw/zinc/41/85/19/1128418519.db2.gz WGMDPJMIINQXQI-QLJPJBMISA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1CN(C)CCO1)NC(=O)c1ncccc1[O-] ZINC001487739980 1128409803 /nfs/dbraw/zinc/40/98/03/1128409803.db2.gz SZTSCTFDHUWMPG-DGCLKSJQSA-N -1 1 336.392 -0.306 20 0 EBADMM O=C(COCC(F)F)N[C@H](CO)CNC(=O)c1ncccc1[O-] ZINC001487976117 1128472081 /nfs/dbraw/zinc/47/20/81/1128472081.db2.gz GZGKPGNQSBYKDZ-QMMMGPOBSA-N -1 1 333.291 -0.724 20 0 EBADMM C[C@H]1[C@H](NC(=O)CCn2cnnn2)CCN1C(=O)c1ncccc1[O-] ZINC001488130794 1128630426 /nfs/dbraw/zinc/63/04/26/1128630426.db2.gz WSSAPFMLXZJYRL-WDEREUQCSA-N -1 1 345.363 -0.417 20 0 EBADMM C[C@H]1C[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)CN1C(=O)CC1CC1 ZINC001488155092 1128637703 /nfs/dbraw/zinc/63/77/03/1128637703.db2.gz AVTAFEZEZBDDQR-AAEUAGOBSA-N -1 1 348.403 -0.168 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)CCNC(N)=O)CCCN1C(=O)c1ncccc1[O-] ZINC001488260384 1128664301 /nfs/dbraw/zinc/66/43/01/1128664301.db2.gz OZDLDCKRAJEUBV-MNOVXSKESA-N -1 1 349.391 -0.045 20 0 EBADMM COCC(=O)N(C)C[C@@H](O)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001488317940 1128683469 /nfs/dbraw/zinc/68/34/69/1128683469.db2.gz CGZBWEUXJUZHBQ-JTQLQIEISA-N -1 1 338.364 -0.745 20 0 EBADMM Cc1ccc(C(=O)N(C)C[C@@H](O)CNC(=O)c2ncccc2[O-])nn1 ZINC001488358549 1128696854 /nfs/dbraw/zinc/69/68/54/1128696854.db2.gz OKIWIOQZFFJJSS-NSHDSACASA-N -1 1 345.359 -0.251 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)[C@]1(C)CCCOC1 ZINC001488676489 1128822092 /nfs/dbraw/zinc/82/20/92/1128822092.db2.gz NMILOPYSIUDJMJ-BLLLJJGKSA-N -1 1 337.424 -0.042 20 0 EBADMM CCN(C(=O)[C@H]1CCNC1=O)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001488914649 1128879305 /nfs/dbraw/zinc/87/93/05/1128879305.db2.gz TXECOWAFRDZJPG-MNOVXSKESA-N -1 1 334.376 -0.110 20 0 EBADMM CC(=O)NCCC1=CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001488986430 1128894867 /nfs/dbraw/zinc/89/48/67/1128894867.db2.gz ZXGJEPLUKPEMSR-UHFFFAOYSA-N -1 1 334.376 -0.388 20 0 EBADMM C[C@@H](OCC1CC1)C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001489306989 1128948688 /nfs/dbraw/zinc/94/86/88/1128948688.db2.gz XOUMIKMJXBGSFQ-MPKXVKKWSA-N -1 1 349.387 -0.096 20 0 EBADMM COCC(=O)N(CCO)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001490153788 1129116250 /nfs/dbraw/zinc/11/62/50/1129116250.db2.gz VEZDLHJMEPHDPV-UHFFFAOYSA-N -1 1 337.376 -0.141 20 0 EBADMM CCOCCC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001490178638 1129134678 /nfs/dbraw/zinc/13/46/78/1129134678.db2.gz MKZJVMVRZGUNDQ-AAEUAGOBSA-N -1 1 337.376 -0.095 20 0 EBADMM COCC1(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)CC1 ZINC001490178904 1129135265 /nfs/dbraw/zinc/13/52/65/1129135265.db2.gz NXHFTGSCVOBCNK-AAEUAGOBSA-N -1 1 349.387 -0.095 20 0 EBADMM O=C(NC[C@@H](NCc1n[nH]c(=O)[n-]1)C1CC1)[C@H]1CCNC(=O)CC1 ZINC001490689364 1129247380 /nfs/dbraw/zinc/24/73/80/1129247380.db2.gz NFXWXXKKTVGRRJ-GHMZBOCLSA-N -1 1 336.396 -0.589 20 0 EBADMM CNC(=O)C1(C(=O)NC[C@@H](NCc2n[nH]c(=O)[n-]2)C2CC2)CCC1 ZINC001490733194 1129250447 /nfs/dbraw/zinc/25/04/47/1129250447.db2.gz VZGYQFUUXUYWFJ-SNVBAGLBSA-N -1 1 336.396 -0.589 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)c1ccc(=O)n(C)n1 ZINC001411538460 1129313723 /nfs/dbraw/zinc/31/37/23/1129313723.db2.gz WAHKXELQFVGRQC-JTQLQIEISA-N -1 1 345.359 -0.229 20 0 EBADMM CC(=O)N(C)CC(=O)N1CC([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001411938717 1129407038 /nfs/dbraw/zinc/40/70/38/1129407038.db2.gz QGSYMRAPKCWNOQ-SNVBAGLBSA-N -1 1 334.376 -0.158 20 0 EBADMM O=C(CCC(=O)N1CCC[C@@H](c2nn[n-]n2)C1)N1CCC(O)CC1 ZINC001411937625 1129407174 /nfs/dbraw/zinc/40/71/74/1129407174.db2.gz LYXAUDVLUSQYJA-LLVKDONJSA-N -1 1 336.396 -0.331 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCS(=O)(=O)[C@@H]2CCC[C@@H]21 ZINC001412139267 1129459901 /nfs/dbraw/zinc/45/99/01/1129459901.db2.gz NKECBMSHFKKWBA-JGVFFNPUSA-N -1 1 343.361 -0.312 20 0 EBADMM CS(C)(=O)=NC(=O)[C@@H]1CCCN1C(=O)CCCc1nn[n-]n1 ZINC001412207291 1129475275 /nfs/dbraw/zinc/47/52/75/1129475275.db2.gz JSFBSHOCULIOHP-VIFPVBQESA-N -1 1 328.398 -0.232 20 0 EBADMM CSc1nc(CNC(=O)C[C@@]2(C)CCS(=O)(=O)N2)cc(=O)[n-]1 ZINC001412290499 1129497064 /nfs/dbraw/zinc/49/70/64/1129497064.db2.gz ZFOOGJXDSBEMJW-GFCCVEGCSA-N -1 1 346.434 -0.008 20 0 EBADMM C[C@@H]1C(=O)N(C)[C@H](C)[C@@H](C)N1C(=O)CNC(=O)c1ncccc1[O-] ZINC001412296743 1129497941 /nfs/dbraw/zinc/49/79/41/1129497941.db2.gz LMWHQGSFVRQKNM-GMTAPVOTSA-N -1 1 334.376 -0.017 20 0 EBADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C[C@@H]2CCS(=O)(=O)C2)n[n-]1 ZINC001412328401 1129501404 /nfs/dbraw/zinc/50/14/04/1129501404.db2.gz VHRBYQLPBBJKJI-BDAKNGLRSA-N -1 1 344.393 -0.017 20 0 EBADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C[C@@H]2CCS(=O)(=O)C2)[n-]1 ZINC001412328401 1129501407 /nfs/dbraw/zinc/50/14/07/1129501407.db2.gz VHRBYQLPBBJKJI-BDAKNGLRSA-N -1 1 344.393 -0.017 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C[C@@H]2CCS(=O)(=O)C2)n1 ZINC001412328401 1129501409 /nfs/dbraw/zinc/50/14/09/1129501409.db2.gz VHRBYQLPBBJKJI-BDAKNGLRSA-N -1 1 344.393 -0.017 20 0 EBADMM COC(=O)C[C@@H]1C(=O)NCCN1C(=O)c1cnc(SC)[n-]c1=O ZINC001412425068 1129526008 /nfs/dbraw/zinc/52/60/08/1129526008.db2.gz CYNURMPKEUIMRC-MRVPVSSYSA-N -1 1 340.361 -0.592 20 0 EBADMM COC(=O)[C@@H]1O[C@@H](C(=O)N2CCOC[C@H]2c2nn[n-]n2)C[C@H]1C ZINC001412427928 1129526571 /nfs/dbraw/zinc/52/65/71/1129526571.db2.gz PUWPVJHBSQQJSS-UTINFBMNSA-N -1 1 325.325 -0.934 20 0 EBADMM Cc1cc(C(=O)N(C)C[C@H](C)NC(=O)c2cnc([O-])n(C)c2=O)n[nH]1 ZINC001412460077 1129533590 /nfs/dbraw/zinc/53/35/90/1129533590.db2.gz STATVLMWXWSMSU-VIFPVBQESA-N -1 1 348.363 -0.592 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)[C@H]1CC(=O)N(C)C1 ZINC001412489504 1129540513 /nfs/dbraw/zinc/54/05/13/1129540513.db2.gz JEPGXXVVPFJAFG-QWRGUYRKSA-N -1 1 334.376 -0.158 20 0 EBADMM CS(=O)(=O)[C@H]1C[C@H](NC(=O)CNC(=O)c2ncccc2[O-])C1 ZINC001412495891 1129542400 /nfs/dbraw/zinc/54/24/00/1129542400.db2.gz AMLAPFRBQIWNIB-KYZUINATSA-N -1 1 327.362 -0.791 20 0 EBADMM O=C(CCCc1nn[n-]n1)N1CCCC[C@H]1C(=O)N1CCOCC1 ZINC001412500798 1129543862 /nfs/dbraw/zinc/54/38/62/1129543862.db2.gz VAKDJRGQDKMUMN-LBPRGKRZSA-N -1 1 336.396 -0.238 20 0 EBADMM O=C(c1cncc(N2CCCC2)n1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001412526099 1129550579 /nfs/dbraw/zinc/55/05/79/1129550579.db2.gz AYKDSXKJUNUSKV-NSHDSACASA-N -1 1 330.352 -0.196 20 0 EBADMM CN1CCN(C(C)(C)CNC(=O)CSc2n[nH]c(=O)[n-]2)CC1 ZINC001412528559 1129551850 /nfs/dbraw/zinc/55/18/50/1129551850.db2.gz PMWUGQDOJGNIPZ-UHFFFAOYSA-N -1 1 328.442 -0.255 20 0 EBADMM CCS(=O)(=O)N1CCC[C@H](C(=O)NC2(c3nn[n-]n3)CCC2)C1 ZINC001412535700 1129554002 /nfs/dbraw/zinc/55/40/02/1129554002.db2.gz DNQZBOHNIMURTO-JTQLQIEISA-N -1 1 342.425 -0.243 20 0 EBADMM CCN(CC)S(=O)(=O)CC(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001412604496 1129573698 /nfs/dbraw/zinc/57/36/98/1129573698.db2.gz CMPLTLYMGXIKMA-NSHDSACASA-N -1 1 344.441 -0.348 20 0 EBADMM COCCn1nc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)ccc1=O ZINC001412739478 1129607262 /nfs/dbraw/zinc/60/72/62/1129607262.db2.gz ZPSBNCKWTTYRNU-JTQLQIEISA-N -1 1 333.352 -0.577 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@H](S(C)(=O)=O)C1 ZINC001412792040 1129651598 /nfs/dbraw/zinc/65/15/98/1129651598.db2.gz GUHMZLKUGUUCDC-ZETCQYMHSA-N -1 1 331.350 -0.454 20 0 EBADMM O=C(Cc1ccc(F)cn1)N[C@@H](CO)CNC(=O)c1ncccc1[O-] ZINC001412802733 1129658667 /nfs/dbraw/zinc/65/86/67/1129658667.db2.gz CXYOJFGRTUMXJH-GFCCVEGCSA-N -1 1 348.334 -0.229 20 0 EBADMM COc1ncccc1C(=O)N[C@H](CO)CNC(=O)c1ncccc1[O-] ZINC001412806096 1129660370 /nfs/dbraw/zinc/66/03/70/1129660370.db2.gz HNPMMAAIGPYWLK-JTQLQIEISA-N -1 1 346.343 -0.289 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)C2(S(C)(=O)=O)CCC2)n[n-]1 ZINC001412844374 1129726194 /nfs/dbraw/zinc/72/61/94/1129726194.db2.gz XUGVTFKRLHIHNW-UHFFFAOYSA-N -1 1 330.366 -0.435 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)C2(S(C)(=O)=O)CCC2)n1 ZINC001412844374 1129726197 /nfs/dbraw/zinc/72/61/97/1129726197.db2.gz XUGVTFKRLHIHNW-UHFFFAOYSA-N -1 1 330.366 -0.435 20 0 EBADMM C[C@H](C(=O)N1CCC(O)(c2nn[n-]n2)CC1)[C@H](C)S(C)(=O)=O ZINC001412897919 1129767177 /nfs/dbraw/zinc/76/71/77/1129767177.db2.gz IKTFBSLWUQQYET-IUCAKERBSA-N -1 1 331.398 -0.921 20 0 EBADMM CCC(CC)(NC(=O)CN(C)S(=O)(=O)N(C)C)c1nn[n-]n1 ZINC001412937502 1129793140 /nfs/dbraw/zinc/79/31/40/1129793140.db2.gz SFARCFNBDJBNIE-UHFFFAOYSA-N -1 1 333.418 -0.931 20 0 EBADMM Cn1ccnc1CCC(=O)NC[C@H](CO)NC(=O)c1ncccc1[O-] ZINC001412939678 1129794670 /nfs/dbraw/zinc/79/46/70/1129794670.db2.gz DCRNSMZPASSAAL-LLVKDONJSA-N -1 1 347.375 -0.640 20 0 EBADMM CNC(=O)NCCC(=O)N[C@@H]1C[C@@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC001413070606 1129915488 /nfs/dbraw/zinc/91/54/88/1129915488.db2.gz FOOLYJOKRJNWOS-GHMZBOCLSA-N -1 1 349.391 -0.175 20 0 EBADMM CNC(=O)NCCC(=O)N[C@H]1C[C@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC001413070634 1129915499 /nfs/dbraw/zinc/91/54/99/1129915499.db2.gz FOOLYJOKRJNWOS-QWRGUYRKSA-N -1 1 349.391 -0.175 20 0 EBADMM CNC(=O)NCCC(=O)N[C@@H]1C[C@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC001413070639 1129915503 /nfs/dbraw/zinc/91/55/03/1129915503.db2.gz FOOLYJOKRJNWOS-WDEREUQCSA-N -1 1 349.391 -0.175 20 0 EBADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C2=C3CCCN=C3NN2)n[n-]1 ZINC001413275763 1129984267 /nfs/dbraw/zinc/98/42/67/1129984267.db2.gz YNZUYJPPSMACIT-SSDOTTSWSA-N -1 1 333.352 -0.287 20 0 EBADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C2=C3CCCN=C3NN2)[n-]1 ZINC001413275763 1129984270 /nfs/dbraw/zinc/98/42/70/1129984270.db2.gz YNZUYJPPSMACIT-SSDOTTSWSA-N -1 1 333.352 -0.287 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C2=C3CCCN=C3NN2)n1 ZINC001413275763 1129984272 /nfs/dbraw/zinc/98/42/72/1129984272.db2.gz YNZUYJPPSMACIT-SSDOTTSWSA-N -1 1 333.352 -0.287 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1C[C@@H](O)C[C@]1(C)CO ZINC001413291236 1129991345 /nfs/dbraw/zinc/99/13/45/1129991345.db2.gz MHUZTLQWMJJBBB-MEDUHNTESA-N -1 1 334.376 -0.062 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)CCN2CCCC2=O)[n-]n1 ZINC001413298979 1129997526 /nfs/dbraw/zinc/99/75/26/1129997526.db2.gz RRXZVHSYXMUMJJ-UHFFFAOYSA-N -1 1 330.366 -0.561 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)CCN2CCCC2=O)n[n-]1 ZINC001413298979 1129997527 /nfs/dbraw/zinc/99/75/27/1129997527.db2.gz RRXZVHSYXMUMJJ-UHFFFAOYSA-N -1 1 330.366 -0.561 20 0 EBADMM CCN1C[C@@H](S(=O)(=O)[N-]C2(C(=O)OC)CCOCC2)CC1=O ZINC001413342696 1130030562 /nfs/dbraw/zinc/03/05/62/1130030562.db2.gz VINQBNWURMBSFR-JTQLQIEISA-N -1 1 334.394 -0.751 20 0 EBADMM CN(C[C@@H](O)CN(C)C(=O)c1ncccc1[O-])C(=O)c1cnccn1 ZINC001413367317 1130053687 /nfs/dbraw/zinc/05/36/87/1130053687.db2.gz UTXRASLIMWMHLD-LLVKDONJSA-N -1 1 345.359 -0.218 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC(C)(CO)CO)c(C(F)(F)F)n1 ZINC001413357132 1130044634 /nfs/dbraw/zinc/04/46/34/1130044634.db2.gz PQPAJUITUTXBBS-UHFFFAOYSA-N -1 1 331.316 -0.292 20 0 EBADMM COc1ccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc1OCCO ZINC001413387562 1130067900 /nfs/dbraw/zinc/06/79/00/1130067900.db2.gz NVXUGOLTOQRAGP-LLVKDONJSA-N -1 1 349.347 -0.207 20 0 EBADMM CCNC(=O)C[N-]S(=O)(=O)N=[S@@](=O)(c1ccccc1)N(C)C ZINC001413400512 1130072929 /nfs/dbraw/zinc/07/29/29/1130072929.db2.gz UGSCBTCEFDQOEO-NRFANRHFSA-N -1 1 348.450 -0.039 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)[C@@H]2C)[n-]n1 ZINC001413401721 1130073065 /nfs/dbraw/zinc/07/30/65/1130073065.db2.gz IPTHQUWVSOGCTE-RNFRBKRXSA-N -1 1 337.379 -0.950 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCS(=O)(=O)[C@@H]2C)n[n-]1 ZINC001413401721 1130073068 /nfs/dbraw/zinc/07/30/68/1130073068.db2.gz IPTHQUWVSOGCTE-RNFRBKRXSA-N -1 1 337.379 -0.950 20 0 EBADMM O=S(=O)([N-][C@@H]1CS(=O)(=O)C[C@H]1O)c1ccc(C(F)F)o1 ZINC001413409956 1130076375 /nfs/dbraw/zinc/07/63/75/1130076375.db2.gz KAVKUQJDTSGALL-PHDIDXHHSA-N -1 1 331.318 -0.347 20 0 EBADMM CC(C)CN(Cc1nn[n-]n1)C(=O)CS(=O)(=O)NC(C)(C)C ZINC001413501746 1130112625 /nfs/dbraw/zinc/11/26/25/1130112625.db2.gz GIQWNUDZKXDRIW-UHFFFAOYSA-N -1 1 332.430 -0.098 20 0 EBADMM COC[C@@H](NC(=O)c1cccc(NS(C)(=O)=O)c1)c1nn[n-]n1 ZINC001413558327 1130133903 /nfs/dbraw/zinc/13/39/03/1130133903.db2.gz VZDPHESBFCGBOE-SNVBAGLBSA-N -1 1 340.365 -0.311 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)CNC(N)=O ZINC001413612552 1130189097 /nfs/dbraw/zinc/18/90/97/1130189097.db2.gz GOXKNSHCGAWUKU-UWVGGRQHSA-N -1 1 335.364 -0.435 20 0 EBADMM CCOC(=O)CC1(NC(=O)c2ccc(S(=O)(=O)[N-]C)o2)COC1 ZINC001413751949 1130256742 /nfs/dbraw/zinc/25/67/42/1130256742.db2.gz RIYHPSLDHNJIGA-UHFFFAOYSA-N -1 1 346.361 -0.360 20 0 EBADMM CCN(C(=O)c1cc(=O)n2[n-]cnc2n1)[C@H](C)CNC(=O)C1CC1 ZINC001413766899 1130263390 /nfs/dbraw/zinc/26/33/90/1130263390.db2.gz DZRREDABGYKSBQ-SECBINFHSA-N -1 1 332.364 -0.206 20 0 EBADMM O=C(CCc1c[nH]nn1)NC[C@@H]1CCCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001491118515 1130334897 /nfs/dbraw/zinc/33/48/97/1130334897.db2.gz QPNFPWMMNPLSAZ-JTQLQIEISA-N -1 1 334.384 -0.411 20 0 EBADMM CC(C)(C)/C=C\C(=O)NCCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001491188750 1130355132 /nfs/dbraw/zinc/35/51/32/1130355132.db2.gz YRMLQGXEFBDIRN-PLNGDYQASA-N -1 1 332.364 -0.134 20 0 EBADMM COC[C@@H](C)C(=O)NC[C@@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001491204784 1130360789 /nfs/dbraw/zinc/36/07/89/1130360789.db2.gz LXEVMSLCKWRUPT-NEPJUHHUSA-N -1 1 325.413 -0.138 20 0 EBADMM Cc1ccc(=O)n(CC(=O)NCCNC(=O)c2ncccc2[O-])n1 ZINC001491245620 1130370887 /nfs/dbraw/zinc/37/08/87/1130370887.db2.gz QVZMGKLTTURGRN-UHFFFAOYSA-N -1 1 331.332 -0.801 20 0 EBADMM O=C(Cn1cncn1)NCCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001491315370 1130396149 /nfs/dbraw/zinc/39/61/49/1130396149.db2.gz WHQSPSUYOMRMJR-UHFFFAOYSA-N -1 1 331.336 -0.803 20 0 EBADMM Cc1cn2c(n1)C[C@@H](C(=O)NC[C@H](C)NCc1n[nH]c(=O)[n-]1)CC2 ZINC001491494268 1130469554 /nfs/dbraw/zinc/46/95/54/1130469554.db2.gz CQRDMFPTZHZXTP-ONGXEEELSA-N -1 1 333.396 -0.128 20 0 EBADMM CC(C)n1ccc(CN[C@H](C)CNC(=O)c2n[nH]c(=O)[n-]c2=O)n1 ZINC001491513564 1130478450 /nfs/dbraw/zinc/47/84/50/1130478450.db2.gz OXNYBRMMOFPLQV-SECBINFHSA-N -1 1 335.368 -0.032 20 0 EBADMM CCN(C(=O)Cn1cnnn1)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001413782631 1130489522 /nfs/dbraw/zinc/48/95/22/1130489522.db2.gz BYZRKUGWDRHESP-SNVBAGLBSA-N -1 1 333.352 -0.559 20 0 EBADMM C[C@H](CCNC(=O)C[C@@H]1CCS(=O)(=O)C1)NCc1n[nH]c(=O)[n-]1 ZINC001492053551 1130578127 /nfs/dbraw/zinc/57/81/27/1130578127.db2.gz MADOGVMUJHADJF-ZJUUUORDSA-N -1 1 345.425 -0.681 20 0 EBADMM CCNC(=O)NC(=O)[C@H](C)N(C)CCNC(=O)c1ncccc1[O-] ZINC001492231426 1130604940 /nfs/dbraw/zinc/60/49/40/1130604940.db2.gz AKJKNHVMBJDSPL-JTQLQIEISA-N -1 1 337.380 -0.317 20 0 EBADMM COCCC(=O)NCCCN(C)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001492243230 1130606971 /nfs/dbraw/zinc/60/69/71/1130606971.db2.gz XWBXLRAEWFBXBH-UHFFFAOYSA-N -1 1 342.352 -0.489 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C(=O)C1(C(N)=O)CC1 ZINC001356708608 1130905405 /nfs/dbraw/zinc/90/54/05/1130905405.db2.gz DKSZJQGAHFBRLW-NSHDSACASA-N -1 1 346.387 -0.027 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CC(CNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001356823236 1130961491 /nfs/dbraw/zinc/96/14/91/1130961491.db2.gz UULVSRZFAPEMAE-ZYHUDNBSSA-N -1 1 334.376 -0.843 20 0 EBADMM CC(=O)N1CCC(CCNC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001356968608 1131012970 /nfs/dbraw/zinc/01/29/70/1131012970.db2.gz BEFKQXNKUYUBLW-UHFFFAOYSA-N -1 1 336.392 -0.309 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CCC[C@H]1CNC(=O)C1CC1 ZINC001357346806 1131069804 /nfs/dbraw/zinc/06/98/04/1131069804.db2.gz KXXMGVYRLUFXDR-STQMWFEESA-N -1 1 348.403 -0.262 20 0 EBADMM CC[C@H]1CN(C(=O)[C@@]2(C(=O)[O-])CNCCO2)CCN1CC(F)F ZINC001357535117 1131098559 /nfs/dbraw/zinc/09/85/59/1131098559.db2.gz LNPOWJNGRKKGQB-IINYFYTJSA-N -1 1 335.351 -0.383 20 0 EBADMM CCn1nncc1CNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001413896160 1131213379 /nfs/dbraw/zinc/21/33/79/1131213379.db2.gz URXGXTGXLTWQOY-UHFFFAOYSA-N -1 1 333.352 -0.134 20 0 EBADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@]3(F)CCS(=O)(=O)C3)nc2n1 ZINC001361587925 1131424396 /nfs/dbraw/zinc/42/43/96/1131424396.db2.gz BRNVXESBLWCWPA-GFCCVEGCSA-N -1 1 343.340 -0.555 20 0 EBADMM CCc1cc(=O)n2[nH]c([N-]C(=O)[C@@]3(F)CCS(=O)(=O)C3)nc2n1 ZINC001361587925 1131424400 /nfs/dbraw/zinc/42/44/00/1131424400.db2.gz BRNVXESBLWCWPA-GFCCVEGCSA-N -1 1 343.340 -0.555 20 0 EBADMM CN1CCN(CCNC(=O)c2cccc(-n3[n-]nnc3=N)c2)CC1 ZINC001361730734 1131446318 /nfs/dbraw/zinc/44/63/18/1131446318.db2.gz IGPIVVMQUAZHED-UHFFFAOYSA-N -1 1 330.396 -0.948 20 0 EBADMM O=C([C@@H]1COc2ccc(O)cc2O1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001361921569 1131479552 /nfs/dbraw/zinc/47/95/52/1131479552.db2.gz FRKSRKKIOILBOB-RYUDHWBXSA-N -1 1 333.304 -0.355 20 0 EBADMM CS(=O)(=O)NC[C@@H]1CCCCN1C(=O)CCCc1nn[n-]n1 ZINC001361937791 1131483241 /nfs/dbraw/zinc/48/32/41/1131483241.db2.gz KGWALRZGBQNJQC-JTQLQIEISA-N -1 1 330.414 -0.547 20 0 EBADMM CN1CCN(C)[C@@H](CNC(=O)c2ccc(-n3[nH]c(=O)[n-]c3=O)cc2)C1 ZINC001361961522 1131488882 /nfs/dbraw/zinc/48/88/82/1131488882.db2.gz PZTURURXHMKPSX-ZDUSSCGKSA-N -1 1 346.391 -0.758 20 0 EBADMM O=C(CCc1nn[n-]n1)NC[C@H](O)COc1ccc(F)c(F)c1 ZINC001362110371 1131517017 /nfs/dbraw/zinc/51/70/17/1131517017.db2.gz PICJRHPQOKJKHM-QMMMGPOBSA-N -1 1 327.291 -0.033 20 0 EBADMM C[C@@H](C(=O)NC1CCCC1)N1CCN(C(=O)CCc2nn[n-]n2)CC1 ZINC001362254332 1131545827 /nfs/dbraw/zinc/54/58/27/1131545827.db2.gz PVAMYTDFMXPODD-LBPRGKRZSA-N -1 1 349.439 -0.276 20 0 EBADMM O=C(CCc1nn[n-]n1)N1CCN(C(=O)c2cccc(O)c2)CC1 ZINC001362282417 1131551625 /nfs/dbraw/zinc/55/16/25/1131551625.db2.gz ORLQGMFRVYVKLR-UHFFFAOYSA-N -1 1 330.348 -0.178 20 0 EBADMM COC(=O)C[C@H]1CN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)CCO1 ZINC001362332638 1131562036 /nfs/dbraw/zinc/56/20/36/1131562036.db2.gz KUYBZMAQBMFDPH-ZETCQYMHSA-N -1 1 327.293 -0.700 20 0 EBADMM Cc1cc(N2CC[C@@H](NC(=O)CCCc3nn[n-]n3)C2=O)n(C)n1 ZINC001362347647 1131565541 /nfs/dbraw/zinc/56/55/41/1131565541.db2.gz VTCSFTWNGXJPAL-SNVBAGLBSA-N -1 1 332.368 -0.514 20 0 EBADMM Cc1cc(N2CC[C@H](NC(=O)CCCc3nn[n-]n3)C2=O)n(C)n1 ZINC001362347645 1131565604 /nfs/dbraw/zinc/56/56/04/1131565604.db2.gz VTCSFTWNGXJPAL-JTQLQIEISA-N -1 1 332.368 -0.514 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(c2cnn(C)c2)CC1 ZINC001362391275 1131574343 /nfs/dbraw/zinc/57/43/43/1131574343.db2.gz UZCVQEBEEMXDFO-UHFFFAOYSA-N -1 1 334.336 -0.408 20 0 EBADMM CNC(=O)[C@H](CCSC)NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001362503794 1131597600 /nfs/dbraw/zinc/59/76/00/1131597600.db2.gz MEVZQMCFEBHRRZ-LURJTMIESA-N -1 1 330.366 -0.506 20 0 EBADMM CC[C@](COC)(NC(=O)c1ccc(S(=O)(=O)[N-]C)o1)C(=O)OC ZINC001362533371 1131604043 /nfs/dbraw/zinc/60/40/43/1131604043.db2.gz KCQOSAXHLVWOLC-CYBMUJFWSA-N -1 1 348.377 -0.114 20 0 EBADMM Cn1cc(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)c(C(F)F)n1 ZINC001362563058 1131611737 /nfs/dbraw/zinc/61/17/37/1131611737.db2.gz PAXWCWBOHOYNLZ-UHFFFAOYSA-N -1 1 327.295 -0.005 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCN2C[C@H]3CC[C@@H](C2)O3)co1 ZINC001362573356 1131614593 /nfs/dbraw/zinc/61/45/93/1131614593.db2.gz MPJFAYBZTJJEQN-TXEJJXNPSA-N -1 1 343.405 -0.219 20 0 EBADMM CS(=O)(=O)N[C@@H]1CCCC[C@@H]1CNC(=O)CCCc1nn[n-]n1 ZINC001362642685 1131631389 /nfs/dbraw/zinc/63/13/89/1131631389.db2.gz YKYZVIHKVNVLQD-GHMZBOCLSA-N -1 1 344.441 -0.253 20 0 EBADMM CCOC(=O)N1CC[C@H](NC(=O)CNC(=O)c2ncccc2[O-])C1 ZINC001362654420 1131633834 /nfs/dbraw/zinc/63/38/34/1131633834.db2.gz SJXHAPWZYHVNBE-JTQLQIEISA-N -1 1 336.348 -0.136 20 0 EBADMM NC(=O)COc1cccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)c1 ZINC001362664873 1131636861 /nfs/dbraw/zinc/63/68/61/1131636861.db2.gz CLKGJZMWJXPDKC-NSHDSACASA-N -1 1 348.388 -0.006 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC(C3CS(=O)(=O)C3)C2)o1 ZINC001362723065 1131654262 /nfs/dbraw/zinc/65/42/62/1131654262.db2.gz FWLZCUKBDIMBCI-UHFFFAOYSA-N -1 1 348.402 -0.696 20 0 EBADMM O=C([C@H]1CC(=O)N[C@H]2CCCC[C@@H]21)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362727502 1131655809 /nfs/dbraw/zinc/65/58/09/1131655809.db2.gz SAUAGKWKQQPRQF-WOPDTQHZSA-N -1 1 348.407 -0.295 20 0 EBADMM COC(=O)[C@H](C[C@H]1CCCOC1)NC(=O)CCCc1nn[n-]n1 ZINC001362759062 1131665212 /nfs/dbraw/zinc/66/52/12/1131665212.db2.gz SNAAEARQNHLHLE-MNOVXSKESA-N -1 1 325.369 -0.003 20 0 EBADMM CCC(CC)(NC(=O)c1cn(CC(=O)N(C)C)nn1)c1nn[n-]n1 ZINC001362787155 1131673715 /nfs/dbraw/zinc/67/37/15/1131673715.db2.gz RPVGROFKHSMOBX-UHFFFAOYSA-N -1 1 335.372 -0.675 20 0 EBADMM COC(=O)[C@@H]1CSCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC001362926079 1131715400 /nfs/dbraw/zinc/71/54/00/1131715400.db2.gz GQOXXZVWWHLFKJ-VIFPVBQESA-N -1 1 339.373 -0.366 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NCc1noc2c1COCC2 ZINC001362958123 1131723943 /nfs/dbraw/zinc/72/39/43/1131723943.db2.gz YRNFPJQJEISZRA-UHFFFAOYSA-N -1 1 332.316 -0.106 20 0 EBADMM COc1cnc(C(C)(C)NC(=O)CN2CCC(=O)NC2=O)[n-]c1=O ZINC001362969500 1131728463 /nfs/dbraw/zinc/72/84/63/1131728463.db2.gz LHQZVKNSTTUNLN-UHFFFAOYSA-N -1 1 337.336 -0.516 20 0 EBADMM CCN(CC)S(=O)(=O)CC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC001362975651 1131731528 /nfs/dbraw/zinc/73/15/28/1131731528.db2.gz WLPGRYDRLCEWSY-VIFPVBQESA-N -1 1 348.454 -0.512 20 0 EBADMM COCCn1ncc(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)c1C ZINC001363028502 1131753964 /nfs/dbraw/zinc/75/39/64/1131753964.db2.gz MUSSLWINWXYCPA-UHFFFAOYSA-N -1 1 335.368 -0.525 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCO[C@H](Cn2ccnn2)C1 ZINC001363097503 1131783526 /nfs/dbraw/zinc/78/35/26/1131783526.db2.gz BGTULIWOTWUXQH-NSHDSACASA-N -1 1 346.347 -0.964 20 0 EBADMM COC(=O)c1c(NC(=O)COC[C@H]2CCCO2)n[n-]c1OCCO ZINC001363117562 1131791416 /nfs/dbraw/zinc/79/14/16/1131791416.db2.gz AJIIWMVWIQLYKO-SECBINFHSA-N -1 1 343.336 -0.299 20 0 EBADMM COC(=O)c1c(OCCO)n[n-]c1NC(=O)COC[C@H]1CCCO1 ZINC001363117562 1131791421 /nfs/dbraw/zinc/79/14/21/1131791421.db2.gz AJIIWMVWIQLYKO-SECBINFHSA-N -1 1 343.336 -0.299 20 0 EBADMM COC(=O)C[C@@H]1CS(=O)(=O)CCN1C(=O)c1cncc([O-])c1 ZINC001363135114 1131796563 /nfs/dbraw/zinc/79/65/63/1131796563.db2.gz MMGXCPRAYXCPRX-SNVBAGLBSA-N -1 1 328.346 -0.411 20 0 EBADMM CC(=O)c1ccc(S(=O)(=O)CC(=O)N(C)c2nn[n-]n2)cc1F ZINC001363149786 1131805152 /nfs/dbraw/zinc/80/51/52/1131805152.db2.gz KDOQXYDXIJLXMH-UHFFFAOYSA-N -1 1 341.324 -0.022 20 0 EBADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)NC[C@H](O)[C@H](C)O ZINC001363167145 1131811418 /nfs/dbraw/zinc/81/14/18/1131811418.db2.gz VJKMKSLQPZEEDI-GWCFXTLKSA-N -1 1 332.360 -0.459 20 0 EBADMM NS(=O)(=O)c1cc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)cs1 ZINC001363215186 1131835008 /nfs/dbraw/zinc/83/50/08/1131835008.db2.gz BYBMLMHHZJCSMU-LURJTMIESA-N -1 1 328.379 -0.462 20 0 EBADMM Nc1nc2nc(CN3CCC[C@@H](N4CCCC4=O)CC3)cc(=O)n2[n-]1 ZINC001363258844 1131856593 /nfs/dbraw/zinc/85/65/93/1131856593.db2.gz KYEUNKGGWIYWQQ-GFCCVEGCSA-N -1 1 345.407 -0.023 20 0 EBADMM Cn1cc(N2C[C@H](C(=O)NC3(c4nn[n-]n4)CCC3)CC2=O)cn1 ZINC001363277512 1131866000 /nfs/dbraw/zinc/86/60/00/1131866000.db2.gz MGIVJVLBSZCQHO-SECBINFHSA-N -1 1 330.352 -0.518 20 0 EBADMM C[C@]1(NC(=O)C(F)(F)F)CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001363281040 1131868944 /nfs/dbraw/zinc/86/89/44/1131868944.db2.gz DHBBGJHDLJZVDX-JTQLQIEISA-N -1 1 335.242 -0.434 20 0 EBADMM COc1c(C(=O)N2CCN([S@](C)(=N)=O)CC2)[nH]c(=O)[n-]c1=O ZINC001363337106 1131906574 /nfs/dbraw/zinc/90/65/74/1131906574.db2.gz VEGLTYBTFFWWLD-QFIPXVFZSA-N -1 1 331.354 -0.754 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@H](CO)Cc1cncs1 ZINC001363353283 1131912228 /nfs/dbraw/zinc/91/22/28/1131912228.db2.gz ADUXSZWQRHHPHO-VIFPVBQESA-N -1 1 336.373 -0.307 20 0 EBADMM O=C(CCc1nn[n-]n1)N1CCC[C@H](CNS(=O)(=O)C2CC2)C1 ZINC001363382596 1131924162 /nfs/dbraw/zinc/92/41/62/1131924162.db2.gz IRWKMELJHATJTK-SNVBAGLBSA-N -1 1 342.425 -0.547 20 0 EBADMM COC[C@@H](NC(=O)CNC(=O)c1ccccc1OC)c1nn[n-]n1 ZINC001363414054 1131940572 /nfs/dbraw/zinc/94/05/72/1131940572.db2.gz KOSYMNDTJIAERS-SNVBAGLBSA-N -1 1 334.336 -0.558 20 0 EBADMM COC[C@H](NC(=O)c1ccc(S(C)(=O)=O)s1)c1nn[n-]n1 ZINC001363418206 1131941620 /nfs/dbraw/zinc/94/16/20/1131941620.db2.gz SLSLLCZAYZMXMH-LURJTMIESA-N -1 1 331.379 -0.218 20 0 EBADMM CN(C)C(=O)N1CCC(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)CC1 ZINC001363510666 1131983807 /nfs/dbraw/zinc/98/38/07/1131983807.db2.gz GMPFZGLIBOEPNF-LLVKDONJSA-N -1 1 337.384 -0.507 20 0 EBADMM COC[C@@H](NC(=O)[C@H]1CC(=O)N(Cc2ccccn2)C1)c1nn[n-]n1 ZINC001363582726 1132021828 /nfs/dbraw/zinc/02/18/28/1132021828.db2.gz CABQQJGQAHUKTJ-CMPLNLGQSA-N -1 1 345.363 -0.553 20 0 EBADMM CSc1ncc(C(=O)NCC2(S(N)(=O)=O)CCC2)c(=O)[n-]1 ZINC001363597923 1132028362 /nfs/dbraw/zinc/02/83/62/1132028362.db2.gz SLQWUTKNGLRLCL-UHFFFAOYSA-N -1 1 332.407 -0.155 20 0 EBADMM COc1cnc([C@@H]2CCCN2C(=O)CN(C)S(C)(=O)=O)[n-]c1=O ZINC001363674336 1132053114 /nfs/dbraw/zinc/05/31/14/1132053114.db2.gz OEYWRKYVJCOBHJ-VIFPVBQESA-N -1 1 344.393 -0.254 20 0 EBADMM NS(=O)(=O)c1cccc2c1CCN(C(=O)CCc1nn[n-]n1)C2 ZINC001363705086 1132062381 /nfs/dbraw/zinc/06/23/81/1132062381.db2.gz PRCAHWFTMNXPBD-UHFFFAOYSA-N -1 1 336.377 -0.635 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@](C)(O)c2ccccc2)c(=O)[nH]c1=O ZINC001363778599 1132084934 /nfs/dbraw/zinc/08/49/34/1132084934.db2.gz DYPYZLZQNXGPTL-AWEZNQCLSA-N -1 1 339.373 -0.328 20 0 EBADMM COC(=O)[C@@]1(CNC(=O)c2ccc([O-])cn2)C[C@@H]1S(C)(=O)=O ZINC001363844560 1132108605 /nfs/dbraw/zinc/10/86/05/1132108605.db2.gz DPPDZYKGARYZHL-GXFFZTMASA-N -1 1 328.346 -0.507 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H](CCO)c2ccco2)c(=O)[nH]c1=O ZINC001363855401 1132112693 /nfs/dbraw/zinc/11/26/93/1132112693.db2.gz AOFNNUIDTUEKSE-MRVPVSSYSA-N -1 1 329.334 -0.519 20 0 EBADMM CO[C@H]1c2ccccc2CC[C@@H]1S(=O)(=O)[N-][C@@H](CO)C(N)=O ZINC001363872494 1132115885 /nfs/dbraw/zinc/11/58/85/1132115885.db2.gz GELQXVMLXXELDD-AVGNSLFASA-N -1 1 328.390 -0.546 20 0 EBADMM Cc1cc(C[C@@H](C)[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)[nH]n1 ZINC001363916067 1132128695 /nfs/dbraw/zinc/12/86/95/1132128695.db2.gz OGVUBLYOVFFLNA-MRVPVSSYSA-N -1 1 327.366 -0.573 20 0 EBADMM CCCN1C[C@H](CNS(=O)(=O)c2cc(C(=O)OC)n[n-]2)CC1=O ZINC001363925573 1132131770 /nfs/dbraw/zinc/13/17/70/1132131770.db2.gz MJZMRWISDZOUIA-VIFPVBQESA-N -1 1 344.393 -0.267 20 0 EBADMM CCCN1C[C@H](CNS(=O)(=O)c2cc(C(=O)OC)[n-]n2)CC1=O ZINC001363925573 1132131775 /nfs/dbraw/zinc/13/17/75/1132131775.db2.gz MJZMRWISDZOUIA-VIFPVBQESA-N -1 1 344.393 -0.267 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H](C(=O)OC)[C@@H]2C)[n-]n1 ZINC001363965920 1132145478 /nfs/dbraw/zinc/14/54/78/1132145478.db2.gz IUDJHZQWTBDZRN-JGVFFNPUSA-N -1 1 331.350 -0.232 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H](C(=O)OC)[C@@H]2C)n[n-]1 ZINC001363965920 1132145485 /nfs/dbraw/zinc/14/54/85/1132145485.db2.gz IUDJHZQWTBDZRN-JGVFFNPUSA-N -1 1 331.350 -0.232 20 0 EBADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)N1CC(CO)(CO)C1 ZINC001363966554 1132146762 /nfs/dbraw/zinc/14/67/62/1132146762.db2.gz YCAKCZIZOTYPRB-UHFFFAOYSA-N -1 1 344.371 -0.092 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](CC(F)F)C(=O)OC ZINC001363966330 1132147079 /nfs/dbraw/zinc/14/70/79/1132147079.db2.gz BMJLHILAMIAJSS-ZCFIWIBFSA-N -1 1 341.292 -0.329 20 0 EBADMM COC(=O)CC1(CS(=O)(=O)[N-]C2(C(=O)OC)CCOCC2)CC1 ZINC001363969606 1132147790 /nfs/dbraw/zinc/14/77/90/1132147790.db2.gz PNTUGLUHKHPZCI-UHFFFAOYSA-N -1 1 349.405 -0.029 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)[C@@H](C)N1CCOCC1 ZINC001363974943 1132149737 /nfs/dbraw/zinc/14/97/37/1132149737.db2.gz PBCPTBYHRQTXKT-NXEZZACHSA-N -1 1 346.409 -0.416 20 0 EBADMM CCOC1CC(CC[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)C1 ZINC001363997327 1132159067 /nfs/dbraw/zinc/15/90/67/1132159067.db2.gz YVSXULFJNUHNOE-UHFFFAOYSA-N -1 1 331.394 -0.031 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CCN(C(N)=O)C2)o1 ZINC001364000257 1132160360 /nfs/dbraw/zinc/16/03/60/1132160360.db2.gz NMMCMAIXVZDMMT-MRVPVSSYSA-N -1 1 331.350 -0.255 20 0 EBADMM COCCn1cc(C(=O)N[C@@H](COC)c2nn[n-]n2)c(=O)cc1C ZINC001364024927 1132171132 /nfs/dbraw/zinc/17/11/32/1132171132.db2.gz HNMGPAKEIJSKGT-NSHDSACASA-N -1 1 336.352 -0.566 20 0 EBADMM COC[C@@H](NC(=O)C1CCN(C(=O)N(C)C)CC1)c1nn[n-]n1 ZINC001364031210 1132174341 /nfs/dbraw/zinc/17/43/41/1132174341.db2.gz NMQIRMJGRZCMRF-SNVBAGLBSA-N -1 1 325.373 -0.603 20 0 EBADMM COC(=O)[C@@H](Cc1cncs1)[N-]S(=O)(=O)[C@@H](C)C(=O)N(C)C ZINC001364039912 1132178281 /nfs/dbraw/zinc/17/82/81/1132178281.db2.gz IPGNMRRBOFUMPR-WCBMZHEXSA-N -1 1 349.434 -0.377 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@H]2CCC23CCOCC3)c(=O)[nH]c1=O ZINC001364051185 1132185291 /nfs/dbraw/zinc/18/52/91/1132185291.db2.gz BEQDQSVFEOORCX-SNVBAGLBSA-N -1 1 343.405 -0.029 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H](O)c1ccnn1C ZINC001364062197 1132191210 /nfs/dbraw/zinc/19/12/10/1132191210.db2.gz OCITZVJMOJREPM-JTQLQIEISA-N -1 1 343.365 -0.668 20 0 EBADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CCn1ccc(=O)[nH]c1=O ZINC001364065732 1132193121 /nfs/dbraw/zinc/19/31/21/1132193121.db2.gz AZKBLXPTFBEVCB-UHFFFAOYSA-N -1 1 338.411 -0.709 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC(=O)N2CCN(C)CC2)o1 ZINC001364074173 1132196527 /nfs/dbraw/zinc/19/65/27/1132196527.db2.gz WUENEAYKLVLZGQ-UHFFFAOYSA-N -1 1 345.377 -0.882 20 0 EBADMM COC(=O)c1c(NC(=O)[C@H]2CCc3nccn3C2)n[n-]c1OCCO ZINC001364100453 1132205790 /nfs/dbraw/zinc/20/57/90/1132205790.db2.gz DNLOLFKWYOCZPM-VIFPVBQESA-N -1 1 349.347 -0.035 20 0 EBADMM COC(=O)c1c(NC(=O)[C@H]2CCc3nccn3C2)[n-]nc1OCCO ZINC001364100453 1132205793 /nfs/dbraw/zinc/20/57/93/1132205793.db2.gz DNLOLFKWYOCZPM-VIFPVBQESA-N -1 1 349.347 -0.035 20 0 EBADMM COC(=O)c1c(OCCO)n[n-]c1NC(=O)c1cccn(C)c1=O ZINC001364100011 1132206329 /nfs/dbraw/zinc/20/63/29/1132206329.db2.gz CEDVCZMHGRVUJN-UHFFFAOYSA-N -1 1 336.304 -0.482 20 0 EBADMM COC(=O)c1c(NC(=O)c2cn3c(n2)CCC3)[n-]nc1OCCO ZINC001364109233 1132208783 /nfs/dbraw/zinc/20/87/83/1132208783.db2.gz ZGUXKBYAZGGMAE-UHFFFAOYSA-N -1 1 335.320 -0.038 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCCn3nncc3C2)co1 ZINC001364109903 1132209934 /nfs/dbraw/zinc/20/99/34/1132209934.db2.gz LAIRRWLAMMDRDN-UHFFFAOYSA-N -1 1 325.350 -0.175 20 0 EBADMM COC(=O)[C@H](CC1OCCO1)[N-]S(=O)(=O)[C@H]1CCC[C@H]1OC ZINC001364179338 1132226962 /nfs/dbraw/zinc/22/69/62/1132226962.db2.gz PEQFMJDWHCWRBE-AXFHLTTASA-N -1 1 337.394 -0.222 20 0 EBADMM O=C(Cc1ccc(O)cc1)NCC(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001364382923 1132300552 /nfs/dbraw/zinc/30/05/52/1132300552.db2.gz LZPLDLTVTKESDQ-GFCCVEGCSA-N -1 1 346.347 -0.836 20 0 EBADMM O=C(CNC(=O)Nc1ccccc1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001364384400 1132300617 /nfs/dbraw/zinc/30/06/17/1132300617.db2.gz VGDBCYJKRMGEPW-LLVKDONJSA-N -1 1 331.336 -0.079 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@H](OC)C[C@]2(C)CO)co1 ZINC001364441285 1132317759 /nfs/dbraw/zinc/31/77/59/1132317759.db2.gz YIOOQTCPSBIBMW-ZWNOBZJWSA-N -1 1 332.378 -0.200 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@]2(C(=O)OC)CCOC2)o1 ZINC001364463377 1132326132 /nfs/dbraw/zinc/32/61/32/1132326132.db2.gz BAZKKCIUGNRBEQ-ZDUSSCGKSA-N -1 1 346.361 -0.503 20 0 EBADMM NC(=O)[C@H]1CC[C@H](C[N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)O1 ZINC001364793679 1132428554 /nfs/dbraw/zinc/42/85/54/1132428554.db2.gz KYHAWSFSYQZJCE-PHDIDXHHSA-N -1 1 342.299 -0.260 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CSC[C@H]2C(=O)N(C)C)[n-]n1 ZINC001364799525 1132431276 /nfs/dbraw/zinc/43/12/76/1132431276.db2.gz XMQVMVQJAHSTGH-QMMMGPOBSA-N -1 1 348.406 -0.652 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CSC[C@H]2C(=O)N(C)C)n[n-]1 ZINC001364799525 1132431280 /nfs/dbraw/zinc/43/12/80/1132431280.db2.gz XMQVMVQJAHSTGH-QMMMGPOBSA-N -1 1 348.406 -0.652 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCC3(C2)OCCO3)[n-]n1 ZINC001364809209 1132434004 /nfs/dbraw/zinc/43/40/04/1132434004.db2.gz JUFUNJOLXKOSHW-MRVPVSSYSA-N -1 1 331.350 -0.230 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCC3(C2)OCCO3)n[n-]1 ZINC001364809209 1132434009 /nfs/dbraw/zinc/43/40/09/1132434009.db2.gz JUFUNJOLXKOSHW-MRVPVSSYSA-N -1 1 331.350 -0.230 20 0 EBADMM COC[C@H](NC(=O)c1ccc(S(C)(=O)=O)cc1)c1nn[n-]n1 ZINC001364815885 1132437480 /nfs/dbraw/zinc/43/74/80/1132437480.db2.gz RKSLTRLEQDKGBB-JTQLQIEISA-N -1 1 325.350 -0.279 20 0 EBADMM CCN1C[C@H](CNS(=O)(=O)c2cc(C(=O)OC)n[n-]2)CC1=O ZINC001364877654 1132465423 /nfs/dbraw/zinc/46/54/23/1132465423.db2.gz OOAUQZSANJXUEZ-QMMMGPOBSA-N -1 1 330.366 -0.657 20 0 EBADMM CCN1C[C@H](CNS(=O)(=O)c2cc(C(=O)OC)[n-]n2)CC1=O ZINC001364877654 1132465426 /nfs/dbraw/zinc/46/54/26/1132465426.db2.gz OOAUQZSANJXUEZ-QMMMGPOBSA-N -1 1 330.366 -0.657 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H](Cn3ccnn3)C2)[n-]n1 ZINC001364885841 1132470097 /nfs/dbraw/zinc/47/00/97/1132470097.db2.gz RQOKJJKIENKGFM-SECBINFHSA-N -1 1 340.365 -0.501 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H](Cn3ccnn3)C2)n[n-]1 ZINC001364885841 1132470101 /nfs/dbraw/zinc/47/01/01/1132470101.db2.gz RQOKJJKIENKGFM-SECBINFHSA-N -1 1 340.365 -0.501 20 0 EBADMM CC[C@H](C)C[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)C(=O)OC ZINC001364888680 1132471208 /nfs/dbraw/zinc/47/12/08/1132471208.db2.gz YMSNNHFHDVUCPB-IUCAKERBSA-N -1 1 347.393 -0.258 20 0 EBADMM COC(=O)[C@](C)(CCF)[N-]S(=O)(=O)CCS(=O)(=O)N(C)C ZINC001364903925 1132477666 /nfs/dbraw/zinc/47/76/66/1132477666.db2.gz KROFACFGEFALIY-JTQLQIEISA-N -1 1 348.418 -0.912 20 0 EBADMM CN(CC[N-]S(=O)(=O)c1ccns1)CCS(C)(=O)=O ZINC001364933488 1132491193 /nfs/dbraw/zinc/49/11/93/1132491193.db2.gz SNVRRTGVVJVQQU-UHFFFAOYSA-N -1 1 327.453 -0.602 20 0 EBADMM Cn1cnnc1[C@@H](CO)[N-]S(=O)(=O)Cc1noc2c1CCCC2 ZINC001364955436 1132500920 /nfs/dbraw/zinc/50/09/20/1132500920.db2.gz UJJGRABVDWCFCM-SNVBAGLBSA-N -1 1 341.393 -0.165 20 0 EBADMM Cn1cc(C(=O)C[N-]S(=O)(=O)Cc2noc(C3CC3)n2)cn1 ZINC001364959408 1132503123 /nfs/dbraw/zinc/50/31/23/1132503123.db2.gz IRQDGOCMNKGJJA-UHFFFAOYSA-N -1 1 325.350 -0.017 20 0 EBADMM COC(=O)[C@@H](C[C@@H]1CCCO1)[N-]S(=O)(=O)c1c(C)onc1N ZINC001364961034 1132503790 /nfs/dbraw/zinc/50/37/90/1132503790.db2.gz RGDVGHKYNXBPCK-DTWKUNHWSA-N -1 1 333.366 -0.046 20 0 EBADMM CO[C@@]1(C[N-]S(=O)(=O)c2c[nH]nc2Cl)CCS(=O)(=O)C1 ZINC001364967393 1132506708 /nfs/dbraw/zinc/50/67/08/1132506708.db2.gz VUAIMEJVYUWXDV-SECBINFHSA-N -1 1 343.814 -0.455 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2cnn3c2CCCC3)c(=O)[nH]c1=O ZINC001364980991 1132510441 /nfs/dbraw/zinc/51/04/41/1132510441.db2.gz LJQLVQSHNHAHOM-UHFFFAOYSA-N -1 1 339.377 -0.503 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C3CCC2(C(N)=O)CC3)[n-]n1 ZINC001364982853 1132511924 /nfs/dbraw/zinc/51/19/24/1132511924.db2.gz YXFXNGSNDFVQPA-UHFFFAOYSA-N -1 1 328.350 -0.633 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C3CCC2(C(N)=O)CC3)n[n-]1 ZINC001364982853 1132511926 /nfs/dbraw/zinc/51/19/26/1132511926.db2.gz YXFXNGSNDFVQPA-UHFFFAOYSA-N -1 1 328.350 -0.633 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)N2CCO[C@@H](CNC(C)=O)C2)c1 ZINC001365139404 1132557932 /nfs/dbraw/zinc/55/79/32/1132557932.db2.gz CFAQUJAKFLSFMU-LBPRGKRZSA-N -1 1 344.389 -0.074 20 0 EBADMM Cc1nc(CS(=O)(=O)[N-][C@@H]2CCN(c3cnn(C)c3)C2=O)no1 ZINC001365147437 1132560591 /nfs/dbraw/zinc/56/05/91/1132560591.db2.gz RNZOLPXTPKXTEI-SNVBAGLBSA-N -1 1 340.365 -0.664 20 0 EBADMM Nc1cn[nH]c1[C@@H]1CCN(C(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001365153592 1132562230 /nfs/dbraw/zinc/56/22/30/1132562230.db2.gz WLGODOAQLDNAGK-MRVPVSSYSA-N -1 1 328.336 -0.607 20 0 EBADMM Nc1nc2nc(CN3CCC[C@@H](C(=O)NC4CC4)C3)cc(=O)n2[n-]1 ZINC001365267636 1132602320 /nfs/dbraw/zinc/60/23/20/1132602320.db2.gz ICIGZIXFZCVJEV-SECBINFHSA-N -1 1 331.380 -0.510 20 0 EBADMM Cn1ncc(NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)cc1=O ZINC001365283608 1132606763 /nfs/dbraw/zinc/60/67/63/1132606763.db2.gz HZUJKQJXQMVNQW-UHFFFAOYSA-N -1 1 332.320 -0.330 20 0 EBADMM C[C@H](Cc1cnn(C)c1)[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001365401672 1132652975 /nfs/dbraw/zinc/65/29/75/1132652975.db2.gz DLPPYHHFHCBCKG-MRVPVSSYSA-N -1 1 327.366 -0.871 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-][C@@H](C(N)=O)c2ccccn2)c1Cl ZINC001365407640 1132656030 /nfs/dbraw/zinc/65/60/30/1132656030.db2.gz QBYLAHFDXJUHEI-MRVPVSSYSA-N -1 1 329.769 -0.027 20 0 EBADMM CCCN1C[C@H](C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)CC1=O ZINC001365423783 1132664920 /nfs/dbraw/zinc/66/49/20/1132664920.db2.gz VKROFIFHBIDEOQ-VIFPVBQESA-N -1 1 344.393 -0.977 20 0 EBADMM NC(=O)[C@]1([N-]S(=O)(=O)C[C@H]2COc3ccccc3O2)CCOC1 ZINC001365426728 1132666941 /nfs/dbraw/zinc/66/69/41/1132666941.db2.gz ANHCCEHHNJELBZ-YGRLFVJLSA-N -1 1 342.373 -0.610 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2C[C@H](C)n3ncnc32)[n-]n1 ZINC001365434512 1132672412 /nfs/dbraw/zinc/67/24/12/1132672412.db2.gz GOVRDZBMWPCTPN-NKWVEPMBSA-N -1 1 326.338 -0.228 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2C[C@H](C)n3ncnc32)n[n-]1 ZINC001365434512 1132672419 /nfs/dbraw/zinc/67/24/19/1132672419.db2.gz GOVRDZBMWPCTPN-NKWVEPMBSA-N -1 1 326.338 -0.228 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)Cc1cn(C)nn1 ZINC001365456353 1132688413 /nfs/dbraw/zinc/68/84/13/1132688413.db2.gz SGBBJRCBUMZRBR-MRVPVSSYSA-N -1 1 342.381 -0.376 20 0 EBADMM CCC[C@@H]([N-]S(=O)(=O)CCS(=O)(=O)N(C)C)C(=O)OC ZINC001365463546 1132694416 /nfs/dbraw/zinc/69/44/16/1132694416.db2.gz GPQJNTSDKHQSKH-SECBINFHSA-N -1 1 330.428 -0.861 20 0 EBADMM O=C(NC1CC1)c1[nH]nnc1NC(=O)N1CC[C@]2(CCOC2=O)C1 ZINC001365539372 1132714662 /nfs/dbraw/zinc/71/46/62/1132714662.db2.gz QNSKRSQITXQKBH-AWEZNQCLSA-N -1 1 334.336 -0.132 20 0 EBADMM CN(C)c1ccc(C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)cn1 ZINC001365634599 1132741051 /nfs/dbraw/zinc/74/10/51/1132741051.db2.gz LWZFMRDUAWZCSB-UHFFFAOYSA-N -1 1 339.377 -0.575 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CC(=O)N([C@H]3C[C@H]3C)C2)[n-]n1 ZINC001365671834 1132757003 /nfs/dbraw/zinc/75/70/03/1132757003.db2.gz DLSZFQDLCRJOEW-MRTMQBJTSA-N -1 1 342.377 -0.516 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CC(=O)N([C@H]3C[C@H]3C)C2)n[n-]1 ZINC001365671834 1132757010 /nfs/dbraw/zinc/75/70/10/1132757010.db2.gz DLSZFQDLCRJOEW-MRTMQBJTSA-N -1 1 342.377 -0.516 20 0 EBADMM C[C@@H]1OCC[C@]1(O)C[N-]S(=O)(=O)c1nc[nH]c1Br ZINC001365703119 1132768545 /nfs/dbraw/zinc/76/85/45/1132768545.db2.gz PLTFZRCPLXHFSZ-RCOVLWMOSA-N -1 1 340.199 -0.010 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H](C)Cc2cnn(C)c2)[n-]n1 ZINC001365706827 1132770139 /nfs/dbraw/zinc/77/01/39/1132770139.db2.gz GQXVPGBYOFPQMH-QMMMGPOBSA-N -1 1 327.366 -0.161 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H](C)Cc2cnn(C)c2)n[n-]1 ZINC001365706827 1132770150 /nfs/dbraw/zinc/77/01/50/1132770150.db2.gz GQXVPGBYOFPQMH-QMMMGPOBSA-N -1 1 327.366 -0.161 20 0 EBADMM COCC(COC)S(=O)(=O)[N-][C@@H](Cc1ccncc1)C(=O)OC ZINC001365708999 1132770979 /nfs/dbraw/zinc/77/09/79/1132770979.db2.gz CGOAHYRORAZWFR-ZDUSSCGKSA-N -1 1 346.405 -0.254 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@H]2CC(C)(C)OC2=O)[n-]n1 ZINC001365710925 1132771448 /nfs/dbraw/zinc/77/14/48/1132771448.db2.gz ZDBKCOXCFWMESN-QMMMGPOBSA-N -1 1 331.350 -0.089 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@H]2CC(C)(C)OC2=O)n[n-]1 ZINC001365710925 1132771455 /nfs/dbraw/zinc/77/14/55/1132771455.db2.gz ZDBKCOXCFWMESN-QMMMGPOBSA-N -1 1 331.350 -0.089 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H]3CC[C@@H](C2)[S@]3=O)[n-]n1 ZINC001365725392 1132777371 /nfs/dbraw/zinc/77/73/71/1132777371.db2.gz KZIZTIWYZBBMLM-HORACTTISA-N -1 1 347.418 -0.130 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H]3CC[C@@H](C2)[S@]3=O)n[n-]1 ZINC001365725392 1132777378 /nfs/dbraw/zinc/77/73/78/1132777378.db2.gz KZIZTIWYZBBMLM-HORACTTISA-N -1 1 347.418 -0.130 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H]1C[C@@H]2COC[C@@H]2O1 ZINC001365735127 1132783298 /nfs/dbraw/zinc/78/32/98/1132783298.db2.gz FERGBRINYYSDOO-MRTMQBJTSA-N -1 1 331.350 -0.722 20 0 EBADMM Cc1conc1CS(=O)(=O)[N-][C@@](C)(Cn1cccn1)C(N)=O ZINC001365747213 1132789619 /nfs/dbraw/zinc/78/96/19/1132789619.db2.gz JWNGNIYDLRVRGH-LBPRGKRZSA-N -1 1 327.366 -0.457 20 0 EBADMM COC(=O)[C@H](CC1OCCO1)[N-]S(=O)(=O)[C@@H]1COC(C)(C)C1 ZINC001365753265 1132791430 /nfs/dbraw/zinc/79/14/30/1132791430.db2.gz UCAQMOOMCBNVSQ-UWVGGRQHSA-N -1 1 337.394 -0.222 20 0 EBADMM CCOCC1(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)CC1 ZINC001365793751 1132801982 /nfs/dbraw/zinc/80/19/82/1132801982.db2.gz CPPGMLZGDKTVMX-LBPRGKRZSA-N -1 1 337.424 -0.042 20 0 EBADMM CCC(=O)N[C@@H](C)C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001365936889 1132897853 /nfs/dbraw/zinc/89/78/53/1132897853.db2.gz NGODLVMHAYKWCX-QWRGUYRKSA-N -1 1 338.412 -0.896 20 0 EBADMM Cn1cncc1CCC(=O)NC[C@@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001366052419 1132982279 /nfs/dbraw/zinc/98/22/79/1132982279.db2.gz CVFFGAJETUKQBB-GFCCVEGCSA-N -1 1 333.396 -0.139 20 0 EBADMM Cn1[n-]c(CN(CCNC(=O)[C@H]2C[C@@]23CCOC3)C2CC2)nc1=O ZINC001366759650 1133286696 /nfs/dbraw/zinc/28/66/96/1133286696.db2.gz ILEDWULZNQPUKO-MLGOLLRUSA-N -1 1 335.408 -0.384 20 0 EBADMM O=C(CCc1n[nH]c(=O)[n-]c1=O)NC1CN(CC2CCOCC2)C1 ZINC001366931959 1133372205 /nfs/dbraw/zinc/37/22/05/1133372205.db2.gz QEFSKHIVLANEOD-UHFFFAOYSA-N -1 1 337.380 -0.558 20 0 EBADMM Cc1ccn([C@@H](C)CC(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001366974571 1133397085 /nfs/dbraw/zinc/39/70/85/1133397085.db2.gz PJSGQXJMODCMMQ-LBPRGKRZSA-N -1 1 347.423 -0.187 20 0 EBADMM Cn1ccnc1CCC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001367029098 1133437866 /nfs/dbraw/zinc/43/78/66/1133437866.db2.gz NLIBIAGPQWLMMK-LLVKDONJSA-N -1 1 333.396 -0.835 20 0 EBADMM C[C@H](C(=O)NC(N)=O)N1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001367050644 1133454350 /nfs/dbraw/zinc/45/43/50/1133454350.db2.gz GWDQCTJLFDZZAQ-NXEZZACHSA-N -1 1 335.364 -0.483 20 0 EBADMM C[C@](CNCc1n[nH]c(=O)[n-]1)(NC(=O)[C@@H]1COCCO1)C1CC1 ZINC001367166422 1133492003 /nfs/dbraw/zinc/49/20/03/1133492003.db2.gz FETOPQIRKXBGRN-IINYFYTJSA-N -1 1 325.369 -0.700 20 0 EBADMM C[C@H](C(=O)N[C@H]1CC[C@H](NCc2n[nH]c(=O)[n-]2)CC1)S(C)(=O)=O ZINC001367178252 1133494875 /nfs/dbraw/zinc/49/48/75/1133494875.db2.gz AHJAKJZUPTYXHM-OPRDCNLKSA-N -1 1 345.425 -0.540 20 0 EBADMM COc1cncc(C(=O)N[C@](C)(CNCc2n[nH]c(=O)[n-]2)C2CC2)n1 ZINC001367188433 1133498009 /nfs/dbraw/zinc/49/80/09/1133498009.db2.gz ZCFHMLBFZZVCIM-OAHLLOKOSA-N -1 1 347.379 -0.003 20 0 EBADMM Cn1nnc(C(=O)NCCC2CCN(Cc3n[nH]c(=O)[n-]3)CC2)n1 ZINC001367214026 1133502864 /nfs/dbraw/zinc/50/28/64/1133502864.db2.gz XGGQNUFADHUUBP-UHFFFAOYSA-N -1 1 335.372 -0.934 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)CC(C)(C)C)C2)nc1=O ZINC001367308198 1133541304 /nfs/dbraw/zinc/54/13/04/1133541304.db2.gz XZYRIKGSGHYGPI-LLVKDONJSA-N -1 1 325.413 -0.138 20 0 EBADMM CNC(=O)CCCC(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001367317248 1133548821 /nfs/dbraw/zinc/54/88/21/1133548821.db2.gz FEZNIABVPASZQD-UHFFFAOYSA-N -1 1 338.412 -0.055 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)c1cc(C(N)=O)cs1 ZINC001367415474 1133583685 /nfs/dbraw/zinc/58/36/85/1133583685.db2.gz OASBZBIBTKOPEG-SSDOTTSWSA-N -1 1 338.393 -0.079 20 0 EBADMM COC(=O)NCC(=O)N1CCC[C@H](C)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001367443519 1133590749 /nfs/dbraw/zinc/59/07/49/1133590749.db2.gz HWTMNROYFROUJC-UWVGGRQHSA-N -1 1 340.384 -0.417 20 0 EBADMM CCS(=O)(=O)NCCN(C)CCN(C)C(=O)c1ncccc1[O-] ZINC001367513709 1133609325 /nfs/dbraw/zinc/60/93/25/1133609325.db2.gz YKBDNIXIAKDQRJ-UHFFFAOYSA-N -1 1 344.437 -0.270 20 0 EBADMM O=C(NC[C@H](O)CNCc1n[nH]c(=O)[n-]1)c1cc(F)ccc1F ZINC001367591374 1133663933 /nfs/dbraw/zinc/66/39/33/1133663933.db2.gz FBEYIXHUDXJUGQ-MRVPVSSYSA-N -1 1 327.291 -0.331 20 0 EBADMM O=C(NC[C@H](O)CNC/C(Cl)=C\Cl)c1n[nH]c(=O)[n-]c1=O ZINC001367599838 1133675870 /nfs/dbraw/zinc/67/58/70/1133675870.db2.gz BOZBBAUTOZTEAN-LPJWJBIBSA-N -1 1 338.151 -0.718 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1COCCN1CC(F)F ZINC001367746050 1133769927 /nfs/dbraw/zinc/76/99/27/1133769927.db2.gz KOTFMNSAUFLTHN-IUCAKERBSA-N -1 1 348.354 -0.930 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1[nH]ncc1Br ZINC001367761053 1133775494 /nfs/dbraw/zinc/77/54/94/1133775494.db2.gz ASFJGAASTXZLDK-YFKPBYRVSA-N -1 1 344.173 -0.096 20 0 EBADMM C[C@H](CS(C)(=O)=O)C(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001367921919 1133840786 /nfs/dbraw/zinc/84/07/86/1133840786.db2.gz RSVPNNWPELNYIB-SCZZXKLOSA-N -1 1 345.425 -0.825 20 0 EBADMM Cc1oc(C)c(C(=O)NCC2(O)CN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC001368301125 1134123791 /nfs/dbraw/zinc/12/37/91/1134123791.db2.gz WMHOVNOKZWEFQG-UHFFFAOYSA-N -1 1 349.391 -0.397 20 0 EBADMM CC(C)OCCN1CC[C@@H]1CN(C)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001368402338 1134206953 /nfs/dbraw/zinc/20/69/53/1134206953.db2.gz YDGXRPXANVVEQZ-SNVBAGLBSA-N -1 1 325.369 -0.146 20 0 EBADMM CCC[C@@H](OC)C(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001368437572 1134225663 /nfs/dbraw/zinc/22/56/63/1134225663.db2.gz LQAFSQXZKUZFTO-VXGBXAGGSA-N -1 1 341.412 -0.760 20 0 EBADMM Cc1noc(C)c1CC(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001368440029 1134228206 /nfs/dbraw/zinc/22/82/06/1134228206.db2.gz SOTFCMXVDHPOIV-GFCCVEGCSA-N -1 1 348.407 -0.011 20 0 EBADMM O=C([C@H]1CCCOC1)N1CCC(O)(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001368679892 1134377272 /nfs/dbraw/zinc/37/72/72/1134377272.db2.gz YZFCEEMPLAIZHO-NSHDSACASA-N -1 1 339.396 -0.620 20 0 EBADMM CCC[C@H](C)C(=O)NC1(CO)CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001368762836 1134425175 /nfs/dbraw/zinc/42/51/75/1134425175.db2.gz JCDGPVSXGOBVFL-LBPRGKRZSA-N -1 1 339.440 -0.012 20 0 EBADMM COc1ccc(CN(C)[C@@H](C)CNC(=O)c2n[nH]c(=O)[n-]c2=O)cn1 ZINC001368969470 1134503391 /nfs/dbraw/zinc/50/33/91/1134503391.db2.gz OITROVQBFALZDX-VIFPVBQESA-N -1 1 348.363 -0.063 20 0 EBADMM COc1ncccc1C(=O)NC[C@H](C)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001368971217 1134503536 /nfs/dbraw/zinc/50/35/36/1134503536.db2.gz DDQBSPPKWVODNS-JTQLQIEISA-N -1 1 334.380 -0.238 20 0 EBADMM C[C@H](CNC(=O)C1(C)CCOCC1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001368992586 1134510385 /nfs/dbraw/zinc/51/03/85/1134510385.db2.gz SDAQTVRCQZAKKR-LLVKDONJSA-N -1 1 325.413 -0.138 20 0 EBADMM C[C@H](CNC(=O)c1cccn(C)c1=O)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001368997229 1134512426 /nfs/dbraw/zinc/51/24/26/1134512426.db2.gz RMCSZEQYDFDHSW-SNVBAGLBSA-N -1 1 334.380 -0.943 20 0 EBADMM CN(C(=O)[C@@H]1CCNC(=O)C1)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001369207261 1134616591 /nfs/dbraw/zinc/61/65/91/1134616591.db2.gz WPXKDLDXPJDYBL-GHMZBOCLSA-N -1 1 336.396 -0.637 20 0 EBADMM C[C@@H](C(=O)N(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)S(C)(=O)=O ZINC001369206734 1134616991 /nfs/dbraw/zinc/61/69/91/1134616991.db2.gz LXWFRDFCCGLVAF-WPRPVWTQSA-N -1 1 345.425 -0.730 20 0 EBADMM C[C@@H](CN(C)C(=O)c1n[nH]c(=O)[n-]c1=O)NCc1cc2n(n1)CCC2 ZINC001369263693 1134634089 /nfs/dbraw/zinc/63/40/89/1134634089.db2.gz DLTXAQIQXZESKV-VIFPVBQESA-N -1 1 347.379 -0.324 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H](CO)N[C@H](C)C(=O)N(C)C(C)C)c1[O-] ZINC001369321354 1134661876 /nfs/dbraw/zinc/66/18/76/1134661876.db2.gz LAOPKLNKBKTWPO-GHMZBOCLSA-N -1 1 341.412 -0.641 20 0 EBADMM Cn1[n-]c(CN[C@@H](CO)CNC(=O)[C@@H]2CCCC2(C)C)nc1=O ZINC001369354907 1134705304 /nfs/dbraw/zinc/70/53/04/1134705304.db2.gz WRCOWQHZBMFMGA-MNOVXSKESA-N -1 1 325.413 -0.499 20 0 EBADMM O=C(CCc1c[nH]nn1)N[C@@]12CCC[C@@H]1N(Cc1n[nH]c(=O)[n-]1)CC2 ZINC001369412022 1134768269 /nfs/dbraw/zinc/76/82/69/1134768269.db2.gz LZMBNEHBEUDHQI-XHDPSFHLSA-N -1 1 346.395 -0.126 20 0 EBADMM C[C@@H]1[C@H](NC(=O)CC(C)(C)O)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001369418617 1134771273 /nfs/dbraw/zinc/77/12/73/1134771273.db2.gz BWUKXOLBUZIYMD-GHMZBOCLSA-N -1 1 325.413 -0.262 20 0 EBADMM CC(C)n1ccc(C(=O)N(C)C[C@@H](O)CNCc2n[nH]c(=O)[n-]2)n1 ZINC001369472973 1134829071 /nfs/dbraw/zinc/82/90/71/1134829071.db2.gz OKEXPKDNUHYUFS-JTQLQIEISA-N -1 1 337.384 -0.490 20 0 EBADMM Cc1cc(C(=O)N(C)C[C@@H](O)CN(C)Cc2nc(=O)n(C)[n-]2)ccn1 ZINC001369514284 1134909691 /nfs/dbraw/zinc/90/96/91/1134909691.db2.gz HVELQIFGFCBXBZ-ZDUSSCGKSA-N -1 1 348.407 -0.623 20 0 EBADMM CN1C(=O)CC[C@H]1C(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001369559674 1134939890 /nfs/dbraw/zinc/93/98/90/1134939890.db2.gz CPSXCTMHTUEQHV-UWVGGRQHSA-N -1 1 338.412 -0.248 20 0 EBADMM Cn1[n-]c(CN2CCN(CCNC(=O)CCC3CC3)CC2)nc1=O ZINC001369886439 1135071317 /nfs/dbraw/zinc/07/13/17/1135071317.db2.gz HKCWCOUGCFLFRI-UHFFFAOYSA-N -1 1 336.440 -0.468 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H](C)CC(N)=O)C1 ZINC001370097774 1135199656 /nfs/dbraw/zinc/19/96/56/1135199656.db2.gz ALHQHWOXZQPQDQ-VHSXEESVSA-N -1 1 334.376 -0.125 20 0 EBADMM CCOc1cnc(C(=O)NC[C@H](NCc2n[nH]c(=O)[n-]2)C2CC2)cn1 ZINC001370273194 1135278345 /nfs/dbraw/zinc/27/83/45/1135278345.db2.gz CUPFVPGTFOQEMQ-JTQLQIEISA-N -1 1 347.379 -0.003 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H](CNC(=O)[C@@H]1COC(=O)N1)C1CC1 ZINC001370398554 1135333674 /nfs/dbraw/zinc/33/36/74/1135333674.db2.gz NWAFSGOBFDZKPJ-WDEREUQCSA-N -1 1 348.359 -0.138 20 0 EBADMM CCOCC(=O)N1CCC[C@@H]2C[N@H+](Cc3nc(=O)n(C)[nH]3)CC[C@@H]21 ZINC001370600299 1135425947 /nfs/dbraw/zinc/42/59/47/1135425947.db2.gz XRYLHMKTLDHNLL-OLZOCXBDSA-N -1 1 337.424 -0.042 20 0 EBADMM CO[C@H](C)CCC(=O)N[C@H](CO)CNC(=O)c1ncccc1[O-] ZINC001370674089 1135480066 /nfs/dbraw/zinc/48/00/66/1135480066.db2.gz HPOZHINOXMIJKY-MNOVXSKESA-N -1 1 325.365 -0.191 20 0 EBADMM C[C@]1(C(=O)N[C@@H](CO)CNC(=O)c2ncccc2[O-])CCCOC1 ZINC001370679579 1135488160 /nfs/dbraw/zinc/48/81/60/1135488160.db2.gz ODGUTRYHKYZHRX-BZNIZROVSA-N -1 1 337.376 -0.189 20 0 EBADMM O=C(CCc1cncnc1)N[C@H](CO)CNC(=O)c1ncccc1[O-] ZINC001370685037 1135495162 /nfs/dbraw/zinc/49/51/62/1135495162.db2.gz YTYLSPCDOATMBS-LBPRGKRZSA-N -1 1 345.359 -0.583 20 0 EBADMM Cc1cc(C(=O)N(C)C[C@@H](O)CNC(=O)c2ncccc2[O-])on1 ZINC001370952191 1135707763 /nfs/dbraw/zinc/70/77/63/1135707763.db2.gz OBTAJQIXBWZWQB-JTQLQIEISA-N -1 1 334.332 -0.053 20 0 EBADMM CN(C[C@@H](O)CNC(=O)c1ncccc1[O-])C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001371012798 1135774613 /nfs/dbraw/zinc/77/46/13/1135774613.db2.gz AFDSMOHHZGWSTD-MEWQQHAOSA-N -1 1 335.360 -0.771 20 0 EBADMM C[C@H](NC(N)=O)C(=O)N(C)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001371176691 1135856903 /nfs/dbraw/zinc/85/69/03/1135856903.db2.gz IBYVLELLKRBRPE-IDKOKCKLSA-N -1 1 335.364 -0.437 20 0 EBADMM CN(CCNC(=O)c1ccnn1CC1CCC1)Cc1nc(=O)n(C)[n-]1 ZINC001371968959 1136072178 /nfs/dbraw/zinc/07/21/78/1136072178.db2.gz DBRDUXGQBDSRAB-UHFFFAOYSA-N -1 1 347.423 -0.033 20 0 EBADMM CC(C)OCC(=O)N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001372251393 1136153870 /nfs/dbraw/zinc/15/38/70/1136153870.db2.gz YYOXJESGXZKAPA-SNVBAGLBSA-N -1 1 348.363 -0.827 20 0 EBADMM CCN(CCNC(=O)CCc1cn(C)nc1C)Cc1nc(=O)n(C)[n-]1 ZINC001372425186 1136198864 /nfs/dbraw/zinc/19/88/64/1136198864.db2.gz YWAWCBVOURDYQL-UHFFFAOYSA-N -1 1 349.439 -0.279 20 0 EBADMM CC[C@H](OC)C(=O)N[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001372544678 1136227623 /nfs/dbraw/zinc/22/76/23/1136227623.db2.gz OCWVMJZTNHAOIX-QXEWZRGKSA-N -1 1 348.363 -0.780 20 0 EBADMM C[C@@H](CNC(=O)[C@H](C)NC(=O)C1CCCC1)NC(=O)c1cnn[nH]1 ZINC001372907613 1136321565 /nfs/dbraw/zinc/32/15/65/1136321565.db2.gz JUPCAAQWGUGJRK-UWVGGRQHSA-N -1 1 336.396 -0.266 20 0 EBADMM O=C(NCC[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)[C@H]1COCCO1 ZINC001373142224 1136380199 /nfs/dbraw/zinc/38/01/99/1136380199.db2.gz BRIWBIICYQICNP-GHMZBOCLSA-N -1 1 325.369 -0.746 20 0 EBADMM CC(C)c1nnnn1CC(=O)NCCN(C)C(=O)c1ncccc1[O-] ZINC001373468480 1136466286 /nfs/dbraw/zinc/46/62/86/1136466286.db2.gz VWEUCRZBEATYKE-UHFFFAOYSA-N -1 1 347.379 -0.215 20 0 EBADMM C[C@@H](Oc1ccccc1)C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001373476317 1136468560 /nfs/dbraw/zinc/46/85/60/1136468560.db2.gz VHNVNENWNNBAIN-LLVKDONJSA-N -1 1 331.376 -0.124 20 0 EBADMM C[C@@H](Oc1cccnc1)C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001373533552 1136487316 /nfs/dbraw/zinc/48/73/16/1136487316.db2.gz XYJOEYDLMIHRAC-LLVKDONJSA-N -1 1 346.391 -0.481 20 0 EBADMM CCO[C@H](C)C(=O)N(CC)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001373699490 1136540830 /nfs/dbraw/zinc/54/08/30/1136540830.db2.gz MHTYGHOJJXBVFP-VXGBXAGGSA-N -1 1 325.413 -0.044 20 0 EBADMM CC[C@@H](CNC(=O)[C@@H]1CCn2ccnc2C1)NCc1n[nH]c(=O)[n-]1 ZINC001373769830 1136556708 /nfs/dbraw/zinc/55/67/08/1136556708.db2.gz JQGUIMPSYCBNHG-MNOVXSKESA-N -1 1 333.396 -0.046 20 0 EBADMM Cn1cc(C(=O)NC2(CNCc3n[nH]c(=O)[n-]3)CCCC2)n(C)c1=O ZINC001373864018 1136575679 /nfs/dbraw/zinc/57/56/79/1136575679.db2.gz GFCJBIYBFGMIBW-UHFFFAOYSA-N -1 1 349.395 -0.620 20 0 EBADMM Cn1cccc(C(=O)N[C@@](C)(CNCc2n[nH]c(=O)[n-]2)C2CC2)c1=O ZINC001373891669 1136581782 /nfs/dbraw/zinc/58/17/82/1136581782.db2.gz AFEPTRUFGJOPJH-INIZCTEOSA-N -1 1 346.391 -0.103 20 0 EBADMM C[C@H]1CCCC[C@@]1(C[NH2+]Cc1nc(=O)n(C)[n-]1)NC(=O)c1cnon1 ZINC001373901947 1136583823 /nfs/dbraw/zinc/58/38/23/1136583823.db2.gz JPIAFJCNZMDGEP-BONVTDFDSA-N -1 1 349.395 -0.040 20 0 EBADMM CCN(CCNC(=O)[C@H]1CC[C@H]1C(N)=O)C(=O)c1ncccc1[O-] ZINC001373906526 1136584539 /nfs/dbraw/zinc/58/45/39/1136584539.db2.gz MSNIUXKLMDCFTQ-MNOVXSKESA-N -1 1 334.376 -0.123 20 0 EBADMM O=C(NCCC1CCN(Cc2n[nH]c(=O)[n-]2)CC1)[C@@H]1COCCO1 ZINC001373932692 1136589266 /nfs/dbraw/zinc/58/92/66/1136589266.db2.gz HOLGYVZZPNZFOA-LBPRGKRZSA-N -1 1 339.396 -0.356 20 0 EBADMM CCn1nc(C)cc1C(=O)N(C)CCN(C)Cc1nc(=O)n(C)[n-]1 ZINC001374534085 1136712661 /nfs/dbraw/zinc/71/26/61/1136712661.db2.gz ITHGCYBSPOCDKW-UHFFFAOYSA-N -1 1 335.412 -0.163 20 0 EBADMM CC(C)(C)CC(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001374581870 1136727520 /nfs/dbraw/zinc/72/75/20/1136727520.db2.gz NDJYLKVQRDEAPD-UHFFFAOYSA-N -1 1 332.364 -0.206 20 0 EBADMM COC(=O)NCC(=O)NC1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001374601774 1136739286 /nfs/dbraw/zinc/73/92/86/1136739286.db2.gz FLYQFDVAPATDEH-UHFFFAOYSA-N -1 1 349.347 -0.644 20 0 EBADMM CC(C)c1ccc(C(=O)NC[C@H](O)CNCc2n[nH]c(=O)[n-]2)cn1 ZINC001374615789 1136749480 /nfs/dbraw/zinc/74/94/80/1136749480.db2.gz MVRLCWMSXHIRKM-LLVKDONJSA-N -1 1 334.380 -0.091 20 0 EBADMM O=C(NC[C@H](O)CNCc1n[nH]c(=O)[n-]1)[C@@H]1COc2ccccc21 ZINC001374676813 1136794200 /nfs/dbraw/zinc/79/42/00/1136794200.db2.gz NKRBLKMDLHILSL-MWLCHTKSSA-N -1 1 333.348 -0.747 20 0 EBADMM C[C@]1(C(=O)NC[C@H](O)CNCc2n[nH]c(=O)[n-]2)CCc2ccccc21 ZINC001374678806 1136796683 /nfs/dbraw/zinc/79/66/83/1136796683.db2.gz WRNSWWVRSURFNV-PXAZEXFGSA-N -1 1 345.403 -0.019 20 0 EBADMM C[C@H](CC(=O)N1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1[O-])NC(N)=O ZINC001374931292 1136878366 /nfs/dbraw/zinc/87/83/66/1136878366.db2.gz OPCQFRRUDZEHGN-VWYCJHECSA-N -1 1 347.375 -0.341 20 0 EBADMM C[C@@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)CCc1ccn(C)n1 ZINC001375042214 1136900027 /nfs/dbraw/zinc/90/00/27/1136900027.db2.gz HKCNAXIQVMCPEP-NSHDSACASA-N -1 1 335.412 -0.589 20 0 EBADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CCNC(=O)C1 ZINC001375056001 1136902592 /nfs/dbraw/zinc/90/25/92/1136902592.db2.gz AOKZKASWMRMVNX-WDEREUQCSA-N -1 1 334.376 -0.062 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCC(=O)N2CCOCC2)o1 ZINC000048135941 376191115 /nfs/dbraw/zinc/19/11/15/376191115.db2.gz VGFHRCQYVNMCBH-UHFFFAOYSA-N -1 1 345.377 -0.834 20 0 EBADMM COC(=O)C[C@H]1CCCN1C(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000288841867 283876280 /nfs/dbraw/zinc/87/62/80/283876280.db2.gz PJLJHQQIKOWFAT-IFYDOICBSA-N -1 1 345.359 -0.166 20 0 EBADMM CNC(=O)[C@H](CO)[N-]S(=O)(=O)C[C@@H](OC)c1ccc(F)cc1 ZINC000625120190 375979177 /nfs/dbraw/zinc/97/91/77/375979177.db2.gz DHEQVRZFNHWVMF-NWDGAFQWSA-N -1 1 334.369 -0.461 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCc2cccs2)c(=O)n(C)c1=O ZINC000015330009 375987899 /nfs/dbraw/zinc/98/78/99/375987899.db2.gz JDYVMFHNORLIKF-UHFFFAOYSA-N -1 1 329.403 -0.334 20 0 EBADMM COC(=O)c1cn(CC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)nn1 ZINC000588828624 376395813 /nfs/dbraw/zinc/39/58/13/376395813.db2.gz MPVGCKIPMCFUFA-UHFFFAOYSA-N -1 1 336.308 -0.441 20 0 EBADMM O=C([C@H]1CC(=O)N(C2CCCC2)C1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370863660 376591076 /nfs/dbraw/zinc/59/10/76/376591076.db2.gz XKERDNKAHOCKBS-CMPLNLGQSA-N -1 1 334.380 -0.109 20 0 EBADMM O=C([C@@H]1CC(=O)N(C2CCCC2)C1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370863663 376593692 /nfs/dbraw/zinc/59/36/92/376593692.db2.gz XKERDNKAHOCKBS-ZYHUDNBSSA-N -1 1 334.380 -0.109 20 0 EBADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3cc(C)nc(NC)n3)nc2n1 ZINC000622997517 376610454 /nfs/dbraw/zinc/61/04/54/376610454.db2.gz AIFBDPPVTQNOJS-UHFFFAOYSA-N -1 1 342.363 -0.394 20 0 EBADMM O=C([O-])[C@H]1COCCN1CC1=Nc2ccccc2S(=O)(=O)N1 ZINC000566359211 376760535 /nfs/dbraw/zinc/76/05/35/376760535.db2.gz SQDHNHCCZMMLNG-SNVBAGLBSA-N -1 1 325.346 -0.206 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1CCC(=O)N(C)C1 ZINC000133899917 376969437 /nfs/dbraw/zinc/96/94/37/376969437.db2.gz UKARYBIOLWJIHP-NSHDSACASA-N -1 1 331.376 -0.081 20 0 EBADMM CCOC(=O)N[C@@H](C(=O)N1CCO[C@@H](c2nn[n-]n2)C1)C(C)C ZINC000363518420 376996015 /nfs/dbraw/zinc/99/60/15/376996015.db2.gz VRZRZZSHPYNVSL-NXEZZACHSA-N -1 1 326.357 -0.130 20 0 EBADMM O=C(CCCc1nn[n-]n1)N1CC[C@@H](OCCN2CCOCC2)C1 ZINC000635685989 377093294 /nfs/dbraw/zinc/09/32/94/377093294.db2.gz SYXPHMOQXKJIAI-CYBMUJFWSA-N -1 1 338.412 -0.528 20 0 EBADMM O=C(CCCc1nn[n-]n1)NC[C@H](N1CCOCC1)C(F)(F)F ZINC000635923828 377140547 /nfs/dbraw/zinc/14/05/47/377140547.db2.gz NUQSSXLSSMXHKR-VIFPVBQESA-N -1 1 336.318 -0.098 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2[C@@H]3Cc4ccccc4[C@@H]32)c(=O)n(C)c1=O ZINC000154243513 377230175 /nfs/dbraw/zinc/23/01/75/377230175.db2.gz HLCPHXZCGVIDOA-KWCYVHTRSA-N -1 1 347.396 -0.299 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C2Cc3ccccc3C2)c(=O)n(C)c1=O ZINC000186380886 377348927 /nfs/dbraw/zinc/34/89/27/377348927.db2.gz WQIHWORMPSIBJF-UHFFFAOYSA-N -1 1 335.385 -0.470 20 0 EBADMM Cc1cc(C(=O)N2CCN(C)C[C@H]2C[NH3+])[n-]c2nc(=O)[n-]c(=O)c1-2 ZINC000582065383 377508323 /nfs/dbraw/zinc/50/83/23/377508323.db2.gz KNKNCPWKCYHPOI-SECBINFHSA-N -1 1 332.364 -0.541 20 0 EBADMM COC(=O)[C@@]1([N-]S(=O)(=O)[C@@H]2CC(=O)N(C3CC3)C2)CCSC1 ZINC000601378350 377551265 /nfs/dbraw/zinc/55/12/65/377551265.db2.gz BCRYNYIKLPPAQY-ZWNOBZJWSA-N -1 1 348.446 -0.282 20 0 EBADMM COC(=O)[C@@]1([N-]S(=O)(=O)C[C@H]2CN(C)CCO2)CCSC1 ZINC000601379539 377551740 /nfs/dbraw/zinc/55/17/40/377551740.db2.gz KXPDJMUIKBCXQS-ZYHUDNBSSA-N -1 1 338.451 -0.715 20 0 EBADMM CCCCCS(=O)(=O)CC(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000364527929 377620108 /nfs/dbraw/zinc/62/01/08/377620108.db2.gz CTBDIGACPWHVSK-JTQLQIEISA-N -1 1 331.398 -0.295 20 0 EBADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1c(C)cnn1C)c1ccnn1C ZINC000592099426 377792918 /nfs/dbraw/zinc/79/29/18/377792918.db2.gz XCVNKELVODITOU-JTQLQIEISA-N -1 1 327.366 -0.345 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@H]2CN3CCN2CC3)cc1C ZINC000595322882 377998967 /nfs/dbraw/zinc/99/89/67/377998967.db2.gz PCCBXRFXLLOUCC-NSHDSACASA-N -1 1 343.405 -0.347 20 0 EBADMM Cc1c([C@H](C)[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)cnn1C ZINC000026829806 378135051 /nfs/dbraw/zinc/13/50/51/378135051.db2.gz XFXCHWWCYVDMTM-QMMMGPOBSA-N -1 1 341.393 -0.835 20 0 EBADMM CCOC(=O)c1cc(CS(=O)(=O)[N-]C(COC)COC)on1 ZINC000599322200 378229024 /nfs/dbraw/zinc/22/90/24/378229024.db2.gz OWHFWGJVOHFGTA-UHFFFAOYSA-N -1 1 336.366 -0.068 20 0 EBADMM O=C(NCc1nn[n-]n1)[C@H]1CCCN1S(=O)(=O)c1cccs1 ZINC000599334075 378231964 /nfs/dbraw/zinc/23/19/64/378231964.db2.gz CCGPYNBPVZYEJG-MRVPVSSYSA-N -1 1 342.406 -0.269 20 0 EBADMM O=C(Cn1cnc2c3ccccc3oc2c1=O)NCc1nn[n-]n1 ZINC000599377207 378233202 /nfs/dbraw/zinc/23/32/02/378233202.db2.gz NZLBNJZHUGUGCS-UHFFFAOYSA-N -1 1 325.288 -0.028 20 0 EBADMM CC(C)OCCS(=O)(=O)[N-]Cc1nc(-c2cn(C)nn2)no1 ZINC000567877925 378452760 /nfs/dbraw/zinc/45/27/60/378452760.db2.gz WQLCUJWDTAJLOK-UHFFFAOYSA-N -1 1 330.370 -0.291 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H](OC)[C@H]2CCOC2)o1 ZINC000617146173 378478260 /nfs/dbraw/zinc/47/82/60/378478260.db2.gz VWACAPGLRJUWON-GXSJLCMTSA-N -1 1 332.378 -0.031 20 0 EBADMM CNC(=O)c1c(C)[nH]nc1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000337137616 378617109 /nfs/dbraw/zinc/61/71/09/378617109.db2.gz FKAKPWHSGBYINR-UHFFFAOYSA-N -1 1 332.320 -0.453 20 0 EBADMM C[C@@H](C(=O)N(C)C)S(=O)(=O)[N-][C@H]1CN(c2ccc(F)cc2)C1=O ZINC000365775213 378685890 /nfs/dbraw/zinc/68/58/90/378685890.db2.gz HXEOHXGQIGZAQV-CABZTGNLSA-N -1 1 343.380 -0.063 20 0 EBADMM COCCNC(=O)Cn1cc([N-]S(=O)(=O)C[C@H](C)OC)cn1 ZINC000337745586 378690659 /nfs/dbraw/zinc/69/06/59/378690659.db2.gz GDNZPMVJLOYWNZ-JTQLQIEISA-N -1 1 334.398 -0.578 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@H](Cn3ccnn3)C2)o1 ZINC000617264805 378693130 /nfs/dbraw/zinc/69/31/30/378693130.db2.gz POKJUIVBALWUDF-JTQLQIEISA-N -1 1 339.377 -0.059 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)CNC(=O)c2cccs2)n1 ZINC000338123053 378735434 /nfs/dbraw/zinc/73/54/34/378735434.db2.gz VYRSBRYUZNNKLH-UHFFFAOYSA-N -1 1 329.363 -0.362 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)CNC(=O)c2cccs2)[n-]1 ZINC000338123053 378735439 /nfs/dbraw/zinc/73/54/39/378735439.db2.gz VYRSBRYUZNNKLH-UHFFFAOYSA-N -1 1 329.363 -0.362 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)CN2CCCCCCC2=O)n1 ZINC000338112721 378735459 /nfs/dbraw/zinc/73/54/59/378735459.db2.gz PDVSBBDRZFCXKI-UHFFFAOYSA-N -1 1 329.382 -0.061 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)CN2CCCCCCC2=O)[n-]1 ZINC000338112721 378735463 /nfs/dbraw/zinc/73/54/63/378735463.db2.gz PDVSBBDRZFCXKI-UHFFFAOYSA-N -1 1 329.382 -0.061 20 0 EBADMM Cc1ccccc1C(=O)NCC(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000338116196 378737141 /nfs/dbraw/zinc/73/71/41/378737141.db2.gz XLHBRBTVEKTHOX-UHFFFAOYSA-N -1 1 337.361 -0.115 20 0 EBADMM Cc1ccccc1C(=O)NCC(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000338116196 378737146 /nfs/dbraw/zinc/73/71/46/378737146.db2.gz XLHBRBTVEKTHOX-UHFFFAOYSA-N -1 1 337.361 -0.115 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCO[C@H](C(=O)C2CC2)C1 ZINC000338200166 378744515 /nfs/dbraw/zinc/74/45/15/378744515.db2.gz QPQBPADGZAOQTC-LBPRGKRZSA-N -1 1 333.344 -0.277 20 0 EBADMM C[C@@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])C[C@H]1N1CCOCC1 ZINC000338266018 378751626 /nfs/dbraw/zinc/75/16/26/378751626.db2.gz OONFXFHYCZLWIS-CHWSQXEVSA-N -1 1 348.403 -0.304 20 0 EBADMM C[C@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])C[C@H]1N1CCOCC1 ZINC000338266020 378751673 /nfs/dbraw/zinc/75/16/73/378751673.db2.gz OONFXFHYCZLWIS-QWHCGFSZSA-N -1 1 348.403 -0.304 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2nc(C(N)=O)cs2)co1 ZINC000339221701 378866144 /nfs/dbraw/zinc/86/61/44/378866144.db2.gz JUPAOFBDQCZCJG-UHFFFAOYSA-N -1 1 330.347 -0.005 20 0 EBADMM Cc1noc(C)c1C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000063199192 379033824 /nfs/dbraw/zinc/03/38/24/379033824.db2.gz HBPLSIAIIGLBLO-UHFFFAOYSA-N -1 1 328.350 -0.833 20 0 EBADMM Cc1cnc(C(=O)N2CCOC[C@H]2CNS(C)(=O)=O)c([O-])c1 ZINC000629572467 379048413 /nfs/dbraw/zinc/04/84/13/379048413.db2.gz UHHDVKKFMPITEA-SNVBAGLBSA-N -1 1 329.378 -0.514 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)c2ccc(OCC(N)=O)cc2)n[n-]1 ZINC000615918674 379048667 /nfs/dbraw/zinc/04/86/67/379048667.db2.gz WLACIEXPRAMWMD-UHFFFAOYSA-N -1 1 347.331 -0.225 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(OCC(N)=O)cc2)n1 ZINC000615918674 379048679 /nfs/dbraw/zinc/04/86/79/379048679.db2.gz WLACIEXPRAMWMD-UHFFFAOYSA-N -1 1 347.331 -0.225 20 0 EBADMM CCC(=O)N1CC[C@@H](NC(=O)c2ccc(S(=O)(=O)[N-]C)o2)C1 ZINC000268591161 379315920 /nfs/dbraw/zinc/31/59/20/379315920.db2.gz UYLJLOIBPVVGDR-SECBINFHSA-N -1 1 329.378 -0.072 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N(CCOC)CC(=O)N(C)C)co1 ZINC000270072182 379431343 /nfs/dbraw/zinc/43/13/43/379431343.db2.gz SBIFAERYENGUMS-UHFFFAOYSA-N -1 1 347.393 -0.636 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@](C)(COC)C(=O)OC)co1 ZINC000270171542 379435389 /nfs/dbraw/zinc/43/53/89/379435389.db2.gz LHIIVFQHHMRMMY-LBPRGKRZSA-N -1 1 334.350 -0.504 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](CO)c2cnn(C)c2)c1 ZINC000270203958 379438234 /nfs/dbraw/zinc/43/82/34/379438234.db2.gz MUSSCHQXHMLYMO-JTQLQIEISA-N -1 1 329.334 -0.188 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@](C)(COC)C(=O)OC ZINC000269783248 379407321 /nfs/dbraw/zinc/40/73/21/379407321.db2.gz IQEAVIFGAYNQKO-GFCCVEGCSA-N -1 1 349.365 -0.557 20 0 EBADMM C[C@H](Cc1ccncc1)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000487088074 379486566 /nfs/dbraw/zinc/48/65/66/379486566.db2.gz QDYXGFURZHGXDX-SNVBAGLBSA-N -1 1 338.389 -0.612 20 0 EBADMM Cn1c(=O)n(Cc2nn[n-]n2)c(=O)c2ccc(C(F)(F)F)nc21 ZINC000490446872 379543088 /nfs/dbraw/zinc/54/30/88/379543088.db2.gz HMCPARBIAHYVHJ-UHFFFAOYSA-N -1 1 327.226 -0.325 20 0 EBADMM COc1cc(OC)cc(N2C[C@@H](C(=O)[N-]OCC(N)=O)CC2=O)c1 ZINC000271365172 379520525 /nfs/dbraw/zinc/52/05/25/379520525.db2.gz GWZAXEXRCJCNJC-VIFPVBQESA-N -1 1 337.332 -0.410 20 0 EBADMM C[C@H](CN(C)C(=O)CCn1nnc2ccccc2c1=O)c1nn[n-]n1 ZINC000273436704 379644710 /nfs/dbraw/zinc/64/47/10/379644710.db2.gz AEXDGIWQUYCUSK-SNVBAGLBSA-N -1 1 342.363 -0.043 20 0 EBADMM Cn1cc(S(=O)(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])nc1Cl ZINC000274941532 379724641 /nfs/dbraw/zinc/72/46/41/379724641.db2.gz QZLAHISRMDOOPP-ZETCQYMHSA-N -1 1 333.757 -0.229 20 0 EBADMM CC(C)C(=O)N[C@@H](CO)C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614389809 379925813 /nfs/dbraw/zinc/92/58/13/379925813.db2.gz PQOBYUNGPZSJOU-ZJUUUORDSA-N -1 1 326.353 -0.386 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)[C@@H](O)C(=O)OC)o1 ZINC000277163927 379875592 /nfs/dbraw/zinc/87/55/92/379875592.db2.gz ZYTPAGHGQHPWJB-VIFPVBQESA-N -1 1 335.334 -0.343 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@@H]1[N-]S(=O)(=O)CC1(OC)CCCC1 ZINC000632552618 379879693 /nfs/dbraw/zinc/87/96/93/379879693.db2.gz WAEQPAZFKYLDHD-WDEREUQCSA-N -1 1 341.451 -0.323 20 0 EBADMM CC[C@H](C)[C@@H](NS(C)(=O)=O)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000539323959 379994789 /nfs/dbraw/zinc/99/47/89/379994789.db2.gz AGYLBKIRLWRBBJ-HBNTYKKESA-N -1 1 344.441 -0.130 20 0 EBADMM CCN(CC)S(=O)(=O)CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000539326653 379994864 /nfs/dbraw/zinc/99/48/64/379994864.db2.gz OWQBFTPGKVIOGJ-SNVBAGLBSA-N -1 1 330.414 -0.423 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H](CO)[C@@H]1CCOC1 ZINC000281014260 380003812 /nfs/dbraw/zinc/00/38/12/380003812.db2.gz PBRJVPZOKIBPCH-ZJUUUORDSA-N -1 1 347.393 -0.490 20 0 EBADMM CN1CC[C@@H](C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)S1(=O)=O ZINC000630226827 380012686 /nfs/dbraw/zinc/01/26/86/380012686.db2.gz HRQPYOSYOTZNLQ-JTQLQIEISA-N -1 1 333.410 -0.972 20 0 EBADMM CNS(=O)(=O)c1ccccc1S(=O)(=O)[N-]CC(F)(F)CO ZINC000282733792 380086919 /nfs/dbraw/zinc/08/69/19/380086919.db2.gz ZZSWODFZANHJDI-UHFFFAOYSA-N -1 1 344.361 -0.499 20 0 EBADMM CC(C)(NC(=O)CCCS(=O)(=O)N1CCCC1)c1nn[n-]n1 ZINC000354781501 380097419 /nfs/dbraw/zinc/09/74/19/380097419.db2.gz GSOUWLVEDZEUEI-UHFFFAOYSA-N -1 1 330.414 -0.243 20 0 EBADMM CNC(=O)CN1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000283208986 380108569 /nfs/dbraw/zinc/10/85/69/380108569.db2.gz AAJFXCHOGZBWOT-UHFFFAOYSA-N -1 1 334.405 -0.478 20 0 EBADMM O=C(NC[C@@H](CO)Cc1ccccc1)c1cc(=O)n2[n-]cnc2n1 ZINC000546092585 380108779 /nfs/dbraw/zinc/10/87/79/380108779.db2.gz XTXKWQDTTDVFEX-LBPRGKRZSA-N -1 1 327.344 -0.001 20 0 EBADMM Cc1[nH]c(=O)sc1S(=O)(=O)[N-]CCNC(=O)[C@@]1(C)CCOC1 ZINC000547371851 380132749 /nfs/dbraw/zinc/13/27/49/380132749.db2.gz DEGCTOWWNKDCAH-LBPRGKRZSA-N -1 1 349.434 -0.022 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NS(=O)(=O)c1cnc2n1CCC2 ZINC000547872139 380166594 /nfs/dbraw/zinc/16/65/94/380166594.db2.gz OJUTVGZTDIMTBC-VIFPVBQESA-N -1 1 325.350 -0.473 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)C2(N3CCOCC3)CCC2)n1 ZINC000355248367 380172518 /nfs/dbraw/zinc/17/25/18/380172518.db2.gz YEPWQPRDYHBBBE-UHFFFAOYSA-N -1 1 329.382 -0.598 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)C2(N3CCOCC3)CCC2)[n-]1 ZINC000355248367 380172525 /nfs/dbraw/zinc/17/25/25/380172525.db2.gz YEPWQPRDYHBBBE-UHFFFAOYSA-N -1 1 329.382 -0.598 20 0 EBADMM CCS(=O)(=O)N1CCC[C@H](C(=O)N(C)C[C@H](C)c2nn[n-]n2)C1 ZINC000548138855 380186858 /nfs/dbraw/zinc/18/68/58/380186858.db2.gz FMTKBVZAZOQNSW-QWRGUYRKSA-N -1 1 344.441 -0.177 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])c1 ZINC000548200309 380188700 /nfs/dbraw/zinc/18/87/00/380188700.db2.gz BIALUNRULFAZBV-VIFPVBQESA-N -1 1 343.317 -0.237 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NCc2ccccc2)o1 ZINC000355367093 380189624 /nfs/dbraw/zinc/18/96/24/380189624.db2.gz IVJZZMLWAHLTSR-UHFFFAOYSA-N -1 1 337.357 -0.027 20 0 EBADMM C[N@H+](CC(=O)[O-])[C@@H]1CN(C(=O)c2cc(-c3ccoc3)[nH]n2)C[C@H]1O ZINC000547699035 380156053 /nfs/dbraw/zinc/15/60/53/380156053.db2.gz SRIYYKHFEOTXSH-CHWSQXEVSA-N -1 1 334.332 -0.129 20 0 EBADMM O=C(NC1(c2nn[n-]n2)CC1)[C@@H]1CCCCN1C(=O)[C@H]1CCCO1 ZINC000357072008 380213969 /nfs/dbraw/zinc/21/39/69/380213969.db2.gz PPFSISJFXASQHP-WDEREUQCSA-N -1 1 334.380 -0.135 20 0 EBADMM COC(=O)c1c[n-]c(S(=O)(=O)CC(=O)N2C[C@@H](C)O[C@H](C)C2)n1 ZINC000285009570 380218625 /nfs/dbraw/zinc/21/86/25/380218625.db2.gz DAXSISIUJRRGRG-RKDXNWHRSA-N -1 1 345.377 -0.394 20 0 EBADMM O=C([O-])[C@@H](C1CC1)N1CCN(S(=O)(=O)Cc2ccon2)CC1 ZINC000572532778 380313632 /nfs/dbraw/zinc/31/36/32/380313632.db2.gz DISGLLMFEXLNNX-GFCCVEGCSA-N -1 1 329.378 -0.015 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]CCS(=O)(=O)NC(C)C ZINC000289598764 380442550 /nfs/dbraw/zinc/44/25/50/380442550.db2.gz WKJRMYORHPBJFW-UHFFFAOYSA-N -1 1 326.400 -0.829 20 0 EBADMM CO[C@H]1C[C@@H](C(=O)[O-])N(CCOc2ccc(S(N)(=O)=O)cc2)C1 ZINC000566363083 380466292 /nfs/dbraw/zinc/46/62/92/380466292.db2.gz FTLCRDOBMZKQJZ-AAEUAGOBSA-N -1 1 344.389 -0.113 20 0 EBADMM Cc1nn(C)c(C)c1S(=O)(=O)N[C@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000274911820 380565836 /nfs/dbraw/zinc/56/58/36/380565836.db2.gz KXWWPYNNAFOPTK-SNVBAGLBSA-N -1 1 327.366 -0.266 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](CO)[C@H]1CCCOC1 ZINC000568263717 380589695 /nfs/dbraw/zinc/58/96/95/380589695.db2.gz KEVUQWDLSMNIAY-ONGXEEELSA-N -1 1 347.393 -0.348 20 0 EBADMM C[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1cscn1 ZINC000568902166 380623535 /nfs/dbraw/zinc/62/35/35/380623535.db2.gz XLCROEWAYMYMOH-ZETCQYMHSA-N -1 1 330.391 -0.420 20 0 EBADMM CO[C@@H]1CCC[C@H]1C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000341196734 380681883 /nfs/dbraw/zinc/68/18/83/380681883.db2.gz QVEWRFYXIAUJSM-VHSXEESVSA-N -1 1 331.394 -0.823 20 0 EBADMM CCCCS(=O)(=O)NCC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000341438364 380701136 /nfs/dbraw/zinc/70/11/36/380701136.db2.gz VXQRMRVPWZVBMS-UHFFFAOYSA-N -1 1 330.414 -0.195 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@H](OC)C[C@@H]2C(=O)OC)co1 ZINC000372307103 380701353 /nfs/dbraw/zinc/70/13/53/380701353.db2.gz JHIKIWSGDGBUTG-NXEZZACHSA-N -1 1 346.361 -0.410 20 0 EBADMM O=C([O-])[C@@H](Cc1cnc[nH]1)NS(=O)(=O)c1cn2c(n1)CCCC2 ZINC000366719243 380655770 /nfs/dbraw/zinc/65/57/70/380655770.db2.gz HENYCRUEXAPGCT-SNVBAGLBSA-N -1 1 339.377 -0.083 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H](C(N)=O)CC[C@H]2C)o1 ZINC000341867531 380743391 /nfs/dbraw/zinc/74/33/91/380743391.db2.gz KSNRLZQBLCOAFC-BDAKNGLRSA-N -1 1 329.378 -0.086 20 0 EBADMM CC[C@](COC)([N-]S(=O)(=O)N1C[C@H](C)OC[C@@H]1C)C(=O)OC ZINC000416518860 380785512 /nfs/dbraw/zinc/78/55/12/380785512.db2.gz URIDCZIEYZCVPC-GMXVVIOVSA-N -1 1 338.426 -0.102 20 0 EBADMM CC[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)[C@H](O)C(C)C ZINC000416620694 380790664 /nfs/dbraw/zinc/79/06/64/380790664.db2.gz LDDIKSWYBOMSIL-GXSJLCMTSA-N -1 1 333.410 -0.842 20 0 EBADMM CO[C@](C)([C@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C1CC1 ZINC000416607566 380790846 /nfs/dbraw/zinc/79/08/46/380790846.db2.gz ZGZMVJGBLHFLHU-LKFCYVNXSA-N -1 1 345.421 -0.434 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC(=O)N(C)C(=O)C1 ZINC000577864895 380848267 /nfs/dbraw/zinc/84/82/67/380848267.db2.gz XYABWTPYARPJPI-UHFFFAOYSA-N -1 1 331.332 -0.579 20 0 EBADMM O=S(=O)(CCCS(=O)(=O)N1CC[C@@H](O)C1)[N-]c1ccccn1 ZINC000349515404 380882805 /nfs/dbraw/zinc/88/28/05/380882805.db2.gz BRORSFHYTKAIMD-LLVKDONJSA-N -1 1 349.434 -0.390 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCN1CCCC1=O ZINC000405292047 380943774 /nfs/dbraw/zinc/94/37/74/380943774.db2.gz KVVKJWZQWUYUIH-UHFFFAOYSA-N -1 1 330.366 -0.513 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCCCn1ccnn1 ZINC000182955099 381004167 /nfs/dbraw/zinc/00/41/67/381004167.db2.gz PDOBWXMYJUXDMQ-UHFFFAOYSA-N -1 1 329.364 -0.020 20 0 EBADMM O=C(CN1C(=O)NC2(CCCC2)C1=O)NC1(c2nn[n-]n2)CCCC1 ZINC000346356805 381057604 /nfs/dbraw/zinc/05/76/04/381057604.db2.gz XBBPTTLZVCDKQA-UHFFFAOYSA-N -1 1 347.379 -0.050 20 0 EBADMM CN(C)S(=O)(=O)CCCS(=O)(=O)[N-]c1nnc2ccccn21 ZINC000349577861 381146462 /nfs/dbraw/zinc/14/64/62/381146462.db2.gz VWXLFCKKPDZXGR-UHFFFAOYSA-N -1 1 347.422 -0.248 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C(C)(C)C(=O)N(C)C ZINC000611017339 381252692 /nfs/dbraw/zinc/25/26/92/381252692.db2.gz CCBIUCGQIYCIHY-UHFFFAOYSA-N -1 1 332.382 -0.269 20 0 EBADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H](O)C[C@H]2CO)o1 ZINC000347730772 381254309 /nfs/dbraw/zinc/25/43/09/381254309.db2.gz LIEQLOXJRMTPER-VHSXEESVSA-N -1 1 346.405 -0.076 20 0 EBADMM CCC[C@H](NC(N)=O)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000347742259 381268250 /nfs/dbraw/zinc/26/82/50/381268250.db2.gz SLZAUJGRTFYNOJ-QMMMGPOBSA-N -1 1 330.366 -0.185 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]C2(C(N)=O)CCOCC2)o1 ZINC000610898715 381226297 /nfs/dbraw/zinc/22/62/97/381226297.db2.gz XIMISRUXCFAEPP-UHFFFAOYSA-N -1 1 332.334 -0.621 20 0 EBADMM CC(C)(NS(C)(=O)=O)C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000347580115 381236217 /nfs/dbraw/zinc/23/62/17/381236217.db2.gz ZNWNZZYPLBRTAT-QMMMGPOBSA-N -1 1 332.382 -0.191 20 0 EBADMM Cc1[nH]c(=O)sc1S(=O)(=O)[N-]CCNC(=O)c1ccn[nH]1 ZINC000347665708 381243077 /nfs/dbraw/zinc/24/30/77/381243077.db2.gz AWYXDPSSICLXOX-UHFFFAOYSA-N -1 1 331.379 -0.411 20 0 EBADMM O=C(CCn1c(=O)[nH]c(=O)c2ccccc21)NC1(c2nn[n-]n2)CC1 ZINC000348276559 381352292 /nfs/dbraw/zinc/35/22/92/381352292.db2.gz OSYQBUCMPSKGKJ-UHFFFAOYSA-N -1 1 341.331 -0.189 20 0 EBADMM CSc1nc(C)c(CCC(=O)N[C@@H]2CN(C)NC2=O)c(=O)[n-]1 ZINC000349671797 381477028 /nfs/dbraw/zinc/47/70/28/381477028.db2.gz UNKCGHUUOUBNEJ-SECBINFHSA-N -1 1 325.394 -0.393 20 0 EBADMM COC(=O)CCCCCS(=O)(=O)[N-][C@]1(C(=O)OC)CCOC1 ZINC000349295939 381455467 /nfs/dbraw/zinc/45/54/67/381455467.db2.gz CPLAGNOGJKDVMZ-CYBMUJFWSA-N -1 1 337.394 -0.029 20 0 EBADMM COCCN1C[C@H](C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)CC1=O ZINC000350827651 381550489 /nfs/dbraw/zinc/55/04/89/381550489.db2.gz DSHQDHQFFKYPCN-GHMZBOCLSA-N -1 1 338.364 -0.024 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H](CO)c2ccsc2)c(=O)n(C)c1=O ZINC000351579540 381647752 /nfs/dbraw/zinc/64/77/52/381647752.db2.gz HGZCOCONCHFXLA-SECBINFHSA-N -1 1 345.402 -0.843 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2nnnn2CC2CC2)o1 ZINC000351565433 381645651 /nfs/dbraw/zinc/64/56/51/381645651.db2.gz ASJDJABTKYHWKI-UHFFFAOYSA-N -1 1 341.349 -0.059 20 0 EBADMM COC(=O)c1cc(Cl)ccc1NC(=O)C(=O)NCc1nn[n-]n1 ZINC000611603655 381679232 /nfs/dbraw/zinc/67/92/32/381679232.db2.gz VWWBRBUFUDSSRA-UHFFFAOYSA-N -1 1 338.711 -0.105 20 0 EBADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H](O)[C@@H](O)C2)o1 ZINC000628523586 381870762 /nfs/dbraw/zinc/87/07/62/381870762.db2.gz HUSJNNIFXJMZIR-IUCAKERBSA-N -1 1 332.378 -0.466 20 0 EBADMM CCN(C[C@H](C)OC)C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000428921909 381971558 /nfs/dbraw/zinc/97/15/58/381971558.db2.gz AOBXGNLVUNDCCQ-VIFPVBQESA-N -1 1 347.393 -0.460 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCN1C[C@@H](C)OC[C@H]1C ZINC000617725232 381945556 /nfs/dbraw/zinc/94/55/56/381945556.db2.gz IZNRKSMXSPZLMH-RKDXNWHRSA-N -1 1 326.353 -0.265 20 0 EBADMM CS(=O)(=O)N[C@H]1CCCC[C@@H]1NCc1cc(=O)n2[n-]ccc2n1 ZINC000352412172 381980176 /nfs/dbraw/zinc/98/01/76/381980176.db2.gz CQCYXMVQPHISBA-RYUDHWBXSA-N -1 1 339.421 -0.027 20 0 EBADMM Cc1cccc(C(=O)NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)c1 ZINC000352415773 381981630 /nfs/dbraw/zinc/98/16/30/381981630.db2.gz PPSLVYZDCOULNZ-UHFFFAOYSA-N -1 1 340.343 -0.114 20 0 EBADMM C[C@H](CN(C)C(=O)CSCC(=O)N1CCOCC1)c1nn[n-]n1 ZINC000352467159 381988991 /nfs/dbraw/zinc/98/89/91/381988991.db2.gz XDGPGRGBIKUSHI-SNVBAGLBSA-N -1 1 342.425 -0.646 20 0 EBADMM COC(=O)[C@@]1(NC(=O)CNC(=O)c2ncccc2[O-])CCSC1 ZINC000352698494 382022067 /nfs/dbraw/zinc/02/20/67/382022067.db2.gz NBNPZQAYNIYIAK-CQSZACIVSA-N -1 1 339.373 -0.318 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCO[C@@H](c2ncon2)C1 ZINC000353568604 382155393 /nfs/dbraw/zinc/15/53/93/382155393.db2.gz ZQAICSGBPCKFQU-SNVBAGLBSA-N -1 1 333.304 -0.500 20 0 EBADMM COC[C@H](O)C[N-]S(=O)(=O)c1nc(C)n(C)c1Br ZINC000288334159 382171922 /nfs/dbraw/zinc/17/19/22/382171922.db2.gz XJDOCOBUEMZKDF-SSDOTTSWSA-N -1 1 342.215 -0.223 20 0 EBADMM CS(=O)(=O)NC[C@@H]1COCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000629570584 382229164 /nfs/dbraw/zinc/22/91/64/382229164.db2.gz DMCAZODWRMVMKE-LLVKDONJSA-N -1 1 342.373 -0.649 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C1CCC(C(N)=O)CC1 ZINC000320028126 155179647 /nfs/dbraw/zinc/17/96/47/155179647.db2.gz PLMYZAFGNBBNAX-UHFFFAOYSA-N -1 1 344.393 -0.091 20 0 EBADMM C[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)[C@H]1CCCOC1 ZINC000329496380 155227369 /nfs/dbraw/zinc/22/73/69/155227369.db2.gz IZCKUSNBLQBWLA-UWVGGRQHSA-N -1 1 331.394 -0.823 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1C[C@H](F)CN1Cc1ccnn1C ZINC000329936174 155247862 /nfs/dbraw/zinc/24/78/62/155247862.db2.gz CXPXMYOMGSIQLG-AAEUAGOBSA-N -1 1 337.403 -0.114 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@H](NC(C)=O)C2)o1 ZINC000330461620 155271967 /nfs/dbraw/zinc/27/19/67/155271967.db2.gz AGJWUIKLBJUQOH-JTQLQIEISA-N -1 1 329.378 -0.072 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@]2(C)CCS(=O)(=O)C2)o1 ZINC000330642315 155296172 /nfs/dbraw/zinc/29/61/72/155296172.db2.gz JEIVSQDCOHLIKZ-LLVKDONJSA-N -1 1 337.375 -0.078 20 0 EBADMM COC(=O)[C@@H]1CCC[C@H]1S(=O)(=O)[N-]Cc1nc(N(C)C)no1 ZINC000331103799 155339274 /nfs/dbraw/zinc/33/92/74/155339274.db2.gz PUUYXFBHFHKZHC-RKDXNWHRSA-N -1 1 332.382 -0.103 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCN(CCO)[C@H](C)C2)o1 ZINC000331173581 155346551 /nfs/dbraw/zinc/34/65/51/155346551.db2.gz PIZGNTOCWQTKOF-SNVBAGLBSA-N -1 1 331.394 -0.674 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCSCCCO ZINC000228554961 154165154 /nfs/dbraw/zinc/16/51/54/154165154.db2.gz LXZXIDFPBLPFTN-UHFFFAOYSA-N -1 1 337.423 -0.020 20 0 EBADMM COc1ccc(CN2C[C@@H](C(=O)NN3CC(=O)[N-]C3=O)CC2=O)cc1 ZINC000263769969 154261990 /nfs/dbraw/zinc/26/19/90/154261990.db2.gz ILUHZHCZSBUHSS-NSHDSACASA-N -1 1 346.343 -0.373 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCCCS(C)(=O)=O ZINC000264108869 154273317 /nfs/dbraw/zinc/27/33/17/154273317.db2.gz CXQMKQADTMGVET-UHFFFAOYSA-N -1 1 340.405 -0.267 20 0 EBADMM COCCNC(=O)Cn1cc([N-]S(=O)(=O)C[C@H]2CCCO2)cn1 ZINC000266050361 154333646 /nfs/dbraw/zinc/33/36/46/154333646.db2.gz GCJNVOSNVZUZEQ-GFCCVEGCSA-N -1 1 346.409 -0.434 20 0 EBADMM CO[C@@]1(C)C[C@@H]([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)C1(C)C ZINC000266731780 154351639 /nfs/dbraw/zinc/35/16/39/154351639.db2.gz LYXDYVAWRXFCBJ-YGRLFVJLSA-N -1 1 345.421 -0.434 20 0 EBADMM CN(C)CCN(C)S(=O)(=O)c1c[nH]c2nc(=O)[n-]c(=O)c-2c1 ZINC000267638818 154383474 /nfs/dbraw/zinc/38/34/74/154383474.db2.gz QHWPUCMPUOODOM-UHFFFAOYSA-N -1 1 327.366 -0.382 20 0 EBADMM COC(=O)[C@@]1(NC(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)CCOC1 ZINC000267970933 154395085 /nfs/dbraw/zinc/39/50/85/154395085.db2.gz CAIJMEZXANAMKO-MRXNPFEDSA-N -1 1 348.359 -0.370 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@]2(C(=O)OC)CCSC2)co1 ZINC000270674434 154496004 /nfs/dbraw/zinc/49/60/04/154496004.db2.gz QLXKKYLGGIGYNZ-LBPRGKRZSA-N -1 1 348.402 -0.034 20 0 EBADMM CCOC[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C(C)C ZINC000276719733 154706560 /nfs/dbraw/zinc/70/65/60/154706560.db2.gz PSQGOSAHKGYRBD-JTQLQIEISA-N -1 1 333.410 -0.577 20 0 EBADMM CCOC(=O)NCC(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000292304584 155030704 /nfs/dbraw/zinc/03/07/04/155030704.db2.gz SOEQWZMGTLZHMD-UHFFFAOYSA-N -1 1 331.350 -0.015 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@]2(O)CCCC2(C)C)c(=O)n(C)c1=O ZINC000292897163 155038901 /nfs/dbraw/zinc/03/89/01/155038901.db2.gz VSQGBBJMMDRZMR-CQSZACIVSA-N -1 1 345.421 -0.697 20 0 EBADMM COC(=O)[C@@H](C)CS(=O)(=O)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000293151067 155042094 /nfs/dbraw/zinc/04/20/94/155042094.db2.gz QYOHZVBCPYLMJF-IUCAKERBSA-N -1 1 332.382 -0.584 20 0 EBADMM CCOC(=O)CCS(=O)(=O)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000293181227 155043105 /nfs/dbraw/zinc/04/31/05/155043105.db2.gz SPWNWJNMJCRKMY-SECBINFHSA-N -1 1 332.382 -0.440 20 0 EBADMM COC1(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCCC1 ZINC000297020886 155080341 /nfs/dbraw/zinc/08/03/41/155080341.db2.gz KMQRPLDLHWHYLA-UHFFFAOYSA-N -1 1 331.394 -0.679 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCCN1CCCC1=O ZINC000298069386 155100458 /nfs/dbraw/zinc/10/04/58/155100458.db2.gz GKVYFVAGIIUNML-UHFFFAOYSA-N -1 1 344.393 -0.123 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCN(CCOC)CC2)o1 ZINC000298402317 155110340 /nfs/dbraw/zinc/11/03/40/155110340.db2.gz GIDMGWWXQOXROV-UHFFFAOYSA-N -1 1 331.394 -0.408 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1C[C@H]2[C@@H](CCS2(=O)=O)C1 ZINC000343129906 156101373 /nfs/dbraw/zinc/10/13/73/156101373.db2.gz MRZXYOYAGGWMKO-ONGXEEELSA-N -1 1 339.373 -0.838 20 0 EBADMM NC(=O)[C@H](Cc1ccccc1)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000343323426 156124600 /nfs/dbraw/zinc/12/46/00/156124600.db2.gz OTCDOOZPGPMHRW-LBPRGKRZSA-N -1 1 342.355 -0.270 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCCS(=O)(=O)CC1 ZINC000343558162 156144732 /nfs/dbraw/zinc/14/47/32/156144732.db2.gz AIZNTOPCRRRJPG-UHFFFAOYSA-N -1 1 327.362 -0.836 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCO[C@@H](Cn2cccn2)C1 ZINC000343618614 156148434 /nfs/dbraw/zinc/14/84/34/156148434.db2.gz HKKHCPITWMJWIT-GFCCVEGCSA-N -1 1 345.359 -0.359 20 0 EBADMM C[C@H](CCS(C)(=O)=O)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000343848185 156173207 /nfs/dbraw/zinc/17/32/07/156173207.db2.gz KIQBJFZPHLAVAW-SECBINFHSA-N -1 1 329.378 -0.544 20 0 EBADMM CS(=O)(=O)N1CCCC[C@@H]1CNc1nc2[nH][n-]cc-2c(=O)n1 ZINC000343985800 156193505 /nfs/dbraw/zinc/19/35/05/156193505.db2.gz MXKLRJVBCTZSLS-MRVPVSSYSA-N -1 1 326.382 -0.342 20 0 EBADMM COC(=O)Cn1cc(CCN2CCC[C@@H](c3n[nH]c(=O)[n-]3)C2)nn1 ZINC000344107340 156202939 /nfs/dbraw/zinc/20/29/39/156202939.db2.gz QKDNTDMYYFYLIO-SNVBAGLBSA-N -1 1 335.368 -0.303 20 0 EBADMM CC(=O)C1(C(=O)NCC[N-]S(=O)(=O)c2sc(=O)[nH]c2C)CC1 ZINC000344493712 156233052 /nfs/dbraw/zinc/23/30/52/156233052.db2.gz NBXJCAYIQWGHHT-UHFFFAOYSA-N -1 1 347.418 -0.079 20 0 EBADMM Cc1noc([C@H]2COCCN2C(=O)CNC(=O)c2ncccc2[O-])n1 ZINC000345496485 156336378 /nfs/dbraw/zinc/33/63/78/156336378.db2.gz XGPOESXKURQNKH-SNVBAGLBSA-N -1 1 347.331 -0.191 20 0 EBADMM C[C@@H](CC1CC1)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000345705788 156356969 /nfs/dbraw/zinc/35/69/69/156356969.db2.gz AVYLPIJFGYWCIU-QMMMGPOBSA-N -1 1 329.378 -0.038 20 0 EBADMM C[C@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)C(=O)N1CCOCC1 ZINC000355606589 157013154 /nfs/dbraw/zinc/01/31/54/157013154.db2.gz NTRGGFYLHBRJRL-VIFPVBQESA-N -1 1 333.348 -0.349 20 0 EBADMM Cn1ncc2c1[n-]cc(C(=O)NCCC(=O)N1CCOCC1)c2=O ZINC000355693836 157019822 /nfs/dbraw/zinc/01/98/22/157019822.db2.gz BKYVKDOSIHZZQQ-UHFFFAOYSA-N -1 1 333.348 -0.347 20 0 EBADMM CC(C)N1C(=O)C[C@@H](NC(=O)c2c[n-]c3c(cnn3C)c2=O)C1=O ZINC000355729392 157021936 /nfs/dbraw/zinc/02/19/36/157021936.db2.gz NAAASJMCCDFPDZ-SNVBAGLBSA-N -1 1 331.332 -0.060 20 0 EBADMM CN1C(=O)CO[C@@H](C(=O)NC2(c3nn[n-]n3)CC2)[C@@H]1c1ccccc1 ZINC000356056420 157042002 /nfs/dbraw/zinc/04/20/02/157042002.db2.gz QQVXKRHLUVIEJK-QWHCGFSZSA-N -1 1 342.359 -0.097 20 0 EBADMM CCNS(=O)(=O)c1ccc(C(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000357064237 157116378 /nfs/dbraw/zinc/11/63/78/157116378.db2.gz BHHJHELZUCMMDH-UHFFFAOYSA-N -1 1 336.377 -0.083 20 0 EBADMM NC(=O)c1ccc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])nc1 ZINC000357093729 157118879 /nfs/dbraw/zinc/11/88/79/157118879.db2.gz LUJNUDBNTPHTLC-UHFFFAOYSA-N -1 1 336.329 -0.214 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCC(=O)Nc1ccncc1 ZINC000357609529 157160996 /nfs/dbraw/zinc/16/09/96/157160996.db2.gz SOKQIGLHOLOOOE-UHFFFAOYSA-N -1 1 333.304 -0.528 20 0 EBADMM C[C@@H](CN(C)C(=O)CCCS(=O)(=O)N1CCCC1)c1nn[n-]n1 ZINC000358005876 157199728 /nfs/dbraw/zinc/19/97/28/157199728.db2.gz ZXFVGHUIVWBZQC-NSHDSACASA-N -1 1 344.441 -0.033 20 0 EBADMM CN(CC(=O)N1CCOCC1)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358261685 157229075 /nfs/dbraw/zinc/22/90/75/157229075.db2.gz JPLZLEWUCPIESV-UHFFFAOYSA-N -1 1 333.348 -0.395 20 0 EBADMM COC(=O)Cn1cc(CNC(=O)c2c[n-]c3c(cnn3C)c2=O)nn1 ZINC000358390747 157240493 /nfs/dbraw/zinc/24/04/93/157240493.db2.gz BLFJSTMBEKXYLQ-UHFFFAOYSA-N -1 1 345.319 -0.632 20 0 EBADMM CC[C@H](NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)C(C)(C)O ZINC000358571458 157260987 /nfs/dbraw/zinc/26/09/87/157260987.db2.gz VEWAJLGGFQCVAW-VIFPVBQESA-N -1 1 347.393 -0.678 20 0 EBADMM CC(C)(NC(=O)c1nc(S(C)(=O)=O)n2ccccc12)c1nn[n-]n1 ZINC000359780001 157341187 /nfs/dbraw/zinc/34/11/87/157341187.db2.gz BHXFOBFSLVMLNR-UHFFFAOYSA-N -1 1 349.376 -0.084 20 0 EBADMM CC1(C)CN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)CCO1 ZINC000359965478 157351978 /nfs/dbraw/zinc/35/19/78/157351978.db2.gz AQOAOBIDIUNBTF-UHFFFAOYSA-N -1 1 345.377 -0.706 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NC[C@@H]1CCCS(=O)(=O)C1 ZINC000360226524 157366908 /nfs/dbraw/zinc/36/69/08/157366908.db2.gz PJGWFLDFVZHKNN-JTQLQIEISA-N -1 1 341.389 -0.542 20 0 EBADMM Cc1nc(C[N-]S(=O)(=O)c2cccc(S(N)(=O)=O)c2)no1 ZINC000360662978 157390733 /nfs/dbraw/zinc/39/07/33/157390733.db2.gz BSKVSBHUBOTMGC-UHFFFAOYSA-N -1 1 332.363 -0.496 20 0 EBADMM C[C@H](CO)NS(=O)(=O)CCCS(=O)(=O)[N-]c1ccccn1 ZINC000349560896 545935452 /nfs/dbraw/zinc/93/54/52/545935452.db2.gz CFKCMYXHFSXXIU-SNVBAGLBSA-N -1 1 337.423 -0.486 20 0 EBADMM O=C(CNC(=O)[C@@H]1c2ccccc2C(=O)N1C1CC1)Nc1nnn[n-]1 ZINC000342850733 419400632 /nfs/dbraw/zinc/40/06/32/419400632.db2.gz AAQYCOJWHFYZOD-LBPRGKRZSA-N -1 1 341.331 -0.386 20 0 EBADMM O=C(CNC(=O)[C@@H]1c2ccccc2C(=O)N1C1CC1)Nc1nn[n-]n1 ZINC000342850733 419400641 /nfs/dbraw/zinc/40/06/41/419400641.db2.gz AAQYCOJWHFYZOD-LBPRGKRZSA-N -1 1 341.331 -0.386 20 0 EBADMM COC(=O)CNC(=O)[C@H]1CCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000416321661 419430096 /nfs/dbraw/zinc/43/00/96/419430096.db2.gz LLWINKAZLQVJFI-GFCCVEGCSA-N -1 1 334.328 -0.145 20 0 EBADMM CNS(=O)(=O)c1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)o1 ZINC000533113570 419963875 /nfs/dbraw/zinc/96/38/75/419963875.db2.gz CNRXVWXCJYKJEF-QMMMGPOBSA-N -1 1 340.365 -0.279 20 0 EBADMM CC[C@@H](C)C[C@H](CO)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000645832758 420520701 /nfs/dbraw/zinc/52/07/01/420520701.db2.gz PJBRPVRSEGWNNI-NXEZZACHSA-N -1 1 333.410 -0.841 20 0 EBADMM CCOC(=O)N[C@@H](C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)C(C)C ZINC000491427898 420558446 /nfs/dbraw/zinc/55/84/46/420558446.db2.gz KMGLIHIMXFEPIW-SSDOTTSWSA-N -1 1 333.370 -0.083 20 0 EBADMM CCOC(=O)N[C@@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)C(C)C ZINC000491427898 420558449 /nfs/dbraw/zinc/55/84/49/420558449.db2.gz KMGLIHIMXFEPIW-SSDOTTSWSA-N -1 1 333.370 -0.083 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@H](O)Cn2ccnn2)o1 ZINC000516585368 420664868 /nfs/dbraw/zinc/66/48/68/420664868.db2.gz PFDSHTMVMQOFQB-VIFPVBQESA-N -1 1 344.349 -0.613 20 0 EBADMM CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)Cc1ncccc1[O-] ZINC000517057283 420695571 /nfs/dbraw/zinc/69/55/71/420695571.db2.gz MCJPCMQWSKIDFS-NSHDSACASA-N -1 1 327.406 -0.088 20 0 EBADMM Cn1[n-]c(CN2CCc3cc(S(N)(=O)=O)c(Cl)cc32)nc1=O ZINC000528770649 420754619 /nfs/dbraw/zinc/75/46/19/420754619.db2.gz YKIGMPXFNPXUAJ-UHFFFAOYSA-N -1 1 343.796 -0.028 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCN2CCO[C@@H](C)C2)o1 ZINC000523053742 420724518 /nfs/dbraw/zinc/72/45/18/420724518.db2.gz WTUYLHXDXKQHRH-JTQLQIEISA-N -1 1 331.394 -0.362 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2CCOC2(C)C)c(=O)n(C)c1=O ZINC000660094964 420731056 /nfs/dbraw/zinc/73/10/56/420731056.db2.gz MJCZADJHXLEHDS-VIFPVBQESA-N -1 1 331.394 -0.823 20 0 EBADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ccc(-n2cnnn2)cn1 ZINC000654806541 420840029 /nfs/dbraw/zinc/84/00/29/420840029.db2.gz BDYBMTVQALMSPM-UHFFFAOYSA-N -1 1 326.324 -0.230 20 0 EBADMM COC1CCN(S(=O)(=O)[N-]c2ccn(CC(=O)N(C)C)n2)CC1 ZINC000656621128 420899660 /nfs/dbraw/zinc/89/96/60/420899660.db2.gz MWXNQXNXLDRBAL-UHFFFAOYSA-N -1 1 345.425 -0.261 20 0 EBADMM CC(C)O[C@]1(CS(=O)(=O)[N-]Cc2nc(C(N)=O)co2)CCOC1 ZINC000659986977 421036820 /nfs/dbraw/zinc/03/68/20/421036820.db2.gz FPHUOZRTTDVSPQ-CYBMUJFWSA-N -1 1 347.393 -0.223 20 0 EBADMM COC(=O)c1c[n-]c(S(=O)(=O)CC(=O)Nc2cc(C)on2)n1 ZINC000279082639 264616291 /nfs/dbraw/zinc/61/62/91/264616291.db2.gz ASDYVIZKQICHJE-UHFFFAOYSA-N -1 1 328.306 -0.095 20 0 EBADMM Cc1cc(C[C@H](C)NC(=O)N2CCS(=O)(=O)[C@@H](C(=O)[O-])C2)n[nH]1 ZINC000424403901 265060499 /nfs/dbraw/zinc/06/04/99/265060499.db2.gz FTWBPMASOXCFOD-GZMMTYOYSA-N -1 1 344.393 -0.458 20 0 EBADMM COc1cc(NC(=O)NC[C@@](C)(O)CO)ccc1[N-]S(C)(=O)=O ZINC000432089738 265170551 /nfs/dbraw/zinc/17/05/51/265170551.db2.gz AUIXSCSOAATXLP-CYBMUJFWSA-N -1 1 347.393 -0.069 20 0 EBADMM COc1cc(NC(=O)NC[C@](C)(O)CO)ccc1[N-]S(C)(=O)=O ZINC000432089739 265170671 /nfs/dbraw/zinc/17/06/71/265170671.db2.gz AUIXSCSOAATXLP-ZDUSSCGKSA-N -1 1 347.393 -0.069 20 0 EBADMM C[C@@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])CC[S@@](=O)C1 ZINC000432581770 265176723 /nfs/dbraw/zinc/17/67/23/265176723.db2.gz YQEXLSISXCZLOQ-ZQJOYCHOSA-N -1 1 325.390 -0.256 20 0 EBADMM Cn1nnc2c1nccc2C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000436639731 265240684 /nfs/dbraw/zinc/24/06/84/265240684.db2.gz MHOLRAJFPPNELP-UHFFFAOYSA-N -1 1 348.344 -0.031 20 0 EBADMM CNC(=O)[C@@H]1CCCN1C(=O)CNC(=O)C(=O)c1ccc([O-])cc1 ZINC000436982056 265244084 /nfs/dbraw/zinc/24/40/84/265244084.db2.gz FODRNPVQVGUMMC-LBPRGKRZSA-N -1 1 333.344 -0.572 20 0 EBADMM COC[C@@H](NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)C1CC1 ZINC000441558004 265308042 /nfs/dbraw/zinc/30/80/42/265308042.db2.gz VDYMGIUKINLZAZ-SECBINFHSA-N -1 1 345.377 -0.802 20 0 EBADMM COC(=O)c1nscc1S(=O)(=O)[N-][C@@H](C(=O)OC)[C@H](C)O ZINC000444199202 265361741 /nfs/dbraw/zinc/36/17/41/265361741.db2.gz VFTZHUTXRLFQGD-CAHLUQPWSA-N -1 1 338.363 -0.870 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C1 ZINC000444468185 265363243 /nfs/dbraw/zinc/36/32/43/265363243.db2.gz PVYBKGDBCCRKAW-RKDXNWHRSA-N -1 1 329.378 -0.038 20 0 EBADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)NCC(=O)NCCO)o1 ZINC000448263375 265386498 /nfs/dbraw/zinc/38/64/98/265386498.db2.gz KCLROALTBBUXFH-UHFFFAOYSA-N -1 1 347.393 -0.805 20 0 EBADMM C[C@@H]1COCC[C@H]1C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000285733824 272808232 /nfs/dbraw/zinc/80/82/32/272808232.db2.gz VKLWDJUIZRBHMR-ZJUUUORDSA-N -1 1 331.394 -0.965 20 0 EBADMM CC(C)C[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)N1CCOCC1 ZINC000352441391 279162293 /nfs/dbraw/zinc/16/22/93/279162293.db2.gz WXZYOJDEMZEOAV-GFCCVEGCSA-N -1 1 348.407 -0.106 20 0 EBADMM CS(=O)(=O)[N-]c1nnc(NC(=O)CNC[C@@H]2CCCO2)s1 ZINC000269275651 295541772 /nfs/dbraw/zinc/54/17/72/295541772.db2.gz ZYJSDEIQYCHGSK-ZETCQYMHSA-N -1 1 335.411 -0.383 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1ccc(S(N)(=O)=O)cc1 ZINC000439212589 546042670 /nfs/dbraw/zinc/04/26/70/546042670.db2.gz GYYFUGBQZLKHSZ-UHFFFAOYSA-N -1 1 346.390 -0.309 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@@H]1CC[C@@H](C(N)=O)O1 ZINC000675369220 546183297 /nfs/dbraw/zinc/18/32/97/546183297.db2.gz VMQRERHDUFVGQY-JQWIXIFHSA-N -1 1 347.375 -0.257 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H](C)[C@@H]2CN(C)CCO2)o1 ZINC000676257663 546202214 /nfs/dbraw/zinc/20/22/14/546202214.db2.gz GIVQJXDFFRWMOQ-ONGXEEELSA-N -1 1 331.394 -0.363 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC(C)(C)S(C)(=O)=O)co1 ZINC000677313232 546228679 /nfs/dbraw/zinc/22/86/79/546228679.db2.gz XRHVGSREEYSTLD-UHFFFAOYSA-N -1 1 338.407 -0.259 20 0 EBADMM CS(=O)(=O)N1CCC[C@@H]1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000677926995 546241835 /nfs/dbraw/zinc/24/18/35/546241835.db2.gz MIMHSYPSSQEVHC-NXEZZACHSA-N -1 1 328.398 -0.670 20 0 EBADMM Cc1cc(NC(=O)C(=O)NCc2nn[n-]n2)nn1-c1ccccc1 ZINC000678525982 546256281 /nfs/dbraw/zinc/25/62/81/546256281.db2.gz VTJALSWTTJOABV-UHFFFAOYSA-N -1 1 326.320 -0.051 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1ccnc(OCC(F)(F)F)c1 ZINC000678968206 546264586 /nfs/dbraw/zinc/26/45/86/546264586.db2.gz ZQKXBNKQXATSRI-UHFFFAOYSA-N -1 1 345.241 -0.209 20 0 EBADMM C[C@H](NC(=O)c1ccc([O-])c(F)c1)C(=O)N1CCS(=O)(=O)CC1 ZINC000681041074 546297966 /nfs/dbraw/zinc/29/79/66/546297966.db2.gz RKRDCAAVIAHOGW-VIFPVBQESA-N -1 1 344.364 -0.093 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1ccnn1-c1cccc(F)c1 ZINC000681169817 546300891 /nfs/dbraw/zinc/30/08/91/546300891.db2.gz IBXRLEHFDPPRMS-UHFFFAOYSA-N -1 1 330.283 -0.221 20 0 EBADMM CCC[C@@H](NC(=O)c1ccc(S(N)(=O)=O)nc1)c1nn[n-]n1 ZINC000684880514 546378054 /nfs/dbraw/zinc/37/80/54/546378054.db2.gz KTXBGVWPURHMGV-MRVPVSSYSA-N -1 1 325.354 -0.487 20 0 EBADMM COCc1nc(N2CCN(c3nccn(C)c3=O)CC2)cc(=O)[n-]1 ZINC000892751806 582586668 /nfs/dbraw/zinc/58/66/68/582586668.db2.gz RHTZYEUDGIOLHX-UHFFFAOYSA-N -1 1 332.364 -0.251 20 0 EBADMM O=C(Cc1ccc(-c2nn[nH]n2)cc1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000737959905 582607977 /nfs/dbraw/zinc/60/79/77/582607977.db2.gz DNTBFVVFLJGVET-NSHDSACASA-N -1 1 341.335 -0.478 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCCN(c2ncccn2)C1 ZINC000726868011 582622537 /nfs/dbraw/zinc/62/25/37/582622537.db2.gz SWNMKELTSAVUAB-GFCCVEGCSA-N -1 1 344.375 -0.498 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(c2nccs2)CC1 ZINC000726866518 582623186 /nfs/dbraw/zinc/62/31/86/582623186.db2.gz WRPXBCLNYCEOFJ-UHFFFAOYSA-N -1 1 335.389 -0.268 20 0 EBADMM COCCOc1ccc(CNC(=O)CCn2cc[n-]c(=O)c2=O)cn1 ZINC000726870991 582623546 /nfs/dbraw/zinc/62/35/46/582623546.db2.gz FNRVKTCFLUQHRA-UHFFFAOYSA-N -1 1 348.359 -0.337 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCCN1CCCCCC1=O ZINC000727753408 582656454 /nfs/dbraw/zinc/65/64/54/582656454.db2.gz REGYDLLGSKCQSR-UHFFFAOYSA-N -1 1 336.392 -0.164 20 0 EBADMM C[C@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)Nc2nnn[n-]2)C1=O ZINC000728208607 582671764 /nfs/dbraw/zinc/67/17/64/582671764.db2.gz ORYPPHCEOPEXSO-CYBMUJFWSA-N -1 1 333.283 -0.256 20 0 EBADMM C[C@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)Nc2nn[n-]n2)C1=O ZINC000728208607 582671767 /nfs/dbraw/zinc/67/17/67/582671767.db2.gz ORYPPHCEOPEXSO-CYBMUJFWSA-N -1 1 333.283 -0.256 20 0 EBADMM C[C@@H](NC(=O)c1[nH]nc2ccccc21)C(=O)NN1CC(=O)[N-]C1=O ZINC000728203961 582671833 /nfs/dbraw/zinc/67/18/33/582671833.db2.gz QNDKNZLLLOODDB-SSDOTTSWSA-N -1 1 330.304 -0.736 20 0 EBADMM CCN(CC)S(=O)(=O)c1cc(C(=O)Nc2nn[n-]n2)n(C)c1 ZINC000728207752 582671840 /nfs/dbraw/zinc/67/18/40/582671840.db2.gz GNQWBVUTWYAZKE-UHFFFAOYSA-N -1 1 327.370 -0.179 20 0 EBADMM CNC(=O)NC(=O)[C@@H](C)OC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000729287034 582690304 /nfs/dbraw/zinc/69/03/04/582690304.db2.gz ACOQQVFSQQPFQW-SECBINFHSA-N -1 1 349.347 -0.018 20 0 EBADMM Cc1nc2c(c(=O)[nH]n2C)c(C)c1CC(=O)NN1CC(=O)[N-]C1=O ZINC000729510886 582697641 /nfs/dbraw/zinc/69/76/41/582697641.db2.gz SHZQGZOKGNTVPL-UHFFFAOYSA-N -1 1 332.320 -0.584 20 0 EBADMM COCCNCC(=O)[N-]S(=O)(=O)Cc1ccc2c(c1)COC2 ZINC000901055413 582790005 /nfs/dbraw/zinc/79/00/05/582790005.db2.gz QUZASAIHZDNUFO-UHFFFAOYSA-N -1 1 328.390 -0.101 20 0 EBADMM CC(C)N(C)S(=O)(=O)[N-]c1ccn(CCN2CC[NH+]=C2[O-])n1 ZINC000901748539 582799121 /nfs/dbraw/zinc/79/91/21/582799121.db2.gz MUULGNMGACTOFE-UHFFFAOYSA-N -1 1 330.414 -0.095 20 0 EBADMM NC(=O)NC[C@@H]1CCCCN1C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737760213 582824501 /nfs/dbraw/zinc/82/45/01/582824501.db2.gz XLYWEAPSWQMKRH-JTQLQIEISA-N -1 1 330.352 -0.075 20 0 EBADMM NC(=O)NC[C@@H]1CCCCN1C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737760213 582824503 /nfs/dbraw/zinc/82/45/03/582824503.db2.gz XLYWEAPSWQMKRH-JTQLQIEISA-N -1 1 330.352 -0.075 20 0 EBADMM O=C(CSc1nnnn1C1CC1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000731880646 582841735 /nfs/dbraw/zinc/84/17/35/582841735.db2.gz TUBYSHMCMLVVEG-QMMMGPOBSA-N -1 1 337.369 -0.787 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)CN2CC(=O)NC2=O)cc1 ZINC000731913582 582842375 /nfs/dbraw/zinc/84/23/75/582842375.db2.gz XNONENQKNMTTLS-UHFFFAOYSA-N -1 1 349.299 -0.953 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1ccc(-c2nn[nH]n2)cc1 ZINC000733144825 582855967 /nfs/dbraw/zinc/85/59/67/582855967.db2.gz OJHHXACHXGXKRP-UHFFFAOYSA-N -1 1 327.304 -0.255 20 0 EBADMM CC(=O)N1CCN(C(=O)CCNc2cccc(-c3nnn[n-]3)n2)CC1 ZINC000735466198 582895397 /nfs/dbraw/zinc/89/53/97/582895397.db2.gz RTROOSWXVOQKIT-UHFFFAOYSA-N -1 1 344.379 -0.246 20 0 EBADMM CC(=O)N1CCN(C(=O)CCNc2cccc(-c3nn[n-]n3)n2)CC1 ZINC000735466198 582895400 /nfs/dbraw/zinc/89/54/00/582895400.db2.gz RTROOSWXVOQKIT-UHFFFAOYSA-N -1 1 344.379 -0.246 20 0 EBADMM CC(C)(C)NS(=O)(=O)CCNc1nccnc1-c1nnn[n-]1 ZINC000735624125 582902354 /nfs/dbraw/zinc/90/23/54/582902354.db2.gz UTQYUUYWPQSTBF-UHFFFAOYSA-N -1 1 326.386 -0.214 20 0 EBADMM CC(C)(C)NS(=O)(=O)CCNc1nccnc1-c1nn[n-]n1 ZINC000735624125 582902355 /nfs/dbraw/zinc/90/23/55/582902355.db2.gz UTQYUUYWPQSTBF-UHFFFAOYSA-N -1 1 326.386 -0.214 20 0 EBADMM CC(C)(C)c1n[nH]c([C@H]2CN(CC(=O)NCC(=O)[O-])CCO2)n1 ZINC000736298589 582929402 /nfs/dbraw/zinc/92/94/02/582929402.db2.gz BSMZHVANKFCUJW-SECBINFHSA-N -1 1 325.369 -0.324 20 0 EBADMM CC(C)(C)c1nnc([C@H]2CN(CC(=O)NCC(=O)[O-])CCO2)[nH]1 ZINC000736298589 582929403 /nfs/dbraw/zinc/92/94/03/582929403.db2.gz BSMZHVANKFCUJW-SECBINFHSA-N -1 1 325.369 -0.324 20 0 EBADMM CC(C)C[C@@H](NC(=O)CN1CCN(CC(C)(C)O)CC1)C(=O)[O-] ZINC000736409875 582933463 /nfs/dbraw/zinc/93/34/63/582933463.db2.gz AHRYFDUXBVTJMN-CYBMUJFWSA-N -1 1 329.441 -0.010 20 0 EBADMM CCCC(=O)N1CSC[C@@H]1C(=O)n1ncc(-c2nn[n-]n2)c1N ZINC000736414638 582933632 /nfs/dbraw/zinc/93/36/32/582933632.db2.gz ZAJUWCRMDJBJKP-MRVPVSSYSA-N -1 1 336.381 -0.013 20 0 EBADMM CCN(CC)C(=O)CN1CCN(c2nccnc2-c2nnn[n-]2)CC1 ZINC000736529427 582939460 /nfs/dbraw/zinc/93/94/60/582939460.db2.gz KZZPFCNVFMZJSA-UHFFFAOYSA-N -1 1 345.411 -0.353 20 0 EBADMM CCN(CC)C(=O)CN1CCN(c2nccnc2-c2nn[n-]n2)CC1 ZINC000736529427 582939461 /nfs/dbraw/zinc/93/94/61/582939461.db2.gz KZZPFCNVFMZJSA-UHFFFAOYSA-N -1 1 345.411 -0.353 20 0 EBADMM CCNC(=O)NC(=O)[C@@H](C)OC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736575623 582943416 /nfs/dbraw/zinc/94/34/16/582943416.db2.gz IMBGLAXVIVBHNL-SSDOTTSWSA-N -1 1 333.308 -0.347 20 0 EBADMM CCNC(=O)NC(=O)[C@@H](C)OC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736575623 582943418 /nfs/dbraw/zinc/94/34/18/582943418.db2.gz IMBGLAXVIVBHNL-SSDOTTSWSA-N -1 1 333.308 -0.347 20 0 EBADMM C[C@H](CN1CCN(C)CC1)NS(=O)(=O)c1ccc(C(=O)[O-])o1 ZINC000736586504 582944469 /nfs/dbraw/zinc/94/44/69/582944469.db2.gz NIDUEYUHYQLIGH-SNVBAGLBSA-N -1 1 331.394 -0.108 20 0 EBADMM CCc1nn(CCN2CCOCC2)c(=O)c(-c2nn[n-]n2)c1CC ZINC000736708611 582950532 /nfs/dbraw/zinc/95/05/32/582950532.db2.gz YKVIAAGMPKIWKX-UHFFFAOYSA-N -1 1 333.396 -0.120 20 0 EBADMM CCc1nn(CCS(=O)(=O)CC)c(=O)c(-c2nn[n-]n2)c1CC ZINC000736708481 582950572 /nfs/dbraw/zinc/95/05/72/582950572.db2.gz XLXBIPSAXIWVOB-UHFFFAOYSA-N -1 1 340.409 -0.017 20 0 EBADMM CN(CC(=O)NC1CC1)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736784167 582957038 /nfs/dbraw/zinc/95/70/38/582957038.db2.gz YPPCQKFGUOBPEV-UHFFFAOYSA-N -1 1 337.365 -0.839 20 0 EBADMM CN(CC(=O)NC1CC1)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736784167 582957039 /nfs/dbraw/zinc/95/70/39/582957039.db2.gz YPPCQKFGUOBPEV-UHFFFAOYSA-N -1 1 337.365 -0.839 20 0 EBADMM CN(Cc1cnn(C)c1)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736832041 582960071 /nfs/dbraw/zinc/96/00/71/582960071.db2.gz YKSOWERESGKTMT-UHFFFAOYSA-N -1 1 334.365 -0.184 20 0 EBADMM CN(Cc1cnn(C)c1)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736832041 582960073 /nfs/dbraw/zinc/96/00/73/582960073.db2.gz YKSOWERESGKTMT-UHFFFAOYSA-N -1 1 334.365 -0.184 20 0 EBADMM CNC(=O)CCN(C)S(=O)(=O)c1ccc(F)c(-c2nn[n-]n2)c1 ZINC000736871746 582967825 /nfs/dbraw/zinc/96/78/25/582967825.db2.gz XZYFJKUDMIVDTJ-UHFFFAOYSA-N -1 1 342.356 -0.238 20 0 EBADMM COC(=O)Cn1cc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)cn1 ZINC000736932867 582975713 /nfs/dbraw/zinc/97/57/13/582975713.db2.gz VKTMKUIBVGXBGN-UHFFFAOYSA-N -1 1 328.292 -0.117 20 0 EBADMM COC(=O)Cn1cc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)cn1 ZINC000736932867 582975716 /nfs/dbraw/zinc/97/57/16/582975716.db2.gz VKTMKUIBVGXBGN-UHFFFAOYSA-N -1 1 328.292 -0.117 20 0 EBADMM COCCCNC(=O)[C@@H](C)OC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736986568 582979757 /nfs/dbraw/zinc/97/97/57/582979757.db2.gz NMRYPUNPUVDDFN-SECBINFHSA-N -1 1 334.336 -0.040 20 0 EBADMM COCCCNC(=O)[C@@H](C)OC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736986568 582979759 /nfs/dbraw/zinc/97/97/59/582979759.db2.gz NMRYPUNPUVDDFN-SECBINFHSA-N -1 1 334.336 -0.040 20 0 EBADMM CCc1nnc([C@@H]2CN(S(=O)(=O)CCCC(=O)[O-])CCO2)[nH]1 ZINC000737275275 583003672 /nfs/dbraw/zinc/00/36/72/583003672.db2.gz QKQFQUPXLYAZSD-VIFPVBQESA-N -1 1 332.382 -0.065 20 0 EBADMM Cc1ccc([C@@H](O)CNc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)o1 ZINC000737321042 583005087 /nfs/dbraw/zinc/00/50/87/583005087.db2.gz YIZFGWLRKAZNJB-QMMMGPOBSA-N -1 1 347.335 -0.689 20 0 EBADMM Cc1ccn2c(c1)ncc(C(=O)N1CCO[C@@H](c3nn[n-]n3)C1)c2=O ZINC000737435685 583007883 /nfs/dbraw/zinc/00/78/83/583007883.db2.gz HXKJFUCVABWGPY-LLVKDONJSA-N -1 1 341.331 -0.270 20 0 EBADMM Cn1ccnc1CN1CCN(c2nccnc2-c2nnn[n-]2)CC1 ZINC000737615747 583022219 /nfs/dbraw/zinc/02/22/19/583022219.db2.gz LCCFICPMYBHITF-UHFFFAOYSA-N -1 1 326.368 -0.288 20 0 EBADMM Cn1ccnc1CN1CCN(c2nccnc2-c2nn[n-]n2)CC1 ZINC000737615747 583022222 /nfs/dbraw/zinc/02/22/22/583022222.db2.gz LCCFICPMYBHITF-UHFFFAOYSA-N -1 1 326.368 -0.288 20 0 EBADMM O=C(COC(=O)c1ccc(-c2nnn[n-]2)nc1)NC[C@H]1CCCO1 ZINC000737907604 583033991 /nfs/dbraw/zinc/03/39/91/583033991.db2.gz FITIDYLJRDTHTE-SNVBAGLBSA-N -1 1 332.320 -0.286 20 0 EBADMM O=C(COC(=O)c1ccc(-c2nn[n-]n2)nc1)NC[C@H]1CCCO1 ZINC000737907604 583033993 /nfs/dbraw/zinc/03/39/93/583033993.db2.gz FITIDYLJRDTHTE-SNVBAGLBSA-N -1 1 332.320 -0.286 20 0 EBADMM CN(CC(=O)NCc1ccccc1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000737965239 583035898 /nfs/dbraw/zinc/03/58/98/583035898.db2.gz UXBVIOOPZYQYSC-UHFFFAOYSA-N -1 1 344.371 -0.299 20 0 EBADMM O=C(NCCN1C(=O)CSC1=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000738074733 583041497 /nfs/dbraw/zinc/04/14/97/583041497.db2.gz IPBPNGMQOOXXJJ-UHFFFAOYSA-N -1 1 333.333 -0.313 20 0 EBADMM O=C(NCCN1C(=O)CSC1=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000738074733 583041499 /nfs/dbraw/zinc/04/14/99/583041499.db2.gz IPBPNGMQOOXXJJ-UHFFFAOYSA-N -1 1 333.333 -0.313 20 0 EBADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CC[C@H](N2CCOCC2)C1 ZINC000738258749 583046154 /nfs/dbraw/zinc/04/61/54/583046154.db2.gz MSKKMBWXQJJBHB-LBPRGKRZSA-N -1 1 329.364 -0.192 20 0 EBADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CC[C@H](N2CCOCC2)C1 ZINC000738258749 583046155 /nfs/dbraw/zinc/04/61/55/583046155.db2.gz MSKKMBWXQJJBHB-LBPRGKRZSA-N -1 1 329.364 -0.192 20 0 EBADMM O=C1NCCCC[C@@H]1NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000738314596 583049724 /nfs/dbraw/zinc/04/97/24/583049724.db2.gz BXOGWHMWMRCNDU-JTQLQIEISA-N -1 1 337.365 -0.791 20 0 EBADMM O=C1NCCCC[C@@H]1NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000738314596 583049728 /nfs/dbraw/zinc/04/97/28/583049728.db2.gz BXOGWHMWMRCNDU-JTQLQIEISA-N -1 1 337.365 -0.791 20 0 EBADMM CN1CCO[C@H](CS(=O)(=O)[N-][C@@H]2CCCCC23OCCO3)C1 ZINC000866991683 583056516 /nfs/dbraw/zinc/05/65/16/583056516.db2.gz KDGKNUQNOJYRBB-QWHCGFSZSA-N -1 1 334.438 -0.078 20 0 EBADMM O=C([C@H]1CC(=O)N(c2cn[nH]c2)C1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000788147880 583067178 /nfs/dbraw/zinc/06/71/78/583067178.db2.gz JXJLVVTZBCCOOU-WPRPVWTQSA-N -1 1 348.392 -0.408 20 0 EBADMM CN(CC1CC1)S(=O)(=O)[N-]c1ccn(CCS(C)(=O)=O)n1 ZINC000741201842 583070179 /nfs/dbraw/zinc/07/01/79/583070179.db2.gz SNTKYLUXGYHIRY-UHFFFAOYSA-N -1 1 336.439 -0.074 20 0 EBADMM COc1cc(C)[n-]c(=NNS(=O)(=O)c2cc(C(N)=O)n(C)c2)n1 ZINC000747617485 583114402 /nfs/dbraw/zinc/11/44/02/583114402.db2.gz NAOYECUJVZPUKX-UHFFFAOYSA-N -1 1 340.365 -0.464 20 0 EBADMM C[C@H](CC(=O)Nc1nnn[n-]1)NS(=O)(=O)c1cccnc1Cl ZINC000760613894 583230518 /nfs/dbraw/zinc/23/05/18/583230518.db2.gz VKPMEDWMRBDNNR-ZCFIWIBFSA-N -1 1 345.772 -0.056 20 0 EBADMM C[C@H](CC(=O)Nc1nn[n-]n1)NS(=O)(=O)c1cccnc1Cl ZINC000760613894 583230521 /nfs/dbraw/zinc/23/05/21/583230521.db2.gz VKPMEDWMRBDNNR-ZCFIWIBFSA-N -1 1 345.772 -0.056 20 0 EBADMM Cn1[n-]c(COC(=O)CCn2cnc3ccccc3c2=O)nc1=O ZINC000765408245 583281276 /nfs/dbraw/zinc/28/12/76/583281276.db2.gz GNGOYSOYNPSRPB-UHFFFAOYSA-N -1 1 329.316 -0.048 20 0 EBADMM Cn1[n-]c(COC(=O)CNS(=O)(=O)c2ccccc2F)nc1=O ZINC000765415465 583281678 /nfs/dbraw/zinc/28/16/78/583281678.db2.gz UCGLMJPNRKGKIW-UHFFFAOYSA-N -1 1 344.324 -0.731 20 0 EBADMM Cc1cccc2c(=O)n(CC(=O)OCc3nc(=O)n(C)[n-]3)cnc12 ZINC000765431588 583282473 /nfs/dbraw/zinc/28/24/73/583282473.db2.gz QKRNAEHFMDSYHR-UHFFFAOYSA-N -1 1 329.316 -0.130 20 0 EBADMM Cn1[n-]c(COC(=O)CNC(=O)c2cc(F)cc(F)c2)nc1=O ZINC000765458174 583282660 /nfs/dbraw/zinc/28/26/60/583282660.db2.gz NJPIXXPRYYSHSP-UHFFFAOYSA-N -1 1 326.259 -0.140 20 0 EBADMM Cn1[n-]c(COC(=O)c2cc(S(C)(=O)=O)ccc2N)nc1=O ZINC000765493249 583283372 /nfs/dbraw/zinc/28/33/72/583283372.db2.gz UXIDJJUORHNOOG-UHFFFAOYSA-N -1 1 326.334 -0.549 20 0 EBADMM O=C(CN(CCO)CCN1CCOCC1)[N-]OCc1ccccc1 ZINC000765499735 583283873 /nfs/dbraw/zinc/28/38/73/583283873.db2.gz MFZCOJSWOQYADZ-UHFFFAOYSA-N -1 1 337.420 -0.139 20 0 EBADMM Cc1c(C(=O)OCc2nc(=O)n(C)[n-]2)cccc1S(N)(=O)=O ZINC000765500086 583284137 /nfs/dbraw/zinc/28/41/37/583284137.db2.gz BITSVAPALLGFTB-UHFFFAOYSA-N -1 1 326.334 -0.579 20 0 EBADMM NC(=O)[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1ccc(F)cc1 ZINC000766494912 583294627 /nfs/dbraw/zinc/29/46/27/583294627.db2.gz OZFOATVETPOVNV-LBPRGKRZSA-N -1 1 334.307 -0.591 20 0 EBADMM CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc([O-])c(F)c1 ZINC000767300102 583304975 /nfs/dbraw/zinc/30/49/75/583304975.db2.gz ZJEPAKAKCRWYND-SNVBAGLBSA-N -1 1 344.364 -0.093 20 0 EBADMM CCS(=O)(=O)NCC(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000767396675 583306144 /nfs/dbraw/zinc/30/61/44/583306144.db2.gz ZEFGDHUCLLJSGY-UHFFFAOYSA-N -1 1 328.346 -0.575 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H](CO)C[C@@H](O)c1ccccc1 ZINC000770828001 583342606 /nfs/dbraw/zinc/34/26/06/583342606.db2.gz HWPGNDHGUSPYOQ-UONOGXRCSA-N -1 1 347.371 -0.473 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H](CO)C[C@@H](O)c1ccccc1 ZINC000770828002 583343054 /nfs/dbraw/zinc/34/30/54/583343054.db2.gz HWPGNDHGUSPYOQ-ZIAGYGMSSA-N -1 1 347.371 -0.473 20 0 EBADMM Cn1ccnc1-c1cc(NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)[nH]n1 ZINC000772484590 583360710 /nfs/dbraw/zinc/36/07/10/583360710.db2.gz QVSSYQKZJKVICK-UHFFFAOYSA-N -1 1 339.319 -0.167 20 0 EBADMM Cn1ncc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c1Br ZINC000772895514 583366497 /nfs/dbraw/zinc/36/64/97/583366497.db2.gz DASBVASQAWLPFZ-ZETCQYMHSA-N -1 1 342.157 -0.091 20 0 EBADMM O=C(CN1CCC(=O)NC1=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774952083 583393097 /nfs/dbraw/zinc/39/30/97/583393097.db2.gz UPERPEQANQWGBA-UHFFFAOYSA-N -1 1 325.243 -0.460 20 0 EBADMM CN1C(=O)CN([N-]C(=O)c2cc(S(N)(=O)=O)ccc2Cl)C1=O ZINC000776247290 583402361 /nfs/dbraw/zinc/40/23/61/583402361.db2.gz XJFSKIVGXHCBNH-UHFFFAOYSA-N -1 1 346.752 -0.474 20 0 EBADMM CCCN1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C(C)(C)C1=O ZINC000779340772 583433261 /nfs/dbraw/zinc/43/32/61/583433261.db2.gz WBKVJBPLDXRWDF-UHFFFAOYSA-N -1 1 336.392 -0.214 20 0 EBADMM Cc1cc(N2CCC(NC(=O)CCn3cc[n-]c(=O)c3=O)CC2)n[nH]1 ZINC000784678584 583487291 /nfs/dbraw/zinc/48/72/91/583487291.db2.gz AYXSHKFZUKRNAQ-UHFFFAOYSA-N -1 1 346.391 -0.257 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@H]2CCCCO2)o1 ZINC000786195719 583506617 /nfs/dbraw/zinc/50/66/17/583506617.db2.gz ALRZRTKWIIIHJA-VIFPVBQESA-N -1 1 346.361 -0.231 20 0 EBADMM CCO[C@H]1C[C@](O)(CNC(=O)CCn2cc[n-]c(=O)c2=O)C1(C)C ZINC000789884932 583539930 /nfs/dbraw/zinc/53/99/30/583539930.db2.gz VLVAWLLRIHDCLN-ZBEGNZNMSA-N -1 1 339.392 -0.391 20 0 EBADMM C[C@H]1OCC[C@]12CN(C(=O)CCn1cc[n-]c(=O)c1=O)C[C@@H](C)O2 ZINC000791064094 583549107 /nfs/dbraw/zinc/54/91/07/583549107.db2.gz QOKLHYUESQUFSJ-HSMVNMDESA-N -1 1 337.376 -0.278 20 0 EBADMM CSCCO[N-]C(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000794190263 583577748 /nfs/dbraw/zinc/57/77/48/583577748.db2.gz SSQNYDXGJUGNGD-ZJUUUORDSA-N -1 1 336.435 -0.567 20 0 EBADMM COC(=O)Cn1cc(CCOC(=O)c2ccc(C(=O)OC)[n-]2)nn1 ZINC000796347655 583595713 /nfs/dbraw/zinc/59/57/13/583595713.db2.gz UJSATPGGCDFDHQ-UHFFFAOYSA-N -1 1 336.304 -0.035 20 0 EBADMM CC(=O)NC[C@H]1CN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CCO1 ZINC000806485378 583650291 /nfs/dbraw/zinc/65/02/91/583650291.db2.gz YCJFYDYTCNNIHP-NSHDSACASA-N -1 1 332.364 -0.289 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)N3CCC[C@@H]3CS(N)(=O)=O)ccnc1-2 ZINC000806481458 583650350 /nfs/dbraw/zinc/65/03/50/583650350.db2.gz MNKDNVHRTQOMGH-SECBINFHSA-N -1 1 338.393 -0.373 20 0 EBADMM C[C@@H](CN1CCOCC1)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806836750 583654811 /nfs/dbraw/zinc/65/48/11/583654811.db2.gz NUVOFBJEFOKBNR-NSHDSACASA-N -1 1 346.391 -0.883 20 0 EBADMM C[C@H]1COCCN1CCNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806888842 583655241 /nfs/dbraw/zinc/65/52/41/583655241.db2.gz MVCCDPOVFDOLKN-NSHDSACASA-N -1 1 346.391 -0.883 20 0 EBADMM CC(C)N1CC[C@@H](NC(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1=O ZINC000806898565 583655367 /nfs/dbraw/zinc/65/53/67/583655367.db2.gz HXEBQJZSCORHKN-GFCCVEGCSA-N -1 1 344.375 -0.594 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCCOC(F)(F)F)ccnc1-2 ZINC000807033198 583657276 /nfs/dbraw/zinc/65/72/76/583657276.db2.gz YRJFNOPNDVTYJI-UHFFFAOYSA-N -1 1 331.254 -0.067 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1ccc(C2(O)COC2)cc1 ZINC000807874427 583663427 /nfs/dbraw/zinc/66/34/27/583663427.db2.gz WEPPGUXOXQARSQ-UHFFFAOYSA-N -1 1 331.328 -0.217 20 0 EBADMM Cn1[n-]c(COC(=O)c2ccc3c(c2)NC(=O)CNC3=O)nc1=O ZINC000814524700 583705764 /nfs/dbraw/zinc/70/57/64/583705764.db2.gz QLINXMFKTAYMTP-UHFFFAOYSA-N -1 1 331.288 -0.853 20 0 EBADMM Cn1cc(Br)c(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)n1 ZINC000815520036 583711073 /nfs/dbraw/zinc/71/10/73/583711073.db2.gz PBZPKFLIJVQIER-ZETCQYMHSA-N -1 1 342.157 -0.091 20 0 EBADMM Cn1[nH]cc2c(=NC(=O)C(=O)N3CC[C@@H]4C[N@@H+](C)C[C@H]43)ccnc1-2 ZINC000815704712 583711905 /nfs/dbraw/zinc/71/19/05/583711905.db2.gz NJGHKYWPTBSJCA-ZWNOBZJWSA-N -1 1 328.376 -0.557 20 0 EBADMM CC[C@@H](C)CONC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000816975945 583724747 /nfs/dbraw/zinc/72/47/47/583724747.db2.gz SMZWCHBNIUADSR-MRVPVSSYSA-N -1 1 333.366 -0.249 20 0 EBADMM O=C([N-]OCCC1CC1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000817399010 583726774 /nfs/dbraw/zinc/72/67/74/583726774.db2.gz BHOHSKXCDPBTNI-VXGBXAGGSA-N -1 1 330.406 -0.130 20 0 EBADMM CC(C)[C@H](NCC(=O)Nc1ccc(S(N)(=O)=O)cc1)C(=O)[O-] ZINC000817977683 583731966 /nfs/dbraw/zinc/73/19/66/583731966.db2.gz QAQWDNIFIFBLQA-LBPRGKRZSA-N -1 1 329.378 -0.029 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(CCN(C)C)CC(=O)[O-])cn1C ZINC000818520304 583745056 /nfs/dbraw/zinc/74/50/56/583745056.db2.gz CAIROXZFCPQXIC-UHFFFAOYSA-N -1 1 347.393 -0.551 20 0 EBADMM C[C@@H](NCC(=O)NCC(=O)[O-])c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000820141652 583767195 /nfs/dbraw/zinc/76/71/95/583767195.db2.gz GKQKEDARUREGGL-SNVBAGLBSA-N -1 1 343.405 -0.212 20 0 EBADMM C[C@H](NCC(=O)NCC(=O)[O-])c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000820141651 583767210 /nfs/dbraw/zinc/76/72/10/583767210.db2.gz GKQKEDARUREGGL-JTQLQIEISA-N -1 1 343.405 -0.212 20 0 EBADMM C[C@@H](CO[C@H]1CCOC1)NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820287563 583770731 /nfs/dbraw/zinc/77/07/31/583770731.db2.gz NQVVMTSZBCYAPI-IUCAKERBSA-N -1 1 336.356 -0.084 20 0 EBADMM C[C@@H](CO[C@H]1CCOC1)NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820287563 583770732 /nfs/dbraw/zinc/77/07/32/583770732.db2.gz NQVVMTSZBCYAPI-IUCAKERBSA-N -1 1 336.356 -0.084 20 0 EBADMM CCN1CCN(CC(=O)N(CCNC(C)=O)[C@H](C)C(=O)[O-])CC1 ZINC000820353864 583771876 /nfs/dbraw/zinc/77/18/76/583771876.db2.gz HVAKMDBVWUKTKU-GFCCVEGCSA-N -1 1 328.413 -0.938 20 0 EBADMM C[C@H](Oc1ccc(-c2nn[nH]n2)cc1)C(=O)NN1CC(=O)[N-]C1=O ZINC000820530304 583774759 /nfs/dbraw/zinc/77/47/59/583774759.db2.gz ZYYGGWHPKRXOHO-ZETCQYMHSA-N -1 1 331.292 -0.783 20 0 EBADMM CO[C@H](COC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)C1CC1 ZINC000820582367 583775848 /nfs/dbraw/zinc/77/58/48/583775848.db2.gz DLXPHHXZSCRNHU-SNVBAGLBSA-N -1 1 346.361 -0.375 20 0 EBADMM C[C@@H]1CN(S(C)(=O)=O)CC[C@@H]1Nc1nccnc1-c1nnn[n-]1 ZINC000820769825 583779297 /nfs/dbraw/zinc/77/92/97/583779297.db2.gz XATBWYKDLDYBNW-BDAKNGLRSA-N -1 1 338.397 -0.261 20 0 EBADMM C[C@@H]1CN(S(C)(=O)=O)CC[C@@H]1Nc1nccnc1-c1nn[n-]n1 ZINC000820769825 583779298 /nfs/dbraw/zinc/77/92/98/583779298.db2.gz XATBWYKDLDYBNW-BDAKNGLRSA-N -1 1 338.397 -0.261 20 0 EBADMM COC(=O)C1([N-]S(=O)(=O)CCS(=O)(=O)N(C)C)CCCC1 ZINC000820784423 583779735 /nfs/dbraw/zinc/77/97/35/583779735.db2.gz MAGSIDFDOSSNQR-UHFFFAOYSA-N -1 1 342.439 -0.717 20 0 EBADMM CCNC(=O)CN1CCN(C(=O)c2ccc(-c3nnn[n-]3)s2)CC1 ZINC000821284243 583787700 /nfs/dbraw/zinc/78/77/00/583787700.db2.gz CVOBEUDAMYVGDW-UHFFFAOYSA-N -1 1 349.420 -0.178 20 0 EBADMM CCNC(=O)CN1CCN(C(=O)c2ccc(-c3nn[n-]n3)s2)CC1 ZINC000821284243 583787701 /nfs/dbraw/zinc/78/77/01/583787701.db2.gz CVOBEUDAMYVGDW-UHFFFAOYSA-N -1 1 349.420 -0.178 20 0 EBADMM CCOC(=O)[C@@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)C(C)(C)C ZINC000821337407 583789136 /nfs/dbraw/zinc/78/91/36/583789136.db2.gz GGXIOVFRUZGDAO-SECBINFHSA-N -1 1 336.356 -0.448 20 0 EBADMM CCO[C@H]1C[C@@H](NC(=O)Cn2cnc(-c3nn[n-]n3)n2)C12CCC2 ZINC000821361163 583789735 /nfs/dbraw/zinc/78/97/35/583789735.db2.gz TWXCUIHTPBHKHK-ZJUUUORDSA-N -1 1 332.368 -0.078 20 0 EBADMM CCn1nc2c(cc1=O)CN(c1nccnc1-c1nnn[n-]1)CC2 ZINC000821568239 583793319 /nfs/dbraw/zinc/79/33/19/583793319.db2.gz NAHUJRUYEDSHRO-UHFFFAOYSA-N -1 1 325.336 -0.204 20 0 EBADMM CCn1nc2c(cc1=O)CN(c1nccnc1-c1nn[n-]n1)CC2 ZINC000821568239 583793320 /nfs/dbraw/zinc/79/33/20/583793320.db2.gz NAHUJRUYEDSHRO-UHFFFAOYSA-N -1 1 325.336 -0.204 20 0 EBADMM CN1CCN(C(=O)Cn2cnc(-c3nn[n-]n3)n2)c2cccnc21 ZINC000821627007 583796371 /nfs/dbraw/zinc/79/63/71/583796371.db2.gz JBDSCFCFNULGLP-UHFFFAOYSA-N -1 1 326.324 -0.664 20 0 EBADMM CNC(=O)[C@@H](C)CN(C)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821629872 583797058 /nfs/dbraw/zinc/79/70/58/583797058.db2.gz FGQXUVZDBMKMFV-QMMMGPOBSA-N -1 1 339.381 -0.736 20 0 EBADMM CNC(=O)[C@@H](C)CN(C)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821629872 583797060 /nfs/dbraw/zinc/79/70/60/583797060.db2.gz FGQXUVZDBMKMFV-QMMMGPOBSA-N -1 1 339.381 -0.736 20 0 EBADMM COC(=O)[C@H](C)CN(C(=O)Cn1cnc(-c2nn[n-]n2)n1)C1CC1 ZINC000821638845 583798610 /nfs/dbraw/zinc/79/86/10/583798610.db2.gz JMIABRBOCARXOR-MRVPVSSYSA-N -1 1 334.340 -0.742 20 0 EBADMM COC(=O)[C@@H]1CN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)CCO1 ZINC000821655690 583799174 /nfs/dbraw/zinc/79/91/74/583799174.db2.gz PYJRBFGDTDRJLQ-QMMMGPOBSA-N -1 1 336.312 -0.994 20 0 EBADMM COC(=O)[C@@H]1CN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)CCO1 ZINC000821655690 583799175 /nfs/dbraw/zinc/79/91/75/583799175.db2.gz PYJRBFGDTDRJLQ-QMMMGPOBSA-N -1 1 336.312 -0.994 20 0 EBADMM COCCS(=O)(=O)CC(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000821694425 583802170 /nfs/dbraw/zinc/80/21/70/583802170.db2.gz MVKXAHHBKSGGIL-UHFFFAOYSA-N -1 1 341.349 -0.634 20 0 EBADMM COCCS(=O)(=O)CC(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000821694425 583802172 /nfs/dbraw/zinc/80/21/72/583802172.db2.gz MVKXAHHBKSGGIL-UHFFFAOYSA-N -1 1 341.349 -0.634 20 0 EBADMM COc1cc(CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)ccc1O ZINC000821717631 583802785 /nfs/dbraw/zinc/80/27/85/583802785.db2.gz RUBFGKLFNODOGV-UHFFFAOYSA-N -1 1 330.308 -0.511 20 0 EBADMM Cc1cc(C[C@H](C)Nc2c(-c3nnn[n-]3)c(=O)n(C)c(=O)n2C)[nH]n1 ZINC000822199825 583808340 /nfs/dbraw/zinc/80/83/40/583808340.db2.gz JEXWLUYHFALBBZ-ZETCQYMHSA-N -1 1 345.367 -0.661 20 0 EBADMM Cc1cc(C[C@H](C)Nc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)n[nH]1 ZINC000822199825 583808342 /nfs/dbraw/zinc/80/83/42/583808342.db2.gz JEXWLUYHFALBBZ-ZETCQYMHSA-N -1 1 345.367 -0.661 20 0 EBADMM Cc1cc(C[C@H](C)Nc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)[nH]n1 ZINC000822199825 583808345 /nfs/dbraw/zinc/80/83/45/583808345.db2.gz JEXWLUYHFALBBZ-ZETCQYMHSA-N -1 1 345.367 -0.661 20 0 EBADMM Cc1nc(C)c(CNc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)s1 ZINC000822432030 583810945 /nfs/dbraw/zinc/81/09/45/583810945.db2.gz UGQWXNFCACZVPH-UHFFFAOYSA-N -1 1 348.392 -0.050 20 0 EBADMM Cc1oc(NC(=O)COC(=O)c2nonc2N)c(-c2nn[n-]n2)c1C ZINC000822548081 583812392 /nfs/dbraw/zinc/81/23/92/583812392.db2.gz DZRYIPFFKJWLHT-UHFFFAOYSA-N -1 1 348.279 -0.163 20 0 EBADMM Cn1c(=O)cc(COC(=O)c2ccc(-c3nnn[n-]3)s2)n(C)c1=O ZINC000822596167 583812905 /nfs/dbraw/zinc/81/29/05/583812905.db2.gz IASFSFBUBVCEGD-UHFFFAOYSA-N -1 1 348.344 -0.317 20 0 EBADMM Cn1c(=O)cc(COC(=O)c2ccc(-c3nn[n-]n3)s2)n(C)c1=O ZINC000822596167 583812907 /nfs/dbraw/zinc/81/29/07/583812907.db2.gz IASFSFBUBVCEGD-UHFFFAOYSA-N -1 1 348.344 -0.317 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N(CCCCO)C2CC2)n(C)c1=O ZINC000822600228 583813369 /nfs/dbraw/zinc/81/33/69/583813369.db2.gz KUWNPEKYRGZSFB-UHFFFAOYSA-N -1 1 335.368 -0.995 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(Oc2ccc3c[nH]nc3c2)n(C)c1=O ZINC000822604491 583813955 /nfs/dbraw/zinc/81/39/55/583813955.db2.gz GDHQHYLWJRVRMA-UHFFFAOYSA-N -1 1 340.303 -0.067 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCCCN2C(=O)CCC2=O)n1 ZINC000822614862 583814342 /nfs/dbraw/zinc/81/43/42/583814342.db2.gz VNZXHDGTIPZPBY-UHFFFAOYSA-N -1 1 347.339 -0.739 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCCCN2C(=O)CCC2=O)n1 ZINC000822614862 583814344 /nfs/dbraw/zinc/81/43/44/583814344.db2.gz VNZXHDGTIPZPBY-UHFFFAOYSA-N -1 1 347.339 -0.739 20 0 EBADMM Cn1cc(N2CCN(C(=O)c3ccc(-c4nnn[n-]4)nc3)CC2)cn1 ZINC000822681357 583816282 /nfs/dbraw/zinc/81/62/82/583816282.db2.gz WWYZKQGUTPBNDV-UHFFFAOYSA-N -1 1 339.363 -0.042 20 0 EBADMM Cn1cc(N2CCN(C(=O)c3ccc(-c4nn[n-]n4)nc3)CC2)cn1 ZINC000822681357 583816284 /nfs/dbraw/zinc/81/62/84/583816284.db2.gz WWYZKQGUTPBNDV-UHFFFAOYSA-N -1 1 339.363 -0.042 20 0 EBADMM Cn1cc(N2CCN(c3nccnc3-c3nnn[n-]3)CC2=O)cn1 ZINC000822684805 583816301 /nfs/dbraw/zinc/81/63/01/583816301.db2.gz JFGWTEAAAPUSAA-UHFFFAOYSA-N -1 1 326.324 -0.757 20 0 EBADMM Cn1cc(N2CCN(c3nccnc3-c3nn[n-]n3)CC2=O)cn1 ZINC000822684805 583816303 /nfs/dbraw/zinc/81/63/03/583816303.db2.gz JFGWTEAAAPUSAA-UHFFFAOYSA-N -1 1 326.324 -0.757 20 0 EBADMM Nc1n[nH]c(CCCNc2nccn3nnnc23)c1-c1nn[n-]n1 ZINC000822899538 583821118 /nfs/dbraw/zinc/82/11/18/583821118.db2.gz KFZLXMMBNLIKLW-UHFFFAOYSA-N -1 1 327.316 -0.951 20 0 EBADMM Nc1n[nH]c(CCCNC(=S)NCc2ccon2)c1-c1nnn[n-]1 ZINC000822899706 583821338 /nfs/dbraw/zinc/82/13/38/583821338.db2.gz PJXJHWGEOBRAQX-UHFFFAOYSA-N -1 1 348.396 -0.243 20 0 EBADMM Nc1n[nH]c(CCCNC(=S)NCc2ccon2)c1-c1nn[n-]n1 ZINC000822899706 583821340 /nfs/dbraw/zinc/82/13/40/583821340.db2.gz PJXJHWGEOBRAQX-UHFFFAOYSA-N -1 1 348.396 -0.243 20 0 EBADMM O=C(CN1C(=O)[C@@H]2CCCC[C@H]2C1=O)Nc1n[nH]cc1-c1nnn[n-]1 ZINC000822993769 583822148 /nfs/dbraw/zinc/82/21/48/583822148.db2.gz XZNLKKVVRGLPIP-HTQZYQBOSA-N -1 1 344.335 -0.297 20 0 EBADMM O=C(CN1C(=O)[C@@H]2CCCC[C@H]2C1=O)Nc1n[nH]cc1-c1nn[n-]n1 ZINC000822993769 583822149 /nfs/dbraw/zinc/82/21/49/583822149.db2.gz XZNLKKVVRGLPIP-HTQZYQBOSA-N -1 1 344.335 -0.297 20 0 EBADMM O=C(CN1CCCS1(=O)=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000822997816 583822322 /nfs/dbraw/zinc/82/23/22/583822322.db2.gz VNIVQMMBIHKJFM-UHFFFAOYSA-N -1 1 338.349 -0.454 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCC(=O)OC1CCCCC1 ZINC000823125701 583824777 /nfs/dbraw/zinc/82/47/77/583824777.db2.gz BGQBRPGMGZBKOX-UHFFFAOYSA-N -1 1 334.340 -0.550 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCCC[C@H]1C1OCCO1 ZINC000823127201 583825088 /nfs/dbraw/zinc/82/50/88/583825088.db2.gz WLACDXYDWOHPFY-VIFPVBQESA-N -1 1 334.340 -0.788 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NC[C@@H](CO)c1ccccc1 ZINC000823127134 583825203 /nfs/dbraw/zinc/82/52/03/583825203.db2.gz UJTHVAJAEJABND-NSHDSACASA-N -1 1 328.336 -0.650 20 0 EBADMM O=C1NC(=O)[C@]2(CCN(c3ccc(Cl)c(-c4nnn[n-]4)n3)C2)N1 ZINC000823541557 583832015 /nfs/dbraw/zinc/83/20/15/583832015.db2.gz QKVYYZCOXHNORR-GFCCVEGCSA-N -1 1 334.727 -0.297 20 0 EBADMM O=C1NC(=O)[C@]2(CCN(c3ccc(Cl)c(-c4nn[n-]n4)n3)C2)N1 ZINC000823541557 583832016 /nfs/dbraw/zinc/83/20/16/583832016.db2.gz QKVYYZCOXHNORR-GFCCVEGCSA-N -1 1 334.727 -0.297 20 0 EBADMM CC(C)(C)NC(=O)CN1CCC(CNC(=O)CNC(=O)[O-])CC1 ZINC000823771553 583836861 /nfs/dbraw/zinc/83/68/61/583836861.db2.gz FELDASTVEOJZRA-UHFFFAOYSA-N -1 1 328.413 -0.003 20 0 EBADMM CC(C)[C@@H]1C[C@@H](Nc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)CCO1 ZINC000824214282 583842388 /nfs/dbraw/zinc/84/23/88/583842388.db2.gz FECUCUFCHKLUQM-UWVGGRQHSA-N -1 1 349.395 -0.120 20 0 EBADMM CC(C)CN1CCO[C@@H](CNC(=O)[C@H]2CN(C(=O)[O-])CCO2)C1 ZINC000824257675 583842887 /nfs/dbraw/zinc/84/28/87/583842887.db2.gz SWKSENTZPQOLPD-QWHCGFSZSA-N -1 1 329.397 -0.162 20 0 EBADMM C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000824680208 583848994 /nfs/dbraw/zinc/84/89/94/583848994.db2.gz ZCFGKQNXXRDMGQ-MADCSZMMSA-N -1 1 346.347 -0.214 20 0 EBADMM C[C@H](O)c1cn(CC(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])nn1 ZINC000824707577 583849203 /nfs/dbraw/zinc/84/92/03/583849203.db2.gz SYAWNBZWTZCQNA-ZETCQYMHSA-N -1 1 330.308 -0.144 20 0 EBADMM C[C@H]1C[C@H](CNc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)CCO1 ZINC000824883128 583851705 /nfs/dbraw/zinc/85/17/05/583851705.db2.gz FRMGCVKHKJZOAG-DTWKUNHWSA-N -1 1 335.368 -0.509 20 0 EBADMM C[C@H](CNC(=O)C[C@H]1CN(C(=O)[O-])CCO1)N1CCN(C)CC1 ZINC000824922725 583852351 /nfs/dbraw/zinc/85/23/51/583852351.db2.gz SDQSGXARNLSKCW-OLZOCXBDSA-N -1 1 328.413 -0.493 20 0 EBADMM C[C@H](CNC(=O)CO[C@@H]1CCCN(C(=O)[O-])C1)N1CCN(C)CC1 ZINC000824920967 583852358 /nfs/dbraw/zinc/85/23/58/583852358.db2.gz ABMUUCSELPUOOW-ZIAGYGMSSA-N -1 1 342.440 -0.103 20 0 EBADMM CCNC(=O)CNS(=O)(=O)c1cccc(Cl)c1-c1nnn[n-]1 ZINC000825471144 583861334 /nfs/dbraw/zinc/86/13/34/583861334.db2.gz OEIAIMMGERQIDQ-UHFFFAOYSA-N -1 1 344.784 -0.066 20 0 EBADMM CCNC(=O)CNS(=O)(=O)c1cccc(Cl)c1-c1nn[n-]n1 ZINC000825471144 583861336 /nfs/dbraw/zinc/86/13/36/583861336.db2.gz OEIAIMMGERQIDQ-UHFFFAOYSA-N -1 1 344.784 -0.066 20 0 EBADMM CCOC(=O)c1cnn(Cc2nc(CCOC)no2)c1-c1nn[n-]n1 ZINC000825570268 583863292 /nfs/dbraw/zinc/86/32/92/583863292.db2.gz GWWCWYPTFFIVSR-UHFFFAOYSA-N -1 1 348.323 -0.140 20 0 EBADMM CCS(=O)(=O)N(C)CCCNc1ccc(-c2nnn[n-]2)nn1 ZINC000825663095 583865142 /nfs/dbraw/zinc/86/51/42/583865142.db2.gz IOCBUVKJVWRDHN-UHFFFAOYSA-N -1 1 326.386 -0.260 20 0 EBADMM CCS(=O)(=O)N(C)CCCNc1ccc(-c2nn[n-]n2)nn1 ZINC000825663095 583865144 /nfs/dbraw/zinc/86/51/44/583865144.db2.gz IOCBUVKJVWRDHN-UHFFFAOYSA-N -1 1 326.386 -0.260 20 0 EBADMM CN(C)C(=O)CN1CCN(Cc2ccnc(-c3nn[n-]n3)c2)CC1 ZINC000825841224 583868222 /nfs/dbraw/zinc/86/82/22/583868222.db2.gz UDGCIZRWVFCRPY-UHFFFAOYSA-N -1 1 330.396 -0.533 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nnn[n-]1)C(=O)[C@@H]1[C@@H]2CCCO[C@H]12 ZINC000825923123 583870881 /nfs/dbraw/zinc/87/08/81/583870881.db2.gz COGKNWGQCGFXLQ-XRNSZHNASA-N -1 1 346.395 -0.012 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nn[n-]n1)C(=O)[C@@H]1[C@@H]2CCCO[C@H]12 ZINC000825923123 583870882 /nfs/dbraw/zinc/87/08/82/583870882.db2.gz COGKNWGQCGFXLQ-XRNSZHNASA-N -1 1 346.395 -0.012 20 0 EBADMM CN1CC(=O)N(CC(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])C1=O ZINC000826018356 583873662 /nfs/dbraw/zinc/87/36/62/583873662.db2.gz WSMNNSBETQYSGF-UHFFFAOYSA-N -1 1 331.292 -0.595 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nnn[n-]1)C(=O)[C@@H]1CC(=O)N(C)C1 ZINC000826016200 583873788 /nfs/dbraw/zinc/87/37/88/583873788.db2.gz BHOVTGUOLHWCCQ-MRVPVSSYSA-N -1 1 347.383 -0.959 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nn[n-]n1)C(=O)[C@@H]1CC(=O)N(C)C1 ZINC000826016200 583873789 /nfs/dbraw/zinc/87/37/89/583873789.db2.gz BHOVTGUOLHWCCQ-MRVPVSSYSA-N -1 1 347.383 -0.959 20 0 EBADMM CN1C[C@@H](NS(=O)(=O)c2cccc(F)c2-c2nnn[n-]2)CC1=O ZINC000826017300 583873801 /nfs/dbraw/zinc/87/38/01/583873801.db2.gz KNMXMXZPCKSLBN-ZETCQYMHSA-N -1 1 340.340 -0.485 20 0 EBADMM CN1C[C@@H](NS(=O)(=O)c2cccc(F)c2-c2nn[n-]n2)CC1=O ZINC000826017300 583873802 /nfs/dbraw/zinc/87/38/02/583873802.db2.gz KNMXMXZPCKSLBN-ZETCQYMHSA-N -1 1 340.340 -0.485 20 0 EBADMM CN1CN(S(=O)(=O)c2cccc(Cl)c2-c2nnn[n-]2)CC1=O ZINC000826044201 583875203 /nfs/dbraw/zinc/87/52/03/583875203.db2.gz BZERNPJSAWHDNR-UHFFFAOYSA-N -1 1 342.768 -0.060 20 0 EBADMM CN1CN(S(=O)(=O)c2cccc(Cl)c2-c2nn[n-]n2)CC1=O ZINC000826044201 583875204 /nfs/dbraw/zinc/87/52/04/583875204.db2.gz BZERNPJSAWHDNR-UHFFFAOYSA-N -1 1 342.768 -0.060 20 0 EBADMM CNS(=O)(=O)[C@@H]1CCN(C(=O)c2ccc(-c3nnn[n-]3)s2)C1 ZINC000826084304 583877632 /nfs/dbraw/zinc/87/76/32/583877632.db2.gz YLICHMMNOVQXME-SSDOTTSWSA-N -1 1 342.406 -0.308 20 0 EBADMM CNS(=O)(=O)[C@@H]1CCN(C(=O)c2ccc(-c3nn[n-]n3)s2)C1 ZINC000826084304 583877633 /nfs/dbraw/zinc/87/76/33/583877633.db2.gz YLICHMMNOVQXME-SSDOTTSWSA-N -1 1 342.406 -0.308 20 0 EBADMM C[C@@H]1OC(C)(C)N(C(=O)[O-])[C@@H]1C(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000826111077 583879162 /nfs/dbraw/zinc/87/91/62/583879162.db2.gz YLKDZCHUXZRUGZ-YIZRAAEISA-N -1 1 340.340 -0.397 20 0 EBADMM COC(=O)[C@H]1CN(C(=O)Cc2noc(C)c2-c2nnn[n-]2)CCO1 ZINC000826132802 583881427 /nfs/dbraw/zinc/88/14/27/583881427.db2.gz GHTODFPPHUNMKZ-SECBINFHSA-N -1 1 336.308 -0.894 20 0 EBADMM COC(=O)[C@H]1CN(C(=O)Cc2noc(C)c2-c2nn[n-]n2)CCO1 ZINC000826132802 583881429 /nfs/dbraw/zinc/88/14/29/583881429.db2.gz GHTODFPPHUNMKZ-SECBINFHSA-N -1 1 336.308 -0.894 20 0 EBADMM COC(=O)CN(C)S(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000826138320 583882275 /nfs/dbraw/zinc/88/22/75/583882275.db2.gz PNHOFLHSZBTKCE-UHFFFAOYSA-N -1 1 329.313 -0.201 20 0 EBADMM COC(=O)CN(C)S(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000826138320 583882277 /nfs/dbraw/zinc/88/22/77/583882277.db2.gz PNHOFLHSZBTKCE-UHFFFAOYSA-N -1 1 329.313 -0.201 20 0 EBADMM COC[C@@H](CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)OC ZINC000826149795 583883979 /nfs/dbraw/zinc/88/39/79/583883979.db2.gz PHYQHMPARIQDIX-MRVPVSSYSA-N -1 1 328.354 -0.799 20 0 EBADMM COC[C@@H](CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)OC ZINC000826149795 583883981 /nfs/dbraw/zinc/88/39/81/583883981.db2.gz PHYQHMPARIQDIX-MRVPVSSYSA-N -1 1 328.354 -0.799 20 0 EBADMM COCC[C@@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)c1ccco1 ZINC000826154785 583885632 /nfs/dbraw/zinc/88/56/32/583885632.db2.gz XWFKLGOEDADITB-SECBINFHSA-N -1 1 332.324 -0.055 20 0 EBADMM CON(C)C(=O)CN1CCN(c2nccnc2-c2nnn[n-]2)C[C@H]1C ZINC000826166508 583886650 /nfs/dbraw/zinc/88/66/50/583886650.db2.gz OBXCGBIXNGSMME-SNVBAGLBSA-N -1 1 347.383 -0.813 20 0 EBADMM CON(C)C(=O)CN1CCN(c2nccnc2-c2nn[n-]n2)C[C@H]1C ZINC000826166508 583886652 /nfs/dbraw/zinc/88/66/52/583886652.db2.gz OBXCGBIXNGSMME-SNVBAGLBSA-N -1 1 347.383 -0.813 20 0 EBADMM CS(=O)(=O)NC[C@H]1CCCN(c2ccc(-c3nnn[n-]3)nn2)C1 ZINC000826223665 583888573 /nfs/dbraw/zinc/88/85/73/583888573.db2.gz VMQWBOHDFJTZMB-SECBINFHSA-N -1 1 338.397 -0.578 20 0 EBADMM CS(=O)(=O)NC[C@H]1CCCN(c2ccc(-c3nn[n-]n3)nn2)C1 ZINC000826223665 583888575 /nfs/dbraw/zinc/88/85/75/583888575.db2.gz VMQWBOHDFJTZMB-SECBINFHSA-N -1 1 338.397 -0.578 20 0 EBADMM Cc1c(C(=O)n2ncc(-c3nn[n-]n3)c2N)cccc1S(N)(=O)=O ZINC000826235582 583888846 /nfs/dbraw/zinc/88/88/46/583888846.db2.gz MNXLZXHKAVFAIT-UHFFFAOYSA-N -1 1 348.348 -0.710 20 0 EBADMM Cc1cc(NC(=O)Cn2cnc(-c3nn[n-]n3)n2)ccc1OCCO ZINC000826270347 583890547 /nfs/dbraw/zinc/89/05/47/583890547.db2.gz WLMFIKZIGVAPEJ-UHFFFAOYSA-N -1 1 344.335 -0.224 20 0 EBADMM Cc1cnc([C@H](C)Nc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)o1 ZINC000826318058 583891186 /nfs/dbraw/zinc/89/11/86/583891186.db2.gz UHHAQTMRLUEEKU-ZETCQYMHSA-N -1 1 332.324 -0.266 20 0 EBADMM Cc1onc(CC(=O)N(C)CCN2CCOCC2)c1-c1nnn[n-]1 ZINC000826342972 583892154 /nfs/dbraw/zinc/89/21/54/583892154.db2.gz HRRSTBXHPLIXNB-UHFFFAOYSA-N -1 1 335.368 -0.504 20 0 EBADMM Cc1onc(CC(=O)N(C)CCN2CCOCC2)c1-c1nn[n-]n1 ZINC000826342972 583892156 /nfs/dbraw/zinc/89/21/56/583892156.db2.gz HRRSTBXHPLIXNB-UHFFFAOYSA-N -1 1 335.368 -0.504 20 0 EBADMM Cc1onc(CC(=O)N2CCNC(=O)[C@H]2C(C)C)c1-c1nnn[n-]1 ZINC000826344868 583892632 /nfs/dbraw/zinc/89/26/32/583892632.db2.gz VDDRTMJLZJISJD-GFCCVEGCSA-N -1 1 333.352 -0.311 20 0 EBADMM Cc1onc(CC(=O)N2CCNC(=O)[C@H]2C(C)C)c1-c1nn[n-]n1 ZINC000826344868 583892633 /nfs/dbraw/zinc/89/26/33/583892633.db2.gz VDDRTMJLZJISJD-GFCCVEGCSA-N -1 1 333.352 -0.311 20 0 EBADMM Cc1onc(CC(=O)N[C@@H](C)[C@@H](C)N2CCOCC2)c1-c1nn[n-]n1 ZINC000826345414 583892667 /nfs/dbraw/zinc/89/26/67/583892667.db2.gz KPGINHASYIXDBR-VHSXEESVSA-N -1 1 349.395 -0.069 20 0 EBADMM Cc1onc(CC(=O)N[C@H](C)[C@H](C)N2CCOCC2)c1-c1nn[n-]n1 ZINC000826345415 583892674 /nfs/dbraw/zinc/89/26/74/583892674.db2.gz KPGINHASYIXDBR-ZJUUUORDSA-N -1 1 349.395 -0.069 20 0 EBADMM Cn1c2ncn(Cc3ccnc(-c4nnn[n-]4)c3)c2c(=O)n(C)c1=O ZINC000826354684 583893383 /nfs/dbraw/zinc/89/33/83/583893383.db2.gz PVJDFKMXAUVTMI-UHFFFAOYSA-N -1 1 339.319 -0.943 20 0 EBADMM Cn1c2ncn(Cc3ccnc(-c4nn[n-]n4)c3)c2c(=O)n(C)c1=O ZINC000826354684 583893385 /nfs/dbraw/zinc/89/33/85/583893385.db2.gz PVJDFKMXAUVTMI-UHFFFAOYSA-N -1 1 339.319 -0.943 20 0 EBADMM Cn1c2cc(C(=O)n3ncc(-c4nn[n-]n4)c3N)ccc2[nH]c1=O ZINC000826354489 583893425 /nfs/dbraw/zinc/89/34/25/583893425.db2.gz GYQSIRSBMWCZBM-UHFFFAOYSA-N -1 1 325.292 -0.074 20 0 EBADMM Cc1onc(CC(=O)NCCOC[C@@H]2CCOC2)c1-c1nnn[n-]1 ZINC000826347647 583893504 /nfs/dbraw/zinc/89/35/04/583893504.db2.gz YRTPMWCGWMQZJZ-SNVBAGLBSA-N -1 1 336.352 -0.125 20 0 EBADMM Cc1onc(CC(=O)NCCOC[C@@H]2CCOC2)c1-c1nn[n-]n1 ZINC000826347647 583893505 /nfs/dbraw/zinc/89/35/05/583893505.db2.gz YRTPMWCGWMQZJZ-SNVBAGLBSA-N -1 1 336.352 -0.125 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N2CC[C@@H]([C@H]3CCOC3)C2)n(C)c1=O ZINC000826355991 583893675 /nfs/dbraw/zinc/89/36/75/583893675.db2.gz WFXQOFKBDYNAPU-ZJUUUORDSA-N -1 1 347.379 -0.873 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(=O)n(Cc2cccc3c2OCCO3)c1=O ZINC000826356993 583893805 /nfs/dbraw/zinc/89/38/05/583893805.db2.gz NBMSIRUDQMJGSW-UHFFFAOYSA-N -1 1 342.315 -0.453 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(=O)n(Cc2noc(C(C)(C)C)n2)c1=O ZINC000826357186 583893823 /nfs/dbraw/zinc/89/38/23/583893823.db2.gz VGYDBTBOZMWAPG-UHFFFAOYSA-N -1 1 332.324 -0.544 20 0 EBADMM Cn1cc(N2CCC[C@H](Nc3ccc(-c4nnn[n-]4)nn3)C2=O)cn1 ZINC000826362208 583894504 /nfs/dbraw/zinc/89/45/04/583894504.db2.gz IOJARHDTESHYQY-NSHDSACASA-N -1 1 340.351 -0.002 20 0 EBADMM Cn1cc(N2CCC[C@H](Nc3ccc(-c4nn[n-]n4)nn3)C2=O)cn1 ZINC000826362208 583894505 /nfs/dbraw/zinc/89/45/05/583894505.db2.gz IOJARHDTESHYQY-NSHDSACASA-N -1 1 340.351 -0.002 20 0 EBADMM NC(=O)CCCCNS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000826391134 583897041 /nfs/dbraw/zinc/89/70/41/583897041.db2.gz XRDZMMZTEYMYPG-UHFFFAOYSA-N -1 1 342.356 -0.060 20 0 EBADMM NC(=O)CCCCNS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000826391134 583897043 /nfs/dbraw/zinc/89/70/43/583897043.db2.gz XRDZMMZTEYMYPG-UHFFFAOYSA-N -1 1 342.356 -0.060 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CC[C@@H](C2CCOCC2)C1 ZINC000826425170 583900785 /nfs/dbraw/zinc/90/07/85/583900785.db2.gz SGVJNSJQZQQQAJ-LLVKDONJSA-N -1 1 332.368 -0.267 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCCc1ccc(CO)cc1 ZINC000826425704 583901164 /nfs/dbraw/zinc/90/11/64/583901164.db2.gz PMNGXEMVVIPDGL-UHFFFAOYSA-N -1 1 328.336 -0.691 20 0 EBADMM O=C(NC[C@@H]1COC2(CCOCC2)O1)c1ccc(-c2nnn[n-]2)nc1 ZINC000826435788 583901873 /nfs/dbraw/zinc/90/18/73/583901873.db2.gz XSGDOBXTEUGUKY-LLVKDONJSA-N -1 1 346.347 -0.086 20 0 EBADMM O=C(NC[C@@H]1COC2(CCOCC2)O1)c1ccc(-c2nn[n-]n2)nc1 ZINC000826435788 583901875 /nfs/dbraw/zinc/90/18/75/583901875.db2.gz XSGDOBXTEUGUKY-LLVKDONJSA-N -1 1 346.347 -0.086 20 0 EBADMM O=S(=O)(NC[C@@H](O)C(F)(F)F)c1ccc(-c2nnn[n-]2)nc1 ZINC000826489217 583905225 /nfs/dbraw/zinc/90/52/25/583905225.db2.gz KCDQLSQDZVAKHU-SSDOTTSWSA-N -1 1 338.271 -0.537 20 0 EBADMM O=S(=O)(NC[C@@H](O)C(F)(F)F)c1ccc(-c2nn[n-]n2)nc1 ZINC000826489217 583905228 /nfs/dbraw/zinc/90/52/28/583905228.db2.gz KCDQLSQDZVAKHU-SSDOTTSWSA-N -1 1 338.271 -0.537 20 0 EBADMM c1cc(-c2nnn[n-]2)nnc1Sc1nnnn1C[C@H]1CCCO1 ZINC000826514786 583906777 /nfs/dbraw/zinc/90/67/77/583906777.db2.gz CQXLTKVUMXVGND-SSDOTTSWSA-N -1 1 332.353 -0.027 20 0 EBADMM c1cc(-c2nn[n-]n2)nnc1Sc1nnnn1C[C@H]1CCCO1 ZINC000826514786 583906781 /nfs/dbraw/zinc/90/67/81/583906781.db2.gz CQXLTKVUMXVGND-SSDOTTSWSA-N -1 1 332.353 -0.027 20 0 EBADMM CC[C@@H]1CN(C(=O)[C@H]2COCCN2C(=O)[O-])CCN1C[C@H](C)O ZINC000826683426 583909653 /nfs/dbraw/zinc/90/96/53/583909653.db2.gz VKACAEGYZGRPJV-YNEHKIRRSA-N -1 1 329.397 -0.331 20 0 EBADMM CCN1CCN(CC(=O)N2CCO[C@@H]([C@H](C)NC(=O)[O-])C2)CC1 ZINC000827223232 583916884 /nfs/dbraw/zinc/91/68/84/583916884.db2.gz QNIMFBNERZXOFI-QWHCGFSZSA-N -1 1 328.413 -0.493 20 0 EBADMM C[C@H](CN1CCN(C)CC1)NS(=O)(=O)CC1(CC(=O)[O-])CC1 ZINC000827460975 583921597 /nfs/dbraw/zinc/92/15/97/583921597.db2.gz IYJUKPGMEPMYFH-GFCCVEGCSA-N -1 1 333.454 -0.203 20 0 EBADMM C[C@H](O)c1cn(CC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)nn1 ZINC000827804060 583927925 /nfs/dbraw/zinc/92/79/25/583927925.db2.gz RHRIQMAPAVCNEC-QWRGUYRKSA-N -1 1 325.369 -0.661 20 0 EBADMM COCCN1CC[C@@H](NC(=O)CO[C@H]2CCCN(C(=O)[O-])C2)C1 ZINC000828984558 583949553 /nfs/dbraw/zinc/94/95/53/583949553.db2.gz FZGDAGMKENDBPV-OLZOCXBDSA-N -1 1 329.397 -0.018 20 0 EBADMM COCCN1CCN(CN2CC3(CN(C(=O)[O-])C3)CC2=O)C[C@@H]1C ZINC000828991603 583949700 /nfs/dbraw/zinc/94/97/00/583949700.db2.gz LXJGDQWUJORIEP-ZDUSSCGKSA-N -1 1 340.424 -0.191 20 0 EBADMM CC[C@@H]1CN(C(=O)Cn2cc(C(=O)[O-])nn2)CCN1CCOC ZINC000829134207 583950684 /nfs/dbraw/zinc/95/06/84/583950684.db2.gz QHNVJLQJIYKFIH-LLVKDONJSA-N -1 1 325.369 -0.455 20 0 EBADMM CCN(C(=O)CN[C@](C)(C(=O)[O-])C1CC1)[C@H]1CCS(=O)(=O)C1 ZINC000829597146 583954715 /nfs/dbraw/zinc/95/47/15/583954715.db2.gz IYTXWHHKRVIWDI-FZMZJTMJSA-N -1 1 332.422 -0.135 20 0 EBADMM C[C@@H](C(=O)N1CCC[C@@H](C(=O)N2CCN(C(=O)[O-])CC2)C1)N(C)C ZINC000829784489 583958244 /nfs/dbraw/zinc/95/82/44/583958244.db2.gz VPGIBOFVWXGQOG-QWHCGFSZSA-N -1 1 340.424 -0.003 20 0 EBADMM CCOCCN1CCN(C(=O)Cn2cc(C(=O)[O-])nn2)C[C@@H]1CC ZINC000830011549 583961751 /nfs/dbraw/zinc/96/17/51/583961751.db2.gz JLRZTYJHALHVEO-LBPRGKRZSA-N -1 1 339.396 -0.064 20 0 EBADMM Cc1nnc([C@@H]2CN(C(=O)[C@H]3COCCN3C(=O)[O-])CCO2)[nH]1 ZINC000830678330 583969420 /nfs/dbraw/zinc/96/94/20/583969420.db2.gz ODMYPEFNUQGYOB-ZJUUUORDSA-N -1 1 325.325 -0.608 20 0 EBADMM Cc1nnc([C@H]2CN(C(=O)C[C@H]3CN(C(=O)[O-])CCO3)CCO2)[nH]1 ZINC000830676849 583969542 /nfs/dbraw/zinc/96/95/42/583969542.db2.gz AYQJMNGIHIYHLW-WDEREUQCSA-N -1 1 339.352 -0.218 20 0 EBADMM CN(C)C(=O)[C@H]1CC[C@@H](C[N-]S(=O)(=O)c2c[nH]nc2Cl)O1 ZINC000831032504 583975314 /nfs/dbraw/zinc/97/53/14/583975314.db2.gz FHJJVWNPFNTIMM-JGVFFNPUSA-N -1 1 336.801 -0.023 20 0 EBADMM Cc1cc(O)cc(=O)n1CC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831139753 583977179 /nfs/dbraw/zinc/97/71/79/583977179.db2.gz MQLIFDQXYDDWSW-UHFFFAOYSA-N -1 1 347.293 -0.001 20 0 EBADMM O=C(CC1CN(C(=O)[O-])C1)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC000831354837 583981774 /nfs/dbraw/zinc/98/17/74/583981774.db2.gz CTPHRDVVZBIUAO-AWEZNQCLSA-N -1 1 340.424 -0.491 20 0 EBADMM O=C([O-])N1CCN(C(=O)c2cnc[nH]2)[C@@H](CNC(=O)c2cnc[nH]2)C1 ZINC000831652942 583989142 /nfs/dbraw/zinc/98/91/42/583989142.db2.gz GMUCLPFYXPZLTD-VIFPVBQESA-N -1 1 347.335 -0.633 20 0 EBADMM COC(=O)[C@@H]1C[C@H](O)CN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000831748810 583991224 /nfs/dbraw/zinc/99/12/24/583991224.db2.gz NZUUXQDLZYVJGC-ZJUUUORDSA-N -1 1 333.348 -0.271 20 0 EBADMM O=C([O-])[C@]1(NC(=O)CCN2CCN(c3ccccn3)CC2)CCOC1 ZINC000833100097 584005713 /nfs/dbraw/zinc/00/57/13/584005713.db2.gz NUKHURFOPSGEQH-KRWDZBQOSA-N -1 1 348.403 -0.046 20 0 EBADMM C[C@@H](C(=O)N1CCC[C@H](C(N)=O)C1)N1CCC(O)(C(=O)[O-])CC1 ZINC000833404337 584010050 /nfs/dbraw/zinc/01/00/50/584010050.db2.gz OYUPZWDPUYEWHH-QWRGUYRKSA-N -1 1 327.381 -0.990 20 0 EBADMM C[C@H](C(=O)N1CCC[C@H](C(N)=O)C1)N1CCC(O)(C(=O)[O-])CC1 ZINC000833404336 584010120 /nfs/dbraw/zinc/01/01/20/584010120.db2.gz OYUPZWDPUYEWHH-MNOVXSKESA-N -1 1 327.381 -0.990 20 0 EBADMM C[C@H](C(=O)N1CCC[C@@H](C(N)=O)C1)N1CCC([C@H](O)C(=O)[O-])CC1 ZINC000833405256 584010227 /nfs/dbraw/zinc/01/02/27/584010227.db2.gz YTLZTJCTUIIYNP-RTXFEEFZSA-N -1 1 341.408 -0.744 20 0 EBADMM CC(C)(C)NS(=O)(=O)CC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000833431413 584010424 /nfs/dbraw/zinc/01/04/24/584010424.db2.gz WNOQIYCEAKWLNC-VIFPVBQESA-N -1 1 332.382 -0.761 20 0 EBADMM CC(C)[C@@H](NC(=O)[O-])C(=O)N1CCC(O)(CN2CCOCC2)CC1 ZINC000833468926 584010736 /nfs/dbraw/zinc/01/07/36/584010736.db2.gz RYCSDCWIPIETST-CYBMUJFWSA-N -1 1 343.424 -0.036 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000833625634 584012499 /nfs/dbraw/zinc/01/24/99/584012499.db2.gz WYXOAFMZPFCMNG-NWDGAFQWSA-N -1 1 349.391 -0.230 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NC[C@@H]1CN(C)CCO1 ZINC000833626436 584012952 /nfs/dbraw/zinc/01/29/52/584012952.db2.gz QYEPUWPMUDQZKR-QWHCGFSZSA-N -1 1 328.413 -0.493 20 0 EBADMM COC(=O)C1CCN(C(=O)CN2CCC([C@@H](O)C(=O)[O-])CC2)CC1 ZINC000833711422 584014978 /nfs/dbraw/zinc/01/49/78/584014978.db2.gz XGLIKDHSDNLOIO-CQSZACIVSA-N -1 1 342.392 -0.445 20 0 EBADMM CS[C@@H]1CN(S(=O)(=O)N(C)CCC(=O)[O-])C[C@@H]1N(C)C ZINC000833738957 584015676 /nfs/dbraw/zinc/01/56/76/584015676.db2.gz XZMORKXMAYAZDB-VHSXEESVSA-N -1 1 325.456 -0.385 20 0 EBADMM CS[C@@H]1CN(S(=O)(=O)N(C)CCC(=O)[O-])C[C@H]1N(C)C ZINC000833738955 584015758 /nfs/dbraw/zinc/01/57/58/584015758.db2.gz XZMORKXMAYAZDB-NXEZZACHSA-N -1 1 325.456 -0.385 20 0 EBADMM O=C([O-])NCC(=O)NCC(=O)NC[C@H](c1ccco1)N1CCCC1 ZINC000834197830 584028721 /nfs/dbraw/zinc/02/87/21/584028721.db2.gz XWUQEXFLARVRSJ-LLVKDONJSA-N -1 1 338.364 -0.084 20 0 EBADMM C[C@H]1CN(CC[N-]S(=O)(=O)N=S2(=O)CCCC2)CCO1 ZINC000867146036 584041982 /nfs/dbraw/zinc/04/19/82/584041982.db2.gz MGYRSUZOAZMQKX-NSHDSACASA-N -1 1 325.456 -0.197 20 0 EBADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)C[C@@H]2CCC(=O)NC2)CCC1 ZINC000843016086 584069685 /nfs/dbraw/zinc/06/96/85/584069685.db2.gz ZTQCDNXYLCONCJ-NSHDSACASA-N -1 1 331.438 -0.167 20 0 EBADMM Cn1[n-]c(COC(=O)C(C)(C)NC(=O)COC(C)(C)C)nc1=O ZINC000845380052 584106437 /nfs/dbraw/zinc/10/64/37/584106437.db2.gz WKUGJMZGZHOMTP-UHFFFAOYSA-N -1 1 328.369 -0.139 20 0 EBADMM COC1(CS(=O)(=O)[N-]Cc2nc(N(C)C)no2)CCOCC1 ZINC000845910095 584117651 /nfs/dbraw/zinc/11/76/51/584117651.db2.gz BHPXTFYHIISOSS-UHFFFAOYSA-N -1 1 334.398 -0.249 20 0 EBADMM CCO[N-]C(=O)CNC(=O)CNC(=O)c1c[nH]c2ccccc2c1=O ZINC000846497542 584127121 /nfs/dbraw/zinc/12/71/21/584127121.db2.gz PUOLKNYPKSQTDO-UHFFFAOYSA-N -1 1 346.343 -0.558 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(c2cncc(F)c2)CC1 ZINC000847949628 584148184 /nfs/dbraw/zinc/14/81/84/584148184.db2.gz KCQBFWFCZOQDOC-UHFFFAOYSA-N -1 1 347.350 -0.190 20 0 EBADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=S)NCC(N)=O ZINC000848654680 584160414 /nfs/dbraw/zinc/16/04/14/584160414.db2.gz WTVHWHWFANHRQW-RNFRBKRXSA-N -1 1 326.344 -0.122 20 0 EBADMM CN(C)C(=O)OCCNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000850630282 584182460 /nfs/dbraw/zinc/18/24/60/584182460.db2.gz JPGRTMJETYTTTF-UHFFFAOYSA-N -1 1 334.336 -0.905 20 0 EBADMM Cn1nncc1C(=O)N1CCC[C@H](NC(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC000851700574 584200566 /nfs/dbraw/zinc/20/05/66/584200566.db2.gz CAFASJPXBFYZQP-XPUUQOCRSA-N -1 1 349.269 -0.449 20 0 EBADMM COC[C@@]1(C(=O)OC)CCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000855375419 584258110 /nfs/dbraw/zinc/25/81/10/584258110.db2.gz OOOZUXKSNVQQNF-OAHLLOKOSA-N -1 1 339.348 -0.893 20 0 EBADMM C[C@@H]1CCN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)C[C@H]1CO ZINC000857003285 584273236 /nfs/dbraw/zinc/27/32/36/584273236.db2.gz UXHJAYFULWHZHJ-MNOVXSKESA-N -1 1 331.376 -0.243 20 0 EBADMM CN1Cc2ccccc2[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1=O ZINC000857919380 584282435 /nfs/dbraw/zinc/28/24/35/584282435.db2.gz MBWKLZDGFBYGQQ-AWEZNQCLSA-N -1 1 342.355 -0.244 20 0 EBADMM COCCOCCN(CCO)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000861025025 584325080 /nfs/dbraw/zinc/32/50/80/584325080.db2.gz BUPWKMSOINISMS-UHFFFAOYSA-N -1 1 337.380 -0.169 20 0 EBADMM COc1cnc(F)c([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)c1 ZINC000863449398 584370951 /nfs/dbraw/zinc/37/09/51/584370951.db2.gz GHUHMYDKUSETIU-UHFFFAOYSA-N -1 1 344.324 -0.573 20 0 EBADMM Cc1cc(NC(=O)C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)nn1C ZINC000874229067 584480825 /nfs/dbraw/zinc/48/08/25/584480825.db2.gz CVQYAJNUALRGFX-UHFFFAOYSA-N -1 1 347.297 -0.196 20 0 EBADMM CON(C)C(=O)CNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875678349 584504209 /nfs/dbraw/zinc/50/42/09/584504209.db2.gz ZIMYSSWYRZTMND-UHFFFAOYSA-N -1 1 326.275 -0.674 20 0 EBADMM COc1ccc(C(=O)NCC(=O)NN2CC(=O)[N-]C2=O)cc1OC ZINC000029019174 584607671 /nfs/dbraw/zinc/60/76/71/584607671.db2.gz GZIDAUKYUJVLBD-UHFFFAOYSA-N -1 1 336.304 -0.983 20 0 EBADMM O=C([O-])COCCNC(=O)C(=O)N1CCN(C2CCCCC2)CC1 ZINC000884476628 584624516 /nfs/dbraw/zinc/62/45/16/584624516.db2.gz WHKVROLUDDJGPG-UHFFFAOYSA-N -1 1 341.408 -0.319 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2CC3(CCC3)CO2)c(=O)n(C)c1=O ZINC000885638689 584651184 /nfs/dbraw/zinc/65/11/84/584651184.db2.gz SHFBRDIWMRHAIS-JTQLQIEISA-N -1 1 343.405 -0.679 20 0 EBADMM CN(C)S(=O)(=O)NCC(=O)NCCc1c(F)cc([O-])cc1F ZINC000886268322 584663398 /nfs/dbraw/zinc/66/33/98/584663398.db2.gz LQWJHLOBMGRHBC-UHFFFAOYSA-N -1 1 337.348 -0.275 20 0 EBADMM CCC(CC)([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C(=O)OC ZINC000337960042 584680821 /nfs/dbraw/zinc/68/08/21/584680821.db2.gz CQZBLYSEPUYLTL-UHFFFAOYSA-N -1 1 347.393 -0.906 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCOC[C@@H]1C[C@@H]1CCCO1 ZINC000887837724 584683137 /nfs/dbraw/zinc/68/31/37/584683137.db2.gz MMNIKTSUDTVVRS-STQMWFEESA-N -1 1 337.376 -0.277 20 0 EBADMM Cc1nc(CN2CCC[C@H](N(C)CC(=O)NCCC(=O)[O-])C2)no1 ZINC000738856720 599963254 /nfs/dbraw/zinc/96/32/54/599963254.db2.gz DTBXOORFMKKVPU-LBPRGKRZSA-N -1 1 339.396 -0.135 20 0 EBADMM O=C([O-])CNC(=O)CCN1CCN(C[C@@H](O)c2ccccc2)CC1 ZINC000739807783 600302012 /nfs/dbraw/zinc/30/20/12/600302012.db2.gz WIIHBUJDGDDVPX-OAHLLOKOSA-N -1 1 335.404 -0.072 20 0 EBADMM O=C([O-])N[C@H](Cc1ccccc1)C(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000740538793 602500784 /nfs/dbraw/zinc/50/07/84/602500784.db2.gz YXQUMEDVBCHLJM-VXGBXAGGSA-N -1 1 346.347 -0.022 20 0 EBADMM CSCC[C@H](NC(=O)[O-])C(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000740010392 602501323 /nfs/dbraw/zinc/50/13/23/602501323.db2.gz BZUSCZXTLNDRDO-YUMQZZPRSA-N -1 1 330.370 -0.511 20 0 EBADMM O=C([O-])N1CCOC[C@H]1C(=O)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000740348939 602893519 /nfs/dbraw/zinc/89/35/19/602893519.db2.gz NCXQXXVOSMYGBF-AGIUHOORSA-N -1 1 327.381 -0.577 20 0 EBADMM COCCNC(=O)CN1CCN(C[C@@H]2CCN(C(=O)[O-])C2)CC1 ZINC000739831959 602977929 /nfs/dbraw/zinc/97/79/29/602977929.db2.gz RAHAHYSTCBYXHY-ZDUSSCGKSA-N -1 1 328.413 -0.634 20 0 EBADMM CN(C)CC(=O)N1CCN(C(=O)[C@H]2CCC[C@H]2NC(=O)[O-])CC1 ZINC000739604041 603020158 /nfs/dbraw/zinc/02/01/58/603020158.db2.gz NUGXGPHAGDTXCX-NWDGAFQWSA-N -1 1 326.397 -0.345 20 0 EBADMM O=C(CN1CCN(C(=O)[O-])CC1=O)Nc1nc(-c2ccco2)n[nH]1 ZINC000740371258 603025429 /nfs/dbraw/zinc/02/54/29/603025429.db2.gz UKJGVEHKFGAFAG-UHFFFAOYSA-N -1 1 334.292 -0.175 20 0 EBADMM CN(CC(=O)N[C@H](CNC(=O)[O-])C1CC1)c1ncnc2[nH]cnc21 ZINC000739621493 603035944 /nfs/dbraw/zinc/03/59/44/603035944.db2.gz FYWCOUMDRUQWRL-SECBINFHSA-N -1 1 333.352 -0.049 20 0 EBADMM C[C@@H](Cc1ccco1)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000026881830 649935291 /nfs/dbraw/zinc/93/52/91/649935291.db2.gz JHKIKUKEPBDGEX-VIFPVBQESA-N -1 1 327.362 -0.414 20 0 EBADMM NS(=O)(=O)c1cc(C(=O)N[N-]C(=O)c2cc[nH]n2)ccc1Cl ZINC000056108337 649958992 /nfs/dbraw/zinc/95/89/92/649958992.db2.gz UJFHUNSNVWJAFA-UHFFFAOYSA-N -1 1 343.752 -0.215 20 0 EBADMM Cn1c(CCNC(=O)[C@H]2CCCCN2S(C)(=O)=O)n[n-]c1=S ZINC000067051767 649965042 /nfs/dbraw/zinc/96/50/42/649965042.db2.gz NZFKNCYUWAPYQI-SECBINFHSA-N -1 1 347.466 -0.050 20 0 EBADMM CC[C@H](O)CN1CCN(C(=O)c2ccc(S(=O)(=O)[N-]C)o2)CC1 ZINC000071881125 649969946 /nfs/dbraw/zinc/96/99/46/649969946.db2.gz PYSIBYVKNFGPAK-NSHDSACASA-N -1 1 345.421 -0.284 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)c2ccc(=O)n(C)n2)cc1 ZINC000107679472 649974070 /nfs/dbraw/zinc/97/40/70/649974070.db2.gz HLYYSNCRVOUEEQ-UHFFFAOYSA-N -1 1 345.311 -0.098 20 0 EBADMM Cn1cc(N2C[C@H](C(=O)NC3(c4nn[n-]n4)CCCC3)CC2=O)cn1 ZINC000625706369 650024050 /nfs/dbraw/zinc/02/40/50/650024050.db2.gz DHYZZUJIHNJYPH-SNVBAGLBSA-N -1 1 344.379 -0.128 20 0 EBADMM CN1C(=O)CS/C1=C/C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907481968 650083651 /nfs/dbraw/zinc/08/36/51/650083651.db2.gz ZYCPTBZQRLNICL-JTACMZLOSA-N -1 1 326.407 -0.137 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CC(=O)N(C(C)C)C1=O ZINC000912540710 650206811 /nfs/dbraw/zinc/20/68/11/650206811.db2.gz BTFBXQWCZGIDPT-MRVPVSSYSA-N -1 1 344.349 -0.990 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCNC(=O)OC(C)(C)C ZINC000912534676 650206823 /nfs/dbraw/zinc/20/68/23/650206823.db2.gz OAMOTAZCMSHWRY-UHFFFAOYSA-N -1 1 348.381 -0.001 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCc2nc(C)nn2C1 ZINC000912537794 650207093 /nfs/dbraw/zinc/20/70/93/650207093.db2.gz LFSHKSAYHMELKA-QMMMGPOBSA-N -1 1 340.365 -0.610 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCN1CCOCC1(C)C ZINC000912538261 650207148 /nfs/dbraw/zinc/20/71/48/650207148.db2.gz PESGXPUJLBKBEY-UHFFFAOYSA-N -1 1 346.409 -0.415 20 0 EBADMM CCNC(=O)N1CC[C@H]([N-]S(=O)(=O)c2n[nH]cc2C(=O)OC)C1 ZINC000912543292 650207776 /nfs/dbraw/zinc/20/77/76/650207776.db2.gz VJUOEUHEWKKVEC-QMMMGPOBSA-N -1 1 345.381 -0.722 20 0 EBADMM CCO[C@@H]1C[C@@H]([N-]S(=O)(=O)c2n[nH]cc2C(=O)OC)[C@@H]1OC ZINC000912548261 650208113 /nfs/dbraw/zinc/20/81/13/650208113.db2.gz UTTCNXGMYCWCGI-BBBLOLIVSA-N -1 1 333.366 -0.333 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](CO)C[C@H](O)C(C)(C)C ZINC000912548980 650208144 /nfs/dbraw/zinc/20/81/44/650208144.db2.gz BBVWHYDDQGHFHY-SCZZXKLOSA-N -1 1 349.409 -0.367 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)c1nccc(N)n1 ZINC000912553109 650208345 /nfs/dbraw/zinc/20/83/45/650208345.db2.gz IQILAZWRNNMAHV-LURJTMIESA-N -1 1 326.338 -0.392 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)C1N=NC(=O)N1C ZINC000912555257 650208506 /nfs/dbraw/zinc/20/85/06/650208506.db2.gz BAJSVRYTSPLGSB-YFKPBYRVSA-N -1 1 330.326 -0.930 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCCC12OCCO2 ZINC000912552691 650208632 /nfs/dbraw/zinc/20/86/32/650208632.db2.gz DDYYLNHDNNBPIS-VIFPVBQESA-N -1 1 331.350 -0.230 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1c(C)nnn1CC ZINC000912556863 650208835 /nfs/dbraw/zinc/20/88/35/650208835.db2.gz PPPSCEDDXQTLKG-UHFFFAOYSA-N -1 1 342.381 -0.015 20 0 EBADMM O=C(CN1CSCCSC1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913499405 650236017 /nfs/dbraw/zinc/23/60/17/650236017.db2.gz PIGLBDOVQNBUSG-SECBINFHSA-N -1 1 330.439 -0.203 20 0 EBADMM CO[N-]C(=O)CNC(=O)N[C@H](CN1CCN(C)CC1)c1ccccc1 ZINC000916522760 650274415 /nfs/dbraw/zinc/27/44/15/650274415.db2.gz WJDIQFSMCJPOFS-OAHLLOKOSA-N -1 1 349.435 -0.048 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)CS(C)(=O)=O)cc1 ZINC000920595981 650313675 /nfs/dbraw/zinc/31/36/75/650313675.db2.gz PJRJRHDVZXARAX-UHFFFAOYSA-N -1 1 329.330 -0.461 20 0 EBADMM COc1cccc(S([O-])=CC(=O)NC[C@@H]2C[C@H](O)CN2C)c1 ZINC000924976605 650369843 /nfs/dbraw/zinc/36/98/43/650369843.db2.gz MXOUWIWFOTXTIF-YEZLUTFDSA-N -1 1 326.418 -0.016 20 0 EBADMM CN(C(=O)[C@@H]1CCC(=O)NC1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937217875 651686062 /nfs/dbraw/zinc/68/60/62/651686062.db2.gz YUIVFJDDJIBFDB-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)[C@H]1CN(C)C(=O)N1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937217867 651686226 /nfs/dbraw/zinc/68/62/26/651686226.db2.gz YNWWVDNFJMBKHQ-GHMZBOCLSA-N -1 1 347.375 -0.516 20 0 EBADMM CN(C(=O)[C@@H]1COC(=O)N1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937221119 651686730 /nfs/dbraw/zinc/68/67/30/651686730.db2.gz XYPMLTBBJBRQEF-ZJUUUORDSA-N -1 1 334.332 -0.432 20 0 EBADMM CN(C(=O)Cn1nccn1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937220753 651687301 /nfs/dbraw/zinc/68/73/01/651687301.db2.gz UPFYJQRCDVVYPI-LLVKDONJSA-N -1 1 330.348 -0.248 20 0 EBADMM O=C(NCCc1c(F)cc([O-])cc1F)C(=O)N1CCNC(=O)C1 ZINC000933102416 651713344 /nfs/dbraw/zinc/71/33/44/651713344.db2.gz FXIQKSOFWCCONP-UHFFFAOYSA-N -1 1 327.287 -0.713 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)[C@H]1CCN(C(=O)CC2CC2)C1 ZINC000936366447 651776788 /nfs/dbraw/zinc/77/67/88/651776788.db2.gz FEUFXDOPCKRXNM-NSHDSACASA-N -1 1 344.375 -0.109 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)Cn2cnnn2)C1 ZINC000936546547 651845724 /nfs/dbraw/zinc/84/57/24/651845724.db2.gz GNOLGYKRKZSCPA-JTQLQIEISA-N -1 1 331.336 -0.853 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H](NC(=O)c2ccn[nH]2)C1 ZINC000937696898 651880369 /nfs/dbraw/zinc/88/03/69/651880369.db2.gz BPGGFPPWTAYVEO-ZETCQYMHSA-N -1 1 348.319 -0.736 20 0 EBADMM CC1(C)C[C@H]1C(=O)N[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000937839994 651941377 /nfs/dbraw/zinc/94/13/77/651941377.db2.gz ZVBTZJROAMDDKC-RYUDHWBXSA-N -1 1 348.403 -0.310 20 0 EBADMM CC1(C)C[C@H]1C(=O)N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000937845300 651942015 /nfs/dbraw/zinc/94/20/15/651942015.db2.gz SSALJEBFMJPMMB-UWVGGRQHSA-N -1 1 344.375 -0.206 20 0 EBADMM Cn1cc(OCC(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)cn1 ZINC000937860384 651957272 /nfs/dbraw/zinc/95/72/72/651957272.db2.gz HYZXBOPITHEBDF-NSHDSACASA-N -1 1 345.359 -0.070 20 0 EBADMM O=C(CCN1CCOCC1)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937861186 651958229 /nfs/dbraw/zinc/95/82/29/651958229.db2.gz PLWFFWXUYXBQTN-ZDUSSCGKSA-N -1 1 348.403 -0.160 20 0 EBADMM C[C@@H]1CN(C)C[C@H](C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)O1 ZINC000937864784 651960118 /nfs/dbraw/zinc/96/01/18/651960118.db2.gz XIQXJPXFLHKZFT-MBNYWOFBSA-N -1 1 348.403 -0.163 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H](NC(=O)c2ncc[nH]2)C1 ZINC000937915076 651991066 /nfs/dbraw/zinc/99/10/66/651991066.db2.gz RMSNQXFQSYMAMC-ZETCQYMHSA-N -1 1 348.319 -0.736 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2nc[nH]n2)C1)c1cnc(C2CC2)[n-]c1=O ZINC000937966071 652015779 /nfs/dbraw/zinc/01/57/79/652015779.db2.gz DWFRAGQTHDQREH-VIFPVBQESA-N -1 1 343.347 -0.178 20 0 EBADMM CN(C(=O)c1cnc([O-])n(C)c1=O)[C@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC000936714409 652045685 /nfs/dbraw/zinc/04/56/85/652045685.db2.gz YWYFXKMVXBMAGN-VIFPVBQESA-N -1 1 346.347 -0.804 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCN(C(=O)C(C)(F)F)C1 ZINC000938312792 652190729 /nfs/dbraw/zinc/19/07/29/652190729.db2.gz KWJPCOCUYNVEPP-LURJTMIESA-N -1 1 346.290 -0.118 20 0 EBADMM C[C@@H](C(=O)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC000938314892 652192875 /nfs/dbraw/zinc/19/28/75/652192875.db2.gz UBWQFBGXSFPOBQ-KOLCDFICSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(CC1CC1)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000938315074 652192890 /nfs/dbraw/zinc/19/28/90/652192890.db2.gz ZDQSDRIGOMNWBN-JTQLQIEISA-N -1 1 330.348 -0.452 20 0 EBADMM CC1(C(=O)N2CC[C@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)CCC1 ZINC000938315064 652193015 /nfs/dbraw/zinc/19/30/15/652193015.db2.gz YPIUEMNFZYBPKR-JTQLQIEISA-N -1 1 344.375 -0.062 20 0 EBADMM NC(=O)c1c[nH]c(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)c1 ZINC000938538514 652305955 /nfs/dbraw/zinc/30/59/55/652305955.db2.gz HTSHRSMQWGTQFQ-JTQLQIEISA-N -1 1 343.343 -0.141 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)Cn2ncnn2)C1 ZINC000938562942 652324434 /nfs/dbraw/zinc/32/44/34/652324434.db2.gz ZRIXPTUFDPRDQU-SNVBAGLBSA-N -1 1 331.336 -0.853 20 0 EBADMM CCN1CCOC[C@@H]1C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000941341421 652680247 /nfs/dbraw/zinc/68/02/47/652680247.db2.gz IKKDEKREKUVHLJ-CHWSQXEVSA-N -1 1 348.403 -0.161 20 0 EBADMM O=C(c1ncccc1[O-])N1CCN(C2CN([C@H]3CCNC3=O)C2)CC1 ZINC000941387737 652709276 /nfs/dbraw/zinc/70/92/76/652709276.db2.gz NAIBNAWAXAFHMB-ZDUSSCGKSA-N -1 1 345.403 -0.882 20 0 EBADMM Cn1[n-]c(CN2CC(N3CCN(C(=O)CC4(C)CC4)CC3)C2)nc1=O ZINC000941490928 652768494 /nfs/dbraw/zinc/76/84/94/652768494.db2.gz IGILLBMVOPCZMS-UHFFFAOYSA-N -1 1 348.451 -0.373 20 0 EBADMM O=C(C[C@H]1CCC(=O)N1)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000941500866 652773159 /nfs/dbraw/zinc/77/31/59/652773159.db2.gz VQKVUFMTARTKAI-GHMZBOCLSA-N -1 1 332.360 -0.213 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1)c1cnccn1 ZINC000944463254 652775340 /nfs/dbraw/zinc/77/53/40/652775340.db2.gz SLIUFXZOVQLTJR-LLVKDONJSA-N -1 1 343.343 -0.152 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)[C@H]1CC12CC2 ZINC000938972903 652788091 /nfs/dbraw/zinc/78/80/91/652788091.db2.gz BXQMRULRNILPTB-VHSXEESVSA-N -1 1 342.359 -0.452 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1)c1cnns1 ZINC000944498660 652802422 /nfs/dbraw/zinc/80/24/22/652802422.db2.gz MXTNESCGJAVQHY-SECBINFHSA-N -1 1 349.372 -0.090 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1)[C@@H]1CCOC1 ZINC000944503256 652807483 /nfs/dbraw/zinc/80/74/83/652807483.db2.gz AOQQZGIOJIYVFQ-VXGBXAGGSA-N -1 1 335.360 -0.219 20 0 EBADMM Cn1[n-]c(CN2CC(N3CCN(C(=O)[C@@H]4CC45CC5)CC3)C2)nc1=O ZINC000941586466 652818046 /nfs/dbraw/zinc/81/80/46/652818046.db2.gz WXZBGWHHTASAKY-ZDUSSCGKSA-N -1 1 346.435 -0.763 20 0 EBADMM Cn1nccc1C(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC000944514191 652821441 /nfs/dbraw/zinc/82/14/41/652821441.db2.gz VATMXORDFBKRBZ-NSHDSACASA-N -1 1 345.359 -0.208 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1C ZINC000939065688 652822066 /nfs/dbraw/zinc/82/20/66/652822066.db2.gz ZOPCGQWSHOHHPR-QNWHQSFQSA-N -1 1 348.403 -0.454 20 0 EBADMM CO[C@@H]1CN(C(=O)Cc2ccon2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941600654 652825779 /nfs/dbraw/zinc/82/57/79/652825779.db2.gz FOUCAGNYCYXJME-DGCLKSJQSA-N -1 1 346.343 -0.027 20 0 EBADMM CO[C@@H]1CN(C(=O)[C@@H]2CCC(=O)N2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941602649 652826590 /nfs/dbraw/zinc/82/65/90/652826590.db2.gz GVZSPWUAEPLPSP-HOSYDEDBSA-N -1 1 348.359 -0.979 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccn2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941602637 652826768 /nfs/dbraw/zinc/82/67/68/652826768.db2.gz GLUJRLRWSGMEIH-ZYHUDNBSSA-N -1 1 343.343 -0.153 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ccncn2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941602461 652827082 /nfs/dbraw/zinc/82/70/82/652827082.db2.gz BKGMREJDIVTVRQ-DGCLKSJQSA-N -1 1 343.343 -0.153 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ccnnc2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941604257 652827564 /nfs/dbraw/zinc/82/75/64/652827564.db2.gz AVZZDUDGCFYIAS-DGCLKSJQSA-N -1 1 343.343 -0.153 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@@H]2CCN(C)C2=O)C1 ZINC000939157946 652849086 /nfs/dbraw/zinc/84/90/86/652849086.db2.gz XKCAWVANEUITEG-NWDGAFQWSA-N -1 1 346.387 -0.062 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)[C@]23C[C@H]2COC3)CCO1)c1ncccc1[O-] ZINC000944559821 652859274 /nfs/dbraw/zinc/85/92/74/652859274.db2.gz FJDVHCWIOFFSAD-PRXAMGSTSA-N -1 1 347.371 -0.219 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCN(C(=O)C(C)(C)F)C1 ZINC000941662771 652863003 /nfs/dbraw/zinc/86/30/03/652863003.db2.gz HHAHLGROZDFTOG-SSDOTTSWSA-N -1 1 342.327 -0.025 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)[C@@H]2CCC(=O)N2)CCO1)c1ncccc1[O-] ZINC000944564253 652863020 /nfs/dbraw/zinc/86/30/20/652863020.db2.gz JBWADEPSAHXZHX-QWRGUYRKSA-N -1 1 348.359 -0.977 20 0 EBADMM NC(=O)C1(C(=O)N2CCO[C@@H](CNC(=O)c3ncccc3[O-])C2)CC1 ZINC000944565562 652864757 /nfs/dbraw/zinc/86/47/57/652864757.db2.gz WCPQVJLSTUSMRY-JTQLQIEISA-N -1 1 348.359 -0.990 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCN(C(=O)c2cc[nH]c2)C1 ZINC000941663285 652864887 /nfs/dbraw/zinc/86/48/87/652864887.db2.gz OAYXXVHNQIGYEA-SECBINFHSA-N -1 1 347.331 -0.131 20 0 EBADMM CC1CC(C(=O)N2CC[C@@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)C1 ZINC000941663892 652865320 /nfs/dbraw/zinc/86/53/20/652865320.db2.gz JGKYTYROAFKPFL-VQXHTEKXSA-N -1 1 344.375 -0.206 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2C)C1 ZINC000941663612 652865902 /nfs/dbraw/zinc/86/59/02/652865902.db2.gz WFYWBDSGEXWIMV-IWSPIJDZSA-N -1 1 336.348 -0.117 20 0 EBADMM O=C(C=C1CCC1)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000941664301 652866986 /nfs/dbraw/zinc/86/69/86/652866986.db2.gz RLPMOKVIZBITGW-LLVKDONJSA-N -1 1 342.359 -0.141 20 0 EBADMM Cn1nncc1C(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC000944579777 652878954 /nfs/dbraw/zinc/87/89/54/652878954.db2.gz NQMFUGWEIYLNEK-JTQLQIEISA-N -1 1 346.347 -0.813 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1cnccn1 ZINC000941763754 652903335 /nfs/dbraw/zinc/90/33/35/652903335.db2.gz HRYCHEMZCLXWHJ-QWRGUYRKSA-N -1 1 331.380 -0.461 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H](NC(=O)c2cnco2)C1 ZINC000941766119 652904541 /nfs/dbraw/zinc/90/45/41/652904541.db2.gz HPLMDAJTLLTPJR-SSDOTTSWSA-N -1 1 349.303 -0.471 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1cnsn1 ZINC000941837552 652927124 /nfs/dbraw/zinc/92/71/24/652927124.db2.gz UUJUXOFDXSVUSV-RKDXNWHRSA-N -1 1 337.409 -0.400 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1ccoc1 ZINC000939408385 652927449 /nfs/dbraw/zinc/92/74/49/652927449.db2.gz MFTKIXSTAJMNTR-SNVBAGLBSA-N -1 1 342.315 -0.345 20 0 EBADMM CC(C)n1cc(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)nn1 ZINC000939424133 652931486 /nfs/dbraw/zinc/93/14/86/652931486.db2.gz UVSPFTSTMYXPDQ-NXEZZACHSA-N -1 1 334.384 -0.067 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)n(C)n1 ZINC000941870280 652936196 /nfs/dbraw/zinc/93/61/96/652936196.db2.gz UENZMLCCKQFNOK-PWSUYJOCSA-N -1 1 347.423 -0.209 20 0 EBADMM Cc1nonc1C(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC000944652452 652937754 /nfs/dbraw/zinc/93/77/54/652937754.db2.gz GUGZOZFXPXTQSZ-JTQLQIEISA-N -1 1 347.331 -0.250 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)Cc1cnn(C)c1 ZINC000941881256 652939964 /nfs/dbraw/zinc/93/99/64/652939964.db2.gz GZJIRHSSWFBDRM-DGCLKSJQSA-N -1 1 347.423 -0.589 20 0 EBADMM CCn1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)cn1 ZINC000941900434 652945760 /nfs/dbraw/zinc/94/57/60/652945760.db2.gz SVMOWZKUWKXOKE-DGCLKSJQSA-N -1 1 347.423 -0.035 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1nccnc1N ZINC000941903946 652946941 /nfs/dbraw/zinc/94/69/41/652946941.db2.gz HYNZPMNHODEZQG-NXEZZACHSA-N -1 1 346.395 -0.879 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H](NC(=O)c2cn[nH]c2)C1 ZINC000939543151 653003953 /nfs/dbraw/zinc/00/39/53/653003953.db2.gz SYUUTJJXOJQUIZ-MRVPVSSYSA-N -1 1 348.319 -0.736 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1cn(C)cn1 ZINC000941950772 653005858 /nfs/dbraw/zinc/00/58/58/653005858.db2.gz OZIYJHHIVBKOFG-WDEREUQCSA-N -1 1 333.396 -0.518 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)ncn1 ZINC000941965214 653008969 /nfs/dbraw/zinc/00/89/69/653008969.db2.gz WYHPJZFLWNHNHX-ZYHUDNBSSA-N -1 1 345.407 -0.153 20 0 EBADMM CCn1ccnc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC000942010142 653019730 /nfs/dbraw/zinc/01/97/30/653019730.db2.gz UZPQRJZTPCMWSI-VXGBXAGGSA-N -1 1 347.423 -0.035 20 0 EBADMM Cc1nnc(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)o1 ZINC000942024747 653023789 /nfs/dbraw/zinc/02/37/89/653023789.db2.gz MWQTVDJMEFGETG-KOLCDFICSA-N -1 1 349.395 -0.631 20 0 EBADMM C[C@@H]1CN(C(=O)c2noc3c2COCC3)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939793652 653048688 /nfs/dbraw/zinc/04/86/88/653048688.db2.gz SHCCYXZVGGULET-PSASIEDQSA-N -1 1 348.363 -0.179 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)Cc2nnc[nH]2)C1 ZINC000939794988 653048916 /nfs/dbraw/zinc/04/89/16/653048916.db2.gz LBRKVBMSRLLAKF-JTQLQIEISA-N -1 1 330.348 -0.179 20 0 EBADMM Cc1c(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)nnn1C ZINC000939844883 653056434 /nfs/dbraw/zinc/05/64/34/653056434.db2.gz QOZPWTIWSBQLDN-SNVBAGLBSA-N -1 1 330.348 -0.131 20 0 EBADMM Cc1nnccc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1C ZINC000942287837 653074749 /nfs/dbraw/zinc/07/47/49/653074749.db2.gz MMKGJUPRSKAKKD-GXFFZTMASA-N -1 1 345.407 -0.153 20 0 EBADMM C[C@H]1CN(Cc2ccnn2C)CC[C@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC000942301231 653077538 /nfs/dbraw/zinc/07/75/38/653077538.db2.gz CLWIPNWDBQRFCQ-GXSJLCMTSA-N -1 1 347.379 -0.343 20 0 EBADMM Cn1ncc(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)c1N ZINC000940113030 653083134 /nfs/dbraw/zinc/08/31/34/653083134.db2.gz IHIWFYJUNDVUDS-SECBINFHSA-N -1 1 330.348 -0.253 20 0 EBADMM Nc1nccnc1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940132160 653085160 /nfs/dbraw/zinc/08/51/60/653085160.db2.gz OWDYJDHHGROFON-SECBINFHSA-N -1 1 328.332 -0.196 20 0 EBADMM O=C(Cn1ccccc1=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940138328 653085360 /nfs/dbraw/zinc/08/53/60/653085360.db2.gz CUKRRIOYOHYTCT-GFCCVEGCSA-N -1 1 342.355 -0.020 20 0 EBADMM Cc1n[nH]cc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC000942545599 653137491 /nfs/dbraw/zinc/13/74/91/653137491.db2.gz MKDVLFLNQWOPNG-SKDRFNHKSA-N -1 1 333.396 -0.220 20 0 EBADMM O=C(Cn1ncnn1)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000946535655 653144734 /nfs/dbraw/zinc/14/47/34/653144734.db2.gz GJQVLLXTXQXTMM-UHFFFAOYSA-N -1 1 331.336 -0.805 20 0 EBADMM O=C(Cn1cnnn1)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000946533592 653144854 /nfs/dbraw/zinc/14/48/54/653144854.db2.gz ZSGZVQLHYHSLPZ-UHFFFAOYSA-N -1 1 331.336 -0.805 20 0 EBADMM CN1CC[C@@H](C(=O)NC2CCN(C(=O)c3ncccc3[O-])CC2)C1=O ZINC000946538886 653146616 /nfs/dbraw/zinc/14/66/16/653146616.db2.gz UCGLUXDXAFBRKZ-LBPRGKRZSA-N -1 1 346.387 -0.014 20 0 EBADMM CN1C[C@H](C(=O)NC2CCN(C(=O)c3ncccc3[O-])CC2)CC1=O ZINC000946538837 653146882 /nfs/dbraw/zinc/14/68/82/653146882.db2.gz RRCZOMRMTUXWPA-LLVKDONJSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC000942565825 653150569 /nfs/dbraw/zinc/15/05/69/653150569.db2.gz RORUOEIBISVGMQ-YCGPCKTQSA-N -1 1 335.408 -0.673 20 0 EBADMM O=C(NC1CCN(C(=O)[C@@H]2COC(=O)N2)CC1)c1ncccc1[O-] ZINC000946619519 653169774 /nfs/dbraw/zinc/16/97/74/653169774.db2.gz BCTJBNAMPMYZLI-JTQLQIEISA-N -1 1 334.332 -0.384 20 0 EBADMM CCN(C(=O)c1ccn[nH]1)[C@@H]1CCN(C(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC000942685460 653176669 /nfs/dbraw/zinc/17/66/69/653176669.db2.gz XMXYQLHQFKSKHJ-SECBINFHSA-N -1 1 349.351 -0.824 20 0 EBADMM CCN(C(=O)[C@@H]1COC(=O)N1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000942766606 653200792 /nfs/dbraw/zinc/20/07/92/653200792.db2.gz BVSIPUGJNBOITG-QWRGUYRKSA-N -1 1 348.359 -0.041 20 0 EBADMM CCN(C(=O)c1cnc(C2CC2)[n-]c1=O)[C@H]1CCN(C(=O)C(N)=O)C1 ZINC000942797781 653215515 /nfs/dbraw/zinc/21/55/15/653215515.db2.gz LWUGNRBUFCFNFE-JTQLQIEISA-N -1 1 347.375 -0.392 20 0 EBADMM Cn1nncc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000940721041 653268476 /nfs/dbraw/zinc/26/84/76/653268476.db2.gz LRTFZLVSIPWCTM-LLVKDONJSA-N -1 1 348.411 -0.733 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)C2=COCCO2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947005982 653290636 /nfs/dbraw/zinc/29/06/36/653290636.db2.gz XTOXCUBBJYPVPU-MNOVXSKESA-N -1 1 337.380 -0.534 20 0 EBADMM Cn1nncc1C(=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940832464 653298080 /nfs/dbraw/zinc/29/80/80/653298080.db2.gz IYAADRONUSUGJZ-UHFFFAOYSA-N -1 1 330.348 -0.096 20 0 EBADMM O=C(c1ncccc1[O-])N1CCCN(C(=O)[C@H]2CCCNC2=O)CC1 ZINC000940833216 653298430 /nfs/dbraw/zinc/29/84/30/653298430.db2.gz XQFXHDPKWDDQOB-LBPRGKRZSA-N -1 1 346.387 -0.012 20 0 EBADMM NC(=O)[C@@H]1CC[C@H]1C(=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940832157 653298475 /nfs/dbraw/zinc/29/84/75/653298475.db2.gz YLLZDOJJMGSMKA-VXGBXAGGSA-N -1 1 346.387 -0.027 20 0 EBADMM O=C(NC1CCN(C(=O)C(F)F)CC1)c1cc(=O)n2[n-]cnc2n1 ZINC000943199430 653308730 /nfs/dbraw/zinc/30/87/30/653308730.db2.gz MTDVCVNIPRUQHY-UHFFFAOYSA-N -1 1 340.290 -0.597 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H](C)C2)[nH]n1 ZINC000947104016 653314533 /nfs/dbraw/zinc/31/45/33/653314533.db2.gz OKGULPMKRNAETK-GHMZBOCLSA-N -1 1 333.396 -0.077 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2cncnc2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947231649 653343376 /nfs/dbraw/zinc/34/33/76/653343376.db2.gz NMPRLOQZAZJXEU-JQWIXIFHSA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2cn(C)nn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947262239 653351137 /nfs/dbraw/zinc/35/11/37/653351137.db2.gz UHYTZSHYPHUZJD-UWVGGRQHSA-N -1 1 334.384 -0.980 20 0 EBADMM CN1C[C@@H](C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)CCC1=O ZINC000940977959 653362068 /nfs/dbraw/zinc/36/20/68/653362068.db2.gz SHECUPUBMOAMNM-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM Cc1cn(C)nc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H](C)C1 ZINC000947306880 653362686 /nfs/dbraw/zinc/36/26/86/653362686.db2.gz QVOBOGVEKDPFDW-NWDGAFQWSA-N -1 1 347.423 -0.067 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N[C@@H]1CCCCN(C(=O)c2ccn[nH]2)C1 ZINC000943408960 653375603 /nfs/dbraw/zinc/37/56/03/653375603.db2.gz MWGUTUJBFSIFGV-SECBINFHSA-N -1 1 349.351 -0.777 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1cnccn1 ZINC000941066636 653400648 /nfs/dbraw/zinc/40/06/48/653400648.db2.gz YUTKEUDVSODYGT-DGCLKSJQSA-N -1 1 343.343 -0.153 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)NC1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000947498113 653415392 /nfs/dbraw/zinc/41/53/92/653415392.db2.gz SFZPDTGKAZDCMF-KOLCDFICSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CCN1Cc1cncn1C ZINC000947506151 653419147 /nfs/dbraw/zinc/41/91/47/653419147.db2.gz KSXSUSYWVINLRW-NXEZZACHSA-N -1 1 347.379 -0.201 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ncccn3)C(C)(C)C2)nc1=O ZINC000941118843 653421187 /nfs/dbraw/zinc/42/11/87/653421187.db2.gz YPYUFMMVKZKDQF-LLVKDONJSA-N -1 1 345.407 -0.071 20 0 EBADMM COCC(=O)N1CCC(NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)CC1 ZINC000943542634 653421848 /nfs/dbraw/zinc/42/18/48/653421848.db2.gz XMGVUAWSESOACV-UHFFFAOYSA-N -1 1 340.336 -0.736 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)Cc3ncc[nH]3)C(C)(C)C2)nc1=O ZINC000941126513 653423701 /nfs/dbraw/zinc/42/37/01/653423701.db2.gz MOBSGZMQYYFIGH-NSHDSACASA-N -1 1 347.423 -0.209 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2c[nH]c(=O)n2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947614998 653439504 /nfs/dbraw/zinc/43/95/04/653439504.db2.gz CFMKNJXCXVIPDF-ZJUUUORDSA-N -1 1 349.395 -0.670 20 0 EBADMM O=C(NC1CCN(C(=O)[C@@H]2CCC(=O)N2)CC1)c1ncccc1[O-] ZINC000947633661 653443058 /nfs/dbraw/zinc/44/30/58/653443058.db2.gz AOZBAJDRNISBSG-NSHDSACASA-N -1 1 332.360 -0.213 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947634159 653444279 /nfs/dbraw/zinc/44/42/79/653444279.db2.gz NNGOUUPAKLDBAS-MOWSAHLDSA-N -1 1 335.408 -0.530 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947634162 653444466 /nfs/dbraw/zinc/44/44/66/653444466.db2.gz NNGOUUPAKLDBAS-ZXPJVPCYSA-N -1 1 335.408 -0.530 20 0 EBADMM O=C(NC[C@H]1CCCN1C(=O)C(F)F)c1cc(=O)n2[n-]cnc2n1 ZINC000943804277 653475940 /nfs/dbraw/zinc/47/59/40/653475940.db2.gz ZPSCGCRIVUDUJP-SSDOTTSWSA-N -1 1 340.290 -0.597 20 0 EBADMM CC(C)=CC(=O)N1CCN(C2CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC000941288692 653484869 /nfs/dbraw/zinc/48/48/69/653484869.db2.gz BETDWUOWRIJBHD-UHFFFAOYSA-N -1 1 334.424 -0.597 20 0 EBADMM CO[C@@H](C)C(=O)N1C[C@@H](C)[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000947803272 653485603 /nfs/dbraw/zinc/48/56/03/653485603.db2.gz WNWNQCURYIELDE-WCABBAIRSA-N -1 1 348.363 -0.971 20 0 EBADMM CC1(C(=O)N2CCCN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC2)CC1 ZINC000941294197 653487443 /nfs/dbraw/zinc/48/74/43/653487443.db2.gz QOFAVSQSDZXIAC-UHFFFAOYSA-N -1 1 344.375 -0.108 20 0 EBADMM Cc1ncc(C(=O)N2CCC[C@@H]2CNC(=O)c2n[nH]c(=O)[n-]c2=O)[nH]1 ZINC000943912620 653491748 /nfs/dbraw/zinc/49/17/48/653491748.db2.gz MZKIUVVRBKPVRA-MRVPVSSYSA-N -1 1 347.335 -0.651 20 0 EBADMM CN1CCO[C@@H](C(=O)NC[C@H]2CCCN2C(=O)c2ncccc2[O-])C1 ZINC000943977152 653501231 /nfs/dbraw/zinc/50/12/31/653501231.db2.gz VCRQPBDVJXYYKW-TZMCWYRMSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@H]1CCC(=O)NC1 ZINC000948630042 653564196 /nfs/dbraw/zinc/56/41/96/653564196.db2.gz IKLBAKCKJMXYKX-GRYCIOLGSA-N -1 1 346.387 -0.110 20 0 EBADMM CC[C@H]1OCCC[C@@H]1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000948703917 653567577 /nfs/dbraw/zinc/56/75/77/653567577.db2.gz XCLIXZYZUBREJC-QWHCGFSZSA-N -1 1 337.424 -0.042 20 0 EBADMM O=C(Cn1cnnn1)N1CCC(CNC(=O)c2ncccc2[O-])CC1 ZINC000948758213 653571149 /nfs/dbraw/zinc/57/11/49/653571149.db2.gz ZXGAIGIBUDRGHX-UHFFFAOYSA-N -1 1 345.363 -0.558 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3snnc3C3CC3)CC2)nc1=O ZINC000948878281 653579074 /nfs/dbraw/zinc/57/90/74/653579074.db2.gz OXFNVHPVEFFGFM-UHFFFAOYSA-N -1 1 349.420 -0.205 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cccc(C(N)=O)c3)CC2)nc1=O ZINC000948915081 653581912 /nfs/dbraw/zinc/58/19/12/653581912.db2.gz DQETYVUXFFAINL-UHFFFAOYSA-N -1 1 344.375 -0.835 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)[C@@H]3CCc4nccn4C3)CC2)nc1=O ZINC000948939882 653583577 /nfs/dbraw/zinc/58/35/77/653583577.db2.gz VEOUXDMVAWTLNV-GFCCVEGCSA-N -1 1 345.407 -0.788 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCN(CCC2CCOCC2)CC1 ZINC000949111088 653595550 /nfs/dbraw/zinc/59/55/50/653595550.db2.gz FFZCQLBEPNQLBC-UHFFFAOYSA-N -1 1 337.380 -0.143 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1)C1CC=CC1 ZINC000949187114 653825688 /nfs/dbraw/zinc/82/56/88/653825688.db2.gz ARJLGNUGAWGTPJ-LLVKDONJSA-N -1 1 342.359 -0.286 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3ccn4ccnc4c3)CC2)nc1=O ZINC000949272022 653852849 /nfs/dbraw/zinc/85/28/49/653852849.db2.gz PZTDYSAZZJUQLJ-UHFFFAOYSA-N -1 1 341.375 -0.286 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cccc4nccn43)CC2)nc1=O ZINC000949274387 653853335 /nfs/dbraw/zinc/85/33/35/653853335.db2.gz HDKNCZXAQQTTPZ-UHFFFAOYSA-N -1 1 341.375 -0.286 20 0 EBADMM CC1(C)C[C@H]1C(=O)NC[C@H]1CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000949457319 653898903 /nfs/dbraw/zinc/89/89/03/653898903.db2.gz QNNIIIHPPVFJPC-NEPJUHHUSA-N -1 1 348.403 -0.310 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)C3(C4CC4)CCOCC3)CC2)nc1=O ZINC000949477708 653901966 /nfs/dbraw/zinc/90/19/66/653901966.db2.gz YKFNMODTNJGENU-UHFFFAOYSA-N -1 1 349.435 -0.041 20 0 EBADMM Cc1c(C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])nnn1C ZINC000949535351 653921878 /nfs/dbraw/zinc/92/18/78/653921878.db2.gz SQQODDFSKOGFJJ-JTQLQIEISA-N -1 1 330.348 -0.131 20 0 EBADMM NC(=O)[C@@H]1CC[C@H](C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])O1 ZINC000949538340 653922828 /nfs/dbraw/zinc/92/28/28/653922828.db2.gz IELHHRMNMFUUHS-ZMLRMANQSA-N -1 1 348.359 -0.849 20 0 EBADMM O=C(CO[C@H]1CCOC1)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949538389 653923231 /nfs/dbraw/zinc/92/32/31/653923231.db2.gz JRWDEACSVLVEQG-RYUDHWBXSA-N -1 1 335.360 -0.077 20 0 EBADMM O=C(Cn1ccccc1=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949539023 653923473 /nfs/dbraw/zinc/92/34/73/653923473.db2.gz WHOJHEWMBQTQSQ-LBPRGKRZSA-N -1 1 342.355 -0.020 20 0 EBADMM C[C@H](C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-])S(C)(=O)=O ZINC000949541601 653923615 /nfs/dbraw/zinc/92/36/15/653923615.db2.gz LQHPXDNYSBHZBD-ZJUUUORDSA-N -1 1 341.389 -0.449 20 0 EBADMM Cc1nn(C)c(C)c1CC(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000949543141 653924669 /nfs/dbraw/zinc/92/46/69/653924669.db2.gz HDFIGMRJUKYRIJ-UHFFFAOYSA-N -1 1 347.423 -0.654 20 0 EBADMM C[C@@H]1CN(C)C[C@H](C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])O1 ZINC000949541807 653924790 /nfs/dbraw/zinc/92/47/90/653924790.db2.gz PUBZTOGDDRQPEL-YRGRVCCFSA-N -1 1 348.403 -0.163 20 0 EBADMM Cc1n[nH]c(=O)c(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c1C ZINC000949586790 653929845 /nfs/dbraw/zinc/92/98/45/653929845.db2.gz QVPVFSAADVBGLU-UHFFFAOYSA-N -1 1 347.379 -0.821 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cnn4cccnc34)CC2)nc1=O ZINC000949593710 653930271 /nfs/dbraw/zinc/93/02/71/653930271.db2.gz HDHMPHUWSIKBJG-UHFFFAOYSA-N -1 1 342.363 -0.891 20 0 EBADMM O=C(N[C@@H]1CCCN(C(=O)C2CCC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000949624957 653939100 /nfs/dbraw/zinc/93/91/00/653939100.db2.gz JZMXTXFUDINHLQ-LLVKDONJSA-N -1 1 344.375 -0.062 20 0 EBADMM CCN(C(=O)c1n[nH]c(C)c1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000949800812 653977918 /nfs/dbraw/zinc/97/79/18/653977918.db2.gz REWJTKWFKJZOEU-UHFFFAOYSA-N -1 1 333.396 -0.205 20 0 EBADMM CCOC1CC(CC(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)C1 ZINC000949804698 653978191 /nfs/dbraw/zinc/97/81/91/653978191.db2.gz XJVTUUNZQJHNIL-UHFFFAOYSA-N -1 1 337.424 -0.042 20 0 EBADMM CCN(C(=O)c1ccc(F)cn1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000949937028 654002735 /nfs/dbraw/zinc/00/27/35/654002735.db2.gz FAYPTZUKDRHMFC-UHFFFAOYSA-N -1 1 334.355 -0.011 20 0 EBADMM CCN(C(=O)c1cnnn1CC)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950030660 654022343 /nfs/dbraw/zinc/02/23/43/654022343.db2.gz QCWAQFCQXMMYMD-UHFFFAOYSA-N -1 1 334.384 -0.934 20 0 EBADMM Cc1ncc(C(=O)N2CCC[C@H](NC(=O)Cn3c(=O)[n-][nH]c3=O)C2)[nH]1 ZINC000950224170 654068741 /nfs/dbraw/zinc/06/87/41/654068741.db2.gz SLGUADZNJGCYHI-VIFPVBQESA-N -1 1 349.351 -0.858 20 0 EBADMM Cn1nnnc1CN1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000951371973 654106093 /nfs/dbraw/zinc/10/60/93/654106093.db2.gz GEEXMDBHEGGDTC-UHFFFAOYSA-N -1 1 344.379 -0.459 20 0 EBADMM CN1C[C@@H](C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])CC1=O ZINC000950400369 654115514 /nfs/dbraw/zinc/11/55/14/654115514.db2.gz CSLWRUBKMLBPCV-QWRGUYRKSA-N -1 1 332.360 -0.404 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N[C@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000951408227 654117987 /nfs/dbraw/zinc/11/79/87/654117987.db2.gz HKJSFKJRAQFRKZ-DCAQKATOSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(CC1CC1)N[C@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000951408983 654118195 /nfs/dbraw/zinc/11/81/95/654118195.db2.gz XHXVIQRADJBNPI-NSHDSACASA-N -1 1 344.375 -0.062 20 0 EBADMM O=C(N[C@@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC000951408971 654118690 /nfs/dbraw/zinc/11/86/90/654118690.db2.gz WYDPFJQBGVTYSE-SNVBAGLBSA-N -1 1 330.348 -0.452 20 0 EBADMM CCOc1cc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)ccn1 ZINC000950411138 654124422 /nfs/dbraw/zinc/12/44/22/654124422.db2.gz KQJBXSNFTJXVDK-UHFFFAOYSA-N -1 1 346.391 -0.140 20 0 EBADMM CN1CCOC[C@H]1C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000950494250 654147540 /nfs/dbraw/zinc/14/75/40/654147540.db2.gz NMCCBVIMLMCYPB-OLZOCXBDSA-N -1 1 348.403 -0.161 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCC[C@@H](NC(=O)C(N)=O)C1 ZINC000951520609 654169857 /nfs/dbraw/zinc/16/98/57/654169857.db2.gz MVYWXABFZWCFFI-SNVBAGLBSA-N -1 1 349.391 -0.180 20 0 EBADMM Cn1nncc1C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950542715 654173222 /nfs/dbraw/zinc/17/32/22/654173222.db2.gz HKIZXGKJEWOJAJ-SNVBAGLBSA-N -1 1 330.348 -0.050 20 0 EBADMM O=C(N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1)[C@@H]1COC(=O)N1 ZINC000950543385 654173644 /nfs/dbraw/zinc/17/36/44/654173644.db2.gz YFGZHPZWPZCOEM-UWVGGRQHSA-N -1 1 334.332 -0.384 20 0 EBADMM COc1cc(=O)[nH]cc1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000950558142 654179021 /nfs/dbraw/zinc/17/90/21/654179021.db2.gz BGDDOLYIZKRGQY-UHFFFAOYSA-N -1 1 348.363 -0.824 20 0 EBADMM NC(=O)N1CCC[C@H]1C(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC000950843007 654283953 /nfs/dbraw/zinc/28/39/53/654283953.db2.gz ZCCVBRQQTKHITP-QWRGUYRKSA-N -1 1 347.375 -0.339 20 0 EBADMM CN1CC[C@@H](C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])CC1=O ZINC000950953400 654325282 /nfs/dbraw/zinc/32/52/82/654325282.db2.gz ZBBWOSAREDDUMA-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM CN1C[C@H](C(=O)N2CCC[C@@H](NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000951070799 654369952 /nfs/dbraw/zinc/36/99/52/654369952.db2.gz VCINIKVKJYXSDF-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM CCN(C(=O)c1cnc([O-])n(C)c1=O)C1CN(Cc2n[nH]c(C)n2)C1 ZINC000951120239 654392053 /nfs/dbraw/zinc/39/20/53/654392053.db2.gz PFUBCYSTVPDPDI-UHFFFAOYSA-N -1 1 347.379 -0.741 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951224433 654425384 /nfs/dbraw/zinc/42/53/84/654425384.db2.gz FEQNORYSNQACTA-AEJSXWLSSA-N -1 1 330.348 -0.596 20 0 EBADMM C/C=C(/C)C(=O)N1CC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951224845 654426123 /nfs/dbraw/zinc/42/61/23/654426123.db2.gz OYMYHOBNIOSFPD-BNFOFFDWSA-N -1 1 330.348 -0.286 20 0 EBADMM O=C(NCC1CCN(C(=O)c2ncccc2[O-])CC1)[C@@H]1CNC(=O)N1 ZINC000952461848 654464091 /nfs/dbraw/zinc/46/40/91/654464091.db2.gz OWNKWOJXIXZVRH-NSHDSACASA-N -1 1 347.375 -0.563 20 0 EBADMM CC(=O)N1CC[C@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)[C@@H]2C1 ZINC000953216108 654544865 /nfs/dbraw/zinc/54/48/65/654544865.db2.gz BKNWMENCTDBQHZ-CMPLNLGQSA-N -1 1 330.348 -0.500 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(N(C)C(=O)C(C)(C)F)C1 ZINC000953513002 654592815 /nfs/dbraw/zinc/59/28/15/654592815.db2.gz MQWFZXTXBONJQY-UHFFFAOYSA-N -1 1 342.327 -0.073 20 0 EBADMM CN(C(=O)c1ccco1)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000953553284 654594590 /nfs/dbraw/zinc/59/45/90/654594590.db2.gz ZRJHCSJRWNMSEQ-UHFFFAOYSA-N -1 1 342.315 -0.393 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(N(C)C(=O)c2cc[nH]n2)C1 ZINC000953771693 654621896 /nfs/dbraw/zinc/62/18/96/654621896.db2.gz WKGNFMZCRKQDRF-UHFFFAOYSA-N -1 1 348.319 -0.784 20 0 EBADMM Cc1cc(C(=O)N(C)C2CN(C(=O)c3cnc([O-])n(C)c3=O)C2)n[nH]1 ZINC000953822661 654635179 /nfs/dbraw/zinc/63/51/79/654635179.db2.gz LBWDKIXVNQULIB-UHFFFAOYSA-N -1 1 346.347 -0.886 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CCN(C(=O)[C@H]2CNC(=O)N2)CC1 ZINC000953888491 654648169 /nfs/dbraw/zinc/64/81/69/654648169.db2.gz RASCVKZTBLCKGW-LLVKDONJSA-N -1 1 347.375 -0.468 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CCN(C(=O)[C@@H]2CNC(=O)N2)CC1 ZINC000953888492 654648334 /nfs/dbraw/zinc/64/83/34/654648334.db2.gz RASCVKZTBLCKGW-NSHDSACASA-N -1 1 347.375 -0.468 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1cnn(C)c1N ZINC000965925410 724541703 /nfs/dbraw/zinc/54/17/03/724541703.db2.gz JXYAOBKMDKGYBY-ONGXEEELSA-N -1 1 348.411 -0.936 20 0 EBADMM CCn1nccc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1C ZINC000965946743 724544921 /nfs/dbraw/zinc/54/49/21/724544921.db2.gz READEKNGWMZBPP-VXGBXAGGSA-N -1 1 347.423 -0.035 20 0 EBADMM CC(C)=CC(=O)N1C[C@@H](C)[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000966114291 724567874 /nfs/dbraw/zinc/56/78/74/724567874.db2.gz WIGINWCITBRKGY-PWSUYJOCSA-N -1 1 344.375 -0.040 20 0 EBADMM Cn1cc(S(=O)(=O)NC[C@@H]2CN3CCC[C@@H]3CO2)cc1C(=O)[O-] ZINC000390115389 719414307 /nfs/dbraw/zinc/41/43/07/719414307.db2.gz VSPPRVLKJGFCMY-GHMZBOCLSA-N -1 1 343.405 -0.135 20 0 EBADMM O=C([O-])N(CC(=O)N1CCO[C@@H](c2nn[nH]n2)C1)C[C@@H]1CCCO1 ZINC000740491534 719420411 /nfs/dbraw/zinc/42/04/11/719420411.db2.gz LZUXLSRYFQWAMY-VHSXEESVSA-N -1 1 340.340 -0.741 20 0 EBADMM Cc1cc(C(=O)N2C[C@H](C)[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC000966223490 724592492 /nfs/dbraw/zinc/59/24/92/724592492.db2.gz QDFFVCANYZKOEL-IMTBSYHQSA-N -1 1 347.335 -0.795 20 0 EBADMM C[C@@H]1CCN(C(=O)C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000936161861 719499305 /nfs/dbraw/zinc/49/93/05/719499305.db2.gz RFZBEIFYTVMUMJ-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CC(=O)NC(=O)C1 ZINC000938275026 719529017 /nfs/dbraw/zinc/52/90/17/719529017.db2.gz RLRPORQHEXURJU-JTQLQIEISA-N -1 1 346.343 -0.829 20 0 EBADMM CN(C(=O)c1cnc(C2CC2)[n-]c1=O)C1CCN(C(=O)C(N)=O)CC1 ZINC000953945358 719548391 /nfs/dbraw/zinc/54/83/91/719548391.db2.gz BHOPODGQQIZSOD-UHFFFAOYSA-N -1 1 347.375 -0.392 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC000954127886 719570499 /nfs/dbraw/zinc/57/04/99/719570499.db2.gz KNXOOLOAWZRWPW-LLVKDONJSA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C(=O)c1cnc(C2CC2)[n-]c1=O)C1CN(C(=O)c2nc[nH]n2)C1 ZINC000954306191 719660410 /nfs/dbraw/zinc/66/04/10/719660410.db2.gz LSRDICITVNROIN-UHFFFAOYSA-N -1 1 343.347 -0.226 20 0 EBADMM CN(C(=O)C1(C)CC1)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000954470995 719735483 /nfs/dbraw/zinc/73/54/83/719735483.db2.gz UYFHXGVIGPVFAH-UHFFFAOYSA-N -1 1 330.348 -0.500 20 0 EBADMM CN(C(=O)[C@@H]1CC(=O)N(C)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954489278 719743609 /nfs/dbraw/zinc/74/36/09/719743609.db2.gz LQJDMCXITALPRH-SNVBAGLBSA-N -1 1 332.360 -0.452 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)c1cnnn1C ZINC000966351092 724620324 /nfs/dbraw/zinc/62/03/24/724620324.db2.gz RDOANPSHYOIWJY-ZJUUUORDSA-N -1 1 330.348 -0.194 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)Cn1nccn1 ZINC000966351955 724620668 /nfs/dbraw/zinc/62/06/68/724620668.db2.gz TZNCAQINHRXPIR-QWRGUYRKSA-N -1 1 330.348 -0.344 20 0 EBADMM CN(C(=O)c1cc(=O)n2nc[n-]c2n1)C1CN(C(=O)/C=C\C2CC2)C1 ZINC000954830448 719925363 /nfs/dbraw/zinc/92/53/63/719925363.db2.gz HERNYJPIJQRQEV-PLNGDYQASA-N -1 1 342.359 -0.333 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CC(N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000954832130 719926635 /nfs/dbraw/zinc/92/66/35/719926635.db2.gz MACNMYKBIJVNEL-WPRPVWTQSA-N -1 1 330.348 -0.644 20 0 EBADMM CC[C@@H](F)C(=O)N1CC(N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000954832790 719927245 /nfs/dbraw/zinc/92/72/45/719927245.db2.gz RFMOWOKSDJRASU-SECBINFHSA-N -1 1 336.327 -0.552 20 0 EBADMM CN(C(=O)c1c[nH]c(=O)cn1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955141368 720068129 /nfs/dbraw/zinc/06/81/29/720068129.db2.gz ZCCDSDZJSLERIQ-UHFFFAOYSA-N -1 1 329.316 -0.533 20 0 EBADMM C[C@H]1C[C@H]1CC(=O)N(C)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000955215112 720094374 /nfs/dbraw/zinc/09/43/74/720094374.db2.gz FUJHEMFUFXGHNW-UWVGGRQHSA-N -1 1 344.375 -0.254 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)C2CC2)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000955744499 720242840 /nfs/dbraw/zinc/24/28/40/720242840.db2.gz CRMCFKBYXLQFCI-KOLCDFICSA-N -1 1 344.375 -0.063 20 0 EBADMM Cc1nc(C(=O)NC[C@@H]2CCCN2C(=O)Cn2c(=O)[n-][nH]c2=O)c[nH]1 ZINC000956129415 720369600 /nfs/dbraw/zinc/36/96/00/720369600.db2.gz FZZIPAXOEWTULG-VIFPVBQESA-N -1 1 349.351 -0.858 20 0 EBADMM C[C@]1(NC(=O)[C@H]2CCC(=O)N2)CCN(C(=O)c2ncccc2[O-])C1 ZINC000956771305 720488192 /nfs/dbraw/zinc/48/81/92/720488192.db2.gz PXCBADOBUXAQOS-HWPZZCPQSA-N -1 1 332.360 -0.213 20 0 EBADMM C[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1NC(=O)C(F)F ZINC000966610023 724697819 /nfs/dbraw/zinc/69/78/19/724697819.db2.gz MJJNZWUCTJUNPX-XPUUQOCRSA-N -1 1 340.290 -0.741 20 0 EBADMM C[C@@H]1CN(C(=O)C2(C(N)=O)CC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966760705 724743847 /nfs/dbraw/zinc/74/38/47/724743847.db2.gz RLILSHZEODALNI-ZJUUUORDSA-N -1 1 332.360 -0.371 20 0 EBADMM C[C@H]1CN(C(=O)C(N)=O)C[C@@H]1NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000966764407 724746414 /nfs/dbraw/zinc/74/64/14/724746414.db2.gz ZVXUZRFTKWBKJT-XVKPBYJWSA-N -1 1 333.348 -0.878 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1cn[nH]c(=O)c1 ZINC000966885160 724775408 /nfs/dbraw/zinc/77/54/08/724775408.db2.gz XWNXRFBCTHKFQF-ONGXEEELSA-N -1 1 347.379 -0.756 20 0 EBADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)[C@@H]2CNC(=O)N2)C1 ZINC000956850378 722119371 /nfs/dbraw/zinc/11/93/71/722119371.db2.gz LDOQPTCRULLIGT-VFZGTOFNSA-N -1 1 333.348 -0.811 20 0 EBADMM CN1CCOC[C@@H]1C(=O)N1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956849292 722119500 /nfs/dbraw/zinc/11/95/00/722119500.db2.gz PKZDPKZCFKDQEX-SJKOYZFVSA-N -1 1 348.403 -0.161 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3c[nH]nc3C3CC3)CC2)nc1=O ZINC000957042869 722146970 /nfs/dbraw/zinc/14/69/70/722146970.db2.gz YJPLOZSHZWRSBB-UHFFFAOYSA-N -1 1 331.380 -0.333 20 0 EBADMM CCN(C(=O)[C@@H]1CCO[C@@H](C)C1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000957049331 722147350 /nfs/dbraw/zinc/14/73/50/722147350.db2.gz KURXHJPXFQTEOL-NWDGAFQWSA-N -1 1 337.424 -0.044 20 0 EBADMM CCN(C(=O)c1cn(C(C)C)nn1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000957053195 722147692 /nfs/dbraw/zinc/14/76/92/722147692.db2.gz CQWXORLZIAXDNX-UHFFFAOYSA-N -1 1 348.411 -0.373 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N[C@]1(C)CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000957273413 722188326 /nfs/dbraw/zinc/18/83/26/722188326.db2.gz BEZSWHGLWDZHSD-XIZWVBILSA-N -1 1 344.375 -0.206 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cc4c([nH]3)CCC4)CC2)nc1=O ZINC000957293174 722190008 /nfs/dbraw/zinc/19/00/08/722190008.db2.gz ICHNPJLIGPGWOU-UHFFFAOYSA-N -1 1 330.392 -0.117 20 0 EBADMM CCOc1ncccc1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000957517878 722219578 /nfs/dbraw/zinc/21/95/78/722219578.db2.gz SXDYDDYMSNHUNC-UHFFFAOYSA-N -1 1 346.391 -0.140 20 0 EBADMM Cc1noc(C)c1CCC(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000957610366 722226802 /nfs/dbraw/zinc/22/68/02/722226802.db2.gz QTBMSLOGDPICCL-UHFFFAOYSA-N -1 1 348.407 -0.010 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)[C@@H]3CCc4c[nH]nc4C3)CC2)nc1=O ZINC000957727661 722236916 /nfs/dbraw/zinc/23/69/16/722236916.db2.gz NRMYKSRCZIQCQL-LLVKDONJSA-N -1 1 345.407 -0.719 20 0 EBADMM CCN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)c2nnn(C)n2)CC1 ZINC000957742345 722237846 /nfs/dbraw/zinc/23/78/46/722237846.db2.gz UBWFYFAYGZHVRG-UHFFFAOYSA-N -1 1 349.399 -0.592 20 0 EBADMM Cn1cc(CCC(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cn1 ZINC000957765835 722239834 /nfs/dbraw/zinc/23/98/34/722239834.db2.gz OTPGQKLAPDNYKV-UHFFFAOYSA-N -1 1 333.396 -0.881 20 0 EBADMM Cc1cccc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c1 ZINC000957830081 722245916 /nfs/dbraw/zinc/24/59/16/722245916.db2.gz FUIBDIIKVIUOTI-KBPBESRZSA-N -1 1 345.403 -0.360 20 0 EBADMM Cc1ccncc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC000957958201 722277470 /nfs/dbraw/zinc/27/74/70/722277470.db2.gz UZXOVFPWJWXAHF-YPMHNXCESA-N -1 1 346.391 -0.965 20 0 EBADMM Cc1cncc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c1 ZINC000957958485 722277587 /nfs/dbraw/zinc/27/75/87/722277587.db2.gz WWBSLHGLPDYLEY-STQMWFEESA-N -1 1 346.391 -0.965 20 0 EBADMM Cn1ccc(CN2C[C@H](O)[C@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC000957991478 722284202 /nfs/dbraw/zinc/28/42/02/722284202.db2.gz SCCVZXRLGAXTND-RISCZKNCSA-N -1 1 331.376 -0.257 20 0 EBADMM Cn1cc(CN2C[C@@H](CNC(=O)c3ncccc3[O-])[C@H](O)C2)nn1 ZINC000957994555 722284792 /nfs/dbraw/zinc/28/47/92/722284792.db2.gz DKOPXAWRSSHLCD-ZWNOBZJWSA-N -1 1 332.364 -0.862 20 0 EBADMM Cn1ncnc1CN1C[C@@H](CNC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000957995615 722285363 /nfs/dbraw/zinc/28/53/63/722285363.db2.gz OCSICANZLLMSOG-ZYHUDNBSSA-N -1 1 332.364 -0.862 20 0 EBADMM Cc1nnc(CN2C[C@H](O)[C@@H](CNC(=O)c3ncccc3[O-])C2)[nH]1 ZINC000957996045 722285427 /nfs/dbraw/zinc/28/54/27/722285427.db2.gz REYMWEBIQGROLS-JQWIXIFHSA-N -1 1 332.364 -0.564 20 0 EBADMM Cc1cc(C[N@@H+]2C[C@H](O)[C@H](CNC(=O)c3cnc[nH]c3=O)C2)ncn1 ZINC000958167992 722317172 /nfs/dbraw/zinc/31/71/72/722317172.db2.gz RSRJZEWYKAYNIJ-RISCZKNCSA-N -1 1 344.375 -0.497 20 0 EBADMM CCc1nocc1C[N@H+]1C[C@H](O)[C@@H](CNC(=O)c2cnc[nH]c2=O)C1 ZINC000958168264 722317402 /nfs/dbraw/zinc/31/74/02/722317402.db2.gz VALKMWOGFQHRCO-HZMBPMFUSA-N -1 1 347.375 -0.045 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(Cc3cc(=O)n4[n-]ccc4n3)C[C@H]21)[C@H]1CCOC1 ZINC000958359331 722352235 /nfs/dbraw/zinc/35/22/35/722352235.db2.gz CEZJBEKKKAXVIA-CNXAATOLSA-N -1 1 343.387 -0.395 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ccnc(F)c2)nc1=O ZINC000958371404 722353710 /nfs/dbraw/zinc/35/37/10/722353710.db2.gz WXGUKPZFAZZPLG-IWIIMEHWSA-N -1 1 332.339 -0.497 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ccc(F)nc2)nc1=O ZINC000958387226 722357507 /nfs/dbraw/zinc/35/75/07/722357507.db2.gz DMHQMGISUGFPPF-IWIIMEHWSA-N -1 1 332.339 -0.497 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)ccn1 ZINC000958507221 722382811 /nfs/dbraw/zinc/38/28/11/722382811.db2.gz MZWCMNAVYSEBOV-QWHCGFSZSA-N -1 1 346.391 -0.965 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2cncc(F)c2)nc1=O ZINC000958526673 722387901 /nfs/dbraw/zinc/38/79/01/722387901.db2.gz WFWMRRAALADLFC-PJXYFTJBSA-N -1 1 332.339 -0.497 20 0 EBADMM CN(C(=O)[C@@H]1CCOC1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958709773 722418947 /nfs/dbraw/zinc/41/89/47/722418947.db2.gz NWDWMPBSYCBWIB-MDZLAQPJSA-N -1 1 335.360 -0.533 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(Cc3cc(=O)n4[n-]ccc4n3)C[C@H]21)c1ncc[nH]1 ZINC000958808305 722595698 /nfs/dbraw/zinc/59/56/98/722595698.db2.gz FXZYNOVJRCIYBD-YABSGUDNSA-N -1 1 339.359 -0.394 20 0 EBADMM Cc1[nH]nc(C(=O)N(C)[C@H]2CN(C(=O)c3ccn[nH]3)C[C@@H]2O)c1[O-] ZINC000958824900 722599933 /nfs/dbraw/zinc/59/99/33/722599933.db2.gz MGKJKVQNNVKSFT-UWVGGRQHSA-N -1 1 334.336 -0.896 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)c2cccnn2)C[C@@H]1O ZINC000958830073 722603891 /nfs/dbraw/zinc/60/38/91/722603891.db2.gz CRYYEBNYAIIHSR-AAEUAGOBSA-N -1 1 343.343 -0.465 20 0 EBADMM Cc1c[nH]c(C(=O)N2C[C@H](O)[C@@H](N(C)C(=O)c3ncccc3[O-])C2)n1 ZINC000958830658 722604422 /nfs/dbraw/zinc/60/44/22/722604422.db2.gz DFQQAGICXFPAIL-JQWIXIFHSA-N -1 1 345.359 -0.224 20 0 EBADMM O=C(c1cnn2ccncc12)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001018973327 728759387 /nfs/dbraw/zinc/75/93/87/728759387.db2.gz ABDJKGFWSNNSPY-VIFPVBQESA-N -1 1 328.336 -0.443 20 0 EBADMM CCC(=O)N1C[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)[C@H](C)C1 ZINC000959299975 722710464 /nfs/dbraw/zinc/71/04/64/722710464.db2.gz ZNCVQICOYWKJTH-NXEZZACHSA-N -1 1 332.364 -0.348 20 0 EBADMM Cn1nncc1C(=O)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001018984661 728763005 /nfs/dbraw/zinc/76/30/05/728763005.db2.gz DZQXPVFXIKZEPK-UHFFFAOYSA-N -1 1 342.359 -0.096 20 0 EBADMM CCC(=O)N1C[C@@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@@H]2C1 ZINC000959769274 722759518 /nfs/dbraw/zinc/75/95/18/722759518.db2.gz PNUHQWHQGAEMSS-AOOOYVTPSA-N -1 1 330.348 -0.642 20 0 EBADMM CCCC(=O)N1C[C@@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@@H]2C1 ZINC000959793679 722761562 /nfs/dbraw/zinc/76/15/62/722761562.db2.gz SGKKROLQAZHLOB-BETUJISGSA-N -1 1 348.403 -0.356 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2cn3c(n2)CCC3)nc1=O ZINC000959959887 722778129 /nfs/dbraw/zinc/77/81/29/722778129.db2.gz WVHVAJTZBNFDLO-MSRIBSCDSA-N -1 1 343.391 -0.889 20 0 EBADMM Cn1nccc1CC(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959979663 722779929 /nfs/dbraw/zinc/77/99/29/722779929.db2.gz CIODPJIQCMXEJL-LBPRGKRZSA-N -1 1 333.396 -0.043 20 0 EBADMM Cn1oc(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)cc1=O ZINC000959979627 722779947 /nfs/dbraw/zinc/77/99/47/722779947.db2.gz ATTWQQADLQUCAE-VIFPVBQESA-N -1 1 336.352 -0.414 20 0 EBADMM C[C@@H]1OCCO[C@@H]1C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000960033364 722784900 /nfs/dbraw/zinc/78/49/00/722784900.db2.gz MVIYBLCXVKAKQK-GVXVVHGQSA-N -1 1 339.396 -0.215 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)cc(C)n1 ZINC000960104692 722793203 /nfs/dbraw/zinc/79/32/03/722793203.db2.gz REMLWZLCTHETPE-NHAGDIPZSA-N -1 1 342.403 -0.020 20 0 EBADMM NC(=O)c1coc(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)c1 ZINC000960135603 722797888 /nfs/dbraw/zinc/79/78/88/722797888.db2.gz YXXBFEZIKJNTOK-JTQLQIEISA-N -1 1 348.363 -0.013 20 0 EBADMM O=C(c1ncc[nH]1)N1C[C@H]2OCCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC000960276153 722813265 /nfs/dbraw/zinc/81/32/65/722813265.db2.gz GHYHOUSJZPYDJP-CMPLNLGQSA-N -1 1 343.343 -0.124 20 0 EBADMM Cc1cnc(C(=O)N2CCC[C@@H]2CN(C)Cc2nc(=O)n(C)[n-]2)cn1 ZINC000960376190 722823968 /nfs/dbraw/zinc/82/39/68/722823968.db2.gz DSLRUSAIZLWVLU-GFCCVEGCSA-N -1 1 345.407 -0.057 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)[C@@H]1CCCOC1 ZINC000960485646 722833340 /nfs/dbraw/zinc/83/33/40/722833340.db2.gz MRDIXEHYYJOLOT-OLZOCXBDSA-N -1 1 337.424 -0.042 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H]2CCC2(F)F)nc1=O ZINC000960491460 722833570 /nfs/dbraw/zinc/83/35/70/722833570.db2.gz XCQACPNIBRWKJJ-QCBRBAQYSA-N -1 1 327.335 -0.300 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)c1cc[nH]c(=O)c1 ZINC000960544519 722837955 /nfs/dbraw/zinc/83/79/55/722837955.db2.gz BCRCKAYFZUPLOH-LBPRGKRZSA-N -1 1 346.391 -0.054 20 0 EBADMM C[C@@H](C(=O)N1CCC[C@@H]1CN(C)Cc1nc(=O)n(C)[n-]1)n1cncn1 ZINC000960583996 722841281 /nfs/dbraw/zinc/84/12/81/722841281.db2.gz QJAKVEIHNCWDFJ-NWDGAFQWSA-N -1 1 348.411 -0.616 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)CCn1ccnn1 ZINC000960595389 722842565 /nfs/dbraw/zinc/84/25/65/722842565.db2.gz FRXJDVIUTKSLIG-LBPRGKRZSA-N -1 1 348.411 -0.787 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2cnn(CCF)c2)nc1=O ZINC000960698560 722854384 /nfs/dbraw/zinc/85/43/84/722854384.db2.gz MQDUFZHNNLPIAI-PJXYFTJBSA-N -1 1 349.370 -0.865 20 0 EBADMM Cn1cc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)cn1 ZINC000961458795 723022250 /nfs/dbraw/zinc/02/22/50/723022250.db2.gz JYZXQEAQQFHLTF-PWSUYJOCSA-N -1 1 331.332 -0.864 20 0 EBADMM Cn1cccc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000961595729 723042793 /nfs/dbraw/zinc/04/27/93/723042793.db2.gz BWKPGKBCKREVEG-MFKMUULPSA-N -1 1 330.344 -0.259 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CC2=CCOCC2)nc1=O ZINC000961669714 723055576 /nfs/dbraw/zinc/05/55/76/723055576.db2.gz RUZBDPQUYKMDAF-JYAVWHMHSA-N -1 1 333.392 -0.608 20 0 EBADMM O=C([C@@H]1CCc2cncn2C1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019061371 728787591 /nfs/dbraw/zinc/78/75/91/728787591.db2.gz ZWXFFQCWXFCKQO-MNOVXSKESA-N -1 1 331.380 -0.340 20 0 EBADMM C[N@H+]1CCC[C@H]1C(=O)N[C@@H]1CN(C(=O)c2ccccc2O)C[C@@H]1O ZINC000961924197 723119292 /nfs/dbraw/zinc/11/92/92/723119292.db2.gz URZWHCFMGVRBIS-IPYPFGDCSA-N -1 1 333.388 -0.212 20 0 EBADMM CCc1oncc1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000961943159 723127387 /nfs/dbraw/zinc/12/73/87/723127387.db2.gz WMRVGUCCKBPBAJ-IWIIMEHWSA-N -1 1 332.364 -0.481 20 0 EBADMM Cc1nc(CNCC2CC(NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)co1 ZINC000962038840 723155830 /nfs/dbraw/zinc/15/58/30/723155830.db2.gz JZOTVXAVTXIIGC-UHFFFAOYSA-N -1 1 334.336 -0.123 20 0 EBADMM C[C@@H](NCC1CC(NC(=O)c2n[nH]c(=O)[n-]c2=O)C1)c1nncn1C ZINC000962039844 723156247 /nfs/dbraw/zinc/15/62/47/723156247.db2.gz PIKYKXGUEGTBJK-AFPNSQJFSA-N -1 1 348.367 -0.730 20 0 EBADMM O=C(c1ncnc2[nH]ccc21)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019092397 728794358 /nfs/dbraw/zinc/79/43/58/728794358.db2.gz IBAZFBNZARSDGT-QMMMGPOBSA-N -1 1 328.336 -0.263 20 0 EBADMM COCc1nc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)co1 ZINC000962162412 723184446 /nfs/dbraw/zinc/18/44/46/723184446.db2.gz GYBAKKZEBKASFQ-WOFXILAISA-N -1 1 348.363 -0.897 20 0 EBADMM CCCc1cc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)no1 ZINC000962182126 723189409 /nfs/dbraw/zinc/18/94/09/723189409.db2.gz YZUZDLQBTNRUDK-YABSGUDNSA-N -1 1 346.391 -0.091 20 0 EBADMM CC(C)(C(N)=O)C(=O)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001019111294 728799885 /nfs/dbraw/zinc/79/98/85/728799885.db2.gz YJGOLOCSQRFSBK-UHFFFAOYSA-N -1 1 346.387 -0.027 20 0 EBADMM CCc1nc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)co1 ZINC000962387396 723237074 /nfs/dbraw/zinc/23/70/74/723237074.db2.gz COHSWPZEVQZVFY-SKDRFNHKSA-N -1 1 346.343 -0.047 20 0 EBADMM Cc1ncoc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC000962412284 723244028 /nfs/dbraw/zinc/24/40/28/723244028.db2.gz ZWNFWNQQLYIPTF-GDGBQDQQSA-N -1 1 334.336 -0.768 20 0 EBADMM CC(C)(C)C(=O)C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962434994 723251865 /nfs/dbraw/zinc/25/18/65/723251865.db2.gz NDBDUAVGCLMBHG-KOLCDFICSA-N -1 1 335.360 -0.296 20 0 EBADMM O=C(Cc1cc[nH]n1)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962435288 723251878 /nfs/dbraw/zinc/25/18/78/723251878.db2.gz OJIKTQACJLRZHE-PWSUYJOCSA-N -1 1 331.332 -0.946 20 0 EBADMM O=C(Cc1ccn[nH]1)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962435288 723251881 /nfs/dbraw/zinc/25/18/81/723251881.db2.gz OJIKTQACJLRZHE-PWSUYJOCSA-N -1 1 331.332 -0.946 20 0 EBADMM Cn1cc(CC(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)cn1 ZINC000962435957 723252550 /nfs/dbraw/zinc/25/25/50/723252550.db2.gz ZZGJELDJYRFUGV-YPMHNXCESA-N -1 1 345.359 -0.935 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C[C@@H]2CCCOC2)nc1=O ZINC000962620000 723297361 /nfs/dbraw/zinc/29/73/61/723297361.db2.gz SLQRFGXRBUSRPT-UUIJZJDISA-N -1 1 335.408 -0.529 20 0 EBADMM O=C(NC1CC(CNCc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCCS1(=O)=O ZINC000962755312 723318840 /nfs/dbraw/zinc/31/88/40/723318840.db2.gz FPJOYGSNCSFNGB-RTBKNWGFSA-N -1 1 343.409 -0.928 20 0 EBADMM O=C(c1nnc2ccccc2n1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019155476 728810244 /nfs/dbraw/zinc/81/02/44/728810244.db2.gz ZRGKQIMHMMGYAK-VIFPVBQESA-N -1 1 340.347 -0.147 20 0 EBADMM Cn1ccc(CC(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)n1 ZINC000962904675 723350568 /nfs/dbraw/zinc/35/05/68/723350568.db2.gz NNCABHSTFYOCNR-YPMHNXCESA-N -1 1 345.359 -0.935 20 0 EBADMM NC(=O)CC(=O)N1CC[C@H]2[C@H]1CCCN2C(=O)c1ncccc1[O-] ZINC000962944679 723356275 /nfs/dbraw/zinc/35/62/75/723356275.db2.gz LCTWJZCAVDFVRK-MNOVXSKESA-N -1 1 332.360 -0.132 20 0 EBADMM CC(=O)N1CC[C@@H]2[C@H]1CCCN2C(=O)CCn1cc[n-]c(=O)c1=O ZINC000963412734 723419342 /nfs/dbraw/zinc/41/93/42/723419342.db2.gz NNXIEJOFAXPFKK-CHWSQXEVSA-N -1 1 334.376 -0.462 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2COCCN2C(=O)Cc2ccn[nH]2)c1[O-] ZINC000964147722 723836171 /nfs/dbraw/zinc/83/61/71/723836171.db2.gz DNHMLYBOKCOEGH-LLVKDONJSA-N -1 1 348.363 -0.653 20 0 EBADMM Cc1ncc(C(=O)N2CCOC[C@@H]2CNC(=O)c2[nH]nc(C)c2[O-])[nH]1 ZINC000964156447 723842639 /nfs/dbraw/zinc/84/26/39/723842639.db2.gz RESXOWIEBAWQRS-JTQLQIEISA-N -1 1 348.363 -0.274 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1cnsn1)c1ncccc1[O-] ZINC000964158651 723844266 /nfs/dbraw/zinc/84/42/66/723844266.db2.gz OEJRHPZDSULPJE-VIFPVBQESA-N -1 1 349.372 -0.090 20 0 EBADMM NC(=O)C(=O)N1CCCC[C@@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000964568351 724032708 /nfs/dbraw/zinc/03/27/08/724032708.db2.gz GEGJBKQTEJRIQI-SNVBAGLBSA-N -1 1 347.375 -0.344 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ccc(=O)[nH]n1 ZINC000964899981 724076033 /nfs/dbraw/zinc/07/60/33/724076033.db2.gz DFIDQIGPEMRSAO-GXSJLCMTSA-N -1 1 347.379 -0.756 20 0 EBADMM COc1c(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c(C)nn1C ZINC001019454894 728889507 /nfs/dbraw/zinc/88/95/07/728889507.db2.gz XATPSVBKXBINTC-VIFPVBQESA-N -1 1 335.368 -0.435 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1cncn1C ZINC000967269200 724859376 /nfs/dbraw/zinc/85/93/76/724859376.db2.gz TVKTTZNEKAANLK-MNOVXSKESA-N -1 1 333.396 -0.518 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1c[nH]c(=O)n1C ZINC000967719573 724898112 /nfs/dbraw/zinc/89/81/12/724898112.db2.gz IEHGDQUBMZWFMB-NXEZZACHSA-N -1 1 349.395 -0.812 20 0 EBADMM CCN(C(=O)[C@@H]1C[C@@H]1C)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000967756307 724902948 /nfs/dbraw/zinc/90/29/48/724902948.db2.gz OGDDNPOVSKPSNM-GXSJLCMTSA-N -1 1 344.375 -0.254 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC000967782259 724906358 /nfs/dbraw/zinc/90/63/58/724906358.db2.gz WYRQQTSAWHQDJW-DIACKHNESA-N -1 1 335.408 -0.673 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC000967782260 724906393 /nfs/dbraw/zinc/90/63/93/724906393.db2.gz WYRQQTSAWHQDJW-JLDUMIBSSA-N -1 1 335.408 -0.673 20 0 EBADMM CCN(C(=O)c1cnnn1C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000967918410 724930840 /nfs/dbraw/zinc/93/08/40/724930840.db2.gz MIJPOQNOFYDXKH-UHFFFAOYSA-N -1 1 330.348 -0.098 20 0 EBADMM C[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1=NC(=O)N(C)C1 ZINC000968176248 724973773 /nfs/dbraw/zinc/97/37/73/724973773.db2.gz OKCOOPDZCYAUFA-RKDXNWHRSA-N -1 1 335.368 -0.410 20 0 EBADMM CCN(C(=O)[C@@H]1CCC(=O)N1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000968196412 724975927 /nfs/dbraw/zinc/97/59/27/724975927.db2.gz LPOYGPCUUAFGRM-NSHDSACASA-N -1 1 332.360 -0.261 20 0 EBADMM CCn1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)n1 ZINC000968382297 725057756 /nfs/dbraw/zinc/05/77/56/725057756.db2.gz NGWVDGIPUYCMBK-DGCLKSJQSA-N -1 1 347.423 -0.035 20 0 EBADMM COCCN1CC[C@@H](C)[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC000968432089 725063411 /nfs/dbraw/zinc/06/34/11/725063411.db2.gz VIHVOXPASGRWIB-DGCLKSJQSA-N -1 1 348.407 -0.456 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1ccc(=O)[nH]c1 ZINC000968610373 725087018 /nfs/dbraw/zinc/08/70/18/725087018.db2.gz PBNJQXSUQJTLFK-PWSUYJOCSA-N -1 1 346.391 -0.151 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1ccn(C)n1 ZINC000968646658 725095490 /nfs/dbraw/zinc/09/54/90/725095490.db2.gz FWOAPZMPCAUPJC-JQWIXIFHSA-N -1 1 333.396 -0.518 20 0 EBADMM Cn1ncc(Cl)c1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000968683688 725101932 /nfs/dbraw/zinc/10/19/32/725101932.db2.gz XIZOALYINUCZOJ-SSDOTTSWSA-N -1 1 325.760 -0.099 20 0 EBADMM C[C@H](CC(=O)N1C[C@@H](NC(=O)c2cnn[nH]2)[C@@H](O)C1)c1ccncc1 ZINC000968707201 725106295 /nfs/dbraw/zinc/10/62/95/725106295.db2.gz FTIZSIWUILQUTK-HONMWMINSA-N -1 1 344.375 -0.305 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000968886555 725125666 /nfs/dbraw/zinc/12/56/66/725125666.db2.gz QXZGFZLQEXSVIP-KXNHARMFSA-N -1 1 344.371 -0.276 20 0 EBADMM O=C([C@@H]1CCn2ccnc2C1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969135236 725154678 /nfs/dbraw/zinc/15/46/78/725154678.db2.gz FAZZZEXKPJHZMX-GHMZBOCLSA-N -1 1 331.380 -0.340 20 0 EBADMM CCc1nc([C@H](C)N[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC000969210236 725161785 /nfs/dbraw/zinc/16/17/85/725161785.db2.gz PGWAGTJSVGXXFY-JGVFFNPUSA-N -1 1 348.367 -0.471 20 0 EBADMM Cc1cc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC000969361347 725173754 /nfs/dbraw/zinc/17/37/54/725173754.db2.gz IYEGNCABGDHBCZ-JTQLQIEISA-N -1 1 333.396 -0.599 20 0 EBADMM C[C@@H](NC(=O)c1cc[nH]c(=O)c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969477193 725184058 /nfs/dbraw/zinc/18/40/58/725184058.db2.gz WPDMLQQNBDFPSS-SECBINFHSA-N -1 1 332.364 -0.541 20 0 EBADMM Cc1cc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)ncn1 ZINC000969485880 725184880 /nfs/dbraw/zinc/18/48/80/725184880.db2.gz CAEWKYVMKNQZMN-JTQLQIEISA-N -1 1 331.380 -0.543 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN([C@@H]2CCC(=O)NC2=O)C1 ZINC000969542195 725191372 /nfs/dbraw/zinc/19/13/72/725191372.db2.gz FNHXJPIVGPXBLZ-MWLCHTKSSA-N -1 1 332.360 -0.358 20 0 EBADMM CCn1nccc1C(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969550606 725191962 /nfs/dbraw/zinc/19/19/62/725191962.db2.gz FTKCQHQJCSEWBU-JTQLQIEISA-N -1 1 333.396 -0.425 20 0 EBADMM CCOc1cc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC000969939247 725230247 /nfs/dbraw/zinc/23/02/47/725230247.db2.gz IGSVWIYBZMUAAP-VIFPVBQESA-N -1 1 349.395 -0.520 20 0 EBADMM C[C@H](NC(=O)c1ccc(C(N)=O)o1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970104170 725251313 /nfs/dbraw/zinc/25/13/13/725251313.db2.gz HPFZURWXKIMVGE-QMMMGPOBSA-N -1 1 348.363 -0.949 20 0 EBADMM Cc1ccn(CC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c(=O)c1 ZINC000970134317 725255000 /nfs/dbraw/zinc/25/50/00/725255000.db2.gz WCFQAWCBNRWGEL-LLVKDONJSA-N -1 1 332.364 -0.629 20 0 EBADMM COc1ccnc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC000970260266 725269740 /nfs/dbraw/zinc/26/97/40/725269740.db2.gz SNXASRPTAWGXFR-JTQLQIEISA-N -1 1 346.391 -0.238 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970312860 725276546 /nfs/dbraw/zinc/27/65/46/725276546.db2.gz MMNFDPWQWRXUGK-MRVPVSSYSA-N -1 1 347.379 -0.837 20 0 EBADMM C[C@@H](NC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970458779 725293198 /nfs/dbraw/zinc/29/31/98/725293198.db2.gz ZZNFDKNAVQYPAW-JHEVNIALSA-N -1 1 335.408 -0.388 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H](NCc2cnon2)C1 ZINC000970472542 725294638 /nfs/dbraw/zinc/29/46/38/725294638.db2.gz QNSAVOUAWBETKM-SSDOTTSWSA-N -1 1 336.308 -0.716 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CC[C@@H](NCc2csnn2)C1 ZINC000970475812 725294882 /nfs/dbraw/zinc/29/48/82/725294882.db2.gz YWVGBNPAHAUEKM-MRVPVSSYSA-N -1 1 346.376 -0.727 20 0 EBADMM Cc1ccc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c(=O)[nH]1 ZINC000970492365 725295742 /nfs/dbraw/zinc/29/57/42/725295742.db2.gz HXRPXDHGKDWNJS-JTQLQIEISA-N -1 1 346.391 -0.232 20 0 EBADMM CCn1ncc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC000970639985 725309396 /nfs/dbraw/zinc/30/93/96/725309396.db2.gz LUMMHLVQVUSBQV-JTQLQIEISA-N -1 1 347.423 -0.116 20 0 EBADMM Cc1nc(C)c(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)[nH]1 ZINC000970683304 725316448 /nfs/dbraw/zinc/31/64/48/725316448.db2.gz OYBSJTNVBMDSPE-PWSUYJOCSA-N -1 1 345.359 -0.258 20 0 EBADMM O=C([C@@H]1CCc2c[nH]nc2C1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970762539 725325817 /nfs/dbraw/zinc/32/58/17/725325817.db2.gz MDMAYOIQIGMOQT-MWLCHTKSSA-N -1 1 331.380 -0.271 20 0 EBADMM CCn1cc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC000971227717 725355131 /nfs/dbraw/zinc/35/51/31/725355131.db2.gz WEMSPZWJOQBOFD-GFCCVEGCSA-N -1 1 333.396 -0.329 20 0 EBADMM CC(C)C(=O)N1C[C@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]21 ZINC000971359776 725360587 /nfs/dbraw/zinc/36/05/87/725360587.db2.gz NDILHGOQAWKMIW-ZYHUDNBSSA-N -1 1 344.375 -0.254 20 0 EBADMM Cc1nnc(CC(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)o1 ZINC000971497128 725369443 /nfs/dbraw/zinc/36/94/43/725369443.db2.gz MESMILJKQZXDCO-SNVBAGLBSA-N -1 1 335.368 -0.925 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@H]2CCN(C(=O)[C@@H]3CNC(=O)N3)C[C@H]21 ZINC000971900148 725447069 /nfs/dbraw/zinc/44/70/69/725447069.db2.gz OPRYGZUWBLHOLY-OUAUKWLOSA-N -1 1 345.359 -0.859 20 0 EBADMM Cn1nnc(C(=O)N2CC[C@@H]3CN(C(=O)c4ncccc4[O-])[C@@H]3C2)n1 ZINC000971902476 725447358 /nfs/dbraw/zinc/44/73/58/725447358.db2.gz NHFUMHDDPQJZQA-NXEZZACHSA-N -1 1 343.347 -0.703 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)[C@@H]2OC[C@@H]3CCC[C@@H]32)C1 ZINC000971966390 725451488 /nfs/dbraw/zinc/45/14/88/725451488.db2.gz NOVCYLWBOSLULO-SFDCQRBFSA-N -1 1 349.435 -0.044 20 0 EBADMM Cn1cc(C(=O)N2C[C@H]3CCN(C(=O)c4ncccc4[O-])C[C@H]32)nn1 ZINC000972023984 725456110 /nfs/dbraw/zinc/45/61/10/725456110.db2.gz ZRHOBXGLHHCVMV-ZYHUDNBSSA-N -1 1 342.359 -0.098 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)[C@]2(F)CCOC2)C1 ZINC000972171938 725473464 /nfs/dbraw/zinc/47/34/64/725473464.db2.gz JTGREUUMKBUUGP-HZMBPMFUSA-N -1 1 327.360 -0.730 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@]3(CCN(C(=O)C(C)(F)F)C3)C2)nc1=O ZINC000972211484 725479392 /nfs/dbraw/zinc/47/93/92/725479392.db2.gz AJPBFFQLFWFZQU-AWEZNQCLSA-N -1 1 345.350 -0.433 20 0 EBADMM C/C=C(/C)C(=O)N1CC[C@]2(C1)CN(Cc1nc(=O)n(C)[n-]1)CCO2 ZINC000972329393 725500138 /nfs/dbraw/zinc/50/01/38/725500138.db2.gz VYWGQQXOXRVQFM-DZRKTSMISA-N -1 1 335.408 -0.122 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)c1cnco1 ZINC000991641585 725505547 /nfs/dbraw/zinc/50/55/47/725505547.db2.gz KYUOLRDKIGUHHA-GXSJLCMTSA-N -1 1 332.316 -0.219 20 0 EBADMM O=C(c1ncccc1[O-])N1CC[C@]2(C1)CN([C@H]1CCNC1=O)CCO2 ZINC000972356151 725505792 /nfs/dbraw/zinc/50/57/92/725505792.db2.gz VPVRTAIGBUVFTE-YVEFUNNKSA-N -1 1 346.387 -0.407 20 0 EBADMM C[C@@H](C(N)=O)N1CCO[C@@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000972356226 725505916 /nfs/dbraw/zinc/50/59/16/725505916.db2.gz WHGMLTHNWGKGKH-ZBEGNZNMSA-N -1 1 334.376 -0.422 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N1CC(NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000991643481 725507061 /nfs/dbraw/zinc/50/70/61/725507061.db2.gz BLYGLLYIIZIBEB-ZJUUUORDSA-N -1 1 345.359 -0.878 20 0 EBADMM O=C(NC1CN(C(=O)c2cnon2)C1)c1cnc(C2CC2)[n-]c1=O ZINC000991652347 725514542 /nfs/dbraw/zinc/51/45/42/725514542.db2.gz YIFRFKHKUJWBHN-UHFFFAOYSA-N -1 1 330.304 -0.303 20 0 EBADMM Cc1ccnn1CC(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000972448497 725525488 /nfs/dbraw/zinc/52/54/88/725525488.db2.gz ZPJIEZDJQOQGAR-GFCCVEGCSA-N -1 1 333.396 -0.654 20 0 EBADMM Cc1cc(C(=O)N2CC(NC(=O)c3c[n-]n4c3nccc4=O)C2)n[nH]1 ZINC000991666594 725527209 /nfs/dbraw/zinc/52/72/09/725527209.db2.gz MVGRQBQKHMJDAH-UHFFFAOYSA-N -1 1 341.331 -0.691 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@]3(CCN(C(=O)c4cnco4)C3)C2)nc1=O ZINC000972488869 725533591 /nfs/dbraw/zinc/53/35/91/725533591.db2.gz ILNFNAGYUGNJHK-HNNXBMFYSA-N -1 1 348.363 -0.787 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CC[C@]2(C1)CN(Cc1nc(=O)n(C)[n-]1)CCO2 ZINC000972506271 725537829 /nfs/dbraw/zinc/53/78/29/725537829.db2.gz JENDQMQFMVVBEE-MQIPJXDCSA-N -1 1 335.408 -0.432 20 0 EBADMM Cc1nn(C)cc1CC(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051654950 735296041 /nfs/dbraw/zinc/29/60/41/735296041.db2.gz BATRPMTZPFPKRZ-LBPRGKRZSA-N -1 1 349.395 -0.888 20 0 EBADMM O=C(Cc1ncc[nH]1)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000991717064 725569750 /nfs/dbraw/zinc/56/97/50/725569750.db2.gz GCWIQZSYQKQMKI-PWSUYJOCSA-N -1 1 345.359 -0.555 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@]3(CCN(C(=O)C(C)(C)C)C3)C2)nc1=O ZINC000972651021 725570537 /nfs/dbraw/zinc/57/05/37/725570537.db2.gz SDXNJVJYVWNMRH-INIZCTEOSA-N -1 1 337.424 -0.042 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)C3CCOCC3)C(C)(C)C2)nc1=O ZINC000972741851 725589923 /nfs/dbraw/zinc/58/99/23/725589923.db2.gz PVPFPXBIPTVXSG-LBPRGKRZSA-N -1 1 337.424 -0.138 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)c3cnsn3)C(C)(C)C2)nc1=O ZINC000972833110 725596606 /nfs/dbraw/zinc/59/66/06/725596606.db2.gz RAJFBTPHMGPCRQ-SECBINFHSA-N -1 1 337.409 -0.400 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)Cc3cc[nH]n3)C(C)(C)C2)nc1=O ZINC000972982379 725615476 /nfs/dbraw/zinc/61/54/76/725615476.db2.gz HUASEFRPFVDAPX-NSHDSACASA-N -1 1 333.396 -0.599 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)c3cc[nH]c(=O)c3)C(C)(C)C2)nc1=O ZINC000973024826 725619894 /nfs/dbraw/zinc/61/98/94/725619894.db2.gz MLQSXGYBADGBRW-LLVKDONJSA-N -1 1 346.391 -0.151 20 0 EBADMM Nc1nccnc1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973110370 725643291 /nfs/dbraw/zinc/64/32/91/725643291.db2.gz DVBCUYGUYWFKPD-KYZUINATSA-N -1 1 328.332 -0.150 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1ccnnc1 ZINC000991817384 725644718 /nfs/dbraw/zinc/64/47/18/725644718.db2.gz FEJXLJYHEYXXMU-YPMHNXCESA-N -1 1 343.343 -0.417 20 0 EBADMM COc1cc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)ncn1 ZINC000991889781 725667339 /nfs/dbraw/zinc/66/73/39/725667339.db2.gz OLVOPTOABCDDDU-UHFFFAOYSA-N -1 1 329.316 -0.160 20 0 EBADMM O=C(CN1CCCNC1=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973197307 725667393 /nfs/dbraw/zinc/66/73/93/725667393.db2.gz BSMIITPRDZHZNF-XYPYZODXSA-N -1 1 347.375 -0.421 20 0 EBADMM C[C@@H]1CN(C)C[C@H](C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)O1 ZINC000973199067 725667737 /nfs/dbraw/zinc/66/77/37/725667737.db2.gz MGPMIQMOQIVEMC-HKUMRIAESA-N -1 1 348.403 -0.117 20 0 EBADMM O=C(Cc1cncs1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051666949 735307342 /nfs/dbraw/zinc/30/73/42/735307342.db2.gz IZOSBYDZOSDENI-SECBINFHSA-N -1 1 338.393 -0.474 20 0 EBADMM NC(=O)C(=O)NC1CC(CNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000992113543 725728219 /nfs/dbraw/zinc/72/82/19/725728219.db2.gz NTGJSZISJNUPED-UHFFFAOYSA-N -1 1 333.348 -0.831 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)[C@H]4[C@@H]5COC[C@@H]54)CCC[C@@H]23)nc1=O ZINC000992142739 725734537 /nfs/dbraw/zinc/73/45/37/725734537.db2.gz NJYOPJMVKNGLRF-CXQPVBBHSA-N -1 1 347.419 -0.386 20 0 EBADMM Cn1nnc(C(=O)NCC2CC(NC(=O)c3ncccc3[O-])C2)n1 ZINC000992311865 725776055 /nfs/dbraw/zinc/77/60/55/725776055.db2.gz XJVLFBYMDMYMNT-UHFFFAOYSA-N -1 1 331.336 -0.751 20 0 EBADMM CC(=O)NC1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000973556162 725778331 /nfs/dbraw/zinc/77/83/31/725778331.db2.gz NEUKPHSYHSBBHB-FGWVZKOKSA-N -1 1 330.348 -0.311 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)Cc4ncc[nH]4)CCC[C@H]23)nc1=O ZINC000992357391 725785684 /nfs/dbraw/zinc/78/56/84/725785684.db2.gz ZTUYYLRXINPJBA-ZBEGNZNMSA-N -1 1 345.407 -0.313 20 0 EBADMM CCC(=O)N1C[C@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@@]2(C)C1 ZINC000992449008 725798817 /nfs/dbraw/zinc/79/88/17/725798817.db2.gz OGQLELHBYQRYGW-YVEFUNNKSA-N -1 1 348.403 -0.356 20 0 EBADMM O=C(N[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1=CCCC1 ZINC000974022529 725848111 /nfs/dbraw/zinc/84/81/11/725848111.db2.gz JJFTTXVZGZBRNV-PHIMTYICSA-N -1 1 342.359 -0.095 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ccc(=O)[nH]n2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993004327 725849777 /nfs/dbraw/zinc/84/97/77/725849777.db2.gz MYSXNEHGHVALAM-ZJUUUORDSA-N -1 1 347.379 -0.613 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2cnn(C)c2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993021924 725851334 /nfs/dbraw/zinc/85/13/34/725851334.db2.gz LBVQSUFJXLMGPB-ZYHUDNBSSA-N -1 1 333.396 -0.375 20 0 EBADMM C[C@@H]1[C@H](NC(=O)Cc2cnn(C)c2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993107149 725855404 /nfs/dbraw/zinc/85/54/04/725855404.db2.gz QRAJOYXZQPMBJY-DGCLKSJQSA-N -1 1 347.423 -0.446 20 0 EBADMM Cc1nn(C)cc1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC000993113253 725855695 /nfs/dbraw/zinc/85/56/95/725855695.db2.gz VEMJLEWILKQGDB-DGCLKSJQSA-N -1 1 347.423 -0.067 20 0 EBADMM NC(=O)[C@@H]1CC[C@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974054722 725858042 /nfs/dbraw/zinc/85/80/42/725858042.db2.gz LDFHCFZTYNCRCJ-LMLFDSFASA-N -1 1 332.360 -0.324 20 0 EBADMM CN1CC[C@H](C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)C1=O ZINC000974054948 725858357 /nfs/dbraw/zinc/85/83/57/725858357.db2.gz UMZMYSMRAUKZIJ-OUAUKWLOSA-N -1 1 332.360 -0.358 20 0 EBADMM O=C(CN1CCOCC1=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974057046 725859078 /nfs/dbraw/zinc/85/90/78/725859078.db2.gz WGXCBZGIHYFPRK-PHIMTYICSA-N -1 1 348.359 -0.977 20 0 EBADMM C[C@@H]1[C@H](NC(=O)Cc2ccnn2C)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993261819 725866408 /nfs/dbraw/zinc/86/64/08/725866408.db2.gz SEYRWFNSRQWVAS-DGCLKSJQSA-N -1 1 347.423 -0.446 20 0 EBADMM Cc1c[nH]nc1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1C ZINC000993278254 725868496 /nfs/dbraw/zinc/86/84/96/725868496.db2.gz HHWPSTIRNADXHX-QWRGUYRKSA-N -1 1 333.396 -0.077 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@@H](NC(=O)c2cc[nH]c2)C1 ZINC000974218229 725907077 /nfs/dbraw/zinc/90/70/77/725907077.db2.gz ANWRRWGOFKNKLJ-DTORHVGOSA-N -1 1 347.331 -0.085 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1cc[nH]c1 ZINC000993688036 725928718 /nfs/dbraw/zinc/92/87/18/725928718.db2.gz FYDLITXOLNDPGH-UHFFFAOYSA-N -1 1 327.304 -1.000 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)[C@H]1CCC(=O)N1 ZINC000993828824 725950386 /nfs/dbraw/zinc/95/03/86/725950386.db2.gz GEJQJRHZVRIFLP-IJLUTSLNSA-N -1 1 346.387 -0.110 20 0 EBADMM COCCN1CCC[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)[C@H]1C ZINC000994011854 725971542 /nfs/dbraw/zinc/97/15/42/725971542.db2.gz SZHHNKLORNFKGP-YPMHNXCESA-N -1 1 348.407 -0.313 20 0 EBADMM C[C@H]1[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)CCCN1CCCO ZINC000994011763 725971686 /nfs/dbraw/zinc/97/16/86/725971686.db2.gz RWHVLNPOXVISIX-WCQYABFASA-N -1 1 348.407 -0.577 20 0 EBADMM CCn1cc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)nn1 ZINC000994105520 725979316 /nfs/dbraw/zinc/97/93/16/725979316.db2.gz KHIVWALHBBTQCA-GHMZBOCLSA-N -1 1 348.411 -0.497 20 0 EBADMM Cc1nonc1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC000994537702 726030481 /nfs/dbraw/zinc/03/04/81/726030481.db2.gz SWWJCCJTDALVTH-ZJUUUORDSA-N -1 1 335.368 -0.417 20 0 EBADMM Cn1cncc1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000974770968 726064196 /nfs/dbraw/zinc/06/41/96/726064196.db2.gz ABQRWEVFFNMSDR-NSHDSACASA-N -1 1 333.396 -0.518 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)c3n[nH]cc3F)C(C)(C)C2)nc1=O ZINC000975020298 726095110 /nfs/dbraw/zinc/09/51/10/726095110.db2.gz UQNZTMKQGJDSRE-SECBINFHSA-N -1 1 337.359 -0.389 20 0 EBADMM Cc1ccnn1CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000975091416 726099450 /nfs/dbraw/zinc/09/94/50/726099450.db2.gz DNYRXKKHWZVRNS-GFCCVEGCSA-N -1 1 347.423 -0.360 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CC[C@H](CNCc3nnnn3C)C2)c1[O-] ZINC000994880728 726108250 /nfs/dbraw/zinc/10/82/50/726108250.db2.gz LEXBPZZBLQRMPV-VHSXEESVSA-N -1 1 334.384 -0.364 20 0 EBADMM O=C(Cc1nnc[nH]1)N1C[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000975295949 726130631 /nfs/dbraw/zinc/13/06/31/726130631.db2.gz AOWZNSZLRDOZOM-GHMZBOCLSA-N -1 1 342.359 -0.179 20 0 EBADMM Cc1nnc(CNC[C@H]2CC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC000995007493 726142167 /nfs/dbraw/zinc/14/21/67/726142167.db2.gz PBTYZEIIOUZBKS-IUCAKERBSA-N -1 1 349.351 -0.337 20 0 EBADMM C[C@@H]1C[C@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)CC(=O)N1 ZINC000995015128 726144451 /nfs/dbraw/zinc/14/44/51/726144451.db2.gz VWIWNHAKFHNFEI-ZJUUUORDSA-N -1 1 332.360 -0.358 20 0 EBADMM O=C(CN1CCCNC1=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000995223601 726193189 /nfs/dbraw/zinc/19/31/89/726193189.db2.gz RMBSSIHCFSHLNQ-UHFFFAOYSA-N -1 1 333.348 -0.857 20 0 EBADMM CC(C)C(=O)N[C@@H]1CC(=O)N(C2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000995468736 726234255 /nfs/dbraw/zinc/23/42/55/726234255.db2.gz KXGMSJBYGPBFRE-LLVKDONJSA-N -1 1 346.387 -0.015 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)[C@@H]1CCNC(=O)C1 ZINC000976351288 726272437 /nfs/dbraw/zinc/27/24/37/726272437.db2.gz CXRDHFCMWSWERM-PUHVVEEASA-N -1 1 344.371 -0.500 20 0 EBADMM Cc1c(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)nnn1C ZINC000995580944 726276680 /nfs/dbraw/zinc/27/66/80/726276680.db2.gz QVNDZWPYVITRDX-SECBINFHSA-N -1 1 334.384 -0.412 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976394278 726290329 /nfs/dbraw/zinc/29/03/29/726290329.db2.gz NDXDUYPYRHBOKB-ULHKAFAUSA-N -1 1 330.344 -0.905 20 0 EBADMM CN1CC[C@@H](C(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ncccc2[O-])C1=O ZINC000976395258 726291163 /nfs/dbraw/zinc/29/11/63/726291163.db2.gz VBIVMDARNLTNCY-DCQANWLSSA-N -1 1 344.371 -0.548 20 0 EBADMM NC(=O)[C@@H]1CC[C@@H]1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976395166 726291171 /nfs/dbraw/zinc/29/11/71/726291171.db2.gz SUOIMOARFWSJFE-OQEOFVATSA-N -1 1 344.371 -0.515 20 0 EBADMM Cn1ncc(C(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ncccc2[O-])n1 ZINC000976395529 726291244 /nfs/dbraw/zinc/29/12/44/726291244.db2.gz DAOSMCAZNQPOTH-GDGBQDQQSA-N -1 1 328.332 -0.584 20 0 EBADMM O=C(C[C@@H]1CCC(=O)N1)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976396792 726291882 /nfs/dbraw/zinc/29/18/82/726291882.db2.gz IDANLWOGSRQKKS-KIGUWFBYSA-N -1 1 344.371 -0.358 20 0 EBADMM Cn1ncc(C(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ncccc2[O-])c1N ZINC000976396781 726292000 /nfs/dbraw/zinc/29/20/00/726292000.db2.gz IAKPISUIRMCUNX-IAZYJMLFSA-N -1 1 342.359 -0.397 20 0 EBADMM CC1(C)CN(C(=O)CC2OCCCO2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995714411 726293463 /nfs/dbraw/zinc/29/34/63/726293463.db2.gz XTCKEWYBRKHJLJ-SNVBAGLBSA-N -1 1 339.396 -0.010 20 0 EBADMM O=C(C[C@@H]1CCNC1=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976406463 726295147 /nfs/dbraw/zinc/29/51/47/726295147.db2.gz VYFUTZIYTCTZPM-KZWBYHQPSA-N -1 1 344.371 -0.500 20 0 EBADMM CC(C)(C(=O)NC1CN(C(=O)c2ncccc2[O-])C1)N1CCOCC1 ZINC000995799425 726301579 /nfs/dbraw/zinc/30/15/79/726301579.db2.gz IRXQQWSGEMXDCR-UHFFFAOYSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@@H]1OCCO[C@@H]1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000995925298 726314375 /nfs/dbraw/zinc/31/43/75/726314375.db2.gz RGKSVYYYHXOMKH-NHCYSSNCSA-N -1 1 339.396 -0.359 20 0 EBADMM O=C(N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)[C@H]1COC(=O)N1)c1ncccc1[O-] ZINC000996145884 726338576 /nfs/dbraw/zinc/33/85/76/726338576.db2.gz XWLRVPNXEDFELC-YTWAJWBKSA-N -1 1 346.343 -0.243 20 0 EBADMM CN1CC[C@@H](C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)C1=O ZINC000996182757 726341812 /nfs/dbraw/zinc/34/18/12/726341812.db2.gz LSVWUJQCCQTTOA-NXEZZACHSA-N -1 1 336.396 -0.685 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)[C@@H]2C[C@H]2C2CC2)C1 ZINC000996396743 726385256 /nfs/dbraw/zinc/38/52/56/726385256.db2.gz KXGGWMLQNMGHSI-VHSXEESVSA-N -1 1 348.359 -0.117 20 0 EBADMM O=C(NC1CN(C(=O)C2CCCC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996403680 726387756 /nfs/dbraw/zinc/38/77/56/726387756.db2.gz ACHKPTMMOBWQHG-UHFFFAOYSA-N -1 1 330.348 -0.452 20 0 EBADMM O=C(NC1CN(C(=O)c2cncs2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996408413 726388686 /nfs/dbraw/zinc/38/86/86/726388686.db2.gz OWSLLIWYRVYFJB-UHFFFAOYSA-N -1 1 345.344 -0.872 20 0 EBADMM O=C(NC1CN(C(=O)C2=COCCC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996408418 726388914 /nfs/dbraw/zinc/38/89/14/726388914.db2.gz PCUNUNUJYAACCA-UHFFFAOYSA-N -1 1 344.331 -0.948 20 0 EBADMM CCCc1cc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC001051747407 735368167 /nfs/dbraw/zinc/36/81/67/735368167.db2.gz MJIQFKYLBDGSLF-LLVKDONJSA-N -1 1 349.395 -0.183 20 0 EBADMM C[C@@H]1OCCO[C@@H]1C(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000976741439 726404040 /nfs/dbraw/zinc/40/40/40/726404040.db2.gz UNXFSCDAQBZXKR-JUFVYRIHSA-N -1 1 347.371 -0.222 20 0 EBADMM COc1ncc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)cn1 ZINC000996482415 726404207 /nfs/dbraw/zinc/40/42/07/726404207.db2.gz ADYPHXBMDJVPNB-SNVBAGLBSA-N -1 1 347.379 -0.051 20 0 EBADMM O=C(Cn1ncnn1)N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-] ZINC000996697155 726422983 /nfs/dbraw/zinc/42/29/83/726422983.db2.gz YGWJVACMPFOWLM-VWYCJHECSA-N -1 1 343.347 -0.664 20 0 EBADMM Cn1cc(CCC(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)nn1 ZINC000996741117 726424949 /nfs/dbraw/zinc/42/49/49/726424949.db2.gz ZNFDDQKLFOPWNS-NSHDSACASA-N -1 1 348.411 -0.402 20 0 EBADMM Cn1cc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)ncc1=O ZINC000997523463 726455495 /nfs/dbraw/zinc/45/54/95/726455495.db2.gz DTWGBXHFNZGXPH-UHFFFAOYSA-N -1 1 329.316 -0.865 20 0 EBADMM CN(C(=O)c1cn(C)ccc1=O)C1CC(NCc2n[nH]c(=O)[n-]2)C1 ZINC000999093204 726507492 /nfs/dbraw/zinc/50/74/92/726507492.db2.gz BACLPKQPOZBHCR-UHFFFAOYSA-N -1 1 332.364 -0.398 20 0 EBADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)[C@H]1CCCCC(=O)N1 ZINC000999360917 726516362 /nfs/dbraw/zinc/51/63/62/726516362.db2.gz HAMXIHXJADIJKL-LLVKDONJSA-N -1 1 332.360 -0.213 20 0 EBADMM O=C(c1cnn2ncccc12)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999368054 726516739 /nfs/dbraw/zinc/51/67/39/726516739.db2.gz FFLLVOPAEYJFQJ-SNVBAGLBSA-N -1 1 342.363 -0.053 20 0 EBADMM O=C(c1cnn2c1OCCC2)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999606357 726524475 /nfs/dbraw/zinc/52/44/75/726524475.db2.gz PDIXYXRJGNFKPH-JTQLQIEISA-N -1 1 347.379 -0.116 20 0 EBADMM COc1coc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC000999699121 726528379 /nfs/dbraw/zinc/52/83/79/726528379.db2.gz VSYCNHKXEHQOLV-VIFPVBQESA-N -1 1 349.347 -0.134 20 0 EBADMM CO[C@@H](C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)c1cnn(C)c1 ZINC000999811990 726533609 /nfs/dbraw/zinc/53/36/09/726533609.db2.gz GWJJRHDWXUSBTI-DGCLKSJQSA-N -1 1 349.395 -0.288 20 0 EBADMM C[C@@]1(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CCC(=O)NC1 ZINC000999967935 726545438 /nfs/dbraw/zinc/54/54/38/726545438.db2.gz GSUITALREUHMOO-MEBBXXQBSA-N -1 1 336.396 -0.493 20 0 EBADMM NC(=O)Cc1occc1C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000014561 726550372 /nfs/dbraw/zinc/55/03/72/726550372.db2.gz LJQYAUNPBGXYBA-VIFPVBQESA-N -1 1 348.363 -0.475 20 0 EBADMM O=C([C@H]1CCCc2nn[nH]c21)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000024413 726551348 /nfs/dbraw/zinc/55/13/48/726551348.db2.gz CJWYPLUTFUEICH-ZJUUUORDSA-N -1 1 346.395 -0.171 20 0 EBADMM O=C(Cc1nnc[nH]1)NC1CN(C(=O)c2ccc3oc(=O)nc-3[n-]2)C1 ZINC001000187927 726557894 /nfs/dbraw/zinc/55/78/94/726557894.db2.gz MKLPRWYJOPEPMF-UHFFFAOYSA-N -1 1 343.303 -0.770 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)c3ccc(=O)[nH]n3)CC2)nc1=O ZINC001000391165 726565994 /nfs/dbraw/zinc/56/59/94/726565994.db2.gz HMUAQRBFHGWRHP-UHFFFAOYSA-N -1 1 345.363 -0.834 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)c3cc[nH]c(=O)c3)CC2)nc1=O ZINC001000571594 726571646 /nfs/dbraw/zinc/57/16/46/726571646.db2.gz CGIJIWWFJXIWAB-UHFFFAOYSA-N -1 1 344.375 -0.229 20 0 EBADMM Cc1ccnc(C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC001000627819 726573274 /nfs/dbraw/zinc/57/32/74/726573274.db2.gz NZOYPZBKUQEWEC-UHFFFAOYSA-N -1 1 343.391 -0.231 20 0 EBADMM Cc1nc(CN2CC=C(CNC(=O)c3n[nH]c(=O)[n-]c3=O)CC2)n[nH]1 ZINC001000910068 726583596 /nfs/dbraw/zinc/58/35/96/726583596.db2.gz VRARTBJDARLFFD-UHFFFAOYSA-N -1 1 346.351 -0.729 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(CNC(=O)c2ccoc2)C1 ZINC001000934705 726584306 /nfs/dbraw/zinc/58/43/06/726584306.db2.gz JYPQBNXWCXONGW-UHFFFAOYSA-N -1 1 348.315 -0.009 20 0 EBADMM O=C([C@@H]1CCCS1(=O)=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000964532 726585373 /nfs/dbraw/zinc/58/53/73/726585373.db2.gz OWLDCMYSLPBBJU-UWVGGRQHSA-N -1 1 343.409 -0.832 20 0 EBADMM O=C([C@@H]1CCc2nncn2C1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000991189 726586360 /nfs/dbraw/zinc/58/63/60/726586360.db2.gz IKCTYHUFDNSAOO-MNOVXSKESA-N -1 1 346.395 -0.555 20 0 EBADMM CC[C@@H](C(N)=O)N1CC=C(CNC(=O)c2cnc([O-])n(C)c2=O)CC1 ZINC001001037618 726588903 /nfs/dbraw/zinc/58/89/03/726588903.db2.gz RBNLOUHTNCWIBX-LBPRGKRZSA-N -1 1 349.391 -0.888 20 0 EBADMM CCn1cc(C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)nn1 ZINC001001306767 726602273 /nfs/dbraw/zinc/60/22/73/726602273.db2.gz CUQVHJOSCMPJQM-UHFFFAOYSA-N -1 1 346.395 -0.718 20 0 EBADMM CC1(C)C[C@@H]1C(=O)NCC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001001464265 726607558 /nfs/dbraw/zinc/60/75/58/726607558.db2.gz CKQZKGNABFIFIP-SNVBAGLBSA-N -1 1 344.375 -0.348 20 0 EBADMM O=C(CCn1cnnn1)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001540428 726611158 /nfs/dbraw/zinc/61/11/58/726611158.db2.gz CZLLUTLZLAKJTC-UHFFFAOYSA-N -1 1 331.336 -0.948 20 0 EBADMM NC(=O)[C@H]1CC[C@H]1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001543663 726611320 /nfs/dbraw/zinc/61/13/20/726611320.db2.gz HSZKTLAFPDEODI-WDEREUQCSA-N -1 1 332.360 -0.513 20 0 EBADMM CN1C(=O)CC[C@@H]1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001551366 726611635 /nfs/dbraw/zinc/61/16/35/726611635.db2.gz HPQYHQODNOZHRG-LLVKDONJSA-N -1 1 332.360 -0.404 20 0 EBADMM O=C(Cn1ncnn1)N1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncccc1[O-])C2 ZINC001002194171 726635227 /nfs/dbraw/zinc/63/52/27/726635227.db2.gz GAZQDEAHSMGVQG-MXWKQRLJSA-N -1 1 343.347 -0.664 20 0 EBADMM Cc1nnsc1C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001002320966 726638664 /nfs/dbraw/zinc/63/86/64/726638664.db2.gz KALJJHQMZOZALC-UHFFFAOYSA-N -1 1 337.409 -0.337 20 0 EBADMM CN(C[C@@H]1CCCN1C(=O)c1ncccc1[O-])C(=O)[C@@H]1CNC(=O)N1 ZINC001002411592 726640502 /nfs/dbraw/zinc/64/05/02/726640502.db2.gz KQLUDGUKQOOZNR-QWRGUYRKSA-N -1 1 347.375 -0.468 20 0 EBADMM Cc1cc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n(C)n1 ZINC001002426529 726640635 /nfs/dbraw/zinc/64/06/35/726640635.db2.gz LXVRAEIXLAZMPH-UHFFFAOYSA-N -1 1 333.396 -0.455 20 0 EBADMM CCn1cc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cn1 ZINC001002495773 726642127 /nfs/dbraw/zinc/64/21/27/726642127.db2.gz VDIFEDJEJKSLCG-UHFFFAOYSA-N -1 1 333.396 -0.281 20 0 EBADMM CN(C[C@H]1CCCN1C(=O)Cn1c(=O)[n-][nH]c1=O)C(=O)c1ccn[nH]1 ZINC001002762779 726646657 /nfs/dbraw/zinc/64/66/57/726646657.db2.gz FPTMJYWREJSVLN-SECBINFHSA-N -1 1 349.351 -0.824 20 0 EBADMM C[C@H](C(=O)N1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC001003318749 726669528 /nfs/dbraw/zinc/66/95/28/726669528.db2.gz HZIGAIUIAHQZBA-VIFPVBQESA-N -1 1 344.375 -0.348 20 0 EBADMM CC(C)=C(F)C(=O)N1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001003320059 726669708 /nfs/dbraw/zinc/66/97/08/726669708.db2.gz RNTZLXVAZZQGTI-UHFFFAOYSA-N -1 1 348.338 -0.131 20 0 EBADMM O=C(NCC1CN(C(=O)C2CCCC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001003320368 726669762 /nfs/dbraw/zinc/66/97/62/726669762.db2.gz UWRMIARYJSPJQF-UHFFFAOYSA-N -1 1 344.375 -0.204 20 0 EBADMM Cc1cnn(C)c1C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001003459206 726675464 /nfs/dbraw/zinc/67/54/64/726675464.db2.gz VYDDPIMYJIAQLI-UHFFFAOYSA-N -1 1 333.396 -0.455 20 0 EBADMM O=C(NC1CCN(Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1CCCc2n[nH]nc21 ZINC001003516460 726677682 /nfs/dbraw/zinc/67/76/82/726677682.db2.gz SCETUBTYXXPIHC-JTQLQIEISA-N -1 1 346.395 -0.171 20 0 EBADMM O=C(NCC1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1)c1cnon1 ZINC001003814277 726691628 /nfs/dbraw/zinc/69/16/28/726691628.db2.gz DQZQLGIORCQTHK-UHFFFAOYSA-N -1 1 344.331 -0.055 20 0 EBADMM NC(=O)c1c[nH]c(C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)c1 ZINC001003871736 726693165 /nfs/dbraw/zinc/69/31/65/726693165.db2.gz COJUWQUESLZNPA-UHFFFAOYSA-N -1 1 343.343 -0.284 20 0 EBADMM C[C@H]1CCN(C(=O)c2ccn[nH]2)C[C@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001005083026 726724304 /nfs/dbraw/zinc/72/43/04/726724304.db2.gz DJIXUVMGHBVNQT-IONNQARKSA-N -1 1 347.335 -0.714 20 0 EBADMM CN(C(=O)Cc1cnn(C)c1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005163812 726727549 /nfs/dbraw/zinc/72/75/49/726727549.db2.gz VKNSWNDGFJXWPQ-UHFFFAOYSA-N -1 1 347.423 -0.493 20 0 EBADMM Cn1nnc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3C(=O)c2ncccc2[O-])n1 ZINC001005177298 726727997 /nfs/dbraw/zinc/72/79/97/726727997.db2.gz DCZGKJODTBTYEY-BBBLOLIVSA-N -1 1 343.347 -0.514 20 0 EBADMM C[C@@H]1CO[C@@H](C(=O)N(C)C2CCN(Cc3nc(=O)n(C)[n-]3)CC2)C1 ZINC001005734512 726740247 /nfs/dbraw/zinc/74/02/47/726740247.db2.gz QVTVMHYKOYKLKG-WCQYABFASA-N -1 1 337.424 -0.044 20 0 EBADMM C[C@H](NC(=O)[C@@H]1COCCO1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005735911 726740306 /nfs/dbraw/zinc/74/03/06/726740306.db2.gz ZTJZCGWZWODCCU-GWCFXTLKSA-N -1 1 335.360 -0.221 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)Cn2ncnn2)C1 ZINC001005948900 726749387 /nfs/dbraw/zinc/74/93/87/726749387.db2.gz FEMAVFUXAZNTNX-VIFPVBQESA-N -1 1 331.336 -0.949 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)CN2CCCC2=O)C1 ZINC001005950831 726749558 /nfs/dbraw/zinc/74/95/58/726749558.db2.gz KJDPEXDCOGCISX-NSHDSACASA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2COC(=O)N2)C1 ZINC001005953632 726749902 /nfs/dbraw/zinc/74/99/02/726749902.db2.gz UPIGKPSOOVPFNL-SCZZXKLOSA-N -1 1 334.332 -0.528 20 0 EBADMM C[C@H](NC(=O)[C@@H]1CC(=O)N(C)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006232640 726758968 /nfs/dbraw/zinc/75/89/68/726758968.db2.gz RLRLLPWHMCQSKO-WDEREUQCSA-N -1 1 346.387 -0.158 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)C1CN(C(=O)C(F)F)C1 ZINC001006456331 726769919 /nfs/dbraw/zinc/76/99/19/726769919.db2.gz SGJDRKFKJOKNHK-RXMQYKEDSA-N -1 1 346.290 -0.262 20 0 EBADMM C/C=C(\C)C(=O)N1CC([C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001006461714 726770164 /nfs/dbraw/zinc/77/01/64/726770164.db2.gz FJAATZSBKBFFFW-WXLQGSQKSA-N -1 1 344.375 -0.040 20 0 EBADMM C/C=C(\C)C(=O)N1CC([C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001006461713 726770175 /nfs/dbraw/zinc/77/01/75/726770175.db2.gz FJAATZSBKBFFFW-LLGDCAAFSA-N -1 1 344.375 -0.040 20 0 EBADMM C[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)[C@@H]2C[C@H]2C)C1 ZINC001006468958 726770388 /nfs/dbraw/zinc/77/03/88/726770388.db2.gz SZPKQLSYLXGPAH-WCABBAIRSA-N -1 1 344.375 -0.350 20 0 EBADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)[C@@H]2C[C@H]2C)C1 ZINC001006468955 726770408 /nfs/dbraw/zinc/77/04/08/726770408.db2.gz SZPKQLSYLXGPAH-FXPVBKGRSA-N -1 1 344.375 -0.350 20 0 EBADMM Cc1cnc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001006632747 726776964 /nfs/dbraw/zinc/77/69/64/726776964.db2.gz BYDXUYSKPQWLIZ-NSHDSACASA-N -1 1 331.380 -0.399 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1 ZINC001007208703 726790444 /nfs/dbraw/zinc/79/04/44/726790444.db2.gz GMFRAZXOAGVHBB-LBPRGKRZSA-N -1 1 331.380 -0.399 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001007311256 726791855 /nfs/dbraw/zinc/79/18/55/726791855.db2.gz JXADQMJCNVYPIV-LLVKDONJSA-N -1 1 331.380 -0.399 20 0 EBADMM C[C@H](C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1)c1ccnn1C ZINC001007358165 726792761 /nfs/dbraw/zinc/79/27/61/726792761.db2.gz SJFBVBPISDSCJI-RYUDHWBXSA-N -1 1 347.423 -0.274 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)c3cnn4c3CCC4)C2)nc1=O ZINC001007467733 726794250 /nfs/dbraw/zinc/79/42/50/726794250.db2.gz ZCOJWMOAOTXREQ-NSHDSACASA-N -1 1 345.407 -0.355 20 0 EBADMM O=C(NC[C@@H]1[C@H]2CN(C(=O)[C@H]3COC(=O)N3)C[C@H]21)c1ncccc1[O-] ZINC001008972958 726837352 /nfs/dbraw/zinc/83/73/52/726837352.db2.gz HKEBXNDWVNDPDK-CHWFTXMASA-N -1 1 346.343 -0.670 20 0 EBADMM O=C(NC[C@@H]1[C@H]2CN(C(=O)c3nc[nH]n3)C[C@H]21)c1ncccc1[O-] ZINC001008981212 726838257 /nfs/dbraw/zinc/83/82/57/726838257.db2.gz WQODNXXGDSZPGO-OWUUHHOZSA-N -1 1 328.332 -0.347 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)NC[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001009518876 726926914 /nfs/dbraw/zinc/92/69/14/726926914.db2.gz QDIUMCJUQUAPQX-ROHXPCBUSA-N -1 1 344.371 -0.657 20 0 EBADMM Cc1nc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)cs1 ZINC001051785860 735392341 /nfs/dbraw/zinc/39/23/41/735392341.db2.gz LQTVXGNJYKRQBJ-SECBINFHSA-N -1 1 338.393 -0.094 20 0 EBADMM C[C@@H](NC(=O)c1nnc[nH]1)[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001009674761 726958636 /nfs/dbraw/zinc/95/86/36/726958636.db2.gz AMLAUNISODHLAJ-KOLCDFICSA-N -1 1 346.347 -0.435 20 0 EBADMM COCC(=O)N1C[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1C ZINC001009932264 727013459 /nfs/dbraw/zinc/01/34/59/727013459.db2.gz OTAJQBOVAHTABM-VHSXEESVSA-N -1 1 348.363 -0.969 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)N1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001010046570 727022378 /nfs/dbraw/zinc/02/23/78/727022378.db2.gz IQVFNTFDBXQAHV-NEPJUHHUSA-N -1 1 334.376 -0.747 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(C(=O)c2cc[nH]n2)CC1 ZINC001010413311 727087561 /nfs/dbraw/zinc/08/75/61/727087561.db2.gz AKDZFYQQQSWPPA-UHFFFAOYSA-N -1 1 348.319 -0.782 20 0 EBADMM CCC(=O)N1CCCC2(CN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)C1 ZINC001010738898 727136672 /nfs/dbraw/zinc/13/66/72/727136672.db2.gz APVFEBZDADEYAH-UHFFFAOYSA-N -1 1 348.403 -0.212 20 0 EBADMM O=C(CCC1CC1)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001010768649 727141013 /nfs/dbraw/zinc/14/10/13/727141013.db2.gz BFNRAZNITTUYNP-UHFFFAOYSA-N -1 1 344.375 -0.108 20 0 EBADMM C/C=C(\C)C(=O)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001010943420 727168043 /nfs/dbraw/zinc/16/80/43/727168043.db2.gz IUPWPDRAUGIXAN-XCVCLJGOSA-N -1 1 330.348 -0.332 20 0 EBADMM O=C(C[C@H]1CCC(=O)NC1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011035473 727185912 /nfs/dbraw/zinc/18/59/12/727185912.db2.gz AIUNRJCNRHHJIE-GFCCVEGCSA-N -1 1 346.387 -0.012 20 0 EBADMM O=C(C[C@@H]1CCC(=O)NC1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011035482 727186173 /nfs/dbraw/zinc/18/61/73/727186173.db2.gz AIUNRJCNRHHJIE-LBPRGKRZSA-N -1 1 346.387 -0.012 20 0 EBADMM O=C(c1ncccc1[O-])N1CCN(C(=O)[C@H]2CCNC(=O)CC2)CC1 ZINC001011037641 727187045 /nfs/dbraw/zinc/18/70/45/727187045.db2.gz FJZVHBHDHOHFPC-GFCCVEGCSA-N -1 1 346.387 -0.012 20 0 EBADMM CCN1C[C@@H](C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)CC1=O ZINC001011037936 727187154 /nfs/dbraw/zinc/18/71/54/727187154.db2.gz UEXZVBBPCQBPHC-LBPRGKRZSA-N -1 1 346.387 -0.060 20 0 EBADMM Cc1ccnn1CC(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051849089 735426893 /nfs/dbraw/zinc/42/68/93/735426893.db2.gz DRYJWCQIFNOTHO-NSHDSACASA-N -1 1 335.368 -0.968 20 0 EBADMM CCCn1cc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001051854242 735429679 /nfs/dbraw/zinc/42/96/79/735429679.db2.gz VZJRQOJEMGJOMA-GFCCVEGCSA-N -1 1 349.395 -0.252 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN1C(=O)C1CC1 ZINC001011355750 727518627 /nfs/dbraw/zinc/51/86/27/727518627.db2.gz RXVKFUXOAQEMQC-WCBMZHEXSA-N -1 1 330.348 -0.453 20 0 EBADMM C[C@H]1C[C@H]1CC(=O)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001011637143 727552048 /nfs/dbraw/zinc/55/20/48/727552048.db2.gz BTUROWBPLQFTBL-QWRGUYRKSA-N -1 1 344.375 -0.252 20 0 EBADMM C[C@H]1[C@H](NC(=O)CN2CCOCC2)CCN1C(=O)c1ncccc1[O-] ZINC001011671003 727556343 /nfs/dbraw/zinc/55/63/43/727556343.db2.gz CZJNOWFTDBVLIW-QWHCGFSZSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2nnn(C)n2)CCN1C(=O)c1ncccc1[O-] ZINC001011681988 727558236 /nfs/dbraw/zinc/55/82/36/727558236.db2.gz ZOPMWOUVOHEQDK-DTWKUNHWSA-N -1 1 331.336 -0.656 20 0 EBADMM CC(=O)N1CC(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])[C@@H]2C)C1 ZINC001011693037 727559857 /nfs/dbraw/zinc/55/98/57/727559857.db2.gz IYUKRSOKYNTKCA-GXFFZTMASA-N -1 1 346.387 -0.015 20 0 EBADMM C[C@@H]1[C@H](NC(=O)C2CC2)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001011899602 727599931 /nfs/dbraw/zinc/59/99/31/727599931.db2.gz QQSHENPIOCUECP-ZYHUDNBSSA-N -1 1 334.376 -0.558 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CN1C(=O)C(F)F ZINC001012122907 727639280 /nfs/dbraw/zinc/63/92/80/727639280.db2.gz VINCIDFYQKTYGI-RNFRBKRXSA-N -1 1 340.290 -0.598 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CN1C(=O)C1CC1 ZINC001012135876 727639744 /nfs/dbraw/zinc/63/97/44/727639744.db2.gz SLMMDPQOEOQJAF-WPRPVWTQSA-N -1 1 330.348 -0.453 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2C[C@@H](C)N(C(=O)CCc3nc[nH]n3)C2)c1[O-] ZINC001012455663 727667533 /nfs/dbraw/zinc/66/75/33/727667533.db2.gz PTIZCOFYOXXJCH-PSASIEDQSA-N -1 1 347.379 -0.106 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2cnnn2C)CN1C(=O)c1ncccc1[O-] ZINC001012514389 727674133 /nfs/dbraw/zinc/67/41/33/727674133.db2.gz YDNFBWKNASLVHP-VHSXEESVSA-N -1 1 330.348 -0.051 20 0 EBADMM Cc1nc[nH]c1CC(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051917309 735460554 /nfs/dbraw/zinc/46/05/54/735460554.db2.gz WLSAOXBIXYOKRX-JTQLQIEISA-N -1 1 335.368 -0.898 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)C(N)=O)CN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001012616120 727691162 /nfs/dbraw/zinc/69/11/62/727691162.db2.gz FFYNVUQIRYSXMD-IONNQARKSA-N -1 1 333.348 -0.736 20 0 EBADMM O=C(c1ccc(=O)[nH]c1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051922008 735463122 /nfs/dbraw/zinc/46/31/22/735463122.db2.gz NIRSSSWNOVTKJY-JTQLQIEISA-N -1 1 334.336 -0.758 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1cnn(C)n1 ZINC001013226627 727784881 /nfs/dbraw/zinc/78/48/81/727784881.db2.gz ZQWBMPHTANVRMD-VHSXEESVSA-N -1 1 330.348 -0.051 20 0 EBADMM C/C=C(\C)C(=O)N1C[C@@H]2C(NC(=O)c3cc(=O)n4[n-]cnc4n3)[C@@H]2C1 ZINC001013447359 727806192 /nfs/dbraw/zinc/80/61/92/727806192.db2.gz OSXPAODLBOSEAT-TVVRMRJBSA-N -1 1 342.359 -0.430 20 0 EBADMM Cc1n[nH]c(C)c1CC(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051930397 735468880 /nfs/dbraw/zinc/46/88/80/735468880.db2.gz NOWHDSQMIAIYSS-NSHDSACASA-N -1 1 349.395 -0.590 20 0 EBADMM C[C@@H]1CN(C(=O)CNC(N)=O)C[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001051935624 735473038 /nfs/dbraw/zinc/47/30/38/735473038.db2.gz QXXONJHEOBXPRD-ZJUUUORDSA-N -1 1 335.364 -0.720 20 0 EBADMM C[C@@H]1CN(C(=O)CNC(N)=O)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC001051935620 735473071 /nfs/dbraw/zinc/47/30/71/735473071.db2.gz QXXONJHEOBXPRD-NXEZZACHSA-N -1 1 335.364 -0.720 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2C[C@@H](C)N(C(=O)CCc3c[nH]nn3)C2)c1[O-] ZINC001014080822 727890251 /nfs/dbraw/zinc/89/02/51/727890251.db2.gz UFLPLYPTEILXDS-KCJUWKMLSA-N -1 1 347.379 -0.106 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)Cn1cncn1 ZINC001014084389 727894078 /nfs/dbraw/zinc/89/40/78/727894078.db2.gz QMSRZEZYKGTSLK-QWRGUYRKSA-N -1 1 330.348 -0.202 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c(C)nn1 ZINC001014563466 727969736 /nfs/dbraw/zinc/96/97/36/727969736.db2.gz WXYCOFWHWXFWOF-NSHDSACASA-N -1 1 331.380 -0.480 20 0 EBADMM CCC(=O)N[C@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)[C@H]1C ZINC001014626018 727982393 /nfs/dbraw/zinc/98/23/93/727982393.db2.gz GIVHRXLVHSZJQK-RYUDHWBXSA-N -1 1 336.392 -0.168 20 0 EBADMM CCC(=O)N[C@@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H]1C ZINC001014657523 727988843 /nfs/dbraw/zinc/98/88/43/727988843.db2.gz ZYTXNGAIAOTCLT-NXEZZACHSA-N -1 1 332.364 -0.063 20 0 EBADMM C[C@H]1[C@@H](NC(=O)C2CC2)CCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001014679409 727991136 /nfs/dbraw/zinc/99/11/36/727991136.db2.gz MQFJKHVJLWTBEG-AAEUAGOBSA-N -1 1 348.403 -0.168 20 0 EBADMM Cc1cc(=O)c(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1C ZINC001014819447 728015427 /nfs/dbraw/zinc/01/54/27/728015427.db2.gz FITCEXLBAHLYPX-NSHDSACASA-N -1 1 346.391 -0.880 20 0 EBADMM CCc1c(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001015079712 728072383 /nfs/dbraw/zinc/07/23/83/728072383.db2.gz BDGSMEJFAMQOAU-SNVBAGLBSA-N -1 1 333.396 -0.591 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cn4cccnc4n3)C2)nc1=O ZINC001015083347 728074124 /nfs/dbraw/zinc/07/41/24/728074124.db2.gz HJOSCNREWDBOIO-JTQLQIEISA-N -1 1 342.363 -0.845 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CCCN1C(=O)c1ccn[nH]1 ZINC001015103914 728079232 /nfs/dbraw/zinc/07/92/32/728079232.db2.gz XLBGEXWTEMVUKP-JGVFFNPUSA-N -1 1 347.335 -0.571 20 0 EBADMM O=C(N[C@@H]1CCN(C[C@@H](O)c2ccccc2)C1)c1n[nH]c(=O)[n-]c1=O ZINC001015109341 728080602 /nfs/dbraw/zinc/08/06/02/728080602.db2.gz IRXXZKFSPXESEL-VXGBXAGGSA-N -1 1 345.359 -0.180 20 0 EBADMM Cc1cc(=O)oc(C)c1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001015188988 728109160 /nfs/dbraw/zinc/10/91/60/728109160.db2.gz NUSISPDSANTMDN-LLVKDONJSA-N -1 1 347.375 -0.317 20 0 EBADMM CCc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cc(=O)[nH]1 ZINC001015306837 728395790 /nfs/dbraw/zinc/39/57/90/728395790.db2.gz LYRZNVRAOCWCIQ-GFCCVEGCSA-N -1 1 346.391 -0.224 20 0 EBADMM CC(C)n1ccc(CC(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001015345493 728402759 /nfs/dbraw/zinc/40/27/59/728402759.db2.gz QGRFZPZDPYLAQY-CYBMUJFWSA-N -1 1 347.423 -0.181 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@H]3Cc4ccncc4C3)C2)nc1=O ZINC001015710151 728429048 /nfs/dbraw/zinc/42/90/48/728429048.db2.gz GMRIZZVQBJKEKZ-GXTWGEPZSA-N -1 1 342.403 -0.391 20 0 EBADMM Cn1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c(Cl)n1 ZINC001015978803 728440165 /nfs/dbraw/zinc/44/01/65/728440165.db2.gz OWHZHBXXKGVMNR-QMMMGPOBSA-N -1 1 339.787 -0.500 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3noc4c3COCC4)C2)nc1=O ZINC001016301252 728452808 /nfs/dbraw/zinc/45/28/08/728452808.db2.gz WKCVSTKCGTZLSJ-VIFPVBQESA-N -1 1 348.363 -0.827 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@@H]3CCc4c[nH]nc4C3)C2)nc1=O ZINC001016306191 728454066 /nfs/dbraw/zinc/45/40/66/728454066.db2.gz NZOJAWIPMWIQEZ-ZYHUDNBSSA-N -1 1 345.407 -0.673 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)C1CCOCC1 ZINC001016431056 728472809 /nfs/dbraw/zinc/47/28/09/728472809.db2.gz DFJXZFAURDBBLF-WCQYABFASA-N -1 1 337.424 -0.138 20 0 EBADMM CN(C(=O)[C@H]1CC(=O)N(C)C1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016617446 728484540 /nfs/dbraw/zinc/48/45/40/728484540.db2.gz XVNQDNGWJBDCPP-UNXYVOJBSA-N -1 1 346.387 -0.015 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)c2ncn(C)n2)C1 ZINC001017115913 728548110 /nfs/dbraw/zinc/54/81/10/728548110.db2.gz QXRQRZDCADLEEK-JTQLQIEISA-N -1 1 334.384 -0.377 20 0 EBADMM CCC(=O)N[C@H]1CC[C@H](C)N(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001017861783 728620968 /nfs/dbraw/zinc/62/09/68/728620968.db2.gz NPZNVZNYZUKWPA-RYUDHWBXSA-N -1 1 336.392 -0.168 20 0 EBADMM CCn1cc(CC(=O)N2C[C@H](NC(=O)c3cnn[nH]3)CC[C@H]2C)nn1 ZINC001018251226 728649897 /nfs/dbraw/zinc/64/98/97/728649897.db2.gz PYUHJNRCIPWECD-GHMZBOCLSA-N -1 1 346.395 -0.232 20 0 EBADMM CC(C)n1ccc(CN[C@H]2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)n1 ZINC001019710785 728976495 /nfs/dbraw/zinc/97/64/95/728976495.db2.gz VRZHELLNZJOHLU-LBPRGKRZSA-N -1 1 349.395 -0.143 20 0 EBADMM CC(=O)N(C)C[C@H]1CCCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001019777293 728989318 /nfs/dbraw/zinc/98/93/18/728989318.db2.gz CNAKIWZHZGHEOB-LLVKDONJSA-N -1 1 332.364 -0.109 20 0 EBADMM NC(=O)CC(=O)N1CC[C@@H]2OCCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC001019862919 729015373 /nfs/dbraw/zinc/01/53/73/729015373.db2.gz DFQDXHCHNFFANY-JQWIXIFHSA-N -1 1 348.359 -0.896 20 0 EBADMM NC(=O)CC(=O)N1CCO[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC001019874638 729021142 /nfs/dbraw/zinc/02/11/42/729021142.db2.gz KXGKPFUODGZCFF-CMPLNLGQSA-N -1 1 348.359 -0.896 20 0 EBADMM C[C@H]1[C@@H](NC(=O)[C@@H]2COCCN2C)CCN1C(=O)c1ncccc1[O-] ZINC001020205252 729099538 /nfs/dbraw/zinc/09/95/38/729099538.db2.gz DIYQURWQGNNPDN-AVGNSLFASA-N -1 1 348.403 -0.163 20 0 EBADMM O=C(C[C@@H]1CCCS1(=O)=O)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001020434727 729166648 /nfs/dbraw/zinc/16/66/48/729166648.db2.gz KZWBSAYKPLJUHK-GUBZILKMSA-N -1 1 343.409 -0.786 20 0 EBADMM CCn1ccnc1CN[C@H]1C[C@H](NC(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001020459312 729175182 /nfs/dbraw/zinc/17/51/82/729175182.db2.gz HMIAOYXHPPVQIU-XYPYZODXSA-N -1 1 346.391 -0.247 20 0 EBADMM Cn1nncc1C(=O)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001021442331 729314147 /nfs/dbraw/zinc/31/41/47/729314147.db2.gz KIKSIZMEPNFMMF-CMPLNLGQSA-N -1 1 342.359 -0.098 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@H](CCCN3C(=O)c3ncc[nH]3)C2)nc1=O ZINC001021580076 729324175 /nfs/dbraw/zinc/32/41/75/729324175.db2.gz BVJCQZZHCSSPMW-VXGBXAGGSA-N -1 1 345.407 -0.042 20 0 EBADMM CCCn1ncnc1CN[C@H]1C[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001022369378 729485952 /nfs/dbraw/zinc/48/59/52/729485952.db2.gz GEJJOIKBFROEOB-DTORHVGOSA-N -1 1 348.367 -0.665 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](CNC(=O)C3CCOCC3)C2)nc1=O ZINC001023197098 729635006 /nfs/dbraw/zinc/63/50/06/729635006.db2.gz ATDQJVWJFDFLJI-GFCCVEGCSA-N -1 1 337.424 -0.137 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2CCCN([C@@H]3CCC(=O)NC3=O)C2)c1[O-] ZINC001023307209 729661209 /nfs/dbraw/zinc/66/12/09/729661209.db2.gz VDNUAXRGCOCACO-GHMZBOCLSA-N -1 1 349.391 -0.329 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](CNC(=O)c3cc[nH]c(=O)c3)C2)nc1=O ZINC001023306884 729661281 /nfs/dbraw/zinc/66/12/81/729661281.db2.gz OVMCBGOPXYYFOV-NSHDSACASA-N -1 1 346.391 -0.149 20 0 EBADMM Cn1cnc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)c1 ZINC001023398145 729678019 /nfs/dbraw/zinc/67/80/19/729678019.db2.gz FIXKFKUPFQWOBW-BXKDBHETSA-N -1 1 331.332 -0.864 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CN(C(=O)CCc3cnc[nH]3)C[C@H]2O)c1[O-] ZINC001023421962 729684893 /nfs/dbraw/zinc/68/48/93/729684893.db2.gz HUPGUZGFLOROFN-GHMZBOCLSA-N -1 1 348.363 -0.919 20 0 EBADMM Cn1nccc1CN1CCC[C@@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001023468814 729696078 /nfs/dbraw/zinc/69/60/78/729696078.db2.gz ZTOICIUZAYDZDW-JTQLQIEISA-N -1 1 347.379 -0.342 20 0 EBADMM CCc1oncc1C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023494091 729704652 /nfs/dbraw/zinc/70/46/52/729704652.db2.gz ZHISRTOUGTUEQS-ZYHUDNBSSA-N -1 1 346.343 -0.047 20 0 EBADMM CCn1nncc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001023606870 729736847 /nfs/dbraw/zinc/73/68/47/729736847.db2.gz UMUKYOBSGIULBG-BXKDBHETSA-N -1 1 346.347 -0.986 20 0 EBADMM CCn1cc(C(=O)NC[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001023673641 729751742 /nfs/dbraw/zinc/75/17/42/729751742.db2.gz WGSIUXWLJGNLBF-NSHDSACASA-N -1 1 348.411 -0.638 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H]2CNC(=O)c2cc[n+]([O-])cc2)nc1=O ZINC001024315901 729873189 /nfs/dbraw/zinc/87/31/89/729873189.db2.gz DFNAKSPHFAXWSU-CYBMUJFWSA-N -1 1 346.391 -0.474 20 0 EBADMM CCn1nncc1C(=O)NC[C@@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001024423844 729886023 /nfs/dbraw/zinc/88/60/23/729886023.db2.gz MWJJPHHDVGXWFQ-NSHDSACASA-N -1 1 348.411 -0.496 20 0 EBADMM CCc1n[nH]cc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001024535195 729899843 /nfs/dbraw/zinc/89/98/43/729899843.db2.gz CDIDDEWARGTRCV-DGCLKSJQSA-N -1 1 345.359 -0.312 20 0 EBADMM Cn1cc(C(=O)NC[C@@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)[nH]c1=O ZINC001024631601 729913937 /nfs/dbraw/zinc/91/39/37/729913937.db2.gz NTAFFMSCRFWKNQ-JTQLQIEISA-N -1 1 349.395 -0.668 20 0 EBADMM CC1(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)CCOCC1 ZINC001024674283 729917311 /nfs/dbraw/zinc/91/73/11/729917311.db2.gz DUGXODOIFIRTQM-DGCLKSJQSA-N -1 1 349.387 -0.095 20 0 EBADMM O=C(CCn1cncn1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024872132 729937811 /nfs/dbraw/zinc/93/78/11/729937811.db2.gz YCZULPJKQACHQK-LLVKDONJSA-N -1 1 334.384 -0.337 20 0 EBADMM Cn1cc(CNC2CCC(NC(=O)c3n[nH]c(=O)[n-]c3=O)CC2)nn1 ZINC001026522379 730148564 /nfs/dbraw/zinc/14/85/64/730148564.db2.gz DXCGEYHWINKYCI-UHFFFAOYSA-N -1 1 348.367 -0.758 20 0 EBADMM Cc1nnc([C@@H](C)NC[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC001026940237 730173712 /nfs/dbraw/zinc/17/37/12/730173712.db2.gz DHQIGOWIVGAPOY-APPZFPTMSA-N -1 1 349.351 -0.213 20 0 EBADMM Cc1noc(CNC[C@H]2CCN(C(=O)c3cnc([O-])n(C)c3=O)C2)n1 ZINC001026986160 730178825 /nfs/dbraw/zinc/17/88/25/730178825.db2.gz JATONVOUGZJGGW-SNVBAGLBSA-N -1 1 348.363 -0.571 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N1CC[C@H](CNCc2csnn2)C1 ZINC001027191574 730196114 /nfs/dbraw/zinc/19/61/14/730196114.db2.gz QKJPCFLENMSJSD-MRVPVSSYSA-N -1 1 339.381 -0.821 20 0 EBADMM CC[C@@H](C(N)=O)N(C)[C@H]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001027479548 730218491 /nfs/dbraw/zinc/21/84/91/730218491.db2.gz JNMZYMHUVAAJPT-IUCAKERBSA-N -1 1 338.368 -0.917 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H]2CNC(=O)c2cc[n+]([O-])cc2)nc1=O ZINC001027742646 730236916 /nfs/dbraw/zinc/23/69/16/730236916.db2.gz VETVWCUFASNXTG-GFCCVEGCSA-N -1 1 332.364 -0.864 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H]2CNC(=O)c2cccc(=O)[nH]2)nc1=O ZINC001027810699 730240432 /nfs/dbraw/zinc/24/04/32/730240432.db2.gz RKHNEZNSAPKHMB-SNVBAGLBSA-N -1 1 332.364 -0.397 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCCN2CCN2C(=O)CCC2=O)c1[O-] ZINC001027821713 730241030 /nfs/dbraw/zinc/24/10/30/730241030.db2.gz PSMXKIQMLNRFON-NSHDSACASA-N -1 1 349.391 -0.233 20 0 EBADMM O=C(C[N@H+]1CCC[C@@H]1CNC(=O)c1cnc[nH]c1=O)N1CCOCC1 ZINC001027958074 730251787 /nfs/dbraw/zinc/25/17/87/730251787.db2.gz YZVRGXWEUGGQGO-GFCCVEGCSA-N -1 1 349.391 -0.765 20 0 EBADMM Cn1[nH]c(CN2CCC[C@@H]2CNC(=O)[C@H]2CCCC[N@H+]2C)nc1=O ZINC001027972220 730252977 /nfs/dbraw/zinc/25/29/77/730252977.db2.gz RYKBDBILBTVLRR-CHWSQXEVSA-N -1 1 336.440 -0.327 20 0 EBADMM Cc1nn(C)cc1CN1CCC[C@@H]1CNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001027999430 730255826 /nfs/dbraw/zinc/25/58/26/730255826.db2.gz ICVMIBIUWXNKLQ-LLVKDONJSA-N -1 1 347.379 -0.281 20 0 EBADMM Cn1cc(CN2CCC[C@H]2CNC(=O)c2cnc([O-])n(C)c2=O)cn1 ZINC001028069013 730261903 /nfs/dbraw/zinc/26/19/03/730261903.db2.gz AALRPZZGAJESTA-LBPRGKRZSA-N -1 1 346.391 -0.386 20 0 EBADMM Cc1cc(=O)[nH]cc1C(=O)NC[C@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001028130345 730269244 /nfs/dbraw/zinc/26/92/44/730269244.db2.gz MCBQSTRVODKBMV-LLVKDONJSA-N -1 1 346.391 -0.088 20 0 EBADMM Cc1cc(=O)c(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)c[nH]1 ZINC001028240491 730277222 /nfs/dbraw/zinc/27/72/22/730277222.db2.gz YFVNINBTDKTHCM-LLVKDONJSA-N -1 1 346.391 -0.500 20 0 EBADMM CCc1nc(C(=O)NC[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)co1 ZINC001028492995 730300304 /nfs/dbraw/zinc/30/03/04/730300304.db2.gz GALWFVBQVOZWFA-JTQLQIEISA-N -1 1 334.380 -0.089 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)C3CCC(O)CC3)C2)nc1=O ZINC001028510712 730303256 /nfs/dbraw/zinc/30/32/56/730303256.db2.gz LCYVARLFPRCMEX-HIFPTAJRSA-N -1 1 337.424 -0.402 20 0 EBADMM O=C(NC[C@H]1CCN(Cc2ccns2)C1)c1n[nH]c(=O)[n-]c1=O ZINC001028662222 730334351 /nfs/dbraw/zinc/33/43/51/730334351.db2.gz VDZUAYBGBIHUKR-MRVPVSSYSA-N -1 1 336.377 -0.009 20 0 EBADMM Cc1cnc(CN2CC[C@@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)cn1 ZINC001028662499 730334777 /nfs/dbraw/zinc/33/47/77/730334777.db2.gz YHJGVZCWCXUUHX-JTQLQIEISA-N -1 1 345.363 -0.367 20 0 EBADMM COc1cncc(C(=O)NC[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001028848754 730363030 /nfs/dbraw/zinc/36/30/30/730363030.db2.gz NVMQPZKUOWHRBY-JTQLQIEISA-N -1 1 347.379 -0.841 20 0 EBADMM Cc1ncncc1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029225759 730393804 /nfs/dbraw/zinc/39/38/04/730393804.db2.gz FTEBRWJLKMPBKA-TXEJJXNPSA-N -1 1 343.391 -0.304 20 0 EBADMM COC(=O)[C@@H]1C[C@@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029239989 730394182 /nfs/dbraw/zinc/39/41/82/730394182.db2.gz HEQQBSJKKDXTIP-WHOHXGKFSA-N -1 1 349.391 -0.907 20 0 EBADMM Cc1ncn(C)c1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029349001 730400610 /nfs/dbraw/zinc/40/06/10/730400610.db2.gz LYOKKBGHSYOGJC-TXEJJXNPSA-N -1 1 345.407 -0.361 20 0 EBADMM C[C@H]1OCC[C@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029527456 730409174 /nfs/dbraw/zinc/40/91/74/730409174.db2.gz JUJUBWPOBYXXSZ-FVCCEPFGSA-N -1 1 335.408 -0.291 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)Cc2cnn(C)c2)C1 ZINC001029674745 730482065 /nfs/dbraw/zinc/48/20/65/730482065.db2.gz RWESGLIPLKFUTL-NSHDSACASA-N -1 1 333.396 -0.233 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)Cc2cnn(C)c2)C1 ZINC001029674744 730482117 /nfs/dbraw/zinc/48/21/17/730482117.db2.gz RWESGLIPLKFUTL-LLVKDONJSA-N -1 1 333.396 -0.233 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)[C@H]2COCCN2C)C1 ZINC001029709852 730485570 /nfs/dbraw/zinc/48/55/70/730485570.db2.gz KZQDDEVBCMBQFN-VXGBXAGGSA-N -1 1 338.412 -0.879 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)CCn2ccnn2)C1 ZINC001029719865 730488083 /nfs/dbraw/zinc/48/80/83/730488083.db2.gz PJGFMWSOBAOYJF-LLVKDONJSA-N -1 1 334.384 -0.528 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)Cc2cncnc2)C1 ZINC001029720261 730488554 /nfs/dbraw/zinc/48/85/54/730488554.db2.gz CGFXEBKLVZAJBY-NSHDSACASA-N -1 1 331.380 -0.177 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)Cc2ccn(C)n2)C1 ZINC001029737934 730492017 /nfs/dbraw/zinc/49/20/17/730492017.db2.gz ZKZYFSPXOKXYCO-LLVKDONJSA-N -1 1 333.396 -0.233 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)CO[C@@H]2CCOC2)C1 ZINC001029739519 730492624 /nfs/dbraw/zinc/49/26/24/730492624.db2.gz JQOSOSZNMSTLSM-VXGBXAGGSA-N -1 1 339.396 -0.404 20 0 EBADMM COc1nn(C)cc1C(=O)N1CC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001029799651 730502913 /nfs/dbraw/zinc/50/29/13/730502913.db2.gz BRTXYBIYTHGGFQ-SNVBAGLBSA-N -1 1 349.395 -0.154 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)COc2cnn(C)c2)C1 ZINC001029817256 730506907 /nfs/dbraw/zinc/50/69/07/730506907.db2.gz BJEGDFABXIWNJS-NSHDSACASA-N -1 1 349.395 -0.397 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)[C@]2(C)CCC(=O)N2)C1 ZINC001029830563 730510709 /nfs/dbraw/zinc/51/07/09/730510709.db2.gz FASNGANHWFMXTL-BMIGLBTASA-N -1 1 336.396 -0.541 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001029841615 730511554 /nfs/dbraw/zinc/51/15/54/730511554.db2.gz NSCXNIWLFQEFCY-VIFPVBQESA-N -1 1 347.379 -0.469 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001029905963 730523419 /nfs/dbraw/zinc/52/34/19/730523419.db2.gz YGTPKMTXNZQVLH-VIFPVBQESA-N -1 1 347.379 -0.469 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cn(C4CCC4)nn3)C2)nc1=O ZINC001030324841 730568589 /nfs/dbraw/zinc/56/85/89/730568589.db2.gz CNTJDCBRUZTHTH-UHFFFAOYSA-N -1 1 332.368 -0.961 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3[nH]cnc3C(C)(C)C)C2)nc1=O ZINC001030337729 730569629 /nfs/dbraw/zinc/56/96/29/730569629.db2.gz FPXUNLMUGUAJNZ-UHFFFAOYSA-N -1 1 333.396 -0.257 20 0 EBADMM C[C@@H]1CCCc2ncc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)n21 ZINC001030345904 730570950 /nfs/dbraw/zinc/57/09/50/730570950.db2.gz LLLWKMINUJJWPP-SNVBAGLBSA-N -1 1 345.407 -0.184 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)C[C@H]3Cc4ccccc4O3)C2)nc1=O ZINC001030358626 730573253 /nfs/dbraw/zinc/57/32/53/730573253.db2.gz CPTMSXKWGFOUIN-CYBMUJFWSA-N -1 1 343.387 -0.197 20 0 EBADMM Cc1c(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)nn2c1OCCC2 ZINC001030406193 730581533 /nfs/dbraw/zinc/58/15/33/730581533.db2.gz WNPDHSDRSFEUJV-UHFFFAOYSA-N -1 1 347.379 -0.990 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)Cc3cnn4ccccc34)C2)nc1=O ZINC001030460550 730590238 /nfs/dbraw/zinc/59/02/38/730590238.db2.gz DXAYTXRGINLUSJ-UHFFFAOYSA-N -1 1 341.375 -0.701 20 0 EBADMM COc1cncc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC001030524756 730598943 /nfs/dbraw/zinc/59/89/43/730598943.db2.gz MWLJEKMJGODITD-UHFFFAOYSA-N -1 1 332.364 -0.565 20 0 EBADMM CN(C)c1ccc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001030596897 730610537 /nfs/dbraw/zinc/61/05/37/730610537.db2.gz OSEODSOKOFJCKE-UHFFFAOYSA-N -1 1 331.380 -0.816 20 0 EBADMM Cc1nc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c2ccccn12 ZINC001030676784 730622782 /nfs/dbraw/zinc/62/27/82/730622782.db2.gz WVSSKKGUAGKQEF-UHFFFAOYSA-N -1 1 341.375 -0.321 20 0 EBADMM Cc1nc(C)c(CC(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)s1 ZINC001030706769 730627064 /nfs/dbraw/zinc/62/70/64/730627064.db2.gz RJKFCHRBPXMQBP-UHFFFAOYSA-N -1 1 336.421 -0.275 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cc(-c4ccco4)on3)C2)nc1=O ZINC001030761711 730634248 /nfs/dbraw/zinc/63/42/48/730634248.db2.gz JYCAQEFXGSSXLB-UHFFFAOYSA-N -1 1 344.331 -0.029 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cc(-c4ccco4)n[nH]3)C2)nc1=O ZINC001030997822 730663895 /nfs/dbraw/zinc/66/38/95/730663895.db2.gz WJTQSWGSDRRIMF-UHFFFAOYSA-N -1 1 343.347 -0.294 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@H]3[C@@H]4c5ccccc5C[C@H]34)C2)nc1=O ZINC001031063316 730670316 /nfs/dbraw/zinc/67/03/16/730670316.db2.gz QHDZNECJRBKKRK-NUEKZKHPSA-N -1 1 339.399 -0.005 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ccc4cccnn43)C2)nc1=O ZINC001031066928 730670508 /nfs/dbraw/zinc/67/05/08/730670508.db2.gz OMMGRVBZBFVDLY-UHFFFAOYSA-N -1 1 327.348 -0.630 20 0 EBADMM Cc1nc([C@@H](C)N2CC(NC(=O)c3c[n-]n4c3nccc4=O)C2)n[nH]1 ZINC001031067651 730670551 /nfs/dbraw/zinc/67/05/51/730670551.db2.gz ALVYLRSZYQECIK-MRVPVSSYSA-N -1 1 342.363 -0.376 20 0 EBADMM Cc1nc(C2CC2)oc1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031074829 730671414 /nfs/dbraw/zinc/67/14/14/730671414.db2.gz VVFXYHOIGYCSGB-UHFFFAOYSA-N -1 1 332.364 -0.104 20 0 EBADMM CCn1nc(C)c(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC001031113113 730677094 /nfs/dbraw/zinc/67/70/94/730677094.db2.gz VDPMFLRMDKGKGF-UHFFFAOYSA-N -1 1 333.396 -0.444 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)Cn3ncc4ccccc43)C2)nc1=O ZINC001031117313 730677924 /nfs/dbraw/zinc/67/79/24/730677924.db2.gz MMNDGTFZAMUWKE-UHFFFAOYSA-N -1 1 341.375 -0.541 20 0 EBADMM CC(=O)Nc1cc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)ccn1 ZINC001031127464 730679215 /nfs/dbraw/zinc/67/92/15/730679215.db2.gz HMEKJFYEXGSEMS-UHFFFAOYSA-N -1 1 345.363 -0.924 20 0 EBADMM Cc1nc2ccnn2cc1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031169068 730683749 /nfs/dbraw/zinc/68/37/49/730683749.db2.gz XHBNRHCNWPKWKW-UHFFFAOYSA-N -1 1 342.363 -0.926 20 0 EBADMM Cn1nc2ccccc2c1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031200045 730685394 /nfs/dbraw/zinc/68/53/94/730685394.db2.gz RLLGDUSLDGLDOB-UHFFFAOYSA-N -1 1 341.375 -0.391 20 0 EBADMM COCc1cccc(CC(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001031242821 730691558 /nfs/dbraw/zinc/69/15/58/730691558.db2.gz NVJTZLVDYBJOIK-UHFFFAOYSA-N -1 1 345.403 -0.202 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(Cc2nccn2C)C1 ZINC001031249721 730693215 /nfs/dbraw/zinc/69/32/15/730693215.db2.gz FNHJDWWMDAVYJV-UHFFFAOYSA-N -1 1 334.336 -0.756 20 0 EBADMM CCn1ncnc1CN1CC(NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC001031250060 730693882 /nfs/dbraw/zinc/69/38/82/730693882.db2.gz RNCOKVXMQDFFEA-UHFFFAOYSA-N -1 1 349.351 -0.878 20 0 EBADMM Cc1ccncc1CN1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001031250109 730693961 /nfs/dbraw/zinc/69/39/61/730693961.db2.gz UCKNSLYMGZBDGA-UHFFFAOYSA-N -1 1 339.359 -0.265 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(Cc2cc(C)ncn2)C1 ZINC001031249947 730693994 /nfs/dbraw/zinc/69/39/94/730693994.db2.gz MKBUJQXDASVUDB-UHFFFAOYSA-N -1 1 346.347 -0.391 20 0 EBADMM Cc1nnc([C@@H](C)N2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)o1 ZINC001031254315 730695633 /nfs/dbraw/zinc/69/56/33/730695633.db2.gz ZIBBWCXSMWAJLK-SSDOTTSWSA-N -1 1 344.335 -0.716 20 0 EBADMM CN(C)c1ccccc1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031474633 730727021 /nfs/dbraw/zinc/72/70/21/730727021.db2.gz ORGOGGUHXCBSQY-UHFFFAOYSA-N -1 1 330.392 -0.211 20 0 EBADMM CCc1ncsc1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031715646 730755836 /nfs/dbraw/zinc/75/58/36/730755836.db2.gz XPAJBDXYAABUDS-UHFFFAOYSA-N -1 1 336.421 -0.011 20 0 EBADMM CCc1cccc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001031736686 730759466 /nfs/dbraw/zinc/75/94/66/730759466.db2.gz OUVLZHPFBDFRRU-UHFFFAOYSA-N -1 1 330.392 -0.072 20 0 EBADMM COc1cncc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC001031770667 730766117 /nfs/dbraw/zinc/76/61/17/730766117.db2.gz LDJRCEWNVNYVQW-UHFFFAOYSA-N -1 1 346.391 -0.318 20 0 EBADMM Cc1nc2c([nH]1)C[C@@H](C(=O)NCC1CN(Cc3n[nH]c(=O)[n-]3)C1)CC2 ZINC001031787791 730769662 /nfs/dbraw/zinc/76/96/62/730769662.db2.gz FYVZGYSWHRVWDK-NSHDSACASA-N -1 1 345.407 -0.105 20 0 EBADMM CO[C@@H]1CCC[C@H](C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001031937603 730795024 /nfs/dbraw/zinc/79/50/24/730795024.db2.gz ZOYFRHXZNQHZGZ-QWHCGFSZSA-N -1 1 337.424 -0.138 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3ncn(C(C)(C)C)n3)C2)nc1=O ZINC001031974148 730798699 /nfs/dbraw/zinc/79/86/99/730798699.db2.gz OIWMDGUIJKGWBI-UHFFFAOYSA-N -1 1 348.411 -0.683 20 0 EBADMM Cc1nc(CN2CC(CNC(=O)c3c[n-]n4c3nccc4=O)C2)n[nH]1 ZINC001032033041 730805362 /nfs/dbraw/zinc/80/53/62/730805362.db2.gz DPDZDHDGAHSXGN-UHFFFAOYSA-N -1 1 342.363 -0.689 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cc4ncccn4n3)C2)nc1=O ZINC001032064143 730810063 /nfs/dbraw/zinc/81/00/63/730810063.db2.gz HJLGDLVGITUVPJ-UHFFFAOYSA-N -1 1 342.363 -0.987 20 0 EBADMM O=C(NCC1CN(Cc2csnn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001032127848 730816251 /nfs/dbraw/zinc/81/62/51/730816251.db2.gz GXHMIJXIWKAJAE-UHFFFAOYSA-N -1 1 346.376 -0.869 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)CC[C@H]2CCCO2)nc1=O ZINC001032291701 730837852 /nfs/dbraw/zinc/83/78/52/730837852.db2.gz QXUTXTSZTVJKQT-RWMBFGLXSA-N -1 1 335.408 -0.147 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)c2ccc(F)nc2)nc1=O ZINC001032313190 730839614 /nfs/dbraw/zinc/83/96/14/730839614.db2.gz DWUKKWHOSXCLSB-QWRGUYRKSA-N -1 1 332.339 -0.259 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)CC[C@H]2CCOC2)nc1=O ZINC001032346228 730844016 /nfs/dbraw/zinc/84/40/16/730844016.db2.gz UDFHZUUNOUIVRC-AVGNSLFASA-N -1 1 335.408 -0.290 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)C[C@@](C)(O)C2CC2)nc1=O ZINC001032363787 730845388 /nfs/dbraw/zinc/84/53/88/730845388.db2.gz PKJRZXYSPBSMRO-MQIPJXDCSA-N -1 1 335.408 -0.555 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)CC2OCCCO2)nc1=O ZINC001032367467 730846677 /nfs/dbraw/zinc/84/66/77/730846677.db2.gz ZIAYJYZFJGFOCD-QWRGUYRKSA-N -1 1 337.380 -0.953 20 0 EBADMM Cc1ccn(C)c1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032373246 730847267 /nfs/dbraw/zinc/84/72/67/730847267.db2.gz UEANNCBDKHERNS-RYUDHWBXSA-N -1 1 330.392 -0.146 20 0 EBADMM Cc1cc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)ns1 ZINC001032375356 730847433 /nfs/dbraw/zinc/84/74/33/730847433.db2.gz FUGHUUMVHQTRSG-UWVGGRQHSA-N -1 1 334.405 -0.028 20 0 EBADMM CCOC1CC(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)C1 ZINC001032385808 730849901 /nfs/dbraw/zinc/84/99/01/730849901.db2.gz HNFDILGSULDHFJ-ZFQMDJOTSA-N -1 1 335.408 -0.291 20 0 EBADMM CC(C)[C@@H]1CCO[C@@H]1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032408382 730854620 /nfs/dbraw/zinc/85/46/20/730854620.db2.gz PMHVCEBGHCDAAA-ABHRYQDASA-N -1 1 349.435 -0.045 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1C[C@@H]2C[C@H]1CN2CCC[C@@H]1CCOC1 ZINC001032498901 730876325 /nfs/dbraw/zinc/87/63/25/730876325.db2.gz MMJALGIBTUDMTK-WOPDTQHZSA-N -1 1 349.391 -0.002 20 0 EBADMM COc1ccc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)cn1 ZINC001032507479 730879193 /nfs/dbraw/zinc/87/91/93/730879193.db2.gz CSDPAPUHMUIJNJ-RYUDHWBXSA-N -1 1 344.375 -0.389 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)CCc2ccon2)nc1=O ZINC001032520463 730881999 /nfs/dbraw/zinc/88/19/99/730881999.db2.gz QXDKDRWFQGXABS-RYUDHWBXSA-N -1 1 332.364 -0.486 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)[C@@H]2OC[C@@H]3CCC[C@@H]32)nc1=O ZINC001032527973 730882899 /nfs/dbraw/zinc/88/28/99/730882899.db2.gz ZCAHJYFWUZQKDR-MJDBTJCESA-N -1 1 347.419 -0.291 20 0 EBADMM Cc1cncc(CC(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)c1 ZINC001032541689 730885674 /nfs/dbraw/zinc/88/56/74/730885674.db2.gz CDRQWVDZFREFGY-KBPBESRZSA-N -1 1 342.403 -0.160 20 0 EBADMM CCc1ncoc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032617098 730899321 /nfs/dbraw/zinc/89/93/21/730899321.db2.gz LHSVCBWLAZAPHN-UWVGGRQHSA-N -1 1 332.364 -0.242 20 0 EBADMM Cc1nc([C@H](C)N2C[C@@H]3C[C@H]2CN3C(=O)Cn2c(=O)[n-][nH]c2=O)n[nH]1 ZINC001032755063 730924044 /nfs/dbraw/zinc/92/40/44/730924044.db2.gz BFUBVNHVSSEDOX-HGNGGELXSA-N -1 1 348.367 -0.838 20 0 EBADMM O=C(c1ccc2[nH]nnc2c1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[n-]1 ZINC001032776837 730929106 /nfs/dbraw/zinc/92/91/06/730929106.db2.gz COWGHJWTOOXKPH-UWVGGRQHSA-N -1 1 340.347 -0.120 20 0 EBADMM Cc1cc(CC(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)no1 ZINC001032968540 730953571 /nfs/dbraw/zinc/95/35/71/730953571.db2.gz RFOJHYRECKSHIU-LBPRGKRZSA-N -1 1 334.380 -0.320 20 0 EBADMM CN(C(=O)Cc1cccnc1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033004210 730956249 /nfs/dbraw/zinc/95/62/49/730956249.db2.gz GRXBRGXSJHOUOH-ZDUSSCGKSA-N -1 1 330.392 -0.221 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(CCS(C)(=O)=O)C1 ZINC001033024675 730959174 /nfs/dbraw/zinc/95/91/74/730959174.db2.gz GMVZXEDOBZFLBG-NSHDSACASA-N -1 1 327.406 -0.022 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(CC(=O)N2CCOCC2)C1 ZINC001033024410 730959450 /nfs/dbraw/zinc/95/94/50/730959450.db2.gz DLBFVHROOKUFEY-CYBMUJFWSA-N -1 1 348.403 -0.208 20 0 EBADMM CN(C(=O)C1CCC(O)CC1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033036825 730960169 /nfs/dbraw/zinc/96/01/69/730960169.db2.gz AKXDKPOSLDMHBX-CPCZMJQVSA-N -1 1 337.424 -0.308 20 0 EBADMM Cc1c(CC(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001033141469 730976098 /nfs/dbraw/zinc/97/60/98/730976098.db2.gz FSUVBUHNTDDMQR-CYBMUJFWSA-N -1 1 347.423 -0.574 20 0 EBADMM Cc1cc(CC(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001033265548 730997995 /nfs/dbraw/zinc/99/79/95/730997995.db2.gz BGUANQPQNNTUNS-LBPRGKRZSA-N -1 1 347.423 -0.574 20 0 EBADMM COc1nn(C)cc1C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033325221 731006859 /nfs/dbraw/zinc/00/68/59/731006859.db2.gz MRKCHMGASMEGQT-SNVBAGLBSA-N -1 1 349.395 -0.803 20 0 EBADMM CO[C@@H](C)CN1CC[C@H](N(C)C(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001033509444 731033601 /nfs/dbraw/zinc/03/36/01/731033601.db2.gz JJYSLINRLPEMSY-RYUDHWBXSA-N -1 1 348.407 -0.361 20 0 EBADMM COC[C@@H](C)N1CC[C@H](N(C)C(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001033510624 731033688 /nfs/dbraw/zinc/03/36/88/731033688.db2.gz WNJMYNXYKRWIKK-NEPJUHHUSA-N -1 1 348.407 -0.361 20 0 EBADMM CN(C(=O)Cn1c(=O)[n-][nH]c1=O)[C@@H]1CCN(Cc2ccccn2)C1 ZINC001033617722 731047919 /nfs/dbraw/zinc/04/79/19/731047919.db2.gz YCEBUIMKSHYPPZ-GFCCVEGCSA-N -1 1 332.364 -0.183 20 0 EBADMM CCN(C(=O)c1cnc(C)cn1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033738475 731071253 /nfs/dbraw/zinc/07/12/53/731071253.db2.gz DYEPAEOELIUGTH-LBPRGKRZSA-N -1 1 345.407 -0.057 20 0 EBADMM CCN(C(=O)c1ccc(=O)[nH]n1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033739686 731071349 /nfs/dbraw/zinc/07/13/49/731071349.db2.gz OSNCKZFIOFTPAI-JTQLQIEISA-N -1 1 347.379 -0.659 20 0 EBADMM CCN(C(=O)c1nnn(C)c1C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033783896 731073525 /nfs/dbraw/zinc/07/35/25/731073525.db2.gz QZTBUYLPGGFIAJ-NSHDSACASA-N -1 1 348.411 -0.718 20 0 EBADMM CCN(C(=O)c1ccc(C)nn1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033821789 731076745 /nfs/dbraw/zinc/07/67/45/731076745.db2.gz CXGWUPDOKFPKPB-LBPRGKRZSA-N -1 1 345.407 -0.057 20 0 EBADMM CCN(C(=O)c1n[nH]c(=O)[n-]c1=O)[C@H]1CCN(Cc2n[nH]c(C)n2)C1 ZINC001033884262 731083995 /nfs/dbraw/zinc/08/39/95/731083995.db2.gz XUYNDNNNQHEQRB-VIFPVBQESA-N -1 1 348.367 -0.554 20 0 EBADMM CCN(C(=O)c1n[nH]c(=O)[n-]c1=O)[C@H]1CCN(CCn2cncn2)C1 ZINC001033883822 731084071 /nfs/dbraw/zinc/08/40/71/731084071.db2.gz UICCULLLTLWZQC-JTQLQIEISA-N -1 1 348.367 -0.889 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H](NC(=O)c3ccc(=O)[nH]n3)C2)nc1=O ZINC001034088412 731102621 /nfs/dbraw/zinc/10/26/21/731102621.db2.gz XLGUNOKCXUKVFS-JTQLQIEISA-N -1 1 347.379 -0.612 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H](NC(=O)c3cnsn3)C2)nc1=O ZINC001034117468 731104258 /nfs/dbraw/zinc/10/42/58/731104258.db2.gz MPJYMRQUNQWPGS-VIFPVBQESA-N -1 1 337.409 -0.256 20 0 EBADMM CCn1ncc(C(=O)N[C@@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001034199118 731110304 /nfs/dbraw/zinc/11/03/04/731110304.db2.gz OZHVWTZLIUPCOS-LLVKDONJSA-N -1 1 348.411 -0.496 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H](NC(=O)c3cnc[nH]c3=O)C2)nc1=O ZINC001034265064 731115985 /nfs/dbraw/zinc/11/59/85/731115985.db2.gz MSUGDMWUGMLSEA-SNVBAGLBSA-N -1 1 347.379 -0.612 20 0 EBADMM Cn1cncc1C(=O)N[C@@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034296818 731118467 /nfs/dbraw/zinc/11/84/67/731118467.db2.gz NNQGCEILPMDCIY-LLVKDONJSA-N -1 1 333.396 -0.374 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H](NC(=O)[C@@]3(F)CCOC3)C2)nc1=O ZINC001034365005 731125685 /nfs/dbraw/zinc/12/56/85/731125685.db2.gz YLEYHGLEMOEQRX-IAQYHMDHSA-N -1 1 341.387 -0.292 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H](NC(=O)c3n[nH]cc3F)C2)nc1=O ZINC001034382020 731128064 /nfs/dbraw/zinc/12/80/64/731128064.db2.gz PJLANZUQTKIKTI-SECBINFHSA-N -1 1 337.359 -0.245 20 0 EBADMM COc1c(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)c(C)nn1C ZINC001035066261 731182273 /nfs/dbraw/zinc/18/22/73/731182273.db2.gz VCSVSOPVDUHKGQ-SNVBAGLBSA-N -1 1 349.395 -0.045 20 0 EBADMM COc1c(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)cnn1C ZINC001035115874 731187931 /nfs/dbraw/zinc/18/79/31/731187931.db2.gz RDDLVMZCSBDNLV-SECBINFHSA-N -1 1 335.368 -0.353 20 0 EBADMM NC(=O)C1(C(=O)N2CCC3(CCN(Cc4n[nH]c(=O)[n-]4)C3)CC2)CC1 ZINC001035225805 731199470 /nfs/dbraw/zinc/19/94/70/731199470.db2.gz ZFNGUNGBBZNWIR-UHFFFAOYSA-N -1 1 348.407 -0.410 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)c3cccs3)C2)nc1=O ZINC001035290760 731205933 /nfs/dbraw/zinc/20/59/33/731205933.db2.gz SREXVCQZUQFVKD-JTQLQIEISA-N -1 1 337.405 -0.199 20 0 EBADMM Cc1[nH]ccc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035327671 731216780 /nfs/dbraw/zinc/21/67/80/731216780.db2.gz OJWFTICMFMQFHC-LLVKDONJSA-N -1 1 334.380 -0.624 20 0 EBADMM O=C(NC[C@H]1CN(CCN2CCNC2=O)CCO1)c1ncccc1[O-] ZINC001035343098 731221597 /nfs/dbraw/zinc/22/15/97/731221597.db2.gz FXQRYNCHEYGAJH-LBPRGKRZSA-N -1 1 349.391 -0.757 20 0 EBADMM CS(=O)(=O)CCN1CCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001035345527 731222823 /nfs/dbraw/zinc/22/28/23/731222823.db2.gz ZYYZWUGBHFPGQO-NSHDSACASA-N -1 1 343.405 -0.738 20 0 EBADMM O=C(NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)CCO1)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001035407618 731245427 /nfs/dbraw/zinc/24/54/27/731245427.db2.gz OXTQUPMAVNHALS-GMTAPVOTSA-N -1 1 347.379 -0.646 20 0 EBADMM C[C@H]1CCCN(C(=O)Cc2nnc[nH]2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036591332 731263093 /nfs/dbraw/zinc/26/30/93/731263093.db2.gz PUNZECJYHBOKRW-UWVGGRQHSA-N -1 1 334.384 -0.412 20 0 EBADMM CN1CCC[C@H]1C(=O)NC[C@@H]1CCN1Cc1cc(=O)n2[n-]ccc2n1 ZINC001038117151 731283971 /nfs/dbraw/zinc/28/39/71/731283971.db2.gz OBUKDNOODRWXDZ-KBPBESRZSA-N -1 1 344.419 -0.193 20 0 EBADMM O=C(NC[C@@H]1CCN1CCN1C(=O)CCC1=O)c1ncccc1[O-] ZINC001038185542 731291714 /nfs/dbraw/zinc/29/17/14/731291714.db2.gz XERKGCKDVOXWSZ-NSHDSACASA-N -1 1 332.360 -0.260 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2c(F)cncc2F)nc1=O ZINC001038296178 731303612 /nfs/dbraw/zinc/30/36/12/731303612.db2.gz DAAOFGNIUUGFQE-QMMMGPOBSA-N -1 1 338.318 -0.214 20 0 EBADMM Cn1nc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)cc1C1CC1 ZINC001038316770 731306127 /nfs/dbraw/zinc/30/61/27/731306127.db2.gz WZBHHMDWSCHLDH-NSHDSACASA-N -1 1 345.407 -0.276 20 0 EBADMM Cc1cc(=O)c(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)cn1C ZINC001038372263 731314521 /nfs/dbraw/zinc/31/45/21/731314521.db2.gz UOYVCUQHEYBLSJ-NSHDSACASA-N -1 1 346.391 -0.880 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cnc3[nH]ccc3c2)nc1=O ZINC001038382506 731316616 /nfs/dbraw/zinc/31/66/16/731316616.db2.gz DAMUENMOQKDIHG-LBPRGKRZSA-N -1 1 341.375 -0.059 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cc3c[nH]ccc-3n2)nc1=O ZINC001038416247 731320945 /nfs/dbraw/zinc/32/09/45/731320945.db2.gz MCTGDDBDVMAAAC-NSHDSACASA-N -1 1 341.375 -0.011 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@@H]2CCCn3nccc32)nc1=O ZINC001038429637 731324156 /nfs/dbraw/zinc/32/41/56/731324156.db2.gz AXWPMVBYQWIQRJ-NWDGAFQWSA-N -1 1 345.407 -0.427 20 0 EBADMM Cc1nc([C@H](C)N2CC[C@@H]2CNC(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC001038464872 731330715 /nfs/dbraw/zinc/33/07/15/731330715.db2.gz HIWKDXHUVNLDQS-POYBYMJQSA-N -1 1 334.340 -0.725 20 0 EBADMM CC(C)c1nc(CN2CC[C@@H]2CNC(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC001038466190 731330861 /nfs/dbraw/zinc/33/08/61/731330861.db2.gz RFUORUVCWHBBOA-MRVPVSSYSA-N -1 1 348.367 -0.471 20 0 EBADMM Cc1nc([C@H](C)N2CC[C@@H]2CNC(=O)c2cnc([O-])n(C)c2=O)n[nH]1 ZINC001038583974 731347428 /nfs/dbraw/zinc/34/74/28/731347428.db2.gz RSZSHOWKEYEZTL-WCBMZHEXSA-N -1 1 347.379 -0.522 20 0 EBADMM CC(C)N1CCO[C@H](C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001038626710 731356371 /nfs/dbraw/zinc/35/63/71/731356371.db2.gz HLBPHJAGUHPRPV-RYUDHWBXSA-N -1 1 338.412 -0.690 20 0 EBADMM CCOc1cc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)ccn1 ZINC001038689033 731364368 /nfs/dbraw/zinc/36/43/68/731364368.db2.gz YIWZGATUUXAAHQ-LBPRGKRZSA-N -1 1 346.391 -0.094 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2ccnc(C3CC3)n2)nc1=O ZINC001038761636 731372986 /nfs/dbraw/zinc/37/29/86/731372986.db2.gz UYJHMPHHDQKBEX-NSHDSACASA-N -1 1 343.391 -0.220 20 0 EBADMM COc1nc(C)ccc1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038784224 731376505 /nfs/dbraw/zinc/37/65/05/731376505.db2.gz VDGGLWCSWCMDRB-NSHDSACASA-N -1 1 346.391 -0.175 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2ccc3nncn3c2)nc1=O ZINC001038806344 731378897 /nfs/dbraw/zinc/37/88/97/731378897.db2.gz KYFPPOVMYGDZEL-NSHDSACASA-N -1 1 342.363 -0.845 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)nc(C)n1 ZINC001038816051 731380226 /nfs/dbraw/zinc/38/02/26/731380226.db2.gz BXQMZEXXWLTYPL-NSHDSACASA-N -1 1 331.380 -0.480 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)nc(C)n1 ZINC001038816050 731380459 /nfs/dbraw/zinc/38/04/59/731380459.db2.gz BXQMZEXXWLTYPL-LLVKDONJSA-N -1 1 331.380 -0.480 20 0 EBADMM O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[n-]1)[C@@H]1CCc2[nH]cnc2C1 ZINC001038893854 731388605 /nfs/dbraw/zinc/38/86/05/731388605.db2.gz OOMOUFLWEULRCH-ZJUUUORDSA-N -1 1 331.380 -0.271 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cnn(CC3CC3)c2)nc1=O ZINC001038896357 731389026 /nfs/dbraw/zinc/38/90/26/731389026.db2.gz AOIHEGJHCYQEKG-CYBMUJFWSA-N -1 1 345.407 -0.281 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCN1Cc1cnn(C)n1 ZINC001038917545 731391838 /nfs/dbraw/zinc/39/18/38/731391838.db2.gz RCICQMMDPVMOLF-SECBINFHSA-N -1 1 349.351 -0.971 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCN1Cc1cnon1 ZINC001038917936 731392311 /nfs/dbraw/zinc/39/23/11/731392311.db2.gz UKISSPNOJUOYSP-MRVPVSSYSA-N -1 1 336.308 -0.716 20 0 EBADMM Cc1cnc(CN2CC[C@@H]2CNC(=O)c2cc(=O)n3[n-]cnc3n2)o1 ZINC001038919225 731392967 /nfs/dbraw/zinc/39/29/67/731392967.db2.gz CLEFGPIHFQNPIH-SNVBAGLBSA-N -1 1 343.347 -0.282 20 0 EBADMM Cn1cncc1CN1CC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001038919487 731392972 /nfs/dbraw/zinc/39/29/72/731392972.db2.gz CXYKNIXPUHSQCG-SNVBAGLBSA-N -1 1 342.363 -0.845 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CC[C@@H](C2)N3C(=O)[C@@H]2CCCO2)nc1=O ZINC001039325282 731427350 /nfs/dbraw/zinc/42/73/50/731427350.db2.gz NBFSHQSWOALBFQ-AGIUHOORSA-N -1 1 335.408 -0.147 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CC[C@@H](C2)N3C(=O)c2ncc[nH]2)nc1=O ZINC001039371752 731430028 /nfs/dbraw/zinc/43/00/28/731430028.db2.gz XCAFNEUXJILRJR-MNOVXSKESA-N -1 1 331.380 -0.289 20 0 EBADMM CC(C)[C@@H](O)C(=O)NC[C@@]1(O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001039627465 731469252 /nfs/dbraw/zinc/46/92/52/731469252.db2.gz RYYHWNREWLJTOL-CJNGLKHVSA-N -1 1 337.376 -0.503 20 0 EBADMM Cn1cncc1C(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001039731473 731511330 /nfs/dbraw/zinc/51/13/30/731511330.db2.gz RWTCNFLFOOAPEM-TXEJJXNPSA-N -1 1 345.407 -0.279 20 0 EBADMM O=C(NC[C@@]1(O)CCN(C(=O)c2ncccc2[O-])C1)c1ncccn1 ZINC001040673210 731821241 /nfs/dbraw/zinc/82/12/41/731821241.db2.gz LHJAQYLFCFLIMQ-INIZCTEOSA-N -1 1 343.343 -0.416 20 0 EBADMM COc1ccnc(N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001040996034 731955408 /nfs/dbraw/zinc/95/54/08/731955408.db2.gz RZGMRPGQYIUBNP-MWLCHTKSSA-N -1 1 331.332 -0.435 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(CCN(C(=O)[C@@H]4CCOC4)C3)C2)nc1=O ZINC001041124219 731997269 /nfs/dbraw/zinc/99/72/69/731997269.db2.gz ZFQBDOXLIROKQZ-MLGOLLRUSA-N -1 1 335.408 -0.431 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(CCN(C(=O)[C@@H]4CCOC4)C3)C2)nc1=O ZINC001041124220 731997452 /nfs/dbraw/zinc/99/74/52/731997452.db2.gz ZFQBDOXLIROKQZ-WBMJQRKESA-N -1 1 335.408 -0.431 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(CCN(C(=O)C[C@@H]4CCOC4)C3)C2)nc1=O ZINC001041163779 732015254 /nfs/dbraw/zinc/01/52/54/732015254.db2.gz HXOMNWKDWZLJPE-SUMWQHHRSA-N -1 1 349.435 -0.041 20 0 EBADMM C[C@@H](C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1)n1cccn1 ZINC001041612056 732170306 /nfs/dbraw/zinc/17/03/06/732170306.db2.gz KIPOGMVNISHWLL-NSHDSACASA-N -1 1 345.359 -0.452 20 0 EBADMM CS[C@H](C)C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001041616159 732172015 /nfs/dbraw/zinc/17/20/15/732172015.db2.gz GQZAAFAFNGOQJL-SECBINFHSA-N -1 1 325.390 -0.158 20 0 EBADMM Cc1cc(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)[nH]n1 ZINC001041727026 732206839 /nfs/dbraw/zinc/20/68/39/732206839.db2.gz NLSUHGPCAOWBKO-UHFFFAOYSA-N -1 1 331.332 -0.564 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(CCN(C(=O)c4ccncn4)C3)C2)nc1=O ZINC001041747595 732213205 /nfs/dbraw/zinc/21/32/05/732213205.db2.gz YEHZISJLPFBHJR-MRXNPFEDSA-N -1 1 343.391 -0.363 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(CCN(C(=O)c4cccnn4)C3)C2)nc1=O ZINC001041751947 732214583 /nfs/dbraw/zinc/21/45/83/732214583.db2.gz RZGSERAQGPFXSB-INIZCTEOSA-N -1 1 343.391 -0.363 20 0 EBADMM Cn1nccc1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001041897132 732249985 /nfs/dbraw/zinc/24/99/85/732249985.db2.gz DIPIJZYPWILBRV-UHFFFAOYSA-N -1 1 331.332 -0.862 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)c4cnccn4)C[C@@H]32)nc1=O ZINC001041909047 732252292 /nfs/dbraw/zinc/25/22/92/732252292.db2.gz HLBPZGAXXNXMOS-AAEUAGOBSA-N -1 1 343.391 -0.365 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)C4=COCCO4)C[C@H]32)nc1=O ZINC001041915704 732253692 /nfs/dbraw/zinc/25/36/92/732253692.db2.gz FQXYLWNEKANYGJ-NWDGAFQWSA-N -1 1 349.391 -0.581 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)[C@H]4[C@@H]5COC[C@@H]54)C[C@@H]32)nc1=O ZINC001042194011 732351135 /nfs/dbraw/zinc/35/11/35/732351135.db2.gz RLOSYGFOXXGVJK-DKBOKBLXSA-N -1 1 347.419 -0.576 20 0 EBADMM Cn1cnc(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)c1 ZINC001042547629 732471864 /nfs/dbraw/zinc/47/18/64/732471864.db2.gz YBIZBZXWFSXAOE-UHFFFAOYSA-N -1 1 331.332 -0.862 20 0 EBADMM CC(C)(C)OCC(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001042661379 732519628 /nfs/dbraw/zinc/51/96/28/732519628.db2.gz TUBNHYCBKJOPLW-UHFFFAOYSA-N -1 1 337.376 -0.095 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)c1ncccc1O ZINC001042712733 732546186 /nfs/dbraw/zinc/54/61/86/732546186.db2.gz GNKMZLQBKGKXAH-UHFFFAOYSA-N -1 1 344.327 -0.495 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2O)C1)c1ncccc1[O-] ZINC001042712733 732546190 /nfs/dbraw/zinc/54/61/90/732546190.db2.gz GNKMZLQBKGKXAH-UHFFFAOYSA-N -1 1 344.327 -0.495 20 0 EBADMM O=C(CC[C@H]1CCOC1)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042712899 732546638 /nfs/dbraw/zinc/54/66/38/732546638.db2.gz KPZRQSXZXKAAPK-LBPRGKRZSA-N -1 1 349.387 -0.093 20 0 EBADMM O=C(NCC1(O)CN(C(=O)[C@H]2C[C@@H]2C(F)F)C1)c1ncccc1[O-] ZINC001042713475 732547323 /nfs/dbraw/zinc/54/73/23/732547323.db2.gz ZMXPNSBBTTUXQF-IUCAKERBSA-N -1 1 341.314 -0.009 20 0 EBADMM CN(C(=O)[C@@H]1CCCc2[nH]ncc21)C1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001042723995 732552984 /nfs/dbraw/zinc/55/29/84/732552984.db2.gz QXXSXCCTYMDVAN-SNVBAGLBSA-N -1 1 331.380 -0.004 20 0 EBADMM CN(C(=O)c1c[nH]cc2ncnc1-2)C1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001042754548 732566533 /nfs/dbraw/zinc/56/65/33/732566533.db2.gz VFLJKGGMLVPTIB-UHFFFAOYSA-N -1 1 328.336 -0.262 20 0 EBADMM CN(C(=O)c1ccn(C(F)F)n1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042791446 732587914 /nfs/dbraw/zinc/58/79/14/732587914.db2.gz WZLUEFHGRXFFFE-UHFFFAOYSA-N -1 1 341.322 -0.344 20 0 EBADMM CO[C@H](C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC001042837685 732618448 /nfs/dbraw/zinc/61/84/48/732618448.db2.gz OAPNOTRKUUPORZ-ZDUSSCGKSA-N -1 1 335.360 -0.485 20 0 EBADMM Cc1c[nH]nc1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001042949455 732678176 /nfs/dbraw/zinc/67/81/76/732678176.db2.gz OGUWXXXSYSHGJL-UHFFFAOYSA-N -1 1 331.332 -0.564 20 0 EBADMM O=C(C[C@@H]1CCCOC1)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001043145299 732787498 /nfs/dbraw/zinc/78/74/98/732787498.db2.gz YDQHVQWXBJAFMR-LBPRGKRZSA-N -1 1 349.387 -0.093 20 0 EBADMM CN(C(=O)c1cnn(CCF)c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043183190 732812687 /nfs/dbraw/zinc/81/26/87/732812687.db2.gz IKWOSSPAVQOPQH-UHFFFAOYSA-N -1 1 337.359 -0.769 20 0 EBADMM CN(C(=O)c1ncc2ccccn21)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043342203 732879138 /nfs/dbraw/zinc/87/91/38/732879138.db2.gz SMWHEEYFTQYANF-UHFFFAOYSA-N -1 1 341.375 -0.288 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)[C@]12C[C@H]1COC2 ZINC001043361633 732890396 /nfs/dbraw/zinc/89/03/96/732890396.db2.gz GRTZTESPMRYIOF-QFYYESIMSA-N -1 1 333.344 -0.873 20 0 EBADMM CC(C)n1ccc(CC(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001043408803 732917545 /nfs/dbraw/zinc/91/75/45/732917545.db2.gz VGQOLDWTSROQMR-UHFFFAOYSA-N -1 1 347.423 -0.229 20 0 EBADMM CN(C(=O)c1cnc([O-])n(C)c1=O)C1CN(CC[C@@H]2CCCO2)C1 ZINC001043511881 732978679 /nfs/dbraw/zinc/97/86/79/732978679.db2.gz XUBGEFJMSQTOPS-LBPRGKRZSA-N -1 1 336.392 -0.189 20 0 EBADMM CN(C(=O)[C@@H]1CCCc2nc[nH]c21)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043839776 733144103 /nfs/dbraw/zinc/14/41/03/733144103.db2.gz RUPWLEGAOOXDOD-LLVKDONJSA-N -1 1 345.407 -0.406 20 0 EBADMM Cc1cc(N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)nc(CO)n1 ZINC001043917887 733198554 /nfs/dbraw/zinc/19/85/54/733198554.db2.gz KMFUAXAVJGKXDN-PWSUYJOCSA-N -1 1 345.359 -0.643 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)c1cc(F)c[nH]1 ZINC001043928580 733204400 /nfs/dbraw/zinc/20/44/00/733204400.db2.gz AVBJMSQLEAGLFY-UHFFFAOYSA-N -1 1 334.307 -0.129 20 0 EBADMM CN(C(=O)c1cc(C2CC2)n[nH]1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043962754 733222580 /nfs/dbraw/zinc/22/25/80/733222580.db2.gz WQRDUFJZQNIEED-UHFFFAOYSA-N -1 1 331.380 -0.335 20 0 EBADMM CN(C(=O)c1cnc(C2CC2)nc1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044012468 733246262 /nfs/dbraw/zinc/24/62/62/733246262.db2.gz XCXMPIFGQLYVHU-UHFFFAOYSA-N -1 1 343.391 -0.268 20 0 EBADMM CN(C(=O)c1cncnc1C1CC1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044249977 733339892 /nfs/dbraw/zinc/33/98/92/733339892.db2.gz LAQFXAJSAUQMQI-UHFFFAOYSA-N -1 1 343.391 -0.268 20 0 EBADMM CN(C)c1ncccc1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044289858 733359588 /nfs/dbraw/zinc/35/95/88/733359588.db2.gz DTUDYGYFJOLFOQ-UHFFFAOYSA-N -1 1 345.407 -0.474 20 0 EBADMM C[C@@H]1C[C@H](NCc2cnon2)CCN1C(=O)c1cnc([O-])n(C)c1=O ZINC001044709530 733487482 /nfs/dbraw/zinc/48/74/82/733487482.db2.gz XQQVUXFZTYHDAM-NXEZZACHSA-N -1 1 348.363 -0.348 20 0 EBADMM Cn1nccc1CCC(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045056945 733568599 /nfs/dbraw/zinc/56/85/99/733568599.db2.gz HHKQZNNZYFBXJG-LBPRGKRZSA-N -1 1 333.396 -0.043 20 0 EBADMM O=C(c1cnn2ccncc12)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045070785 733571672 /nfs/dbraw/zinc/57/16/72/733571672.db2.gz VBTPCBBPOFFFRN-JTQLQIEISA-N -1 1 342.363 -0.053 20 0 EBADMM CCN1CCOC[C@@H]1C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045097173 733579335 /nfs/dbraw/zinc/57/93/35/733579335.db2.gz CCJIDFFWFHZFPR-NWDGAFQWSA-N -1 1 338.412 -0.688 20 0 EBADMM Cn1ccc(=O)c(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)c1 ZINC001045117158 733589191 /nfs/dbraw/zinc/58/91/91/733589191.db2.gz YVNNJCOMCCHZBZ-JTQLQIEISA-N -1 1 332.364 -0.397 20 0 EBADMM NC(=O)c1coc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)c1 ZINC001045194847 733618369 /nfs/dbraw/zinc/61/83/69/733618369.db2.gz QTMCIKSRJWTEAX-VIFPVBQESA-N -1 1 334.336 -0.403 20 0 EBADMM C[C@H]1CCN(C(=O)C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)C1 ZINC001045269595 733643910 /nfs/dbraw/zinc/64/39/10/733643910.db2.gz WOLRKMNWHXVNLW-QWRGUYRKSA-N -1 1 336.396 -0.541 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)nc1 ZINC001045328987 733670061 /nfs/dbraw/zinc/67/00/61/733670061.db2.gz PRJVWMFSUXGSSI-JTQLQIEISA-N -1 1 345.363 -0.601 20 0 EBADMM Cn1[n-]c(CN2CCC(C)(NC(=O)c3ccc(=O)[nH]n3)CC2)nc1=O ZINC001045354110 733674967 /nfs/dbraw/zinc/67/49/67/733674967.db2.gz PTTBQUNAULWAEQ-UHFFFAOYSA-N -1 1 347.379 -0.612 20 0 EBADMM Cn1[n-]c(CN2CCC(C)(NC(=O)c3cccc(=O)[nH]3)CC2)nc1=O ZINC001045398243 733686789 /nfs/dbraw/zinc/68/67/89/733686789.db2.gz KCXHUYNGRYCTCU-UHFFFAOYSA-N -1 1 346.391 -0.007 20 0 EBADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN([C@H]2CCC(=O)NC2=O)C1 ZINC001046261491 733898331 /nfs/dbraw/zinc/89/83/31/733898331.db2.gz DRJGVCKHGSHEJV-MGPLVRAMSA-N -1 1 332.360 -0.213 20 0 EBADMM CCn1ccnc1C(=O)N[C@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001046275922 733900653 /nfs/dbraw/zinc/90/06/53/733900653.db2.gz CSZOXSOFJFXOQO-OAHLLOKOSA-N -1 1 333.396 -0.281 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)[C@@H]1C[C@]12CCOC2 ZINC001046585215 733973847 /nfs/dbraw/zinc/97/38/47/733973847.db2.gz SIUYUYTUNHFSES-ZBEGNZNMSA-N -1 1 347.371 -0.483 20 0 EBADMM O=C(N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2)c1ccc(=O)[nH]n1 ZINC001047019322 734082353 /nfs/dbraw/zinc/08/23/53/734082353.db2.gz RVDLZCWQCWCZIE-GUBZILKMSA-N -1 1 345.363 -0.211 20 0 EBADMM Cn1ccc(CC(=O)N[C@@H]2C[C@H]3C[C@@H](C2)N(Cc2n[nH]c(=O)[n-]2)C3)n1 ZINC001047070729 734089226 /nfs/dbraw/zinc/08/92/26/734089226.db2.gz DTFNJUBKAAJHJS-UHTWSYAYSA-N -1 1 345.407 -0.044 20 0 EBADMM O=C(Cn1ccnc1)N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047210974 734111043 /nfs/dbraw/zinc/11/10/43/734111043.db2.gz WEIDLHGWOVCYSM-SRVKXCTJSA-N -1 1 331.380 -0.124 20 0 EBADMM CN(C(=O)[C@H]1[C@@H]2CCCC[C@@H]21)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047340083 734142651 /nfs/dbraw/zinc/14/26/51/734142651.db2.gz OROZYCLZKBCZKV-WHRXGGIHSA-N -1 1 349.435 -0.452 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN([C@H]2CCCNC2=O)C[C@@H]1O ZINC001047358760 734151999 /nfs/dbraw/zinc/15/19/99/734151999.db2.gz JWEWXOYTMBINRM-GVXVVHGQSA-N -1 1 334.376 -0.817 20 0 EBADMM C[C@H](C(=O)N(C)C)N1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001047358502 734152027 /nfs/dbraw/zinc/15/20/27/734152027.db2.gz AJXUMLFIFIZDMV-MDZLAQPJSA-N -1 1 336.392 -0.619 20 0 EBADMM CCn1nncc1CN1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001047358904 734152167 /nfs/dbraw/zinc/15/21/67/734152167.db2.gz LQDJMDXUWQNJCC-JSGCOSHPSA-N -1 1 346.391 -0.284 20 0 EBADMM CN(C(=O)C[C@H]1CC=CCC1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047487722 734222269 /nfs/dbraw/zinc/22/22/69/734222269.db2.gz NFHOXBFFRXZSSZ-IHRRRGAJSA-N -1 1 349.435 -0.142 20 0 EBADMM Cc1noc(CN[C@H](C)[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001047897193 734318987 /nfs/dbraw/zinc/31/89/87/734318987.db2.gz ZTMZDQGRKVMXHU-VXNVDRBHSA-N -1 1 349.351 -0.385 20 0 EBADMM C[C@@H](NCc1cnon1)[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001047893850 734318996 /nfs/dbraw/zinc/31/89/96/734318996.db2.gz HIMWTPZWRQWLPN-HTQZYQBOSA-N -1 1 335.324 -0.694 20 0 EBADMM C[C@H](NCc1ccon1)[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001047896704 734319198 /nfs/dbraw/zinc/31/91/98/734319198.db2.gz WXYCYUMGVGFSIA-IUCAKERBSA-N -1 1 334.336 -0.089 20 0 EBADMM Cc1nnc(CN[C@H](C)[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC001047894547 734319377 /nfs/dbraw/zinc/31/93/77/734319377.db2.gz KPJOAWWHFZXZIU-APPZFPTMSA-N -1 1 349.351 -0.385 20 0 EBADMM CN(C(=O)CC1(O)CCC1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001048816447 734520887 /nfs/dbraw/zinc/52/08/87/734520887.db2.gz CTMXUMYAVNHVJA-AAEUAGOBSA-N -1 1 349.387 -0.264 20 0 EBADMM Cn1cccc(C(=O)N2C[C@H]3CN(Cc4n[nH]c(=O)[n-]4)C[C@H]3C2)c1=O ZINC001049178049 734677138 /nfs/dbraw/zinc/67/71/38/734677138.db2.gz AWGXYXZQBBJIQP-PHIMTYICSA-N -1 1 344.375 -0.587 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@@H]2CCCN3C(=O)c2cocn2)nc1=O ZINC001049367903 734715585 /nfs/dbraw/zinc/71/55/85/734715585.db2.gz BUTYHKCADROXRK-NWDGAFQWSA-N -1 1 332.364 -0.025 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@@H]2CCCN3C(=O)c2cocn2)nc1=O ZINC001049367904 734715767 /nfs/dbraw/zinc/71/57/67/734715767.db2.gz BUTYHKCADROXRK-RYUDHWBXSA-N -1 1 332.364 -0.025 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@H]2CCCN3C(=O)CC(C)(C)O)nc1=O ZINC001049441844 734731951 /nfs/dbraw/zinc/73/19/51/734731951.db2.gz LFSQUJWQMKKHBL-NEPJUHHUSA-N -1 1 337.424 -0.165 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@H]2CCCN3C(=O)c2cnco2)nc1=O ZINC001049620219 734767103 /nfs/dbraw/zinc/76/71/03/734767103.db2.gz IZKPDXUNOZDDMD-GHMZBOCLSA-N -1 1 332.364 -0.025 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@H]2CCCN3C(=O)[C@H]2[C@@H]3COC[C@@H]32)nc1=O ZINC001049654042 734773579 /nfs/dbraw/zinc/77/35/79/734773579.db2.gz FURLTZVPABEYAF-OKWIKPQFSA-N -1 1 347.419 -0.434 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@@H]2CCCN3C(=O)Cc2ncc[nH]2)nc1=O ZINC001049806093 734792339 /nfs/dbraw/zinc/79/23/39/734792339.db2.gz MAUIJHGASWQQSO-RYUDHWBXSA-N -1 1 345.407 -0.361 20 0 EBADMM Cc1nonc1C(=O)N1CCC[C@@H]2[C@@H]1CCN2Cc1nc(=O)n(C)[n-]1 ZINC001049932876 734809653 /nfs/dbraw/zinc/80/96/53/734809653.db2.gz OSXFDEUOMDBRQA-MNOVXSKESA-N -1 1 347.379 -0.321 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCCN(C(=O)Cn4cccn4)[C@@H]3C2)nc1=O ZINC001049974849 734815167 /nfs/dbraw/zinc/81/51/67/734815167.db2.gz GNNMDTMJUILIPJ-QWHCGFSZSA-N -1 1 345.407 -0.572 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)c2cccs2)nc1=O ZINC001050802668 734962662 /nfs/dbraw/zinc/96/26/62/734962662.db2.gz NFGYYDLHOBIVDK-SNVBAGLBSA-N -1 1 337.405 -0.199 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)[C@@H]2CC=CCC2)nc1=O ZINC001050810628 734965362 /nfs/dbraw/zinc/96/53/62/734965362.db2.gz UHUDTYBKNCILCY-CHWSQXEVSA-N -1 1 335.408 -0.218 20 0 EBADMM Cn1cccc1C(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001050820651 734966565 /nfs/dbraw/zinc/96/65/65/734966565.db2.gz FTZCQKCBEPUVMI-NSHDSACASA-N -1 1 334.380 -0.922 20 0 EBADMM Cc1cccc(C(=O)NC[C@H]2COCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001050821531 734967050 /nfs/dbraw/zinc/96/70/50/734967050.db2.gz LYKGVTKIZBWNSC-LBPRGKRZSA-N -1 1 346.391 -0.557 20 0 EBADMM CCc1occc1C(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001050888850 734989781 /nfs/dbraw/zinc/98/97/81/734989781.db2.gz GSJOELIKVOODQO-NSHDSACASA-N -1 1 349.391 -0.105 20 0 EBADMM O=C(NC[C@@H]1COCCN1CCN1CCNC1=O)c1ncccc1[O-] ZINC001050891750 734991268 /nfs/dbraw/zinc/99/12/68/734991268.db2.gz QWWRBBNQRKKNJD-GFCCVEGCSA-N -1 1 349.391 -0.757 20 0 EBADMM O=C(NC[C@H]1COCCN1CCn1cncn1)c1ncccc1[O-] ZINC001050893324 734991370 /nfs/dbraw/zinc/99/13/70/734991370.db2.gz ZKHLKTYPYCOMTR-LBPRGKRZSA-N -1 1 332.364 -0.491 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)[C@H]2CC2(F)F)nc1=O ZINC001050910715 734998514 /nfs/dbraw/zinc/99/85/14/734998514.db2.gz LITKHOHQGMYYIN-DTWKUNHWSA-N -1 1 331.323 -0.919 20 0 EBADMM CN1CCCC[C@H]1C(=O)NC[C@H]1COCCN1Cc1n[nH]c(=O)[n-]1 ZINC001050983309 735024537 /nfs/dbraw/zinc/02/45/37/735024537.db2.gz SWJDPBGWSYTNHF-RYUDHWBXSA-N -1 1 338.412 -0.688 20 0 EBADMM Cc1coc(C(=O)NC[C@@H]2COCCN2Cc2nc(=O)n(C)[n-]2)c1 ZINC001051077279 735058745 /nfs/dbraw/zinc/05/87/45/735058745.db2.gz CSOJPYWOIAQTSC-LLVKDONJSA-N -1 1 335.364 -0.359 20 0 EBADMM Cc1ncccc1C(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001051092915 735064380 /nfs/dbraw/zinc/06/43/80/735064380.db2.gz ZKAGGUSWOISCAG-LBPRGKRZSA-N -1 1 346.391 -0.557 20 0 EBADMM NC(=O)NCCC(=O)N1CCCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001062206565 738875700 /nfs/dbraw/zinc/87/57/00/738875700.db2.gz XJKYZVXJHVCBKM-LLVKDONJSA-N -1 1 349.391 -0.044 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)[C@@H]2CC23CCC3)nc1=O ZINC001051137556 735075319 /nfs/dbraw/zinc/07/53/19/735075319.db2.gz NQVKSYCUNMFPPZ-RYUDHWBXSA-N -1 1 335.408 -0.384 20 0 EBADMM CC[C@H](C)CN1CCOC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001051209340 735099973 /nfs/dbraw/zinc/09/99/73/735099973.db2.gz IZDFHQCWOQYNAL-RYUDHWBXSA-N -1 1 348.407 -0.106 20 0 EBADMM CC(C)CN1CCOC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001051210736 735100537 /nfs/dbraw/zinc/10/05/37/735100537.db2.gz ZVYVRTZCVLFOHS-NSHDSACASA-N -1 1 334.380 -0.496 20 0 EBADMM COCC(=O)N[C@H](C)[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001051248531 735111200 /nfs/dbraw/zinc/11/12/00/735111200.db2.gz CIEODIISWNORGY-NXEZZACHSA-N -1 1 348.363 -0.969 20 0 EBADMM O=C(CC[C@@H]1CCCO1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051456312 735158665 /nfs/dbraw/zinc/15/86/65/735158665.db2.gz XONUVIICGGVWDU-RYUDHWBXSA-N -1 1 339.396 -0.214 20 0 EBADMM C[C@@H](C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1)n1cccn1 ZINC001051457752 735159753 /nfs/dbraw/zinc/15/97/53/735159753.db2.gz OJJBOTUNYANDMM-WDEREUQCSA-N -1 1 335.368 -0.715 20 0 EBADMM Cc1ccncc1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051513043 735218176 /nfs/dbraw/zinc/21/81/76/735218176.db2.gz TYNGTWSDQCGMEL-NSHDSACASA-N -1 1 332.364 -0.155 20 0 EBADMM Cc1ccc(CC(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)o1 ZINC001051556050 735230631 /nfs/dbraw/zinc/23/06/31/735230631.db2.gz QPPDQUPUHYGCBO-LBPRGKRZSA-N -1 1 335.364 -0.029 20 0 EBADMM O=C(C=Cc1ccc[nH]1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051571956 735240411 /nfs/dbraw/zinc/24/04/11/735240411.db2.gz MKPMDJAHEXGBRV-AAOUONPWSA-N -1 1 332.364 -0.131 20 0 EBADMM CO[C@@H](C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001051584118 735248973 /nfs/dbraw/zinc/24/89/73/735248973.db2.gz NNEUTKIMSGQPPL-CMPLNLGQSA-N -1 1 325.369 -0.748 20 0 EBADMM C[C@H](C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1)c1ccnn1C ZINC001051618586 735269380 /nfs/dbraw/zinc/26/93/80/735269380.db2.gz FEUKLTPHDXLMRZ-WDEREUQCSA-N -1 1 349.395 -0.636 20 0 EBADMM CCn1ccc(CC(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001051627702 735273395 /nfs/dbraw/zinc/27/33/95/735273395.db2.gz CMXWGVLUYJSIAS-GFCCVEGCSA-N -1 1 349.395 -0.714 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)c3nccnc3N)CC2)nc1=O ZINC001052262319 735577767 /nfs/dbraw/zinc/57/77/67/735577767.db2.gz PGFQAFSNRJXBLR-JTQLQIEISA-N -1 1 346.395 -0.735 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)Cc1nc[nH]n1 ZINC001052422613 735611529 /nfs/dbraw/zinc/61/15/29/735611529.db2.gz SESOEWZLBBRBCS-GHMZBOCLSA-N -1 1 344.375 -0.028 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)Cc1nnc[nH]1 ZINC001052422613 735611534 /nfs/dbraw/zinc/61/15/34/735611534.db2.gz SESOEWZLBBRBCS-GHMZBOCLSA-N -1 1 344.375 -0.028 20 0 EBADMM C[C@H]1CN(C(=O)CCNC(N)=O)C[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001052572085 735644478 /nfs/dbraw/zinc/64/44/78/735644478.db2.gz IPYDVDRXRHPRTB-QWRGUYRKSA-N -1 1 349.391 -0.330 20 0 EBADMM CNC(=O)CN1CCC[C@H](NC(=O)c2c[n-]n3c2nccc3=O)CC1 ZINC001052772932 735687572 /nfs/dbraw/zinc/68/75/72/735687572.db2.gz CPYNFYRSXNULER-NSHDSACASA-N -1 1 346.391 -0.647 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)C(C)(C)F)CCO3)nc1=O ZINC001053122081 735753143 /nfs/dbraw/zinc/75/31/43/735753143.db2.gz PDSDUSXJEYWBLP-UHFFFAOYSA-N -1 1 327.360 -0.730 20 0 EBADMM O=C(CN1CC2(C1)CN(C(=O)c1ncccc1[O-])CCO2)NC1CC1 ZINC001053232284 735786224 /nfs/dbraw/zinc/78/62/24/735786224.db2.gz KNNBOTIVUQASBF-UHFFFAOYSA-N -1 1 346.387 -0.407 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)[C@@H]2CC2(F)F)CCO3)nc1=O ZINC001053247255 735796453 /nfs/dbraw/zinc/79/64/53/735796453.db2.gz PXRDLTIDKMKDHC-VIFPVBQESA-N -1 1 343.334 -0.823 20 0 EBADMM CC[C@@H](F)C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053375190 735853873 /nfs/dbraw/zinc/85/38/73/735853873.db2.gz AOJYPWPMRSQMHZ-SNVBAGLBSA-N -1 1 327.360 -0.730 20 0 EBADMM Cc1cocc1C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053378279 735854233 /nfs/dbraw/zinc/85/42/33/735854233.db2.gz WZCHVBLOZSSKPP-UHFFFAOYSA-N -1 1 347.375 -0.263 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)[C@@H]2CC24CC4)CCO3)nc1=O ZINC001053445998 735876830 /nfs/dbraw/zinc/87/68/30/735876830.db2.gz IKBHTFZQHGRWRT-NSHDSACASA-N -1 1 333.392 -0.678 20 0 EBADMM CCCN1CC2(C1)CN(C(=O)CCn1cc[n-]c(=O)c1=O)CCO2 ZINC001053474917 735889566 /nfs/dbraw/zinc/88/95/66/735889566.db2.gz WNDPDDHPISYQKQ-UHFFFAOYSA-N -1 1 336.392 -0.750 20 0 EBADMM CC[C@]1(C)C[C@@H]1C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053491715 735901045 /nfs/dbraw/zinc/90/10/45/735901045.db2.gz XNCFSZBPUKJALG-MLGOLLRUSA-N -1 1 349.435 -0.042 20 0 EBADMM CC(C)[C@H]1C[C@@H]1C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053490705 735901073 /nfs/dbraw/zinc/90/10/73/735901073.db2.gz QGSLOACYAVXOLS-OLZOCXBDSA-N -1 1 349.435 -0.186 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCOC2(CN(C(C)C)C2)C1 ZINC001053507215 735908515 /nfs/dbraw/zinc/90/85/15/735908515.db2.gz HSOQCDBGAODPRJ-UHFFFAOYSA-N -1 1 338.364 -0.168 20 0 EBADMM Cc1occc1C(=O)N[C@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053733055 735983083 /nfs/dbraw/zinc/98/30/83/735983083.db2.gz LQQDYYQCBHWHKH-LLVKDONJSA-N -1 1 347.375 -0.217 20 0 EBADMM CN1CCC[C@H]1C(=O)N[C@@H]1COC2(CN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001053758250 735999325 /nfs/dbraw/zinc/99/93/25/735999325.db2.gz NZSFZQHXBRUHFB-QWRGUYRKSA-N -1 1 336.396 -0.936 20 0 EBADMM CN1CCC[C@@H]1C(=O)N[C@H]1COC2(CN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001053758248 735999501 /nfs/dbraw/zinc/99/95/01/735999501.db2.gz NZSFZQHXBRUHFB-GHMZBOCLSA-N -1 1 336.396 -0.936 20 0 EBADMM O=C(N[C@H]1COC2(CN(CCn3cncn3)C2)C1)c1ncccc1[O-] ZINC001053784267 736013955 /nfs/dbraw/zinc/01/39/55/736013955.db2.gz JLBUAHKQSKPETG-GFCCVEGCSA-N -1 1 344.375 -0.348 20 0 EBADMM O=C(N[C@@H]1COC2(CN(CCn3cncn3)C2)C1)c1ncccc1[O-] ZINC001053784268 736014274 /nfs/dbraw/zinc/01/42/74/736014274.db2.gz JLBUAHKQSKPETG-LBPRGKRZSA-N -1 1 344.375 -0.348 20 0 EBADMM O=C(N[C@H]1COC2(CN([C@H]3CCNC3=O)C2)C1)c1ncccc1[O-] ZINC001053784744 736014683 /nfs/dbraw/zinc/01/46/83/736014683.db2.gz VUAKYSRPPFOYTC-MNOVXSKESA-N -1 1 332.360 -0.751 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(C2)CCCN(C(=O)[C@H]2CCOC2)C3)nc1=O ZINC001054098756 736162391 /nfs/dbraw/zinc/16/23/91/736162391.db2.gz XPWXTFTYGQZVKA-GUYCJALGSA-N -1 1 349.435 -0.041 20 0 EBADMM C[C@H]1CN(C(=O)c2cn3c(n2)COCC3)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054797320 736246927 /nfs/dbraw/zinc/24/69/27/736246927.db2.gz DYACJWMRZRLUNT-UWVGGRQHSA-N -1 1 347.379 -0.513 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccn(C)c(=O)c2)C[C@H]1[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001054811781 736248778 /nfs/dbraw/zinc/24/87/78/736248778.db2.gz DBAWBOXVNKKWLA-ZYHUDNBSSA-N -1 1 346.391 -0.943 20 0 EBADMM COCc1nn(C)cc1C(=O)N1C[C@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001054820110 736250292 /nfs/dbraw/zinc/25/02/92/736250292.db2.gz MVDVAJBRRONYHV-GXSJLCMTSA-N -1 1 349.395 -0.360 20 0 EBADMM C[C@H]1CN(C(=O)c2cc(CN(C)C)on2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054829091 736252617 /nfs/dbraw/zinc/25/26/17/736252617.db2.gz DZMMQIDUGVPCFS-CABZTGNLSA-N -1 1 349.395 -0.190 20 0 EBADMM C[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@H]1NCc1nccs1 ZINC001054862336 736258125 /nfs/dbraw/zinc/25/81/25/736258125.db2.gz CGQGQFVMFUNTLA-YUMQZZPRSA-N -1 1 336.377 -0.010 20 0 EBADMM C[C@H]1CN(C(=O)c2cc(C(N)=O)cn2C)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054867559 736259128 /nfs/dbraw/zinc/25/91/28/736259128.db2.gz YVYTXCAIVYJWSG-WCBMZHEXSA-N -1 1 347.379 -0.802 20 0 EBADMM O=C(N[C@]1(CO)CCCN(C(=O)c2ncccc2[O-])C1)c1nnc[nH]1 ZINC001056045480 736612145 /nfs/dbraw/zinc/61/21/45/736612145.db2.gz IXTKUMGCKMTTGD-OAHLLOKOSA-N -1 1 346.347 -0.698 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(c3nccn4nnnc34)C[C@H]21)c1ncccc1[O-] ZINC001056182962 736662474 /nfs/dbraw/zinc/66/24/74/736662474.db2.gz TYXABDUMGJCHLI-JZYVYDRUSA-N -1 1 338.331 -0.516 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1[C@H]2CN(c3ncccn3)C[C@H]21 ZINC001056260504 736681326 /nfs/dbraw/zinc/68/13/26/736681326.db2.gz QTAWLWFVATUTPF-BRPSZJMVSA-N -1 1 344.331 -0.449 20 0 EBADMM Nc1nccnc1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057235090 737102577 /nfs/dbraw/zinc/10/25/77/737102577.db2.gz AWVZPOYEHBFWJU-UHFFFAOYSA-N -1 1 346.347 -0.976 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC(Nc2ncccn2)CC1 ZINC001057345887 737175497 /nfs/dbraw/zinc/17/54/97/737175497.db2.gz YESVKOSSPMMCMH-UHFFFAOYSA-N -1 1 344.375 -0.180 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccc1[O-])c1cnccn1 ZINC001057407768 737227211 /nfs/dbraw/zinc/22/72/11/737227211.db2.gz HGYJTMFBVUZDFN-UHFFFAOYSA-N -1 1 331.332 -0.558 20 0 EBADMM O=C(CCn1cccn1)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410162 737230121 /nfs/dbraw/zinc/23/01/21/737230121.db2.gz FTKCFLRFWFWNNR-UHFFFAOYSA-N -1 1 347.375 -0.375 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccc1[O-])c1n[nH]cc1F ZINC001057411533 737232398 /nfs/dbraw/zinc/23/23/98/737232398.db2.gz JXYOEJKBMVRSHY-UHFFFAOYSA-N -1 1 337.311 -0.486 20 0 EBADMM Cc1nccc(C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])n1 ZINC001057654186 737391964 /nfs/dbraw/zinc/39/19/64/737391964.db2.gz GTSDZCCGFQAZHK-UHFFFAOYSA-N -1 1 345.359 -0.250 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(CCO)CCNC(=O)C(C)C ZINC001058152910 737676352 /nfs/dbraw/zinc/67/63/52/737676352.db2.gz HAETYHKDHGHOBP-UHFFFAOYSA-N -1 1 342.352 -0.897 20 0 EBADMM O=C(N[C@H]1CCN(c2ncc(F)cn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001058403215 737815303 /nfs/dbraw/zinc/81/53/03/737815303.db2.gz JNOAARZSCXBHIO-VIFPVBQESA-N -1 1 344.310 -0.645 20 0 EBADMM Cc1ccc(N2CC[C@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)nn1 ZINC001058406162 737816645 /nfs/dbraw/zinc/81/66/45/737816645.db2.gz MCTACVLCIZFNGW-JTQLQIEISA-N -1 1 340.347 -0.475 20 0 EBADMM CCC(=O)N1CC[C@@H](CCNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001058951755 738091081 /nfs/dbraw/zinc/09/10/81/738091081.db2.gz AHTODKFNSNRJNI-GFCCVEGCSA-N -1 1 336.392 -0.309 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CC[C@H](Nc2ncnc3[nH]cnc32)C1 ZINC001059118165 738115527 /nfs/dbraw/zinc/11/55/27/738115527.db2.gz BVYSMWRFIXXLKL-LURJTMIESA-N -1 1 343.307 -0.724 20 0 EBADMM O=C(N[C@H]1C[C@@H](Nc2cc(F)ncn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001059680381 738226372 /nfs/dbraw/zinc/22/63/72/738226372.db2.gz FWJKFBLZYZDJNC-OCAPTIKFSA-N -1 1 344.310 -0.280 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)NCC1(NC(=O)CCn2cc[n-]c(=O)c2=O)CCC1 ZINC001062436340 738915518 /nfs/dbraw/zinc/91/55/18/738915518.db2.gz VVCOQXWWCMSOGX-NEPJUHHUSA-N -1 1 348.403 -0.262 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1C[C@@H]2C[C@H]1CN2c1ncccn1 ZINC001062510151 738933292 /nfs/dbraw/zinc/93/32/92/738933292.db2.gz KBDRSIRKRJAJMX-UWVGGRQHSA-N -1 1 338.331 -0.689 20 0 EBADMM CN1CCO[C@H](C(=O)NC2(CNC(=O)c3ncccc3[O-])CCC2)C1 ZINC001062839449 739002830 /nfs/dbraw/zinc/00/28/30/739002830.db2.gz QDEUYYGBDSNXQX-ZDUSSCGKSA-N -1 1 348.403 -0.114 20 0 EBADMM Cc1nc(CC(=O)NCC2(NC(=O)c3[nH]nc(C)c3[O-])CCC2)n[nH]1 ZINC001062983368 739036979 /nfs/dbraw/zinc/03/69/79/739036979.db2.gz WLJBVUBJARDQBB-UHFFFAOYSA-N -1 1 347.379 -0.138 20 0 EBADMM C[C@@]1(NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN(c2ncccn2)C1 ZINC001065268284 739623184 /nfs/dbraw/zinc/62/31/84/739623184.db2.gz WPQNRTVUAFQJEF-OAHLLOKOSA-N -1 1 340.347 -0.394 20 0 EBADMM COCC(=O)N[C@@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001065751106 739861395 /nfs/dbraw/zinc/86/13/95/739861395.db2.gz ZVDODIHBLKYMSF-SNVBAGLBSA-N -1 1 348.363 -0.825 20 0 EBADMM NC(=O)NCC(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001065824651 739871853 /nfs/dbraw/zinc/87/18/53/739871853.db2.gz QHZVAHMYHMRRSO-JTQLQIEISA-N -1 1 335.364 -0.434 20 0 EBADMM CCC(=O)N1CCC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001066318953 739944256 /nfs/dbraw/zinc/94/42/56/739944256.db2.gz JXGXMGQJWVHCAA-GFCCVEGCSA-N -1 1 336.392 -0.166 20 0 EBADMM COc1ccnc(N2C[C@H](O)[C@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC001067665122 740177556 /nfs/dbraw/zinc/17/75/56/740177556.db2.gz JTABPTBHWUBONE-PWSUYJOCSA-N -1 1 345.359 -0.187 20 0 EBADMM CO[C@H](C)C(=O)N[C@@H]1COC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001068731851 740428124 /nfs/dbraw/zinc/42/81/24/740428124.db2.gz GHZRGZWLBKYBSO-MNOVXSKESA-N -1 1 335.360 -0.078 20 0 EBADMM C[C@@H]1C[C@H](Nc2ncccn2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001069120964 740537176 /nfs/dbraw/zinc/53/71/76/740537176.db2.gz GQOSWGRHYYDLGN-ZJUUUORDSA-N -1 1 340.347 -0.077 20 0 EBADMM CCNCc1nnc2n1CC[C@H](NC(=O)c1n[nH]c(=O)[n-]c1=O)CC2 ZINC001069844822 740588324 /nfs/dbraw/zinc/58/83/24/740588324.db2.gz NTODHUOHVKJOGW-MRVPVSSYSA-N -1 1 348.367 -0.881 20 0 EBADMM Cn1[n-]c(CN2CCn3ncc(CNC(=O)C4CCC4)c3C2)nc1=O ZINC001069850302 740589637 /nfs/dbraw/zinc/58/96/37/740589637.db2.gz MMUMCURGWMNWOQ-UHFFFAOYSA-N -1 1 345.407 -0.263 20 0 EBADMM CCN1CCn2ncc(CNC(=O)c3[nH]c(=O)[n-]c(=O)c3OC)c2C1 ZINC001069881032 740602704 /nfs/dbraw/zinc/60/27/04/740602704.db2.gz JFTBROOEVUNWJN-UHFFFAOYSA-N -1 1 348.363 -0.142 20 0 EBADMM O=C(NC1(CNC(=O)c2ncccc2[O-])CCOCC1)c1cnon1 ZINC001070215768 740752984 /nfs/dbraw/zinc/75/29/84/740752984.db2.gz MLLXVHJLSBXNQS-UHFFFAOYSA-N -1 1 347.331 -0.121 20 0 EBADMM NC(=O)C1(C(=O)N[C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001070648654 740908732 /nfs/dbraw/zinc/90/87/32/740908732.db2.gz RVQSRVMBDMUQOR-SNVBAGLBSA-N -1 1 332.360 -0.227 20 0 EBADMM CNC(=O)NCC(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001070856458 740958955 /nfs/dbraw/zinc/95/89/55/740958955.db2.gz XGHGQWNQXLDFOS-SNVBAGLBSA-N -1 1 335.364 -0.563 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@]2(CCN(Cc3n[nH]c(=O)[n-]3)C2)O1 ZINC001071139666 741065374 /nfs/dbraw/zinc/06/53/74/741065374.db2.gz YYBFQJXGXGRYKD-MEBBXXQBSA-N -1 1 347.379 -0.261 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2cnccn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071322880 741120875 /nfs/dbraw/zinc/12/08/75/741120875.db2.gz YUUIOHVONMMSJN-QWRGUYRKSA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2ccc(=O)[nH]n2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071326176 741121679 /nfs/dbraw/zinc/12/16/79/741121679.db2.gz CQLOXQCFZXPLCR-VHSXEESVSA-N -1 1 347.379 -0.613 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])CN1Cc1nc(=O)n(C)[nH]1 ZINC001071454537 741139838 /nfs/dbraw/zinc/13/98/38/741139838.db2.gz NJIFMFOFCGWATK-QWRGUYRKSA-N -1 1 346.391 -0.008 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)Cc2ccnn2C)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071466526 741141990 /nfs/dbraw/zinc/14/19/90/741141990.db2.gz DFTWRQMTSMYAGF-VXGBXAGGSA-N -1 1 347.423 -0.446 20 0 EBADMM Cc1nnc(CC(=O)N[C@@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)o1 ZINC001071491346 741145576 /nfs/dbraw/zinc/14/55/76/741145576.db2.gz ZNKVVKQUJUKZFJ-GXSJLCMTSA-N -1 1 349.395 -0.488 20 0 EBADMM Cc1nc(CC(=O)N[C@@H]2CC[C@H](C)N(Cc3n[nH]c(=O)[n-]3)C2)n[nH]1 ZINC001071499267 741147389 /nfs/dbraw/zinc/14/73/89/741147389.db2.gz ZABMKDWSLGVDLJ-WCBMZHEXSA-N -1 1 334.384 -0.351 20 0 EBADMM Cc1cn(C)nc1C(=O)N[C@@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071504480 741148698 /nfs/dbraw/zinc/14/86/98/741148698.db2.gz GBCZKXGVAQFTLR-NWDGAFQWSA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2cnc[nH]c2=O)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071599817 741175610 /nfs/dbraw/zinc/17/56/10/741175610.db2.gz ATXLOGRITPCLPX-VHSXEESVSA-N -1 1 347.379 -0.613 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2ccnnc2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071848911 741233164 /nfs/dbraw/zinc/23/31/64/741233164.db2.gz ZPSKACYISDPHDL-CMPLNLGQSA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)CN1CCO ZINC001071908495 741243186 /nfs/dbraw/zinc/24/31/86/741243186.db2.gz WZIVRZYUDFIYTB-GHMZBOCLSA-N -1 1 334.380 -0.967 20 0 EBADMM CCn1cc(C(=O)N[C@@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001071941063 741256320 /nfs/dbraw/zinc/25/63/20/741256320.db2.gz CSSHSYHHYKDODD-GHMZBOCLSA-N -1 1 348.411 -0.497 20 0 EBADMM Cc1nonc1CC(=O)N[C@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071951388 741263404 /nfs/dbraw/zinc/26/34/04/741263404.db2.gz XNEUMINOBZSIQG-KOLCDFICSA-N -1 1 349.395 -0.488 20 0 EBADMM CNC(=O)NCCC(=O)N1C[C@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001072022241 741285923 /nfs/dbraw/zinc/28/59/23/741285923.db2.gz XSELPUATKYTQAY-QWRGUYRKSA-N -1 1 349.391 -0.317 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2ccc(=O)[nH]c2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001072046485 741289306 /nfs/dbraw/zinc/28/93/06/741289306.db2.gz XQGBRTAUDPXJKL-PWSUYJOCSA-N -1 1 346.391 -0.008 20 0 EBADMM C[C@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@@H]1NC(=O)CC(N)=O ZINC001072121325 741309297 /nfs/dbraw/zinc/30/92/97/741309297.db2.gz GREFDLZMMFFQIY-KWQFWETISA-N -1 1 347.375 -0.488 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)c4cccnc4)C3)C2)nc1=O ZINC001072400388 741390261 /nfs/dbraw/zinc/39/02/61/741390261.db2.gz WFVOUIXCUXDQKK-UHFFFAOYSA-N -1 1 328.376 -0.149 20 0 EBADMM CCOCC(=O)N[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC001072426275 741395198 /nfs/dbraw/zinc/39/51/98/741395198.db2.gz FXIBCYGLEOTMDJ-KOLCDFICSA-N -1 1 348.363 -0.969 20 0 EBADMM CC(C)=CC(=O)N[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC001072427340 741395595 /nfs/dbraw/zinc/39/55/95/741395595.db2.gz TYDQJFZPPHZVLV-PWSUYJOCSA-N -1 1 344.375 -0.040 20 0 EBADMM Cc1cc(C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)no1 ZINC001072449246 741402695 /nfs/dbraw/zinc/40/26/95/741402695.db2.gz KWBXZBUIERZUNI-UHFFFAOYSA-N -1 1 332.364 -0.247 20 0 EBADMM Cn1cccc1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072479933 741412653 /nfs/dbraw/zinc/41/26/53/741412653.db2.gz MPHIKXKXUCPQFU-UHFFFAOYSA-N -1 1 330.392 -0.205 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)C[C@@H]4CCOC4)C3)C2)nc1=O ZINC001072570431 741430263 /nfs/dbraw/zinc/43/02/63/741430263.db2.gz CDPNNMAVAUPWNV-LBPRGKRZSA-N -1 1 335.408 -0.431 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)C4=CCOCC4)C3)C2)nc1=O ZINC001072656245 741454710 /nfs/dbraw/zinc/45/47/10/741454710.db2.gz UDNFTZMQIJRDNW-UHFFFAOYSA-N -1 1 333.392 -0.511 20 0 EBADMM C[C@H]1OCCC[C@H]1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072670281 741457437 /nfs/dbraw/zinc/45/74/37/741457437.db2.gz QLPDLQBQMHTHHP-CHWSQXEVSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)[C@H]4CCCOCC4)C3)C2)nc1=O ZINC001072678423 741459641 /nfs/dbraw/zinc/45/96/41/741459641.db2.gz IVTCHSIGDNOUFH-ZDUSSCGKSA-N -1 1 349.435 -0.041 20 0 EBADMM Cn1ccc(CC(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)n1 ZINC001072727031 741466125 /nfs/dbraw/zinc/46/61/25/741466125.db2.gz XOWIKNBBTINXAV-UHFFFAOYSA-N -1 1 345.407 -0.881 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001073063737 741552333 /nfs/dbraw/zinc/55/23/33/741552333.db2.gz IKFSOJDYHPQWHJ-UHFFFAOYSA-N -1 1 345.407 -0.204 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)[C@]4(C)CCCOC4)C3)C2)nc1=O ZINC001073085564 741556501 /nfs/dbraw/zinc/55/65/01/741556501.db2.gz IFUNXYXRTUPUGN-MRXNPFEDSA-N -1 1 349.435 -0.041 20 0 EBADMM Cn1nccc1CN1CCC2(CN(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)C1 ZINC001073150205 741570992 /nfs/dbraw/zinc/57/09/92/741570992.db2.gz OJXLDNQTVPVIAX-UHFFFAOYSA-N -1 1 347.379 -0.843 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)C[C@H]4CCCOC4)C3)C2)nc1=O ZINC001073437505 741613710 /nfs/dbraw/zinc/61/37/10/741613710.db2.gz YHGRYXRFCVVCRS-CYBMUJFWSA-N -1 1 349.435 -0.041 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@@H](CNC(=O)c3cccnc3)C2)nc1=O ZINC001073517591 741625838 /nfs/dbraw/zinc/62/58/38/741625838.db2.gz SUNNQIHPTKDBOL-ZDUSSCGKSA-N -1 1 346.391 -0.476 20 0 EBADMM Cn1cccc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001073539258 741639146 /nfs/dbraw/zinc/63/91/46/741639146.db2.gz GKGIDOCMZCADHM-LBPRGKRZSA-N -1 1 348.407 -0.532 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@H](CNC(=O)[C@H]3CC3(C)C)C2)nc1=O ZINC001073574302 741662476 /nfs/dbraw/zinc/66/24/76/741662476.db2.gz OPTILPUCUDXOBQ-VXGBXAGGSA-N -1 1 337.424 -0.138 20 0 EBADMM CC[C@@H](F)CN1CCCO[C@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001073680284 741708113 /nfs/dbraw/zinc/70/81/13/741708113.db2.gz ZCYAUPNKEHUOGC-NXEZZACHSA-N -1 1 343.359 -0.148 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@H](CNC(=O)[C@H]3C[C@H]4C[C@H]4C3)C2)nc1=O ZINC001073736301 741727959 /nfs/dbraw/zinc/72/79/59/741727959.db2.gz OWKYLBKTDXABJL-ZOBORPQBSA-N -1 1 349.435 -0.138 20 0 EBADMM Cc1c[nH]cc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001073889166 741774964 /nfs/dbraw/zinc/77/49/64/741774964.db2.gz JQLCIQAILMGEMD-GFCCVEGCSA-N -1 1 348.407 -0.234 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H]3CCN(C(=O)CC4CC4)C[C@@H]32)nc1=O ZINC001074136831 741838429 /nfs/dbraw/zinc/83/84/29/741838429.db2.gz JISJYLVXFIHSQY-QWHCGFSZSA-N -1 1 335.408 -0.290 20 0 EBADMM CC(C)CC(=O)N1CC[C@@H]2OCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C1 ZINC001074148154 741840527 /nfs/dbraw/zinc/84/05/27/741840527.db2.gz QLWYVULYZMJLRZ-OLZOCXBDSA-N -1 1 337.424 -0.044 20 0 EBADMM CSCC(=O)N1CC[C@@H]2OCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1 ZINC001074163427 741846461 /nfs/dbraw/zinc/84/64/61/741846461.db2.gz QISSJFIVZXTQNO-QWRGUYRKSA-N -1 1 341.437 -0.727 20 0 EBADMM O=C(c1ccn[nH]1)N1CC[C@H]2OCCN(Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001074181369 741852095 /nfs/dbraw/zinc/85/20/95/741852095.db2.gz SMXXKWSWRIKRGH-GHMZBOCLSA-N -1 1 333.352 -0.651 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H]3CCN(C(=O)C(C)(C)C)C[C@H]32)nc1=O ZINC001074391192 741924780 /nfs/dbraw/zinc/92/47/80/741924780.db2.gz ZEVXUJZJBFDNPI-NEPJUHHUSA-N -1 1 337.424 -0.044 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)CNC(N)=O)CCN1C(=O)c1ncccc1[O-] ZINC001074819876 742115541 /nfs/dbraw/zinc/11/55/41/742115541.db2.gz YULAISAGIQFRNX-UWVGGRQHSA-N -1 1 335.364 -0.435 20 0 EBADMM CNC(=O)NCC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C[C@H]1C ZINC001074943460 742140017 /nfs/dbraw/zinc/14/00/17/742140017.db2.gz IUUBGZKCMLFLMY-GHMZBOCLSA-N -1 1 349.391 -0.175 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)CCNC(N)=O)CCN1C(=O)c1ncccc1[O-] ZINC001074986469 742150817 /nfs/dbraw/zinc/15/08/17/742150817.db2.gz LZFXUOJPJIIUDG-MNOVXSKESA-N -1 1 349.391 -0.045 20 0 EBADMM C[C@H]1C[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)CCN1C(=O)C1CC1 ZINC001075067155 742172754 /nfs/dbraw/zinc/17/27/54/742172754.db2.gz MDJXZNBQMXLYBV-WCQYABFASA-N -1 1 348.403 -0.168 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@@H]1CCc2nncn2C1 ZINC001075126180 742184848 /nfs/dbraw/zinc/18/48/48/742184848.db2.gz KXSIUDNJSVEKPZ-AXFHLTTASA-N -1 1 346.395 -0.557 20 0 EBADMM COCCC(=O)NCC[C@H](C)NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001075222828 742201522 /nfs/dbraw/zinc/20/15/22/742201522.db2.gz MZRAEHVMEFLTJO-QMMMGPOBSA-N -1 1 342.352 -0.443 20 0 EBADMM C[C@@H](CCNC(=O)C(C)(F)F)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001075342905 742215410 /nfs/dbraw/zinc/21/54/10/742215410.db2.gz BATZUAZDXMGRCA-ZETCQYMHSA-N -1 1 342.306 -0.303 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)C4CCOCC4)[C@@H]3C2)nc1=O ZINC001075479056 742232633 /nfs/dbraw/zinc/23/26/33/742232633.db2.gz WYFHKDDUKKHPKG-QWHCGFSZSA-N -1 1 335.408 -0.432 20 0 EBADMM CC(C)=CC(=O)NCC[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001075494158 742233498 /nfs/dbraw/zinc/23/34/98/742233498.db2.gz RLFCHPUOYZWMRM-LBPRGKRZSA-N -1 1 336.392 -0.096 20 0 EBADMM C[C@@H](CCNC(=O)c1ccoc1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001075602370 742259750 /nfs/dbraw/zinc/25/97/50/742259750.db2.gz VLYOGRPGFXEARB-NSHDSACASA-N -1 1 348.359 -0.156 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)Cc4ccon4)[C@@H]3C2)nc1=O ZINC001075624702 742262461 /nfs/dbraw/zinc/26/24/61/742262461.db2.gz WSCAZRQFTAEFTA-CMPLNLGQSA-N -1 1 332.364 -0.628 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)C[C@H]4CCOC4)[C@@H]3C2)nc1=O ZINC001075635765 742264356 /nfs/dbraw/zinc/26/43/56/742264356.db2.gz KGHLPMTUODZTKR-FRRDWIJNSA-N -1 1 335.408 -0.432 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC[C@H]3CN(CCn4cncn4)C[C@H]32)c1[O-] ZINC001075692400 742273696 /nfs/dbraw/zinc/27/36/96/742273696.db2.gz XDXFZPBVDIFNLP-NWDGAFQWSA-N -1 1 331.380 -0.138 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)C4=CCOCC4)[C@@H]3C2)nc1=O ZINC001075719790 742280712 /nfs/dbraw/zinc/28/07/12/742280712.db2.gz YVWAXTDNCRKMOR-QWHCGFSZSA-N -1 1 333.392 -0.512 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)C[C@H]1CC(=O)NC1=O ZINC001076246587 742419650 /nfs/dbraw/zinc/41/96/50/742419650.db2.gz ZTALDMRGERYGCZ-UWVGGRQHSA-N -1 1 348.359 -0.535 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)c4ccncn4)[C@@H]3C2)nc1=O ZINC001076372360 742451316 /nfs/dbraw/zinc/45/13/16/742451316.db2.gz XTBVLWUOVGSTCE-CMPLNLGQSA-N -1 1 329.364 -0.755 20 0 EBADMM Cc1nc[nH]c1C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001076644614 742520787 /nfs/dbraw/zinc/52/07/87/742520787.db2.gz RCTSHNVSDMINIK-WDEREUQCSA-N -1 1 331.380 -0.514 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c(C)o1 ZINC001076732478 742549587 /nfs/dbraw/zinc/54/95/87/742549587.db2.gz NMEYKKHKDBIFBR-VXGBXAGGSA-N -1 1 335.364 -0.707 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)C3CCCCCC3)C2)nc1=O ZINC001076802560 742578432 /nfs/dbraw/zinc/57/84/32/742578432.db2.gz KFECFCMJDOXLBS-CHWSQXEVSA-N -1 1 337.424 -0.260 20 0 EBADMM CCc1nc(CN2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)no1 ZINC001076916640 742642061 /nfs/dbraw/zinc/64/20/61/742642061.db2.gz VNJXUFXYDOBJSE-MWLCHTKSSA-N -1 1 333.348 -0.292 20 0 EBADMM CN1CCC[C@@H](N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)C1=O ZINC001076916980 742642244 /nfs/dbraw/zinc/64/22/44/742642244.db2.gz LUCVBCMSCNBDJE-NQBHXWOUSA-N -1 1 334.376 -0.817 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)cc(C)n1 ZINC001077062238 742714622 /nfs/dbraw/zinc/71/46/22/742714622.db2.gz UGRZBZBCPNHKOV-CHWSQXEVSA-N -1 1 346.391 -0.905 20 0 EBADMM Cc1ccc(CC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)cc1 ZINC001077328135 742892696 /nfs/dbraw/zinc/89/26/96/742892696.db2.gz OJYXOEHGNXWAHZ-ZIAGYGMSSA-N -1 1 345.403 -0.679 20 0 EBADMM C[C@@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)[C@@H]1CCCO1 ZINC001077487016 743011971 /nfs/dbraw/zinc/01/19/71/743011971.db2.gz AXVISWCVFIVCSI-ONGXEEELSA-N -1 1 348.363 -0.779 20 0 EBADMM CCOCC(=O)N[C@@H](C)CCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001077487263 743012402 /nfs/dbraw/zinc/01/24/02/743012402.db2.gz MDSMSTKXFUDNKE-VIFPVBQESA-N -1 1 336.352 -0.921 20 0 EBADMM CC(C)c1[nH]ccc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001077548807 743067671 /nfs/dbraw/zinc/06/76/71/743067671.db2.gz LVWFTONXHUGCIF-VXGBXAGGSA-N -1 1 348.407 -0.465 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)ccc1F ZINC001077600720 743114033 /nfs/dbraw/zinc/11/40/33/743114033.db2.gz VRCXZYYUYBMJDX-CHWSQXEVSA-N -1 1 349.366 -0.469 20 0 EBADMM Cc1cc(F)cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c1 ZINC001077722493 743200331 /nfs/dbraw/zinc/20/03/31/743200331.db2.gz RULZLRYSYULCFY-CHWSQXEVSA-N -1 1 349.366 -0.469 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)c3c[nH]cc3C3CC3)C2)nc1=O ZINC001077915269 743349541 /nfs/dbraw/zinc/34/95/41/743349541.db2.gz BWPPRFJXFXSEGZ-CHWSQXEVSA-N -1 1 346.391 -0.711 20 0 EBADMM C[C@@H](CCNC(=O)c1c[nH]c(=O)cn1)NC(=O)c1ncccc1[O-] ZINC001078077160 743450885 /nfs/dbraw/zinc/45/08/85/743450885.db2.gz NDIDXGNNRTZYOU-VIFPVBQESA-N -1 1 331.332 -0.191 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)Cc3ccccc3F)C2)nc1=O ZINC001078116822 743481496 /nfs/dbraw/zinc/48/14/96/743481496.db2.gz TUQJAULXBHQSRJ-CHWSQXEVSA-N -1 1 349.366 -0.849 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)c3ccc(CF)cc3)C2)nc1=O ZINC001078249931 743553807 /nfs/dbraw/zinc/55/38/07/743553807.db2.gz UZXPVGMSIJIPFR-CHWSQXEVSA-N -1 1 349.366 -0.447 20 0 EBADMM Cc1cnc(C(=O)N2CCCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001078325680 743585722 /nfs/dbraw/zinc/58/57/22/743585722.db2.gz MAYNXINJPZZTSQ-NSHDSACASA-N -1 1 347.379 -0.370 20 0 EBADMM O=C([C@H]1CCCOC1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078356449 743602105 /nfs/dbraw/zinc/60/21/05/743602105.db2.gz LIJAWZXEHGEBAW-NWDGAFQWSA-N -1 1 339.396 -0.356 20 0 EBADMM Cn1nnc(CNC[C@@H]2CN(C(=O)c3ncccc3[O-])CCCO2)n1 ZINC001078383619 743616774 /nfs/dbraw/zinc/61/67/74/743616774.db2.gz AUZQQECKIKYTOP-LLVKDONJSA-N -1 1 347.379 -0.668 20 0 EBADMM Cn1nnnc1CNC[C@H]1CN(C(=O)c2ncccc2[O-])CCCO1 ZINC001078384482 743618078 /nfs/dbraw/zinc/61/80/78/743618078.db2.gz XHIZAEKTEIZDJQ-NSHDSACASA-N -1 1 347.379 -0.668 20 0 EBADMM O=C(c1cnc[nH]c1=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078416103 743639709 /nfs/dbraw/zinc/63/97/09/743639709.db2.gz QUOIVXMRVTYIRJ-VIFPVBQESA-N -1 1 349.351 -0.973 20 0 EBADMM Cn1cncc1C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078426140 743643309 /nfs/dbraw/zinc/64/33/09/743643309.db2.gz NCJKFXKSVZDFLC-SNVBAGLBSA-N -1 1 335.368 -0.735 20 0 EBADMM CO[C@H](C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001078461489 743661385 /nfs/dbraw/zinc/66/13/85/743661385.db2.gz DSBTVYLFBWVKKZ-AAEUAGOBSA-N -1 1 341.412 -0.112 20 0 EBADMM O=C(N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2)c1ccc(=O)[nH]n1 ZINC001078546412 743690474 /nfs/dbraw/zinc/69/04/74/743690474.db2.gz WPEOZAFQDRQBRL-UWVGGRQHSA-N -1 1 345.363 -0.163 20 0 EBADMM O=C(CN1CCCC1=O)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078551440 743692928 /nfs/dbraw/zinc/69/29/28/743692928.db2.gz RYNJMLYXQKMUSS-QWRGUYRKSA-N -1 1 348.407 -0.350 20 0 EBADMM Cn1nncc1C(=O)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078617475 743708799 /nfs/dbraw/zinc/70/87/99/743708799.db2.gz NCCPYOPKXNQZSA-ZJUUUORDSA-N -1 1 332.368 -0.530 20 0 EBADMM O=C(N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2)c1c[nH]c(=O)cn1 ZINC001078711338 743722414 /nfs/dbraw/zinc/72/24/14/743722414.db2.gz SMWLSZSSSZFHNR-UWVGGRQHSA-N -1 1 345.363 -0.576 20 0 EBADMM NC(=O)[C@H]1CC[C@@H]1C(=O)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000980115007 805746181 /nfs/dbraw/zinc/74/61/81/805746181.db2.gz MWQYEMWGSUURHC-BJDJZHNGSA-N -1 1 346.387 -0.077 20 0 EBADMM CN(Cc1ccccn1)[C@@H]1CCN(C(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC000980266476 805823830 /nfs/dbraw/zinc/82/38/30/805823830.db2.gz YOXUMOMMDCSPRJ-GFCCVEGCSA-N -1 1 332.364 -0.183 20 0 EBADMM CN(Cc1ccccn1)[C@H]1CCN(C(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC000980266477 805823075 /nfs/dbraw/zinc/82/30/75/805823075.db2.gz YOXUMOMMDCSPRJ-LBPRGKRZSA-N -1 1 332.364 -0.183 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)Cn2ccccc2=O)C1 ZINC000980361771 805869919 /nfs/dbraw/zinc/86/99/19/805869919.db2.gz GLAGXPLAZJUJJP-GFCCVEGCSA-N -1 1 346.391 -0.997 20 0 EBADMM C[C@H](C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1)n1cccn1 ZINC000980748067 806006715 /nfs/dbraw/zinc/00/67/15/806006715.db2.gz DVYBIKSSYQGHMY-GFCCVEGCSA-N -1 1 333.396 -0.400 20 0 EBADMM Cc1cc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)n(C)n1 ZINC000980777753 806014054 /nfs/dbraw/zinc/01/40/54/806014054.db2.gz SNXRVMMHJHKRJF-UHFFFAOYSA-N -1 1 333.396 -0.502 20 0 EBADMM Cc1cc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)ncn1 ZINC000980986904 806052837 /nfs/dbraw/zinc/05/28/37/806052837.db2.gz RJAFCUWTTQAJQV-UHFFFAOYSA-N -1 1 331.380 -0.445 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)[C@H]3CCCOCC3)CC2)nc1=O ZINC000981065206 806073243 /nfs/dbraw/zinc/07/32/43/806073243.db2.gz FQFWSPNERFGKCI-ZDUSSCGKSA-N -1 1 337.424 -0.041 20 0 EBADMM CCn1nncc1C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000981109923 806086887 /nfs/dbraw/zinc/08/68/87/806086887.db2.gz SIVXHAISISXWGE-UHFFFAOYSA-N -1 1 334.384 -0.932 20 0 EBADMM Cn1cnnc1CN[C@@H]1CCC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000981203502 806107677 /nfs/dbraw/zinc/10/76/77/806107677.db2.gz ZELVQPOSHXBVTE-RKDXNWHRSA-N -1 1 348.367 -0.758 20 0 EBADMM Cc1nnc(CN[C@@H]2CCC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC000981201900 806107857 /nfs/dbraw/zinc/10/78/57/806107857.db2.gz RIEPKBFKBLSAOT-BDAKNGLRSA-N -1 1 349.351 -0.195 20 0 EBADMM O=C(N[C@H]1CCC[C@@H](NCc2cnon2)C1)c1n[nH]c(=O)[n-]c1=O ZINC000981201834 806108369 /nfs/dbraw/zinc/10/83/69/806108369.db2.gz PWTXZKOUWWXWTR-SFYZADRCSA-N -1 1 335.324 -0.503 20 0 EBADMM O=C(NC[C@H]1CC[C@@H](NC(=O)[C@@H]2CNC(=O)N2)C1)c1ncccc1[O-] ZINC000981207291 806109768 /nfs/dbraw/zinc/10/97/68/806109768.db2.gz BBFGLVVKLWYSKS-AXFHLTTASA-N -1 1 347.375 -0.517 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)c3cnn4c3CCC4)CC2)nc1=O ZINC000981286997 806134798 /nfs/dbraw/zinc/13/47/98/806134798.db2.gz VRLGOZBFXYZOJI-UHFFFAOYSA-N -1 1 345.407 -0.401 20 0 EBADMM Cn1cc(CCN2CCCN(C(=O)c3n[nH]c(=O)[n-]c3=O)CC2)cn1 ZINC000981549869 806200433 /nfs/dbraw/zinc/20/04/33/806200433.db2.gz QQZCKCYATSWEAC-UHFFFAOYSA-N -1 1 347.379 -0.593 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)[C@@H]3CCO[C@H]3C3CC3)CC2)nc1=O ZINC000981656672 806227129 /nfs/dbraw/zinc/22/71/29/806227129.db2.gz CWUGTWVVJGDVLN-HIFRSBDPSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1cnc(C(=O)N2CC3(C2)CCCN(Cc2nc(=O)n(C)[n-]2)C3)c1 ZINC000981714296 806247764 /nfs/dbraw/zinc/24/77/64/806247764.db2.gz VDRMKGNNADRYGR-UHFFFAOYSA-N -1 1 345.407 -0.420 20 0 EBADMM CO[C@@H](C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1)C1CCC1 ZINC000981701177 806243799 /nfs/dbraw/zinc/24/37/99/806243799.db2.gz FLZCEXGRWKAXKA-CQSZACIVSA-N -1 1 337.424 -0.042 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)[C@]3(F)CCOC3)CC2)nc1=O ZINC000981821595 806291298 /nfs/dbraw/zinc/29/12/98/806291298.db2.gz OIYKHTJCNOHOFZ-AWEZNQCLSA-N -1 1 327.360 -0.729 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)c4cnon4)C3)C2)nc1=O ZINC000982077418 806394068 /nfs/dbraw/zinc/39/40/68/806394068.db2.gz YUUKMNXEHUPLQE-UHFFFAOYSA-N -1 1 333.352 -0.770 20 0 EBADMM Cc1nc[nH]c1C(=O)N1CC2(C1)CCCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC000982174717 806418788 /nfs/dbraw/zinc/41/87/88/806418788.db2.gz ABBRWURRWZFXSV-UHFFFAOYSA-N -1 1 345.407 -0.122 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)N1CCCN(CCCF)CC1 ZINC000982251382 806435430 /nfs/dbraw/zinc/43/54/30/806435430.db2.gz JJICVFVVUYJSJK-UHFFFAOYSA-N -1 1 336.371 -0.035 20 0 EBADMM O=C(CN1CCCC1=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982419404 806487882 /nfs/dbraw/zinc/48/78/82/806487882.db2.gz DZKBBZSTFLIGBE-LBPRGKRZSA-N -1 1 346.387 -0.012 20 0 EBADMM O=C(Cn1cnnn1)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982424203 806491095 /nfs/dbraw/zinc/49/10/95/806491095.db2.gz HDAMPRODDAYMSF-JTQLQIEISA-N -1 1 331.336 -0.948 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2CCN(C(=O)CCc3nc[nH]n3)C2)c1[O-] ZINC000982602508 806591145 /nfs/dbraw/zinc/59/11/45/806591145.db2.gz VAWRIHPAEFTRFM-SNVBAGLBSA-N -1 1 347.379 -0.247 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCNC(=O)C1 ZINC000982672815 806628439 /nfs/dbraw/zinc/62/84/39/806628439.db2.gz ZJSCPFRPDKPLJE-NWDGAFQWSA-N -1 1 346.387 -0.108 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC000982717192 806662925 /nfs/dbraw/zinc/66/29/25/806662925.db2.gz DNAJDWZPWQYJBT-JTQLQIEISA-N -1 1 330.348 -0.192 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)NC[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000983013727 806837696 /nfs/dbraw/zinc/83/76/96/806837696.db2.gz PRPNQFLNAVQAPJ-GMTAPVOTSA-N -1 1 344.375 -0.348 20 0 EBADMM CN1C[C@H](C(=O)N2CC[C@@H](CNC(=O)c3ncccc3[O-])C2)NC1=O ZINC000983089710 806878348 /nfs/dbraw/zinc/87/83/48/806878348.db2.gz WQRZPRFNCDYXJJ-WDEREUQCSA-N -1 1 347.375 -0.611 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC=C(Cl)Cl)c(=O)n(C)c1=O ZINC000407363570 803777892 /nfs/dbraw/zinc/77/78/92/803777892.db2.gz IOGVZPBWDLWNHW-UHFFFAOYSA-N -1 1 328.177 -0.319 20 0 EBADMM C[C@@H]1CN(C(=O)Cn2cncn2)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000959601607 803816288 /nfs/dbraw/zinc/81/62/88/803816288.db2.gz QRBKRSXTPITRTK-VXGBXAGGSA-N -1 1 344.375 -0.097 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000976858809 803909358 /nfs/dbraw/zinc/90/93/58/803909358.db2.gz JQEBBVGWEGXOKY-LGMRYKSHSA-N -1 1 342.359 -0.740 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)C3CC3)C[C@H]21)c1cc(=O)n2[n-]cnc2n1 ZINC000976858445 803909858 /nfs/dbraw/zinc/90/98/58/803909858.db2.gz HSLREKJRDDLWCX-GDGBQDQQSA-N -1 1 328.332 -0.986 20 0 EBADMM CC(C)(F)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000976860053 803910634 /nfs/dbraw/zinc/91/06/34/803910634.db2.gz UHTMCSWBTNSCNI-VROVMSAKSA-N -1 1 348.338 -0.648 20 0 EBADMM Cc1nonc1CC(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000977108538 804150585 /nfs/dbraw/zinc/15/05/85/804150585.db2.gz XUJQVZSBAFEOCL-MSRIBSCDSA-N -1 1 343.343 -0.092 20 0 EBADMM C[C@]1(C(=O)N[C@@H]2[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)CCNC1=O ZINC000977190445 804196255 /nfs/dbraw/zinc/19/62/55/804196255.db2.gz NHEVQKWXOPSCTL-XLKPWHTISA-N -1 1 344.371 -0.500 20 0 EBADMM COCCN1C[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C(C)(C)C1 ZINC000977360122 804293597 /nfs/dbraw/zinc/29/35/97/804293597.db2.gz FMZCADZYZCTHFL-LBPRGKRZSA-N -1 1 348.407 -0.456 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)Cc3ncc[nH]3)C(C)(C)C2)nc1=O ZINC000977386790 804302206 /nfs/dbraw/zinc/30/22/06/804302206.db2.gz GMOODMHLTMBGIK-JTQLQIEISA-N -1 1 333.396 -0.599 20 0 EBADMM Cn1cncc1CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000977477118 804344791 /nfs/dbraw/zinc/34/47/91/804344791.db2.gz PIDRUNCYCDVNIH-GFCCVEGCSA-N -1 1 347.423 -0.589 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)c3ccc(=O)[nH]c3)C(C)(C)C2)nc1=O ZINC000977589841 804398785 /nfs/dbraw/zinc/39/87/85/804398785.db2.gz XGWFBELGHWBCJL-NSHDSACASA-N -1 1 346.391 -0.151 20 0 EBADMM Cn1ccc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)n1 ZINC000977591334 804400756 /nfs/dbraw/zinc/40/07/56/804400756.db2.gz WAPGFHYKARTZSO-NSHDSACASA-N -1 1 333.396 -0.518 20 0 EBADMM CN(C[C@H]1CCN1C(=O)Cn1nccn1)C(=O)c1ncccc1[O-] ZINC000977597241 804405201 /nfs/dbraw/zinc/40/52/01/804405201.db2.gz GDHZHTFKMOYIJQ-LLVKDONJSA-N -1 1 330.348 -0.248 20 0 EBADMM CN(C[C@H]1CCN1C(=O)C[C@H]1CCNC1=O)C(=O)c1ncccc1[O-] ZINC000977598484 804406169 /nfs/dbraw/zinc/40/61/69/804406169.db2.gz ISFSIDRYFBAATG-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@H]1CCN1C(=O)[C@@H]1CNC(=O)N1)C(=O)c1ncccc1[O-] ZINC000977604325 804410360 /nfs/dbraw/zinc/41/03/60/804410360.db2.gz WZPNZRHROIPMNF-ZJUUUORDSA-N -1 1 333.348 -0.859 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)Cn1cnnn1)C(=O)c1ncccc1[O-] ZINC000977619356 804417788 /nfs/dbraw/zinc/41/77/88/804417788.db2.gz PYYAYEVYRVMCRJ-JTQLQIEISA-N -1 1 331.336 -0.853 20 0 EBADMM CN(C[C@H]1CCN1C(=O)[C@H]1CCNC(=O)C1)C(=O)c1ncccc1[O-] ZINC000977620468 804418996 /nfs/dbraw/zinc/41/89/96/804418996.db2.gz RXTPLTNZBMRCOR-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1CC(=O)N(C)C1 ZINC000977841487 804518408 /nfs/dbraw/zinc/51/84/08/804518408.db2.gz HUNVCRHETTXDHY-NWDGAFQWSA-N -1 1 346.387 -0.062 20 0 EBADMM CCCC(=O)N1CC[C@@H]1CN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000978056280 804636540 /nfs/dbraw/zinc/63/65/40/804636540.db2.gz RSCYNNARKLVANM-SNVBAGLBSA-N -1 1 332.364 -0.109 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@@H]1CCNC1=O ZINC000978132788 804678510 /nfs/dbraw/zinc/67/85/10/804678510.db2.gz BOAJMVFCRLVKRW-WDEREUQCSA-N -1 1 332.360 -0.404 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N(C)C[C@@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000978136103 804681941 /nfs/dbraw/zinc/68/19/41/804681941.db2.gz CZSDONRCNPUMKI-OUAUKWLOSA-N -1 1 344.375 -0.254 20 0 EBADMM O=C(Cn1cncn1)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000978739492 804951607 /nfs/dbraw/zinc/95/16/07/804951607.db2.gz JNWZRTKYFZGGKN-PHIMTYICSA-N -1 1 330.348 -0.297 20 0 EBADMM CN1CCO[C@@H](C(=O)N[C@H]2C[C@@H](CNC(=O)c3ncccc3[O-])C2)C1 ZINC000978740246 804952850 /nfs/dbraw/zinc/95/28/50/804952850.db2.gz ADUSHVKUOJAXGW-MBNYWOFBSA-N -1 1 348.403 -0.258 20 0 EBADMM O=C(NC[C@H]1C[C@@H](NC(=O)[C@H]2COCCO2)C1)c1ncccc1[O-] ZINC000978741019 804953128 /nfs/dbraw/zinc/95/31/28/804953128.db2.gz GGOUWAYEUQNKRJ-NTZNESFSSA-N -1 1 335.360 -0.173 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2ccc(=O)[nH]n2)CCO1 ZINC000979185792 805195481 /nfs/dbraw/zinc/19/54/81/805195481.db2.gz YPVYFLZCXZXARI-WPRPVWTQSA-N -1 1 349.351 -0.975 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2cnn(C)c2)CCO1 ZINC000979190775 805197923 /nfs/dbraw/zinc/19/79/23/805197923.db2.gz JQKYMOHNIWCELK-MWLCHTKSSA-N -1 1 335.368 -0.737 20 0 EBADMM O=C(NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)[C@H]1CNC(=O)N1 ZINC000979204253 805208025 /nfs/dbraw/zinc/20/80/25/805208025.db2.gz HIBQHZWHNNJCNO-KXUCPTDWSA-N -1 1 333.348 -0.907 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2cc[nH]c(=O)c2)CCO1 ZINC000979275969 805254707 /nfs/dbraw/zinc/25/47/07/805254707.db2.gz POKOEBULVHFFLH-ONGXEEELSA-N -1 1 348.363 -0.370 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)CC(C)(C)O)CCO1 ZINC000979279855 805259093 /nfs/dbraw/zinc/25/90/93/805259093.db2.gz PCJNSGKYLDISFA-VHSXEESVSA-N -1 1 327.385 -0.623 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2ccns2)CCO1 ZINC000979308687 805281830 /nfs/dbraw/zinc/28/18/30/805281830.db2.gz OIVGEAKZURDMDO-IUCAKERBSA-N -1 1 338.393 -0.014 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)CCn2ccnc2)CCO1 ZINC000979357237 805320593 /nfs/dbraw/zinc/32/05/93/805320593.db2.gz GIFYGNUUUYGOTB-RYUDHWBXSA-N -1 1 349.395 -0.497 20 0 EBADMM Cc1ncc(C(=O)N2CCO[C@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)n1C ZINC000979385388 805342534 /nfs/dbraw/zinc/34/25/34/805342534.db2.gz STYHETAZUWIHFX-CABZTGNLSA-N -1 1 349.395 -0.428 20 0 EBADMM Cc1ccn(CC(=O)N2CCO[C@@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000979395519 805349476 /nfs/dbraw/zinc/34/94/76/805349476.db2.gz WQHMONIYSDGANZ-VXGBXAGGSA-N -1 1 349.395 -0.579 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2ccncn2)CCO1 ZINC000979395852 805349818 /nfs/dbraw/zinc/34/98/18/805349818.db2.gz NBGIJUCWMVNXGF-GXSJLCMTSA-N -1 1 333.352 -0.680 20 0 EBADMM O=C(N[C@H]1C[C@H](CNC(=O)C2CCC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000979398070 805350943 /nfs/dbraw/zinc/35/09/43/805350943.db2.gz NZUFQGBLJNTZPM-HOMQSWHASA-N -1 1 344.375 -0.158 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2ccncn2)CCO1 ZINC000979395854 805351319 /nfs/dbraw/zinc/35/13/19/805351319.db2.gz NBGIJUCWMVNXGF-KOLCDFICSA-N -1 1 333.352 -0.680 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2ccn(C)n2)CCO1 ZINC000979442867 805382639 /nfs/dbraw/zinc/38/26/39/805382639.db2.gz GWBAMGVQQVOUKK-MWLCHTKSSA-N -1 1 335.368 -0.737 20 0 EBADMM Cc1nc[nH]c1C(=O)N1CCO[C@@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979472395 805395127 /nfs/dbraw/zinc/39/51/27/805395127.db2.gz VNYBWYOEPBBRQX-WCBMZHEXSA-N -1 1 335.368 -0.439 20 0 EBADMM O=C(NC[C@@H]1C[C@@H](NC(=O)[C@H]2CCC(=O)NC2)C1)c1ncccc1[O-] ZINC000979667748 805479114 /nfs/dbraw/zinc/47/91/14/805479114.db2.gz LSKBHPMUBWWYSG-SRVKXCTJSA-N -1 1 346.387 -0.062 20 0 EBADMM CC(=O)N1CC(C(=O)NC[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000979684594 805486940 /nfs/dbraw/zinc/48/69/40/805486940.db2.gz JWONLDIYEIBAIR-AULYBMBSSA-N -1 1 346.387 -0.110 20 0 EBADMM Cn1nnc(C(=O)N[C@@H]2CCC[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC000983572850 807039095 /nfs/dbraw/zinc/03/90/95/807039095.db2.gz HXEOHGWYUNOKOK-VHSXEESVSA-N -1 1 345.363 -0.218 20 0 EBADMM CN(C(=O)c1n[nH]c(=O)[n-]c1=O)[C@H]1CCCN(C(=O)c2ccn[nH]2)C1 ZINC000983928110 807122347 /nfs/dbraw/zinc/12/23/47/807122347.db2.gz ZKUZOQOJQREPOH-QMMMGPOBSA-N -1 1 347.335 -0.617 20 0 EBADMM CN(C(=O)[C@@H]1CCNC1=O)[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984109748 807167508 /nfs/dbraw/zinc/16/75/08/807167508.db2.gz DWMQZBORYRRZGO-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM CCn1ncc(CNC[C@H]2C[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC000984205691 807216303 /nfs/dbraw/zinc/21/63/03/807216303.db2.gz ARUPCHRXIWXEHJ-KYZUINATSA-N -1 1 348.367 -0.808 20 0 EBADMM Cc1cnc(CNC[C@H]2C[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC000984206945 807216496 /nfs/dbraw/zinc/21/64/96/807216496.db2.gz FMWGCDRBTLNIOS-KYZUINATSA-N -1 1 334.336 -0.123 20 0 EBADMM Cc1nnc([C@@H](C)NC[C@H]2C[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC000984216889 807219836 /nfs/dbraw/zinc/21/98/36/807219836.db2.gz UKDYWDWLVDXLSJ-FTLITQJKSA-N -1 1 348.367 -0.431 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)C2CC2)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000984387857 807276474 /nfs/dbraw/zinc/27/64/74/807276474.db2.gz MNQKLALDSDHJBB-QWHCGFSZSA-N -1 1 348.403 -0.168 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCCN(C(=O)c2nnn(C)n2)C1 ZINC000984506302 807308490 /nfs/dbraw/zinc/30/84/90/807308490.db2.gz GVHHYOAYTOGXTC-JTQLQIEISA-N -1 1 345.363 -0.313 20 0 EBADMM CCn1nncc1C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC000985420267 807508071 /nfs/dbraw/zinc/50/80/71/807508071.db2.gz KMCQEJJDSRLNPR-UHFFFAOYSA-N -1 1 334.384 -0.142 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)c2cc3n(n2)CCO3)CC1 ZINC000985473766 807517086 /nfs/dbraw/zinc/51/70/86/807517086.db2.gz VXAHKLXOFAPECJ-UHFFFAOYSA-N -1 1 347.379 -0.164 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)[C@@H]2CCC(=O)NC2)CC1 ZINC000985516679 807526677 /nfs/dbraw/zinc/52/66/77/807526677.db2.gz CLPFUFRZNJYVNI-SNVBAGLBSA-N -1 1 336.396 -0.541 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)[C@]2(C)CNC(=O)C2)CC1 ZINC000985557164 807535767 /nfs/dbraw/zinc/53/57/67/807535767.db2.gz VNTICLQRWGODMJ-HNNXBMFYSA-N -1 1 336.396 -0.541 20 0 EBADMM CC(=O)N1C[C@@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)CC[C@@H]2C1 ZINC000985588098 807545200 /nfs/dbraw/zinc/54/52/00/807545200.db2.gz MTLWHHYXTBOZRP-OLZOCXBDSA-N -1 1 334.376 -0.747 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)c2ccc(C(N)=O)[nH]2)CC1 ZINC000985652453 807556696 /nfs/dbraw/zinc/55/66/96/807556696.db2.gz GABOZHPHNQISQC-UHFFFAOYSA-N -1 1 347.379 -0.326 20 0 EBADMM CN(Cc1ccn(C)n1)C1CCN(C(=O)Cn2c(=O)[n-][nH]c2=O)CC1 ZINC000985773122 807578583 /nfs/dbraw/zinc/57/85/83/807578583.db2.gz MLDGVVDAXXSIJJ-UHFFFAOYSA-N -1 1 349.395 -0.454 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cccn2nnnc12 ZINC000986310137 807673702 /nfs/dbraw/zinc/67/37/02/807673702.db2.gz PMTDPEFOVQFTKE-PSASIEDQSA-N -1 1 343.351 -0.659 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)Cn1cc(C2CC2)nn1 ZINC000986358018 807683004 /nfs/dbraw/zinc/68/30/04/807683004.db2.gz HLZCDESLCYZZJT-KOLCDFICSA-N -1 1 346.395 -0.242 20 0 EBADMM Cc1cc(=O)c(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)cn1C ZINC000986399483 807695412 /nfs/dbraw/zinc/69/54/12/807695412.db2.gz NLBNBQHPYVJLOW-CMPLNLGQSA-N -1 1 346.391 -0.090 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cn(C)ccc1=O ZINC000986542188 807730087 /nfs/dbraw/zinc/73/00/87/807730087.db2.gz UIPPXEVLKUWGDG-KOLCDFICSA-N -1 1 332.364 -0.398 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnc2nccn2c1 ZINC000986556338 807735082 /nfs/dbraw/zinc/73/50/82/807735082.db2.gz VLMHQYVICYHHHA-GXSJLCMTSA-N -1 1 342.363 -0.054 20 0 EBADMM COc1c[nH]c(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)cc1=O ZINC000986560000 807736151 /nfs/dbraw/zinc/73/61/51/807736151.db2.gz JFIZLUSVZDCPLS-DTWKUNHWSA-N -1 1 348.363 -0.400 20 0 EBADMM C[C@H]1[C@H](NCc2cnnn2C)CCN1C(=O)c1cnc([O-])n(C)c1=O ZINC000986653682 807756512 /nfs/dbraw/zinc/75/65/12/807756512.db2.gz ZKIPWWNVCLMCBA-JOYOIKCWSA-N -1 1 347.379 -0.993 20 0 EBADMM Cc1ccn(CC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)c(=O)c1 ZINC000987080684 807834767 /nfs/dbraw/zinc/83/47/67/807834767.db2.gz CEPQOHPIAFHMAY-VXGBXAGGSA-N -1 1 346.391 -0.240 20 0 EBADMM CC(=O)N[C@@H]1CCCCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000987084371 807835855 /nfs/dbraw/zinc/83/58/55/807835855.db2.gz RLSVZIOGIHHHJL-LLVKDONJSA-N -1 1 332.364 -0.062 20 0 EBADMM CC(=O)N[C@H]1CCCCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000987084374 807836033 /nfs/dbraw/zinc/83/60/33/807836033.db2.gz RLSVZIOGIHHHJL-NSHDSACASA-N -1 1 332.364 -0.062 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cc2ncccn2n1 ZINC000987218184 807871549 /nfs/dbraw/zinc/87/15/49/807871549.db2.gz PKZSSZYQACDZHL-NXEZZACHSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cc(C(N)=O)c[nH]1 ZINC000987275829 807881335 /nfs/dbraw/zinc/88/13/35/807881335.db2.gz SRZAOYRYOMOCRC-VXNVDRBHSA-N -1 1 333.352 -0.670 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCn1cnccc1=O ZINC000987282063 807883586 /nfs/dbraw/zinc/88/35/86/807883586.db2.gz VECHWVBCMGWMIS-WDEREUQCSA-N -1 1 347.379 -0.764 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cncc(C(N)=O)c1 ZINC000987555507 807955966 /nfs/dbraw/zinc/95/59/66/807955966.db2.gz FRTSNQGVYBDCPA-LDYMZIIASA-N -1 1 345.363 -0.603 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCN1CCCC1=O ZINC000987553151 807956007 /nfs/dbraw/zinc/95/60/07/807956007.db2.gz XSBTXTAVHJJLEA-QWRGUYRKSA-N -1 1 336.396 -0.398 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)Cc1nnc[nH]1 ZINC000987683068 807989764 /nfs/dbraw/zinc/98/97/64/807989764.db2.gz FWBUHXHJLXNSLL-ZJUUUORDSA-N -1 1 330.348 -0.133 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1c[nH]c(=O)cn1 ZINC000987683187 807990226 /nfs/dbraw/zinc/99/02/26/807990226.db2.gz HVICFDZSNZEAPU-ZJUUUORDSA-N -1 1 343.343 -0.097 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1nnn(C)n1 ZINC000987687346 807991501 /nfs/dbraw/zinc/99/15/01/807991501.db2.gz HWXQEFZONFFGKO-BDAKNGLRSA-N -1 1 331.336 -0.656 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)c2ccn[nH]2)C1)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000987777117 808010003 /nfs/dbraw/zinc/01/00/03/808010003.db2.gz HMOCEOSHNORMOT-QMMMGPOBSA-N -1 1 347.335 -0.760 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C(=O)[C@@H]1CCC(=O)N1 ZINC000987924236 808057521 /nfs/dbraw/zinc/05/75/21/808057521.db2.gz AJCYJDNZMTZVSH-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C(=O)C1CC1 ZINC000988140106 808127533 /nfs/dbraw/zinc/12/75/33/808127533.db2.gz IXQGMLGAOBSTFR-JTQLQIEISA-N -1 1 344.375 -0.252 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@H]1C ZINC000989041343 808355594 /nfs/dbraw/zinc/35/55/94/808355594.db2.gz WZKRIEIFPJCSNX-DBIOUOCHSA-N -1 1 344.375 -0.207 20 0 EBADMM COCc1nn(C)cc1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC000989053858 808357311 /nfs/dbraw/zinc/35/73/11/808357311.db2.gz CVUIYCSGRQNRAH-ZJUUUORDSA-N -1 1 349.395 -0.217 20 0 EBADMM Cc1nocc1CN[C@H]1C[C@H](C)N(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000989107863 808376840 /nfs/dbraw/zinc/37/68/40/808376840.db2.gz BECGVSBMPQHRIH-XVKPBYJWSA-N -1 1 334.336 -0.028 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)Cc1ccc(=O)[nH]c1 ZINC000989220276 808405847 /nfs/dbraw/zinc/40/58/47/808405847.db2.gz SXFLJPKFMLDJMS-ONGXEEELSA-N -1 1 332.364 -0.067 20 0 EBADMM CCn1cc(CC(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)nn1 ZINC000989223443 808406581 /nfs/dbraw/zinc/40/65/81/808406581.db2.gz SIUNQHIAPMUESL-VHSXEESVSA-N -1 1 334.384 -0.557 20 0 EBADMM CCn1cc(CC(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)nn1 ZINC000989223439 808406776 /nfs/dbraw/zinc/40/67/76/808406776.db2.gz SIUNQHIAPMUESL-NXEZZACHSA-N -1 1 334.384 -0.557 20 0 EBADMM COc1nn(C)cc1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC000989292864 808429739 /nfs/dbraw/zinc/42/97/39/808429739.db2.gz CSSZLPYWHMWFOR-IUCAKERBSA-N -1 1 335.368 -0.355 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cc(C(N)=O)co1 ZINC000989442859 808475678 /nfs/dbraw/zinc/47/56/78/808475678.db2.gz LSDXIBDHLRJRAB-VXNVDRBHSA-N -1 1 334.336 -0.405 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc(C(N)=O)[nH]1 ZINC000989457078 808481014 /nfs/dbraw/zinc/48/10/14/808481014.db2.gz FQKZNFOHDXBLTO-HTQZYQBOSA-N -1 1 333.352 -0.670 20 0 EBADMM Cn1cncc1CCC(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000989585844 808522394 /nfs/dbraw/zinc/52/23/94/808522394.db2.gz AWILITJDALWTHC-UHFFFAOYSA-N -1 1 347.423 -0.491 20 0 EBADMM COc1ncc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)cn1 ZINC000989638814 808543250 /nfs/dbraw/zinc/54/32/50/808543250.db2.gz OVRASYZJYKLGCA-WPRPVWTQSA-N -1 1 333.352 -0.298 20 0 EBADMM C[C@H]1C[C@@H](NCc2ccon2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000989671558 808552468 /nfs/dbraw/zinc/55/24/68/808552468.db2.gz BZSFSFZOCOQDQB-GXSJLCMTSA-N -1 1 343.347 -0.202 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCc1cncn1C ZINC000989735976 808573504 /nfs/dbraw/zinc/57/35/04/808573504.db2.gz KGNAVPGKTUNJKX-GHMZBOCLSA-N -1 1 333.396 -0.044 20 0 EBADMM COCCn1cc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)cn1 ZINC000989734314 808573663 /nfs/dbraw/zinc/57/36/63/808573663.db2.gz SSLJDKAPMXZLPV-JQWIXIFHSA-N -1 1 349.395 -0.254 20 0 EBADMM COCCn1cc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)cn1 ZINC000989734316 808574399 /nfs/dbraw/zinc/57/43/99/808574399.db2.gz SSLJDKAPMXZLPV-ZYHUDNBSSA-N -1 1 349.395 -0.254 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCN1CCCC1=O ZINC000989811954 808603260 /nfs/dbraw/zinc/60/32/60/808603260.db2.gz BOFXPSNBSOOQOH-QWRGUYRKSA-N -1 1 336.396 -0.398 20 0 EBADMM C[C@@H](C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1)c1cnn(C)c1 ZINC000989820624 808604795 /nfs/dbraw/zinc/60/47/95/808604795.db2.gz CBGYPURUFRBBAW-GFCCVEGCSA-N -1 1 347.423 -0.320 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)[C@H]4CCC(=O)N4)CCC[C@@H]23)nc1=O ZINC000990042035 808643342 /nfs/dbraw/zinc/64/33/42/808643342.db2.gz OUEPAQIXAYRDQM-GLKRBJQHSA-N -1 1 348.407 -1.000 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)C(C)(C)F)C1 ZINC000990050678 808645608 /nfs/dbraw/zinc/64/56/08/808645608.db2.gz YQZMUMAPDPMBPX-UHFFFAOYSA-N -1 1 328.300 -0.415 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)c2ocnc2C)C1 ZINC000990673076 808887366 /nfs/dbraw/zinc/88/73/66/808887366.db2.gz DKAXKQKCLVXXFJ-UHFFFAOYSA-N -1 1 349.303 -0.553 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)[C@H]2CC2(C)C)C1 ZINC000990874334 808960800 /nfs/dbraw/zinc/96/08/00/808960800.db2.gz ASUUSSQSOGDJKJ-MRVPVSSYSA-N -1 1 336.348 -0.117 20 0 EBADMM Cc1ccc(=O)n(CC(=O)N2CC(NC(=O)c3ncccc3[O-])C2)n1 ZINC000990971075 809018126 /nfs/dbraw/zinc/01/81/26/809018126.db2.gz FOOVNBVQCWKKDG-UHFFFAOYSA-N -1 1 343.343 -0.707 20 0 EBADMM O=C(NC1CN(C(=O)[C@@H]2CCc3n[nH]nc3C2)C1)c1ncccc1[O-] ZINC000990969342 809018337 /nfs/dbraw/zinc/01/83/37/809018337.db2.gz MWMQVYOPLVNNGS-SECBINFHSA-N -1 1 342.359 -0.349 20 0 EBADMM C[C@@H](C(=O)N1CC(NC(=O)c2ncccc2[O-])C1)S(C)(=O)=O ZINC000990976215 809023084 /nfs/dbraw/zinc/02/30/84/809023084.db2.gz YBKLGUQKBQNPEI-QMMMGPOBSA-N -1 1 327.362 -0.839 20 0 EBADMM COCCn1nccc1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990976620 809024145 /nfs/dbraw/zinc/02/41/45/809024145.db2.gz GVVSRTPADPTMDF-UHFFFAOYSA-N -1 1 345.359 -0.116 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)[C@@H]2CC2(F)F)C1 ZINC000991208673 809157321 /nfs/dbraw/zinc/15/73/21/809157321.db2.gz OPYOKUYLRMZLKW-LURJTMIESA-N -1 1 344.274 -0.508 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1cn[nH]c1 ZINC000991299610 809255785 /nfs/dbraw/zinc/25/57/85/809255785.db2.gz NOCMURQTDUBYTF-JQWIXIFHSA-N -1 1 331.332 -0.484 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)c1cocn1 ZINC000991342874 809330734 /nfs/dbraw/zinc/33/07/34/809330734.db2.gz YNHDCOUPABDELF-JOYOIKCWSA-N -1 1 332.316 -0.219 20 0 EBADMM Cn1ccc(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])[C@H](O)C2)n1 ZINC000991406441 809423203 /nfs/dbraw/zinc/42/32/03/809423203.db2.gz CUEMSXRTRXAQFV-GXFFZTMASA-N -1 1 345.359 -0.474 20 0 EBADMM Cn1ccc(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])[C@H](O)C2)n1 ZINC000991406452 809423346 /nfs/dbraw/zinc/42/33/46/809423346.db2.gz CUEMSXRTRXAQFV-ZWNOBZJWSA-N -1 1 345.359 -0.474 20 0 EBADMM C[C@H]1CC[C@@H](C(=O)NC2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)C1 ZINC000991406151 809423647 /nfs/dbraw/zinc/42/36/47/809423647.db2.gz FHDRJJFBAHTRKL-NWDGAFQWSA-N -1 1 348.403 -0.310 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cnsn2)C[C@H]1O)c1ncccc1[O-] ZINC000991407831 809426300 /nfs/dbraw/zinc/42/63/00/809426300.db2.gz KISZRSIGKUYTPV-LDYMZIIASA-N -1 1 349.372 -0.356 20 0 EBADMM Cc1c[nH]c(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1 ZINC000991426621 809452770 /nfs/dbraw/zinc/45/27/70/809452770.db2.gz NGIPTLILVCCYKO-PWSUYJOCSA-N -1 1 345.359 -0.176 20 0 EBADMM COCC(=O)N1CC[C@H](C)[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001005060052 809838844 /nfs/dbraw/zinc/83/88/44/809838844.db2.gz ZQKRBGMZIODPCV-GXSJLCMTSA-N -1 1 348.363 -0.969 20 0 EBADMM CN(C(=O)c1c[nH]c(=O)n1C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005817138 809865771 /nfs/dbraw/zinc/86/57/71/809865771.db2.gz AEXMJVPAFZJXSX-UHFFFAOYSA-N -1 1 349.395 -0.716 20 0 EBADMM C[C@@H](NC(=O)c1cnnn1C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005997753 809875152 /nfs/dbraw/zinc/87/51/52/809875152.db2.gz OIXPRFWSUXYIOF-SECBINFHSA-N -1 1 330.348 -0.194 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)CC3OCCCO3)C2)nc1=O ZINC001007201956 809931181 /nfs/dbraw/zinc/93/11/81/809931181.db2.gz XIXPHSUPRQQPRZ-LLVKDONJSA-N -1 1 339.396 -0.658 20 0 EBADMM CC1(C)CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1NC(=O)C1CC1 ZINC001007771331 810017583 /nfs/dbraw/zinc/01/75/83/810017583.db2.gz NVJHUVVYQPAUKJ-NSHDSACASA-N -1 1 344.375 -0.206 20 0 EBADMM CC1(C)CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)Cn1ncnn1 ZINC001008175521 810098415 /nfs/dbraw/zinc/09/84/15/810098415.db2.gz KVOFSUWIHPIIMB-NSHDSACASA-N -1 1 345.363 -0.559 20 0 EBADMM CC1(C)CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@H]1C[C@H]1C(N)=O ZINC001008606399 810201335 /nfs/dbraw/zinc/20/13/35/810201335.db2.gz UOYCFCWFEINPNL-JFGNBEQYSA-N -1 1 346.387 -0.125 20 0 EBADMM O=C(NC[C@@H]1[C@H]2CN(C(=O)[C@H]3CCNC3=O)C[C@H]21)c1ncccc1[O-] ZINC001008992843 810226735 /nfs/dbraw/zinc/22/67/35/810226735.db2.gz OTLFFMPADUZNKO-FIQHERPVSA-N -1 1 344.371 -0.643 20 0 EBADMM COCCO[C@H](C)C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001215764089 810344257 /nfs/dbraw/zinc/34/42/57/810344257.db2.gz QYARUBNQRUNPDC-GMTAPVOTSA-N -1 1 327.385 -0.502 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)Cn1nccn1 ZINC001011787784 810348483 /nfs/dbraw/zinc/34/84/83/810348483.db2.gz HVBDPWAHYZTUCR-GHMZBOCLSA-N -1 1 330.348 -0.202 20 0 EBADMM CNC(=O)C1(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001216506309 810375582 /nfs/dbraw/zinc/37/55/82/810375582.db2.gz GFPXPCAXULYKMS-NXEZZACHSA-N -1 1 336.396 -0.637 20 0 EBADMM CC(C)NC(=O)CN1C[C@H]2OCCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC001217675289 810450240 /nfs/dbraw/zinc/45/02/40/810450240.db2.gz RAOJWZMSCCUBCS-GXTWGEPZSA-N -1 1 348.403 -0.163 20 0 EBADMM COc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)ccn1 ZINC001015052674 810469945 /nfs/dbraw/zinc/46/99/45/810469945.db2.gz MWMXKXNGIXMJGT-NSHDSACASA-N -1 1 332.364 -0.484 20 0 EBADMM N[C@@H](Cc1ccccc1)C(=O)NCC(=O)NC1C(=O)N=CN=C1[O-] ZINC001218701581 810521203 /nfs/dbraw/zinc/52/12/03/810521203.db2.gz ALOKSTWVXOCIIR-JTQLQIEISA-N -1 1 331.332 -0.488 20 0 EBADMM COCc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)no1 ZINC001015804164 810586612 /nfs/dbraw/zinc/58/66/12/810586612.db2.gz SGKSBXVGJWKWHT-VIFPVBQESA-N -1 1 336.352 -0.753 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cnc4cccnn43)C2)nc1=O ZINC001015808505 810588957 /nfs/dbraw/zinc/58/89/57/810588957.db2.gz ZEBKUOYKWLTCSM-SNVBAGLBSA-N -1 1 342.363 -0.845 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cccn4ccnc34)C2)nc1=O ZINC001015834344 810599079 /nfs/dbraw/zinc/59/90/79/810599079.db2.gz RRVDKECSUARSRA-NSHDSACASA-N -1 1 341.375 -0.240 20 0 EBADMM CC(C)Cn1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001015911161 810617487 /nfs/dbraw/zinc/61/74/87/810617487.db2.gz YLPGKTKVMOEZRH-CYBMUJFWSA-N -1 1 347.423 -0.035 20 0 EBADMM COCc1nocc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001015981142 810636970 /nfs/dbraw/zinc/63/69/70/810636970.db2.gz LJCAFFLWIMKSII-VIFPVBQESA-N -1 1 336.352 -0.753 20 0 EBADMM O=C(N[C@@H]1CCN(Cc2cncs2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001015993643 810647974 /nfs/dbraw/zinc/64/79/74/810647974.db2.gz YRSZPYXUHUGEHA-SECBINFHSA-N -1 1 345.388 -0.122 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3c[nH]c4cccnc34)C2)nc1=O ZINC001015998581 810649085 /nfs/dbraw/zinc/64/90/85/810649085.db2.gz CTLVYDZSSDTNEK-JTQLQIEISA-N -1 1 341.375 -0.011 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N[C@@H]1CCN(CCO[C@H]2CC2(F)F)C1 ZINC001016111538 810667750 /nfs/dbraw/zinc/66/77/50/810667750.db2.gz SDQYDYAWTCLXMU-BDAKNGLRSA-N -1 1 347.322 -0.696 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)CCC(F)(F)F)[C@@H](O)C2)nc1=O ZINC001219414103 810690913 /nfs/dbraw/zinc/69/09/13/810690913.db2.gz SMIXZAQAQJTHPE-SFYZADRCSA-N -1 1 337.302 -0.888 20 0 EBADMM CCCC[C@@H](C(N)=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001219497379 810721254 /nfs/dbraw/zinc/72/12/54/810721254.db2.gz MQVVNLZXFZNWCP-MDZLAQPJSA-N -1 1 336.392 -0.394 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)[C@@H]2COC(=O)N2)C1 ZINC001016431515 810725348 /nfs/dbraw/zinc/72/53/48/810725348.db2.gz QTSVFZNHZQZNIC-RTBKNWGFSA-N -1 1 334.332 -0.385 20 0 EBADMM CC[C@@H](C)CN1CCO[C@H](CNC(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001035468651 810825087 /nfs/dbraw/zinc/82/50/87/810825087.db2.gz YUZHTPNWOMOVFB-VXGBXAGGSA-N -1 1 338.408 -0.037 20 0 EBADMM Cc1ncccc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035490187 810848779 /nfs/dbraw/zinc/84/87/79/810848779.db2.gz WPVVYAUDRGIAPH-GFCCVEGCSA-N -1 1 346.391 -0.557 20 0 EBADMM C[C@@H](OC(C)(C)C)[C@@H](N)C(=O)N[C@@H]1C(=O)N(S(=O)(=O)[O-])[C@H]1C ZINC001220099718 810981064 /nfs/dbraw/zinc/98/10/64/810981064.db2.gz ZEYUPCWHWVYSQB-KDXUFGMBSA-N -1 1 337.398 -0.964 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)[C@H]2CCCOC2)CC3)nc1=O ZINC001035665257 810982398 /nfs/dbraw/zinc/98/23/98/810982398.db2.gz CELDNXCNFCAPGW-ZDUSSCGKSA-N -1 1 349.435 -0.041 20 0 EBADMM O=C(CCc1nc[nH]n1)N1CCC2(CN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035680992 810986859 /nfs/dbraw/zinc/98/68/59/810986859.db2.gz IKBMCZWIGIKWOD-UHFFFAOYSA-N -1 1 346.395 -0.314 20 0 EBADMM N=C(NC(=O)[C@@H](N)Cc1cccc(O)c1)SCCS(=O)(=O)[O-] ZINC001220127566 810992668 /nfs/dbraw/zinc/99/26/68/810992668.db2.gz ZMVBOJPJMMMUAJ-JTQLQIEISA-N -1 1 347.418 -0.066 20 0 EBADMM [NH3+][C@@H](CCCC[N-]C(=O)C(F)(F)F)C(=O)NCS(=O)(=O)[O-] ZINC001220240494 811032107 /nfs/dbraw/zinc/03/21/07/811032107.db2.gz LVCHMZBWGYPBDS-LURJTMIESA-N -1 1 335.304 -0.876 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)[C@]2(C)CCOC2)CC3)nc1=O ZINC001035825415 811034256 /nfs/dbraw/zinc/03/42/56/811034256.db2.gz JRULEHQZFRPYMP-MRXNPFEDSA-N -1 1 349.435 -0.041 20 0 EBADMM C[C@@H]1OCC[C@H]1C(=O)N1CCC2(CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001035826806 811035092 /nfs/dbraw/zinc/03/50/92/811035092.db2.gz UYPJCKXRVPBGON-QWHCGFSZSA-N -1 1 349.435 -0.042 20 0 EBADMM Cc1noc(CN[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@@H]2C)n1 ZINC001036096456 811095879 /nfs/dbraw/zinc/09/58/79/811095879.db2.gz RWBGEWPNHROSOW-CBAPKCEASA-N -1 1 349.351 -0.385 20 0 EBADMM CC(C)CCCC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001220403738 811097448 /nfs/dbraw/zinc/09/74/48/811097448.db2.gz DAOPYURWTLHQLM-NEPJUHHUSA-N -1 1 325.413 -0.404 20 0 EBADMM C[C@H]1CCCN(C(=O)c2cn(C)nn2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036545755 811173098 /nfs/dbraw/zinc/17/30/98/811173098.db2.gz ACNHLNWAGGUPFJ-GXSJLCMTSA-N -1 1 334.384 -0.331 20 0 EBADMM C[C@H]1CCCN(C(=O)c2c[nH]c(=O)cn2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036572159 811178809 /nfs/dbraw/zinc/17/88/09/811178809.db2.gz DINNLTZPCMKSHA-GXSJLCMTSA-N -1 1 347.379 -0.376 20 0 EBADMM CC(C)C[C@H](C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001220628643 811179902 /nfs/dbraw/zinc/17/99/02/811179902.db2.gz HOIUBGXTUYTKTG-TUAOUCFPSA-N -1 1 325.413 -0.548 20 0 EBADMM Nc1nccnc1C(=O)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036670409 811201085 /nfs/dbraw/zinc/20/10/85/811201085.db2.gz SZPJNKSIABZUSX-VHSXEESVSA-N -1 1 344.379 -0.381 20 0 EBADMM CC(=O)N1CC(C(=O)N2CC[C@@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001036699556 811211928 /nfs/dbraw/zinc/21/19/28/811211928.db2.gz RGGPHQLANGBWRB-DGCLKSJQSA-N -1 1 348.407 -0.589 20 0 EBADMM Cn1cc(C(=O)N2CC[C@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)nn1 ZINC001036704956 811216270 /nfs/dbraw/zinc/21/62/70/811216270.db2.gz AYKJXFLQUFAEDO-KOLCDFICSA-N -1 1 332.368 -0.625 20 0 EBADMM CC(C)(C(N)=O)C(=O)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036740763 811237480 /nfs/dbraw/zinc/23/74/80/811237480.db2.gz ADVVTJAYZOVDEO-NXEZZACHSA-N -1 1 336.396 -0.555 20 0 EBADMM O=C(c1cnc[nH]c1=O)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036746131 811240104 /nfs/dbraw/zinc/24/01/04/811240104.db2.gz LCUVMLAMSYBKRV-GXSJLCMTSA-N -1 1 345.363 -0.258 20 0 EBADMM CCCCCCC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001220895598 811285230 /nfs/dbraw/zinc/28/52/30/811285230.db2.gz CKKCNVFGHGDTTL-NEPJUHHUSA-N -1 1 325.413 -0.260 20 0 EBADMM O=C(CCn1cnnn1)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036853281 811289911 /nfs/dbraw/zinc/28/99/11/811289911.db2.gz ROHSDMFBUSZARO-QWRGUYRKSA-N -1 1 347.383 -0.990 20 0 EBADMM CCN(C(=O)Cn1cnnn1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079288838 811483341 /nfs/dbraw/zinc/48/33/41/811483341.db2.gz WICHCWSABIYDKI-UHFFFAOYSA-N -1 1 331.336 -0.853 20 0 EBADMM Cc1cnc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)cn1 ZINC001079378877 811512150 /nfs/dbraw/zinc/51/21/50/811512150.db2.gz LNFMIBXCVWTCOC-BXKDBHETSA-N -1 1 331.380 -0.543 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C1CCC(O)CC1 ZINC001079632256 811616867 /nfs/dbraw/zinc/61/68/67/811616867.db2.gz UCZLTPRLZHETRD-IKWCTNDRSA-N -1 1 337.424 -0.404 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@H]1CCCOCC1 ZINC001079639443 811625015 /nfs/dbraw/zinc/62/50/15/811625015.db2.gz HQLZADBUVHVFOM-FRRDWIJNSA-N -1 1 337.424 -0.138 20 0 EBADMM C(OC[C@H]1COCCOCCOCCOCCO1)c1nn[n-]n1 ZINC001223027158 811678141 /nfs/dbraw/zinc/67/81/41/811678141.db2.gz MJOMLKHTZZQDCN-GFCCVEGCSA-N -1 1 332.357 -0.819 20 0 EBADMM CCc1n[nH]cc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001080023478 811848260 /nfs/dbraw/zinc/84/82/60/811848260.db2.gz UENYXZCLYHFGIQ-BXKDBHETSA-N -1 1 333.396 -0.356 20 0 EBADMM C[C@@H]1CN(Cc2cnn(C)c2)C[C@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001080050904 811866637 /nfs/dbraw/zinc/86/66/37/811866637.db2.gz JBXGYZZFJONYGL-PSASIEDQSA-N -1 1 333.352 -0.733 20 0 EBADMM CCN(C(=O)CCn1cc[n-]c(=O)c1=O)C1CN(C(=O)C2(C)CC2)C1 ZINC001080101494 811899634 /nfs/dbraw/zinc/89/96/34/811899634.db2.gz NRCCZJJXBWBQLZ-UHFFFAOYSA-N -1 1 348.403 -0.214 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC001080158407 811922307 /nfs/dbraw/zinc/92/23/07/811922307.db2.gz KLBJDAYHFYYIKI-ZCHNKJLFSA-N -1 1 347.419 -0.532 20 0 EBADMM CC(C)(C)OC(=O)N1CCN2C[C@@H](Oc3nc(=O)[nH]c(=O)[n-]3)[C@H]1C2 ZINC001227569283 811930097 /nfs/dbraw/zinc/93/00/97/811930097.db2.gz YBUGAFKWPKBABN-RKDXNWHRSA-N -1 1 339.352 -0.035 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC001080345966 811991476 /nfs/dbraw/zinc/99/14/76/811991476.db2.gz HCSLDZSZTRKTSU-FXPVBKGRSA-N -1 1 346.395 -0.315 20 0 EBADMM CCc1ncoc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001080409107 812019705 /nfs/dbraw/zinc/01/97/05/812019705.db2.gz XWHYLKPGIJZOAD-MWLCHTKSSA-N -1 1 334.380 -0.091 20 0 EBADMM O=C(NCC1CC1)C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001229374073 812030492 /nfs/dbraw/zinc/03/04/92/812030492.db2.gz WMSOQSIHUWUEDT-GHMZBOCLSA-N -1 1 336.396 -0.447 20 0 EBADMM Cc1cnn(CCC(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c1 ZINC001230361479 812108324 /nfs/dbraw/zinc/10/83/24/812108324.db2.gz LNDBXMHABJBVFB-UHFFFAOYSA-N -1 1 347.423 -0.216 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)CCOCC1CC1 ZINC001234276519 812406221 /nfs/dbraw/zinc/40/62/21/812406221.db2.gz OYNSYMGLKVOTFH-CYBMUJFWSA-N -1 1 337.424 -0.042 20 0 EBADMM CCn1ccc(CC(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001234436100 812426596 /nfs/dbraw/zinc/42/65/96/812426596.db2.gz NGUBTIWXIGVFLK-CYBMUJFWSA-N -1 1 347.423 -0.400 20 0 EBADMM CCOC(=O)CC(CC(=O)OCC)Oc1c(C(N)=O)nc[n-]c1=O ZINC001234469521 812429148 /nfs/dbraw/zinc/42/91/48/812429148.db2.gz ZMEJDNNIKITVCQ-UHFFFAOYSA-N -1 1 341.320 -0.065 20 0 EBADMM CCOC(=O)C[C@@H](Oc1c(C(N)=O)nc(C)[n-]c1=O)C(=O)OCC ZINC001234470827 812429630 /nfs/dbraw/zinc/42/96/30/812429630.db2.gz YIYLRFLBJIQJII-MRVPVSSYSA-N -1 1 341.320 -0.147 20 0 EBADMM NC(=O)c1nc[n-]c(=O)c1O[C@H]1CC(=O)N(Cc2ccccc2)C1=O ZINC001234467298 812429663 /nfs/dbraw/zinc/42/96/63/812429663.db2.gz MSHIOYDGRBDCPU-JTQLQIEISA-N -1 1 342.311 -0.012 20 0 EBADMM C[C@@H](CS(=O)(=O)c1ccccc1)Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001255805095 812722193 /nfs/dbraw/zinc/72/21/93/812722193.db2.gz SXTUSQYRNXDKFS-WCBMZHEXSA-N -1 1 341.414 -0.026 20 0 EBADMM C[C@H](CS(=O)(=O)c1ccccc1)Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001255805093 812722333 /nfs/dbraw/zinc/72/23/33/812722333.db2.gz SXTUSQYRNXDKFS-PSASIEDQSA-N -1 1 341.414 -0.026 20 0 EBADMM CNS(=O)(=O)c1ccc(C)c(C(=O)NN2CC(=O)[N-]C2=O)c1 ZINC001255933834 812724443 /nfs/dbraw/zinc/72/44/43/812724443.db2.gz PBLIWBPSBGCLDP-UHFFFAOYSA-N -1 1 326.334 -0.900 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ccoc1C ZINC001081336777 812756065 /nfs/dbraw/zinc/75/60/65/812756065.db2.gz KZPYZUZZPSGUQI-VXGBXAGGSA-N -1 1 335.364 -0.361 20 0 EBADMM CC(C)(C)C(=O)C(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001081348968 812781336 /nfs/dbraw/zinc/78/13/36/812781336.db2.gz KIKYPUICEFCFDR-ZYHUDNBSSA-N -1 1 349.387 -0.049 20 0 EBADMM COC(=O)CS(=O)(=O)[N-]c1ccc(N2CCOCC2=O)cc1 ZINC001259025423 812804992 /nfs/dbraw/zinc/80/49/92/812804992.db2.gz UOLFGUBFWRQSQH-UHFFFAOYSA-N -1 1 328.346 -0.036 20 0 EBADMM CCOC(=O)C[C@@H]([N-]S(=O)(=O)C1CCOCC1)C(=O)OCC ZINC001259917784 812814657 /nfs/dbraw/zinc/81/46/57/812814657.db2.gz LCKWNZSYFXRULG-LLVKDONJSA-N -1 1 337.394 -0.030 20 0 EBADMM COC(=O)[C@H](O)[C@@H]([N-]S(=O)(=O)c1ccn(C)n1)c1ccccc1 ZINC001259946406 812817496 /nfs/dbraw/zinc/81/74/96/812817496.db2.gz NKPVYRLKBCMFFH-QWHCGFSZSA-N -1 1 339.373 -0.026 20 0 EBADMM C[C@H]([N-]S(=O)(=O)C(F)F)C(=O)N[C@@H](Cc1ccccc1)C(N)=O ZINC001259964988 812818725 /nfs/dbraw/zinc/81/87/25/812818725.db2.gz QOWYLPXYCYCQQN-WPRPVWTQSA-N -1 1 349.359 -0.270 20 0 EBADMM CS(=O)(=O)CS(=O)(=O)[N-]c1cncnc1Br ZINC001260584389 812836912 /nfs/dbraw/zinc/83/69/12/812836912.db2.gz YSZFRKNBIIJINL-UHFFFAOYSA-N -1 1 330.185 -0.017 20 0 EBADMM CCOCCC(=O)N1C[C@H](O)[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001081426052 812917687 /nfs/dbraw/zinc/91/76/87/812917687.db2.gz ZMDSWNJREYKLLL-YPMHNXCESA-N -1 1 337.376 -0.237 20 0 EBADMM NS(=O)(=O)CCCCCC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001261511403 812922198 /nfs/dbraw/zinc/92/21/98/812922198.db2.gz FMAHRXFERBLZFJ-SNVBAGLBSA-N -1 1 330.414 -0.245 20 0 EBADMM O=C([O-])c1cccc(NC(=O)C(=O)N2CC[N@H+]3C[C@H](O)C[C@H]3C2)c1 ZINC001261554319 812935250 /nfs/dbraw/zinc/93/52/50/812935250.db2.gz ATENILWSMPONKO-QWHCGFSZSA-N -1 1 333.344 -0.399 20 0 EBADMM O=C([O-])c1cccc(NC(=O)C(=O)N2CCN3C[C@H](O)C[C@H]3C2)c1 ZINC001261554319 812935258 /nfs/dbraw/zinc/93/52/58/812935258.db2.gz ATENILWSMPONKO-QWHCGFSZSA-N -1 1 333.344 -0.399 20 0 EBADMM C[C@H](CNC(=O)C(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1)C(=O)[O-] ZINC001261554820 812937204 /nfs/dbraw/zinc/93/72/04/812937204.db2.gz ODCSOCXBGFASAG-UTUOFQBUSA-N -1 1 329.397 -0.561 20 0 EBADMM O=C(CC1(O)CCC1)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001081466668 813002872 /nfs/dbraw/zinc/00/28/72/813002872.db2.gz WPCDYWDOEBKQKT-DGCLKSJQSA-N -1 1 349.387 -0.359 20 0 EBADMM CO[N-]C(=O)CNC(=O)C(=O)NC[C@H](c1ccc(C)cc1)N(C)C ZINC001262229136 813050935 /nfs/dbraw/zinc/05/09/35/813050935.db2.gz VDGMPDLYNVSLKK-CYBMUJFWSA-N -1 1 336.392 -0.492 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cc[nH]c1C ZINC001081508709 813102191 /nfs/dbraw/zinc/10/21/91/813102191.db2.gz UXDUFMUQVUXJCE-VXGBXAGGSA-N -1 1 334.380 -0.626 20 0 EBADMM Cc1ccnc(N(C)CCNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001263679255 813114397 /nfs/dbraw/zinc/11/43/97/813114397.db2.gz KQMDJYXGHJCZKB-UHFFFAOYSA-N -1 1 332.364 -0.722 20 0 EBADMM CCOCC(=O)NCCCN1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001265238827 813267018 /nfs/dbraw/zinc/26/70/18/813267018.db2.gz RMTIQQQIYHAFMA-UHFFFAOYSA-N -1 1 326.401 -0.829 20 0 EBADMM Cc1ncc(CN2CC[C@@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)o1 ZINC001265308019 813299407 /nfs/dbraw/zinc/29/94/07/813299407.db2.gz KLKLFEKEDAZTBN-GFCCVEGCSA-N -1 1 347.375 -0.386 20 0 EBADMM CCc1[nH]ccc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1OC ZINC001081621498 813313599 /nfs/dbraw/zinc/31/35/99/813313599.db2.gz UAMPFGQBQNKHKP-CHWSQXEVSA-N -1 1 348.407 -0.372 20 0 EBADMM CC(C)C[C@@]1(C(=O)NC[C@H](C)NCc2n[nH]c(=O)[n-]2)CCNC1=O ZINC001265785397 813370714 /nfs/dbraw/zinc/37/07/14/813370714.db2.gz CMOIWBBFRGPDBQ-BONVTDFDSA-N -1 1 338.412 -0.343 20 0 EBADMM C[C@@H](CNC(=O)c1cccnc1-n1cccn1)NCc1n[nH]c(=O)[n-]1 ZINC001265806979 813375032 /nfs/dbraw/zinc/37/50/32/813375032.db2.gz QNPWLPQHPAVGPG-JTQLQIEISA-N -1 1 342.363 -0.001 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C1C=CC=CC=C1 ZINC001081748536 813510353 /nfs/dbraw/zinc/51/03/53/813510353.db2.gz MKJTYJQZDQLAJQ-ZIAGYGMSSA-N -1 1 345.403 -0.278 20 0 EBADMM CN(CCNC(=O)c1ccc2nncn2c1)Cc1nc(=O)n(C)[n-]1 ZINC001266338041 813536428 /nfs/dbraw/zinc/53/64/28/813536428.db2.gz VHIDNIFNEXYVPN-UHFFFAOYSA-N -1 1 330.352 -0.987 20 0 EBADMM CN(CCNC(=O)c1c[nH]c2ncccc12)Cc1nc(=O)n(C)[n-]1 ZINC001266360085 813549857 /nfs/dbraw/zinc/54/98/57/813549857.db2.gz QLFIDXANHDKZIE-UHFFFAOYSA-N -1 1 329.364 -0.154 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ccsn1 ZINC001081837258 813634852 /nfs/dbraw/zinc/63/48/52/813634852.db2.gz ONSOYYAHIASLFB-NXEZZACHSA-N -1 1 338.393 -0.806 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cc1ccccc1 ZINC001081864096 813645860 /nfs/dbraw/zinc/64/58/60/813645860.db2.gz ZKFHCAORHABLJS-ZIAGYGMSSA-N -1 1 345.403 -0.334 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1ccnc(N2CCCC2)n1 ZINC001266748525 813659179 /nfs/dbraw/zinc/65/91/79/813659179.db2.gz GWVYCTMGQXTENC-UHFFFAOYSA-N -1 1 346.395 -0.238 20 0 EBADMM CN(CCNC(=O)c1cnn2ncccc12)Cc1nc(=O)n(C)[n-]1 ZINC001267198836 813783479 /nfs/dbraw/zinc/78/34/79/813783479.db2.gz AAADHVMBVYUOPJ-UHFFFAOYSA-N -1 1 330.352 -0.987 20 0 EBADMM CCN(CCNC(=O)c1cn2cccnc2n1)Cc1nc(=O)n(C)[n-]1 ZINC001267245765 813800806 /nfs/dbraw/zinc/80/08/06/813800806.db2.gz SKGDYLIAFABAER-UHFFFAOYSA-N -1 1 344.379 -0.597 20 0 EBADMM CO[C@@H]1CN(CC(N)=O)C[C@H]1NC(=O)c1n[n-]c2ccccc2c1=O ZINC001082190881 813810782 /nfs/dbraw/zinc/81/07/82/813810782.db2.gz MHJXLJVGCYKFTA-VXGBXAGGSA-N -1 1 345.359 -0.750 20 0 EBADMM CCc1nc[nH]c1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1OC ZINC001082349060 813911543 /nfs/dbraw/zinc/91/15/43/813911543.db2.gz GUECWOPOWSXHMB-GHMZBOCLSA-N -1 1 349.395 -0.977 20 0 EBADMM CCN1CCOC[C@@H]1C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001082608207 813979049 /nfs/dbraw/zinc/97/90/49/813979049.db2.gz MYSKOVCYDKLYMK-IJLUTSLNSA-N -1 1 338.412 -0.833 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)COCCc3ccccc3)C2)nc1=O ZINC001267673083 813981752 /nfs/dbraw/zinc/98/17/52/813981752.db2.gz WJVWGZFEZJPJNO-UHFFFAOYSA-N -1 1 345.403 -0.332 20 0 EBADMM CC(=O)N1CCC[C@H]1C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001082872956 814018718 /nfs/dbraw/zinc/01/87/18/814018718.db2.gz LZAXRWIPRJYFNE-JLLWLGSASA-N -1 1 336.396 -0.542 20 0 EBADMM Cn1cccc1C(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21 ZINC001083002884 814050308 /nfs/dbraw/zinc/05/03/08/814050308.db2.gz BQAWUHBOQLMTMV-QWHCGFSZSA-N -1 1 346.391 -0.828 20 0 EBADMM CCc1cc(C(=O)N2CCO[C@@H]3CN(Cc4n[nH]c(=O)[n-]4)C[C@@H]32)n[nH]1 ZINC001083007227 814052077 /nfs/dbraw/zinc/05/20/77/814052077.db2.gz PASNMJXMOSEHQE-NWDGAFQWSA-N -1 1 347.379 -0.479 20 0 EBADMM CCC(CC)C(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21 ZINC001083006283 814052242 /nfs/dbraw/zinc/05/22/42/814052242.db2.gz BCMWPVHPOBZYDA-QWHCGFSZSA-N -1 1 337.424 -0.044 20 0 EBADMM C/C(=C\C(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21)C1CC1 ZINC001083012611 814059026 /nfs/dbraw/zinc/05/90/26/814059026.db2.gz VYDGHXGZDFECOO-WANXEPPHSA-N -1 1 347.419 -0.124 20 0 EBADMM COC(=O)NCC(=O)N1CCC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001267877454 814060550 /nfs/dbraw/zinc/06/05/50/814060550.db2.gz DVSZSUOMVWILCM-JTQLQIEISA-N -1 1 340.384 -0.415 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)[C@H]4CC4(C)C)[C@H]3C2)nc1=O ZINC001083053772 814092293 /nfs/dbraw/zinc/09/22/93/814092293.db2.gz LPCDKJLBZIAEQE-GRYCIOLGSA-N -1 1 335.408 -0.434 20 0 EBADMM CN1CC[C@@H](C(=O)NCC2(NCc3n[nH]c(=O)[n-]3)CCCC2)C1=O ZINC001268037465 814108694 /nfs/dbraw/zinc/10/86/94/814108694.db2.gz JEIYWXKBQMSFTR-JTQLQIEISA-N -1 1 336.396 -0.493 20 0 EBADMM CC(C)=CCN1C[C@H]2OCCN(C(=O)c3n[nH]c(=O)[n-]c3=O)[C@H]2C1 ZINC001083131881 814151674 /nfs/dbraw/zinc/15/16/74/814151674.db2.gz QPHAWAZWTFACKR-WDEREUQCSA-N -1 1 335.364 -0.226 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)[C@H]4C[C@H]5C[C@H]5C4)[C@H]3C2)nc1=O ZINC001083169616 814178484 /nfs/dbraw/zinc/17/84/84/814178484.db2.gz ZHMFFOCQGOKPQS-MQLXINIDSA-N -1 1 347.419 -0.434 20 0 EBADMM Cc1nocc1C(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21 ZINC001083181624 814187595 /nfs/dbraw/zinc/18/75/95/814187595.db2.gz OGNZABMJVNSRJD-NWDGAFQWSA-N -1 1 348.363 -0.870 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)c3ccccc3F)[C@@H](O)C2)nc1=O ZINC001083309692 814275661 /nfs/dbraw/zinc/27/56/61/814275661.db2.gz KRLCKCRRHGVBNM-NEPJUHHUSA-N -1 1 335.339 -0.777 20 0 EBADMM CC(=O)[C@H](C)N1CC2(CN(C(=O)c3ncccc3[O-])C2)OCC1=O ZINC001268842204 814409636 /nfs/dbraw/zinc/40/96/36/814409636.db2.gz HGJSBRRVYMEWCU-JTQLQIEISA-N -1 1 333.344 -0.182 20 0 EBADMM Cc1cccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c1F ZINC001083482043 814475013 /nfs/dbraw/zinc/47/50/13/814475013.db2.gz KLECRUVAEULURG-NEPJUHHUSA-N -1 1 349.366 -0.469 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@@H]3CCCC34CC4)[C@@H](O)C2)nc1=O ZINC001083567049 814569157 /nfs/dbraw/zinc/56/91/57/814569157.db2.gz LNGCTLBCBMZWOZ-TUAOUCFPSA-N -1 1 335.408 -0.650 20 0 EBADMM COCCCN1C(=O)COCC12CN(C(=O)c1ccc([O-])cn1)C2 ZINC001269354188 814658645 /nfs/dbraw/zinc/65/86/45/814658645.db2.gz BVPAFEAJMGHWMH-UHFFFAOYSA-N -1 1 335.360 -0.123 20 0 EBADMM Cc1ccc(F)cc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001083678494 814684450 /nfs/dbraw/zinc/68/44/50/814684450.db2.gz VNVKRJFWMWLBGT-OLZOCXBDSA-N -1 1 349.366 -0.469 20 0 EBADMM CC[C@@H](CNC(=O)c1c[n-]n2c1nccc2=O)NCc1cnnn1C ZINC001269477848 814706992 /nfs/dbraw/zinc/70/69/92/814706992.db2.gz VABSRWHRICLWAB-JTQLQIEISA-N -1 1 344.379 -0.551 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@@H]3CCCC3(C)C)[C@@H](O)C2)nc1=O ZINC001083707216 814714667 /nfs/dbraw/zinc/71/46/67/814714667.db2.gz ZMLQDGGLGNQXAC-TUAOUCFPSA-N -1 1 337.424 -0.404 20 0 EBADMM CC(C)c1ccoc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001083722378 814726946 /nfs/dbraw/zinc/72/69/46/814726946.db2.gz RIFMVHIQMDPCOB-NEPJUHHUSA-N -1 1 349.391 -0.200 20 0 EBADMM O=C(Cc1nn[n-]n1)N1C[C@@]2(F)C(=O)N(C3CCCC3)C[C@@]2(F)C1 ZINC001269576718 814734713 /nfs/dbraw/zinc/73/47/13/814734713.db2.gz UFOPXCBWTAPAPL-UONOGXRCSA-N -1 1 340.334 -0.214 20 0 EBADMM CC(=O)[C@@H](C)N1CC[C@]2(CCCN(C(=O)Cc3nn[n-]n3)CC2)C1=O ZINC001269576556 814734815 /nfs/dbraw/zinc/73/48/15/814734815.db2.gz OXSPTDIHDQXILD-BDJLRTHQSA-N -1 1 348.407 -0.049 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@@H]2[C@H]1CCC(=O)N2Cc1ccncc1 ZINC001269578145 814735459 /nfs/dbraw/zinc/73/54/59/814735459.db2.gz UFLUFTBLVQTAFZ-CHWSQXEVSA-N -1 1 341.375 -0.071 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCOC[C@@]2(CC(=O)N(CC(F)F)C2)C1 ZINC001269577403 814735486 /nfs/dbraw/zinc/73/54/86/814735486.db2.gz HTNXQMBZJRKFIF-ZDUSSCGKSA-N -1 1 344.322 -0.915 20 0 EBADMM O=C(Cc1nn[n-]n1)N1C[C@@]2(F)C(=O)N(CC(F)F)C[C@@]2(F)C1 ZINC001269577132 814735578 /nfs/dbraw/zinc/73/55/78/814735578.db2.gz FHKHIIAKKLXWTB-GHMZBOCLSA-N -1 1 336.249 -0.892 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC[C@@]12CCN(Cc1ncccn1)C2=O ZINC001269578227 814735626 /nfs/dbraw/zinc/73/56/26/814735626.db2.gz XCWUUECVCFNSJW-HNNXBMFYSA-N -1 1 342.363 -0.674 20 0 EBADMM Cc1cc(F)cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c1 ZINC001083799761 815616511 /nfs/dbraw/zinc/61/65/11/815616511.db2.gz RULZLRYSYULCFY-OLZOCXBDSA-N -1 1 349.366 -0.469 20 0 EBADMM O=C(c1ccc([O-])cn1)N1CC2(C1)CN(C(=O)c1ccc(=O)[nH]n1)C2 ZINC001269891587 815629875 /nfs/dbraw/zinc/62/98/75/815629875.db2.gz WWLUXHVUOWZEGB-UHFFFAOYSA-N -1 1 341.327 -0.119 20 0 EBADMM Cc1ncc(CO)c(C(=O)N2CCc3c(n[nH]c3C(N)=O)C2)c1[O-] ZINC001269980814 815655207 /nfs/dbraw/zinc/65/52/07/815655207.db2.gz WXIWVOGAMVHUSF-UHFFFAOYSA-N -1 1 331.332 -0.392 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)N[C@@H]1CN(CCC2CC2)C[C@@H]1O ZINC001083870292 815663613 /nfs/dbraw/zinc/66/36/13/815663613.db2.gz ZRQVNWQSBKCSTA-OLZOCXBDSA-N -1 1 346.391 -0.967 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@H]2[C@@H]1CCN2C(=O)NCC(F)(F)F ZINC001270185478 815713979 /nfs/dbraw/zinc/71/39/79/815713979.db2.gz DTEBJJDSECVRGY-YUMQZZPRSA-N -1 1 347.301 -0.311 20 0 EBADMM CCn1ccnc1CN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1nn[n-]n1 ZINC001270183573 815714352 /nfs/dbraw/zinc/71/43/52/815714352.db2.gz ZZPREAPXRVSGMM-TXEJJXNPSA-N -1 1 330.396 -0.166 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@H]2CN(C(=O)Nc3cccnc3)C[C@H]21 ZINC001270185550 815715771 /nfs/dbraw/zinc/71/57/71/815715771.db2.gz FLNPEVHFDRXHSL-CMPLNLGQSA-N -1 1 342.363 -0.098 20 0 EBADMM Cc1cc(F)ccc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001083974663 815753020 /nfs/dbraw/zinc/75/30/20/815753020.db2.gz DQGHZINKKMCTMJ-OLZOCXBDSA-N -1 1 349.366 -0.469 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)Cc3ccc(F)cc3)[C@@H](O)C2)nc1=O ZINC001084014421 815773487 /nfs/dbraw/zinc/77/34/87/815773487.db2.gz PXLZZDQIGTVLTA-OLZOCXBDSA-N -1 1 349.366 -0.849 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)c3ccc(CF)cc3)[C@@H](O)C2)nc1=O ZINC001084102944 815834376 /nfs/dbraw/zinc/83/43/76/815834376.db2.gz UZXPVGMSIJIPFR-OLZOCXBDSA-N -1 1 349.366 -0.447 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@H]3[C@H]4[C@@H]3[C@@H]3CC[C@H]4C3)[C@@H](O)C2)nc1=O ZINC001084107733 815835225 /nfs/dbraw/zinc/83/52/25/815835225.db2.gz RGLHTWKMEUXENR-LHFPQJCTSA-N -1 1 347.419 -0.938 20 0 EBADMM Cc1cc(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)[nH]n1 ZINC001084214246 815866085 /nfs/dbraw/zinc/86/60/85/815866085.db2.gz GLYRDODXHXZAQW-ZYHUDNBSSA-N -1 1 331.380 -0.514 20 0 EBADMM O=C(NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1)c1cc[n+]([O-])cc1 ZINC001270713856 815866447 /nfs/dbraw/zinc/86/64/47/815866447.db2.gz PMIOCVVHEIVESF-UHFFFAOYSA-N -1 1 332.364 -0.024 20 0 EBADMM Cc1cc(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)ncn1 ZINC001084286416 815880767 /nfs/dbraw/zinc/88/07/67/815880767.db2.gz GIQLEWMSWKEPDY-DGCLKSJQSA-N -1 1 343.391 -0.447 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)C[C@](C)(O)C4CC4)[C@@H]3C2)nc1=O ZINC001084290308 815882187 /nfs/dbraw/zinc/88/21/87/815882187.db2.gz RVGUVLUVUBVOIR-NDGTYSDOSA-N -1 1 349.435 -0.308 20 0 EBADMM CCN(C(=O)C1=NO[C@@H]2CN(C(=O)Cc3nn[n-]n3)C[C@H]12)C(C)C ZINC001270787904 815890069 /nfs/dbraw/zinc/89/00/69/815890069.db2.gz AQGUBHDXIVROEX-VHSXEESVSA-N -1 1 335.368 -0.788 20 0 EBADMM C[C@@H](NC(=O)[C@H]1CC12CN(C(=O)Cc1nn[n-]n1)C2)c1ncc[nH]1 ZINC001270788624 815891603 /nfs/dbraw/zinc/89/16/03/815891603.db2.gz IAWQGDSZOABUOA-RKDXNWHRSA-N -1 1 330.352 -0.809 20 0 EBADMM C[C@H](NC(=O)[C@@]12C[C@@H]1CCN2C(=O)Cc1nn[n-]n1)c1ncc[nH]1 ZINC001270789199 815891653 /nfs/dbraw/zinc/89/16/53/815891653.db2.gz OXPMJWBEYZTLKM-UINNMSKDSA-N -1 1 330.352 -0.666 20 0 EBADMM O=C(Cc1nn[n-]n1)N1C[C@H]2C[C@@H](CC(=O)N3CC=CC3)O[C@H]2C1 ZINC001270788626 815892356 /nfs/dbraw/zinc/89/23/56/815892356.db2.gz ICILFBNISVCZFB-WOPDTQHZSA-N -1 1 332.364 -0.853 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2CC23CN(C(=O)Cc2nn[n-]n2)C3)C[C@H](C)O1 ZINC001270789418 815893134 /nfs/dbraw/zinc/89/31/34/815893134.db2.gz PUHPPRGJPAJKDI-AXFHLTTASA-N -1 1 334.380 -0.774 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC2(C[C@@H]2C(=O)NC2CCC(F)CC2)C1 ZINC001270789662 815893176 /nfs/dbraw/zinc/89/31/76/815893176.db2.gz TWRFDELKACUYCR-VQXHTEKXSA-N -1 1 336.371 -0.012 20 0 EBADMM CCn1cc(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)nn1 ZINC001084745971 815974559 /nfs/dbraw/zinc/97/45/59/815974559.db2.gz BURLXMIICMQDIQ-ZYHUDNBSSA-N -1 1 346.395 -0.934 20 0 EBADMM CC[C@H](OC)C(=O)N1CC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1 ZINC001084902702 816000874 /nfs/dbraw/zinc/00/08/74/816000874.db2.gz MVEJKIRQRRYDJM-AGIUHOORSA-N -1 1 337.424 -0.044 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)n1cncn1 ZINC001085175168 816025731 /nfs/dbraw/zinc/02/57/31/816025731.db2.gz GVDHWQZWKRGDMQ-GMTAPVOTSA-N -1 1 334.384 -0.120 20 0 EBADMM O=C(N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)c1cn[nH]c(=O)c1 ZINC001085235224 816032257 /nfs/dbraw/zinc/03/22/57/816032257.db2.gz LBGNSVUINSNFTB-NXEZZACHSA-N -1 1 333.352 -0.163 20 0 EBADMM CCn1cc(CC(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)nn1 ZINC001085281650 816035751 /nfs/dbraw/zinc/03/57/51/816035751.db2.gz DJXDAGPTCNRXDN-VXGBXAGGSA-N -1 1 348.411 -0.119 20 0 EBADMM C[C@@]1(C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)CCNC1=O ZINC001085329930 816042198 /nfs/dbraw/zinc/04/21/98/816042198.db2.gz LJXIKOXRXKTNDI-IQMDTDKHSA-N -1 1 336.396 -0.447 20 0 EBADMM Cn1nc(C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)ccc1=O ZINC001085414556 816046898 /nfs/dbraw/zinc/04/68/98/816046898.db2.gz BVOARZZSHJEBAV-NXEZZACHSA-N -1 1 347.379 -0.565 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1ccnc(F)c1 ZINC001085511705 816059274 /nfs/dbraw/zinc/05/92/74/816059274.db2.gz ZFYPOWVFGKMLQD-NSHDSACASA-N -1 1 334.355 -0.011 20 0 EBADMM COc1cc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)on1 ZINC001085556309 816071527 /nfs/dbraw/zinc/07/15/27/816071527.db2.gz NAHZGNHCOPRKBW-VIFPVBQESA-N -1 1 336.352 -0.549 20 0 EBADMM CCn1nncc1C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085581536 816080848 /nfs/dbraw/zinc/08/08/48/816080848.db2.gz NKZKSVVYJKXDRO-JTQLQIEISA-N -1 1 334.384 -0.934 20 0 EBADMM Cn1[n-]c(CN2CC(O)(CNC(=O)C3CCC=CCC3)C2)nc1=O ZINC001271393316 816096096 /nfs/dbraw/zinc/09/60/96/816096096.db2.gz LISXIQOUYVLESZ-UHFFFAOYSA-N -1 1 335.408 -0.482 20 0 EBADMM Cc1nnccc1C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085698996 816114498 /nfs/dbraw/zinc/11/44/98/816114498.db2.gz CLHOBTAPMWHKFZ-NSHDSACASA-N -1 1 331.380 -0.447 20 0 EBADMM Cc1cnn(C)c1C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085772675 816142869 /nfs/dbraw/zinc/14/28/69/816142869.db2.gz DCQOEFCMSLQESM-NSHDSACASA-N -1 1 333.396 -0.503 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1csc(=O)[nH]1 ZINC001085773682 816143227 /nfs/dbraw/zinc/14/32/27/816143227.db2.gz JRFOCDGYDFEOBE-MRVPVSSYSA-N -1 1 338.393 -0.383 20 0 EBADMM CCn1cnc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)c1 ZINC001085927612 816181421 /nfs/dbraw/zinc/18/14/21/816181421.db2.gz BUGXBTXNDGJJOO-NSHDSACASA-N -1 1 333.396 -0.329 20 0 EBADMM C[C@@H](N[C@H]1C[C@@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1)c1nncn1C ZINC001086138469 816244742 /nfs/dbraw/zinc/24/47/42/816244742.db2.gz KLWSUZIWJGEZMW-HLTSFMKQSA-N -1 1 348.367 -0.730 20 0 EBADMM CCn1ncc(CN[C@H]2C[C@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001086418321 816327928 /nfs/dbraw/zinc/32/79/28/816327928.db2.gz LIYQCGGGQBOGKU-KYZUINATSA-N -1 1 348.367 -0.808 20 0 EBADMM Cc1nnc(CN[C@H]2C[C@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC001086418466 816327979 /nfs/dbraw/zinc/32/79/79/816327979.db2.gz UBYPPOGEYWPQSN-ZKCHVHJHSA-N -1 1 334.340 -0.992 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2CC[C@@H](NCc3nnnn3C)C2)c1[O-] ZINC001086674377 816391563 /nfs/dbraw/zinc/39/15/63/816391563.db2.gz BXUVBFXVXYZSFZ-VHSXEESVSA-N -1 1 334.384 -0.364 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)NCc1nc(CNCc2nc(=O)n(C)[n-]2)cc(=O)[nH]1 ZINC001087254603 816481342 /nfs/dbraw/zinc/48/13/42/816481342.db2.gz LPUUCCULBSTBAJ-PSASIEDQSA-N -1 1 347.379 -0.834 20 0 EBADMM CCNCc1cc(O)nc(CNC(=O)c2cnc([O-])n(C)c2=O)n1 ZINC001087258984 816490617 /nfs/dbraw/zinc/49/06/17/816490617.db2.gz ONBGQFARLPYNGG-UHFFFAOYSA-N -1 1 334.336 -0.979 20 0 EBADMM Cn1ncnc1C(=O)N1CCC12CN(C(=O)c1cncc([O-])c1)C2 ZINC001272672210 816504276 /nfs/dbraw/zinc/50/42/76/816504276.db2.gz GFGARJJLWXWEIH-UHFFFAOYSA-N -1 1 328.332 -0.344 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2cc(C)nn2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087514173 816526403 /nfs/dbraw/zinc/52/64/03/816526403.db2.gz GQJOEFSXBADOEK-NWDGAFQWSA-N -1 1 347.423 -0.067 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2cn(CC)nn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087778379 816558510 /nfs/dbraw/zinc/55/85/10/816558510.db2.gz WCWNREZPLGYRRL-CMPLNLGQSA-N -1 1 348.411 -0.497 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4ccon4)C[C@@H]3C2)nc1=O ZINC001087896204 816573477 /nfs/dbraw/zinc/57/34/77/816573477.db2.gz SECLRQXVVRGETH-MNOVXSKESA-N -1 1 332.364 -0.310 20 0 EBADMM NC(=O)CN1CC[C@@H]2CN(C(=O)c3ccc4oc(=O)nc-4[n-]3)C[C@@H]2C1 ZINC001088169432 816641583 /nfs/dbraw/zinc/64/15/83/816641583.db2.gz VZRROOMRXHMULV-ZJUUUORDSA-N -1 1 345.359 -0.192 20 0 EBADMM COC(CN1CC2(CN(Cc3ncccc3[O-])C2)OCC1=O)OC ZINC001273325703 816645187 /nfs/dbraw/zinc/64/51/87/816645187.db2.gz PVRCIZVGWDMISY-UHFFFAOYSA-N -1 1 337.376 -0.181 20 0 EBADMM O=C1CC[C@H](CN2CC3(CN(Cc4ncccc4[O-])C3)OCC2=O)N1 ZINC001273327477 816645443 /nfs/dbraw/zinc/64/54/43/816645443.db2.gz XJZFRXSQGSDIFH-GFCCVEGCSA-N -1 1 346.387 -0.521 20 0 EBADMM CC(=O)N1CCC(CO[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001088225850 816652347 /nfs/dbraw/zinc/65/23/47/816652347.db2.gz YLCJACKEMXRICV-AWEZNQCLSA-N -1 1 337.424 -0.042 20 0 EBADMM CS(=O)(=O)CC(=O)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088272645 816657504 /nfs/dbraw/zinc/65/75/04/816657504.db2.gz MTAOBPQQWNSLPS-JTQLQIEISA-N -1 1 345.425 -0.584 20 0 EBADMM O=C([C@@H]1COC(=O)N1)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088307210 816661189 /nfs/dbraw/zinc/66/11/89/816661189.db2.gz QMGHJVHULYCCDZ-UWVGGRQHSA-N -1 1 338.368 -0.521 20 0 EBADMM Cn1nnc(C(=O)N2CCCCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001088364735 816671271 /nfs/dbraw/zinc/67/12/71/816671271.db2.gz ROUAVHZDXFMROO-SECBINFHSA-N -1 1 335.372 -0.792 20 0 EBADMM Cn1ncc(C(=O)N2CCCCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001088398833 816676752 /nfs/dbraw/zinc/67/67/52/816676752.db2.gz UIQMORBUOVHFFT-JTQLQIEISA-N -1 1 334.384 -0.187 20 0 EBADMM O=C(Cn1cncn1)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088422412 816680513 /nfs/dbraw/zinc/68/05/13/816680513.db2.gz PJNKFXSCQWQLIE-NSHDSACASA-N -1 1 334.384 -0.337 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1c[nH]c(=O)cc1OC ZINC001273615335 816706861 /nfs/dbraw/zinc/70/68/61/816706861.db2.gz GSMKZFJMNYDFOD-UHFFFAOYSA-N -1 1 336.352 -0.129 20 0 EBADMM CCN(CC[NH2+]Cc1cnoc1C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001273668074 816719310 /nfs/dbraw/zinc/71/93/10/816719310.db2.gz LPLLSPFJUOXECE-UHFFFAOYSA-N -1 1 349.391 -0.139 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ccn(C)c(=O)c2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088672074 816723102 /nfs/dbraw/zinc/72/31/02/816723102.db2.gz NELMVHRAZZDVGT-PWSUYJOCSA-N -1 1 346.391 -0.800 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cc2ccc(F)cn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088672800 816723897 /nfs/dbraw/zinc/72/38/97/816723897.db2.gz KSNSFWKCBDNXHF-MFKMUULPSA-N -1 1 348.382 -0.036 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cn(C)ccc2=O)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088734829 816732560 /nfs/dbraw/zinc/73/25/60/816732560.db2.gz GITYZFSLYUZBLK-PWSUYJOCSA-N -1 1 346.391 -0.800 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cc2ccc(=O)[nH]c2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088753949 816734407 /nfs/dbraw/zinc/73/44/07/816734407.db2.gz XNDCNQFDMJLPEL-PWSUYJOCSA-N -1 1 346.391 -0.469 20 0 EBADMM CCCC(=O)N1CC[C@H](n2cc(CNCc3nc(=O)n(C)[n-]3)nn2)C1 ZINC001089576908 816877079 /nfs/dbraw/zinc/87/70/79/816877079.db2.gz TZYKBLCZUCPUPP-LBPRGKRZSA-N -1 1 348.411 -0.437 20 0 EBADMM Cc1cc(=O)c(C(=O)NCC=CCNCc2nc(=O)n(C)[n-]2)c[nH]1 ZINC001274489201 816981520 /nfs/dbraw/zinc/98/15/20/816981520.db2.gz FVIHMUMPYACPNX-ONEGZZNKSA-N -1 1 332.364 -0.819 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cccs3)[C@H](O)C2)nc1=O ZINC001090043357 816982353 /nfs/dbraw/zinc/98/23/53/816982353.db2.gz XCHNAXBBPRZREE-VHSXEESVSA-N -1 1 337.405 -0.465 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c(C)o1 ZINC001090065463 817004720 /nfs/dbraw/zinc/00/47/20/817004720.db2.gz RMMNSZOAOUUYMO-OLZOCXBDSA-N -1 1 349.391 -0.317 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c(C)o1 ZINC001090065462 817005395 /nfs/dbraw/zinc/00/53/95/817005395.db2.gz RMMNSZOAOUUYMO-CHWSQXEVSA-N -1 1 349.391 -0.317 20 0 EBADMM Cn1nccc1CN1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001090215755 817134259 /nfs/dbraw/zinc/13/42/59/817134259.db2.gz JFAWCKMZCZFIFW-OCCSQVGLSA-N -1 1 331.376 -0.114 20 0 EBADMM CCn1nncc1CN1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001090217864 817137971 /nfs/dbraw/zinc/13/79/71/817137971.db2.gz SBYJRHJJYBYZIL-JSGCOSHPSA-N -1 1 346.391 -0.236 20 0 EBADMM CCn1nncc1CN1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001090217866 817138136 /nfs/dbraw/zinc/13/81/36/817138136.db2.gz SBYJRHJJYBYZIL-OCCSQVGLSA-N -1 1 346.391 -0.236 20 0 EBADMM Cc1ccn(C)c1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001090218963 817140218 /nfs/dbraw/zinc/14/02/18/817140218.db2.gz LEJOUVLNSGOVOC-NEPJUHHUSA-N -1 1 348.407 -0.879 20 0 EBADMM O=C(Nc1nnco1)[C@H]1CC12CN(C(=O)c1c[nH]c(=S)[n-]c1=O)C2 ZINC001275247152 817176370 /nfs/dbraw/zinc/17/63/70/817176370.db2.gz HOKIOIHWORUHLK-SSDOTTSWSA-N -1 1 348.344 -0.045 20 0 EBADMM NC(=O)[C@H]1CCN(C(=O)c2c[nH]c(=S)[n-]c2=O)Cc2ccnn21 ZINC001275247713 817177731 /nfs/dbraw/zinc/17/77/31/817177731.db2.gz ZDTTVEYOEUTKGA-SECBINFHSA-N -1 1 334.361 -0.260 20 0 EBADMM COCCN(C)C(=O)c1[nH]nc2c1CN(C(=O)c1cc(=O)n(C)[n-]1)C2 ZINC001275610202 817259602 /nfs/dbraw/zinc/25/96/02/817259602.db2.gz QSMFGMJCXQBPLS-UHFFFAOYSA-N -1 1 348.363 -0.277 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCN(CCF)C[C@H]1O ZINC001090721920 817696806 /nfs/dbraw/zinc/69/68/06/817696806.db2.gz IPTYCTKIUHDLKN-JGVFFNPUSA-N -1 1 330.316 -0.969 20 0 EBADMM C[C@@H]1Cc2nnc(C(=O)N=c3nn[n-]n3C)n2CCN1CCC1CC1 ZINC001278196991 817723963 /nfs/dbraw/zinc/72/39/63/817723963.db2.gz OXWACFCFMXYFME-SNVBAGLBSA-N -1 1 345.411 -0.478 20 0 EBADMM CC/C=C(/C)C(=O)N(C)C[C@@H](O)CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001278849308 817863351 /nfs/dbraw/zinc/86/33/51/817863351.db2.gz BFSQBLABDSIXRT-RDQDRAATSA-N -1 1 325.413 -0.284 20 0 EBADMM Cn1ccnc1CNC1CC(CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001091124217 817895853 /nfs/dbraw/zinc/89/58/53/817895853.db2.gz SKJMJEFZEDNODW-UHFFFAOYSA-N -1 1 333.352 -0.686 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)CCn3ccnn3)CC2)nc1=O ZINC001279536110 817949051 /nfs/dbraw/zinc/94/90/51/817949051.db2.gz KMSHQSWOCLZTKK-UHFFFAOYSA-N -1 1 346.395 -0.962 20 0 EBADMM Cn1ncc(C(=O)N(CCO)CCNCc2n[nH]c(=O)[n-]2)c1C1CC1 ZINC001279920132 818005628 /nfs/dbraw/zinc/00/56/28/818005628.db2.gz PVPBYTYBHRSRBI-UHFFFAOYSA-N -1 1 349.395 -0.655 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)Cc4ccon4)C[C@]3(C)C2)nc1=O ZINC001091547277 818007134 /nfs/dbraw/zinc/00/71/34/818007134.db2.gz HZEIPUDSAMETDA-BZNIZROVSA-N -1 1 346.391 -0.381 20 0 EBADMM Cn1nnnc1CN1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@]2(C)C1 ZINC001091616297 818018260 /nfs/dbraw/zinc/01/82/60/818018260.db2.gz ZCVHXOMZJVUFBD-BZNIZROVSA-N -1 1 343.391 -0.095 20 0 EBADMM CCc1cc(C)nc(NCCNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001093738040 818092082 /nfs/dbraw/zinc/09/20/82/818092082.db2.gz MWDQZNIPJOCHSX-UHFFFAOYSA-N -1 1 346.391 -0.184 20 0 EBADMM Cc1cnc(C)nc1NCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001093738044 818092146 /nfs/dbraw/zinc/09/21/46/818092146.db2.gz MXDAUUFCCRSNHG-UHFFFAOYSA-N -1 1 332.364 -0.438 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)c1cccc2ncnn21 ZINC001282161118 818370876 /nfs/dbraw/zinc/37/08/76/818370876.db2.gz ONWFYQOTRVAIIG-BDAKNGLRSA-N -1 1 330.352 -0.150 20 0 EBADMM COC[C@H](C)N1CC(N2C[C@H](NC(=O)c3ncccc3[O-])CC2=O)C1 ZINC001094731276 818382265 /nfs/dbraw/zinc/38/22/65/818382265.db2.gz MGYCGMTVSLNDEO-NWDGAFQWSA-N -1 1 348.403 -0.163 20 0 EBADMM CO[C@@H](C)CN1CC(N2C[C@@H](NC(=O)c3ncccc3[O-])CC2=O)C1 ZINC001094732065 818382551 /nfs/dbraw/zinc/38/25/51/818382551.db2.gz VAPKPYQLZPYROK-RYUDHWBXSA-N -1 1 348.403 -0.163 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNC(=O)[C@H]1CC=CCC1 ZINC001282905817 818523724 /nfs/dbraw/zinc/52/37/24/818523724.db2.gz QHYJQWQFCJATMN-LBPRGKRZSA-N -1 1 334.376 -0.485 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2cc[n+]([O-])cc2)C3)nc1=O ZINC001095141492 818569602 /nfs/dbraw/zinc/56/96/02/818569602.db2.gz YYQCAXDHDQDYGW-AGIUHOORSA-N -1 1 344.375 -0.723 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)Cn2cccn2)C3)nc1=O ZINC001095178940 818578776 /nfs/dbraw/zinc/57/87/76/818578776.db2.gz UJTITHVAZIQAJB-WOPDTQHZSA-N -1 1 331.380 -0.773 20 0 EBADMM Cc1cc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)[nH]n1 ZINC001095211972 818585398 /nfs/dbraw/zinc/58/53/98/818585398.db2.gz VUVOVJHCLAVREK-SCVCMEIPSA-N -1 1 331.380 -0.325 20 0 EBADMM Cn1cc(CC(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)cn1 ZINC001095223755 818586653 /nfs/dbraw/zinc/58/66/53/818586653.db2.gz WBBVXSWUVHDFQH-AGIUHOORSA-N -1 1 345.407 -0.694 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3CCN2CCNC2=O)c1[O-] ZINC001095316882 818600441 /nfs/dbraw/zinc/60/04/41/818600441.db2.gz XCXQIHLNUYPAHB-WOPDTQHZSA-N -1 1 348.407 -0.216 20 0 EBADMM Cc1nc(CC(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[n-]2)n[nH]1 ZINC001095397557 818617805 /nfs/dbraw/zinc/61/78/05/818617805.db2.gz WHRRAVGKUFMAGD-UTLUCORTSA-N -1 1 332.368 -0.599 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1CCN(c2ncccn2)CC1 ZINC001095420443 818623058 /nfs/dbraw/zinc/62/30/58/818623058.db2.gz DEFKZYLGQYNQHI-UHFFFAOYSA-N -1 1 344.375 -0.498 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNC(=O)[C@H]1CCCC12CC2 ZINC001283085407 818636074 /nfs/dbraw/zinc/63/60/74/818636074.db2.gz DUSNQOHTTIZCCV-GFCCVEGCSA-N -1 1 348.403 -0.261 20 0 EBADMM COC1CC(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)C1 ZINC001095587592 818652253 /nfs/dbraw/zinc/65/22/53/818652253.db2.gz VBSBMDPWNHSARG-LSBUWSJDSA-N -1 1 335.408 -0.245 20 0 EBADMM CN(CCCNC(=O)CCn1cc[n-]c(=O)c1=O)c1ncccn1 ZINC001095589468 818653218 /nfs/dbraw/zinc/65/32/18/818653218.db2.gz BALZJKUZYPHKIH-UHFFFAOYSA-N -1 1 332.364 -0.641 20 0 EBADMM CC/C=C(/C)C(=O)NCCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001283199226 818696043 /nfs/dbraw/zinc/69/60/43/818696043.db2.gz YZKIXIMOGXWALM-XGICHPGQSA-N -1 1 336.392 -0.095 20 0 EBADMM Cc1cc(C(=O)NCCOCCN(C)Cc2nc(=O)n(C)[n-]2)co1 ZINC001283374854 818782406 /nfs/dbraw/zinc/78/24/06/818782406.db2.gz MRPOYRMONAEHBT-UHFFFAOYSA-N -1 1 337.380 -0.112 20 0 EBADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)CN1CCCNC1=O ZINC001283525765 818839921 /nfs/dbraw/zinc/83/99/21/818839921.db2.gz KBEVPFPRBTWFPB-UHFFFAOYSA-N -1 1 349.391 -0.219 20 0 EBADMM CN(CCCNC(=O)[C@H]1CCCNC1=O)C(=O)c1ncccc1[O-] ZINC001283572449 818861833 /nfs/dbraw/zinc/86/18/33/818861833.db2.gz ZQDOERWAJZYCRA-NSHDSACASA-N -1 1 334.376 -0.108 20 0 EBADMM CN(CCCNC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)c1cocn1 ZINC001283595639 818873681 /nfs/dbraw/zinc/87/36/81/818873681.db2.gz MRXCZGMMUNOQPA-UHFFFAOYSA-N -1 1 349.347 -0.807 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)c2nccnc2N)C3)nc1=O ZINC001096897267 818901480 /nfs/dbraw/zinc/90/14/80/818901480.db2.gz VLYQBAQLJLITCJ-BBBLOLIVSA-N -1 1 344.379 -0.984 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)C[C@H]2CCOC2)C3)nc1=O ZINC001096900888 818901582 /nfs/dbraw/zinc/90/15/82/818901582.db2.gz PSRDNQUWLABZRC-LPWJVIDDSA-N -1 1 335.408 -0.244 20 0 EBADMM Cn1ccc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)c1 ZINC001096952011 818912366 /nfs/dbraw/zinc/91/23/66/818912366.db2.gz RRGUMJGPJXSWTK-UPJWGTAASA-N -1 1 330.392 -0.018 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1C[C@H](Nc2ncc(F)cn2)C1 ZINC001097045086 818931316 /nfs/dbraw/zinc/93/13/16/818931316.db2.gz ADRHBGYGHMNLOK-XYPYZODXSA-N -1 1 348.338 -0.385 20 0 EBADMM O=C(NCC1CC1)C(=O)N[C@@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001283931821 819034102 /nfs/dbraw/zinc/03/41/02/819034102.db2.gz VQAJGZHZCJYLJN-LBPRGKRZSA-N -1 1 346.387 -0.062 20 0 EBADMM O=C(N[C@H](CNC(=O)[C@@H]1CCNC1=O)C1CC1)c1ncccc1[O-] ZINC001283955170 819044533 /nfs/dbraw/zinc/04/45/33/819044533.db2.gz WBBROLRQUWPDTJ-GHMZBOCLSA-N -1 1 332.360 -0.452 20 0 EBADMM Cc1ccc(N[C@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)nn1 ZINC001097939845 819057790 /nfs/dbraw/zinc/05/77/90/819057790.db2.gz OMDBVZZOBCLYES-LLVKDONJSA-N -1 1 332.364 -0.358 20 0 EBADMM Cc1ccnc(N[C@@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001097940319 819059366 /nfs/dbraw/zinc/05/93/66/819059366.db2.gz YSFFUSNJNLXJCU-NSHDSACASA-N -1 1 332.364 -0.358 20 0 EBADMM C[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)Nc1nccnc1F ZINC001097950937 819061938 /nfs/dbraw/zinc/06/19/38/819061938.db2.gz ZGZZGNRITRNJOR-SSDOTTSWSA-N -1 1 332.299 -0.423 20 0 EBADMM O=C([C@@H]1CCOC1)N1CCC(N(CCO)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001098522526 819228729 /nfs/dbraw/zinc/22/87/29/819228729.db2.gz GMNDVTQVGONMMI-LLVKDONJSA-N -1 1 339.396 -0.668 20 0 EBADMM O=C(Cn1ccnc1)N1CCC(N(CCO)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001098582141 819259013 /nfs/dbraw/zinc/25/90/13/819259013.db2.gz LPSLABUPKHGBEL-UHFFFAOYSA-N -1 1 349.395 -0.808 20 0 EBADMM CCN(CCNC(=O)c1ccoc1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001284600178 819300617 /nfs/dbraw/zinc/30/06/17/819300617.db2.gz RJOGLOJTTQGLOY-UHFFFAOYSA-N -1 1 348.359 -0.202 20 0 EBADMM CN(CCOCCNCc1n[nH]c(=O)[n-]1)C(=O)c1cc[nH]c(=O)c1 ZINC001284862696 819376725 /nfs/dbraw/zinc/37/67/25/819376725.db2.gz RDAMUIWPMVULDE-UHFFFAOYSA-N -1 1 336.352 -0.511 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCC[C@]3(NC(=O)[C@]34C[C@H]3COC4)C2)nc1=O ZINC001098845909 819379148 /nfs/dbraw/zinc/37/91/48/819379148.db2.gz LPUJRHJZEVWDMK-SYWGBEHUSA-N -1 1 347.419 -0.384 20 0 EBADMM C[C@@H](CCNC(=O)CCn1cc[n-]c(=O)c1=O)Nc1ncccn1 ZINC001099293872 819446929 /nfs/dbraw/zinc/44/69/29/819446929.db2.gz QMNAASREWUWSKS-NSHDSACASA-N -1 1 332.364 -0.276 20 0 EBADMM C[C@H](CNC(=O)C(=O)NCC(F)F)CNC(=O)c1ncccc1[O-] ZINC001285223465 819515814 /nfs/dbraw/zinc/51/58/14/819515814.db2.gz XXHKPNUBWHWQSK-QMMMGPOBSA-N -1 1 344.318 -0.349 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)CCc3ccco3)[C@H](O)C2)nc1=O ZINC001099669095 819557882 /nfs/dbraw/zinc/55/78/82/819557882.db2.gz MPKJKHAECJNJHP-QWHCGFSZSA-N -1 1 349.391 -0.614 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)CCC3CCC3)[C@@H](O)C2)nc1=O ZINC001099776553 819685445 /nfs/dbraw/zinc/68/54/45/819685445.db2.gz UOZSKKYLVSXJMQ-OLZOCXBDSA-N -1 1 337.424 -0.260 20 0 EBADMM CC(C)C(C)(C)C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001099853594 819780078 /nfs/dbraw/zinc/78/00/78/819780078.db2.gz TXKZAAMAZVVMEL-NEPJUHHUSA-N -1 1 339.440 -0.158 20 0 EBADMM C[C@@H](CNC(=O)[C@]1(C)CCNC1=O)N(C)C(=O)c1ncccc1[O-] ZINC001285869356 819796686 /nfs/dbraw/zinc/79/66/86/819796686.db2.gz VMQRZQRDLKEQFU-MGPLVRAMSA-N -1 1 334.376 -0.110 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1(CNC(=O)c2ccco2)CC1 ZINC001285903863 819815384 /nfs/dbraw/zinc/81/53/84/819815384.db2.gz QSUGYBXHYNXRNC-UHFFFAOYSA-N -1 1 346.343 -0.402 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)CCCC3CC3)[C@H](O)C2)nc1=O ZINC001099964790 819968868 /nfs/dbraw/zinc/96/88/68/819968868.db2.gz ROFYMHHKRNNKNS-QWHCGFSZSA-N -1 1 337.424 -0.260 20 0 EBADMM CCC(C)(C)C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001099981789 819987993 /nfs/dbraw/zinc/98/79/93/819987993.db2.gz SVNAYIMQYBNUCD-MNOVXSKESA-N -1 1 325.413 -0.404 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C(C)(C)C(F)F)[C@@H](O)C2)nc1=O ZINC001099998584 820007486 /nfs/dbraw/zinc/00/74/86/820007486.db2.gz IXXDNWGLOYNNAB-IUCAKERBSA-N -1 1 347.366 -0.549 20 0 EBADMM O=C(NCCCOCCNC(=O)C1CC1)c1cc(=O)n2[n-]cnc2n1 ZINC001287467128 820055893 /nfs/dbraw/zinc/05/58/93/820055893.db2.gz WDSUCTYMPFEEBC-UHFFFAOYSA-N -1 1 348.363 -0.920 20 0 EBADMM Cc1conc1CNC1CC(CNC(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC001100919683 820603429 /nfs/dbraw/zinc/60/34/29/820603429.db2.gz HXDRNXNPIXCOFG-UHFFFAOYSA-N -1 1 336.352 -0.330 20 0 EBADMM C[C@@H](C(=O)NCCCNC(=O)CCn1cc[n-]c(=O)c1=O)C1CC1 ZINC001293225275 820726737 /nfs/dbraw/zinc/72/67/37/820726737.db2.gz OZIUMMXPPITXOD-LLVKDONJSA-N -1 1 336.392 -0.405 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)CNC(=O)C1CC1 ZINC001101763268 820908613 /nfs/dbraw/zinc/90/86/13/820908613.db2.gz XJTUNYSVKQHFFH-MWLCHTKSSA-N -1 1 336.396 -0.779 20 0 EBADMM Cn1c2sc(C(=O)NCc3nc([O-])n[nH]3)cc2c(=O)n(C)c1=O ZINC001294475493 820938716 /nfs/dbraw/zinc/93/87/16/820938716.db2.gz KFTWNJBSPSQRMT-UHFFFAOYSA-N -1 1 336.333 -0.948 20 0 EBADMM Cc1nnc(CC(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C)o1 ZINC001101938116 820968619 /nfs/dbraw/zinc/96/86/19/820968619.db2.gz FRCRARBTEIONMW-PSASIEDQSA-N -1 1 335.368 -0.371 20 0 EBADMM CCC(=O)N[C@H](C)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001101993731 820987497 /nfs/dbraw/zinc/98/74/97/820987497.db2.gz UGEURQBLWJMREZ-GMTAPVOTSA-N -1 1 338.412 -0.391 20 0 EBADMM CN(CCNc1ncnc2[nH]cnc21)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001102050520 821012723 /nfs/dbraw/zinc/01/27/23/821012723.db2.gz UCMMJGLDNIBWKO-UHFFFAOYSA-N -1 1 331.296 -0.915 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)[C@@]1(C)CCNC1=O ZINC001102364425 821079278 /nfs/dbraw/zinc/07/92/78/821079278.db2.gz MKNWERSNJKTQLJ-FCHSOHFDSA-N -1 1 336.396 -0.779 20 0 EBADMM C[C@@H](CNC(=O)C[C@@H]1C=CCC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001295413895 821091763 /nfs/dbraw/zinc/09/17/63/821091763.db2.gz CPAVDDOJNCODRD-QWHCGFSZSA-N -1 1 348.403 -0.096 20 0 EBADMM Cc1occc1C(=O)N(C)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001296153540 821217964 /nfs/dbraw/zinc/21/79/64/821217964.db2.gz UWSKEQFAHXJQSD-UHFFFAOYSA-N -1 1 348.359 -0.283 20 0 EBADMM CN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)CCC1CC1 ZINC001296153012 821218107 /nfs/dbraw/zinc/21/81/07/821218107.db2.gz FNTWDQXUYNRDKT-UHFFFAOYSA-N -1 1 336.392 -0.309 20 0 EBADMM CC[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)Nc1nccnc1F ZINC001103134877 821263291 /nfs/dbraw/zinc/26/32/91/821263291.db2.gz BFVUZDSSABOOHS-MRVPVSSYSA-N -1 1 346.326 -0.033 20 0 EBADMM CC[C@@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)Nc1nccnc1F ZINC001103134878 821263809 /nfs/dbraw/zinc/26/38/09/821263809.db2.gz BFVUZDSSABOOHS-QMMMGPOBSA-N -1 1 346.326 -0.033 20 0 EBADMM CC(C)CCC(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001296999106 821299843 /nfs/dbraw/zinc/29/98/43/821299843.db2.gz PHRSWPOCVCTEAJ-UHFFFAOYSA-N -1 1 336.392 -0.310 20 0 EBADMM COC[C@H](OC)C(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001103413912 821308116 /nfs/dbraw/zinc/30/81/16/821308116.db2.gz WSMPTOWOMWZKNM-UTUOFQBUSA-N -1 1 341.412 -0.254 20 0 EBADMM CC(C)[C@H](F)C(=O)N1CC(NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001297096167 821320635 /nfs/dbraw/zinc/32/06/35/821320635.db2.gz AYRIXCNKOUCHQF-LBPRGKRZSA-N -1 1 340.355 -0.752 20 0 EBADMM C[C@H](CNC(=O)Cn1c(=O)[n-][nH]c1=O)CNc1ncnc2[nH]cnc21 ZINC001104039586 821391500 /nfs/dbraw/zinc/39/15/00/821391500.db2.gz VADNQSKIWLFDSZ-SSDOTTSWSA-N -1 1 347.339 -0.828 20 0 EBADMM C[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)CNc1cnc(F)cn1 ZINC001104037320 821391852 /nfs/dbraw/zinc/39/18/52/821391852.db2.gz SDKQRAKEXSXONQ-QMMMGPOBSA-N -1 1 346.326 -0.175 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnn(C)c2N)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001104104604 821402785 /nfs/dbraw/zinc/40/27/85/821402785.db2.gz ISSPNCQUBADXHY-NXEZZACHSA-N -1 1 348.411 -0.286 20 0 EBADMM Cc1nnc(CC(=O)N2C[C@@H](C)[C@H](CCNCc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC001104176605 821415750 /nfs/dbraw/zinc/41/57/50/821415750.db2.gz VOVRKSRENRKPCV-MWLCHTKSSA-N -1 1 348.411 -0.246 20 0 EBADMM CN(CCN(C)C(=O)c1cc(=O)n2[n-]cnc2n1)C(=O)c1ccco1 ZINC001298023150 821437954 /nfs/dbraw/zinc/43/79/54/821437954.db2.gz AYAHNERYNLHUSN-UHFFFAOYSA-N -1 1 344.331 -0.145 20 0 EBADMM C[C@@H]1CN(C(=O)C(C)(C)C(N)=O)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001104317645 821461075 /nfs/dbraw/zinc/46/10/75/821461075.db2.gz FKWFJIVYRZHFSK-NXEZZACHSA-N -1 1 338.412 -0.404 20 0 EBADMM C[C@H](CC(N)=O)C(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001104488315 821501499 /nfs/dbraw/zinc/50/14/99/821501499.db2.gz VIWVFBAVWMMBFV-GMTAPVOTSA-N -1 1 338.412 -0.404 20 0 EBADMM C[C@@H]1CN(C(=O)C2(C(N)=O)CC2)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001104614156 821522105 /nfs/dbraw/zinc/52/21/05/821522105.db2.gz ZRIDGXQQTAMAOV-NXEZZACHSA-N -1 1 336.396 -0.650 20 0 EBADMM Cc1ccnc(NC[C@@H](C)N(C)C(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001104619412 821524235 /nfs/dbraw/zinc/52/42/35/821524235.db2.gz YCUJEZGKZKEJJP-GFCCVEGCSA-N -1 1 346.391 -0.016 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2nc3ncccn3n2)c1 ZINC001298863953 821610081 /nfs/dbraw/zinc/61/00/81/821610081.db2.gz DFFMSIJJSBTZPA-UHFFFAOYSA-N -1 1 348.344 -0.010 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1(CNC(=O)[C@H]2CC23CC3)CC1 ZINC001299255077 821671519 /nfs/dbraw/zinc/67/15/19/821671519.db2.gz WDUKKWDPFLEOML-LLVKDONJSA-N -1 1 346.387 -0.508 20 0 EBADMM CC1(C(=O)N2CC[C@](C)(NC(=O)CCn3cc[n-]c(=O)c3=O)C2)CC1 ZINC001299559709 821707923 /nfs/dbraw/zinc/70/79/23/821707923.db2.gz QFAJOPIPOGCSER-KRWDZBQOSA-N -1 1 348.403 -0.166 20 0 EBADMM CN(CCN(C)c1ncccn1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001105428218 821748599 /nfs/dbraw/zinc/74/85/99/821748599.db2.gz BIUQZJGOPFJIGZ-UHFFFAOYSA-N -1 1 328.336 -0.584 20 0 EBADMM Cc1nsc(N(C)CCN(C)C(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001105428274 821748679 /nfs/dbraw/zinc/74/86/79/821748679.db2.gz FJDNDMHHETYJRM-UHFFFAOYSA-N -1 1 348.392 -0.214 20 0 EBADMM Cc1cc(C=O)c([O-])c(C(=O)NC[C@]23COCCN2C(=O)COC3)c1 ZINC001302560961 821752092 /nfs/dbraw/zinc/75/20/92/821752092.db2.gz FCFUPYHHXNXKBA-QGZVFWFLSA-N -1 1 348.355 -0.129 20 0 EBADMM COc1cnc([C@H]2CCCN2C(=O)[C@@H]2OCCN(C)C2=O)[n-]c1=O ZINC001304659766 821764280 /nfs/dbraw/zinc/76/42/80/821764280.db2.gz IBDXRNRHMUNEFL-MWLCHTKSSA-N -1 1 336.348 -0.289 20 0 EBADMM COCCO[C@H]1CCN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)C1 ZINC001309407837 821777619 /nfs/dbraw/zinc/77/76/19/821777619.db2.gz KOJXTNRRGBVYNC-VIFPVBQESA-N -1 1 333.366 -0.378 20 0 EBADMM COCCO[C@H]1CCN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)C1 ZINC001309407837 821777622 /nfs/dbraw/zinc/77/76/22/821777622.db2.gz KOJXTNRRGBVYNC-VIFPVBQESA-N -1 1 333.366 -0.378 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)NCCCc2nnnn2C)c1 ZINC001309940908 821783605 /nfs/dbraw/zinc/78/36/05/821783605.db2.gz OWBMIEVTQRTQCR-UHFFFAOYSA-N -1 1 327.366 -0.165 20 0 EBADMM O=C(Nc1cccc(CN2CCOCC2)n1)NN1CC(=O)[N-]C1=O ZINC001311075470 821786672 /nfs/dbraw/zinc/78/66/72/821786672.db2.gz KWZITOYRGDGERG-UHFFFAOYSA-N -1 1 334.336 -0.498 20 0 EBADMM Cc1nc(CN(C)CCCNC(=O)c2c[n-]n3c2nccc3=O)n[nH]1 ZINC001316852583 821889423 /nfs/dbraw/zinc/88/94/23/821889423.db2.gz ADQOCLNGDVOVBR-UHFFFAOYSA-N -1 1 344.379 -0.299 20 0 EBADMM CCN(CCCNC(=O)Cn1ccccc1=O)Cc1n[nH]c(=O)[n-]1 ZINC001316907557 821911420 /nfs/dbraw/zinc/91/14/20/821911420.db2.gz MJPJXFFNRIMHRE-UHFFFAOYSA-N -1 1 334.380 -0.300 20 0 EBADMM CN1CC[C@@H](C(=O)NCCCN(Cc2n[nH]c(=O)[n-]2)C2CC2)C1=O ZINC001316920868 821919310 /nfs/dbraw/zinc/91/93/10/821919310.db2.gz KUKOXXVRRNXSRK-NSHDSACASA-N -1 1 336.396 -0.541 20 0 EBADMM Cc1nc[nH]c1C(=O)NCCCN1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001316965965 821948136 /nfs/dbraw/zinc/94/81/36/821948136.db2.gz HDPOEXYLZJGHSB-UHFFFAOYSA-N -1 1 348.411 -0.520 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1cn(-c2cccnc2)nn1 ZINC001317593543 822168130 /nfs/dbraw/zinc/16/81/30/822168130.db2.gz YIZPYYFTCDEVQO-UHFFFAOYSA-N -1 1 343.351 -0.652 20 0 EBADMM C[C@@H]1CN(C(=O)CCS(C)(=O)=O)C[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001106327901 822248285 /nfs/dbraw/zinc/24/82/85/822248285.db2.gz ZXPAYOXXBHXOCT-NXEZZACHSA-N -1 1 345.425 -0.871 20 0 EBADMM C[C@@H](CCNc1ncccn1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001106378881 822259695 /nfs/dbraw/zinc/25/96/95/822259695.db2.gz IPIMGCOQHUORQZ-NSHDSACASA-N -1 1 332.364 -0.276 20 0 EBADMM Cc1nocc1CN1CC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001318291796 822287942 /nfs/dbraw/zinc/28/79/42/822287942.db2.gz VBXOHSHWESYXHG-ZDUSSCGKSA-N -1 1 347.375 -0.386 20 0 EBADMM CCN(CCNc1ccc(C)nn1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001106804813 822334826 /nfs/dbraw/zinc/33/48/26/822334826.db2.gz GVSBWWNZHVTAPV-UHFFFAOYSA-N -1 1 346.391 -0.014 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1cccc(N2CCOCC2)c1 ZINC001318628399 822345820 /nfs/dbraw/zinc/34/58/20/822345820.db2.gz LXLCCNGOVBDKAT-UHFFFAOYSA-N -1 1 331.336 -0.709 20 0 EBADMM Cn1[n-]c(CN2CCn3ncc(CNC(=O)C(F)F)c3C2)nc1=O ZINC001128150899 828422446 /nfs/dbraw/zinc/42/24/46/828422446.db2.gz LBAUWKNWBDFMOR-UHFFFAOYSA-N -1 1 341.322 -0.798 20 0 EBADMM O=C(Cn1cnnn1)NC[C@]12CCC[C@H]1N(Cc1n[nH]c(=O)[n-]1)CC2 ZINC001107279448 823834685 /nfs/dbraw/zinc/83/46/85/823834685.db2.gz ALDSEDRPIDVCFL-QMTHXVAHSA-N -1 1 347.383 -0.942 20 0 EBADMM O=C(NCC=CCNc1ncnc2[nH]cnc21)c1n[nH]c(=O)[n-]c1=O ZINC001107605132 823891049 /nfs/dbraw/zinc/89/10/49/823891049.db2.gz WMCXBRRBMPTYKD-UPHRSURJSA-N -1 1 343.307 -0.701 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@](C)(CNC(=O)C(C)(C)F)C2)nc1=O ZINC001107684088 823905246 /nfs/dbraw/zinc/90/52/46/823905246.db2.gz ZQBRDEBDBPBSEE-AWEZNQCLSA-N -1 1 329.376 -0.436 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@](C)(CNC(=O)c3ccncc3)C2)nc1=O ZINC001107691413 823907205 /nfs/dbraw/zinc/90/72/05/823907205.db2.gz ZHHARVRDBGYCMY-INIZCTEOSA-N -1 1 346.391 -0.476 20 0 EBADMM Cn1[n-]c(CN2CCO[C@](C)(CNC(=O)c3ccco3)C2)nc1=O ZINC001107694869 823907694 /nfs/dbraw/zinc/90/76/94/823907694.db2.gz OZBINRVONJZNBI-OAHLLOKOSA-N -1 1 335.364 -0.278 20 0 EBADMM C[C@@H](C(=O)NC[C@@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1)C1CC1 ZINC001107845768 823997047 /nfs/dbraw/zinc/99/70/47/823997047.db2.gz KSKUVXNITDYLOS-BZNIZROVSA-N -1 1 337.424 -0.138 20 0 EBADMM Cn1[n-]c(CN2CCO[C@](C)(CNC(=O)[C@@H]3CC3(C)C)C2)nc1=O ZINC001107874112 824008078 /nfs/dbraw/zinc/00/80/78/824008078.db2.gz JPGYUMBROILONP-MEDUHNTESA-N -1 1 337.424 -0.138 20 0 EBADMM C[C@]1(CNC(=O)c2ncccc2[O-])CN([C@H]2CCCNC2=O)CCO1 ZINC001107890619 824021497 /nfs/dbraw/zinc/02/14/97/824021497.db2.gz RBIYKCSWXDOTPZ-SJCJKPOMSA-N -1 1 348.403 -0.114 20 0 EBADMM CC(C)CN1CCO[C@](C)(CNC(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001108113903 824130474 /nfs/dbraw/zinc/13/04/74/824130474.db2.gz YBNUEGXSKJGKCD-MRXNPFEDSA-N -1 1 338.408 -0.037 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@](C)(CNC(=O)Cc3ccco3)C2)nc1=O ZINC001108295939 824202915 /nfs/dbraw/zinc/20/29/15/824202915.db2.gz YSIIRKLIMHYMPP-INIZCTEOSA-N -1 1 349.391 -0.349 20 0 EBADMM COCCCN1CC(N2C[C@H](NC(=O)c3ncccc3[O-])CC2=O)C1 ZINC001108534608 824347146 /nfs/dbraw/zinc/34/71/46/824347146.db2.gz PJPYVXSUQUPNCT-GFCCVEGCSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@H](CN(C)c1ncc(F)cn1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001109038893 824474708 /nfs/dbraw/zinc/47/47/08/824474708.db2.gz BYKYWOFBPDYOHT-MRVPVSSYSA-N -1 1 346.326 -0.399 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)C[C@](C)(O)C2CC2)C3)nc1=O ZINC001109164451 824495139 /nfs/dbraw/zinc/49/51/39/824495139.db2.gz KEVNQZLYJIJIJU-XREXNNHRSA-N -1 1 349.435 -0.119 20 0 EBADMM COc1ccc(COCC(=O)NCCNCc2n[nH]c(=O)[n-]2)cn1 ZINC001130214085 828757887 /nfs/dbraw/zinc/75/78/87/828757887.db2.gz BJXVNCVLUKFTNL-UHFFFAOYSA-N -1 1 336.352 -0.664 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1(Nc2ncccn2)CCC1 ZINC001111826962 825322900 /nfs/dbraw/zinc/32/29/00/825322900.db2.gz KLYNEFHEXVTYHC-UHFFFAOYSA-N -1 1 344.375 -0.132 20 0 EBADMM CC(C)CO[C@H](C)C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001112658576 825492536 /nfs/dbraw/zinc/49/25/36/825492536.db2.gz LFAXPOUJRHIQPW-GFCCVEGCSA-N -1 1 325.413 -0.186 20 0 EBADMM CCCCO[C@@H](C)C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001112677437 825507336 /nfs/dbraw/zinc/50/73/36/825507336.db2.gz BABRWIUIDIDHFX-LBPRGKRZSA-N -1 1 325.413 -0.042 20 0 EBADMM C[C@@H](Nc1ncccn1)[C@H](C)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001113119494 825764412 /nfs/dbraw/zinc/76/44/12/825764412.db2.gz SOALYOJEXHJJOE-DTWKUNHWSA-N -1 1 328.336 -0.174 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)c1ccc2nnnn2n1 ZINC001113575289 825926190 /nfs/dbraw/zinc/92/61/90/825926190.db2.gz RTXDTVLSBUVMGE-VIFPVBQESA-N -1 1 328.336 -0.125 20 0 EBADMM CC(C)OCCN1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001113534545 825911990 /nfs/dbraw/zinc/91/19/90/825911990.db2.gz GGOQAHMDCJZDAW-UHFFFAOYSA-N -1 1 334.380 -0.400 20 0 EBADMM CCCNC(=O)CCC(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001113556393 825918610 /nfs/dbraw/zinc/91/86/10/825918610.db2.gz WTSOIIFXWPGUMI-UHFFFAOYSA-N -1 1 338.412 -0.941 20 0 EBADMM Cc1ccc(N(C)[C@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)nn1 ZINC001113655241 825967286 /nfs/dbraw/zinc/96/72/86/825967286.db2.gz GRHRZWBUVBFHAM-GFCCVEGCSA-N -1 1 346.391 -0.334 20 0 EBADMM Cc1nccc(N(C)[C@H](C)CNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001113657837 825968445 /nfs/dbraw/zinc/96/84/45/825968445.db2.gz YCCLYSWGPQOUFZ-SECBINFHSA-N -1 1 342.363 -0.229 20 0 EBADMM CC(C)[C@H](O)C(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001179607591 832992966 /nfs/dbraw/zinc/99/29/66/832992966.db2.gz UITJOBQHZBIFAD-JQWIXIFHSA-N -1 1 326.353 -0.955 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2[C@H]3CN(CC(=O)N(C)C(C)C)C[C@H]32)c1[O-] ZINC001114140274 826147256 /nfs/dbraw/zinc/14/72/56/826147256.db2.gz CUPMCMYLGWECKL-PJXYFTJBSA-N -1 1 335.408 -0.049 20 0 EBADMM Cn1nccc1CCC(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001114356005 826213558 /nfs/dbraw/zinc/21/35/58/826213558.db2.gz WJRXFXFWJWFJFL-JYAVWHMHSA-N -1 1 345.407 -0.979 20 0 EBADMM CC(=O)N(C)CCCC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001180027837 833034739 /nfs/dbraw/zinc/03/47/39/833034739.db2.gz DDLKWLBQEQZTKO-JQWIXIFHSA-N -1 1 338.412 -0.152 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CCc2cncs2)nc1=O ZINC001114852476 826356352 /nfs/dbraw/zinc/35/63/52/826356352.db2.gz QFOGZVROORUPFW-YABSGUDNSA-N -1 1 348.432 -0.256 20 0 EBADMM C[C@H](Cc1cnc[nH]1)C(=O)N[C@@H]1[C@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001114956679 826381705 /nfs/dbraw/zinc/38/17/05/826381705.db2.gz MOTJZELNTCYGAE-GOONRYMUSA-N -1 1 331.380 -0.341 20 0 EBADMM Cc1nccc(N[C@@H](C)CN(C)C(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001115783704 826585062 /nfs/dbraw/zinc/58/50/62/826585062.db2.gz AIEQRKLRASGPPV-NSHDSACASA-N -1 1 346.391 -0.016 20 0 EBADMM Cc1ccnc(N[C@@H](C)CN(C)C(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001115783876 826585528 /nfs/dbraw/zinc/58/55/28/826585528.db2.gz GYDIQJKSRVPVDI-LBPRGKRZSA-N -1 1 346.391 -0.016 20 0 EBADMM Cc1csc2ncc(C(=O)N3CCO[C@@H](c4nn[n-]n4)C3)c(=O)n12 ZINC001116480015 826622244 /nfs/dbraw/zinc/62/22/44/826622244.db2.gz DLKURDMUQRVRTN-SECBINFHSA-N -1 1 347.360 -0.209 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCc1cn2c(n1)SCC2 ZINC001116727047 826638152 /nfs/dbraw/zinc/63/81/52/826638152.db2.gz XLUCXFUSVZKAGV-UHFFFAOYSA-N -1 1 335.389 -0.412 20 0 EBADMM CC(C)COc1ccc(NC(=O)C(=O)NN2CC(=O)[N-]C2=O)cn1 ZINC001117395137 826739664 /nfs/dbraw/zinc/73/96/64/826739664.db2.gz BCTCRELMVQUTAD-UHFFFAOYSA-N -1 1 335.320 -0.362 20 0 EBADMM COc1cc(Cl)ccc1NC(=O)C(=O)NN1CC(=O)[N-]C1=O ZINC001117438235 826749227 /nfs/dbraw/zinc/74/92/27/826749227.db2.gz FYHZIHUAODRLSK-UHFFFAOYSA-N -1 1 326.696 -0.130 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCS(=O)(=O)C2)c1 ZINC001117691215 826800780 /nfs/dbraw/zinc/80/07/80/826800780.db2.gz KHAYQRRZYXJBPL-MRVPVSSYSA-N -1 1 348.402 -0.327 20 0 EBADMM O=C(CCN1C(=O)CCNC1=S)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001118379269 826920058 /nfs/dbraw/zinc/92/00/58/826920058.db2.gz MDLDLOLKFCHPDE-VIFPVBQESA-N -1 1 337.409 -0.597 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)C(=O)NC[C@@H](C)C(=O)[O-])C1 ZINC001118388495 826920802 /nfs/dbraw/zinc/92/08/02/826920802.db2.gz BEMUHZPFYGNVFD-VXGBXAGGSA-N -1 1 329.397 -0.704 20 0 EBADMM CC(C)CN1CCO[C@@H](CNC(=O)C(=O)NC[C@H](C)C(=O)[O-])C1 ZINC001118388493 826921290 /nfs/dbraw/zinc/92/12/90/826921290.db2.gz BEMUHZPFYGNVFD-RYUDHWBXSA-N -1 1 329.397 -0.704 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)[C@H]1C[C@]1(F)Cl ZINC001181669087 833173062 /nfs/dbraw/zinc/17/30/62/833173062.db2.gz CMENKLABDVTFAO-VYUIOLGVSA-N -1 1 346.746 -0.048 20 0 EBADMM Cc1cc(N[C@@H](CO)CNC(=O)c2ncccc2[O-])n2ncnc2n1 ZINC001121340142 827347310 /nfs/dbraw/zinc/34/73/10/827347310.db2.gz RXYZZPZDDVYIAZ-SNVBAGLBSA-N -1 1 343.347 -0.264 20 0 EBADMM COCc1cc(N[C@H](CO)CNC(=O)c2ncccc2[O-])ncn1 ZINC001121339251 827347680 /nfs/dbraw/zinc/34/76/80/827347680.db2.gz GZKOPZLTCHUXET-NSHDSACASA-N -1 1 333.348 -0.074 20 0 EBADMM CC(=O)N[C@@H](CCO)C(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001182108150 833202346 /nfs/dbraw/zinc/20/23/46/833202346.db2.gz LMQKCYOKZLOAMJ-ZETCQYMHSA-N -1 1 326.313 -0.528 20 0 EBADMM C[C@H]1Cc2ccccc2N1CCNC(=O)[C@@]1(C(=O)[O-])CNCCO1 ZINC001121996957 827499039 /nfs/dbraw/zinc/49/90/39/827499039.db2.gz POYJBQXTZGCNMW-YVEFUNNKSA-N -1 1 333.388 -0.003 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@]2(C(=O)[O-])CNCCO2)C[C@@H](CC(F)(F)F)O1 ZINC001122076567 827516561 /nfs/dbraw/zinc/51/65/61/827516561.db2.gz AHPQFICYFGLZJU-KBVBSXBZSA-N -1 1 340.298 -0.002 20 0 EBADMM CO[C@H](C(=O)OCCc1c(C)nc2nc[n-]n2c1=O)c1cnn(C)c1 ZINC001122644809 827625620 /nfs/dbraw/zinc/62/56/20/827625620.db2.gz ZDXUSTCOVDVDHM-LBPRGKRZSA-N -1 1 346.347 -0.067 20 0 EBADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)[C@@H]1CC(=O)N(CC2CC2)C1 ZINC001182463185 833234751 /nfs/dbraw/zinc/23/47/51/833234751.db2.gz RYFPBCZIZBBILR-SSDOTTSWSA-N -1 1 332.320 -0.087 20 0 EBADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)[C@H]1CC(=O)N(CC2CC2)C1 ZINC001182463188 833234874 /nfs/dbraw/zinc/23/48/74/833234874.db2.gz RYFPBCZIZBBILR-ZETCQYMHSA-N -1 1 332.320 -0.087 20 0 EBADMM Cn1c(Cc2nnn[n-]2)nnc1N1CCN(Cc2cscn2)CC1 ZINC001123220553 827691613 /nfs/dbraw/zinc/69/16/13/827691613.db2.gz NKFNAXUJBSUMEG-UHFFFAOYSA-N -1 1 346.424 -0.302 20 0 EBADMM Cn1c(Cc2nn[n-]n2)nnc1N1CCN(Cc2cscn2)CC1 ZINC001123220553 827691616 /nfs/dbraw/zinc/69/16/16/827691616.db2.gz NKFNAXUJBSUMEG-UHFFFAOYSA-N -1 1 346.424 -0.302 20 0 EBADMM Cc1[n-][nH]c2ncnc(=NC(=O)[C@@H](O)CNC(=O)OC(C)(C)C)c1-2 ZINC001182628513 833249051 /nfs/dbraw/zinc/24/90/51/833249051.db2.gz ZLBQBEAIXMLSBV-QMMMGPOBSA-N -1 1 336.352 -0.141 20 0 EBADMM CN(C[C@H](O)CNc1ncnc2nc[nH]c21)C(=O)c1ncccc1[O-] ZINC001124329847 827815911 /nfs/dbraw/zinc/81/59/11/827815911.db2.gz UMYPSVVDYRSIPA-SECBINFHSA-N -1 1 343.347 -0.050 20 0 EBADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)Cn1c(=O)cnc2ccccc21 ZINC001182943541 833269499 /nfs/dbraw/zinc/26/94/99/833269499.db2.gz UFYFDOCMPSFDNE-UHFFFAOYSA-N -1 1 328.288 -0.181 20 0 EBADMM O=C(CCOC[C@@H]1CCCO1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001183894352 833311174 /nfs/dbraw/zinc/31/11/74/833311174.db2.gz OEYOCDCCHAXZGK-NEPJUHHUSA-N -1 1 339.396 -0.214 20 0 EBADMM CC(C)C[C@H]1C[C@@H](C(=O)NCCNCc2n[nH]c(=O)[n-]2)CC(=O)N1 ZINC001130661608 828909168 /nfs/dbraw/zinc/90/91/68/828909168.db2.gz OVNQCKKLBAJDGK-MNOVXSKESA-N -1 1 338.412 -0.343 20 0 EBADMM Cc1ccccc1NC(=O)CNCCNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001130863148 828980120 /nfs/dbraw/zinc/98/01/20/828980120.db2.gz MBNYXDAOZWCOQT-UHFFFAOYSA-N -1 1 346.347 -0.451 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1coc2c1C(=O)NCCC2 ZINC001131563001 829160009 /nfs/dbraw/zinc/16/00/09/829160009.db2.gz UAZFWENEDPMASS-UHFFFAOYSA-N -1 1 334.336 -0.701 20 0 EBADMM Cc1cnc([C@H](C)NCCNC(=O)c2cnc([O-])n(C)c2=O)cn1 ZINC001132242652 829394275 /nfs/dbraw/zinc/39/42/75/829394275.db2.gz XFHRVSPTYYAHAJ-JTQLQIEISA-N -1 1 332.364 -0.335 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)CCc2cn[nH]c2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001132348071 829421558 /nfs/dbraw/zinc/42/15/58/829421558.db2.gz HKNPEQKAUVYTHF-DGCLKSJQSA-N -1 1 347.423 -0.067 20 0 EBADMM COc1ncccc1CNCCNC(=O)c1c[n-]n2c1nccc2=O ZINC001134939427 829874347 /nfs/dbraw/zinc/87/43/47/829874347.db2.gz WTSATFQYFHNARN-UHFFFAOYSA-N -1 1 342.359 -0.054 20 0 EBADMM Cc1nnc(CN[C@@H](C)C[C@@H](C)NC(=O)c2cnc([O-])n(C)c2=O)[nH]1 ZINC001135164995 829911394 /nfs/dbraw/zinc/91/13/94/829911394.db2.gz BKUWVWORTNXKTI-DTWKUNHWSA-N -1 1 349.395 -0.401 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1ccc(-n2cnnc2)cc1 ZINC001135295155 829940805 /nfs/dbraw/zinc/94/08/05/829940805.db2.gz FRXAMHJQJKIQLI-UHFFFAOYSA-N -1 1 328.336 -0.389 20 0 EBADMM C[C@@H](NCCNC(=O)CCn1cc[n-]c(=O)c1=O)c1ncccn1 ZINC001135389852 829960428 /nfs/dbraw/zinc/96/04/28/829960428.db2.gz DAXWZXQBMFJMDP-LLVKDONJSA-N -1 1 332.364 -0.816 20 0 EBADMM C[C@@H](C[C@H](C)NC(=O)Cn1c(=O)[n-][nH]c1=O)NCc1nncs1 ZINC001136036868 830068924 /nfs/dbraw/zinc/06/89/24/830068924.db2.gz ZEUGICFTXFSOKL-YUMQZZPRSA-N -1 1 341.397 -0.386 20 0 EBADMM CCS(=O)(=O)CC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001211256356 837417197 /nfs/dbraw/zinc/41/71/97/837417197.db2.gz DLLXSWNFHBMQPO-SECBINFHSA-N -1 1 331.398 -0.974 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H](CO)Cc1ccc(O)cc1 ZINC001137776846 830095372 /nfs/dbraw/zinc/09/53/72/830095372.db2.gz XWDJCDCIRXHRFX-GFCCVEGCSA-N -1 1 333.344 -0.648 20 0 EBADMM CN(C)C(=O)COCc1nnc2n1CCN(Cc1ccncc1[O-])C2 ZINC001140278757 830106735 /nfs/dbraw/zinc/10/67/35/830106735.db2.gz VSZIEKHPSRAOSM-UHFFFAOYSA-N -1 1 346.391 -0.001 20 0 EBADMM CC[C@H](CNS(C)(=O)=O)NC(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001141038067 830109448 /nfs/dbraw/zinc/10/94/48/830109448.db2.gz GUQWGBYSGOMWDT-SSDOTTSWSA-N -1 1 334.423 -0.163 20 0 EBADMM COc1ncc(C=CC(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)c(OC)n1 ZINC001142446646 830129921 /nfs/dbraw/zinc/12/99/21/830129921.db2.gz ZKYFQWZHARDZEG-ONEGZZNKSA-N -1 1 334.292 -0.071 20 0 EBADMM O=C([N-]CCNC(=O)[C@H]1CNC(=O)C1)C(F)(F)Br ZINC001143305139 830150105 /nfs/dbraw/zinc/15/01/05/830150105.db2.gz NQVDYZKDXDDKCN-RXMQYKEDSA-N -1 1 328.113 -0.657 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2cc(=O)[nH]c(=S)[nH]2)co1 ZINC001144519117 830165821 /nfs/dbraw/zinc/16/58/21/830165821.db2.gz DIHPXNUTSCQWQZ-UHFFFAOYSA-N -1 1 344.374 -0.098 20 0 EBADMM COC(=O)[C@H](CCC(=O)Nc1[n-]c(=O)nc2nc[nH]c21)NC(C)=O ZINC001144964077 830173249 /nfs/dbraw/zinc/17/32/49/830173249.db2.gz QIHBMZYRQMDGJJ-ZETCQYMHSA-N -1 1 336.308 -0.545 20 0 EBADMM CC(C)S(=O)(=O)CC(=O)N[C@H](C)C[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001146440399 830200387 /nfs/dbraw/zinc/20/03/87/830200387.db2.gz GGBYEONORATNNN-VHSXEESVSA-N -1 1 347.441 -0.294 20 0 EBADMM CCS(=O)(=O)CC(=O)N[C@@H](C)C[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001146595236 830211938 /nfs/dbraw/zinc/21/19/38/830211938.db2.gz XWZZUGFWNNZKHJ-BDAKNGLRSA-N -1 1 333.414 -0.682 20 0 EBADMM COCc1nc(CC(=O)NCCNCc2n[nH]c(=O)[n-]2)cs1 ZINC001147168564 830294299 /nfs/dbraw/zinc/29/42/99/830294299.db2.gz VGCXGNNUZFDSON-UHFFFAOYSA-N -1 1 326.382 -0.438 20 0 EBADMM Cn1cc(-c2n[nH]cc2C(=O)NCCNCc2n[nH]c(=O)[n-]2)cn1 ZINC001147369267 830330935 /nfs/dbraw/zinc/33/09/35/830330935.db2.gz OZRKWCRVTZVNCO-UHFFFAOYSA-N -1 1 331.340 -0.847 20 0 EBADMM CO[C@H](C)CCC(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001147392370 830333787 /nfs/dbraw/zinc/33/37/87/830333787.db2.gz MWCSBKRCSZKZDH-GFCCVEGCSA-N -1 1 337.424 -0.042 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)c1cc(=O)cco1 ZINC001147615399 830373240 /nfs/dbraw/zinc/37/32/40/830373240.db2.gz VSTUPPZHXFUGDO-NSHDSACASA-N -1 1 348.315 -0.705 20 0 EBADMM O=C([N-]CCNC(=O)c1c[nH]c(=O)cn1)C(F)(F)Br ZINC001147633731 830375193 /nfs/dbraw/zinc/37/51/93/830375193.db2.gz XKONEFMSPZWBSE-UHFFFAOYSA-N -1 1 339.096 -0.396 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCN[C@@H](C)c1nnc(C)o1 ZINC001147698175 830394349 /nfs/dbraw/zinc/39/43/49/830394349.db2.gz GDNZKPKMTFMOQA-LURJTMIESA-N -1 1 338.324 -0.332 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCN[C@@H](C)c1noc(C)n1 ZINC001147700385 830397206 /nfs/dbraw/zinc/39/72/06/830397206.db2.gz WPICCCDAKIEIFR-LURJTMIESA-N -1 1 338.324 -0.332 20 0 EBADMM Cc1ncc(CO)c(C(=O)NCCN2CCCS2(=O)=O)c1[O-] ZINC001147848873 830421183 /nfs/dbraw/zinc/42/11/83/830421183.db2.gz TZIKGHUOSZAXAW-UHFFFAOYSA-N -1 1 329.378 -0.647 20 0 EBADMM CCn1ncc(CNC(=O)c2ccc(-n3[n-]c(=O)[nH]c3=O)cc2)n1 ZINC001148637046 830521204 /nfs/dbraw/zinc/52/12/04/830521204.db2.gz QZSOGVNHCRINIX-UHFFFAOYSA-N -1 1 329.320 -0.192 20 0 EBADMM NC(=O)c1n[nH]cc1NC(=O)c1cc(S(=O)(=O)[O-])ccc1O ZINC001148915679 830555250 /nfs/dbraw/zinc/55/52/50/830555250.db2.gz YBBFBTPZGUKLLJ-UHFFFAOYSA-N -1 1 326.290 -0.287 20 0 EBADMM CSCC(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149105999 830591025 /nfs/dbraw/zinc/59/10/25/830591025.db2.gz XAOFMPUXCWYBKG-JTQLQIEISA-N -1 1 329.426 -0.822 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2nnc3cnccn32)c1 ZINC001152027655 831123459 /nfs/dbraw/zinc/12/34/59/831123459.db2.gz RQIIEUOQJOMDOJ-UHFFFAOYSA-N -1 1 348.344 -0.010 20 0 EBADMM CCCNC(=O)NC(C)(C)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001152297432 831150980 /nfs/dbraw/zinc/15/09/80/831150980.db2.gz QOLPOUSZYXLRBY-UHFFFAOYSA-N -1 1 327.389 -0.796 20 0 EBADMM Cc1oc2ncn(C)c(=O)c2c1C(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001152994027 831202891 /nfs/dbraw/zinc/20/28/91/831202891.db2.gz JECJOAJRHGUZJU-QMMMGPOBSA-N -1 1 329.320 -0.022 20 0 EBADMM C[C@@H](CC(=O)NCCNCc1n[nH]c(=O)[n-]1)NC(=O)C1CCCC1 ZINC001153641116 831252579 /nfs/dbraw/zinc/25/25/79/831252579.db2.gz BTNMQPBSDHSWDR-JTQLQIEISA-N -1 1 338.412 -0.199 20 0 EBADMM Cc1n[nH]c(C(=O)NCCCNCc2nnc3n2CCOC3)c1[O-] ZINC001156619120 831485432 /nfs/dbraw/zinc/48/54/32/831485432.db2.gz DQWBZLWIYDIRIY-UHFFFAOYSA-N -1 1 335.368 -0.545 20 0 EBADMM CCN(Cc1cnc(C)nc1)[C@H](C)CNC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001156623491 831486117 /nfs/dbraw/zinc/48/61/17/831486117.db2.gz IDUCRDAJFUFTFF-SNVBAGLBSA-N -1 1 349.395 -0.185 20 0 EBADMM CO[C@H](C)CCC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1OC ZINC001212159005 837561513 /nfs/dbraw/zinc/56/15/13/837561513.db2.gz MXYBWOKOVSCNRQ-IJLUTSLNSA-N -1 1 341.412 -0.761 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)/C=C/c1ccc[nH]1 ZINC001212172765 837566487 /nfs/dbraw/zinc/56/64/87/837566487.db2.gz QYJXMDXPYUJVHR-FBVTZJDUSA-N -1 1 346.391 -0.535 20 0 EBADMM Cc1cc2c(c(NCCN3CCS(=O)(=O)CC3)n1)C(=O)[N-]C2=O ZINC001160648630 831876054 /nfs/dbraw/zinc/87/60/54/831876054.db2.gz ICSKYBMLLAJBOE-UHFFFAOYSA-N -1 1 338.389 -0.584 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2cn(C)c(=O)[nH]2)c1 ZINC001160666821 831878088 /nfs/dbraw/zinc/87/80/88/831878088.db2.gz HLCCVRDFWJDQKE-UHFFFAOYSA-N -1 1 326.334 -0.008 20 0 EBADMM COCCOC(=O)c1ccnc(Nc2c(O)[nH]c(=O)[n-]c2=S)c1 ZINC001160858286 831898561 /nfs/dbraw/zinc/89/85/61/831898561.db2.gz OYWPCQHUBSDQOM-VIFPVBQESA-N -1 1 338.345 -0.168 20 0 EBADMM Cc1cc2c(c(NCCOCCN3C(=O)C=CC3=O)n1)C(=O)[N-]C2=O ZINC001161028981 831915221 /nfs/dbraw/zinc/91/52/21/831915221.db2.gz FGBAPGCABOOMMS-UHFFFAOYSA-N -1 1 344.327 -0.373 20 0 EBADMM O=C(CCCn1c(=O)[n-][nH]c1=O)NCCCNCc1cnon1 ZINC001161412851 831955299 /nfs/dbraw/zinc/95/52/99/831955299.db2.gz FWHSBAHEKIBYAF-UHFFFAOYSA-N -1 1 325.329 -0.851 20 0 EBADMM O=C(NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)[C@H]1CCNC(=O)C1 ZINC001164058841 832169760 /nfs/dbraw/zinc/16/97/60/832169760.db2.gz YVSIRHMWVTWSMJ-JTQLQIEISA-N -1 1 336.396 -0.587 20 0 EBADMM Cn1ccc(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)cc1=O ZINC001165795958 832238156 /nfs/dbraw/zinc/23/81/56/832238156.db2.gz IPMMQKSARPBNKH-UHFFFAOYSA-N -1 1 346.391 -0.101 20 0 EBADMM NC(=O)[C@@H]1CC[C@@H]1C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001166567054 832271710 /nfs/dbraw/zinc/27/17/10/832271710.db2.gz YVZKNHQCYKEAOH-ZJUUUORDSA-N -1 1 336.396 -0.602 20 0 EBADMM O=C(C[C@@H]1CCC(=O)N1)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167444173 832340023 /nfs/dbraw/zinc/34/00/23/832340023.db2.gz URXGYPTXURMOEM-JTQLQIEISA-N -1 1 336.396 -0.445 20 0 EBADMM CN(C)C(=O)CC(=O)N[C@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001353095928 832401450 /nfs/dbraw/zinc/40/14/50/832401450.db2.gz ZGWZLDLYFPNSDV-LLVKDONJSA-N -1 1 334.376 -0.110 20 0 EBADMM CC(C)N1C(=O)CN(C(=O)[C@@]2(C(=O)[O-])CNCCO2)CC1(C)C ZINC001353361114 832424069 /nfs/dbraw/zinc/42/40/69/832424069.db2.gz WXTYRQDGPDUNOO-OAHLLOKOSA-N -1 1 327.381 -0.713 20 0 EBADMM CCNC(=O)CC(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001354834797 832524723 /nfs/dbraw/zinc/52/47/23/832524723.db2.gz RRPXILBVOKUDBV-LLVKDONJSA-N -1 1 334.376 -0.108 20 0 EBADMM CN(CCNC(=O)Cc1ccoc1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001355555852 832565443 /nfs/dbraw/zinc/56/54/43/832565443.db2.gz PRPMKQRYXMCOPG-UHFFFAOYSA-N -1 1 348.359 -0.663 20 0 EBADMM Cc1cnc2nc(C(=O)NCc3nc4c(c(=O)[n-]3)COCC4)nn2c1 ZINC001175214192 832616912 /nfs/dbraw/zinc/61/69/12/832616912.db2.gz LQDQEMDIOJDFDP-UHFFFAOYSA-N -1 1 341.331 -0.069 20 0 EBADMM CC(C)(C)OC(=O)N[C@H]1CN(C(=O)Cc2nn[n-]n2)CC12COC2 ZINC001176842119 832694083 /nfs/dbraw/zinc/69/40/83/832694083.db2.gz CUJQQGMRSFKQCG-VIFPVBQESA-N -1 1 338.368 -0.506 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCCn2c(Cn3cccn3)nnc2C1 ZINC001176840648 832694359 /nfs/dbraw/zinc/69/43/59/832694359.db2.gz LSABATDYFUJLHI-UHFFFAOYSA-N -1 1 328.340 -0.989 20 0 EBADMM O=C(Cc1nn[n-]n1)N1C[C@@H](N2CCCC2=O)[C@H]2OCCC[C@H]2C1 ZINC001176840672 832694641 /nfs/dbraw/zinc/69/46/41/832694641.db2.gz VTDWEYJDICZIMH-RWSFTLGLSA-N -1 1 334.380 -0.629 20 0 EBADMM CCN1C[C@H]2CCN(C(=O)Cc3nn[n-]n3)CC[C@H]2S1(=O)=O ZINC001176840882 832694650 /nfs/dbraw/zinc/69/46/50/832694650.db2.gz XZLSRDKEBRFJHF-NXEZZACHSA-N -1 1 328.398 -0.985 20 0 EBADMM COCCn1c(=O)ccc2c1CC[C@H](NC(=O)Cc1nn[n-]n1)C2 ZINC001176844399 832695131 /nfs/dbraw/zinc/69/51/31/832695131.db2.gz AYEANFSTXDAVIJ-NSHDSACASA-N -1 1 332.364 -0.776 20 0 EBADMM CN1CCN(c2ccc(NC(=O)Cn3nn[n-]c3=S)nc2)CC1 ZINC001177735407 832800137 /nfs/dbraw/zinc/80/01/37/832800137.db2.gz HGEFSLORCJOMSZ-UHFFFAOYSA-N -1 1 334.409 -0.253 20 0 EBADMM COC[C@H](NC(=O)CN1C(=O)c2ccc(C)cc2C1=O)c1nn[n-]n1 ZINC001177823823 832803519 /nfs/dbraw/zinc/80/35/19/832803519.db2.gz WBUHEKCPHQTUSQ-NSHDSACASA-N -1 1 344.331 -0.392 20 0 EBADMM CO[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CCc1cnc[nH]1 ZINC001212383531 837670973 /nfs/dbraw/zinc/67/09/73/837670973.db2.gz YEAMYQQKTZKJQO-GHMZBOCLSA-N -1 1 335.368 -0.818 20 0 EBADMM CC(C)(C)[C@@H](NC(N)=O)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001187100392 833899383 /nfs/dbraw/zinc/89/93/83/833899383.db2.gz ZIIFPXHCRLFSCR-SCZZXKLOSA-N -1 1 339.400 -0.716 20 0 EBADMM CC(C)NC(=O)CCCC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001187201510 833909982 /nfs/dbraw/zinc/90/99/82/833909982.db2.gz HQFIBDWAUCOGSX-LLVKDONJSA-N -1 1 338.412 -0.104 20 0 EBADMM CCC[C@@H](NC(N)=O)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001187428099 833927975 /nfs/dbraw/zinc/92/79/75/833927975.db2.gz KNCPYEOEJNRJPU-RKDXNWHRSA-N -1 1 325.373 -0.962 20 0 EBADMM CC[C@@H](C)OCC(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001187547090 833941136 /nfs/dbraw/zinc/94/11/36/833941136.db2.gz SPXGOFXYIMNJRA-FRRDWIJNSA-N -1 1 337.424 -0.044 20 0 EBADMM CC[C@H](C)OCC(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001187547091 833941686 /nfs/dbraw/zinc/94/16/86/833941686.db2.gz SPXGOFXYIMNJRA-RWMBFGLXSA-N -1 1 337.424 -0.044 20 0 EBADMM O=C1C=CC(=O)N1CCOCC[N-]S(=O)(=O)c1nccs1 ZINC001187918699 833984209 /nfs/dbraw/zinc/98/42/09/833984209.db2.gz LNOOWWUHTOJSLS-UHFFFAOYSA-N -1 1 331.375 -0.637 20 0 EBADMM NC(=O)CNC(=O)C[N-]S(=O)(=O)c1ccc(Cl)nc1Cl ZINC001187987333 833990648 /nfs/dbraw/zinc/99/06/48/833990648.db2.gz AQLKQLGMPRPTPP-UHFFFAOYSA-N -1 1 341.176 -0.732 20 0 EBADMM Cc1n[nH]cc1C(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001188062422 833997327 /nfs/dbraw/zinc/99/73/27/833997327.db2.gz XSBXMLHXQYVXGT-NSHDSACASA-N -1 1 334.336 -0.627 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2ncc(C(F)(F)F)[nH]2)C(=O)N1S(=O)(=O)[O-] ZINC001188295755 834031332 /nfs/dbraw/zinc/03/13/32/834031332.db2.gz OMYOTVBRACLGRR-UCORVYFPSA-N -1 1 342.255 -0.440 20 0 EBADMM COC(=O)CCS(=O)(=O)[N-][C@@H](Cc1cccc(O)c1)C(=O)OC ZINC001188406043 834045704 /nfs/dbraw/zinc/04/57/04/834045704.db2.gz BTHJKTCHKYUIOD-LBPRGKRZSA-N -1 1 345.373 -0.041 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)c1ccncc1O ZINC001188637585 834069475 /nfs/dbraw/zinc/06/94/75/834069475.db2.gz DJHUDEXAQJQCJD-NSHDSACASA-N -1 1 347.331 -0.558 20 0 EBADMM CCO[C@H](CC)C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001189190606 834133824 /nfs/dbraw/zinc/13/38/24/834133824.db2.gz WTWDZDFGJNYHHE-NWDGAFQWSA-N -1 1 325.413 -0.044 20 0 EBADMM CCO[C@@H](CC)C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001189190607 834134600 /nfs/dbraw/zinc/13/46/00/834134600.db2.gz WTWDZDFGJNYHHE-RYUDHWBXSA-N -1 1 325.413 -0.044 20 0 EBADMM C[C@@H](OCC1CC1)C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001189232639 834138499 /nfs/dbraw/zinc/13/84/99/834138499.db2.gz QILDEGXZBNSUNY-YPMHNXCESA-N -1 1 337.424 -0.044 20 0 EBADMM COCCCCC(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001189259843 834139419 /nfs/dbraw/zinc/13/94/19/834139419.db2.gz BTVCXSBSNYDPSP-GFCCVEGCSA-N -1 1 325.413 -0.042 20 0 EBADMM CCOC(=O)CNC(=O)NCC[N-]C(=O)C(F)(F)Br ZINC001189939731 834211967 /nfs/dbraw/zinc/21/19/67/834211967.db2.gz JDPTWTVTWNZWLW-UHFFFAOYSA-N -1 1 346.128 -0.047 20 0 EBADMM CS(=O)(=O)N1CC(NC(=O)c2cnc(-c3ccccn3)[n-]c2=O)C1 ZINC001190629552 834304723 /nfs/dbraw/zinc/30/47/23/834304723.db2.gz SHBVMKQVJFMGHZ-UHFFFAOYSA-N -1 1 349.372 -0.382 20 0 EBADMM CSc1ncc(C(=O)NCCN2CCCS2(=O)=O)c(=O)[n-]1 ZINC001191441282 834479936 /nfs/dbraw/zinc/47/99/36/834479936.db2.gz SYOBVCKYNCEFHH-UHFFFAOYSA-N -1 1 332.407 -0.331 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@H](C)C(C)(F)F ZINC001213460516 837779823 /nfs/dbraw/zinc/77/98/23/837779823.db2.gz RNURCYUCDJVFOQ-IVZWLZJFSA-N -1 1 347.366 -0.285 20 0 EBADMM O=C(N[C@@H]1CN(C[C@@H](O)CC(F)(F)F)C[C@H]1O)c1ncccc1[O-] ZINC001192374769 834650937 /nfs/dbraw/zinc/65/09/37/834650937.db2.gz KDUVQNIMAJTYLG-IQJOONFLSA-N -1 1 349.309 -0.125 20 0 EBADMM CC[C@H](NC(C)=O)C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001192464918 834673139 /nfs/dbraw/zinc/67/31/39/834673139.db2.gz WYJVCJJHLLYYPS-RYUDHWBXSA-N -1 1 338.412 -0.944 20 0 EBADMM CCOC(=O)C(C)(C)S(=O)(=O)NCC[N-]C(=O)C(F)(F)F ZINC001193073299 834789284 /nfs/dbraw/zinc/78/92/84/834789284.db2.gz KWTUTQLNEBZSGC-UHFFFAOYSA-N -1 1 334.316 -0.074 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)CCCCC(N)=O)C1 ZINC001193390168 834853104 /nfs/dbraw/zinc/85/31/04/834853104.db2.gz OITYGPSHDIMHEL-LLVKDONJSA-N -1 1 338.412 -0.813 20 0 EBADMM CS(=O)(=O)N(Cc1noc2c1CNCC2)C(=O)c1cc(=O)[nH][n-]1 ZINC001193464803 834880795 /nfs/dbraw/zinc/88/07/95/834880795.db2.gz AODLOAQQQXDGLF-UHFFFAOYSA-N -1 1 341.349 -0.649 20 0 EBADMM COC(=O)c1cc(C(=O)Nc2cncc(S(N)(=O)=O)c2)[n-]n1 ZINC001194283612 835071111 /nfs/dbraw/zinc/07/11/11/835071111.db2.gz MYMWDTBNSSHBHM-UHFFFAOYSA-N -1 1 325.306 -0.509 20 0 EBADMM CCC(CC)[C@@H](C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001194309983 835078021 /nfs/dbraw/zinc/07/80/21/835078021.db2.gz YAMAWRNYVDNCJE-RAIGVLPGSA-N -1 1 339.440 -0.158 20 0 EBADMM CNC(=O)NC(=O)[C@@H](C)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001195580189 835248032 /nfs/dbraw/zinc/24/80/32/835248032.db2.gz GSHHQRVKBGFFMJ-LLVKDONJSA-N -1 1 349.391 -0.221 20 0 EBADMM CS(=O)(=O)NCCN1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001195580899 835249256 /nfs/dbraw/zinc/24/92/56/835249256.db2.gz RLABLUDJLZSHEO-UHFFFAOYSA-N -1 1 342.421 -0.516 20 0 EBADMM CCOC(=O)CS(=O)(=O)[N-][C@@H](CC(=O)OC(C)(C)C)C(N)=O ZINC001196005146 835325663 /nfs/dbraw/zinc/32/56/63/835325663.db2.gz VAXILCAOWBGDOT-QMMMGPOBSA-N -1 1 338.382 -0.945 20 0 EBADMM CCOC(=O)C[C@H]1C(=O)NCCN1C(=O)c1c[nH]c(=S)[n-]c1=O ZINC001196013220 835326310 /nfs/dbraw/zinc/32/63/10/835326310.db2.gz FPRVTKGHIZWWHN-QMMMGPOBSA-N -1 1 340.361 -0.635 20 0 EBADMM COC(=O)[C@H]1CN(C(=O)c2c[nH]c(=S)[n-]c2=O)CCN1C(C)=O ZINC001196016199 835326698 /nfs/dbraw/zinc/32/66/98/835326698.db2.gz QPLBVXZOTCHTKW-SECBINFHSA-N -1 1 340.361 -0.683 20 0 EBADMM CCC[C@H](OC)C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001196731467 835455077 /nfs/dbraw/zinc/45/50/77/835455077.db2.gz DEAZJXQVYWWVHZ-LBPRGKRZSA-N -1 1 325.413 -0.042 20 0 EBADMM C[C@@H](CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O)n1cccc1 ZINC001197967699 835627009 /nfs/dbraw/zinc/62/70/09/835627009.db2.gz MIJDPLJBZSFFBJ-YNEHKIRRSA-N -1 1 348.407 -0.778 20 0 EBADMM CCOC(=O)c1noc(C[N-]S(=O)(=O)c2cnc(C)n2C)n1 ZINC001198422427 835680882 /nfs/dbraw/zinc/68/08/82/835680882.db2.gz DNNPCNVFMQETTL-UHFFFAOYSA-N -1 1 329.338 -0.233 20 0 EBADMM O=c1[n-]c2nc(=O)[nH]c(NC(=S)NCCN3CCOCC3)c2[nH]1 ZINC001200137769 835916898 /nfs/dbraw/zinc/91/68/98/835916898.db2.gz JINRFZRYBPMNIQ-UHFFFAOYSA-N -1 1 339.381 -0.617 20 0 EBADMM CCCCOCC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001206733609 836673332 /nfs/dbraw/zinc/67/33/32/836673332.db2.gz XGLFQJDZMUELMP-VXGBXAGGSA-N -1 1 325.413 -0.138 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CCCn1ccnn1 ZINC001207499382 836805165 /nfs/dbraw/zinc/80/51/65/836805165.db2.gz KKAYDNUSIIEWBH-VXGBXAGGSA-N -1 1 348.411 -0.883 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CCn1cccc1 ZINC001209714123 837193404 /nfs/dbraw/zinc/19/34/04/837193404.db2.gz XIHKYVQKJRFIAJ-CHWSQXEVSA-N -1 1 332.408 -0.063 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)c1cccc2ncnn21 ZINC001319493010 838139988 /nfs/dbraw/zinc/13/99/88/838139988.db2.gz KFPGADIWPORONC-SECBINFHSA-N -1 1 330.352 -0.197 20 0 EBADMM C[C@H]1CN(c2ccc(NC(=O)C(=O)NCc3nn[n-]n3)cc2)CCO1 ZINC001321982450 839116902 /nfs/dbraw/zinc/11/69/02/839116902.db2.gz AKUUHTRVUWYPGG-JTQLQIEISA-N -1 1 345.363 -0.320 20 0 EBADMM O=C(NN1CC(=O)[N-]C1=O)c1ccc(C[C@H]2CC(=O)NC2=O)cc1 ZINC001322134190 839141041 /nfs/dbraw/zinc/14/10/41/839141041.db2.gz QBYHHEDRLNJGLC-JTQLQIEISA-N -1 1 330.300 -0.912 20 0 EBADMM Cn1nc(C2CCCC2)cc1NC(=O)C(=O)NN1CC(=O)[N-]C1=O ZINC001322981341 839312712 /nfs/dbraw/zinc/31/27/12/839312712.db2.gz NLBJHPZYIPTXDS-UHFFFAOYSA-N -1 1 334.336 -0.401 20 0 EBADMM O=C(N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)c1cn2cccnc2n1 ZINC001323033577 839329337 /nfs/dbraw/zinc/32/93/37/839329337.db2.gz NVLMWDVKXVVVFG-SNVBAGLBSA-N -1 1 342.363 -0.149 20 0 EBADMM COCCCO[N-]C(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001323262897 839395573 /nfs/dbraw/zinc/39/55/73/839395573.db2.gz CEABNSIDQSJQQZ-QWRGUYRKSA-N -1 1 334.394 -0.894 20 0 EBADMM CN(C)S(=O)(=O)[N-]C(=O)c1ccc2c(c1)[nH]c(=S)n(C)c2=O ZINC001324034592 839583506 /nfs/dbraw/zinc/58/35/06/839583506.db2.gz OYOGBGKJWQVLQC-UHFFFAOYSA-N -1 1 342.402 -0.242 20 0 EBADMM O=C(N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CCCC1)[C@@H]1CCNC1=O ZINC001324495967 839666107 /nfs/dbraw/zinc/66/61/07/839666107.db2.gz RXGZIKNBLPTKAZ-MNOVXSKESA-N -1 1 336.396 -0.589 20 0 EBADMM CCOCc1nc([C@@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)no1 ZINC001324801354 839716870 /nfs/dbraw/zinc/71/68/70/839716870.db2.gz DORHBZKEIDNRMJ-SECBINFHSA-N -1 1 337.336 -0.276 20 0 EBADMM O=C([N-]CCCN1CC(O)(CNC(=O)c2cn[nH]c2)C1)C(F)(F)F ZINC001325186110 839813315 /nfs/dbraw/zinc/81/33/15/839813315.db2.gz VLRZJDANLKQGIM-UHFFFAOYSA-N -1 1 349.313 -0.745 20 0 EBADMM Cc1nc(C)c(C(=O)N(CCO)CCNCc2n[nH]c(=O)[n-]2)s1 ZINC001326147559 840047721 /nfs/dbraw/zinc/04/77/21/840047721.db2.gz SFHNIDATNCIOCY-UHFFFAOYSA-N -1 1 340.409 -0.192 20 0 EBADMM CC(C)[C@H](CNC(=O)C(=O)NCCCCN1CCOCC1)C(=O)[O-] ZINC001326807365 840205636 /nfs/dbraw/zinc/20/56/36/840205636.db2.gz NDORLDYEQACREP-ZDUSSCGKSA-N -1 1 343.424 -0.312 20 0 EBADMM CCO[N-]C(=O)CNC(=O)C(=O)N1CCN(C(C)(C)CC)CC1 ZINC001326927494 840237445 /nfs/dbraw/zinc/23/74/45/840237445.db2.gz WDUHPGMPDANXEW-UHFFFAOYSA-N -1 1 328.413 -0.497 20 0 EBADMM C[C@@H](CN(C)C(=O)CCN1C(=O)CCNC1=S)c1nn[n-]n1 ZINC001327098434 840299559 /nfs/dbraw/zinc/29/95/59/840299559.db2.gz XZZGNXRWSZUVEJ-QMMMGPOBSA-N -1 1 325.398 -0.742 20 0 EBADMM COCCOc1cncc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)c1 ZINC001327130568 840309156 /nfs/dbraw/zinc/30/91/56/840309156.db2.gz ODFHKKXQCXTTRZ-UHFFFAOYSA-N -1 1 341.349 -0.119 20 0 EBADMM COCCOc1cncc(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)c1 ZINC001327130568 840309167 /nfs/dbraw/zinc/30/91/67/840309167.db2.gz ODFHKKXQCXTTRZ-UHFFFAOYSA-N -1 1 341.349 -0.119 20 0 EBADMM CC(C)C[C@H](CNC(=O)C(=O)N1CCN2C[C@H](O)C[C@H]2C1)C(=O)[O-] ZINC001327160585 840313248 /nfs/dbraw/zinc/31/32/48/840313248.db2.gz NXXBWRDKZYCGHM-FRRDWIJNSA-N -1 1 341.408 -0.873 20 0 EBADMM CNC(=O)[C@H](C)CN(C)C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001329098265 840840777 /nfs/dbraw/zinc/84/07/77/840840777.db2.gz DWFSLQGSKOEPNN-SECBINFHSA-N -1 1 332.364 -0.879 20 0 EBADMM Cc1conc1CN(C)[C@@H](C)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001329576544 840957398 /nfs/dbraw/zinc/95/73/98/840957398.db2.gz LULKNPQUIOHOGC-LBPRGKRZSA-N -1 1 349.391 -0.140 20 0 EBADMM Cc1c(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)nnn1-c1ccn(C)n1 ZINC001330408144 841190955 /nfs/dbraw/zinc/19/09/55/841190955.db2.gz GUQDHBMCCDIDHJ-MRVPVSSYSA-N -1 1 330.356 -0.302 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCCc2ncnn2C)o1 ZINC001331781644 841496402 /nfs/dbraw/zinc/49/64/02/841496402.db2.gz GWRPZNBNRNQTGP-UHFFFAOYSA-N -1 1 327.366 -0.321 20 0 EBADMM C=C/C(C)=C\CC(=O)N(C)C[C@H](O)CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001332646711 841705063 /nfs/dbraw/zinc/70/50/63/841705063.db2.gz GSALSPJCVYAGDM-FFXRNRBCSA-N -1 1 337.424 -0.118 20 0 EBADMM CN(C(=O)c1c(I)cnn1C)c1nn[n-]n1 ZINC001332689014 841719153 /nfs/dbraw/zinc/71/91/53/841719153.db2.gz QJJNWNFTMFTNLC-UHFFFAOYSA-N -1 1 333.093 -0.186 20 0 EBADMM CS(=O)(=O)CCCNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC001332909184 841761191 /nfs/dbraw/zinc/76/11/91/841761191.db2.gz MBRBZXLFVLBWLH-UHFFFAOYSA-N -1 1 345.343 -0.259 20 0 EBADMM C[C@H](NC(=O)C(=O)NCCc1c(F)cc([O-])cc1F)C(=O)N(C)C ZINC001332979977 841773949 /nfs/dbraw/zinc/77/39/49/841773949.db2.gz JGRJOOWHLFCHOU-QMMMGPOBSA-N -1 1 343.330 -0.078 20 0 EBADMM CO[C@H](CNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@H]1CCOC1 ZINC001333324336 841850570 /nfs/dbraw/zinc/85/05/70/841850570.db2.gz BGCVPDDMPFKOFR-GXFFZTMASA-N -1 1 347.375 -0.552 20 0 EBADMM CCO[N-]C(=O)Cn1nnnc1N1CCN(C(C)(C)COC)CC1 ZINC001334596310 842090805 /nfs/dbraw/zinc/09/08/05/842090805.db2.gz FAWAHVOTBCILFB-UHFFFAOYSA-N -1 1 341.416 -0.712 20 0 EBADMM CC(C)=CC(=O)N[C@H]1C[C@H](CNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001335605166 842314534 /nfs/dbraw/zinc/31/45/34/842314534.db2.gz BIWDXKKWKFQZOM-JOCQHMNTSA-N -1 1 348.403 -0.096 20 0 EBADMM CC[C@H](F)C(=O)N(C)C[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001337378071 842607629 /nfs/dbraw/zinc/60/76/29/842607629.db2.gz OMQJIZYCLHLSSY-QWRGUYRKSA-N -1 1 342.371 -0.362 20 0 EBADMM COC(=O)[C@H]1CN(C)C(=O)CN1C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC001339221003 842902334 /nfs/dbraw/zinc/90/23/34/842902334.db2.gz KADGYCPCXTZFBV-SECBINFHSA-N -1 1 349.372 -0.526 20 0 EBADMM O=C([O-])[C@@]1(C(=O)NCCN2CCc3sccc3C2)CNCCO1 ZINC001340055970 842965857 /nfs/dbraw/zinc/96/58/57/842965857.db2.gz FRIBXIZTTMIONJ-HNNXBMFYSA-N -1 1 339.417 -0.334 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2CCCN(CC(F)(F)F)CC2)CNCCO1 ZINC001340155499 842975996 /nfs/dbraw/zinc/97/59/96/842975996.db2.gz PYOZOGMXRKRMIB-LBPRGKRZSA-N -1 1 339.314 -0.474 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2CCO[C@H](Cc3ccccc3)C2)CNCCO1 ZINC001340218564 842982544 /nfs/dbraw/zinc/98/25/44/842982544.db2.gz ZQJJDYSBKXNPHT-RHSMWYFYSA-N -1 1 334.372 -0.100 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)C(=O)[N-]OC[C@H]2CCOC2)C1 ZINC001340247188 842984738 /nfs/dbraw/zinc/98/47/38/842984738.db2.gz HHBMESKPSPUTCU-UONOGXRCSA-N -1 1 343.424 -0.456 20 0 EBADMM CCOCCCNC(=O)[C@H](C)S(=O)(=O)c1nnc(COC)[n-]1 ZINC001340424750 842996335 /nfs/dbraw/zinc/99/63/35/842996335.db2.gz RGNBMGJQNXXBQF-VIFPVBQESA-N -1 1 334.398 -0.344 20 0 EBADMM CCOCCCNC(=O)[C@H](C)S(=O)(=O)c1n[n-]c(COC)n1 ZINC001340424750 842996338 /nfs/dbraw/zinc/99/63/38/842996338.db2.gz RGNBMGJQNXXBQF-VIFPVBQESA-N -1 1 334.398 -0.344 20 0 EBADMM CO[N-]C(=O)CNC(=O)C(=O)N[C@@H]1CCCN(Cc2ccccc2)C1 ZINC001340550250 843008334 /nfs/dbraw/zinc/00/83/34/843008334.db2.gz ILHJGFDBVSUFMJ-CQSZACIVSA-N -1 1 348.403 -0.439 20 0 EBADMM C[C@@H](CCCCNC(=O)c1ncccc1[O-])NC(=O)Cn1cnnn1 ZINC001340630926 843016664 /nfs/dbraw/zinc/01/66/64/843016664.db2.gz UDJHSERDVGGCKT-NSHDSACASA-N -1 1 347.379 -0.121 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@]2(C(=O)[O-])CNCCO2)C[C@H](C(F)(F)F)O1 ZINC001340735450 843027035 /nfs/dbraw/zinc/02/70/35/843027035.db2.gz KCNUGLVMZCOLJN-SOCHQFKDSA-N -1 1 326.271 -0.392 20 0 EBADMM COc1ccc(F)cc1CCNC(=O)[C@@]1(C(=O)[O-])CNCCO1 ZINC001342477514 843164547 /nfs/dbraw/zinc/16/45/47/843164547.db2.gz ZBMZPPFYEOAYBI-OAHLLOKOSA-N -1 1 326.324 -0.064 20 0 EBADMM NC(=O)CC(=O)NC1CC2(C1)CC(NC(=O)c1ncccc1[O-])C2 ZINC001348277181 843678168 /nfs/dbraw/zinc/67/81/68/843678168.db2.gz NXBOEAYRRLHAPY-UHFFFAOYSA-N -1 1 332.360 -0.180 20 0 EBADMM COCCOCc1ccc(NC(=O)C(=O)NCc2nn[n-]n2)cc1 ZINC001348320018 843682302 /nfs/dbraw/zinc/68/23/02/843682302.db2.gz IWBZMYAVHNHZPS-UHFFFAOYSA-N -1 1 334.336 -0.382 20 0 EBADMM Cc1ccccc1C(=O)NCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001348369637 843689456 /nfs/dbraw/zinc/68/94/56/843689456.db2.gz NBISWYAOJIVHFJ-UHFFFAOYSA-N -1 1 344.371 -0.219 20 0 EBADMM O=C(NCCNC(=O)[C@H]1CCCS1(=O)=O)c1ncccc1[O-] ZINC001348839179 843756703 /nfs/dbraw/zinc/75/67/03/843756703.db2.gz SGNRBSGXYFYIHF-SNVBAGLBSA-N -1 1 327.362 -0.790 20 0 EBADMM O=C(C[C@H]1CC(=O)NC1=O)NCCCNC(=O)c1ncccc1[O-] ZINC001349940423 843951308 /nfs/dbraw/zinc/95/13/08/843951308.db2.gz MKKSHPFSFIBZBU-VIFPVBQESA-N -1 1 334.332 -0.924 20 0 EBADMM O=C([O-])[C@@H]1CC(=O)N(CN2CCC[C@H](CN3CCOCC3)C2)C1 ZINC001601758907 971047164 /nfs/dbraw/zinc/04/71/64/971047164.db2.gz ICYOOQKREMOMDU-ZIAGYGMSSA-N -1 1 325.409 -0.079 20 0 EBADMM CC(=O)N1CCOC2(CCN(c3ccc(-c4nnn[n-]4)nn3)CC2)C1 ZINC001573451768 945841242 /nfs/dbraw/zinc/84/12/42/945841242.db2.gz ZZJLOIPYOCSBCE-UHFFFAOYSA-N -1 1 344.379 -0.126 20 0 EBADMM CC(=O)N1CCOC2(CCN(c3ccc(-c4nn[n-]n4)nn3)CC2)C1 ZINC001573451768 945841250 /nfs/dbraw/zinc/84/12/50/945841250.db2.gz ZZJLOIPYOCSBCE-UHFFFAOYSA-N -1 1 344.379 -0.126 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)[C@@H](C)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001573467764 945984923 /nfs/dbraw/zinc/98/49/23/945984923.db2.gz HJMDBUHYDKNYTJ-DTWKUNHWSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)[C@@H](C)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001573467764 945984935 /nfs/dbraw/zinc/98/49/35/945984935.db2.gz HJMDBUHYDKNYTJ-DTWKUNHWSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N1CC[C@@H](Nc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001573471132 946043842 /nfs/dbraw/zinc/04/38/42/946043842.db2.gz MKRGAFLQWWZMTB-WCBMZHEXSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N1CC[C@@H](Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001573471132 946043853 /nfs/dbraw/zinc/04/38/53/946043853.db2.gz MKRGAFLQWWZMTB-WCBMZHEXSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@@H](C)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001573477888 946143538 /nfs/dbraw/zinc/14/35/38/946143538.db2.gz VADSJPSAMRHPRZ-BDAKNGLRSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@@H](C)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001573477888 946143545 /nfs/dbraw/zinc/14/35/45/946143545.db2.gz VADSJPSAMRHPRZ-BDAKNGLRSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@H](C)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001573478474 946147020 /nfs/dbraw/zinc/14/70/20/946147020.db2.gz WZLXJLUIGSITFS-IUCAKERBSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@H](C)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001573478474 946147030 /nfs/dbraw/zinc/14/70/30/946147030.db2.gz WZLXJLUIGSITFS-IUCAKERBSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@@H](C)CNc1cncc(-c2nnn[n-]2)n1 ZINC001573483337 946206651 /nfs/dbraw/zinc/20/66/51/946206651.db2.gz DMMUMLDTMPLHNC-JGVFFNPUSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@@H](C)CNc1cncc(-c2nn[n-]n2)n1 ZINC001573483337 946206659 /nfs/dbraw/zinc/20/66/59/946206659.db2.gz DMMUMLDTMPLHNC-JGVFFNPUSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@H]1C[C@H](Nc2cncc(-c3nnn[n-]3)n2)C1 ZINC001573486945 946246189 /nfs/dbraw/zinc/24/61/89/946246189.db2.gz JKQJRKMXRXZEOV-SZEHBUNVSA-N -1 1 345.367 -0.760 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@H]1C[C@H](Nc2cncc(-c3nn[n-]n3)n2)C1 ZINC001573486945 946246202 /nfs/dbraw/zinc/24/62/02/946246202.db2.gz JKQJRKMXRXZEOV-SZEHBUNVSA-N -1 1 345.367 -0.760 20 0 EBADMM CC(=O)NCC(=O)N(C)[C@@H](C)CNc1cncc(-c2nnn[n-]2)n1 ZINC001573496874 946332341 /nfs/dbraw/zinc/33/23/41/946332341.db2.gz FSLQKODDBRLTBE-QMMMGPOBSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)NCC(=O)N(C)[C@@H](C)CNc1cncc(-c2nn[n-]n2)n1 ZINC001573496874 946332353 /nfs/dbraw/zinc/33/23/53/946332353.db2.gz FSLQKODDBRLTBE-QMMMGPOBSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)NCC(=O)N(C)C1CC(Nc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001573498063 946356045 /nfs/dbraw/zinc/35/60/45/946356045.db2.gz XMQVBUQXZHSVJA-UHFFFAOYSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N(C)C1CC(Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001573498063 946356056 /nfs/dbraw/zinc/35/60/56/946356056.db2.gz XMQVBUQXZHSVJA-UHFFFAOYSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N1C[C@H](C)[C@H](Nc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001573502447 946387229 /nfs/dbraw/zinc/38/72/29/946387229.db2.gz WCEXTNKGJPWJKB-GZMMTYOYSA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)NCC(=O)N1C[C@H](C)[C@H](Nc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001573502447 946387240 /nfs/dbraw/zinc/38/72/40/946387240.db2.gz WCEXTNKGJPWJKB-GZMMTYOYSA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)NCC(=O)N1C[C@@H](Nc2cncc(-c3nnn[n-]3)n2)C[C@@H]1C ZINC001573502482 946388043 /nfs/dbraw/zinc/38/80/43/946388043.db2.gz WLFKGCGSHJBSTI-WPRPVWTQSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N1C[C@@H](Nc2cncc(-c3nn[n-]n3)n2)C[C@@H]1C ZINC001573502482 946388059 /nfs/dbraw/zinc/38/80/59/946388059.db2.gz WLFKGCGSHJBSTI-WPRPVWTQSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@H](CNc2nccnc2-c2nnn[n-]2)C1 ZINC001573503665 946395194 /nfs/dbraw/zinc/39/51/94/946395194.db2.gz BOAGQXKHUYVOJT-SNVBAGLBSA-N -1 1 345.367 -0.947 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@H](CNc2nccnc2-c2nn[n-]n2)C1 ZINC001573503665 946395207 /nfs/dbraw/zinc/39/52/07/946395207.db2.gz BOAGQXKHUYVOJT-SNVBAGLBSA-N -1 1 345.367 -0.947 20 0 EBADMM CC(=O)NCC(=O)N1CCC[C@H](Nc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001573509788 946465855 /nfs/dbraw/zinc/46/58/55/946465855.db2.gz ROEYWALTTYSLGZ-JTQLQIEISA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)NCC(=O)N1CCC[C@H](Nc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001573509788 946465861 /nfs/dbraw/zinc/46/58/61/946465861.db2.gz ROEYWALTTYSLGZ-JTQLQIEISA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)C[C@H](C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001573510294 946475334 /nfs/dbraw/zinc/47/53/34/946475334.db2.gz DPICTOGMJWYELR-IUCAKERBSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)C[C@H](C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001573510294 946475346 /nfs/dbraw/zinc/47/53/46/946475346.db2.gz DPICTOGMJWYELR-IUCAKERBSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)[C@H](C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001573510349 946476277 /nfs/dbraw/zinc/47/62/77/946476277.db2.gz FSKFZVZPKLXTNK-JGVFFNPUSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)[C@H](C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001573510349 946476286 /nfs/dbraw/zinc/47/62/86/946476286.db2.gz FSKFZVZPKLXTNK-JGVFFNPUSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)CCNc1ccc(-c2nnn[n-]2)nn1 ZINC001573510485 946479140 /nfs/dbraw/zinc/47/91/40/946479140.db2.gz INNDYSCAKAKCIF-MRVPVSSYSA-N -1 1 333.356 -0.901 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)CCNc1ccc(-c2nn[n-]n2)nn1 ZINC001573510485 946479146 /nfs/dbraw/zinc/47/91/46/946479146.db2.gz INNDYSCAKAKCIF-MRVPVSSYSA-N -1 1 333.356 -0.901 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)CCNc1nc(C)cc(-c2nnn[n-]2)n1 ZINC001573511720 946489352 /nfs/dbraw/zinc/48/93/52/946489352.db2.gz RIDQAAFDDGLPFS-QMMMGPOBSA-N -1 1 347.383 -0.592 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)CCNc1nc(C)cc(-c2nn[n-]n2)n1 ZINC001573511720 946489357 /nfs/dbraw/zinc/48/93/57/946489357.db2.gz RIDQAAFDDGLPFS-QMMMGPOBSA-N -1 1 347.383 -0.592 20 0 EBADMM CC(=O)NCC(=O)NCC1(Nc2cncc(-c3nnn[n-]3)n2)CCC1 ZINC001573517807 946582592 /nfs/dbraw/zinc/58/25/92/946582592.db2.gz RQUIWIQIUJKSJW-UHFFFAOYSA-N -1 1 345.367 -0.756 20 0 EBADMM CC(=O)NCC(=O)NCC1(Nc2cncc(-c3nn[n-]n3)n2)CCC1 ZINC001573517807 946582602 /nfs/dbraw/zinc/58/26/02/946582602.db2.gz RQUIWIQIUJKSJW-UHFFFAOYSA-N -1 1 345.367 -0.756 20 0 EBADMM CC(=O)c1cn(CC(=O)NCc2ccnc(-c3nnn[n-]3)c2)nn1 ZINC001573559051 946947933 /nfs/dbraw/zinc/94/79/33/946947933.db2.gz WSRLURJEBBZWJH-UHFFFAOYSA-N -1 1 327.308 -0.628 20 0 EBADMM CC(=O)c1cn(CC(=O)NCc2ccnc(-c3nn[n-]n3)c2)nn1 ZINC001573559051 946947938 /nfs/dbraw/zinc/94/79/38/946947938.db2.gz WSRLURJEBBZWJH-UHFFFAOYSA-N -1 1 327.308 -0.628 20 0 EBADMM C[C@H](C(=O)N1CCCc2c(=O)[nH]cnc21)n1cnc(-c2nn[n-]n2)n1 ZINC001573638861 947844800 /nfs/dbraw/zinc/84/48/00/947844800.db2.gz XKNWZJMGZJGJGO-SSDOTTSWSA-N -1 1 342.323 -0.506 20 0 EBADMM C[C@@H](C(=O)N1CCN2C[C@@H](F)C[C@H]2C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573640655 947852769 /nfs/dbraw/zinc/85/27/69/947852769.db2.gz IZKYLTGATJXEJZ-GUBZILKMSA-N -1 1 335.347 -0.726 20 0 EBADMM C[C@H](C(=O)N1CCc2c(=O)[nH]n(C)c2C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573647125 947885176 /nfs/dbraw/zinc/88/51/76/947885176.db2.gz CTCXSXTYPWDRCO-SSDOTTSWSA-N -1 1 344.339 -0.957 20 0 EBADMM C[C@H](C(=O)N1CCc2nc[nH]c(=O)c2C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573647839 947904894 /nfs/dbraw/zinc/90/48/94/947904894.db2.gz UMNCUBOJTHEDPB-SSDOTTSWSA-N -1 1 342.323 -0.900 20 0 EBADMM CC(=O)N(C)CC(=O)N1CC[C@@H](Nc2cncc(-c3nnn[n-]3)n2)C1 ZINC001573392062 947939716 /nfs/dbraw/zinc/93/97/16/947939716.db2.gz QKOWYHQQSRDOIE-SNVBAGLBSA-N -1 1 345.367 -0.852 20 0 EBADMM CC(=O)N(C)CC(=O)N1CC[C@@H](Nc2cncc(-c3nn[n-]n3)n2)C1 ZINC001573392062 947939723 /nfs/dbraw/zinc/93/97/23/947939723.db2.gz QKOWYHQQSRDOIE-SNVBAGLBSA-N -1 1 345.367 -0.852 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)CN(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001573398127 947978218 /nfs/dbraw/zinc/97/82/18/947978218.db2.gz GUIXBDZNZFKRDY-VIFPVBQESA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)CN(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001573398127 947978226 /nfs/dbraw/zinc/97/82/26/947978226.db2.gz GUIXBDZNZFKRDY-VIFPVBQESA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)[C@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001573399593 947993490 /nfs/dbraw/zinc/99/34/90/947993490.db2.gz XGXOAFPNTNLSBV-BDAKNGLRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)[C@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001573399593 947993497 /nfs/dbraw/zinc/99/34/97/947993497.db2.gz XGXOAFPNTNLSBV-BDAKNGLRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@H](C)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001573402807 948004485 /nfs/dbraw/zinc/00/44/85/948004485.db2.gz IUFFSLXGRMCEOK-VIFPVBQESA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@H](C)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001573402807 948004494 /nfs/dbraw/zinc/00/44/94/948004494.db2.gz IUFFSLXGRMCEOK-VIFPVBQESA-N -1 1 347.383 -0.924 20 0 EBADMM C[C@H](C(=O)NC[C@H](CO)Nc1nccnc1-c1nnn[n-]1)C1CC1 ZINC001573678557 948150796 /nfs/dbraw/zinc/15/07/96/948150796.db2.gz FCSLJXDUEVDYLI-WCBMZHEXSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@H](C(=O)NC[C@H](CO)Nc1nccnc1-c1nn[n-]n1)C1CC1 ZINC001573678557 948150803 /nfs/dbraw/zinc/15/08/03/948150803.db2.gz FCSLJXDUEVDYLI-WCBMZHEXSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@@H](C(=O)NC[C@@H](O)CNc1ccc(-c2nnn[n-]2)nn1)C1CC1 ZINC001573692180 948255684 /nfs/dbraw/zinc/25/56/84/948255684.db2.gz MWWIRBZAVNHQEE-SCZZXKLOSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@@H](C(=O)NC[C@@H](O)CNc1ccc(-c2nn[n-]n2)nn1)C1CC1 ZINC001573692180 948255691 /nfs/dbraw/zinc/25/56/91/948255691.db2.gz MWWIRBZAVNHQEE-SCZZXKLOSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@@H](C(=O)NC[C@H](O)CNc1ccc(-c2nnn[n-]2)nn1)C1CC1 ZINC001573692178 948256662 /nfs/dbraw/zinc/25/66/62/948256662.db2.gz MWWIRBZAVNHQEE-PSASIEDQSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@@H](C(=O)NC[C@H](O)CNc1ccc(-c2nn[n-]n2)nn1)C1CC1 ZINC001573692178 948256671 /nfs/dbraw/zinc/25/66/71/948256671.db2.gz MWWIRBZAVNHQEE-PSASIEDQSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@@H](C(=O)NC[C@@H](O)CNc1ccc(-c2nnn[n-]2)nn1)C1CCC1 ZINC001573693874 948289165 /nfs/dbraw/zinc/28/91/65/948289165.db2.gz UVIWTWKMRZTZQP-KOLCDFICSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](C(=O)NC[C@@H](O)CNc1ccc(-c2nn[n-]n2)nn1)C1CCC1 ZINC001573693874 948289175 /nfs/dbraw/zinc/28/91/75/948289175.db2.gz UVIWTWKMRZTZQP-KOLCDFICSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](C(=O)NCCN(C)c1cncc(-c2nnn[n-]2)n1)n1cccn1 ZINC001573717072 948503970 /nfs/dbraw/zinc/50/39/70/948503970.db2.gz BIBQAQQKJKPOKX-JTQLQIEISA-N -1 1 342.367 -0.333 20 0 EBADMM C[C@@H](C(=O)NCCN(C)c1cncc(-c2nn[n-]n2)n1)n1cccn1 ZINC001573717072 948503973 /nfs/dbraw/zinc/50/39/73/948503973.db2.gz BIBQAQQKJKPOKX-JTQLQIEISA-N -1 1 342.367 -0.333 20 0 EBADMM C[C@@H](C(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)n1cccc1 ZINC001573718772 948519593 /nfs/dbraw/zinc/51/95/93/948519593.db2.gz SLJVSJXKPQCZGP-VIFPVBQESA-N -1 1 344.335 -0.692 20 0 EBADMM C[C@H](C(=O)NCc1cnn(CCF)c1)n1cnc(-c2nn[n-]n2)n1 ZINC001573729703 948571942 /nfs/dbraw/zinc/57/19/42/948571942.db2.gz JETDJOUZHJYQEQ-MRVPVSSYSA-N -1 1 334.319 -0.498 20 0 EBADMM C[C@@H](C(=O)NCc1cnn2c1CCCC2)n1cnc(-c2nn[n-]n2)n1 ZINC001573730503 948580887 /nfs/dbraw/zinc/58/08/87/948580887.db2.gz PTADSXQPQWGHAB-VIFPVBQESA-N -1 1 342.367 -0.132 20 0 EBADMM Cn1cc([C@H](CO)NC(=O)Nc2ccnc(-c3nnn[n-]3)c2)cn1 ZINC001570925827 948677247 /nfs/dbraw/zinc/67/72/47/948677247.db2.gz JITBHJWNZQXSLA-NSHDSACASA-N -1 1 329.324 -0.150 20 0 EBADMM Cn1cc([C@H](CO)NC(=O)Nc2ccnc(-c3nn[n-]n3)c2)cn1 ZINC001570925827 948677252 /nfs/dbraw/zinc/67/72/52/948677252.db2.gz JITBHJWNZQXSLA-NSHDSACASA-N -1 1 329.324 -0.150 20 0 EBADMM COC(=O)[C@H](C)CSc1[nH]c(=O)n(C)c(=O)c1-c1nn[n-]n1 ZINC001570926095 948681622 /nfs/dbraw/zinc/68/16/22/948681622.db2.gz VXTYKRBYDTYPRH-RXMQYKEDSA-N -1 1 326.338 -0.845 20 0 EBADMM COC(=O)c1cc(-c2nn[n-]n2)c(=O)n(Cc2cc[nH]c(=O)c2)c1 ZINC001570927275 948706728 /nfs/dbraw/zinc/70/67/28/948706728.db2.gz ORHIRLPKQZTIJN-UHFFFAOYSA-N -1 1 328.288 -0.036 20 0 EBADMM CNC(=O)Cn1nc(Nc2cc(-c3nnn[n-]3)nc(C)n2)cc1C ZINC001570927354 948709912 /nfs/dbraw/zinc/70/99/12/948709912.db2.gz QXWJLMDGYUTGLB-UHFFFAOYSA-N -1 1 328.340 -0.040 20 0 EBADMM CNC(=O)Cn1nc(Nc2cc(-c3nn[n-]n3)nc(C)n2)cc1C ZINC001570927354 948709916 /nfs/dbraw/zinc/70/99/16/948709916.db2.gz QXWJLMDGYUTGLB-UHFFFAOYSA-N -1 1 328.340 -0.040 20 0 EBADMM C[C@H](Cc1cnn(C)c1)NC(=O)[C@@H](C)n1cnc(-c2nn[n-]n2)n1 ZINC001570928664 948739529 /nfs/dbraw/zinc/73/95/29/948739529.db2.gz UDVQSBQMGMFFFI-RKDXNWHRSA-N -1 1 330.356 -0.500 20 0 EBADMM C[C@H](CCNc1cnc(-c2nnn[n-]2)cn1)NC(=O)c1cnon1 ZINC001574679262 948774733 /nfs/dbraw/zinc/77/47/33/948774733.db2.gz RHZXCDNZDLIIDB-SSDOTTSWSA-N -1 1 330.312 -0.340 20 0 EBADMM C[C@H](CCNc1cnc(-c2nn[n-]n2)cn1)NC(=O)c1cnon1 ZINC001574679262 948774742 /nfs/dbraw/zinc/77/47/42/948774742.db2.gz RHZXCDNZDLIIDB-SSDOTTSWSA-N -1 1 330.312 -0.340 20 0 EBADMM C[C@H](CCNc1cnc(-c2nnn[n-]2)cn1)NC(=O)c1ncn(C)n1 ZINC001574679348 948777337 /nfs/dbraw/zinc/77/73/37/948777337.db2.gz TUJNGNZBRJOREA-MRVPVSSYSA-N -1 1 343.355 -0.594 20 0 EBADMM C[C@H](CCNc1cnc(-c2nn[n-]n2)cn1)NC(=O)c1ncn(C)n1 ZINC001574679348 948777347 /nfs/dbraw/zinc/77/73/47/948777347.db2.gz TUJNGNZBRJOREA-MRVPVSSYSA-N -1 1 343.355 -0.594 20 0 EBADMM COCC(=O)N1C[C@H](C)[C@@H](CNc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001570930340 948811120 /nfs/dbraw/zinc/81/11/20/948811120.db2.gz HYCPBAVPOSXSRU-UWVGGRQHSA-N -1 1 332.368 -0.191 20 0 EBADMM COCC(=O)N1C[C@H](C)[C@@H](CNc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001570930340 948811140 /nfs/dbraw/zinc/81/11/40/948811140.db2.gz HYCPBAVPOSXSRU-UWVGGRQHSA-N -1 1 332.368 -0.191 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)c1cnn(C)n1 ZINC001574680826 948811290 /nfs/dbraw/zinc/81/12/90/948811290.db2.gz ILQZZLOWAODTLA-QMMMGPOBSA-N -1 1 343.355 -0.594 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)c1cnn(C)n1 ZINC001574680826 948811308 /nfs/dbraw/zinc/81/13/08/948811308.db2.gz ILQZZLOWAODTLA-QMMMGPOBSA-N -1 1 343.355 -0.594 20 0 EBADMM C[C@H](C(=O)Nc1n[nH]c2c1COCC2)n1cnc(-c2nn[n-]n2)n1 ZINC001573757309 948833934 /nfs/dbraw/zinc/83/39/34/948833934.db2.gz ZRSFTTMVCWHYGP-ZCFIWIBFSA-N -1 1 330.312 -0.546 20 0 EBADMM O=C(NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)OC1CCCC1 ZINC001570933255 948881407 /nfs/dbraw/zinc/88/14/07/948881407.db2.gz KTNIQSHHNRNCMR-UHFFFAOYSA-N -1 1 335.324 -0.202 20 0 EBADMM CC[C@@H](O)[C@H](C)C(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC001570933727 948907565 /nfs/dbraw/zinc/90/75/65/948907565.db2.gz DDHCWZOIDCDKAT-WCBMZHEXSA-N -1 1 336.400 -0.030 20 0 EBADMM CC[C@@H](O)[C@H](C)C(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC001570933727 948907575 /nfs/dbraw/zinc/90/75/75/948907575.db2.gz DDHCWZOIDCDKAT-WCBMZHEXSA-N -1 1 336.400 -0.030 20 0 EBADMM CC[C@]1(O)CCCN(C(=O)NCCn2cnc(-c3nn[n-]n3)n2)C1 ZINC001570933867 948914605 /nfs/dbraw/zinc/91/46/05/948914605.db2.gz VHSSPFYQHNUNJJ-ZDUSSCGKSA-N -1 1 335.372 -0.595 20 0 EBADMM C[C@@H](C(=O)N(C)C1CCS(=O)CC1)n1cnc(-c2nn[n-]n2)n1 ZINC001570934611 948945679 /nfs/dbraw/zinc/94/56/79/948945679.db2.gz GRSMVSIADQHQJQ-JRKGCYATSA-N -1 1 338.397 -0.611 20 0 EBADMM CO[C@@H]1CN(C(=O)[C@H](C)n2cnc(-c3nn[n-]n3)n2)[C@](C)(CO)C1 ZINC001570934631 948945838 /nfs/dbraw/zinc/94/58/38/948945838.db2.gz LXVHGMRKURDLIQ-RVBZMBCESA-N -1 1 336.356 -0.983 20 0 EBADMM C[C@H](CNC(=O)CCn1ccnc1)Nc1nccnc1-c1nnn[n-]1 ZINC001574923434 948948349 /nfs/dbraw/zinc/94/83/49/948948349.db2.gz MIVRHFXNXZAJJJ-SNVBAGLBSA-N -1 1 342.367 -0.140 20 0 EBADMM C[C@H](CNC(=O)CCn1ccnc1)Nc1nccnc1-c1nn[n-]n1 ZINC001574923434 948948370 /nfs/dbraw/zinc/94/83/70/948948370.db2.gz MIVRHFXNXZAJJJ-SNVBAGLBSA-N -1 1 342.367 -0.140 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)N1CCO[C@H]([C@@H](C)O)C1 ZINC001570935105 948964867 /nfs/dbraw/zinc/96/48/67/948964867.db2.gz PXMRDOWTQSOLAC-SCZZXKLOSA-N -1 1 334.336 -0.502 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CCCC12OCCO2)n1cnc(-c2nn[n-]n2)n1 ZINC001570935063 948966740 /nfs/dbraw/zinc/96/67/40/948966740.db2.gz POFDCXMZEQEDRM-DTWKUNHWSA-N -1 1 334.340 -0.569 20 0 EBADMM CC(C)c1nnc(CNc2[nH]c(=O)n(C)c(=O)c2-c2nn[n-]n2)n1C ZINC001574434298 948979730 /nfs/dbraw/zinc/97/97/30/948979730.db2.gz HCKQSEIFQMTBNY-UHFFFAOYSA-N -1 1 346.355 -0.470 20 0 EBADMM COC(C)(C)CC(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570935556 948987964 /nfs/dbraw/zinc/98/79/64/948987964.db2.gz RQEZFYITDMSFEU-UHFFFAOYSA-N -1 1 337.340 -0.940 20 0 EBADMM CC(C)(C(=O)NC[C@@H](O)CNc1cncc(-c2nnn[n-]2)n1)C1CC1 ZINC001573773722 948993412 /nfs/dbraw/zinc/99/34/12/948993412.db2.gz FTJJLVHOXDKSRK-JTQLQIEISA-N -1 1 346.395 -0.018 20 0 EBADMM CC(C)(C(=O)NC[C@@H](O)CNc1cncc(-c2nn[n-]n2)n1)C1CC1 ZINC001573773722 948993419 /nfs/dbraw/zinc/99/34/19/948993419.db2.gz FTJJLVHOXDKSRK-JTQLQIEISA-N -1 1 346.395 -0.018 20 0 EBADMM Cn1ccc(C(=O)N2CCN(c3cncc(-c4nnn[n-]4)n3)CC2)c1 ZINC001570936218 949020092 /nfs/dbraw/zinc/02/00/92/949020092.db2.gz ICYRVUNQEQPECK-UHFFFAOYSA-N -1 1 339.363 -0.042 20 0 EBADMM Cn1ccc(C(=O)N2CCN(c3cncc(-c4nn[n-]n4)n3)CC2)c1 ZINC001570936218 949020111 /nfs/dbraw/zinc/02/01/11/949020111.db2.gz ICYRVUNQEQPECK-UHFFFAOYSA-N -1 1 339.363 -0.042 20 0 EBADMM C[C@@H](C(=O)N1CC[C@@H](c2ncccn2)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001570937529 949077372 /nfs/dbraw/zinc/07/73/72/949077372.db2.gz FQTKSKFROKGWAG-VHSXEESVSA-N -1 1 340.351 -0.175 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@@H](Nc3ccnc(-c4nn[n-]n4)n3)C2)c1 ZINC001570938401 949105678 /nfs/dbraw/zinc/10/56/78/949105678.db2.gz RZMBNZKIQJBXHF-SECBINFHSA-N -1 1 340.351 -0.861 20 0 EBADMM C[C@H]1CN(C(=O)c2cn[nH]n2)C[C@H]1Nc1cncc(-c2nnn[n-]2)n1 ZINC001570939107 949127768 /nfs/dbraw/zinc/12/77/68/949127768.db2.gz AXQWPQFPRDCENP-OIBJUYFYSA-N -1 1 341.339 -0.653 20 0 EBADMM C[C@H]1CN(C(=O)c2cn[nH]n2)C[C@H]1Nc1cncc(-c2nn[n-]n2)n1 ZINC001570939107 949127776 /nfs/dbraw/zinc/12/77/76/949127776.db2.gz AXQWPQFPRDCENP-OIBJUYFYSA-N -1 1 341.339 -0.653 20 0 EBADMM O=C(NCC1CC(Nc2cncc(-c3nnn[n-]3)n2)C1)c1cnon1 ZINC001570939233 949130057 /nfs/dbraw/zinc/13/00/57/949130057.db2.gz LRJXEVQXLBYFAC-UHFFFAOYSA-N -1 1 342.323 -0.340 20 0 EBADMM O=C(NCC1CC(Nc2cncc(-c3nn[n-]n3)n2)C1)c1cnon1 ZINC001570939233 949130072 /nfs/dbraw/zinc/13/00/72/949130072.db2.gz LRJXEVQXLBYFAC-UHFFFAOYSA-N -1 1 342.323 -0.340 20 0 EBADMM C[C@H](CCNc1snc(Cl)c1-c1nnn[n-]1)NC(=O)C(N)=O ZINC001574696607 949133951 /nfs/dbraw/zinc/13/39/51/949133951.db2.gz SAYSVVNPLRZJSC-SCSAIBSYSA-N -1 1 344.788 -0.231 20 0 EBADMM C[C@H](CCNc1snc(Cl)c1-c1nn[n-]n1)NC(=O)C(N)=O ZINC001574696607 949133964 /nfs/dbraw/zinc/13/39/64/949133964.db2.gz SAYSVVNPLRZJSC-SCSAIBSYSA-N -1 1 344.788 -0.231 20 0 EBADMM C[C@@H](NC(=O)[C@H](C)n1cnc(-c2nn[n-]n2)n1)c1nnc2n1CCC2 ZINC001575252352 949163428 /nfs/dbraw/zinc/16/34/28/949163428.db2.gz QDQHMQVLIFKMGI-SFYZADRCSA-N -1 1 343.355 -0.566 20 0 EBADMM Cc1c(-c2nn[n-]n2)c(=O)n(Cc2ccc(C(N)=O)cc2)c(=O)n1C ZINC001570941816 949248550 /nfs/dbraw/zinc/24/85/50/949248550.db2.gz GIMSFOPWIGHJEM-UHFFFAOYSA-N -1 1 341.331 -0.817 20 0 EBADMM O=C(N[C@@H]1C(=O)NCC12CCOCC2)c1ccc(-c2nnn[n-]2)nc1 ZINC001570942077 949261104 /nfs/dbraw/zinc/26/11/04/949261104.db2.gz DWXOEWOBDWKMSE-LLVKDONJSA-N -1 1 343.347 -0.713 20 0 EBADMM O=C(N[C@@H]1C(=O)NCC12CCOCC2)c1ccc(-c2nn[n-]n2)nc1 ZINC001570942077 949261122 /nfs/dbraw/zinc/26/11/22/949261122.db2.gz DWXOEWOBDWKMSE-LLVKDONJSA-N -1 1 343.347 -0.713 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@]1(C)CCOC1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574702115 949278946 /nfs/dbraw/zinc/27/89/46/949278946.db2.gz HBNWWXSGLVAZAF-BONVTDFDSA-N -1 1 346.395 -0.236 20 0 EBADMM C[C@@H](C(=O)NCc1nnc(C2CC2)n1C)n1cnc(-c2nn[n-]n2)n1 ZINC001570942400 949284419 /nfs/dbraw/zinc/28/44/19/949284419.db2.gz KWNUFELIKLFVOY-ZETCQYMHSA-N -1 1 343.355 -0.659 20 0 EBADMM CN([C@@]1(CO)CCOC1)S(=O)(=O)c1cccnc1-c1nn[n-]n1 ZINC001570942709 949305070 /nfs/dbraw/zinc/30/50/70/949305070.db2.gz UNRFKUTYORXIOF-GFCCVEGCSA-N -1 1 340.365 -0.966 20 0 EBADMM CC1(C(=O)N(CCO)CCNc2ccnc(-c3nn[n-]n3)n2)CCC1 ZINC001575525741 949354402 /nfs/dbraw/zinc/35/44/02/949354402.db2.gz QIMRNMXXGJUWSY-UHFFFAOYSA-N -1 1 346.395 -0.498 20 0 EBADMM C[C@@H]1[C@H](CO)CCCN1C(=O)NCCn1cnc(-c2nn[n-]n2)n1 ZINC001575865428 949373448 /nfs/dbraw/zinc/37/34/48/949373448.db2.gz ALCXIDOCFPHTOX-ZJUUUORDSA-N -1 1 335.372 -0.739 20 0 EBADMM C[C@H](CNC(=O)Cc1ccon1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574943305 949377985 /nfs/dbraw/zinc/37/79/85/949377985.db2.gz VWCZNTSQDGQIAU-MRVPVSSYSA-N -1 1 329.324 -0.196 20 0 EBADMM C[C@H](CNC(=O)Cc1ccon1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574943305 949378004 /nfs/dbraw/zinc/37/80/04/949378004.db2.gz VWCZNTSQDGQIAU-MRVPVSSYSA-N -1 1 329.324 -0.196 20 0 EBADMM CC(C)(C)C(=O)NC[C@@]1(O)CCN(c2ccc(-c3nnn[n-]3)nn2)C1 ZINC001573801793 949403787 /nfs/dbraw/zinc/40/37/87/949403787.db2.gz CVQJQSRRQFSEQP-HNNXBMFYSA-N -1 1 346.395 -0.240 20 0 EBADMM CC(C)(C)C(=O)NC[C@@]1(O)CCN(c2ccc(-c3nn[n-]n3)nn2)C1 ZINC001573801793 949403801 /nfs/dbraw/zinc/40/38/01/949403801.db2.gz CVQJQSRRQFSEQP-HNNXBMFYSA-N -1 1 346.395 -0.240 20 0 EBADMM C[C@@H](CN(C)C(=O)C1=COCCO1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574705215 949416677 /nfs/dbraw/zinc/41/66/77/949416677.db2.gz SEXBJYHTFRBTHK-VIFPVBQESA-N -1 1 346.351 -0.196 20 0 EBADMM C[C@@H](CN(C)C(=O)C1=COCCO1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574705215 949416692 /nfs/dbraw/zinc/41/66/92/949416692.db2.gz SEXBJYHTFRBTHK-VIFPVBQESA-N -1 1 346.351 -0.196 20 0 EBADMM C[C@H](CNC(=O)Cc1cnoc1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001574945957 949423030 /nfs/dbraw/zinc/42/30/30/949423030.db2.gz QHDKUNHCZPDVLZ-SECBINFHSA-N -1 1 343.351 -0.172 20 0 EBADMM C[C@H](CNC(=O)Cc1cnoc1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001574945957 949423041 /nfs/dbraw/zinc/42/30/41/949423041.db2.gz QHDKUNHCZPDVLZ-SECBINFHSA-N -1 1 343.351 -0.172 20 0 EBADMM C[C@@H](CNC(=O)Cc1ncc[nH]1)Nc1nccnc1-c1nnn[n-]1 ZINC001574946016 949430661 /nfs/dbraw/zinc/43/06/61/949430661.db2.gz RUURAPRKJJXQQX-QMMMGPOBSA-N -1 1 328.340 -0.461 20 0 EBADMM C[C@@H](CNC(=O)Cc1ncc[nH]1)Nc1nccnc1-c1nn[n-]n1 ZINC001574946016 949430683 /nfs/dbraw/zinc/43/06/83/949430683.db2.gz RUURAPRKJJXQQX-QMMMGPOBSA-N -1 1 328.340 -0.461 20 0 EBADMM C[C@@H](CNC(=O)Cc1cncn1C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574946590 949433978 /nfs/dbraw/zinc/43/39/78/949433978.db2.gz WDJSXUDPKWYJQM-VIFPVBQESA-N -1 1 342.367 -0.451 20 0 EBADMM C[C@@H](CNC(=O)Cc1cncn1C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574946590 949433994 /nfs/dbraw/zinc/43/39/94/949433994.db2.gz WDJSXUDPKWYJQM-VIFPVBQESA-N -1 1 342.367 -0.451 20 0 EBADMM C[C@@H](CNC(=O)Cn1cccn1)CNc1nccnc1-c1nnn[n-]1 ZINC001574949300 949485212 /nfs/dbraw/zinc/48/52/12/949485212.db2.gz SOZLCWSVSANSOI-JTQLQIEISA-N -1 1 342.367 -0.282 20 0 EBADMM C[C@@H](CNC(=O)Cn1cccn1)CNc1nccnc1-c1nn[n-]n1 ZINC001574949300 949485228 /nfs/dbraw/zinc/48/52/28/949485228.db2.gz SOZLCWSVSANSOI-JTQLQIEISA-N -1 1 342.367 -0.282 20 0 EBADMM C[C@@H](CNC(=O)Cn1ccnc1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574949459 949495811 /nfs/dbraw/zinc/49/58/11/949495811.db2.gz XCYATTLPTWYDIF-VIFPVBQESA-N -1 1 328.340 -0.530 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)NC[C@@H](O)c1cnn(C)c1 ZINC001570945864 949510101 /nfs/dbraw/zinc/51/01/01/949510101.db2.gz JVXYPILOEGLWEP-SNVBAGLBSA-N -1 1 344.335 -0.527 20 0 EBADMM C[C@H]1[C@@H](NC(=O)CC(N)=O)CCCN1c1ccnc(-c2nn[n-]n2)n1 ZINC001575871980 949529567 /nfs/dbraw/zinc/52/95/67/949529567.db2.gz DKXRHGXVTWLSHP-IUCAKERBSA-N -1 1 345.367 -0.994 20 0 EBADMM CC[C@@H](C)C(=O)N1CCO[C@H](CNc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570946831 949546164 /nfs/dbraw/zinc/54/61/64/949546164.db2.gz RACWCNSBPMLWCP-GHMZBOCLSA-N -1 1 346.395 -0.236 20 0 EBADMM COCC(=O)N1CC[C@]2(CCN(c3cncc(-c4nnn[n-]4)n3)C2)C1 ZINC001570947342 949565138 /nfs/dbraw/zinc/56/51/38/949565138.db2.gz XTOIKDMTZLGMHM-HNNXBMFYSA-N -1 1 344.379 -0.268 20 0 EBADMM COCC(=O)N1CC[C@]2(CCN(c3cncc(-c4nn[n-]n4)n3)C2)C1 ZINC001570947342 949565161 /nfs/dbraw/zinc/56/51/61/949565161.db2.gz XTOIKDMTZLGMHM-HNNXBMFYSA-N -1 1 344.379 -0.268 20 0 EBADMM C[C@H](CNC(=O)[C@@H]1CCC(=O)N1C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574955691 949566084 /nfs/dbraw/zinc/56/60/84/949566084.db2.gz CQGMREYZPBKWJB-SCZZXKLOSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](CNC(=O)[C@@H]1CCC(=O)N1C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574955691 949566110 /nfs/dbraw/zinc/56/61/10/949566110.db2.gz CQGMREYZPBKWJB-SCZZXKLOSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@H](CNC(=O)[C@@H]1CCC(=O)N1C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574955696 949568205 /nfs/dbraw/zinc/56/82/05/949568205.db2.gz CQGMREYZPBKWJB-WPRPVWTQSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@H](CNC(=O)[C@@H]1CCC(=O)N1C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574955696 949568218 /nfs/dbraw/zinc/56/82/18/949568218.db2.gz CQGMREYZPBKWJB-WPRPVWTQSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(C)CC(=O)N[C@@H]1CN(c2ccnc(-c3nn[n-]n3)n2)C[C@@H]1O ZINC001574124688 949575354 /nfs/dbraw/zinc/57/53/54/949575354.db2.gz JRNLCDHFNQRYHC-ZJUUUORDSA-N -1 1 332.368 -0.632 20 0 EBADMM CC(C)CC(=O)N[C@@H]1CN(c2cnc(-c3nnn[n-]3)cn2)C[C@@H]1O ZINC001574124740 949582474 /nfs/dbraw/zinc/58/24/74/949582474.db2.gz LRNOTSGMSUJEIE-MNOVXSKESA-N -1 1 332.368 -0.632 20 0 EBADMM CC(C)CC(=O)N[C@@H]1CN(c2cnc(-c3nn[n-]n3)cn2)C[C@@H]1O ZINC001574124740 949582498 /nfs/dbraw/zinc/58/24/98/949582498.db2.gz LRNOTSGMSUJEIE-MNOVXSKESA-N -1 1 332.368 -0.632 20 0 EBADMM Cc1cc(C(=O)NCCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)ns1 ZINC001570948084 949591654 /nfs/dbraw/zinc/59/16/54/949591654.db2.gz BRVFIOSRLCGPHU-UHFFFAOYSA-N -1 1 348.348 -0.676 20 0 EBADMM COCC(=O)N1CCCO[C@@H](CNc2nccnc2-c2nnn[n-]2)C1 ZINC001570948123 949598853 /nfs/dbraw/zinc/59/88/53/949598853.db2.gz CZOYSNATHMVOOQ-JTQLQIEISA-N -1 1 348.367 -0.668 20 0 EBADMM COCC(=O)N1CCCO[C@@H](CNc2nccnc2-c2nn[n-]n2)C1 ZINC001570948123 949598871 /nfs/dbraw/zinc/59/88/71/949598871.db2.gz CZOYSNATHMVOOQ-JTQLQIEISA-N -1 1 348.367 -0.668 20 0 EBADMM CC(C)CC(=O)N[C@@H]1CN(c2ccc(-c3nnn[n-]3)nn2)C[C@@H]1O ZINC001574126043 949601736 /nfs/dbraw/zinc/60/17/36/949601736.db2.gz XGQNJNULELDLLT-MNOVXSKESA-N -1 1 332.368 -0.632 20 0 EBADMM CC(C)CC(=O)N[C@@H]1CN(c2ccc(-c3nn[n-]n3)nn2)C[C@@H]1O ZINC001574126043 949601750 /nfs/dbraw/zinc/60/17/50/949601750.db2.gz XGQNJNULELDLLT-MNOVXSKESA-N -1 1 332.368 -0.632 20 0 EBADMM C[C@@H](C(=O)NC1(CC(N)=O)CCCCC1)n1cnc(-c2nn[n-]n2)n1 ZINC001570948331 949603271 /nfs/dbraw/zinc/60/32/71/949603271.db2.gz KSNFWSQOCSDGGR-VIFPVBQESA-N -1 1 347.383 -0.286 20 0 EBADMM CCO[C@H]1C[C@@H]1C(=O)Nc1[nH]nc(N2CCOCC2)c1-c1nnn[n-]1 ZINC001570948365 949606923 /nfs/dbraw/zinc/60/69/23/949606923.db2.gz FDNHQIAFTLUBSJ-IUCAKERBSA-N -1 1 348.367 -0.210 20 0 EBADMM CCO[C@H]1C[C@@H]1C(=O)Nc1[nH]nc(N2CCOCC2)c1-c1nn[n-]n1 ZINC001570948365 949606934 /nfs/dbraw/zinc/60/69/34/949606934.db2.gz FDNHQIAFTLUBSJ-IUCAKERBSA-N -1 1 348.367 -0.210 20 0 EBADMM C[C@@H](Nc1cncc(-c2nnn[n-]2)n1)[C@H](C)NC(=O)CCCC(N)=O ZINC001575271265 949614244 /nfs/dbraw/zinc/61/42/44/949614244.db2.gz JBYFOHYRMKJEJR-BDAKNGLRSA-N -1 1 347.383 -0.383 20 0 EBADMM C[C@@H](Nc1cncc(-c2nn[n-]n2)n1)[C@H](C)NC(=O)CCCC(N)=O ZINC001575271265 949614251 /nfs/dbraw/zinc/61/42/51/949614251.db2.gz JBYFOHYRMKJEJR-BDAKNGLRSA-N -1 1 347.383 -0.383 20 0 EBADMM CC(=O)[C@@H]1CCCCN1C(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570948888 949626882 /nfs/dbraw/zinc/62/68/82/949626882.db2.gz QTQKLVJVKKMGCT-JTQLQIEISA-N -1 1 347.335 -0.901 20 0 EBADMM CN(C)c1n[nH]c(CNC(=O)NCc2nc(-c3nnn[n-]3)cs2)n1 ZINC001570950144 949669519 /nfs/dbraw/zinc/66/95/19/949669519.db2.gz DTOBWFVACJGKKL-UHFFFAOYSA-N -1 1 349.384 -0.493 20 0 EBADMM CN(C)c1n[nH]c(CNC(=O)NCc2nc(-c3nn[n-]n3)cs2)n1 ZINC001570950144 949669530 /nfs/dbraw/zinc/66/95/30/949669530.db2.gz DTOBWFVACJGKKL-UHFFFAOYSA-N -1 1 349.384 -0.493 20 0 EBADMM COc1ncc(NC(=O)N[C@]2(CCO)CCOC2)cc1-c1nn[n-]n1 ZINC001570950775 949701911 /nfs/dbraw/zinc/70/19/11/949701911.db2.gz UGTOQEOLKIGXPG-CQSZACIVSA-N -1 1 349.351 -0.067 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001574959180 949707735 /nfs/dbraw/zinc/70/77/35/949707735.db2.gz ZWMXQAWIEITCNQ-QISWUMQESA-N -1 1 344.379 -0.510 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001574959180 949707747 /nfs/dbraw/zinc/70/77/47/949707747.db2.gz ZWMXQAWIEITCNQ-QISWUMQESA-N -1 1 344.379 -0.510 20 0 EBADMM CC(C)[C@@H](O)C(=O)NC[C@H](C)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001574964067 949767017 /nfs/dbraw/zinc/76/70/17/949767017.db2.gz PIHZXFUSDKNLIU-MWLCHTKSSA-N -1 1 334.384 -0.740 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CCCC(=O)N1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574964191 949772143 /nfs/dbraw/zinc/77/21/43/949772143.db2.gz RPTRRQDWJDBYKL-RKDXNWHRSA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CCCC(=O)N1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574964191 949772153 /nfs/dbraw/zinc/77/21/53/949772153.db2.gz RPTRRQDWJDBYKL-RKDXNWHRSA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@H](NC(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)C(F)(F)F ZINC001575279210 949773760 /nfs/dbraw/zinc/77/37/60/949773760.db2.gz XCMDKKOLPGVZOF-YFKPBYRVSA-N -1 1 347.257 -0.414 20 0 EBADMM C[C@H](CNC(=O)[C@]1(C)CCC(=O)N1)Nc1nccnc1-c1nnn[n-]1 ZINC001574965185 949792041 /nfs/dbraw/zinc/79/20/41/949792041.db2.gz CPWJGEVGUHSVJB-CLAHSXSESA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@H](CNC(=O)[C@]1(C)CCC(=O)N1)Nc1nccnc1-c1nn[n-]n1 ZINC001574965185 949792056 /nfs/dbraw/zinc/79/20/56/949792056.db2.gz CPWJGEVGUHSVJB-CLAHSXSESA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@@H](Nc1ccnc(-c2nn[n-]n2)n1)[C@H](C)NC(=O)COCC1CC1 ZINC001575281225 949800306 /nfs/dbraw/zinc/80/03/06/949800306.db2.gz DWRFKEFNVKSHPO-ZJUUUORDSA-N -1 1 346.395 -0.190 20 0 EBADMM C[C@H](CNC(=O)[C@]1(C)CCC(=O)N1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574965928 949829803 /nfs/dbraw/zinc/82/98/03/949829803.db2.gz OWNPKMSIQKDOIZ-CLAHSXSESA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@H](CNC(=O)[C@]1(C)CCC(=O)N1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574965928 949829825 /nfs/dbraw/zinc/82/98/25/949829825.db2.gz OWNPKMSIQKDOIZ-CLAHSXSESA-N -1 1 345.367 -0.758 20 0 EBADMM CC1(C(=O)NC[C@@H](CO)Nc2ccnc(-c3nn[n-]n3)n2)CCCC1 ZINC001575573550 949998692 /nfs/dbraw/zinc/99/86/92/949998692.db2.gz MXZTUGYCYHXQLI-JTQLQIEISA-N -1 1 346.395 -0.452 20 0 EBADMM CC1(C(=O)NC[C@H](O)CNc2nccnc2-c2nnn[n-]2)CCC1 ZINC001575575064 950042741 /nfs/dbraw/zinc/04/27/41/950042741.db2.gz DBGHHXSDZVYBML-SECBINFHSA-N -1 1 332.368 -0.264 20 0 EBADMM CC1(C(=O)NC[C@H](O)CNc2nccnc2-c2nn[n-]n2)CCC1 ZINC001575575064 950042761 /nfs/dbraw/zinc/04/27/61/950042761.db2.gz DBGHHXSDZVYBML-SECBINFHSA-N -1 1 332.368 -0.264 20 0 EBADMM CC1(C(=O)NC[C@@H](O)CNc2cnc(-c3nnn[n-]3)cn2)CCC1 ZINC001575575585 950054642 /nfs/dbraw/zinc/05/46/42/950054642.db2.gz KPAYDJYWCRKRIS-VIFPVBQESA-N -1 1 332.368 -0.264 20 0 EBADMM CC1(C(=O)NC[C@@H](O)CNc2cnc(-c3nn[n-]n3)cn2)CCC1 ZINC001575575585 950054662 /nfs/dbraw/zinc/05/46/62/950054662.db2.gz KPAYDJYWCRKRIS-VIFPVBQESA-N -1 1 332.368 -0.264 20 0 EBADMM C[C@@H](CN(C)C(=O)Cc1ncc[nH]1)Nc1nccnc1-c1nnn[n-]1 ZINC001574732489 950067842 /nfs/dbraw/zinc/06/78/42/950067842.db2.gz JBOXGPQMGPWUDI-VIFPVBQESA-N -1 1 342.367 -0.119 20 0 EBADMM C[C@@H](CN(C)C(=O)Cc1ncc[nH]1)Nc1nccnc1-c1nn[n-]n1 ZINC001574732489 950067854 /nfs/dbraw/zinc/06/78/54/950067854.db2.gz JBOXGPQMGPWUDI-VIFPVBQESA-N -1 1 342.367 -0.119 20 0 EBADMM C[C@@H](CN(C)C(=O)Cc1ncc[nH]1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574732987 950088130 /nfs/dbraw/zinc/08/81/30/950088130.db2.gz OQTZBPOCYCVISS-VIFPVBQESA-N -1 1 342.367 -0.119 20 0 EBADMM C[C@@H](CN(C)C(=O)Cc1ncc[nH]1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574732987 950088147 /nfs/dbraw/zinc/08/81/47/950088147.db2.gz OQTZBPOCYCVISS-VIFPVBQESA-N -1 1 342.367 -0.119 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@]12C[C@H]1COC2)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574733400 950109270 /nfs/dbraw/zinc/10/92/70/950109270.db2.gz ABPXOMYWQOTQAP-YHAQOWFVSA-N -1 1 344.379 -0.048 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@]12C[C@H]1COC2)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574733400 950109282 /nfs/dbraw/zinc/10/92/82/950109282.db2.gz ABPXOMYWQOTQAP-YHAQOWFVSA-N -1 1 344.379 -0.048 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574733446 950116293 /nfs/dbraw/zinc/11/62/93/950116293.db2.gz BKTNYDNPCHXSGD-QISWUMQESA-N -1 1 344.379 -0.192 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574733446 950116333 /nfs/dbraw/zinc/11/63/33/950116333.db2.gz BKTNYDNPCHXSGD-QISWUMQESA-N -1 1 344.379 -0.192 20 0 EBADMM CC1(C(=O)NC[C@]2(O)CCN(c3cnc(-c4nnn[n-]4)cn3)C2)CC1 ZINC001575582639 950126114 /nfs/dbraw/zinc/12/61/14/950126114.db2.gz LRBCPNHKPHVYET-OAHLLOKOSA-N -1 1 344.379 -0.486 20 0 EBADMM CC1(C(=O)NC[C@]2(O)CCN(c3cnc(-c4nn[n-]n4)cn3)C2)CC1 ZINC001575582639 950126125 /nfs/dbraw/zinc/12/61/25/950126125.db2.gz LRBCPNHKPHVYET-OAHLLOKOSA-N -1 1 344.379 -0.486 20 0 EBADMM CC(C)[C@@H](O)C(=O)N(C)C[C@H](C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574734442 950138212 /nfs/dbraw/zinc/13/82/12/950138212.db2.gz MSLSIPABUNMOPP-JOYOIKCWSA-N -1 1 334.384 -0.068 20 0 EBADMM CC(C)[C@@H](O)C(=O)N(C)C[C@H](C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574734442 950138226 /nfs/dbraw/zinc/13/82/26/950138226.db2.gz MSLSIPABUNMOPP-JOYOIKCWSA-N -1 1 334.384 -0.068 20 0 EBADMM CC(C)[C@@H](O)C(=O)N(C)C[C@@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574734951 950154520 /nfs/dbraw/zinc/15/45/20/950154520.db2.gz VSUSXGQYXKDUJW-BXKDBHETSA-N -1 1 334.384 -0.068 20 0 EBADMM CC(C)[C@@H](O)C(=O)N(C)C[C@@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574734951 950154529 /nfs/dbraw/zinc/15/45/29/950154529.db2.gz VSUSXGQYXKDUJW-BXKDBHETSA-N -1 1 334.384 -0.068 20 0 EBADMM CC(C)(C)CC[C@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)C(N)=O ZINC001573842916 950161941 /nfs/dbraw/zinc/16/19/41/950161941.db2.gz NCRQZVLCQBRQNM-QMMMGPOBSA-N -1 1 335.372 -0.745 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1C[C@H]1C(N)=O)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574740735 950229358 /nfs/dbraw/zinc/22/93/58/950229358.db2.gz FSAHZUMOWDMJCP-HLTSFMKQSA-N -1 1 345.367 -0.963 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1C[C@H]1C(N)=O)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574740735 950229379 /nfs/dbraw/zinc/22/93/79/950229379.db2.gz FSAHZUMOWDMJCP-HLTSFMKQSA-N -1 1 345.367 -0.963 20 0 EBADMM CC1(C(=O)N[C@@H]2CN(c3ccc(-c4nnn[n-]4)nn3)C[C@@H]2O)CC1 ZINC001575604978 950450381 /nfs/dbraw/zinc/45/03/81/950450381.db2.gz JCPZPSXUQBFZDG-ZJUUUORDSA-N -1 1 330.352 -0.878 20 0 EBADMM CC1(C(=O)N[C@@H]2CN(c3ccc(-c4nn[n-]n4)nn3)C[C@@H]2O)CC1 ZINC001575604978 950450391 /nfs/dbraw/zinc/45/03/91/950450391.db2.gz JCPZPSXUQBFZDG-ZJUUUORDSA-N -1 1 330.352 -0.878 20 0 EBADMM CC(C)C[C@@]1(C(=O)NCCn2cnc(-c3nn[n-]n3)n2)CCNC1=O ZINC001574166604 950473369 /nfs/dbraw/zinc/47/33/69/950473369.db2.gz UBIGJCFWHJCMTK-AWEZNQCLSA-N -1 1 347.383 -0.873 20 0 EBADMM C[C@]1(C(=O)N[C@H]2C[C@@H](Nc3ccnc(-c4nn[n-]n4)n3)C2)CCOC1 ZINC001575607096 950504803 /nfs/dbraw/zinc/50/48/03/950504803.db2.gz HFTZABKTWQARIA-WMFXKJRFSA-N -1 1 344.379 -0.436 20 0 EBADMM CC(C)(C)OCC(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001573869715 950575148 /nfs/dbraw/zinc/57/51/48/950575148.db2.gz BNXSTPUKLSMIAL-UHFFFAOYSA-N -1 1 337.340 -0.940 20 0 EBADMM CCN1CC[C@H]1CNC(=O)N[C@@H]1CCCCN(CC(=O)[O-])C1=O ZINC001589741140 950587278 /nfs/dbraw/zinc/58/72/78/950587278.db2.gz BYKFZZCDJNBKPQ-NWDGAFQWSA-N -1 1 326.397 -0.155 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cn[nH]c1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574760813 950682036 /nfs/dbraw/zinc/68/20/36/950682036.db2.gz LWAXVAPCPCPCJR-QMMMGPOBSA-N -1 1 328.340 -0.048 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cn[nH]c1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574760813 950682050 /nfs/dbraw/zinc/68/20/50/950682050.db2.gz LWAXVAPCPCPCJR-QMMMGPOBSA-N -1 1 328.340 -0.048 20 0 EBADMM C[C@@H](CNC(=O)c1ccn[nH]1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575005642 950694844 /nfs/dbraw/zinc/69/48/44/950694844.db2.gz JUIJWERSQRMYGM-MRVPVSSYSA-N -1 1 328.340 -0.142 20 0 EBADMM C[C@@H](CNC(=O)c1ccn[nH]1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575005642 950694860 /nfs/dbraw/zinc/69/48/60/950694860.db2.gz JUIJWERSQRMYGM-MRVPVSSYSA-N -1 1 328.340 -0.142 20 0 EBADMM C[C@@H](CNC(=O)c1ccn[nH]1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575006562 950720908 /nfs/dbraw/zinc/72/09/08/950720908.db2.gz VTUYJXCMEKWAAX-QMMMGPOBSA-N -1 1 328.340 -0.366 20 0 EBADMM C[C@H](CNC(=O)c1ccn[nH]1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575006875 950725113 /nfs/dbraw/zinc/72/51/13/950725113.db2.gz XLHZGACSNFBJGT-MRVPVSSYSA-N -1 1 328.340 -0.366 20 0 EBADMM C[C@H](CNC(=O)c1ccn[nH]1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575006875 950725122 /nfs/dbraw/zinc/72/51/22/950725122.db2.gz XLHZGACSNFBJGT-MRVPVSSYSA-N -1 1 328.340 -0.366 20 0 EBADMM C[C@@H](CN(C)C(=O)c1nc[nH]n1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574765043 950774032 /nfs/dbraw/zinc/77/40/32/950774032.db2.gz CIQUDTIBOVAFEM-ZETCQYMHSA-N -1 1 329.328 -0.653 20 0 EBADMM CCOC(=O)N1C[C@@H](CO)[C@@H](NCc2cc(C(=O)[O-])nn2C)C1 ZINC001590873386 951005379 /nfs/dbraw/zinc/00/53/79/951005379.db2.gz KUMUBAKGDYFBQV-CABZTGNLSA-N -1 1 326.353 -0.343 20 0 EBADMM C[C@H](CNC(=O)c1cccc(=O)[nH]1)Nc1nccnc1-c1nnn[n-]1 ZINC001575027071 951152705 /nfs/dbraw/zinc/15/27/05/951152705.db2.gz PGIOXWFYZCUISS-MRVPVSSYSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@H](CNC(=O)c1cccc(=O)[nH]1)Nc1nccnc1-c1nn[n-]n1 ZINC001575027071 951152711 /nfs/dbraw/zinc/15/27/11/951152711.db2.gz PGIOXWFYZCUISS-MRVPVSSYSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@@H](CNC(=O)c1cccnn1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575032480 951224554 /nfs/dbraw/zinc/22/45/54/951224554.db2.gz YSKHFRKQKCNZBO-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@@H](CNC(=O)c1cccnn1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575032480 951224577 /nfs/dbraw/zinc/22/45/77/951224577.db2.gz YSKHFRKQKCNZBO-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1ccnn1C)CNc1nccnc1-c1nnn[n-]1 ZINC001575034607 951251564 /nfs/dbraw/zinc/25/15/64/951251564.db2.gz OMMBNOWUGYSKAG-VIFPVBQESA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@H](CNC(=O)c1ccnn1C)CNc1nccnc1-c1nn[n-]n1 ZINC001575034607 951251576 /nfs/dbraw/zinc/25/15/76/951251576.db2.gz OMMBNOWUGYSKAG-VIFPVBQESA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@H](CNC(=O)c1ccn(C)n1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575035224 951269181 /nfs/dbraw/zinc/26/91/81/951269181.db2.gz HXAXCIMRTGXQKP-MRVPVSSYSA-N -1 1 328.340 -0.958 20 0 EBADMM C[C@@H](CNC(=O)c1ccon1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575039208 951327961 /nfs/dbraw/zinc/32/79/61/951327961.db2.gz OTNCBBVDLRBZEP-QMMMGPOBSA-N -1 1 329.324 -0.101 20 0 EBADMM C[C@@H](CNC(=O)c1ccon1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575039208 951327979 /nfs/dbraw/zinc/32/79/79/951327979.db2.gz OTNCBBVDLRBZEP-QMMMGPOBSA-N -1 1 329.324 -0.101 20 0 EBADMM CN1CCN(C)[C@@H](CNC(=O)NCC2(C(=O)[O-])CCOCC2)C1 ZINC000391165374 951392507 /nfs/dbraw/zinc/39/25/07/951392507.db2.gz CZNHWYDWGKHQRR-LBPRGKRZSA-N -1 1 328.413 -0.587 20 0 EBADMM C[C@@H](CNC(=O)c1cn[nH]c1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575044669 951417520 /nfs/dbraw/zinc/41/75/20/951417520.db2.gz UUZSISDZIFDHLA-QMMMGPOBSA-N -1 1 328.340 -0.366 20 0 EBADMM C[C@@H](CNC(=O)c1cn[nH]c1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575044669 951417537 /nfs/dbraw/zinc/41/75/37/951417537.db2.gz UUZSISDZIFDHLA-QMMMGPOBSA-N -1 1 328.340 -0.366 20 0 EBADMM C[C@H](CNC(=O)c1cncn1C)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575047017 951453165 /nfs/dbraw/zinc/45/31/65/951453165.db2.gz XGMHWYYPJNNUTO-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)n1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575053305 951475650 /nfs/dbraw/zinc/47/56/50/951475650.db2.gz SGZRISDNTHEKDF-QMMMGPOBSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)n1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575053305 951475653 /nfs/dbraw/zinc/47/56/53/951475653.db2.gz SGZRISDNTHEKDF-QMMMGPOBSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@@H](CNC(=O)c1cnon1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001575053201 951475662 /nfs/dbraw/zinc/47/56/62/951475662.db2.gz AEJSVYZBGZAWKL-SSDOTTSWSA-N -1 1 330.312 -0.482 20 0 EBADMM C[C@@H](CNC(=O)c1cnon1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575053201 951475665 /nfs/dbraw/zinc/47/56/65/951475665.db2.gz AEJSVYZBGZAWKL-SSDOTTSWSA-N -1 1 330.312 -0.482 20 0 EBADMM C[C@H](CNC(=O)c1cnnn1C)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575054564 951492934 /nfs/dbraw/zinc/49/29/34/951492934.db2.gz ITADYLDFMVNDNR-MRVPVSSYSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@H](CNC(=O)c1cnnn1C)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575054564 951492942 /nfs/dbraw/zinc/49/29/42/951492942.db2.gz ITADYLDFMVNDNR-MRVPVSSYSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@@H](CNC(=O)c1cnnn1C)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575054568 951493276 /nfs/dbraw/zinc/49/32/76/951493276.db2.gz ITADYLDFMVNDNR-QMMMGPOBSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@@H](CNC(=O)c1cnnn1C)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575054568 951493283 /nfs/dbraw/zinc/49/32/83/951493283.db2.gz ITADYLDFMVNDNR-QMMMGPOBSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@@H](CNC(=O)c1cnns1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575055536 951507165 /nfs/dbraw/zinc/50/71/65/951507165.db2.gz WODWDABQELHSHZ-ZETCQYMHSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@H](CNC(=O)c1ncn(C)n1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575065237 951580884 /nfs/dbraw/zinc/58/08/84/951580884.db2.gz AFLRINSVEOJLOD-SSDOTTSWSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@H](CNC(=O)c1ncn(C)n1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575065237 951580891 /nfs/dbraw/zinc/58/08/91/951580891.db2.gz AFLRINSVEOJLOD-SSDOTTSWSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@@H](CNC(=O)c1ncccn1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001575065254 951580917 /nfs/dbraw/zinc/58/09/17/951580917.db2.gz APQORPSWOFJRPY-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@@H](CNC(=O)c1ncccn1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575065254 951580921 /nfs/dbraw/zinc/58/09/21/951580921.db2.gz APQORPSWOFJRPY-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@@H](CNC(=O)c1ncn(C)n1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575065238 951581283 /nfs/dbraw/zinc/58/12/83/951581283.db2.gz AFLRINSVEOJLOD-ZETCQYMHSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@@H](CNC(=O)c1ncn(C)n1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575065238 951581292 /nfs/dbraw/zinc/58/12/92/951581292.db2.gz AFLRINSVEOJLOD-ZETCQYMHSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@H](CNC(=O)c1ncccn1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575066095 951592258 /nfs/dbraw/zinc/59/22/58/951592258.db2.gz FFGIJRGAZXKACT-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1ncccn1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575066095 951592265 /nfs/dbraw/zinc/59/22/65/951592265.db2.gz FFGIJRGAZXKACT-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM O=C([O-])COCC(=O)N[C@@H]1CCCN(CCN2CCOCC2)C1 ZINC001595108278 951899189 /nfs/dbraw/zinc/89/91/89/951899189.db2.gz QNYODKZVWKXHRL-CYBMUJFWSA-N -1 1 329.397 -1.000 20 0 EBADMM C[C@@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)[C@H]1COCCO1 ZINC001575132233 952090833 /nfs/dbraw/zinc/09/08/33/952090833.db2.gz DVZWJZLAFIXKJT-GXSJLCMTSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)[C@H]1COCCO1 ZINC001575132233 952090839 /nfs/dbraw/zinc/09/08/39/952090839.db2.gz DVZWJZLAFIXKJT-GXSJLCMTSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)C[C@H]1CCCO1 ZINC001575142141 952146661 /nfs/dbraw/zinc/14/66/61/952146661.db2.gz JEPRUFCPFQMXSJ-GHMZBOCLSA-N -1 1 346.395 -0.094 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)Cn1cccn1 ZINC001575143132 952150336 /nfs/dbraw/zinc/15/03/36/952150336.db2.gz NTNMGGUTYJTCDP-JTQLQIEISA-N -1 1 342.367 -0.766 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)C[C@H]1CCOC1 ZINC001575143987 952160823 /nfs/dbraw/zinc/16/08/23/952160823.db2.gz SWVUCWLKCTUKBH-GHMZBOCLSA-N -1 1 346.395 -0.236 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)COCC1CC1 ZINC001575144314 952163986 /nfs/dbraw/zinc/16/39/86/952163986.db2.gz UXPBZRXOPNCCHO-SNVBAGLBSA-N -1 1 346.395 -0.236 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1cnsn1 ZINC001575144273 952164145 /nfs/dbraw/zinc/16/41/45/952164145.db2.gz HNMXKGPEWKHZIE-ZETCQYMHSA-N -1 1 346.380 -0.497 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)[C@@H]1CCOC1 ZINC001575144747 952170521 /nfs/dbraw/zinc/17/05/21/952170521.db2.gz XUCYURDZYFLWRF-VHSXEESVSA-N -1 1 332.368 -0.626 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)[C@H]1CCOC1 ZINC001575144749 952170877 /nfs/dbraw/zinc/17/08/77/952170877.db2.gz XUCYURDZYFLWRF-ZJUUUORDSA-N -1 1 332.368 -0.626 20 0 EBADMM C[C@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)[C@H]1CCC(=O)N1 ZINC001575153156 952206779 /nfs/dbraw/zinc/20/67/79/952206779.db2.gz DFTOJZIOBJBNOL-RKDXNWHRSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)[C@H]1CCC(=O)N1 ZINC001575153156 952206790 /nfs/dbraw/zinc/20/67/90/952206790.db2.gz DFTOJZIOBJBNOL-RKDXNWHRSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)[C@@H]1COCCO1 ZINC001575153437 952210192 /nfs/dbraw/zinc/21/01/92/952210192.db2.gz HLAAGWBKPQIZFO-KOLCDFICSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)[C@@H]1COCCO1 ZINC001575153437 952210194 /nfs/dbraw/zinc/21/01/94/952210194.db2.gz HLAAGWBKPQIZFO-KOLCDFICSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)c1cnn(C)c1 ZINC001575154604 952226813 /nfs/dbraw/zinc/22/68/13/952226813.db2.gz FLKMHEPKPISLGP-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)c1cnn(C)c1 ZINC001575154604 952226818 /nfs/dbraw/zinc/22/68/18/952226818.db2.gz FLKMHEPKPISLGP-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)Cn1cncn1 ZINC001575154836 952231057 /nfs/dbraw/zinc/23/10/57/952231057.db2.gz VXYIBMDGWZHRBL-VIFPVBQESA-N -1 1 343.355 -0.793 20 0 EBADMM C[C@@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)Cn1cncn1 ZINC001575154836 952231062 /nfs/dbraw/zinc/23/10/62/952231062.db2.gz VXYIBMDGWZHRBL-VIFPVBQESA-N -1 1 343.355 -0.793 20 0 EBADMM C[C@@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)c1ccn(C)n1 ZINC001575155012 952232625 /nfs/dbraw/zinc/23/26/25/952232625.db2.gz JJANNCFNWWLJFY-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)c1ccn(C)n1 ZINC001575155012 952232630 /nfs/dbraw/zinc/23/26/30/952232630.db2.gz JJANNCFNWWLJFY-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)[C@H]1CCC(=O)N1 ZINC001575155965 952247322 /nfs/dbraw/zinc/24/73/22/952247322.db2.gz BDCKELJFTCEAOC-DTWKUNHWSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)[C@H]1CCC(=O)N1 ZINC001575155965 952247329 /nfs/dbraw/zinc/24/73/29/952247329.db2.gz BDCKELJFTCEAOC-DTWKUNHWSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)c1cnon1 ZINC001575157222 952261628 /nfs/dbraw/zinc/26/16/28/952261628.db2.gz YGEISJSEYVISRE-ZETCQYMHSA-N -1 1 330.312 -0.388 20 0 EBADMM C[C@@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)c1cnon1 ZINC001575157222 952261635 /nfs/dbraw/zinc/26/16/35/952261635.db2.gz YGEISJSEYVISRE-ZETCQYMHSA-N -1 1 330.312 -0.388 20 0 EBADMM CC(CO)(CO)CNS(=O)(=O)c1ccsc1-c1nn[n-]n1 ZINC001575175429 952386550 /nfs/dbraw/zinc/38/65/50/952386550.db2.gz DAEMIKJCVUCOMA-UHFFFAOYSA-N -1 1 333.395 -0.803 20 0 EBADMM C[C@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)c1nc[nH]n1 ZINC001575176335 952393276 /nfs/dbraw/zinc/39/32/76/952393276.db2.gz CUUXHCHQZMEPIZ-SSDOTTSWSA-N -1 1 329.328 -0.653 20 0 EBADMM C[C@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)c1nc[nH]n1 ZINC001575176335 952393282 /nfs/dbraw/zinc/39/32/82/952393282.db2.gz CUUXHCHQZMEPIZ-SSDOTTSWSA-N -1 1 329.328 -0.653 20 0 EBADMM C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)N1CCS(=O)(=O)C[C@@H]1C(=O)[O-] ZINC001589364429 954179039 /nfs/dbraw/zinc/17/90/39/954179039.db2.gz SOAIGWLFPCSSGQ-GGZOMVNGSA-N -1 1 341.389 -0.214 20 0 EBADMM CN(CCN1CC2(C1)CCOCC2)C(=O)[C@H]1CC(C(=O)[O-])=NO1 ZINC001593751406 954417408 /nfs/dbraw/zinc/41/74/08/954417408.db2.gz FXMCAXGNBYPEPH-GFCCVEGCSA-N -1 1 325.365 -0.213 20 0 EBADMM CN1C[C@H](CN2CCC(N3C[C@H](C(=O)[O-])CC3=O)CC2)OC1=O ZINC001593772605 954553934 /nfs/dbraw/zinc/55/39/34/954553934.db2.gz MHRXAARVQNTPFZ-ZYHUDNBSSA-N -1 1 325.365 -0.166 20 0 EBADMM O=C([O-])[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCC[N@@H+]1CCOCCO ZINC001594896840 954572841 /nfs/dbraw/zinc/57/28/41/954572841.db2.gz SXIWKJVBULNSHW-BDAKNGLRSA-N -1 1 328.287 -0.409 20 0 EBADMM CN1CCN(CCCS(=O)(=O)N2CCC(C(=O)[O-])CC2)CC1 ZINC001593784676 954688236 /nfs/dbraw/zinc/68/82/36/954688236.db2.gz PMZPCHKQWIHDCF-UHFFFAOYSA-N -1 1 333.454 -0.250 20 0 EBADMM COC(=O)[C@@H]1CN(C)CCN(C(=O)N[C@@H]2CC[C@H](C(=O)[O-])C2)C1 ZINC001593829032 955131965 /nfs/dbraw/zinc/13/19/65/955131965.db2.gz UGFXLLASIVKHQH-QJPTWQEYSA-N -1 1 327.381 -0.014 20 0 EBADMM COC(=O)CC(=O)N1CCC[C@@H](NC(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC001593829253 955134431 /nfs/dbraw/zinc/13/44/31/955134431.db2.gz FPZVLDYLDDGNNE-HZGVNTEJSA-N -1 1 340.254 -0.328 20 0 EBADMM COC(=O)CC[C@H](NC(=O)C(C)(C)NC(=O)C(F)(F)F)C(=O)[O-] ZINC001593846482 955420533 /nfs/dbraw/zinc/42/05/33/955420533.db2.gz RJEIHOPDOXNWQR-LURJTMIESA-N -1 1 342.270 -0.034 20 0 EBADMM C[C@H](CN1CCOCC1)C(=O)N[C@H]1CCCCN(CC(=O)[O-])C1=O ZINC001589039317 955579958 /nfs/dbraw/zinc/57/99/58/955579958.db2.gz MOJVPAPOGKEXDA-OLZOCXBDSA-N -1 1 341.408 -0.463 20 0 EBADMM CO[C@@H](C(=O)[O-])C(=O)N(CCCN(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC001593901732 955876198 /nfs/dbraw/zinc/87/61/98/955876198.db2.gz FPZRYGYOVKLNOB-GHMZBOCLSA-N -1 1 336.410 -0.947 20 0 EBADMM C[C@H](NC(=O)c1cccn(CC(=O)[O-])c1=O)[C@H]1CN(C)CCN1C ZINC001589117259 956100462 /nfs/dbraw/zinc/10/04/62/956100462.db2.gz YBZHLZZSFXCLAX-WCQYABFASA-N -1 1 336.392 -0.703 20 0 EBADMM Cn1nnnc1CN1CCC[C@@H](NC(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC001594602328 956145835 /nfs/dbraw/zinc/14/58/35/956145835.db2.gz VIJCEIKONPKIEX-SVRRBLITSA-N -1 1 336.274 -0.694 20 0 EBADMM NC(=O)[C@@H]1CCCN1CCCNC(=O)[C@@H]1CO[C@@H](CCC(=O)[O-])C1 ZINC001594647593 956445439 /nfs/dbraw/zinc/44/54/39/956445439.db2.gz WOUZNMQPTFYJHE-AVGNSLFASA-N -1 1 341.408 -0.288 20 0 EBADMM C[C@@]1(C(=O)[O-])CCN(C(=O)c2cc(S(N)(=O)=O)ccc2O)C1 ZINC001589196290 956609896 /nfs/dbraw/zinc/60/98/96/956609896.db2.gz VUZYGGJYWRBWHU-CYBMUJFWSA-N -1 1 328.346 -0.024 20 0 EBADMM COC[C@@]1(C(=O)[O-])CN(C(=O)CCCCc2cn[nH]n2)CCO1 ZINC001593973198 956640144 /nfs/dbraw/zinc/64/01/44/956640144.db2.gz MSVAFCWSSDNIGB-CQSZACIVSA-N -1 1 326.353 -0.154 20 0 EBADMM CC1=C(C(=O)NC2CCN(CC(=O)[O-])CC2)S(=O)(=O)CCO1 ZINC001589255970 956987802 /nfs/dbraw/zinc/98/78/02/956987802.db2.gz AQGGZYDAWSACCD-UHFFFAOYSA-N -1 1 332.378 -0.672 20 0 EBADMM C[C@H](CN1CCN(C)CC1)NC(=O)N1C[C@@H]2COC[C@]2(C(=O)[O-])C1 ZINC001603159411 972262178 /nfs/dbraw/zinc/26/21/78/972262178.db2.gz ZSEKACKMYQLRPO-XJKCOSOUSA-N -1 1 340.424 -0.635 20 0 EBADMM C[C@H](CNC(=O)C(=O)NC[C@H]1CCN1C1CCOCC1)C(=O)[O-] ZINC001603166715 972264206 /nfs/dbraw/zinc/26/42/06/972264206.db2.gz SJRLFSCVLCQAHW-ZYHUDNBSSA-N -1 1 327.381 -0.807 20 0 EBADMM C[C@H](COC(=O)[C@H]1CCCN(CC(=O)[O-])C1=O)CN1CCOCC1 ZINC001603201709 972309611 /nfs/dbraw/zinc/30/96/11/972309611.db2.gz HMWDBZOCUQBJNZ-STQMWFEESA-N -1 1 342.392 -0.179 20 0 EBADMM CN(C)Cc1ccc(C(=O)N2CCS(=O)(=O)C[C@@H]2C(=O)[O-])cn1 ZINC001604074775 972464744 /nfs/dbraw/zinc/46/47/44/972464744.db2.gz DHBLRIVZBFAPEY-GFCCVEGCSA-N -1 1 341.389 -0.533 20 0 EBADMM CN(C)S(=O)(=O)CCN1C[C@@H](C(=O)[O-])C[C@@H](c2cnn(C)c2)C1 ZINC001604075635 972472412 /nfs/dbraw/zinc/47/24/12/972472412.db2.gz OWFCUBDSFVDMKK-NEPJUHHUSA-N -1 1 344.437 -0.198 20 0 EBADMM CS(=O)(=O)Cc1nc(CNC(=O)[C@]2(C(=O)[O-])CC=CCC2)n[nH]1 ZINC001574243238 960474361 /nfs/dbraw/zinc/47/43/61/960474361.db2.gz TUNZWLUEJNNDNX-ZDUSSCGKSA-N -1 1 342.377 -0.223 20 0 EBADMM CCN(C)[C@@H]1CCN(C(=O)C2(C(=O)[O-])CCS(=O)(=O)CC2)C1 ZINC001573875597 961250627 /nfs/dbraw/zinc/25/06/27/961250627.db2.gz UZKFCFDRIZCIDU-LLVKDONJSA-N -1 1 332.422 -0.181 20 0 EBADMM CC(C)[C@@H](CNS(=O)(=O)CCCN1CCN(C)CC1)C(=O)[O-] ZINC001588831313 961727040 /nfs/dbraw/zinc/72/70/40/961727040.db2.gz SVCXXFRROCOOEV-CYBMUJFWSA-N -1 1 335.470 -0.100 20 0 EBADMM CC(C)C[C@@H]1NC(=O)N(CN2C[C@@H]3COC[C@]3(C(=O)[O-])C2)C1=O ZINC001588873908 962101758 /nfs/dbraw/zinc/10/17/58/962101758.db2.gz JTCGRLZWDAKSHF-JRPNMDOOSA-N -1 1 325.365 -0.057 20 0 EBADMM CS(=O)(=O)Nc1ccc(C(=O)N[C@H]2CCO[C@H]2C(=O)[O-])cn1 ZINC001571185090 962191342 /nfs/dbraw/zinc/19/13/42/962191342.db2.gz LYKZSJLATCXBHT-WCBMZHEXSA-N -1 1 329.334 -0.575 20 0 EBADMM C[C@H]1C[N@H+](CCC(=O)[O-])CCN1C(=O)CSc1n[nH]c(=O)[n-]1 ZINC001571186685 962214866 /nfs/dbraw/zinc/21/48/66/962214866.db2.gz TZFNYHFNXOHWMT-QMMMGPOBSA-N -1 1 329.382 -0.390 20 0 EBADMM C[C@H]1C[N@@H+](CCC(=O)[O-])CCN1C(=O)CSc1n[nH]c(=O)[n-]1 ZINC001571186685 962214882 /nfs/dbraw/zinc/21/48/82/962214882.db2.gz TZFNYHFNXOHWMT-QMMMGPOBSA-N -1 1 329.382 -0.390 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2C[C@@H]3C[C@]3(C(=O)[O-])C2)on1 ZINC001571188302 962237568 /nfs/dbraw/zinc/23/75/68/962237568.db2.gz ZITQPMAIPKZPGT-LTMDPNIMSA-N -1 1 330.318 -0.007 20 0 EBADMM O=C([O-])c1ccc(CC(=O)N2CCN(Cc3nnc[nH]3)CC2)cn1 ZINC001571190237 962238151 /nfs/dbraw/zinc/23/81/51/962238151.db2.gz DPLXKXXKZBOQIA-UHFFFAOYSA-N -1 1 330.348 -0.215 20 0 EBADMM CC[C@@H]1COCCN1CCNS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC001571210060 962435571 /nfs/dbraw/zinc/43/55/71/962435571.db2.gz BGABEIBYVMOKMS-SECBINFHSA-N -1 1 333.366 -0.238 20 0 EBADMM CC[C@H]1COCCN1CCNS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC001571210061 962436060 /nfs/dbraw/zinc/43/60/60/962436060.db2.gz BGABEIBYVMOKMS-VIFPVBQESA-N -1 1 333.366 -0.238 20 0 EBADMM Cc1nn[nH]c1C(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001571211215 962454230 /nfs/dbraw/zinc/45/42/30/962454230.db2.gz XEOGEAXZRUYZJB-ZRUFSTJUSA-N -1 1 333.348 -0.351 20 0 EBADMM C[N@H+]1CCN(C(=O)c2ccc(OCC(N)=O)cc2)C[C@H](C(=O)[O-])C1 ZINC001571223116 962559262 /nfs/dbraw/zinc/55/92/62/962559262.db2.gz FHGCTKUFLPAYOL-GFCCVEGCSA-N -1 1 335.360 -0.361 20 0 EBADMM CN1CC[C@]2(CCN(C(=O)C3(C(=O)[O-])CCS(=O)(=O)CC3)C2)C1 ZINC001604210081 972685195 /nfs/dbraw/zinc/68/51/95/972685195.db2.gz KSUIJALACCUXGE-AWEZNQCLSA-N -1 1 344.433 -0.180 20 0 EBADMM CN1CCN(C2CN(C(=O)c3cccn(CC(=O)[O-])c3=O)C2)CC1 ZINC001604221676 972720741 /nfs/dbraw/zinc/72/07/41/972720741.db2.gz YATHBEMHPHYQNM-UHFFFAOYSA-N -1 1 334.376 -0.995 20 0 EBADMM COC(=O)CC[C@@]1(C)NC(=O)N(CN2CC[C@@H](C(=O)[O-])C2)C1=O ZINC001604304724 972957862 /nfs/dbraw/zinc/95/78/62/972957862.db2.gz YKEKZFXIQQJPJA-YMTOWFKASA-N -1 1 327.337 -0.386 20 0 EBADMM O=C([O-])CN1CCCC[C@H](NC(=O)C2CN([C@@H]3CCOC3)C2)C1=O ZINC001606258528 973612162 /nfs/dbraw/zinc/61/21/62/973612162.db2.gz WKDCDYGEENFHIB-OLZOCXBDSA-N -1 1 339.392 -0.711 20 0 EBADMM Cn1cc(CN2CCC[C@H](NC(=O)C(F)(F)F)[C@@H]2C(=O)[O-])nn1 ZINC001605496371 973659817 /nfs/dbraw/zinc/65/98/17/973659817.db2.gz BGHVJGIGYSDBPJ-DTWKUNHWSA-N -1 1 335.286 -0.089 20 0 EBADMM O=C([O-])Cn1cccc(C(=O)N2CCN(C3CCOCC3)CC2)c1=O ZINC001606350308 973789500 /nfs/dbraw/zinc/78/95/00/973789500.db2.gz GZEKBHPJABJPKN-UHFFFAOYSA-N -1 1 349.387 -0.130 20 0 EBADMM CC(C)OCCNC(=O)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC001591724619 975670193 /nfs/dbraw/zinc/67/01/93/975670193.db2.gz BPBFHPRCEXYFBF-UHFFFAOYSA-N -1 1 329.397 -0.465 20 0 EBADMM C[C@H](CN(C)c1ccnc(C(=O)[O-])n1)NC(=O)CCc1nc[nH]n1 ZINC001592588221 978511463 /nfs/dbraw/zinc/51/14/63/978511463.db2.gz DCPFVZHMEXFQDH-SECBINFHSA-N -1 1 333.352 -0.133 20 0 EBADMM CC[C@](COC)(NS(=O)(=O)c1cc(C(=O)[O-])no1)C(=O)OC ZINC001595199378 979938851 /nfs/dbraw/zinc/93/88/51/979938851.db2.gz CQKNYUXKFXQIAC-LLVKDONJSA-N -1 1 336.322 -0.381 20 0 EBADMM C[C@@H](NC(=O)CCc1nc[nH]n1)[C@@H](C)Nc1ccnc(C(=O)[O-])n1 ZINC001593019901 980275280 /nfs/dbraw/zinc/27/52/80/980275280.db2.gz LGJASDZIPCLLNR-RKDXNWHRSA-N -1 1 333.352 -0.347 20 0 EBADMM CC[C@H](C)[C@@H](NC(=O)CN1CCN(CCO)C(C)(C)C1)C(=O)[O-] ZINC001594894070 982314611 /nfs/dbraw/zinc/31/46/11/982314611.db2.gz MDUCUVKIYZYBMC-GXTWGEPZSA-N -1 1 329.441 -0.010 20 0 EBADMM CC[C@@H](C)[C@H](NC(=O)CN1CCN(C(=O)CN(C)C)CC1)C(=O)[O-] ZINC001594894260 982317704 /nfs/dbraw/zinc/31/77/04/982317704.db2.gz OAIRNGDPVLUOJB-DOMZBBRYSA-N -1 1 342.440 -0.692 20 0 EBADMM CC[C@@H](C)[C@@H](NC(=O)CN1CCN(C(=O)CN(C)C)CC1)C(=O)[O-] ZINC001594894261 982318301 /nfs/dbraw/zinc/31/83/01/982318301.db2.gz OAIRNGDPVLUOJB-IUODEOHRSA-N -1 1 342.440 -0.692 20 0 EBADMM CC[C@@H](C)[C@H](NC(=O)CN1CCN(CC(=O)[O-])CC1)C(=O)OC ZINC001594894258 982318347 /nfs/dbraw/zinc/31/83/47/982318347.db2.gz NZYYJEOWLSKKMZ-RISCZKNCSA-N -1 1 329.397 -0.608 20 0 EBADMM CCN1C[C@H](C(=O)N(CCN2CCN(C)CC2)CC(=O)[O-])CC1=O ZINC001596394864 983931277 /nfs/dbraw/zinc/93/12/77/983931277.db2.gz OSAPBBYNRKGTMS-CYBMUJFWSA-N -1 1 340.424 -0.985 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[N@@H+](C)C[C@@H](C(=O)[O-])C1 ZINC001599941452 985459972 /nfs/dbraw/zinc/45/99/72/985459972.db2.gz LFKGNFJSMISGMS-MRVPVSSYSA-N -1 1 326.378 -0.132 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[N@H+](C)C[C@@H](C(=O)[O-])C1 ZINC001599941452 985459973 /nfs/dbraw/zinc/45/99/73/985459973.db2.gz LFKGNFJSMISGMS-MRVPVSSYSA-N -1 1 326.378 -0.132 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)CNC(=O)N1CCCC1 ZINC001594515862 985885388 /nfs/dbraw/zinc/88/53/88/985885388.db2.gz ROVFAJHIDMEHRX-GFCCVEGCSA-N -1 1 326.397 -0.201 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NC[C@@H]1C[C@@H]2COC[C@@H]2O1 ZINC001594516493 985906788 /nfs/dbraw/zinc/90/67/88/985906788.db2.gz OXNUVALWCRHHSB-CRWXNKLISA-N -1 1 341.408 -0.019 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NCCO[C@@H]1CCOC1 ZINC001594519112 985941300 /nfs/dbraw/zinc/94/13/00/985941300.db2.gz KWLBRBAQIGUMQZ-QWHCGFSZSA-N -1 1 329.397 -0.018 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)c1ccc(-n2cnnn2)cn1 ZINC001594520504 985978498 /nfs/dbraw/zinc/97/84/98/985978498.db2.gz UXYAGTXNTGKSHX-LLVKDONJSA-N -1 1 345.363 -0.322 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cnc2c(c1)nnn2C ZINC001594522767 986014791 /nfs/dbraw/zinc/01/47/91/986014791.db2.gz WQTOSCONKDCNBW-JTQLQIEISA-N -1 1 332.364 -0.016 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NCCN1CCO[C@@H](C)C1 ZINC001594527848 986086817 /nfs/dbraw/zinc/08/68/17/986086817.db2.gz PAMRNZZUIMBDGP-KGLIPLIRSA-N -1 1 342.440 -0.103 20 0 EBADMM C[C@@H]1COC[C@@H]1NC(=O)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC001594592756 986497766 /nfs/dbraw/zinc/49/77/66/986497766.db2.gz MAZYHOHNXVOZMW-MNOVXSKESA-N -1 1 327.381 -0.855 20 0 EBADMM C[C@@H]1[C@H](C)OCCN1C(=O)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC001594648871 986857973 /nfs/dbraw/zinc/85/79/73/986857973.db2.gz OFSLGGZOPYIFFW-NEPJUHHUSA-N -1 1 341.408 -0.370 20 0 EBADMM CCS(=O)(=O)N[C@H](C)C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC001597224059 987161072 /nfs/dbraw/zinc/16/10/72/987161072.db2.gz IZEXXFPSBNQXMG-WDEREUQCSA-N -1 1 335.426 -0.678 20 0 EBADMM CCS(=O)(=O)c1c[nH]c(=O)c(C(=O)NCCN(C)CC(=O)[O-])c1 ZINC001597230368 987187022 /nfs/dbraw/zinc/18/70/22/987187022.db2.gz WMHWBXHRBPBJOR-UHFFFAOYSA-N -1 1 345.377 -0.673 20 0 EBADMM CC(C)(C(=O)[O-])N1CCN(C(=O)C(=O)NCC[C@H]2CCOC2)CC1 ZINC001589620617 987498484 /nfs/dbraw/zinc/49/84/84/987498484.db2.gz NAPRHTPTXJCFLK-LBPRGKRZSA-N -1 1 341.408 -0.463 20 0 EBADMM CC(C)(C(=O)[O-])N1CCN(C(=O)C(=O)N[C@@H]2C[C@H]3CC[C@@H]2O3)CC1 ZINC001589620943 987500473 /nfs/dbraw/zinc/50/04/73/987500473.db2.gz RGUFHTBAISVWIA-UTUOFQBUSA-N -1 1 339.392 -0.570 20 0 EBADMM CC(C)(C(=O)[O-])N1CCN(C(=O)C(=O)NCC2CCOCC2)CC1 ZINC001589621136 987501019 /nfs/dbraw/zinc/50/10/19/987501019.db2.gz SYRNCYWJKRHMQG-UHFFFAOYSA-N -1 1 341.408 -0.463 20 0 EBADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2ccc(S(N)(=O)=O)o2)CC1 ZINC001589628333 987528166 /nfs/dbraw/zinc/52/81/66/987528166.db2.gz DPYMXVSIJPGDDI-UHFFFAOYSA-N -1 1 345.377 -0.452 20 0 EBADMM CS[C@H](C)CNC(=O)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC001599784560 987900237 /nfs/dbraw/zinc/90/02/37/987900237.db2.gz VPKIOZYNQCFZLU-SNVBAGLBSA-N -1 1 331.438 -0.139 20 0 EBADMM CCc1nc([C@H](C)NC(=O)NCCC(=O)NCCC(=O)[O-])n[nH]1 ZINC001597656030 988705904 /nfs/dbraw/zinc/70/59/04/988705904.db2.gz JHZUBCSDSBPQTA-QMMMGPOBSA-N -1 1 326.357 -0.292 20 0 EBADMM C[C@@H]1CN(C(=O)C(=O)N2CC[C@@](C(=O)[O-])(C(F)(F)F)C2)CCN1 ZINC001599848823 988979105 /nfs/dbraw/zinc/97/91/05/988979105.db2.gz CAWTYTKQPGACSS-PRHODGIISA-N -1 1 337.298 -0.328 20 0 EBADMM C[C@@H]1CNCCN1C(=O)C(=O)Nc1cc2c(cc1C(=O)[O-])OCO2 ZINC001599873087 989380492 /nfs/dbraw/zinc/38/04/92/989380492.db2.gz XGWNLWXLZOZRCX-MRVPVSSYSA-N -1 1 335.316 -0.128 20 0 EBADMM CC(C)(O)CN1CCN(C(=O)NCCC(=O)NCCC(=O)[O-])CC1 ZINC001590899050 990854912 /nfs/dbraw/zinc/85/49/12/990854912.db2.gz OYGWWVBSLPOXQU-UHFFFAOYSA-N -1 1 344.412 -0.935 20 0 EBADMM CC(C)[C@H](CNC(=O)C(=O)NCCCC(=O)[O-])N1CCN(C)CC1 ZINC001590982751 991154503 /nfs/dbraw/zinc/15/45/03/991154503.db2.gz UUZHXOPCVORWDQ-ZDUSSCGKSA-N -1 1 342.440 -0.644 20 0 EBADMM COc1cncc(CN2C[C@@H](N3C(=O)CNC3=O)C[C@H]2C(=O)[O-])c1C ZINC001599725745 991621025 /nfs/dbraw/zinc/62/10/25/991621025.db2.gz GIWBTRQVJGOYFW-RYUDHWBXSA-N -1 1 348.359 -0.022 20 0 EBADMM COc1nc(C(=O)OCC(=O)N2CCC(C(=O)[O-])CC2)cc(=O)[nH]1 ZINC001599731539 991715660 /nfs/dbraw/zinc/71/56/60/991715660.db2.gz BLIGQZLKNVXSGJ-UHFFFAOYSA-N -1 1 339.304 -0.329 20 0 EBADMM CC(C)C[C@H](NC(=O)C[N@H+]1C[C@@H]2C(=O)N(C)C(=O)[C@@H]2C1)C(=O)[O-] ZINC001591169048 991950398 /nfs/dbraw/zinc/95/03/98/991950398.db2.gz CYKGDUUFAKMIKW-AXFHLTTASA-N -1 1 325.365 -0.852 20 0 EBADMM CC(C)C[C@H](NC(=O)CN1C[C@@H]2C(=O)N(C)C(=O)[C@@H]2C1)C(=O)[O-] ZINC001591169048 991950408 /nfs/dbraw/zinc/95/04/08/991950408.db2.gz CYKGDUUFAKMIKW-AXFHLTTASA-N -1 1 325.365 -0.852 20 0 EBADMM CS(=O)(=O)N1CCC[C@@H](CN2CC[C@]3(CC(C(=O)[O-])=NO3)C2)C1 ZINC001599754933 992040141 /nfs/dbraw/zinc/04/01/41/992040141.db2.gz BQAACVJCOORGDN-FZMZJTMJSA-N -1 1 345.421 -0.037 20 0 EBADMM CS(=O)(=O)NC[C@H]1CCCN(CCc2cn(CC(=O)[O-])nn2)C1 ZINC001599758829 992091966 /nfs/dbraw/zinc/09/19/66/992091966.db2.gz YJSYGEAAUQTYRM-LLVKDONJSA-N -1 1 345.425 -0.834 20 0 EBADMM CS(=O)(=O)[C@H]1C[C@]1(CNC(=O)[C@H]1CCCc2n[nH]nc21)C(=O)[O-] ZINC001599762468 992141169 /nfs/dbraw/zinc/14/11/69/992141169.db2.gz JTYZCVPDVUVUER-DXVIDONBSA-N -1 1 342.377 -0.771 20 0 EBADMM CN(c1ncc(C(=O)[O-])cn1)[C@H]1CCN(C(=O)Cc2ncn[nH]2)C1 ZINC001598547062 992958057 /nfs/dbraw/zinc/95/80/57/992958057.db2.gz PLXRFMXVIQSTPI-JTQLQIEISA-N -1 1 331.336 -0.427 20 0 EBADMM CN1CCN(C(=O)C(=O)Nc2cccc3nonc32)C[C@H](C(=O)[O-])C1 ZINC001598604222 993617945 /nfs/dbraw/zinc/61/79/45/993617945.db2.gz QVCPKRYZFUCSBI-SECBINFHSA-N -1 1 347.331 -0.364 20 0 EBADMM CN1CCN(C)[C@H](CNC(=O)C(=O)Nc2ccsc2C(=O)[O-])C1 ZINC001598612432 993805494 /nfs/dbraw/zinc/80/54/94/993805494.db2.gz SBEBKTILICAYQR-SECBINFHSA-N -1 1 340.405 -0.253 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)c2csc(CO)n2)CC1 ZINC001598617522 993918552 /nfs/dbraw/zinc/91/85/52/993918552.db2.gz UOAGCUSQWNMUQT-UHFFFAOYSA-N -1 1 342.421 -0.590 20 0 EBADMM CN1CCN(Cc2ccc(C(=O)NCC[C@H](O)C(=O)[O-])cc2)CC1 ZINC001598619070 993962253 /nfs/dbraw/zinc/96/22/53/993962253.db2.gz DEZLTZLYZBTPRK-HNNXBMFYSA-N -1 1 335.404 -0.001 20 0 EBADMM CN1CCN(c2cc(C(=O)N3CCC[C@H](O)[C@H]3C(=O)[O-])ccn2)CC1 ZINC001598620189 993991011 /nfs/dbraw/zinc/99/10/11/993991011.db2.gz UVERKBBELNANNM-ZFWWWQNUSA-N -1 1 348.403 -0.117 20 0 EBADMM COc1ccc2ncc(C(=O)N[C@@H](Cc3cnc[nH]3)C(=O)[O-])n2n1 ZINC001599637485 994310587 /nfs/dbraw/zinc/31/05/87/994310587.db2.gz DVMWNXFEIHUFIB-VIFPVBQESA-N -1 1 330.304 -0.113 20 0 EBADMM COc1ccc2ncc(C(=O)N3CCN(C)C[C@H](C(=O)[O-])C3)n2n1 ZINC001599638125 994318981 /nfs/dbraw/zinc/31/89/81/994318981.db2.gz WASPJNXZYKBARO-JTQLQIEISA-N -1 1 333.348 -0.174 20 0 EBADMM CNC(=O)Cc1noc(CN2CCN(CCC(=O)[O-])C[C@@H]2C)n1 ZINC001598648094 994401150 /nfs/dbraw/zinc/40/11/50/994401150.db2.gz ACDARIHKRJPLJS-JTQLQIEISA-N -1 1 325.369 -0.661 20 0 EBADMM CNS(=O)(=O)c1cc(C(=O)N2CCN(C)C[C@H](C(=O)[O-])C2)co1 ZINC001598673290 994796529 /nfs/dbraw/zinc/79/65/29/994796529.db2.gz LBXMFWOBWQXYIN-JTQLQIEISA-N -1 1 345.377 -0.724 20 0 EBADMM COC(=O)[C@H]1CCN(C(=O)CN2CCC(CO)CC2)[C@H](C(=O)[O-])C1 ZINC001598793535 996093507 /nfs/dbraw/zinc/09/35/07/996093507.db2.gz KWKNKPLOCOJFIF-STQMWFEESA-N -1 1 342.392 -0.445 20 0 EBADMM COC(=O)[C@H]1CN(C)CCN(C(=O)N[C@H]2C[C@@H]3C[C@]3(C(=O)[O-])C2)C1 ZINC001598816132 996271883 /nfs/dbraw/zinc/27/18/83/996271883.db2.gz ATUUVQLHFAQRAI-BUWBCJGYSA-N -1 1 339.392 -0.014 20 0 EBADMM COC[C@@]1(C(=O)NC[C@@H](Oc2ccccc2)C(=O)[O-])CNCCO1 ZINC001599186585 997036032 /nfs/dbraw/zinc/03/60/32/997036032.db2.gz MKZIFHYGWIBJCQ-CZUORRHYSA-N -1 1 338.360 -0.360 20 0 EBADMM COC[C@H]1CNCCN1C(=O)C(=O)Nc1ccc(C(=O)[O-])cc1F ZINC001599208186 997448537 /nfs/dbraw/zinc/44/85/37/997448537.db2.gz QDFKOKZOIGWRND-SNVBAGLBSA-N -1 1 339.323 -0.091 20 0 EBADMM COC[C@H]1CNCCN1C(=O)C(=O)NCCc1ccc(C(=O)[O-])cc1 ZINC001599208698 997458898 /nfs/dbraw/zinc/45/88/98/997458898.db2.gz YWNWXEQFPHNLHU-CQSZACIVSA-N -1 1 349.387 -0.510 20 0 EBADMM COC(=O)c1cc(C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)n(C)n1 ZINC001598920167 997548715 /nfs/dbraw/zinc/54/87/15/997548715.db2.gz LMCKJULVUAKUDV-SNVBAGLBSA-N -1 1 338.364 -0.172 20 0 EBADMM COCCCNC(=O)C1CCN([C@H](C)C(=O)NCC(=O)[O-])CC1 ZINC001599225772 997755534 /nfs/dbraw/zinc/75/55/34/997755534.db2.gz ROGHLELMWAMDHI-LLVKDONJSA-N -1 1 329.397 -0.560 20 0 EBADMM COCC[N@H+]1CCC[C@H]1CNS(=O)(=O)c1n[n-]cc1C(=O)[O-] ZINC001599240464 998030883 /nfs/dbraw/zinc/03/08/83/998030883.db2.gz NFVZFDHLZVYXMV-VIFPVBQESA-N -1 1 332.382 -0.503 20 0 EBADMM COCCN1CCC[C@H]1CNS(=O)(=O)c1n[nH]cc1C(=O)[O-] ZINC001599240464 998030895 /nfs/dbraw/zinc/03/08/95/998030895.db2.gz NFVZFDHLZVYXMV-VIFPVBQESA-N -1 1 332.382 -0.503 20 0 EBADMM COCCNC(=O)[C@H]1CC[C@H](C)N(CC(=O)NCCC(=O)[O-])C1 ZINC001599243246 998087188 /nfs/dbraw/zinc/08/71/88/998087188.db2.gz ZMNMLMMUYBKYBP-RYUDHWBXSA-N -1 1 329.397 -0.560 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H](CO)C(=O)[O-])oc1Cl ZINC001598954203 998210947 /nfs/dbraw/zinc/21/09/47/998210947.db2.gz PEDIYSCJYFATLT-YFKPBYRVSA-N -1 1 327.698 -0.557 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)N[C@@H](CC(F)(F)F)C(=O)[O-] ZINC001599007059 998863900 /nfs/dbraw/zinc/86/39/00/998863900.db2.gz BCNNVKFJPULBMO-YFKPBYRVSA-N -1 1 345.255 -0.120 20 0 EBADMM C[C@@H]1CC[C@@H](CNC(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)O1 ZINC001594305167 999177980 /nfs/dbraw/zinc/17/79/80/999177980.db2.gz IZWCBODXEUIDGT-NEPJUHHUSA-N -1 1 341.408 -0.323 20 0 EBADMM COC(OC)C(=O)N1CCN([C@@H](C(=O)[O-])C2(OC)CCC2)CC1 ZINC001599060619 999262482 /nfs/dbraw/zinc/26/24/82/999262482.db2.gz SXCIQWFBXPUNJB-NSHDSACASA-N -1 1 330.381 -0.228 20 0 EBADMM CO[C@@]1(C(=O)[O-])CCN(C(=O)CN(C)c2ncnc3[nH]cnc32)C1 ZINC001599068525 999314975 /nfs/dbraw/zinc/31/49/75/999314975.db2.gz PEMODOOHTGNQQI-AWEZNQCLSA-N -1 1 334.336 -0.509 20 0 EBADMM C[C@@H]1CCN(C(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)[C@H]1CO ZINC001594392496 999479817 /nfs/dbraw/zinc/47/98/17/999479817.db2.gz GNORBKFCKRWQDI-NEPJUHHUSA-N -1 1 341.408 -0.777 20 0 EBADMM C[C@@H]1CN(C(=O)/C=C/CN2CCOCC2)CCN1CCC(=O)[O-] ZINC001594466506 999689042 /nfs/dbraw/zinc/68/90/42/999689042.db2.gz KJASWTLTXQODBF-BAABZTOOSA-N -1 1 325.409 -0.118 20 0 EBADMM C[C@H]1CN(C(=O)/C=C\CN2CCOCC2)CCN1CCC(=O)[O-] ZINC001594466509 999689056 /nfs/dbraw/zinc/68/90/56/999689056.db2.gz KJASWTLTXQODBF-SFAKSCPVSA-N -1 1 325.409 -0.118 20 0 EBADMM C[C@H]1CN(C(=O)[C@H]2CCc3nnnn3CC2)CCN1CCC(=O)[O-] ZINC001594466568 999689640 /nfs/dbraw/zinc/68/96/40/999689640.db2.gz LJNBHAXQMGNRLJ-RYUDHWBXSA-N -1 1 336.396 -0.367 20 0 EBADMM CN(CCNC(=O)Cc1ccc2c[nH]nc2c1)Cc1nc(=O)n(C)[n-]1 ZINC001690991125 1125796751 /nfs/dbraw/zinc/79/67/51/1125796751.db2.gz NTYYUHSRJKVVJS-UHFFFAOYSA-N -1 1 343.391 -0.225 20 0 EBADMM CC[C@@H](C[N@H+]1CC[C@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O)OC ZINC001670700276 1125813490 /nfs/dbraw/zinc/81/34/90/1125813490.db2.gz AOYBAECPTVWKKU-STQMWFEESA-N -1 1 338.408 -0.458 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CCC(NC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001687268734 1125822554 /nfs/dbraw/zinc/82/25/54/1125822554.db2.gz VPNQGUIFNMYDHE-DGCLKSJQSA-N -1 1 348.403 -0.310 20 0 EBADMM CC[N@@H+](CCNC(=O)c1c[n-]n2c1nccc2=O)CCn1cncn1 ZINC001481003809 1125906296 /nfs/dbraw/zinc/90/62/96/1125906296.db2.gz JWDTWSUPXISBGL-UHFFFAOYSA-N -1 1 344.379 -0.634 20 0 EBADMM CCC(=O)N1CCCC[C@@H]1C(=O)N(C)CCNCc1n[nH]c(=O)[n-]1 ZINC001481233956 1125948884 /nfs/dbraw/zinc/94/88/84/1125948884.db2.gz LJHNJYNZMLNBQU-LLVKDONJSA-N -1 1 338.412 -0.151 20 0 EBADMM O=C(CCc1n[nH]c(=O)[n-]c1=O)NC1CN(C[C@@H]2C[C@@]23CCOC3)C1 ZINC001481568580 1126015997 /nfs/dbraw/zinc/01/59/97/1126015997.db2.gz FTGIFKOXYYVPNM-MGPLVRAMSA-N -1 1 349.391 -0.558 20 0 EBADMM CO[C@@](C)(C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1)c1ccccc1 ZINC001481595602 1126020456 /nfs/dbraw/zinc/02/04/56/1126020456.db2.gz NJQKIVUQHUIKFU-QGZVFWFLSA-N -1 1 345.403 -0.029 20 0 EBADMM COc1ccc(OCC(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)cc1 ZINC001481600936 1126021307 /nfs/dbraw/zinc/02/13/07/1126021307.db2.gz ARZCKKFZHMGGRP-UHFFFAOYSA-N -1 1 347.375 -0.504 20 0 EBADMM CCOCCN1C[C@@H]2C[C@H]1CN2C(=O)CCCn1c(=O)[n-][nH]c1=O ZINC001481673817 1126035598 /nfs/dbraw/zinc/03/55/98/1126035598.db2.gz KUKLUZVTDVRKBT-RYUDHWBXSA-N -1 1 339.396 -0.209 20 0 EBADMM CN1C[C@H](C(=O)NCCN(C(=O)c2ncccc2[O-])C2CC2)CC1=O ZINC001408920709 1126052814 /nfs/dbraw/zinc/05/28/14/1126052814.db2.gz VXICXUFCXFDUNZ-LLVKDONJSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@H](C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1)C1CCC1 ZINC001482190817 1126095865 /nfs/dbraw/zinc/09/58/65/1126095865.db2.gz PQLMKIJUQVQVNL-AAEUAGOBSA-N -1 1 337.424 -0.138 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@@H]1OC[C@@H]2COCC[C@H]12 ZINC001482311629 1126128761 /nfs/dbraw/zinc/12/87/61/1126128761.db2.gz QCFCOYZESLWBST-MRBYEJRBSA-N -1 1 339.396 -0.502 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@]1(C)CCCS1(=O)=O ZINC001482341898 1126134426 /nfs/dbraw/zinc/13/44/26/1126134426.db2.gz DACAWRLOGFLYBJ-ZANVPECISA-N -1 1 345.425 -0.586 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)CNC(=O)c1ccccc1 ZINC001482355441 1126137235 /nfs/dbraw/zinc/13/72/35/1126137235.db2.gz GMJMZRONCMYMBL-LLVKDONJSA-N -1 1 346.391 -0.123 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H](O)C(F)(F)C2)[n-]n1 ZINC001414305496 1126188724 /nfs/dbraw/zinc/18/87/24/1126188724.db2.gz DSKDYDYBBIHGDY-ZETCQYMHSA-N -1 1 325.293 -0.413 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H](O)C(F)(F)C2)n[n-]1 ZINC001414305496 1126188726 /nfs/dbraw/zinc/18/87/26/1126188726.db2.gz DSKDYDYBBIHGDY-ZETCQYMHSA-N -1 1 325.293 -0.413 20 0 EBADMM CO[C@H](C(=O)NC1CN(C(=O)c2ncccc2[O-])C1)[C@H]1CCOC1 ZINC001409084213 1126189492 /nfs/dbraw/zinc/18/94/92/1126189492.db2.gz VOGHBSYMDAIEOO-HZMBPMFUSA-N -1 1 335.360 -0.221 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)C[C@H](C)OC)C1 ZINC001409081654 1126185849 /nfs/dbraw/zinc/18/58/49/1126185849.db2.gz ANOOFBHAFSOLFW-ZETCQYMHSA-N -1 1 340.336 -0.738 20 0 EBADMM CN(CCN(C)C(=O)C[C@@](C)(O)C1CC1)Cc1nc(=O)n(C)[n-]1 ZINC001482589699 1126206470 /nfs/dbraw/zinc/20/64/70/1126206470.db2.gz FCAQBHDOGANCTP-OAHLLOKOSA-N -1 1 325.413 -0.450 20 0 EBADMM CCC(=O)N[C@H](C)C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001409136562 1126211516 /nfs/dbraw/zinc/21/15/16/1126211516.db2.gz RAGZGSUCANOUJJ-SNVBAGLBSA-N -1 1 334.376 -0.110 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H](O)CNCc2nccn2C(F)F)c1[O-] ZINC001482721434 1126265593 /nfs/dbraw/zinc/26/55/93/1126265593.db2.gz KAFPIKNTJVZDTG-MRVPVSSYSA-N -1 1 344.322 -0.104 20 0 EBADMM CCN(CCN[C@H](C)c1cnccn1)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001482937062 1126304450 /nfs/dbraw/zinc/30/44/50/1126304450.db2.gz ZKMGRHCBCOEGBX-SECBINFHSA-N -1 1 333.352 -0.114 20 0 EBADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C1CS(=O)(=O)C1 ZINC001409261471 1126319988 /nfs/dbraw/zinc/31/99/88/1126319988.db2.gz LTDXPNCUEALJPW-JTQLQIEISA-N -1 1 341.389 -0.544 20 0 EBADMM CN(CCOCCN(C)C(=O)c1ccoc1)Cc1nc(=O)n(C)[n-]1 ZINC001691589729 1126323051 /nfs/dbraw/zinc/32/30/51/1126323051.db2.gz AJLMLRUIYYPVRC-UHFFFAOYSA-N -1 1 337.380 -0.078 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)C(C)(C)N1CCOCC1 ZINC001409379661 1126360975 /nfs/dbraw/zinc/36/09/75/1126360975.db2.gz PCROHUYWXMNGTE-MNOVXSKESA-N -1 1 340.428 -0.396 20 0 EBADMM C[C@H](CNC(=O)[C@H]1Cc2cccnc2C1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001409657022 1126389291 /nfs/dbraw/zinc/38/92/91/1126389291.db2.gz QJGSLYADTACRDX-YPMHNXCESA-N -1 1 344.419 -0.145 20 0 EBADMM C[C@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)c1ccnc2n[nH]nc21 ZINC001483361409 1126420320 /nfs/dbraw/zinc/42/03/20/1126420320.db2.gz HUXAARFDRMPLQE-MRVPVSSYSA-N -1 1 345.367 -0.975 20 0 EBADMM C[C@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)c1nccn2ccnc12 ZINC001483387008 1126422240 /nfs/dbraw/zinc/42/22/40/1126422240.db2.gz DAOAQIXZJIJKEU-SNVBAGLBSA-N -1 1 344.379 -0.599 20 0 EBADMM C[C@H](CN(C)Cc1cc(=O)n2[n-]ccc2n1)NC(=O)CCCC(N)=O ZINC001483426716 1126427645 /nfs/dbraw/zinc/42/76/45/1126427645.db2.gz FYOSFBKNIOOMLJ-LLVKDONJSA-N -1 1 348.407 -0.385 20 0 EBADMM CNC(=O)C1(C(=O)N[C@@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)CCC1 ZINC001483600334 1126438393 /nfs/dbraw/zinc/43/83/93/1126438393.db2.gz HDUBUJQZYCJYKP-JTQLQIEISA-N -1 1 336.396 -0.589 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N[C@@H](CNCc1nccs1)C1CC1 ZINC001483601824 1126438985 /nfs/dbraw/zinc/43/89/85/1126438985.db2.gz LTRBBANSPSRTRD-VIFPVBQESA-N -1 1 338.393 -0.170 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@@H]1CCN1Cc1ncccn1 ZINC001483688539 1126458742 /nfs/dbraw/zinc/45/87/42/1126458742.db2.gz PWCCQHXBOMXOQT-LBPRGKRZSA-N -1 1 344.375 -0.893 20 0 EBADMM C[C@H](CN1CCOCC1)C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[n-]1 ZINC001483703093 1126461383 /nfs/dbraw/zinc/46/13/83/1126461383.db2.gz TZKLECXMPIYVCZ-NEPJUHHUSA-N -1 1 338.412 -0.831 20 0 EBADMM O=S(=O)([N-]C[C@H](O)[C@H]1CCCO1)c1nc[nH]c1Br ZINC001365721424 1126471268 /nfs/dbraw/zinc/47/12/68/1126471268.db2.gz GAHHDQUNNHFTSC-NKWVEPMBSA-N -1 1 340.199 -0.010 20 0 EBADMM CC(C)[C@H](C)C(=O)NC[C@]1(O)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001484240080 1126537301 /nfs/dbraw/zinc/53/73/01/1126537301.db2.gz KXZYVSDRNPMKKF-XHDPSFHLSA-N -1 1 325.413 -0.547 20 0 EBADMM Cc1cccc(C(=O)NCC2(O)CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001484257870 1126542434 /nfs/dbraw/zinc/54/24/34/1126542434.db2.gz IGUCUVLXBWUMPB-UHFFFAOYSA-N -1 1 331.376 -0.606 20 0 EBADMM Cn1[n-]c(CN2CCC[C@](CO)(NC(=O)C(C)(F)F)C2)nc1=O ZINC001484424320 1126573834 /nfs/dbraw/zinc/57/38/34/1126573834.db2.gz ACWBJYWVRSYVRG-ZDUSSCGKSA-N -1 1 333.339 -0.793 20 0 EBADMM CCC[C@H](C)C(=O)N[C@]1(CO)CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001484429633 1126575892 /nfs/dbraw/zinc/57/58/92/1126575892.db2.gz DHKUSVOZWTXNDN-BLLLJJGKSA-N -1 1 339.440 -0.012 20 0 EBADMM C[C@]1(C(=O)N(CCO)CCNCc2n[nH]c(=O)[n-]2)CCCOC1 ZINC001484497701 1126598058 /nfs/dbraw/zinc/59/80/58/1126598058.db2.gz SHLBQAHQGBICCN-AWEZNQCLSA-N -1 1 327.385 -0.763 20 0 EBADMM COC[C@@H](C)CC(=O)N1CCC(O)(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001484962591 1126656650 /nfs/dbraw/zinc/65/66/50/1126656650.db2.gz BLJUCRCNDWDREY-NSHDSACASA-N -1 1 341.412 -0.374 20 0 EBADMM COCC(=O)NC[C@@H]1c2ccccc2CCN1Cc1nc(=O)n(C)[n-]1 ZINC001485005144 1126662746 /nfs/dbraw/zinc/66/27/46/1126662746.db2.gz PXQGYLTVNSJXKN-CQSZACIVSA-N -1 1 345.403 -0.030 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)CC(=O)N1CCOCC1 ZINC001485293836 1126686361 /nfs/dbraw/zinc/68/63/61/1126686361.db2.gz RLBRHRQDDURWQN-LBPRGKRZSA-N -1 1 336.392 -0.304 20 0 EBADMM CCS(=O)(=O)NCCN(C)[C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001485293310 1126686376 /nfs/dbraw/zinc/68/63/76/1126686376.db2.gz MNIOYQLUTYGRKK-NSHDSACASA-N -1 1 344.437 -0.223 20 0 EBADMM CN(C(=O)CN1CC(CCO)(NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC001485403782 1126701983 /nfs/dbraw/zinc/70/19/83/1126701983.db2.gz JONKWQYVXOSBGB-UHFFFAOYSA-N -1 1 348.403 -0.425 20 0 EBADMM C[C@@H](NC(=O)CCc1nccn1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001485517281 1126740433 /nfs/dbraw/zinc/74/04/33/1126740433.db2.gz VLNVQWHMSFPHMD-LLVKDONJSA-N -1 1 347.423 -0.589 20 0 EBADMM COCC(C)(C)C(=O)N1CCO[C@@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC001485641303 1126783920 /nfs/dbraw/zinc/78/39/20/1126783920.db2.gz REXATMWGJLLGCP-WDEREUQCSA-N -1 1 341.412 -0.112 20 0 EBADMM CNC(=O)[C@@H](C)N(C)CCOCCNC(=O)c1[nH]nc(C)c1[O-] ZINC001485773894 1126833170 /nfs/dbraw/zinc/83/31/70/1126833170.db2.gz QKXGSIMLGFSIIJ-SNVBAGLBSA-N -1 1 327.385 -0.764 20 0 EBADMM CN(C(=O)c1ccc(=O)[nH]n1)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001485799167 1126839330 /nfs/dbraw/zinc/83/93/30/1126839330.db2.gz ZRWJYZDUZNLYRB-SNVBAGLBSA-N -1 1 333.352 -0.354 20 0 EBADMM O=C(C[C@H]1CCCO1)NC[C@H](CO)NCc1cc(=O)n2[n-]ccc2n1 ZINC001485976041 1126934769 /nfs/dbraw/zinc/93/47/69/1126934769.db2.gz JAPGEBJGSZHQOH-CHWSQXEVSA-N -1 1 349.391 -0.842 20 0 EBADMM Cc1ccc(CC(=O)NC[C@H](CO)NCc2nc(=O)n(C)[n-]2)cc1 ZINC001486013415 1126963983 /nfs/dbraw/zinc/96/39/83/1126963983.db2.gz AZSPFNHAFAFEDI-CYBMUJFWSA-N -1 1 333.392 -0.774 20 0 EBADMM CC[C@H]1CC[C@H](C(=O)NC[C@@H](CO)NCc2nc(=O)n(C)[n-]2)CC1 ZINC001486055670 1127025024 /nfs/dbraw/zinc/02/50/24/1127025024.db2.gz NXYIQRUWBIHGNE-AVGNSLFASA-N -1 1 339.440 -0.109 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CN(C)C(=O)C1CC1 ZINC001486115999 1127039337 /nfs/dbraw/zinc/03/93/37/1127039337.db2.gz VCLKPYHTECSNAJ-GXSJLCMTSA-N -1 1 336.396 -0.542 20 0 EBADMM NC(=O)NC(=O)CN1CC[C@]2(NC(=O)c3ncccc3[O-])CCC[C@@H]12 ZINC001486152116 1127050395 /nfs/dbraw/zinc/05/03/95/1127050395.db2.gz ODFDVKHUBGSUDO-BDJLRTHQSA-N -1 1 347.375 -0.291 20 0 EBADMM CN(C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)c1cc2cccn2cn1 ZINC001486286054 1127083587 /nfs/dbraw/zinc/08/35/87/1127083587.db2.gz UBYXKAKKIYDHIJ-NSHDSACASA-N -1 1 345.363 -0.619 20 0 EBADMM CN(C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1Cc2cccnc2C1 ZINC001486314422 1127116859 /nfs/dbraw/zinc/11/68/59/1127116859.db2.gz WKMLUBDMTYGBOC-NEPJUHHUSA-N -1 1 346.391 -0.771 20 0 EBADMM COCCN(CCNC(=O)c1ncccc1[O-])[C@H](C)c1nnnn1C ZINC001486427420 1127170789 /nfs/dbraw/zinc/17/07/89/1127170789.db2.gz DKFKSCMRXBXOFS-LLVKDONJSA-N -1 1 349.395 -0.250 20 0 EBADMM Cc1nn(C)c(C)c1OCC(=O)N[C@@H](C)[C@@H](C)NC(=O)c1cnn[nH]1 ZINC001486704157 1127239516 /nfs/dbraw/zinc/23/95/16/1127239516.db2.gz IYVGGLBBMZZMFA-DTWKUNHWSA-N -1 1 349.395 -0.143 20 0 EBADMM CN(CCN(C)C(=O)[C@H]1CC[C@H]1C(N)=O)C(=O)c1ncccc1[O-] ZINC001409975916 1127291291 /nfs/dbraw/zinc/29/12/91/1127291291.db2.gz AAQNLGYHJSOSLU-MNOVXSKESA-N -1 1 334.376 -0.171 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1C[C@H](CNC(=O)C2CCC2)C1 ZINC001487438021 1127510323 /nfs/dbraw/zinc/51/03/23/1127510323.db2.gz WJJWNWIXPXURPM-AULYBMBSSA-N -1 1 348.403 -0.262 20 0 EBADMM CN(C(=O)c1ccn(C)c(=O)c1)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001410295988 1127673210 /nfs/dbraw/zinc/67/32/10/1127673210.db2.gz PRCKGIATFLEIMR-GFCCVEGCSA-N -1 1 346.391 -0.151 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CCCCN1C(N)=O ZINC001410301677 1127674934 /nfs/dbraw/zinc/67/49/34/1127674934.db2.gz HKELKHYLHLHRRB-MNOVXSKESA-N -1 1 349.391 -0.045 20 0 EBADMM CO[C@H]1CS(=O)(=O)C[C@@H]1[N-]S(=O)(=O)c1cc(C)ns1 ZINC001364909331 1127722361 /nfs/dbraw/zinc/72/23/61/1127722361.db2.gz UZZWDNFEJQGFLU-YUMQZZPRSA-N -1 1 326.421 -0.458 20 0 EBADMM COC[C@H](C)CS(=O)(=O)[N-][C@@H](CC1OCCO1)C(=O)OC ZINC001434674359 1127768550 /nfs/dbraw/zinc/76/85/50/1127768550.db2.gz NQGOBOBMJDRAAV-UWVGGRQHSA-N -1 1 325.383 -0.507 20 0 EBADMM CC(C)c1nnc(CN[C@H](CO)CNC(=O)c2ncccc2[O-])[nH]1 ZINC001410613481 1127773876 /nfs/dbraw/zinc/77/38/76/1127773876.db2.gz ZYGJJPGZKPNWJV-JTQLQIEISA-N -1 1 334.380 -0.091 20 0 EBADMM Cn1[n-]c(CN[C@@H](CO)CNC(=O)CC2CC(F)(F)C2)nc1=O ZINC001410688813 1127817265 /nfs/dbraw/zinc/81/72/65/1127817265.db2.gz XNGMTAXWIGGNOS-SECBINFHSA-N -1 1 333.339 -0.890 20 0 EBADMM CC[C@@H](NC(C)=O)C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001410999619 1127967877 /nfs/dbraw/zinc/96/78/77/1127967877.db2.gz WYPYYXUCQXPJCA-GHMZBOCLSA-N -1 1 338.412 -0.248 20 0 EBADMM COCc1ccc(C(=O)N(C)C[C@@H](O)CNCc2n[nH]c(=O)[n-]2)o1 ZINC001411062996 1127995759 /nfs/dbraw/zinc/99/57/59/1127995759.db2.gz ONGCNNLVUAWALR-VIFPVBQESA-N -1 1 339.352 -0.528 20 0 EBADMM Cn1nnc(C(=O)NC[C@]2(C)CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001411068916 1127999229 /nfs/dbraw/zinc/99/92/29/1127999229.db2.gz ONARVRUGFFKFBH-HNNXBMFYSA-N -1 1 345.363 -0.407 20 0 EBADMM C[C@@H](C(=O)N(C)C[C@@H](O)CN(C)Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001411140016 1128034390 /nfs/dbraw/zinc/03/43/90/1128034390.db2.gz AALYCTUXIWBSGE-PWSUYJOCSA-N -1 1 325.413 -0.594 20 0 EBADMM O=C(NC[C@]1(O)CCN(C(=O)[C@@H]2CCCOC2)C1)c1ncccc1[O-] ZINC001411160834 1128052751 /nfs/dbraw/zinc/05/27/51/1128052751.db2.gz PMPVAVHACLRNTA-SJKOYZFVSA-N -1 1 349.387 -0.093 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H](O)CN(C)C(=O)c1cncs1 ZINC001411165507 1128063245 /nfs/dbraw/zinc/06/32/45/1128063245.db2.gz LNOSSCBTJNCIMB-SECBINFHSA-N -1 1 340.409 -0.870 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H](O)CN(C)C(=O)[C@H]1CCC1(F)F ZINC001411168427 1128065177 /nfs/dbraw/zinc/06/51/77/1128065177.db2.gz PVLMEMACVYVESB-NXEZZACHSA-N -1 1 347.366 -0.595 20 0 EBADMM Cn1nc(C(=O)N[C@H](CNCc2n[nH]c(=O)[n-]2)C(C)(C)C)ccc1=O ZINC001411219158 1128094370 /nfs/dbraw/zinc/09/43/70/1128094370.db2.gz CEZBQBPAJHBNGJ-SNVBAGLBSA-N -1 1 349.395 -0.462 20 0 EBADMM C[C@H](NC(N)=O)C(=O)N1CCC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001411283462 1128119223 /nfs/dbraw/zinc/11/92/23/1128119223.db2.gz PVXHTMRIXOZILV-QWRGUYRKSA-N -1 1 349.391 -0.093 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)[C@@H]1COCCN1C ZINC001092555273 1128253033 /nfs/dbraw/zinc/25/30/33/1128253033.db2.gz PCKNMVZVYMDOIA-UTUOFQBUSA-N -1 1 338.412 -0.975 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)[C@@]4(C)CCOC4)C[C@]3(C)C2)nc1=O ZINC001092139388 1128204057 /nfs/dbraw/zinc/20/40/57/1128204057.db2.gz GSYBMXGMQYBIJP-DQYPLSBCSA-N -1 1 349.435 -0.185 20 0 EBADMM CC(C)C(=O)N[C@H]1CC2(CN(Cc3nc(=O)n(C)[n-]3)C2)n2ccnc21 ZINC001092350712 1128226319 /nfs/dbraw/zinc/22/63/19/1128226319.db2.gz VNLRTYPFGICREQ-NSHDSACASA-N -1 1 345.407 -0.267 20 0 EBADMM CCn1nc(C)c(C(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C)n1 ZINC001093258689 1128310438 /nfs/dbraw/zinc/31/04/38/1128310438.db2.gz MVRGQRBEXIDDMC-MWLCHTKSSA-N -1 1 348.411 -0.072 20 0 EBADMM CN(CCOCCNC(=O)Cn1ccnc1)C(=O)c1ncccc1[O-] ZINC001487584778 1128375973 /nfs/dbraw/zinc/37/59/73/1128375973.db2.gz HFGRHDUYEXDALS-UHFFFAOYSA-N -1 1 347.375 -0.111 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1CN(C)CCO1)NC(=O)c1ncccc1[O-] ZINC001487739978 1128409761 /nfs/dbraw/zinc/40/97/61/1128409761.db2.gz SZTSCTFDHUWMPG-AAEUAGOBSA-N -1 1 336.392 -0.306 20 0 EBADMM CO[C@H](C(=O)N[C@H](CO)CNC(=O)c1ncccc1[O-])C(C)C ZINC001487974987 1128470608 /nfs/dbraw/zinc/47/06/08/1128470608.db2.gz BKTWFMWXZPRZQC-GWCFXTLKSA-N -1 1 325.365 -0.335 20 0 EBADMM O=C(C[C@@H]1CCCCO1)N[C@@H](CO)CNC(=O)c1ncccc1[O-] ZINC001487975027 1128470804 /nfs/dbraw/zinc/47/08/04/1128470804.db2.gz BSFMSIQPQQIYOK-NEPJUHHUSA-N -1 1 337.376 -0.047 20 0 EBADMM O=C(N[C@@H](CO)CNC(=O)c1ncccc1[O-])c1cn2c(n1)CCC2 ZINC001487977163 1128473134 /nfs/dbraw/zinc/47/31/34/1128473134.db2.gz LYSZNWLGVZQYRV-SNVBAGLBSA-N -1 1 345.359 -0.549 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H]1C[C@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC001488178148 1128643058 /nfs/dbraw/zinc/64/30/58/1128643058.db2.gz INJAORXCDGYKSK-JQWIXIFHSA-N -1 1 334.376 -0.015 20 0 EBADMM CN(C[C@@H](O)CNC(=O)Cc1ncc[nH]1)C(=O)c1ncccc1[O-] ZINC001488350874 1128688516 /nfs/dbraw/zinc/68/85/16/1128688516.db2.gz NEEXZSPIPGTEQA-JTQLQIEISA-N -1 1 333.348 -0.698 20 0 EBADMM Cc1nn(C)cc1C(=O)NC[C@@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001488352121 1128689938 /nfs/dbraw/zinc/68/99/38/1128689938.db2.gz YEKFKDLYJIVKKH-LLVKDONJSA-N -1 1 347.375 -0.308 20 0 EBADMM COCC(=O)NC[C@H](O)CN(C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001488360454 1128698487 /nfs/dbraw/zinc/69/84/87/1128698487.db2.gz JSCDEQGCMZGFHV-JTQLQIEISA-N -1 1 338.364 -0.745 20 0 EBADMM Cc1ccnn1CC(=O)N(C)C[C@H](O)CNC(=O)c1ncccc1[O-] ZINC001488361470 1128699352 /nfs/dbraw/zinc/69/93/52/1128699352.db2.gz VGAVPUDXONZOHC-GFCCVEGCSA-N -1 1 347.375 -0.459 20 0 EBADMM CN(C[C@@H](O)CN(C)C(=O)c1ncccc1[O-])C(=O)c1ccnn1C ZINC001488427011 1128756536 /nfs/dbraw/zinc/75/65/36/1128756536.db2.gz WITBGNARCDLJGY-LLVKDONJSA-N -1 1 347.375 -0.274 20 0 EBADMM COCCN(CCNC(=O)c1[nH]nnc1C)C(=O)c1ncccc1[O-] ZINC001488541143 1128785784 /nfs/dbraw/zinc/78/57/84/1128785784.db2.gz RYQQYIVCUMDOHQ-UHFFFAOYSA-N -1 1 348.363 -0.268 20 0 EBADMM CN(CCOCCN(C)C(=O)C(C)(F)F)Cc1nc(=O)n(C)[n-]1 ZINC001489211121 1128932433 /nfs/dbraw/zinc/93/24/33/1128932433.db2.gz JGUCBSZLMXNVPD-UHFFFAOYSA-N -1 1 335.355 -0.330 20 0 EBADMM COC[C@@H](C)CC(=O)NC[C@@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001493148966 1129022544 /nfs/dbraw/zinc/02/25/44/1129022544.db2.gz ULUVCUMECFLQBT-RYUDHWBXSA-N -1 1 325.413 -0.138 20 0 EBADMM CCCC(=O)N1CC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)[C@H]1C ZINC001490111701 1129108611 /nfs/dbraw/zinc/10/86/11/1129108611.db2.gz IGNJXHLBXSGOOZ-NEPJUHHUSA-N -1 1 336.392 -0.168 20 0 EBADMM C[C@H](NC(=O)c1ccc(=O)n(C)n1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001411399059 1129287316 /nfs/dbraw/zinc/28/73/16/1129287316.db2.gz VCGZJHZBMXJEIO-UWVGGRQHSA-N -1 1 345.359 -0.182 20 0 EBADMM C[C@@H](NC(=O)c1cnn[nH]1)[C@H](C)NC(=O)c1cn2c(n1)COCC2 ZINC001411409808 1129289464 /nfs/dbraw/zinc/28/94/64/1129289464.db2.gz BDIQUCRIEODTDL-BDAKNGLRSA-N -1 1 333.352 -0.532 20 0 EBADMM COCCn1cc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c(=O)cc1C ZINC001411768335 1129375396 /nfs/dbraw/zinc/37/53/96/1129375396.db2.gz AKXOMWONZXXXPY-ZDUSSCGKSA-N -1 1 348.363 -0.470 20 0 EBADMM C[C@]1(CC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)CCS(=O)(=O)N1 ZINC001412053427 1129435882 /nfs/dbraw/zinc/43/58/82/1129435882.db2.gz WJRHEIZMLCWVOC-NOZJJQNGSA-N -1 1 344.393 -0.047 20 0 EBADMM C[C@@]1(CC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)CCS(=O)(=O)N1 ZINC001412053451 1129436283 /nfs/dbraw/zinc/43/62/83/1129436283.db2.gz WJRHEIZMLCWVOC-RNCFNFMXSA-N -1 1 344.393 -0.047 20 0 EBADMM CC(C)NS(=O)(=O)N1CCN(C(=O)c2ccc([O-])cn2)CC1 ZINC001412217103 1129479231 /nfs/dbraw/zinc/47/92/31/1129479231.db2.gz WFEIXODRELVCLY-UHFFFAOYSA-N -1 1 328.394 -0.212 20 0 EBADMM COC(=O)c1cc(C(C)=O)c(NC(=O)CN2CCC(=O)NC2=O)[n-]1 ZINC001412281235 1129495097 /nfs/dbraw/zinc/49/50/97/1129495097.db2.gz WWDWFBFYTITFEA-UHFFFAOYSA-N -1 1 336.304 -0.116 20 0 EBADMM O=C(CCCS(=O)(=O)N1CCCC1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001412486774 1129539979 /nfs/dbraw/zinc/53/99/79/1129539979.db2.gz HBBJNASYLNLFKO-NSHDSACASA-N -1 1 342.425 -0.279 20 0 EBADMM CC[C@@H](C(=O)N(C)C[C@H](C)NC(=O)c1cnn[nH]1)N1CCCC1=O ZINC001412527977 1129551482 /nfs/dbraw/zinc/55/14/82/1129551482.db2.gz BKKILBMCQNPAGV-JQWIXIFHSA-N -1 1 336.396 -0.218 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C[C@@H](C)NC(=O)C(F)F ZINC001412607728 1129575109 /nfs/dbraw/zinc/57/51/09/1129575109.db2.gz HVPXDTIMAOUVOQ-RXMQYKEDSA-N -1 1 334.279 -0.262 20 0 EBADMM CSc1ncc(C(=O)N2CCO[C@@H](CS(C)(=O)=O)C2)c(=O)[n-]1 ZINC001412742116 1129607847 /nfs/dbraw/zinc/60/78/47/1129607847.db2.gz XUAOODUIQMHXAP-MRVPVSSYSA-N -1 1 347.418 -0.210 20 0 EBADMM C[C@H](CC(=O)N[C@@H](CO)CNC(=O)c1ncccc1[O-])n1cccn1 ZINC001412804766 1129661943 /nfs/dbraw/zinc/66/19/43/1129661943.db2.gz FWGWBZDXXWDPEL-VXGBXAGGSA-N -1 1 347.375 -0.158 20 0 EBADMM O=C(C1CC1)N1CCC[C@H]1C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001412895502 1129764905 /nfs/dbraw/zinc/76/49/05/1129764905.db2.gz DIKFTYOEJWMXBF-NSHDSACASA-N -1 1 334.380 -0.589 20 0 EBADMM COC(=O)[C@@H]1CCCC[C@@H]1C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001412904380 1129771129 /nfs/dbraw/zinc/77/11/29/1129771129.db2.gz UKKXQXPXFIGPGZ-WDEREUQCSA-N -1 1 337.380 -0.011 20 0 EBADMM CSc1ncc(C(=O)NC[C@@]2(O)CCS(=O)(=O)C2)c(=O)[n-]1 ZINC001412974601 1129829906 /nfs/dbraw/zinc/82/99/06/1129829906.db2.gz YQIJBYHRRGEWFI-NSHDSACASA-N -1 1 333.391 -0.817 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCCC[C@H]1CS(C)(=O)=O ZINC001412978667 1129834368 /nfs/dbraw/zinc/83/43/68/1129834368.db2.gz CPCWMHVBGQCSND-QMMMGPOBSA-N -1 1 345.377 -0.064 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@H](NC(=O)N(C)C)C1 ZINC001413076832 1129920813 /nfs/dbraw/zinc/92/08/13/1129920813.db2.gz AUWQKXVABYAWER-QMMMGPOBSA-N -1 1 339.352 -0.228 20 0 EBADMM CN(C[C@H](O)CNC(=O)c1ncccc1[O-])C(=O)C[C@@H]1CCOC1 ZINC001413239524 1129963854 /nfs/dbraw/zinc/96/38/54/1129963854.db2.gz RFHXKGJJNUJFTP-NWDGAFQWSA-N -1 1 337.376 -0.237 20 0 EBADMM Cc1ncc(C(=O)NC[C@@H](O)CN(C)C(=O)c2ncccc2[O-])n1C ZINC001413243985 1129968255 /nfs/dbraw/zinc/96/82/55/1129968255.db2.gz GGAYVKTZVMEFJU-LLVKDONJSA-N -1 1 347.375 -0.308 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCc2nnc3n2CCC3)[n-]n1 ZINC001413271009 1129981891 /nfs/dbraw/zinc/98/18/91/1129981891.db2.gz XULVUGRRYIPLNQ-UHFFFAOYSA-N -1 1 326.338 -0.788 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCc2nnc3n2CCC3)n[n-]1 ZINC001413271009 1129981892 /nfs/dbraw/zinc/98/18/92/1129981892.db2.gz XULVUGRRYIPLNQ-UHFFFAOYSA-N -1 1 326.338 -0.788 20 0 EBADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@H](C)CS(C)(=O)=O)n[n-]1 ZINC001413274981 1129983801 /nfs/dbraw/zinc/98/38/01/1129983801.db2.gz VXOZEIQGXXMJSI-HTQZYQBOSA-N -1 1 332.382 -0.161 20 0 EBADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@H](C)CS(C)(=O)=O)[n-]1 ZINC001413274981 1129983805 /nfs/dbraw/zinc/98/38/05/1129983805.db2.gz VXOZEIQGXXMJSI-HTQZYQBOSA-N -1 1 332.382 -0.161 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@H](C)CS(C)(=O)=O)n1 ZINC001413274981 1129983808 /nfs/dbraw/zinc/98/38/08/1129983808.db2.gz VXOZEIQGXXMJSI-HTQZYQBOSA-N -1 1 332.382 -0.161 20 0 EBADMM COC[C@@](C)([N-]S(=O)(=O)C1CCS(=O)(=O)CC1)C(=O)OC ZINC001413311217 1130005520 /nfs/dbraw/zinc/00/55/20/1130005520.db2.gz VWPLLSMUMRBIPK-LLVKDONJSA-N -1 1 343.423 -0.939 20 0 EBADMM O=C(C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F)N1CCOCC1 ZINC001413318631 1130011291 /nfs/dbraw/zinc/01/12/91/1130011291.db2.gz HFSHBTORFWTODP-UHFFFAOYSA-N -1 1 342.299 -0.434 20 0 EBADMM COC(=O)[C@H](Cc1ccncc1)[N-]S(=O)(=O)CCn1cccn1 ZINC001413363150 1130047733 /nfs/dbraw/zinc/04/77/33/1130047733.db2.gz YQLCDECPZREJGA-ZDUSSCGKSA-N -1 1 338.389 -0.018 20 0 EBADMM CN(C[C@@H](O)CN(C)C(=O)[C@]12C[C@H]1COC2)C(=O)c1ncccc1[O-] ZINC001413371591 1130058746 /nfs/dbraw/zinc/05/87/46/1130058746.db2.gz GQHPDWNPBMSUFS-JKDFXYPNSA-N -1 1 349.387 -0.285 20 0 EBADMM O=C(C1CCN(c2cnccn2)CC1)N1CCOC[C@H]1c1nn[n-]n1 ZINC001413385608 1130065712 /nfs/dbraw/zinc/06/57/12/1130065712.db2.gz HMELMNLYNOCIQS-LBPRGKRZSA-N -1 1 344.379 -0.194 20 0 EBADMM CCO[C@@H](C(=O)N1CCOC[C@H]1c1nn[n-]n1)C1CCOCC1 ZINC001413390294 1130068799 /nfs/dbraw/zinc/06/87/99/1130068799.db2.gz WOSVBQMXVXDYQL-NWDGAFQWSA-N -1 1 325.369 -0.069 20 0 EBADMM O=C1OC[C@]2(C[N-]S(=O)(=O)c3c[nH]nc3Cl)COCCN12 ZINC001413415369 1130078183 /nfs/dbraw/zinc/07/81/83/1130078183.db2.gz BYEDKKBMXCNEDB-JTQLQIEISA-N -1 1 336.757 -0.437 20 0 EBADMM COC(=O)[C@@H]1CC[C@H]([N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)C1 ZINC001413447631 1130091602 /nfs/dbraw/zinc/09/16/02/1130091602.db2.gz XNZKTUMBTGONJI-SFYZADRCSA-N -1 1 331.350 -0.894 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC(N3CCCC3=O)C2)[n-]n1 ZINC001413447531 1130091798 /nfs/dbraw/zinc/09/17/98/1130091798.db2.gz QMLCTNGDBINWPW-UHFFFAOYSA-N -1 1 328.350 -0.808 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC(N3CCCC3=O)C2)n[n-]1 ZINC001413447531 1130091805 /nfs/dbraw/zinc/09/18/05/1130091805.db2.gz QMLCTNGDBINWPW-UHFFFAOYSA-N -1 1 328.350 -0.808 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(Cc2ccno2)CC1 ZINC001413466074 1130097754 /nfs/dbraw/zinc/09/77/54/1130097754.db2.gz DXZPBJALJDPOBD-UHFFFAOYSA-N -1 1 335.320 -0.158 20 0 EBADMM COC[C@@H](NC(=O)Cn1ncc(Br)c1C)c1nn[n-]n1 ZINC001413554882 1130132338 /nfs/dbraw/zinc/13/23/38/1130132338.db2.gz PAMAENKQUVVVNG-MRVPVSSYSA-N -1 1 344.173 -0.029 20 0 EBADMM CCOC(=O)CC1(NC(=O)c2coc(S(=O)(=O)[N-]C)c2)COC1 ZINC001413746771 1130253612 /nfs/dbraw/zinc/25/36/12/1130253612.db2.gz GGWCVZVITNRMOE-UHFFFAOYSA-N -1 1 346.361 -0.360 20 0 EBADMM O=C(CSc1n[nH]c(=O)[n-]1)N1CC[C@@H]2[C@@H]1CCCN2CCO ZINC001413767353 1130263838 /nfs/dbraw/zinc/26/38/38/1130263838.db2.gz NQENWCJQVIFPRL-ZJUUUORDSA-N -1 1 327.410 -0.340 20 0 EBADMM O=C(CSc1n[nH]c(=O)[n-]1)N1CC[C@H]2[C@H]1CCCN2CCO ZINC001413767342 1130263882 /nfs/dbraw/zinc/26/38/82/1130263882.db2.gz NQENWCJQVIFPRL-VHSXEESVSA-N -1 1 327.410 -0.340 20 0 EBADMM CCO[C@H](C)C(=O)NC[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001491094177 1130321332 /nfs/dbraw/zinc/32/13/32/1130321332.db2.gz SLDLETNVPUQLMZ-NEPJUHHUSA-N -1 1 325.413 -0.138 20 0 EBADMM CCO[C@@H](C)C(=O)NC[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001491094180 1130321416 /nfs/dbraw/zinc/32/14/16/1130321416.db2.gz SLDLETNVPUQLMZ-NWDGAFQWSA-N -1 1 325.413 -0.138 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H]2CNC(=O)CC(C)(C)O)nc1=O ZINC001491206730 1130361461 /nfs/dbraw/zinc/36/14/61/1130361461.db2.gz QZEOQLHPIKCLQZ-NSHDSACASA-N -1 1 325.413 -0.260 20 0 EBADMM CS(=O)(=O)CCCC(=O)NCCNC(=O)c1ncccc1[O-] ZINC001491245939 1130371110 /nfs/dbraw/zinc/37/11/10/1130371110.db2.gz VKCJBPDDASBCAC-UHFFFAOYSA-N -1 1 329.378 -0.542 20 0 EBADMM CCn1ncc(C(=O)NCCNC(=O)c2cnc(C3CC3)[n-]c2=O)n1 ZINC001491271290 1130381616 /nfs/dbraw/zinc/38/16/16/1130381616.db2.gz QGGBJLVDCWEEPL-UHFFFAOYSA-N -1 1 345.363 -0.169 20 0 EBADMM CN(CCNC(=O)c1c(F)cncc1F)Cc1nc(=O)n(C)[n-]1 ZINC001492262862 1130611748 /nfs/dbraw/zinc/61/17/48/1130611748.db2.gz SUNPIIMKCSFCLP-UHFFFAOYSA-N -1 1 326.307 -0.357 20 0 EBADMM CCc1nnc(CNC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)[nH]1 ZINC001413833994 1130681006 /nfs/dbraw/zinc/68/10/06/1130681006.db2.gz OOIPENVAXUHHJU-UHFFFAOYSA-N -1 1 333.352 -0.065 20 0 EBADMM CO[C@@H](C)CC(=O)NC[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001480247397 1130764557 /nfs/dbraw/zinc/76/45/57/1130764557.db2.gz UCPHDDKPSXOYJH-NWDGAFQWSA-N -1 1 325.413 -0.138 20 0 EBADMM O=C(CCC1CC1)NCC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001356804668 1130947078 /nfs/dbraw/zinc/94/70/78/1130947078.db2.gz ALZUNIJMAMMRMJ-UHFFFAOYSA-N -1 1 348.403 -0.309 20 0 EBADMM CCC(=O)NC[C@@](C)(NC(=O)CCn1cc[n-]c(=O)c1=O)C1CC1 ZINC001356959128 1131009492 /nfs/dbraw/zinc/00/94/92/1131009492.db2.gz LUIFKVBYIWPIBN-MRXNPFEDSA-N -1 1 336.392 -0.262 20 0 EBADMM CC[C@H](OC)C(=O)NC/C=C/CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001357645533 1131110709 /nfs/dbraw/zinc/11/07/09/1131110709.db2.gz FGNNSRVDNODAMY-ZWNMCFTASA-N -1 1 348.363 -0.755 20 0 EBADMM C[C@H](C(=O)NC/C=C\CNC(=O)c1cc(=O)n2[n-]cnc2n1)C1CC1 ZINC001357701061 1131117357 /nfs/dbraw/zinc/11/73/57/1131117357.db2.gz NSLCZBHEPDANRC-ZMWSMAPVSA-N -1 1 344.375 -0.134 20 0 EBADMM O=C(NC/C=C/CNC(=O)[C@@H]1CCNC(=O)C1)c1ncccc1[O-] ZINC001357721122 1131119203 /nfs/dbraw/zinc/11/92/03/1131119203.db2.gz LLWOJYISRDUXSE-FMBMQGQPSA-N -1 1 332.360 -0.284 20 0 EBADMM O=C(C[C@H]1CCNC1=O)NC/C=C/CNC(=O)c1ncccc1[O-] ZINC001357749890 1131122539 /nfs/dbraw/zinc/12/25/39/1131122539.db2.gz AGAPGNVGTVARPE-FMBMQGQPSA-N -1 1 332.360 -0.284 20 0 EBADMM O=C(C[C@H]1CCNC1=O)NC/C=C\CNC(=O)c1ncccc1[O-] ZINC001357749892 1131122783 /nfs/dbraw/zinc/12/27/83/1131122783.db2.gz AGAPGNVGTVARPE-RFMOXSJOSA-N -1 1 332.360 -0.284 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H](C)N1C(=O)CCC1=O ZINC001358203411 1131171616 /nfs/dbraw/zinc/17/16/16/1131171616.db2.gz JFGSLWOYMGLKTG-NXEZZACHSA-N -1 1 348.359 -0.441 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001358331525 1131180378 /nfs/dbraw/zinc/18/03/78/1131180378.db2.gz MDEAMCLKZVLNSQ-NDBYEHHHSA-N -1 1 348.403 -0.406 20 0 EBADMM CCN(CC)S(=O)(=O)c1cc(C(=O)N(C)c2nn[n-]n2)n(C)c1 ZINC001361668430 1131437825 /nfs/dbraw/zinc/43/78/25/1131437825.db2.gz YVJLKQHPPZYOPZ-UHFFFAOYSA-N -1 1 341.397 -0.155 20 0 EBADMM CCOC(=O)N1CSC[C@H]1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001361785166 1131455430 /nfs/dbraw/zinc/45/54/30/1131455430.db2.gz RRBNVCBZRXNSCK-IUCAKERBSA-N -1 1 342.381 -0.369 20 0 EBADMM O=C([C@@H]1CCCN1C(=O)C(F)(F)F)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001361786024 1131455500 /nfs/dbraw/zinc/45/55/00/1131455500.db2.gz UKCZLSGMXQMMLY-YUMQZZPRSA-N -1 1 348.285 -0.347 20 0 EBADMM O=C(CNC(=O)c1cccc([O-])c1F)NCCN1CCOCC1 ZINC001361915271 1131478593 /nfs/dbraw/zinc/47/85/93/1131478593.db2.gz OLNTYAVAWAVYNM-UHFFFAOYSA-N -1 1 325.340 -0.291 20 0 EBADMM COC(=O)C[C@H]1C(=O)NCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001361919253 1131478912 /nfs/dbraw/zinc/47/89/12/1131478912.db2.gz UYEAMKBGLSOGLP-JTQLQIEISA-N -1 1 334.332 -0.437 20 0 EBADMM CCO[C@H](C(=O)N1CCO[C@H](c2nn[n-]n2)C1)C1CCOCC1 ZINC001361924516 1131480967 /nfs/dbraw/zinc/48/09/67/1131480967.db2.gz NKMOVZQAZCSJJB-RYUDHWBXSA-N -1 1 325.369 -0.069 20 0 EBADMM NC(=O)c1ccccc1NCC(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001361926412 1131481426 /nfs/dbraw/zinc/48/14/26/1131481426.db2.gz QXQAONWEEJJILH-NSHDSACASA-N -1 1 331.336 -0.689 20 0 EBADMM O=C(CCCn1c(=O)[n-][nH]c1=O)N1CC[C@@H](CN2CCOCC2)C1 ZINC001361968349 1131490012 /nfs/dbraw/zinc/49/00/12/1131490012.db2.gz HTTSAIFBAOXOSN-LBPRGKRZSA-N -1 1 339.396 -0.350 20 0 EBADMM O=C(CCc1nn[n-]n1)NCc1ccc(C(=O)N2CCOCC2)cc1 ZINC001362010706 1131496509 /nfs/dbraw/zinc/49/65/09/1131496509.db2.gz SDRUKTPXKJLNEC-UHFFFAOYSA-N -1 1 344.375 -0.079 20 0 EBADMM CCC[C@@H](NC(=O)C1=CN(C)S(=O)(=O)N=C1C)c1nn[n-]n1 ZINC001362208070 1131536875 /nfs/dbraw/zinc/53/68/75/1131536875.db2.gz YHPBSDWXDWVQSH-SECBINFHSA-N -1 1 327.370 -0.308 20 0 EBADMM O=C([C@@H]1COCCN1CC(F)F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362209644 1131536925 /nfs/dbraw/zinc/53/69/25/1131536925.db2.gz QGSFXECPRSBYHV-UWVGGRQHSA-N -1 1 330.339 -0.128 20 0 EBADMM CSc1ncc(C(=O)N[C@H]2CCCN(CC(N)=O)C2)c(=O)[n-]1 ZINC001362240894 1131543025 /nfs/dbraw/zinc/54/30/25/1131543025.db2.gz DKVGXFRGDFROKX-QMMMGPOBSA-N -1 1 325.394 -0.416 20 0 EBADMM CS(=O)(=O)Cc1nc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)co1 ZINC001362265193 1131548449 /nfs/dbraw/zinc/54/84/49/1131548449.db2.gz ATJUJWYIFKEYJJ-QMMMGPOBSA-N -1 1 340.365 -0.248 20 0 EBADMM CC[C@@](COC)(NC(=O)c1ccc(S(=O)(=O)[N-]C)o1)C(=O)OC ZINC001362533372 1131604129 /nfs/dbraw/zinc/60/41/29/1131604129.db2.gz KCQOSAXHLVWOLC-ZDUSSCGKSA-N -1 1 348.377 -0.114 20 0 EBADMM Cc1ncc2n1C[C@H](C(=O)N1CCC(O)(c3nn[n-]n3)CC1)CC2 ZINC001362561485 1131611138 /nfs/dbraw/zinc/61/11/38/1131611138.db2.gz NDENWYNHZMRYIT-LLVKDONJSA-N -1 1 331.380 -0.223 20 0 EBADMM CN(C)C(=O)O[C@H]1CCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC001362616140 1131625662 /nfs/dbraw/zinc/62/56/62/1131625662.db2.gz STXBVRNEMXAUBL-JTQLQIEISA-N -1 1 336.348 -0.184 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N(C2CC2)C2COC2)o1 ZINC001362636564 1131629328 /nfs/dbraw/zinc/62/93/28/1131629328.db2.gz VPKKICSOHCGNKD-UHFFFAOYSA-N -1 1 343.361 -0.953 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)C1(S(C)(=O)=O)CC1 ZINC001362659486 1131635030 /nfs/dbraw/zinc/63/50/30/1131635030.db2.gz CIDOVUVHHCTXBT-ZCFIWIBFSA-N -1 1 331.350 -0.408 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)N1CCC(c2nc[nH]n2)CC1 ZINC001362684139 1131641082 /nfs/dbraw/zinc/64/10/82/1131641082.db2.gz VOAFNMPQBAZPBN-UHFFFAOYSA-N -1 1 328.336 -0.405 20 0 EBADMM COC(=O)c1cc(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)ccn1 ZINC001362722067 1131653578 /nfs/dbraw/zinc/65/35/78/1131653578.db2.gz DZJLIIPKGNYVIZ-UHFFFAOYSA-N -1 1 332.320 -0.495 20 0 EBADMM Cc1ccn(C[C@](C)(O)C(=O)NCc2nc([O-])cc(=O)[nH]2)c(=O)c1 ZINC001362729260 1131656552 /nfs/dbraw/zinc/65/65/52/1131656552.db2.gz ZPYIGUZZYRQXFL-HNNXBMFYSA-N -1 1 334.332 -0.575 20 0 EBADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)[C@@H](O)C(C)(C)O)CC2)n1 ZINC001362762499 1131666641 /nfs/dbraw/zinc/66/66/41/1131666641.db2.gz ZLROONVVRRVEEA-SNVBAGLBSA-N -1 1 340.380 -0.181 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@](C)(CO)[C@](C)(CO)C1 ZINC001362763955 1131667142 /nfs/dbraw/zinc/66/71/42/1131667142.db2.gz CJZOXKWNHBTEHO-OKILXGFUSA-N -1 1 327.337 -0.651 20 0 EBADMM O=C([C@@H]1CC12CCS(=O)(=O)CC2)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001362824761 1131686402 /nfs/dbraw/zinc/68/64/02/1131686402.db2.gz YZKCRBRCCMUPDG-ZJUUUORDSA-N -1 1 325.394 -0.270 20 0 EBADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@](C)(O)Cn1ccccc1=O ZINC001362829005 1131687969 /nfs/dbraw/zinc/68/79/69/1131687969.db2.gz DOWISIRCMOSMQE-HNNXBMFYSA-N -1 1 334.380 -0.203 20 0 EBADMM COC(=O)CCN(CC(=O)OC(C)(C)C)C(=O)CCc1nn[n-]n1 ZINC001362842109 1131692103 /nfs/dbraw/zinc/69/21/03/1131692103.db2.gz NIMWZMVMNPAEAP-UHFFFAOYSA-N -1 1 341.368 -0.134 20 0 EBADMM CC(C)NC(=O)CN(C)CC(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001362909206 1131710937 /nfs/dbraw/zinc/71/09/37/1131710937.db2.gz WLQQVCRURFTREJ-LBPRGKRZSA-N -1 1 337.428 -0.563 20 0 EBADMM COC(=O)[C@@H]1CSCCN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001362932092 1131716612 /nfs/dbraw/zinc/71/66/12/1131716612.db2.gz YSECCBNBRLCLHI-LURJTMIESA-N -1 1 329.334 -0.373 20 0 EBADMM COc1cc(CO)ccc1C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001363028221 1131753068 /nfs/dbraw/zinc/75/30/68/1131753068.db2.gz LGHSRFDNTQZQFR-UHFFFAOYSA-N -1 1 333.348 -0.176 20 0 EBADMM Cn1nc(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)cc1C(F)F ZINC001363029463 1131753780 /nfs/dbraw/zinc/75/37/80/1131753780.db2.gz QSJSYEAJHJLIOX-UHFFFAOYSA-N -1 1 327.295 -0.005 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCOC12CCOCC2 ZINC001363046059 1131760355 /nfs/dbraw/zinc/76/03/55/1131760355.db2.gz YGRQELPRJHDOLN-MRVPVSSYSA-N -1 1 325.321 -0.036 20 0 EBADMM O=C(c1c[n-]n2c1nccc2=O)N1CCC(N2CCOCC2)CC1 ZINC001363048963 1131762295 /nfs/dbraw/zinc/76/22/95/1131762295.db2.gz HFUNNSFQSCPFTG-UHFFFAOYSA-N -1 1 331.376 -0.041 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H](CO)OC(C)(C)C2)o1 ZINC001363094785 1131782117 /nfs/dbraw/zinc/78/21/17/1131782117.db2.gz YNHNCFPUQUIXSQ-SECBINFHSA-N -1 1 332.378 -0.200 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC([C@H](F)C(N)=O)CC2)co1 ZINC001363096046 1131782975 /nfs/dbraw/zinc/78/29/75/1131782975.db2.gz RUINSQJWWBSKGM-NSHDSACASA-N -1 1 347.368 -0.137 20 0 EBADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)[C@H]3C[C@H]3C(N)=O)CC2)n1 ZINC001363102208 1131785674 /nfs/dbraw/zinc/78/56/74/1131785674.db2.gz FZWGMLGLAHKMLI-ZJUUUORDSA-N -1 1 335.364 -0.191 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2nn(C)cc2C(=O)OC)co1 ZINC001363113832 1131790201 /nfs/dbraw/zinc/79/02/01/1131790201.db2.gz SNZAZOQCPIQSSF-UHFFFAOYSA-N -1 1 342.333 -0.040 20 0 EBADMM COC(=O)C[C@@H]1CS(=O)(=O)CCN1C(=O)c1ncccc1[O-] ZINC001363132426 1131795723 /nfs/dbraw/zinc/79/57/23/1131795723.db2.gz AZVCJCPRAWJGGB-SECBINFHSA-N -1 1 328.346 -0.411 20 0 EBADMM Cc1oc(S(C)(=O)=O)cc1C(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001363203719 1131829090 /nfs/dbraw/zinc/82/90/90/1131829090.db2.gz KWKVKFBWQDJILO-SECBINFHSA-N -1 1 341.349 -0.282 20 0 EBADMM C[C@H](C(=O)N(C)CC(=O)N1CCOC[C@H]1c1nn[n-]n1)n1cccn1 ZINC001363204059 1131829312 /nfs/dbraw/zinc/82/93/12/1131829312.db2.gz NCZSRJJMVXPASD-MNOVXSKESA-N -1 1 348.367 -0.984 20 0 EBADMM O=C([N-]CC1CN(C(=O)[C@@H]2CCS(=O)(=O)C2)C1)C(F)(F)F ZINC001363210713 1131833714 /nfs/dbraw/zinc/83/37/14/1131833714.db2.gz CURIRMMMWDNHCA-MRVPVSSYSA-N -1 1 328.312 -0.442 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@H]1CC(=O)N(CC2CC2)C1 ZINC001363238164 1131844197 /nfs/dbraw/zinc/84/41/97/1131844197.db2.gz JKJWCUWLEJYQDQ-NSHDSACASA-N -1 1 332.360 -0.356 20 0 EBADMM Cn1cc([C@H]2CS(=O)(=O)CCCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001363325665 1131901175 /nfs/dbraw/zinc/90/11/75/1131901175.db2.gz BKPYRPRFEZHWLF-LLVKDONJSA-N -1 1 340.409 -0.796 20 0 EBADMM O=C(CCc1nn[n-]n1)N1Cc2cccnc2N2C[C@H](O)C[C@H]2C1 ZINC001363329293 1131902237 /nfs/dbraw/zinc/90/22/37/1131902237.db2.gz PHKCUYNPIQQWLH-NWDGAFQWSA-N -1 1 329.364 -0.491 20 0 EBADMM COCCn1cc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)c(=O)cc1C ZINC001363411833 1131938014 /nfs/dbraw/zinc/93/80/14/1131938014.db2.gz QCUIXLMWAMGUQR-LBPRGKRZSA-N -1 1 348.363 -0.470 20 0 EBADMM CO[C@@H]1CCn2cc(C(=O)N3CCOC[C@H]3c3nn[n-]n3)nc2C1 ZINC001363411207 1131938344 /nfs/dbraw/zinc/93/83/44/1131938344.db2.gz ZGUYGRIMNXCRNI-KOLCDFICSA-N -1 1 333.352 -0.429 20 0 EBADMM NC(=O)c1cccc(OCCC(=O)N2CCOC[C@@H]2c2nn[n-]n2)c1 ZINC001363409240 1131938559 /nfs/dbraw/zinc/93/85/59/1131938559.db2.gz AOADPZPVTHNMEJ-GFCCVEGCSA-N -1 1 346.347 -0.332 20 0 EBADMM CC(C)CN1N=C(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)CCC1=O ZINC001363411917 1131938741 /nfs/dbraw/zinc/93/87/41/1131938741.db2.gz SFCSAXNYHVYYFW-LLVKDONJSA-N -1 1 335.368 -0.266 20 0 EBADMM O=C([C@H]1CC(=O)N(c2cn[nH]c2)C1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001363433177 1131947863 /nfs/dbraw/zinc/94/78/63/1131947863.db2.gz KNPCQMLQTCEBQF-MNOVXSKESA-N -1 1 344.379 -0.243 20 0 EBADMM CCOC(=O)N1CCC[C@H](C(=O)N[C@@H](COC)c2nn[n-]n2)C1 ZINC001363437199 1131949797 /nfs/dbraw/zinc/94/97/97/1131949797.db2.gz PQHMAEIHWKCRSR-UWVGGRQHSA-N -1 1 326.357 -0.128 20 0 EBADMM COC[C@H](NC(=O)c1cc(N2CCOCC2)ccn1)c1nn[n-]n1 ZINC001363438041 1131950483 /nfs/dbraw/zinc/95/04/83/1131950483.db2.gz SZGZIHODKWPGIZ-LBPRGKRZSA-N -1 1 333.352 -0.451 20 0 EBADMM CN(C(=O)[C@]1(C)C[C@@H](O)CN1C(=O)OC(C)(C)C)c1nn[n-]n1 ZINC001363492391 1131972458 /nfs/dbraw/zinc/97/24/58/1131972458.db2.gz XPXURAUBVDACKG-OQPBUACISA-N -1 1 326.357 -0.077 20 0 EBADMM CN(C(=O)[C@@]1(C)C[C@@H](O)CN1C(=O)OC(C)(C)C)c1nn[n-]n1 ZINC001363492388 1131972517 /nfs/dbraw/zinc/97/25/17/1131972517.db2.gz XPXURAUBVDACKG-AMIZOPFISA-N -1 1 326.357 -0.077 20 0 EBADMM CN(C(=O)[C@@]1(C)C[C@H](O)CN1C(=O)OC(C)(C)C)c1nn[n-]n1 ZINC001363492389 1131972944 /nfs/dbraw/zinc/97/29/44/1131972944.db2.gz XPXURAUBVDACKG-ISVAXAHUSA-N -1 1 326.357 -0.077 20 0 EBADMM O=C(c1ccc2c(c1)C(=O)NCCO2)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001363510466 1131983596 /nfs/dbraw/zinc/98/35/96/1131983596.db2.gz FMQJYTGJEBQQJF-LLVKDONJSA-N -1 1 344.331 -0.464 20 0 EBADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2C[C@@H](O)[C@@H](O)C2)o1 ZINC001363531844 1131998325 /nfs/dbraw/zinc/99/83/25/1131998325.db2.gz IUOUILBRVPZFCM-OWUUHHOZSA-N -1 1 346.405 -0.030 20 0 EBADMM O=C(c1cn(C(F)(F)CO)nn1)N1CCC(c2nn[n-]n2)CC1 ZINC001363663422 1132048862 /nfs/dbraw/zinc/04/88/62/1132048862.db2.gz ZFFFKTYHRVSWNI-UHFFFAOYSA-N -1 1 328.283 -0.647 20 0 EBADMM COCC(=O)N(C)CC(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC001363672191 1132052305 /nfs/dbraw/zinc/05/23/05/1132052305.db2.gz IPOROWOBJOTJSC-JTQLQIEISA-N -1 1 338.364 -0.041 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)[C@@]2(C)CCCS2(=O)=O)n[n-]1 ZINC001363707803 1132063825 /nfs/dbraw/zinc/06/38/25/1132063825.db2.gz KXVOYMXMVFKESZ-GFCCVEGCSA-N -1 1 330.366 -0.435 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@]2(C)CCCS2(=O)=O)n1 ZINC001363707803 1132063835 /nfs/dbraw/zinc/06/38/35/1132063835.db2.gz KXVOYMXMVFKESZ-GFCCVEGCSA-N -1 1 330.366 -0.435 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@H](CO)[C@@H](CCO)C2)co1 ZINC001363711642 1132065239 /nfs/dbraw/zinc/06/52/39/1132065239.db2.gz PSQMIBZFQJQGCO-GXSJLCMTSA-N -1 1 332.378 -0.749 20 0 EBADMM CC(C)[C@@H](O)C(C)(C)C[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001363827955 1132103940 /nfs/dbraw/zinc/10/39/40/1132103940.db2.gz AZQHFUDFFIGUPN-SNVBAGLBSA-N -1 1 333.410 -0.193 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@H](O)CC(F)(F)C2)[n-]n1 ZINC001363847751 1132111078 /nfs/dbraw/zinc/11/10/78/1132111078.db2.gz BFZMEJVFBOXMJJ-ZCFIWIBFSA-N -1 1 325.293 -0.413 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@H](O)CC(F)(F)C2)n[n-]1 ZINC001363847751 1132111081 /nfs/dbraw/zinc/11/10/81/1132111081.db2.gz BFZMEJVFBOXMJJ-ZCFIWIBFSA-N -1 1 325.293 -0.413 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](CCO)C1CCOCC1 ZINC001363853752 1132111888 /nfs/dbraw/zinc/11/18/88/1132111888.db2.gz QJBYXZWHHTYXPX-LLVKDONJSA-N -1 1 347.393 -0.348 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H](CO)Cc2ccccc2)c(=O)[nH]c1=O ZINC001363854770 1132113174 /nfs/dbraw/zinc/11/31/74/1132113174.db2.gz LYWFHZVUFIKQEA-LLVKDONJSA-N -1 1 339.373 -0.632 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCC(C)(C)[C@@]2(O)CCOC2)[n-]n1 ZINC001363945784 1132138532 /nfs/dbraw/zinc/13/85/32/1132138532.db2.gz MGXQLVFTUSDZKJ-CYBMUJFWSA-N -1 1 347.393 -0.348 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCC(C)(C)[C@@]2(O)CCOC2)n[n-]1 ZINC001363945784 1132138536 /nfs/dbraw/zinc/13/85/36/1132138536.db2.gz MGXQLVFTUSDZKJ-CYBMUJFWSA-N -1 1 347.393 -0.348 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H](CC(F)F)C(=O)OC)[n-]n1 ZINC001363966264 1132146590 /nfs/dbraw/zinc/14/65/90/1132146590.db2.gz ATINZMYQKQSHSP-LURJTMIESA-N -1 1 341.292 -0.329 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H](CC(F)F)C(=O)OC)n[n-]1 ZINC001363966264 1132146595 /nfs/dbraw/zinc/14/65/95/1132146595.db2.gz ATINZMYQKQSHSP-LURJTMIESA-N -1 1 341.292 -0.329 20 0 EBADMM COC[C@@H](NC(=O)CCS(=O)(=O)C1CCCC1)c1nn[n-]n1 ZINC001364035454 1132175580 /nfs/dbraw/zinc/17/55/80/1132175580.db2.gz QOAIMCARBGFGSV-SNVBAGLBSA-N -1 1 331.398 -0.249 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC[C@@H](O)C2CCCC2)c(=O)n(C)c1=O ZINC001364044136 1132181321 /nfs/dbraw/zinc/18/13/21/1132181321.db2.gz STAZXWYAUPWJED-LLVKDONJSA-N -1 1 345.421 -0.697 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC[C@H](O)C2CCCC2)c(=O)n(C)c1=O ZINC001364044138 1132181476 /nfs/dbraw/zinc/18/14/76/1132181476.db2.gz STAZXWYAUPWJED-NSHDSACASA-N -1 1 345.421 -0.697 20 0 EBADMM C[C@@H]([N-]S(=O)(=O)N=[S@](C)(=O)N(C)C)c1nnnn1C1CC1 ZINC001364052288 1132185106 /nfs/dbraw/zinc/18/51/06/1132185106.db2.gz FPZAZPHLWDVOEJ-GLEHDBDLSA-N -1 1 337.431 -0.522 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@]12COC(=O)N1CCOC2 ZINC001364054533 1132186529 /nfs/dbraw/zinc/18/65/29/1132186529.db2.gz MVPWDIDIMRFPQC-NSHDSACASA-N -1 1 332.338 -0.935 20 0 EBADMM COCCn1cc(C[N-]S(=O)(=O)c2ccns2)c(=O)[nH]c1=O ZINC001364062598 1132191439 /nfs/dbraw/zinc/19/14/39/1132191439.db2.gz CXUWPMBHJPPOPW-UHFFFAOYSA-N -1 1 346.390 -0.470 20 0 EBADMM COc1cnc([C@@H]2CCCN2S(=O)(=O)N2CCOCC2)[n-]c1=O ZINC001364075066 1132197542 /nfs/dbraw/zinc/19/75/42/1132197542.db2.gz XRWJJLANUYLKKV-JTQLQIEISA-N -1 1 344.393 -0.095 20 0 EBADMM CS(C)(=O)=NS(=O)(=O)[N-]CC1(C2(O)CCOCC2)CC1 ZINC001364110319 1132209247 /nfs/dbraw/zinc/20/92/47/1132209247.db2.gz OQZIEGOSNSAULY-UHFFFAOYSA-N -1 1 326.440 -0.130 20 0 EBADMM CNC(=O)[C@H]1CCC[C@@H]1NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001364229419 1132246761 /nfs/dbraw/zinc/24/67/61/1132246761.db2.gz KSRFWUDEEFFNOF-ONGXEEELSA-N -1 1 349.391 -0.031 20 0 EBADMM C[S@@](=O)C1(CNC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CC1 ZINC001364261593 1132254399 /nfs/dbraw/zinc/25/43/99/1132254399.db2.gz AKQXLRJZLWYHOP-HSZRJFAPSA-N -1 1 340.405 -0.035 20 0 EBADMM Cc1nn(CC(=O)CC[N-]C(=O)C(F)(F)F)c(=O)c(CO)c1C ZINC001364276373 1132259105 /nfs/dbraw/zinc/25/91/05/1132259105.db2.gz FEHGTLUIOBEYCC-UHFFFAOYSA-N -1 1 335.282 -0.010 20 0 EBADMM Cn1cnnc1N1CCN(Cc2nc3c(c(=O)[n-]2)COCC3)CC1 ZINC001364442265 1132318586 /nfs/dbraw/zinc/31/85/86/1132318586.db2.gz FAVPSQIULOGIMT-UHFFFAOYSA-N -1 1 331.380 -0.294 20 0 EBADMM O=c1[n-]c(CN2CCC[C@H]3OCCNC(=O)[C@@H]32)nc2c1COCC2 ZINC001364472592 1132330263 /nfs/dbraw/zinc/33/02/63/1132330263.db2.gz COHSTIVZENBXKT-TZMCWYRMSA-N -1 1 334.376 -0.266 20 0 EBADMM COC(=O)[C@@]1(O)CCN(C(=O)c2c(C)[n-]c(=O)nc2SC)C1 ZINC001364594437 1132369882 /nfs/dbraw/zinc/36/98/82/1132369882.db2.gz LJDOXLAQTGKAOP-CYBMUJFWSA-N -1 1 327.362 -0.037 20 0 EBADMM C[C@@H](NC(=O)Cn1cnnn1)C(=O)NCc1ccc([O-])c(Cl)c1 ZINC001364708874 1132398631 /nfs/dbraw/zinc/39/86/31/1132398631.db2.gz GUDLOTYCFSGXBF-MRVPVSSYSA-N -1 1 338.755 -0.147 20 0 EBADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)[C@@H](O)C(C)(C)O ZINC001364771870 1132421366 /nfs/dbraw/zinc/42/13/66/1132421366.db2.gz XMGUJJNJOJZJRP-LDYMZIIASA-N -1 1 326.353 -0.223 20 0 EBADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)[C@@H](O)C(C)(C)O ZINC001364771870 1132421372 /nfs/dbraw/zinc/42/13/72/1132421372.db2.gz XMGUJJNJOJZJRP-LDYMZIIASA-N -1 1 326.353 -0.223 20 0 EBADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)[C@@H](O)C(C)(C)O ZINC001364771870 1132421374 /nfs/dbraw/zinc/42/13/74/1132421374.db2.gz XMGUJJNJOJZJRP-LDYMZIIASA-N -1 1 326.353 -0.223 20 0 EBADMM CCOC(=O)[C@H]([N-]S(=O)(=O)[C@@H]1COC[C@H]1O)c1ccccc1 ZINC001364789042 1132427288 /nfs/dbraw/zinc/42/72/88/1132427288.db2.gz KPCYBNYUQLTLAP-JHJVBQTASA-N -1 1 329.374 -0.030 20 0 EBADMM O=C([C@@H]1CCCCS1(=O)=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001364817499 1132438131 /nfs/dbraw/zinc/43/81/31/1132438131.db2.gz BQXUXCSLNTXKQJ-QWRGUYRKSA-N -1 1 327.410 -0.052 20 0 EBADMM C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001364820118 1132439719 /nfs/dbraw/zinc/43/97/19/1132439719.db2.gz VBYSAGYTFWRJRB-OUJBWJOFSA-N -1 1 336.396 -0.744 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCN(C[C@@H](C)O)C[C@@H]2C)[n-]n1 ZINC001364819959 1132439739 /nfs/dbraw/zinc/43/97/39/1132439739.db2.gz BFUWHKVGDJESHN-VHSXEESVSA-N -1 1 346.409 -0.728 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCN(C[C@@H](C)O)C[C@@H]2C)n[n-]1 ZINC001364819959 1132439741 /nfs/dbraw/zinc/43/97/41/1132439741.db2.gz BFUWHKVGDJESHN-VHSXEESVSA-N -1 1 346.409 -0.728 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H]2CC[C@@H](C(N)=O)O2)c1 ZINC001364830321 1132445839 /nfs/dbraw/zinc/44/58/39/1132445839.db2.gz OFQYLOGLTAYHBY-KOLCDFICSA-N -1 1 330.362 -0.288 20 0 EBADMM COC[C@](C)([N-]S(=O)(=O)[C@H]1CC[C@@H](C(=O)OC)C1)C(=O)OC ZINC001364831636 1132446743 /nfs/dbraw/zinc/44/67/43/1132446743.db2.gz VAZPUTKJQGKVPR-NRUUGDAUSA-N -1 1 337.394 -0.174 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCOC[C@@H]2C[C@@H](C)O)[n-]n1 ZINC001364838825 1132448664 /nfs/dbraw/zinc/44/86/64/1132448664.db2.gz RWTFBGYXVXHOOO-BDAKNGLRSA-N -1 1 333.366 -0.643 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCOC[C@@H]2C[C@@H](C)O)n[n-]1 ZINC001364838825 1132448670 /nfs/dbraw/zinc/44/86/70/1132448670.db2.gz RWTFBGYXVXHOOO-BDAKNGLRSA-N -1 1 333.366 -0.643 20 0 EBADMM CNC(=O)C[C@H](C)[N-]S(=O)(=O)c1nc[nH]c1Br ZINC001364849338 1132452937 /nfs/dbraw/zinc/45/29/37/1132452937.db2.gz IUJNPWZZYGZOSW-YFKPBYRVSA-N -1 1 325.188 -0.025 20 0 EBADMM COc1nscc1S(=O)(=O)[N-]CC(=O)N=S(C)(C)=O ZINC001364866289 1132459164 /nfs/dbraw/zinc/45/91/64/1132459164.db2.gz IJUNXXREDCLGAD-UHFFFAOYSA-N -1 1 327.409 -0.316 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCN(C(C)(C)C)C2=O)[n-]n1 ZINC001364870091 1132461141 /nfs/dbraw/zinc/46/11/41/1132461141.db2.gz QFGZZAUGNOTFJM-MRVPVSSYSA-N -1 1 344.393 -0.126 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCN(C(C)(C)C)C2=O)n[n-]1 ZINC001364870091 1132461144 /nfs/dbraw/zinc/46/11/44/1132461144.db2.gz QFGZZAUGNOTFJM-MRVPVSSYSA-N -1 1 344.393 -0.126 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)c1cnc(N)c(C)c1)c1ccnn1C ZINC001364874053 1132463276 /nfs/dbraw/zinc/46/32/76/1132463276.db2.gz PLGHTFDZWLVEAW-LLVKDONJSA-N -1 1 339.377 -0.102 20 0 EBADMM COCC[C@@H]([N-]S(=O)(=O)[C@H]1CC(=O)N(C2CC2)C1)C(=O)OC ZINC001364875189 1132464400 /nfs/dbraw/zinc/46/44/00/1132464400.db2.gz OHVHDUWCVVHVTN-WDEREUQCSA-N -1 1 334.394 -0.753 20 0 EBADMM COCC[C@@H]([N-]S(=O)(=O)[C@@H]1CC(=O)N(C2CC2)C1)C(=O)OC ZINC001364875183 1132464391 /nfs/dbraw/zinc/46/43/91/1132464391.db2.gz OHVHDUWCVVHVTN-GHMZBOCLSA-N -1 1 334.394 -0.753 20 0 EBADMM CC[C@H](C)C[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)C(=O)OC ZINC001364888678 1132471344 /nfs/dbraw/zinc/47/13/44/1132471344.db2.gz YMSNNHFHDVUCPB-DTWKUNHWSA-N -1 1 347.393 -0.258 20 0 EBADMM CCCS(=O)(=O)N1CCC(NC(=O)CCCc2nn[n-]n2)CC1 ZINC001364904804 1132477433 /nfs/dbraw/zinc/47/74/33/1132477433.db2.gz XEBLQGXLMHLJMV-UHFFFAOYSA-N -1 1 344.441 -0.157 20 0 EBADMM O=c1[n-]c(CNS(=O)(=O)C[C@H]2CCOC2)nc2c1COCC2 ZINC001364908084 1132479812 /nfs/dbraw/zinc/47/98/12/1132479812.db2.gz SELMILVQXVFOSC-VIFPVBQESA-N -1 1 329.378 -0.289 20 0 EBADMM COC(=O)C1(NS(=O)(=O)c2cc(OC)ccc2[O-])CC(CO)C1 ZINC001365002161 1132517848 /nfs/dbraw/zinc/51/78/48/1132517848.db2.gz ODACSRDFGXJJFN-UHFFFAOYSA-N -1 1 345.373 -0.007 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H]3CCC[C@]3(C(N)=O)C2)o1 ZINC001365045262 1132528323 /nfs/dbraw/zinc/52/83/23/1132528323.db2.gz RFIFRBOAMRWLDA-XPTSAGLGSA-N -1 1 341.389 -0.085 20 0 EBADMM C[C@@H](NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)c1ncnn1C ZINC001365153216 1132562324 /nfs/dbraw/zinc/56/23/24/1132562324.db2.gz BIMAMORVJIKFDE-MRVPVSSYSA-N -1 1 333.352 -0.056 20 0 EBADMM CC(C)(C)OC(=O)N1CCN(C(=O)CCc2nn[n-]n2)[C@@H](CO)C1 ZINC001365165605 1132566473 /nfs/dbraw/zinc/56/64/73/1132566473.db2.gz RQXJGLIZZGGMCS-SNVBAGLBSA-N -1 1 340.384 -0.428 20 0 EBADMM NC(=O)C[C@@H]1CCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC001365240959 1132588936 /nfs/dbraw/zinc/58/89/36/1132588936.db2.gz KQWGVFOEPUKCER-VIFPVBQESA-N -1 1 335.364 -0.338 20 0 EBADMM C[C@@H]1CCNC(=O)[C@@H]1[N-]S(=O)(=O)c1nc[nH]c1Br ZINC001365385300 1132645939 /nfs/dbraw/zinc/64/59/39/1132645939.db2.gz MKXAFWMOSSHDHO-PHDIDXHHSA-N -1 1 337.199 -0.025 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@]1(C(=O)OC)CCSC1 ZINC001365387463 1132647891 /nfs/dbraw/zinc/64/78/91/1132647891.db2.gz DHZSJEOJEUZOCV-LLVKDONJSA-N -1 1 349.390 -0.477 20 0 EBADMM Cn1cc(S(=O)(=O)NCc2nc3c(c(=O)[n-]2)COCC3)cn1 ZINC001365453795 1132686358 /nfs/dbraw/zinc/68/63/58/1132686358.db2.gz HKWKVBUONJXDBD-UHFFFAOYSA-N -1 1 325.350 -0.533 20 0 EBADMM Cc1c[nH]c(=O)c(C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)c1 ZINC001365467188 1132696711 /nfs/dbraw/zinc/69/67/11/1132696711.db2.gz GQILYBCVAVJSJE-UHFFFAOYSA-N -1 1 326.334 -0.627 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCO[C@@H]([C@H]3CCCO3)C2)[n-]n1 ZINC001365681865 1132761181 /nfs/dbraw/zinc/76/11/81/1132761181.db2.gz YZAYKPBAUCANIF-GHMZBOCLSA-N -1 1 345.377 -0.235 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCO[C@@H]([C@H]3CCCO3)C2)n[n-]1 ZINC001365681865 1132761187 /nfs/dbraw/zinc/76/11/87/1132761187.db2.gz YZAYKPBAUCANIF-GHMZBOCLSA-N -1 1 345.377 -0.235 20 0 EBADMM CCOC(=O)[C@H](CO)[N-]S(=O)(=O)C1CCC(C(=O)OC)CC1 ZINC001365683933 1132761794 /nfs/dbraw/zinc/76/17/94/1132761794.db2.gz IWVCMTZDLQJKOZ-ILDUYXDCSA-N -1 1 337.394 -0.438 20 0 EBADMM NC(=O)C1=NO[C@@H](C[N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)C1 ZINC001365701106 1132767665 /nfs/dbraw/zinc/76/76/65/1132767665.db2.gz GLZVOZHRFGAERX-SCSAIBSYSA-N -1 1 341.271 -0.663 20 0 EBADMM CCOC(=O)[C@H](C)NC(=O)[C@@H](C)[N-]S(=O)(=O)c1ccns1 ZINC001365703884 1132768659 /nfs/dbraw/zinc/76/86/59/1132768659.db2.gz SDYOPPXLOYXAKJ-SFYZADRCSA-N -1 1 335.407 -0.122 20 0 EBADMM CN(C)S(=O)(=O)CCS(=O)(=O)[N-][C@@H]1CCCC12OCCO2 ZINC001365722937 1132776797 /nfs/dbraw/zinc/77/67/97/1132776797.db2.gz SOASEJCZKILUEI-SNVBAGLBSA-N -1 1 342.439 -0.907 20 0 EBADMM CC1(C)CNC(=O)[C@@H]1[N-]S(=O)(=O)c1nc[nH]c1Br ZINC001365722587 1132777024 /nfs/dbraw/zinc/77/70/24/1132777024.db2.gz HOWYFZZCOCNRKL-YFKPBYRVSA-N -1 1 337.199 -0.025 20 0 EBADMM Cn1cnnc1[C@H](CO)[N-]S(=O)(=O)c1cccc2c1COC2=O ZINC001365734854 1132783503 /nfs/dbraw/zinc/78/35/03/1132783503.db2.gz RSSOWSZBFQUGJJ-JTQLQIEISA-N -1 1 338.345 -0.503 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)CCn1ccnc1 ZINC001365798113 1132804632 /nfs/dbraw/zinc/80/46/32/1132804632.db2.gz VCGCQNUUJUHHSL-GFCCVEGCSA-N -1 1 333.396 -0.572 20 0 EBADMM CCNC(=O)NC(=O)[C@@H](C)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001365996404 1132957154 /nfs/dbraw/zinc/95/71/54/1132957154.db2.gz YIVUPBHXRZCTPV-GHMZBOCLSA-N -1 1 349.391 -0.175 20 0 EBADMM Cn1cncc1CCC(=O)NC[C@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001366052422 1132982494 /nfs/dbraw/zinc/98/24/94/1132982494.db2.gz CVFFGAJETUKQBB-LBPRGKRZSA-N -1 1 333.396 -0.139 20 0 EBADMM Cn1cncc1CC(=O)NC[C@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001366210131 1133057327 /nfs/dbraw/zinc/05/73/27/1133057327.db2.gz HUZGFYZHPQPRDF-GFCCVEGCSA-N -1 1 347.423 -0.445 20 0 EBADMM C[C@H](CNC(=O)Cn1[n-]cc2c(=O)ncnc1-2)NCc1ccns1 ZINC001366337169 1133103245 /nfs/dbraw/zinc/10/32/45/1133103245.db2.gz RLUXVIKNSHDDGJ-SECBINFHSA-N -1 1 347.404 -0.178 20 0 EBADMM CN(CCNC(=O)Cc1cc(C2CC2)no1)Cc1nc(=O)n(C)[n-]1 ZINC001366541765 1133176515 /nfs/dbraw/zinc/17/65/15/1133176515.db2.gz SUOCECAPYPGQJD-UHFFFAOYSA-N -1 1 334.380 -0.236 20 0 EBADMM CO[C@H]1CCC[C@@H](C(=O)NCCN(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001366561917 1133189099 /nfs/dbraw/zinc/18/90/99/1133189099.db2.gz AAQOMOCZBNRGIB-NEPJUHHUSA-N -1 1 325.413 -0.138 20 0 EBADMM CN(CCNC(=O)c1ccc(NC(N)=O)cc1)Cc1nc(=O)n(C)[n-]1 ZINC001366589183 1133200826 /nfs/dbraw/zinc/20/08/26/1133200826.db2.gz AJJAUQGDDLGSQI-UHFFFAOYSA-N -1 1 347.379 -0.539 20 0 EBADMM Cc1cc(CC(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)no1 ZINC001366661433 1133232973 /nfs/dbraw/zinc/23/29/73/1133232973.db2.gz UIRXEVZKTXKYDN-LBPRGKRZSA-N -1 1 334.380 -0.272 20 0 EBADMM Cc1cc(C(=O)NCCN(Cc2nc(=O)n(C)[n-]2)C2CC2)ncn1 ZINC001366734199 1133274262 /nfs/dbraw/zinc/27/42/62/1133274262.db2.gz MDLQYYOTDUENSH-UHFFFAOYSA-N -1 1 331.380 -0.399 20 0 EBADMM CCO[C@H](CC)C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001367041137 1133448338 /nfs/dbraw/zinc/44/83/38/1133448338.db2.gz ACVRYPQGEWDRCB-NWDGAFQWSA-N -1 1 325.413 -0.044 20 0 EBADMM Cc1c(C(=O)NC2(CNCc3n[nH]c(=O)[n-]3)CCCC2)nnn1C ZINC001367152047 1133488072 /nfs/dbraw/zinc/48/80/72/1133488072.db2.gz GGQBRKSHNHDEQA-UHFFFAOYSA-N -1 1 334.384 -0.220 20 0 EBADMM C[C@H](CNCc1ccn(C)n1)N(C)C(=O)CCc1n[nH]c(=O)[n-]c1=O ZINC001367367025 1133565574 /nfs/dbraw/zinc/56/55/74/1133565574.db2.gz XPZVGBLWZGEQLD-SNVBAGLBSA-N -1 1 349.395 -0.414 20 0 EBADMM COCc1nn(C)cc1C(=O)N(C)[C@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001367370531 1133566898 /nfs/dbraw/zinc/56/68/98/1133566898.db2.gz PJYZJIMYWJRVOH-SECBINFHSA-N -1 1 337.384 -0.360 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@@H]1C[C@H]1c1cnn(C)c1 ZINC001367379105 1133571130 /nfs/dbraw/zinc/57/11/30/1133571130.db2.gz LBEXZABNNMINLJ-ZMLRMANQSA-N -1 1 333.396 -0.016 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)C(C)(C)N1CCOCC1 ZINC001367395790 1133577910 /nfs/dbraw/zinc/57/79/10/1133577910.db2.gz XNDZSMXQKUIHGG-LLVKDONJSA-N -1 1 340.428 -0.442 20 0 EBADMM CC(C)[C@@H](CNC(=O)[C@]1(C)CCC(=O)NC1)NCc1n[nH]c(=O)[n-]1 ZINC001367476756 1133598257 /nfs/dbraw/zinc/59/82/57/1133598257.db2.gz CVIVJBMLEPHMGY-MEBBXXQBSA-N -1 1 338.412 -0.343 20 0 EBADMM CN(CCN(C)C(=O)c1ncccc1[O-])CC(=O)NC(=O)NC1CC1 ZINC001367511155 1133608055 /nfs/dbraw/zinc/60/80/55/1133608055.db2.gz IQSHTKMRRLKWKZ-UHFFFAOYSA-N -1 1 349.391 -0.221 20 0 EBADMM COC1CCC(C(=O)NC[C@@H](O)CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001367597587 1133672829 /nfs/dbraw/zinc/67/28/29/1133672829.db2.gz AWTHPANISXNBNS-YVNMAJEFSA-N -1 1 327.385 -0.718 20 0 EBADMM CC(C)[C@@H](O)C(=O)N[C@@H](C)CN(C)Cc1cc(=O)n2[n-]ccc2n1 ZINC001367801726 1133790185 /nfs/dbraw/zinc/79/01/85/1133790185.db2.gz BNGCLONIEGUIGO-XHDPSFHLSA-N -1 1 335.408 -0.024 20 0 EBADMM CN1CC[C@H](CC(=O)N[C@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)C1=O ZINC001367908919 1133834706 /nfs/dbraw/zinc/83/47/06/1133834706.db2.gz QAISNCPHCAOWNO-GHMZBOCLSA-N -1 1 336.396 -0.637 20 0 EBADMM COC[C@H](C)C(=O)NC1(C)CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001368122720 1133948490 /nfs/dbraw/zinc/94/84/90/1133948490.db2.gz OSLTWUWVBVZVLE-NSHDSACASA-N -1 1 325.413 -0.138 20 0 EBADMM CCC(=O)N[C@@H](C)C(=O)N[C@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001368147069 1133960265 /nfs/dbraw/zinc/96/02/65/1133960265.db2.gz BKAUOXRONZHCDF-ZUZCIYMTSA-N -1 1 338.412 -0.896 20 0 EBADMM Cn1cc(C(=O)NC[C@]2(C)CCCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001368215112 1133993166 /nfs/dbraw/zinc/99/31/66/1133993166.db2.gz BMNLDUXTEMFYQS-HNNXBMFYSA-N -1 1 348.411 -0.731 20 0 EBADMM C[C@@H](c1nnnn1C)N1CC[C@@](O)(CNC(=O)c2ncccc2[O-])C1 ZINC001368268320 1134069542 /nfs/dbraw/zinc/06/95/42/1134069542.db2.gz UJDWXMWQDHZOPR-ZUZCIYMTSA-N -1 1 347.379 -0.762 20 0 EBADMM Cc1ccc(C(=O)NCC2(O)CN(Cc3nc(=O)n(C)[n-]3)C2)cc1F ZINC001368300356 1134122949 /nfs/dbraw/zinc/12/29/49/1134122949.db2.gz SCWTWGGCMJNTGS-UHFFFAOYSA-N -1 1 349.366 -0.467 20 0 EBADMM COCC1(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001368512055 1134264185 /nfs/dbraw/zinc/26/41/85/1134264185.db2.gz LZRIDVAHCYPXLV-NSHDSACASA-N -1 1 339.396 -0.356 20 0 EBADMM O=C(Cc1cnoc1)NC1(CNCc2n[nH]c(=O)[n-]2)CCOCC1 ZINC001368637928 1134348975 /nfs/dbraw/zinc/34/89/75/1134348975.db2.gz VRBMNUSSYGLGOB-UHFFFAOYSA-N -1 1 336.352 -0.504 20 0 EBADMM O=C(NC1(CNCc2n[nH]c(=O)[n-]2)CCOCC1)[C@@H]1CC1(F)F ZINC001368673277 1134369507 /nfs/dbraw/zinc/36/95/07/1134369507.db2.gz MEZNVFFXICSPCW-QMMMGPOBSA-N -1 1 331.323 -0.080 20 0 EBADMM CO[C@H](C)CC(=O)N1CCC[C@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001368795098 1134443985 /nfs/dbraw/zinc/44/39/85/1134443985.db2.gz XLQWBIVPHUJYCF-NEPJUHHUSA-N -1 1 325.413 -0.044 20 0 EBADMM COc1c(C(=O)N[C@H](C)[C@H](C)NCc2n[nH]c(=O)[n-]2)c(C)nn1C ZINC001368863141 1134468392 /nfs/dbraw/zinc/46/83/92/1134468392.db2.gz AFBHYDBILMHGKB-JGVFFNPUSA-N -1 1 337.384 -0.143 20 0 EBADMM CO[C@@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C)C1CC1 ZINC001369131596 1134581210 /nfs/dbraw/zinc/58/12/10/1134581210.db2.gz XXSKBPXEGQNPPT-DGCLKSJQSA-N -1 1 337.424 -0.140 20 0 EBADMM CN(C(=O)[C@@H]1CC(=O)N(C)C1)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001369209136 1134617528 /nfs/dbraw/zinc/61/75/28/1134617528.db2.gz PXWUONYYVPRZFE-MNOVXSKESA-N -1 1 336.396 -0.685 20 0 EBADMM C[C@@H](CN(C)C(=O)COC[C@H]1CCCO1)NCc1n[nH]c(=O)[n-]1 ZINC001369292078 1134642860 /nfs/dbraw/zinc/64/28/60/1134642860.db2.gz AOHOGDFDVWWHCK-WDEREUQCSA-N -1 1 327.385 -0.358 20 0 EBADMM Cn1nc(C2CC2)nc1CN[C@@H](CO)CNC(=O)c1ncccc1[O-] ZINC001369324595 1134666270 /nfs/dbraw/zinc/66/62/70/1134666270.db2.gz NUCUGEJDPOUDLU-LLVKDONJSA-N -1 1 346.391 -0.326 20 0 EBADMM O=C(NC[C@@H](CO)NCc1cc(=O)n2[n-]ccc2n1)C1(C2CC2)CC1 ZINC001369330868 1134673420 /nfs/dbraw/zinc/67/34/20/1134673420.db2.gz CEDJTNOPMYYUJR-ZDUSSCGKSA-N -1 1 345.403 -0.221 20 0 EBADMM Cn1[n-]c(CN[C@H](CO)CNC(=O)[C@@H]2CCCCC2(F)F)nc1=O ZINC001369337807 1134683410 /nfs/dbraw/zinc/68/34/10/1134683410.db2.gz BTISDJFZQKBKPH-UWVGGRQHSA-N -1 1 347.366 -0.499 20 0 EBADMM Cn1[n-]c(CN[C@H](CO)CNC(=O)C2(C(F)F)CCCC2)nc1=O ZINC001369344745 1134692870 /nfs/dbraw/zinc/69/28/70/1134692870.db2.gz AGZWHRQGKYQUGJ-VIFPVBQESA-N -1 1 347.366 -0.499 20 0 EBADMM Cn1[n-]c(CN[C@@H](CO)CNC(=O)c2ccc(CF)cc2)nc1=O ZINC001369371833 1134728145 /nfs/dbraw/zinc/72/81/45/1134728145.db2.gz HCMSIGYPOXYWBQ-GFCCVEGCSA-N -1 1 337.355 -0.542 20 0 EBADMM CC(C)(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CCNC(=O)C1 ZINC001369536034 1134926130 /nfs/dbraw/zinc/92/61/30/1134926130.db2.gz AINUDAJKJIZOSP-NXEZZACHSA-N -1 1 338.412 -0.343 20 0 EBADMM CC(C)(C)[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)Cn1ccccc1=O ZINC001369552775 1134934602 /nfs/dbraw/zinc/93/46/02/1134934602.db2.gz KCUVKLNPDZAOGY-NSHDSACASA-N -1 1 348.407 -0.007 20 0 EBADMM C[C@@H](CNC(=O)[C@@H]1CCCO1)N(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001369773392 1135013116 /nfs/dbraw/zinc/01/31/16/1135013116.db2.gz WBDSTQGTYYDNGY-ONGXEEELSA-N -1 1 348.363 -0.827 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H](CNC(=O)[C@H]1COC(=O)N1)C1CC1 ZINC001370398545 1135333915 /nfs/dbraw/zinc/33/39/15/1135333915.db2.gz NWAFSGOBFDZKPJ-MNOVXSKESA-N -1 1 348.359 -0.138 20 0 EBADMM C[C@H](C(=O)NC[C@H](CO)NC(=O)c1ncccc1[O-])n1cccn1 ZINC001370656225 1135459217 /nfs/dbraw/zinc/45/92/17/1135459217.db2.gz QPATYYYTBKLSON-GHMZBOCLSA-N -1 1 333.348 -0.548 20 0 EBADMM CO[C@H](C(=O)NC[C@H](CO)NC(=O)c1ncccc1[O-])C1CCC1 ZINC001370753216 1135594340 /nfs/dbraw/zinc/59/43/40/1135594340.db2.gz JVKYZXOUGAEJAC-RISCZKNCSA-N -1 1 337.376 -0.191 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](CNC(=O)COCC(F)F)C2)nc1=O ZINC001370761231 1135604787 /nfs/dbraw/zinc/60/47/87/1135604787.db2.gz QSLNCJWDDLWAJF-JTQLQIEISA-N -1 1 347.366 -0.282 20 0 EBADMM Cc1nc(C)c(CC(=O)NC[C@H]2CCC[N@H+](CC(N)=O)C2)c(=O)[nH]1 ZINC001370785507 1135630573 /nfs/dbraw/zinc/63/05/73/1135630573.db2.gz DLCYNIHZIBYELQ-GFCCVEGCSA-N -1 1 335.408 -0.345 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C1(NC(N)=O)CC1 ZINC001370816695 1135656669 /nfs/dbraw/zinc/65/66/69/1135656669.db2.gz GHBQBZFFYSIAFJ-UWVGGRQHSA-N -1 1 347.375 -0.293 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C1(NC(N)=O)CC1 ZINC001370816698 1135656726 /nfs/dbraw/zinc/65/67/26/1135656726.db2.gz GHBQBZFFYSIAFJ-VHSXEESVSA-N -1 1 347.375 -0.293 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)CS(C)(=O)=O)CN1C(=O)c1ncccc1[O-] ZINC001370855556 1135672850 /nfs/dbraw/zinc/67/28/50/1135672850.db2.gz DPJNFIYCQLAOQG-ZJUUUORDSA-N -1 1 341.389 -0.449 20 0 EBADMM CN(C[C@@H](O)CNC(=O)c1ncccc1[O-])C(=O)CCn1ccnn1 ZINC001370992868 1135745958 /nfs/dbraw/zinc/74/59/58/1135745958.db2.gz SQCSGRNLQAILOG-NSHDSACASA-N -1 1 348.363 -0.982 20 0 EBADMM CN(C[C@@H](O)CN(C)C(=O)c1ncccc1[O-])C(=O)c1cnon1 ZINC001371047861 1135818483 /nfs/dbraw/zinc/81/84/83/1135818483.db2.gz QQFVVGHQKKEVFF-SECBINFHSA-N -1 1 335.320 -0.625 20 0 EBADMM COCCC(=O)N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H]1C ZINC001371776262 1136018594 /nfs/dbraw/zinc/01/85/94/1136018594.db2.gz BMJZGQYPBHKSDO-ZJUUUORDSA-N -1 1 348.363 -0.827 20 0 EBADMM C[C@H](CCNC(=O)[C@@H](C)CS(C)(=O)=O)NCc1n[nH]c(=O)[n-]1 ZINC001371889180 1136048541 /nfs/dbraw/zinc/04/85/41/1136048541.db2.gz REGQHRVMIGMMHN-DTWKUNHWSA-N -1 1 333.414 -0.825 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001372063762 1136102940 /nfs/dbraw/zinc/10/29/40/1136102940.db2.gz VIJGMFPXSPXFBC-CMPLNLGQSA-N -1 1 334.376 -0.015 20 0 EBADMM CNC(=O)CCCN(C)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001372189364 1136131748 /nfs/dbraw/zinc/13/17/48/1136131748.db2.gz YVYNOEFQXHOQJT-UHFFFAOYSA-N -1 1 337.380 -0.078 20 0 EBADMM Cn1cnc(C(=O)N[C@H](CNC(=O)c2ncccc2[O-])C2CC2)n1 ZINC001372378242 1136185130 /nfs/dbraw/zinc/18/51/30/1136185130.db2.gz IXOLLERVSFBOAQ-SNVBAGLBSA-N -1 1 330.348 -0.146 20 0 EBADMM CCC(=O)NCC(=O)N[C@@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001372377344 1136185137 /nfs/dbraw/zinc/18/51/37/1136185137.db2.gz FIXMOAIWFLOEHV-NSHDSACASA-N -1 1 334.376 -0.062 20 0 EBADMM CCN(CCNC(=O)Cc1ncccc1F)Cc1nc(=O)n(C)[n-]1 ZINC001372396505 1136190563 /nfs/dbraw/zinc/19/05/63/1136190563.db2.gz HYJQEKOVANEZBJ-UHFFFAOYSA-N -1 1 336.371 -0.177 20 0 EBADMM Cn1nnc(CN2CC[C@H](CCNC(=O)c3ncccc3[O-])C2)n1 ZINC001373180212 1136391946 /nfs/dbraw/zinc/39/19/46/1136391946.db2.gz PXGIAGSAFYSWCE-NSHDSACASA-N -1 1 331.380 -0.047 20 0 EBADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)CC1CS(=O)(=O)C1 ZINC001373414197 1136449196 /nfs/dbraw/zinc/44/91/96/1136449196.db2.gz QZYNSPQWYNFWQA-UHFFFAOYSA-N -1 1 341.389 -0.590 20 0 EBADMM CCO[C@@H](C)C(=O)N(C)CCNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001373572004 1136499491 /nfs/dbraw/zinc/49/94/91/1136499491.db2.gz BCBNHUXHYRPKBM-QMMMGPOBSA-N -1 1 342.352 -0.490 20 0 EBADMM CN(CCNC(=O)[C@]1(C)CCOC1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001373633233 1136520666 /nfs/dbraw/zinc/52/06/66/1136520666.db2.gz HYIQVHUMEJAHHA-OAHLLOKOSA-N -1 1 348.363 -0.968 20 0 EBADMM CCN(C(=O)C[C@@H]1CCOC1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001373705980 1136542713 /nfs/dbraw/zinc/54/27/13/1136542713.db2.gz YAHAZHOFORDOBW-QWHCGFSZSA-N -1 1 337.424 -0.042 20 0 EBADMM CCN(C(=O)COCC(F)F)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001373712854 1136545979 /nfs/dbraw/zinc/54/59/79/1136545979.db2.gz XGMHOULLBHRFIC-SNVBAGLBSA-N -1 1 347.366 -0.187 20 0 EBADMM CCC(=O)N1CC[C@@H](C(=O)NC[C@@H](CC)NCc2n[nH]c(=O)[n-]2)C1 ZINC001373809824 1136565181 /nfs/dbraw/zinc/56/51/81/1136565181.db2.gz BFALKQXUDCWHHL-GHMZBOCLSA-N -1 1 338.412 -0.247 20 0 EBADMM CC[C@@H](CNC(=O)CC[C@@]1(C)CCC(=O)N1)NCc1n[nH]c(=O)[n-]1 ZINC001373834386 1136569956 /nfs/dbraw/zinc/56/99/56/1136569956.db2.gz DPESELGJNDFRAB-BONVTDFDSA-N -1 1 338.412 -0.056 20 0 EBADMM C[C@@H]1CCCC[C@@]1(C[NH2+]Cc1nc(=O)n(C)[n-]1)NC(=O)c1cnon1 ZINC001373901946 1136583625 /nfs/dbraw/zinc/58/36/25/1136583625.db2.gz JPIAFJCNZMDGEP-BMIGLBTASA-N -1 1 349.395 -0.040 20 0 EBADMM CN(CCN(C)C(=O)c1cn(C2CCC2)nn1)Cc1nc(=O)n(C)[n-]1 ZINC001374490945 1136700733 /nfs/dbraw/zinc/70/07/33/1136700733.db2.gz MADFYXGCIPXPNQ-UHFFFAOYSA-N -1 1 348.411 -0.371 20 0 EBADMM C[C@H](CC(=O)N(C)CCN(C)Cc1nc(=O)n(C)[n-]1)c1cnn(C)c1 ZINC001374522755 1136708866 /nfs/dbraw/zinc/70/88/66/1136708866.db2.gz UYZFPFZZVWMQAT-GFCCVEGCSA-N -1 1 349.439 -0.074 20 0 EBADMM CC(C)c1ccc(C(=O)NC[C@@H](O)CNCc2n[nH]c(=O)[n-]2)cn1 ZINC001374615790 1136749514 /nfs/dbraw/zinc/74/95/14/1136749514.db2.gz MVRLCWMSXHIRKM-NSHDSACASA-N -1 1 334.380 -0.091 20 0 EBADMM Cn1ccnc1COCC(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC001374623993 1136756464 /nfs/dbraw/zinc/75/64/64/1136756464.db2.gz FELKSSHBDSGLRX-UHFFFAOYSA-N -1 1 345.359 -0.322 20 0 EBADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CN(C)C(=O)N1 ZINC001375056861 1136903281 /nfs/dbraw/zinc/90/32/81/1136903281.db2.gz CHHJBGZHCDSOQG-VHSXEESVSA-N -1 1 335.364 -0.565 20 0 EBADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C1CN(C(C)=O)C1 ZINC001375062147 1136904517 /nfs/dbraw/zinc/90/45/17/1136904517.db2.gz WZKACFOSUBGVSS-GFCCVEGCSA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)c1cc(Cl)n(C)n1 ZINC001375073288 1136907427 /nfs/dbraw/zinc/90/74/27/1136907427.db2.gz KPMJHXRFBOTGQJ-MRVPVSSYSA-N -1 1 341.803 -0.254 20 0 EBADMM CC[C@@H](CNC(=O)C[C@H]1CCNC1=O)NC(=O)c1ncccc1[O-] ZINC001375104422 1136914584 /nfs/dbraw/zinc/91/45/84/1136914584.db2.gz CKECJINBXUJBNM-MNOVXSKESA-N -1 1 334.376 -0.062 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCOC[C@@H]2C(=O)OC)co1 ZINC000270177553 290787485 /nfs/dbraw/zinc/78/74/85/290787485.db2.gz MIQHWKHNGZEGQC-SECBINFHSA-N -1 1 332.334 -0.798 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCCC[C@H]2C(=O)NC)o1 ZINC000025301741 376042301 /nfs/dbraw/zinc/04/23/01/376042301.db2.gz XDEKRDJETQAWPF-VIFPVBQESA-N -1 1 329.378 -0.072 20 0 EBADMM CS(=O)(=O)N1CCCc2cc(C(=O)NCc3nn[n-]n3)ccc21 ZINC000600496098 376422405 /nfs/dbraw/zinc/42/24/05/376422405.db2.gz QGEIBAUXQMCLMZ-UHFFFAOYSA-N -1 1 336.377 -0.158 20 0 EBADMM COc1cccc(S([O-])=CC(=O)NCCN2CC[C@H](O)C2)c1 ZINC000600808111 376504670 /nfs/dbraw/zinc/50/46/70/376504670.db2.gz IHRPJFIFZKFSFL-YTEVENLXSA-N -1 1 326.418 -0.014 20 0 EBADMM CCCC(=O)N1CSC[C@H]1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370852498 376586764 /nfs/dbraw/zinc/58/67/64/376586764.db2.gz NZNRCJIXGUKDNJ-VHSXEESVSA-N -1 1 340.409 -0.199 20 0 EBADMM Cn1c2ccccc2n(CC(=O)N2CCO[C@H](c3nn[n-]n3)C2)c1=O ZINC000370858373 376588793 /nfs/dbraw/zinc/58/87/93/376588793.db2.gz RBXVJQALVASQEU-LBPRGKRZSA-N -1 1 343.347 -0.547 20 0 EBADMM CCc1cc(=O)n2[n-]c(NC(=O)c3ccc4c(n3)OCCO4)nc2n1 ZINC000622993169 376608007 /nfs/dbraw/zinc/60/80/07/376608007.db2.gz DIIFYYUWDXTIQO-UHFFFAOYSA-N -1 1 342.315 -0.180 20 0 EBADMM COC(=O)C1(CS(=O)(=O)N2CCCN(CC(=O)[O-])CC2)CCC1 ZINC000562273446 376944279 /nfs/dbraw/zinc/94/42/79/376944279.db2.gz ABOJUIIQMQMPOQ-UHFFFAOYSA-N -1 1 348.421 -0.248 20 0 EBADMM CC(C)(C)NC(=O)CN1CCC(CNC(=O)c2n[nH]c(=O)[n-]2)CC1 ZINC000562406027 376960301 /nfs/dbraw/zinc/96/03/01/376960301.db2.gz HVYJFPXVCCJTDV-UHFFFAOYSA-N -1 1 338.412 -0.133 20 0 EBADMM C[C@@H](O)CC(C)(C)C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000272101093 377061498 /nfs/dbraw/zinc/06/14/98/377061498.db2.gz LKVRYPWNRPGRGG-SECBINFHSA-N -1 1 333.410 -0.841 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CCN(C)C2=O)c1 ZINC000642990892 377442168 /nfs/dbraw/zinc/44/21/68/377442168.db2.gz WWOLJQUSKSQRLP-SECBINFHSA-N -1 1 327.362 -0.283 20 0 EBADMM COC(=O)[C@](C)(CCF)[N-]S(=O)(=O)[C@H]1CC(=O)N(C2CC2)C1 ZINC000601467265 377590044 /nfs/dbraw/zinc/59/00/44/377590044.db2.gz SOXNGKLBUSQUCD-GWCFXTLKSA-N -1 1 336.385 -0.040 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@H](OC)C1CC1)c1ccnn1C ZINC000592096854 377791017 /nfs/dbraw/zinc/79/10/17/377791017.db2.gz MXKQFMHRMKKIPF-NWDGAFQWSA-N -1 1 331.394 -0.021 20 0 EBADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1cc(C)cnc1N)c1ccnn1C ZINC000592090568 377791187 /nfs/dbraw/zinc/79/11/87/377791187.db2.gz CIICPOVPDIYZDG-NSHDSACASA-N -1 1 339.377 -0.102 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@H](O)C[C@@H](C(=O)OC)C2)co1 ZINC000592938412 377860761 /nfs/dbraw/zinc/86/07/61/377860761.db2.gz PMNSSKYSBMUEFC-PSASIEDQSA-N -1 1 346.361 -0.816 20 0 EBADMM COC(=O)C1(CS(=O)(=O)[N-]Cc2nc(C(N)=O)co2)CCCC1 ZINC000594802740 377955550 /nfs/dbraw/zinc/95/55/50/377955550.db2.gz AKHYKWXQJWHQJL-UHFFFAOYSA-N -1 1 345.377 -0.074 20 0 EBADMM COC(=O)Cn1cc([N-]S(=O)(=O)C[C@H](OC)[C@@H]2CCOC2)cn1 ZINC000594695520 377947968 /nfs/dbraw/zinc/94/79/68/377947968.db2.gz SFFLKBWSOZONFR-PWSUYJOCSA-N -1 1 347.393 -0.151 20 0 EBADMM COC(=O)C(C)(C)n1ccc([N-]S(=O)(=O)N2CCOCC2)n1 ZINC000594698922 377948196 /nfs/dbraw/zinc/94/81/96/377948196.db2.gz IEBWFCKLSWDJMW-UHFFFAOYSA-N -1 1 332.382 -0.220 20 0 EBADMM CN(CCC(=O)NC(=O)NCC(F)(F)F)Cc1n[n-]c(=O)o1 ZINC000595547422 378013068 /nfs/dbraw/zinc/01/30/68/378013068.db2.gz OFUVRWAUAOOTTC-UHFFFAOYSA-N -1 1 325.247 -0.015 20 0 EBADMM CCOC(=O)[C@H](CO)[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595317891 377996785 /nfs/dbraw/zinc/99/67/85/377996785.db2.gz FWAZRMBCVFLQFO-QMMMGPOBSA-N -1 1 335.334 -0.423 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-][C@]2(C(N)=O)CCOC2)cc1C ZINC000595342072 378001566 /nfs/dbraw/zinc/00/15/66/378001566.db2.gz KNRVQMFTYPGDKT-GFCCVEGCSA-N -1 1 332.334 -0.703 20 0 EBADMM COC(=O)[C@@H]1C[C@H](N2C(=O)CNC2=O)CN1C(=O)c1ncccc1[O-] ZINC000598903070 378187419 /nfs/dbraw/zinc/18/74/19/378187419.db2.gz BEJPXUGCBNYYLS-IUCAKERBSA-N -1 1 348.315 -0.905 20 0 EBADMM CO[C@@H](Cc1ccccc1)CS(=O)(=O)[N-][C@H]1CC(=O)N(C)C1=O ZINC000643213518 378220780 /nfs/dbraw/zinc/22/07/80/378220780.db2.gz BIQNFQVLPGKNKT-STQMWFEESA-N -1 1 340.401 -0.079 20 0 EBADMM COC[C@@H](CS(=O)(=O)[N-][C@@]1(C(=O)OC)CCSC1)OC ZINC000603303245 378399208 /nfs/dbraw/zinc/39/92/08/378399208.db2.gz IBCBGLFRWVEEDS-ONGXEEELSA-N -1 1 327.424 -0.384 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H](OC)[C@@H]2CCOC2)o1 ZINC000617146174 378477296 /nfs/dbraw/zinc/47/72/96/378477296.db2.gz VWACAPGLRJUWON-KOLCDFICSA-N -1 1 332.378 -0.031 20 0 EBADMM COCCOc1ccc(F)cc1NC(=O)C(=O)NCc1nn[n-]n1 ZINC000606117990 378532355 /nfs/dbraw/zinc/53/23/55/378532355.db2.gz YUOSWZJCHZZYPS-UHFFFAOYSA-N -1 1 338.299 -0.381 20 0 EBADMM C[C@H]1CCC[C@@H]1NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000337564759 378663298 /nfs/dbraw/zinc/66/32/98/378663298.db2.gz VOSCLKOZINEXGT-IUCAKERBSA-N -1 1 329.378 -0.038 20 0 EBADMM CO[C@H](C)CS(=O)(=O)[N-]c1ccc(C(=O)NCC(N)=O)cc1 ZINC000337771643 378692808 /nfs/dbraw/zinc/69/28/08/378692808.db2.gz VPDSHMNMSAZZRX-SECBINFHSA-N -1 1 329.378 -0.322 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]CCCN2C(=O)CCC2=O)c1 ZINC000338211467 378747901 /nfs/dbraw/zinc/74/79/01/378747901.db2.gz IPPBGULHBHHVBT-UHFFFAOYSA-N -1 1 344.345 -0.116 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NCCCN1C(=O)CCC1=O ZINC000337893898 378704815 /nfs/dbraw/zinc/70/48/15/378704815.db2.gz BUXCMDKSGLLZEX-UHFFFAOYSA-N -1 1 334.332 -0.828 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NCC(=O)Nc1cccnc1 ZINC000337906567 378707751 /nfs/dbraw/zinc/70/77/51/378707751.db2.gz NJHZLNDSGRYYIA-UHFFFAOYSA-N -1 1 329.316 -0.333 20 0 EBADMM COC[C@@H]([N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F)C(=O)OC ZINC000338945097 378833261 /nfs/dbraw/zinc/83/32/61/378833261.db2.gz NPFSGGUCBGWICV-ZCFIWIBFSA-N -1 1 345.299 -0.095 20 0 EBADMM CNC(=O)[C@H]1CCCN1C(=O)C[N-]S(=O)(=O)c1sccc1F ZINC000338895364 378825273 /nfs/dbraw/zinc/82/52/73/378825273.db2.gz PRTWLWRLKYKPHS-SECBINFHSA-N -1 1 349.409 -0.098 20 0 EBADMM CCOC(=O)CN(CCOC)C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000339209804 378863266 /nfs/dbraw/zinc/86/32/66/378863266.db2.gz HUTFYXYQNQFELC-UHFFFAOYSA-N -1 1 348.377 -0.161 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CCC[C@@H](C(N)=O)C2)co1 ZINC000339224227 378869152 /nfs/dbraw/zinc/86/91/52/378869152.db2.gz ZGKFGDAOEUKSIG-SCZZXKLOSA-N -1 1 329.378 -0.038 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCN2CCOC[C@@H]2C)co1 ZINC000339345336 378904746 /nfs/dbraw/zinc/90/47/46/378904746.db2.gz GMBVUHRPBWERQI-JTQLQIEISA-N -1 1 331.394 -0.362 20 0 EBADMM CC[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)[C@@H]1CCCO1 ZINC000339455351 378923265 /nfs/dbraw/zinc/92/32/65/378923265.db2.gz KDHUXCFJIUNKTM-ZJUUUORDSA-N -1 1 331.394 -0.680 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCNC(=O)c2ccco2)co1 ZINC000339419909 378918574 /nfs/dbraw/zinc/91/85/74/378918574.db2.gz QBVZJKUIQZXQAH-UHFFFAOYSA-N -1 1 341.345 -0.060 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@H](O)COCC(C)C)co1 ZINC000339436859 378919557 /nfs/dbraw/zinc/91/95/57/378919557.db2.gz IYPDMTUQEJKPNW-NSHDSACASA-N -1 1 334.394 -0.049 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)CS(=O)(=O)CC(C)C)n1 ZINC000615919136 379048852 /nfs/dbraw/zinc/04/88/52/379048852.db2.gz BGUMOMFUMUSRJC-UHFFFAOYSA-N -1 1 332.382 -0.332 20 0 EBADMM NS(=O)(=O)c1ccc([O-])c(C(=O)NCCN2CCC(O)CC2)c1 ZINC000615991806 379101232 /nfs/dbraw/zinc/10/12/32/379101232.db2.gz RQUZYIZUQMGBIX-UHFFFAOYSA-N -1 1 343.405 -0.774 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1C[C@H](O)C[C@@H]1[C@@H]1CCCOC1 ZINC000453036582 379103283 /nfs/dbraw/zinc/10/32/83/379103283.db2.gz WUFKXNGNXLANKR-JHJVBQTASA-N -1 1 349.387 -0.095 20 0 EBADMM CCOC(=O)c1nc([C@@H](CC(C)C)NC(=O)Cn2cnnn2)n[n-]1 ZINC000619253997 379178836 /nfs/dbraw/zinc/17/88/36/379178836.db2.gz CVLJUNOCRRTETG-SECBINFHSA-N -1 1 336.356 -0.129 20 0 EBADMM CCOC(=O)c1nnc([C@@H](CC(C)C)NC(=O)Cn2cnnn2)[n-]1 ZINC000619253997 379178841 /nfs/dbraw/zinc/17/88/41/379178841.db2.gz CVLJUNOCRRTETG-SECBINFHSA-N -1 1 336.356 -0.129 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](CC(C)C)NC(=O)Cn2cnnn2)n1 ZINC000619253997 379178848 /nfs/dbraw/zinc/17/88/48/379178848.db2.gz CVLJUNOCRRTETG-SECBINFHSA-N -1 1 336.356 -0.129 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1cn(CC)nn1 ZINC000404478651 379198730 /nfs/dbraw/zinc/19/87/30/379198730.db2.gz CQBAHNSMCMTBFS-UHFFFAOYSA-N -1 1 328.354 -0.324 20 0 EBADMM COC[C@@](C)([N-]S(=O)(=O)c1ccc(C(=O)OC)o1)C(=O)OC ZINC000268253149 379289106 /nfs/dbraw/zinc/28/91/06/379289106.db2.gz PFNGUWJOKXCMRQ-GFCCVEGCSA-N -1 1 335.334 -0.077 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NCCC(=O)NC(N)=O ZINC000268150291 379278777 /nfs/dbraw/zinc/27/87/77/379278777.db2.gz GANOWYDKNIGLPU-UHFFFAOYSA-N -1 1 348.363 -0.314 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2CCCc3cn[nH]c32)c(=O)n(C)c1=O ZINC000268764005 379325932 /nfs/dbraw/zinc/32/59/32/379325932.db2.gz AVMGEPNZIBOFNP-VIFPVBQESA-N -1 1 339.377 -0.837 20 0 EBADMM Cc1noc(C)c1S(=O)(=O)NCC(=O)N1CCN2CC[C@H]1C2 ZINC000629995744 379334122 /nfs/dbraw/zinc/33/41/22/379334122.db2.gz JBPOVKVPTHQCEL-NSHDSACASA-N -1 1 328.394 -0.514 20 0 EBADMM COC[C@](C)([N-]S(=O)(=O)c1cn(C)c(Cl)n1)C(=O)OC ZINC000269256867 379356012 /nfs/dbraw/zinc/35/60/12/379356012.db2.gz AQEMGJCFQUPIEC-JTQLQIEISA-N -1 1 325.774 -0.070 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C(=O)OC)C1CC1 ZINC000269856775 379412884 /nfs/dbraw/zinc/41/28/84/379412884.db2.gz ROMRYNLOYCWYLY-SECBINFHSA-N -1 1 331.350 -0.184 20 0 EBADMM CC(C)[C@@H](O)C(C)(C)C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000269884873 379415083 /nfs/dbraw/zinc/41/50/83/379415083.db2.gz BCIVBVWJUDPUKP-LLVKDONJSA-N -1 1 347.437 -0.595 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCNC(=O)[C@@H]2C(C)C)co1 ZINC000270028966 379427236 /nfs/dbraw/zinc/42/72/36/379427236.db2.gz GLXGMBADBFBHHV-NSHDSACASA-N -1 1 329.378 -0.216 20 0 EBADMM CCOC(=O)[C@H](CO)[N-]S(=O)(=O)c1csnc1C(=O)OC ZINC000351959892 379497338 /nfs/dbraw/zinc/49/73/38/379497338.db2.gz SKWMFPMGVHTVIS-LURJTMIESA-N -1 1 338.363 -0.868 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCN(CCOC)C(=O)C2)o1 ZINC000271061502 379497675 /nfs/dbraw/zinc/49/76/75/379497675.db2.gz FRKZEFFKAXAHRF-UHFFFAOYSA-N -1 1 345.377 -0.882 20 0 EBADMM CCC[C@H]1C(=O)NCCN1C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000270923307 379488640 /nfs/dbraw/zinc/48/86/40/379488640.db2.gz VDHMKYGMOXCJAI-JTQLQIEISA-N -1 1 329.378 -0.072 20 0 EBADMM CS(=O)(=O)Nc1ccc(OCCNC(=O)c2cnn[nH]2)cc1 ZINC000271516226 379529495 /nfs/dbraw/zinc/52/94/95/379529495.db2.gz OKGCZKFDDKRWLD-UHFFFAOYSA-N -1 1 325.350 -0.015 20 0 EBADMM COC(=O)C[C@@H]1COCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000489339339 379532920 /nfs/dbraw/zinc/53/29/20/379532920.db2.gz YALWXWZOBUJGEX-SNVBAGLBSA-N -1 1 337.332 -0.693 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-][C@]2(C)CCS(=O)(=O)C2)c1Cl ZINC000027949812 379559740 /nfs/dbraw/zinc/55/97/40/379559740.db2.gz KRZPHQCWQVYXTI-SECBINFHSA-N -1 1 327.815 -0.071 20 0 EBADMM CC(C)(NC(=O)C=Cc1ccc(S(N)(=O)=O)cc1)c1nn[n-]n1 ZINC000493770845 379690946 /nfs/dbraw/zinc/69/09/46/379690946.db2.gz KWWMCMOUWUIEHN-YVMONPNESA-N -1 1 336.377 -0.088 20 0 EBADMM C[C@@H]1C[C@@H](CC[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCO1 ZINC000273657435 379656577 /nfs/dbraw/zinc/65/65/77/379656577.db2.gz ZPGJLNFZMCRSPP-MNOVXSKESA-N -1 1 345.421 -0.433 20 0 EBADMM COCC1(C(=O)NCC[N-]S(=O)(=O)c2sc(=O)[nH]c2C)CC1 ZINC000345450883 284802370 /nfs/dbraw/zinc/80/23/70/284802370.db2.gz QMQFDNHXLOLKDV-UHFFFAOYSA-N -1 1 349.434 -0.022 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCc2csc(=O)[nH]2)o1 ZINC000276499822 379841044 /nfs/dbraw/zinc/84/10/44/379841044.db2.gz HOSRMEAQIQRYGS-UHFFFAOYSA-N -1 1 331.375 -0.090 20 0 EBADMM CC(C)[C@@H](NS(=O)(=O)C1CC1)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000613475049 379827754 /nfs/dbraw/zinc/82/77/54/379827754.db2.gz LTDYVLPMRDUFKU-GXSJLCMTSA-N -1 1 344.441 -0.132 20 0 EBADMM CC1(C)NC(=O)N(CC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)C1=O ZINC000614386870 379923487 /nfs/dbraw/zinc/92/34/87/379923487.db2.gz JCBWHONUBTXLEE-MRVPVSSYSA-N -1 1 337.336 -0.188 20 0 EBADMM CCC[C@H](C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1)S(N)(=O)=O ZINC000614387587 379923939 /nfs/dbraw/zinc/92/39/39/379923939.db2.gz BNPCWQHUJWKOAP-DTWKUNHWSA-N -1 1 332.382 -0.062 20 0 EBADMM C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614389507 379925781 /nfs/dbraw/zinc/92/57/81/379925781.db2.gz NTYLRGVZPWDXKP-LSJOCFKGSA-N -1 1 338.364 -0.243 20 0 EBADMM CO[C@H](CS(=O)(=O)[N-][C@H]1CS(=O)(=O)C1(C)C)[C@@H]1CCOC1 ZINC000632495507 379870584 /nfs/dbraw/zinc/87/05/84/379870584.db2.gz WAOBJDGHINQIOJ-MXWKQRLJSA-N -1 1 341.451 -0.467 20 0 EBADMM Cc1nc([C@@H](C)NC(=O)c2cc(S(N)(=O)=O)ccc2[O-])n[nH]1 ZINC000614793983 379983466 /nfs/dbraw/zinc/98/34/66/379983466.db2.gz DKNAQSNTTRPCPR-ZCFIWIBFSA-N -1 1 325.350 -0.043 20 0 EBADMM CCOC(=O)[C@H]1CCCN1S(=O)(=O)[N-][C@H](COC)C(=O)OC ZINC000538695827 379978849 /nfs/dbraw/zinc/97/88/49/379978849.db2.gz RLZCDFLEKBBTSN-NXEZZACHSA-N -1 1 338.382 -0.964 20 0 EBADMM CCOC(=O)[C@H]1CCCN1S(=O)(=O)[N-][C@@H](COC)C(=O)OC ZINC000538695839 379979721 /nfs/dbraw/zinc/97/97/21/379979721.db2.gz RLZCDFLEKBBTSN-VHSXEESVSA-N -1 1 338.382 -0.964 20 0 EBADMM COC(=O)c1c[n-]c(S(=O)(=O)CC(=O)NC[C@@H]2CCCO2)n1 ZINC000279543991 379956940 /nfs/dbraw/zinc/95/69/40/379956940.db2.gz ISVWMAMBEFGZRA-QMMMGPOBSA-N -1 1 331.350 -0.735 20 0 EBADMM O=C(CN1C(=O)NC2(CCCC2)C1=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000539325696 379995301 /nfs/dbraw/zinc/99/53/01/379995301.db2.gz IUEVSYGLZGGSRL-SNVBAGLBSA-N -1 1 347.379 -0.230 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H](CO)[C@H]1CCOC1 ZINC000281014257 380004063 /nfs/dbraw/zinc/00/40/63/380004063.db2.gz PBRJVPZOKIBPCH-VHSXEESVSA-N -1 1 347.393 -0.490 20 0 EBADMM CC(=O)N1CCN(CCNC(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000282979671 380095254 /nfs/dbraw/zinc/09/52/54/380095254.db2.gz JBKBYHYPVVBQQW-UHFFFAOYSA-N -1 1 348.432 -0.088 20 0 EBADMM COC(=O)[C@@H](CC(F)F)[N-]S(=O)(=O)CCCN1CCOCC1 ZINC000546624373 380117410 /nfs/dbraw/zinc/11/74/10/380117410.db2.gz QMQHPBKSVVECMQ-SNVBAGLBSA-N -1 1 344.380 -0.175 20 0 EBADMM C[C@@H]1CO[C@@H](C)CN1C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000355367076 380188837 /nfs/dbraw/zinc/18/88/37/380188837.db2.gz IMIXYHBRIONQDN-BDAKNGLRSA-N -1 1 345.377 -0.707 20 0 EBADMM C[C@@H]1CCN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)[C@H]1C ZINC000360180013 380260659 /nfs/dbraw/zinc/26/06/59/380260659.db2.gz FGNRKSCOMWFJKB-BDAKNGLRSA-N -1 1 329.378 -0.086 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NCCc1nnc2n1CCCC2 ZINC000361652947 380328842 /nfs/dbraw/zinc/32/88/42/380328842.db2.gz GZENFCGADGQRAG-UHFFFAOYSA-N -1 1 344.375 -0.196 20 0 EBADMM O=C(CCC(=O)N1CCN(c2ccccn2)CC1)Nc1nnn[n-]1 ZINC000360981762 380279882 /nfs/dbraw/zinc/27/98/82/380279882.db2.gz NUKPLHFGQKTPOG-UHFFFAOYSA-N -1 1 330.352 -0.338 20 0 EBADMM O=C(CCC(=O)N1CCN(c2ccccn2)CC1)Nc1nn[n-]n1 ZINC000360981762 380279887 /nfs/dbraw/zinc/27/98/87/380279887.db2.gz NUKPLHFGQKTPOG-UHFFFAOYSA-N -1 1 330.352 -0.338 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@]2(O)C[NH+]3CCC2CC3)o1 ZINC000552209475 380293050 /nfs/dbraw/zinc/29/30/50/380293050.db2.gz FJHDOSHBCXBOGH-CQSZACIVSA-N -1 1 343.405 -0.626 20 0 EBADMM COC[C@H]1CN(C(=O)C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C[C@H](C)O1 ZINC000288060580 380390786 /nfs/dbraw/zinc/39/07/86/380390786.db2.gz UOUAJHJVYUUPEJ-TUBFPLQUSA-N -1 1 347.375 -0.457 20 0 EBADMM O=C(CCNS(=O)(=O)c1cccs1)NC1(c2nn[n-]n2)CC1 ZINC000555350813 380359862 /nfs/dbraw/zinc/35/98/62/380359862.db2.gz FMTSPVDVIGPMQY-UHFFFAOYSA-N -1 1 342.406 -0.265 20 0 EBADMM CC(C)[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1ncc[nH]1 ZINC000289003449 380427136 /nfs/dbraw/zinc/42/71/36/380427136.db2.gz UCZRQMOCVREBMO-SNVBAGLBSA-N -1 1 341.393 -0.517 20 0 EBADMM O=C(CN1CCCC[C@H]1c1nc(=O)[n-][nH]1)NCC(=O)N1CCCC1 ZINC000289412654 380437228 /nfs/dbraw/zinc/43/72/28/380437228.db2.gz TWKLQIDDQQJFTJ-NSHDSACASA-N -1 1 336.396 -0.636 20 0 EBADMM C[C@H]1Oc2ccccc2N(CC(=O)Nc2nn[nH]c2C(N)=O)C1=O ZINC000362417243 380402779 /nfs/dbraw/zinc/40/27/79/380402779.db2.gz IXDVLJOYUWHWEO-SSDOTTSWSA-N -1 1 330.304 -0.344 20 0 EBADMM COC[C@H](NC(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2)[C@@H]1CCCO1 ZINC000288490473 380409719 /nfs/dbraw/zinc/40/97/19/380409719.db2.gz SCUABJLHGQWINY-QGPDTHBESA-N -1 1 347.375 -0.410 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](CO)c2ccnn2C)o1 ZINC000571658235 380518612 /nfs/dbraw/zinc/51/86/12/380518612.db2.gz VZSWMFRJTNEEAR-MRVPVSSYSA-N -1 1 329.334 -0.188 20 0 EBADMM O=C([O-])[C@H](C1CC1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000567479198 380521688 /nfs/dbraw/zinc/52/16/88/380521688.db2.gz QTMRNFQODNLVNV-LBPRGKRZSA-N -1 1 333.410 -0.956 20 0 EBADMM CCC(CC)(CO)C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000269865679 380525046 /nfs/dbraw/zinc/52/50/46/380525046.db2.gz KQFBIWAXLUXJOP-UHFFFAOYSA-N -1 1 333.410 -0.839 20 0 EBADMM O=C([C@@H]1COCCN1C1CCCC1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000369123891 380541842 /nfs/dbraw/zinc/54/18/42/380541842.db2.gz BSXWLXMUOZWWTN-STQMWFEESA-N -1 1 336.396 -0.257 20 0 EBADMM CN(CC(=O)N(CC(=O)[O-])C1CCOCC1)c1ncnc2[nH]cnc21 ZINC000567877794 380553716 /nfs/dbraw/zinc/55/37/16/380553716.db2.gz UCVWSZLUCMSEKZ-UHFFFAOYSA-N -1 1 348.363 -0.119 20 0 EBADMM CNS(=O)(=O)N1CCN(C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000568048733 380569356 /nfs/dbraw/zinc/56/93/56/380569356.db2.gz ZJHWYOODXLLELA-UHFFFAOYSA-N -1 1 327.362 -0.817 20 0 EBADMM CS(=O)(=O)[N-]c1nnc(NC(=O)[C@H]2C[N@H+]3CCN2CCC3)s1 ZINC000535001756 380597418 /nfs/dbraw/zinc/59/74/18/380597418.db2.gz FRURUSYYCIBJLJ-MRVPVSSYSA-N -1 1 346.438 -0.762 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C1(CC(=O)OC)CC1 ZINC000568519273 380606012 /nfs/dbraw/zinc/60/60/12/380606012.db2.gz CMOGWTVQRDULCW-UHFFFAOYSA-N -1 1 331.350 -0.040 20 0 EBADMM O=C([O-])[C@H](c1ccccc1)N1CC[C@H](NC(=O)c2cnn[nH]2)C1=O ZINC000573205951 380663785 /nfs/dbraw/zinc/66/37/85/380663785.db2.gz KONBFDYQFWQXJN-JQWIXIFHSA-N -1 1 329.316 -0.039 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1CCC(=O)N(C)C1=O ZINC000572954183 380651190 /nfs/dbraw/zinc/65/11/90/380651190.db2.gz IDJSHMNTBPVRGX-LLVKDONJSA-N -1 1 345.359 -0.142 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2CS(=O)(=O)C[C@H]2C)c1 ZINC000341980546 380748127 /nfs/dbraw/zinc/74/81/27/380748127.db2.gz QJPQYNYJKTYQHC-APPZFPTMSA-N -1 1 337.375 -0.222 20 0 EBADMM CC[C@@](COC)([N-]S(=O)(=O)N1C[C@@H](C)O[C@@H](C)C1)C(=O)OC ZINC000416517398 380783223 /nfs/dbraw/zinc/78/32/23/380783223.db2.gz RQABRBGCAIKNOB-LOWVWBTDSA-N -1 1 338.426 -0.102 20 0 EBADMM CC[C@](COC)([N-]S(=O)(=O)N1CCOC(C)(C)C1)C(=O)OC ZINC000416517830 380783891 /nfs/dbraw/zinc/78/38/91/380783891.db2.gz SLSUIPFXRPOYEP-CYBMUJFWSA-N -1 1 338.426 -0.100 20 0 EBADMM COC1([C@H](C)[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCC1 ZINC000416616065 380790935 /nfs/dbraw/zinc/79/09/35/380790935.db2.gz LEQQIHZPDMKGEI-VIFPVBQESA-N -1 1 331.394 -0.680 20 0 EBADMM COC(=O)c1ccccc1CS(=O)(=O)[N-][C@]1(C(N)=O)CCOC1 ZINC000582975801 381092110 /nfs/dbraw/zinc/09/21/10/381092110.db2.gz RRHRQVZLVILJBW-CQSZACIVSA-N -1 1 342.373 -0.463 20 0 EBADMM C[C@@H]1CSCCN1C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000346677012 381098006 /nfs/dbraw/zinc/09/80/06/381098006.db2.gz YVALCRJANJTKNU-MRVPVSSYSA-N -1 1 347.418 -0.379 20 0 EBADMM Cn1ncc2c1[n-]cc(C(=O)N[C@H]1COC[C@H]1n1ccnn1)c2=O ZINC000346712466 381113882 /nfs/dbraw/zinc/11/38/82/381113882.db2.gz NJTMOBMPFQKOFO-WDEREUQCSA-N -1 1 329.320 -0.365 20 0 EBADMM COC(=O)CCCCC[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000015330492 381114360 /nfs/dbraw/zinc/11/43/60/381114360.db2.gz MEDPNQOVEFBKRH-UHFFFAOYSA-N -1 1 347.393 -0.904 20 0 EBADMM CO[C@@H](C)[C@H](C)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000347382151 381211222 /nfs/dbraw/zinc/21/12/22/381211222.db2.gz UKVRCQZHLBZJDT-YUMQZZPRSA-N -1 1 333.366 -0.804 20 0 EBADMM Cc1nc(CC[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)cs1 ZINC000029395840 381169628 /nfs/dbraw/zinc/16/96/28/381169628.db2.gz ULYNVSJROUVEMO-UHFFFAOYSA-N -1 1 344.418 -0.630 20 0 EBADMM CN1CC[C@H](C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])CC1=O ZINC000347713284 381251860 /nfs/dbraw/zinc/25/18/60/381251860.db2.gz BPRCXSLRXZFCSM-QMMMGPOBSA-N -1 1 327.362 -0.154 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCOC[C@@H]1CCOC1 ZINC000611017617 381254287 /nfs/dbraw/zinc/25/42/87/381254287.db2.gz CBNVESKFUNZXKS-SNVBAGLBSA-N -1 1 347.393 -0.082 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC(=O)NCC(F)(F)F)o1 ZINC000057010061 381230640 /nfs/dbraw/zinc/23/06/40/381230640.db2.gz PVKQVUDQXPFXGL-UHFFFAOYSA-N -1 1 343.283 -0.404 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CC[C@@H](C(N)=O)O2)o1 ZINC000347824560 381280975 /nfs/dbraw/zinc/28/09/75/381280975.db2.gz LQTQLJMNENQAOB-BDAKNGLRSA-N -1 1 346.361 -0.233 20 0 EBADMM CS(=O)(=O)N1CC[C@@H](CN2CCC[C@@H](c3n[nH]c(=O)[n-]3)C2)C1 ZINC000564092091 381323387 /nfs/dbraw/zinc/32/33/87/381323387.db2.gz GAETUVWJSZEZGZ-WDEREUQCSA-N -1 1 329.426 -0.029 20 0 EBADMM Cn1nnc(C[N-]S(=O)(=O)c2ccc(Br)cn2)n1 ZINC000571854642 381622320 /nfs/dbraw/zinc/62/23/20/381622320.db2.gz BEKWCQHNQTZBIB-UHFFFAOYSA-N -1 1 333.171 -0.154 20 0 EBADMM C[C@H]1CCCC[C@@]12NC(=O)N(CC(=O)NN1CC(=O)[N-]C1=O)C2=O ZINC000053286057 381658533 /nfs/dbraw/zinc/65/85/33/381658533.db2.gz ZVEWEKUQYGFLHX-RMLUDKJBSA-N -1 1 337.336 -0.930 20 0 EBADMM CO[C@@H]1C[C@H]([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)C1(C)C ZINC000332486907 381802409 /nfs/dbraw/zinc/80/24/09/381802409.db2.gz WUCTTYVOUNODEX-VHSXEESVSA-N -1 1 331.394 -0.824 20 0 EBADMM CS(=O)(=O)N1CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000332547273 381809873 /nfs/dbraw/zinc/80/98/73/381809873.db2.gz NPJYNMVQGFXNTE-UHFFFAOYSA-N -1 1 336.373 -0.360 20 0 EBADMM CC(C)(C)c1nc(=NC(=O)C(=O)NC[C@H]2COCCN2)s[n-]1 ZINC000626881273 381915401 /nfs/dbraw/zinc/91/54/01/381915401.db2.gz PTDZFJOHZSFZMI-QMMMGPOBSA-N -1 1 327.410 -0.699 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2C[C@H]2C2CCC2)c(=O)n(C)c1=O ZINC000333516695 381928581 /nfs/dbraw/zinc/92/85/81/381928581.db2.gz VIVCSBULVJWZPQ-QWRGUYRKSA-N -1 1 327.406 -0.202 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)CCCNC(=O)c2ccco2)n1 ZINC000352747766 382027506 /nfs/dbraw/zinc/02/75/06/382027506.db2.gz HWIBXPNALDFUID-UHFFFAOYSA-N -1 1 341.349 -0.050 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)CCCNC(=O)c2ccco2)[n-]1 ZINC000352747766 382027512 /nfs/dbraw/zinc/02/75/12/382027512.db2.gz HWIBXPNALDFUID-UHFFFAOYSA-N -1 1 341.349 -0.050 20 0 EBADMM COc1cccc(COC[C@@H](O)C[N@H+]2CCN3C(=O)NC(=O)[C@@H]3C2)c1 ZINC000352772218 382031250 /nfs/dbraw/zinc/03/12/50/382031250.db2.gz QEVPXIJQZVTCMY-ZFWWWQNUSA-N -1 1 349.387 -0.191 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@](CO)(OC)C2)o1 ZINC000617795037 382108057 /nfs/dbraw/zinc/10/80/57/382108057.db2.gz QYPDRQBUXADSPN-ZDUSSCGKSA-N -1 1 332.378 -0.199 20 0 EBADMM Cc1cccc(OC[C@@H](O)CNC(=O)c2cc(=O)n3[n-]cnc3n2)c1 ZINC000353856946 382181028 /nfs/dbraw/zinc/18/10/28/382181028.db2.gz DJWQHRBDKZZSCU-NSHDSACASA-N -1 1 343.343 -0.104 20 0 EBADMM CC(C)(C)[C@@H](CO)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000444368086 382148674 /nfs/dbraw/zinc/14/86/74/382148674.db2.gz VNKXDBJIFLDRPK-SECBINFHSA-N -1 1 347.393 -0.820 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)c1nncn1C ZINC000314693459 155175384 /nfs/dbraw/zinc/17/53/84/155175384.db2.gz LXFRLIHMCINGBZ-SSDOTTSWSA-N -1 1 328.354 -0.246 20 0 EBADMM Cc1cc(CS(=O)(=O)[N-][C@@H]2CCN(c3cnn(C)c3)C2=O)no1 ZINC000328855294 155192427 /nfs/dbraw/zinc/19/24/27/155192427.db2.gz CPCXNYOTRBASAX-GFCCVEGCSA-N -1 1 339.377 -0.059 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@H]2CCCSC2)c(=O)n(C)c1=O ZINC000329240840 155213510 /nfs/dbraw/zinc/21/35/10/155213510.db2.gz RGLCODQXJTXXNG-SECBINFHSA-N -1 1 333.435 -0.495 20 0 EBADMM CN(C)C(=O)COC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC(C)(C)O1 ZINC000330513210 155279170 /nfs/dbraw/zinc/27/91/70/155279170.db2.gz CZZDGXVLMUQTOK-LLVKDONJSA-N -1 1 341.412 -0.807 20 0 EBADMM COC(=O)C(C)(C)CS(=O)(=O)[N-][C@H]1CC(=O)N(C(C)C)C1=O ZINC000330841101 155314973 /nfs/dbraw/zinc/31/49/73/155314973.db2.gz XZCXVMVAQQWCDO-VIFPVBQESA-N -1 1 334.394 -0.359 20 0 EBADMM COC(C)(C)C(=O)NCC[N-]S(=O)(=O)c1sc(=O)[nH]c1C ZINC000330979702 155326343 /nfs/dbraw/zinc/32/63/43/155326343.db2.gz WXYHXTNCWNBSSY-UHFFFAOYSA-N -1 1 337.423 -0.023 20 0 EBADMM CC[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C1CCOCC1 ZINC000331016658 155330685 /nfs/dbraw/zinc/33/06/85/155330685.db2.gz YHIBVYGKYXXQLR-NSHDSACASA-N -1 1 345.421 -0.433 20 0 EBADMM Cc1ccc2ncc(C(=O)NCCCc3nc(=O)[n-][nH]3)c(=O)n2c1 ZINC000176758683 154110691 /nfs/dbraw/zinc/11/06/91/154110691.db2.gz PGUSQJHBQUHQAW-UHFFFAOYSA-N -1 1 328.332 -0.223 20 0 EBADMM O=C(CCNC(=O)c1ncccc1[O-])N[C@@H]1CCS(=O)(=O)C1 ZINC000263708928 154260958 /nfs/dbraw/zinc/26/09/58/154260958.db2.gz UOQHEPFZSYQVGL-SECBINFHSA-N -1 1 327.362 -0.790 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-]CCCN2CCC[C@H]2C(N)=O)c1Cl ZINC000264659523 154288416 /nfs/dbraw/zinc/28/84/16/154288416.db2.gz YLXHUWSGWLBHOL-VIFPVBQESA-N -1 1 349.844 -0.308 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCN2C(=O)CCC2=O)o1 ZINC000265087713 154305683 /nfs/dbraw/zinc/30/56/83/154305683.db2.gz TYLJOFAESTUHPT-UHFFFAOYSA-N -1 1 330.318 -0.507 20 0 EBADMM O=C(Nc1nnn[n-]1)[C@@H]1C[C@@H](O)CN1C(=O)OCc1ccccc1 ZINC000266892203 154357835 /nfs/dbraw/zinc/35/78/35/154357835.db2.gz MOSCPVDLOZBPNB-MNOVXSKESA-N -1 1 332.320 -0.090 20 0 EBADMM O=C(Nc1nn[n-]n1)[C@@H]1C[C@@H](O)CN1C(=O)OCc1ccccc1 ZINC000266892203 154357837 /nfs/dbraw/zinc/35/78/37/154357837.db2.gz MOSCPVDLOZBPNB-MNOVXSKESA-N -1 1 332.320 -0.090 20 0 EBADMM COC(=O)C[C@H]([N-]S(=O)(=O)c1cc(C(=O)OC)co1)C(=O)OC ZINC000270073578 154476692 /nfs/dbraw/zinc/47/66/92/154476692.db2.gz ZYSPANVFMHNEPI-QMMMGPOBSA-N -1 1 349.317 -0.551 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CCCC[C@@H]2C(N)=O)co1 ZINC000270178898 154479234 /nfs/dbraw/zinc/47/92/34/154479234.db2.gz MJYIYQLWVPFKED-VHSXEESVSA-N -1 1 329.378 -0.038 20 0 EBADMM CS(=O)(=O)CCS(=O)(=O)[N-][C@H]1COc2ccccc2[C@@H]1O ZINC000288498304 154972141 /nfs/dbraw/zinc/97/21/41/154972141.db2.gz WYJMPBAQVGJXJC-JQWIXIFHSA-N -1 1 335.403 -0.555 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H]1CCC[C@@]12NC(=O)NC2=O ZINC000290875767 155011887 /nfs/dbraw/zinc/01/18/87/155011887.db2.gz YJRHHGUQGAQHRL-JMCQJSRRSA-N -1 1 343.365 -0.778 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CN2CCOCC2=O)c1 ZINC000292236500 155031032 /nfs/dbraw/zinc/03/10/32/155031032.db2.gz NLNBMKWQNBOFGY-UHFFFAOYSA-N -1 1 343.361 -0.902 20 0 EBADMM C[N@H+](CCCOC(=O)NC(N)=O)Cc1ccccc1S(N)(=O)=O ZINC000292637505 155033989 /nfs/dbraw/zinc/03/39/89/155033989.db2.gz HCESYBVGSPLCBC-UHFFFAOYSA-N -1 1 344.393 -0.039 20 0 EBADMM C[N@@H+](CCCOC(=O)NC(N)=O)Cc1ccccc1S(N)(=O)=O ZINC000292637505 155033992 /nfs/dbraw/zinc/03/39/92/155033992.db2.gz HCESYBVGSPLCBC-UHFFFAOYSA-N -1 1 344.393 -0.039 20 0 EBADMM O=C(CNC(=O)c1cccc(O)c1)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000294399615 155060418 /nfs/dbraw/zinc/06/04/18/155060418.db2.gz VUGBKBHOKHLEOF-NSHDSACASA-N -1 1 345.359 -0.060 20 0 EBADMM CNC(=O)NCCC(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000294712782 155063545 /nfs/dbraw/zinc/06/35/45/155063545.db2.gz NSPQRKORHHOVKN-UHFFFAOYSA-N -1 1 330.366 -0.442 20 0 EBADMM CCOC(=O)COCC[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000295291801 155067905 /nfs/dbraw/zinc/06/79/05/155067905.db2.gz GPYODQOIKOSQHH-UHFFFAOYSA-N -1 1 335.334 -0.076 20 0 EBADMM CNC(=O)CN1CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000342681187 156050808 /nfs/dbraw/zinc/05/08/08/156050808.db2.gz PVQIRZPUIAKBBQ-UHFFFAOYSA-N -1 1 329.360 -0.573 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2ccnc(N(C)C)n2)co1 ZINC000343042985 156090179 /nfs/dbraw/zinc/09/01/79/156090179.db2.gz OPKAKRXXARYGAD-UHFFFAOYSA-N -1 1 339.377 -0.026 20 0 EBADMM CC(C)CCOCC[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000343614740 156148170 /nfs/dbraw/zinc/14/81/70/156148170.db2.gz SBYRNHDXGUQCNZ-UHFFFAOYSA-N -1 1 333.410 -0.575 20 0 EBADMM NC(=O)[C@H]1CCCN(C(=O)CS(=O)(=O)c2ccc([O-])cc2)C1 ZINC000343909042 156182838 /nfs/dbraw/zinc/18/28/38/156182838.db2.gz BAYSNOYYDXBEBE-JTQLQIEISA-N -1 1 326.374 -0.110 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc([O-])cc1 ZINC000343931124 156190052 /nfs/dbraw/zinc/19/00/52/156190052.db2.gz DRKXUYIFEXVMIC-NXEZZACHSA-N -1 1 347.414 -0.142 20 0 EBADMM CCCOCC(=O)NCC[N-]S(=O)(=O)c1sc(=O)[nH]c1C ZINC000345455607 156334856 /nfs/dbraw/zinc/33/48/56/156334856.db2.gz WBBVWCGGTAMDKT-UHFFFAOYSA-N -1 1 337.423 -0.022 20 0 EBADMM CO[C@H]1C[C@@H](c2nc[nH]n2)N(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000345513631 156338380 /nfs/dbraw/zinc/33/83/80/156338380.db2.gz QXEIDIJFGNJNNT-UWVGGRQHSA-N -1 1 346.347 -0.376 20 0 EBADMM CO[C@H]1C[C@@H](c2nnc[nH]2)N(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000345513631 156338385 /nfs/dbraw/zinc/33/83/85/156338385.db2.gz QXEIDIJFGNJNNT-UWVGGRQHSA-N -1 1 346.347 -0.376 20 0 EBADMM COCC(C)(C)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000345514004 156339391 /nfs/dbraw/zinc/33/93/91/156339391.db2.gz WYQCBJODBYEGAH-UHFFFAOYSA-N -1 1 333.366 -0.802 20 0 EBADMM CCN(CC)S(=O)(=O)CC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000346175261 156389850 /nfs/dbraw/zinc/38/98/50/156389850.db2.gz GSCCDWGXBRNJKZ-UHFFFAOYSA-N -1 1 330.414 -0.243 20 0 EBADMM C[C@@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)C(=O)N1CCOCC1 ZINC000355606588 157014216 /nfs/dbraw/zinc/01/42/16/157014216.db2.gz NTRGGFYLHBRJRL-SECBINFHSA-N -1 1 333.348 -0.349 20 0 EBADMM CCO[C@H]1C[C@](O)(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1(C)C ZINC000356900953 157099704 /nfs/dbraw/zinc/09/97/04/157099704.db2.gz HDJHMYICIHCYFB-BONVTDFDSA-N -1 1 335.364 -0.287 20 0 EBADMM CC(=O)N1CCC[C@H]1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000357085117 157119597 /nfs/dbraw/zinc/11/95/97/157119597.db2.gz FAPUDHHYPHLHFR-NSHDSACASA-N -1 1 327.362 -0.011 20 0 EBADMM CN(C)c1noc(C[N-]S(=O)(=O)c2ccc(C(N)=O)cc2)n1 ZINC000357164268 157124059 /nfs/dbraw/zinc/12/40/59/157124059.db2.gz DXKFNBGOHPFWTL-UHFFFAOYSA-N -1 1 325.350 -0.287 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2ccc(F)cn2)c(=O)n(C)c1=O ZINC000357188057 157124732 /nfs/dbraw/zinc/12/47/32/157124732.db2.gz ZPMLVAMQJJVRDW-UHFFFAOYSA-N -1 1 328.325 -0.903 20 0 EBADMM CS(=O)(=O)C1(CNC(=O)CNC(=O)c2ncccc2[O-])CCC1 ZINC000357250019 157130836 /nfs/dbraw/zinc/13/08/36/157130836.db2.gz AACJXNONMNYJCM-UHFFFAOYSA-N -1 1 341.389 -0.400 20 0 EBADMM CS(=O)(=O)C1(CNC(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000357287586 157131774 /nfs/dbraw/zinc/13/17/74/157131774.db2.gz GXFIDVUGGHRZID-UHFFFAOYSA-N -1 1 327.362 -0.790 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H](CO)Cc2ccncc2)o1 ZINC000357265297 157132324 /nfs/dbraw/zinc/13/23/24/157132324.db2.gz PJSLOBPESWRYEE-LLVKDONJSA-N -1 1 339.373 -0.084 20 0 EBADMM CC[C@H](C)[C@H](NS(C)(=O)=O)C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000357992294 157196242 /nfs/dbraw/zinc/19/62/42/157196242.db2.gz ICOAUYCZKPQNIO-AEJSXWLSSA-N -1 1 332.430 -0.275 20 0 EBADMM CCN(Cc1cn(C)nn1)C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000359558988 157331203 /nfs/dbraw/zinc/33/12/03/157331203.db2.gz OWYUHAZYFSGCDY-UHFFFAOYSA-N -1 1 327.366 -0.022 20 0 EBADMM CCN1CCOC[C@@H]1C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000359682598 157336994 /nfs/dbraw/zinc/33/69/94/157336994.db2.gz ONFUSKHIHBGQQG-GFCCVEGCSA-N -1 1 343.405 -0.041 20 0 EBADMM CCN1CCN(CC(=O)Nc2nnc([N-]S(C)(=O)=O)s2)CC1 ZINC000359955197 157350205 /nfs/dbraw/zinc/35/02/05/157350205.db2.gz SPTAZIORFNATQF-UHFFFAOYSA-N -1 1 348.454 -0.514 20 0 EBADMM Cc1nc(C[N-]S(=O)(=O)c2ccc(S(C)(=O)=O)nc2)no1 ZINC000359964102 157350330 /nfs/dbraw/zinc/35/03/30/157350330.db2.gz QDVLDQRQLSIKKN-UHFFFAOYSA-N -1 1 332.363 -0.345 20 0 EBADMM NC(=O)N1CCC[C@H](CNC(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000360266610 157369989 /nfs/dbraw/zinc/36/99/89/157369989.db2.gz RFQVZBAWSSUKPS-SNVBAGLBSA-N -1 1 335.364 -0.576 20 0 EBADMM CNC(=O)[C@@H](CCSC)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000360800894 157397954 /nfs/dbraw/zinc/39/79/54/157397954.db2.gz XDWPUAHKJQNWRL-SECBINFHSA-N -1 1 340.405 -0.499 20 0 EBADMM CN(C)S(=O)(=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000365688356 157517234 /nfs/dbraw/zinc/51/72/34/157517234.db2.gz JLXSBYHXEIYVDY-UHFFFAOYSA-N -1 1 328.394 -0.259 20 0 EBADMM O=C([O-])[C@@H]1CN(C(=O)c2cc(-c3ccoc3)[nH]n2)CCS1(=O)=O ZINC000643869218 419080940 /nfs/dbraw/zinc/08/09/40/419080940.db2.gz BALWGBSIGIMUOG-NSHDSACASA-N -1 1 339.329 -0.007 20 0 EBADMM O=C([O-])c1cccc(N2C(=O)C[C@H](NCCN3CCOCC3)C2=O)c1 ZINC000653282582 419112853 /nfs/dbraw/zinc/11/28/53/419112853.db2.gz BYCMFZDLTUUCFI-AWEZNQCLSA-N -1 1 347.371 -0.062 20 0 EBADMM CC(=O)N1CC(OCC(=O)NCc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000644361447 419152190 /nfs/dbraw/zinc/15/21/90/419152190.db2.gz NXCBNCCSTHQIIS-UHFFFAOYSA-N -1 1 347.375 -0.085 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1CCS(=O)(=O)N1 ZINC000651342560 419188138 /nfs/dbraw/zinc/18/81/38/419188138.db2.gz FJEDYPSEPFGDLL-JTQLQIEISA-N -1 1 339.377 -0.640 20 0 EBADMM CCc1[n-][nH]c(=NC(=O)[C@@H]2CCS(=O)(=O)N2)c1Br ZINC000651424674 419194802 /nfs/dbraw/zinc/19/48/02/419194802.db2.gz OUIQHPQUXUGXKB-LURJTMIESA-N -1 1 337.199 -0.213 20 0 EBADMM O=C(CNC(=O)c1ccc(-c2nc(=O)o[n-]2)cc1)N1CCNCC1 ZINC000521433714 419243378 /nfs/dbraw/zinc/24/33/78/419243378.db2.gz SHLQAGKUPHTVNU-UHFFFAOYSA-N -1 1 331.332 -0.809 20 0 EBADMM COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)c1ccc([O-])cc1F ZINC000275274627 419325595 /nfs/dbraw/zinc/32/55/95/419325595.db2.gz LYPQGJNRWWLOIQ-LLVKDONJSA-N -1 1 331.321 -0.057 20 0 EBADMM COC(=O)[C@]1([N-]S(=O)(=O)N2C[C@@H](C)OC[C@@H]2C)CCSC1 ZINC000340066628 419329016 /nfs/dbraw/zinc/32/90/16/419329016.db2.gz GQDPTWMZZGEXIM-UMNHJUIQSA-N -1 1 338.451 -0.021 20 0 EBADMM CO[C@@H]1C[C@@H](c2nnc[nH]2)N(S(=O)(=O)[N-]CC(F)(F)F)C1 ZINC000343164467 419407646 /nfs/dbraw/zinc/40/76/46/419407646.db2.gz KTJWWABGAIDICK-RQJHMYQMSA-N -1 1 329.304 -0.037 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCC[C@H]2F)o1 ZINC000340808222 419382802 /nfs/dbraw/zinc/38/28/02/419382802.db2.gz DLNSJIGDIZHEIA-HTQZYQBOSA-N -1 1 333.341 -0.336 20 0 EBADMM C[C@H]1CN(S(=O)(=O)[N-]c2ccn(CC(=O)N(C)C)n2)[C@@H](C)CO1 ZINC000340836187 419382884 /nfs/dbraw/zinc/38/28/84/419382884.db2.gz YFPFWXYNENMMAR-QWRGUYRKSA-N -1 1 345.425 -0.263 20 0 EBADMM COc1cc(F)c(S(=O)(=O)[N-][C@@]2(C(N)=O)CCOC2)c(F)c1 ZINC000655658024 419496846 /nfs/dbraw/zinc/49/68/46/419496846.db2.gz PTGNXXVLLPTUCI-LBPRGKRZSA-N -1 1 336.316 -0.104 20 0 EBADMM COc1cc(F)c(S(=O)(=O)[N-][C@]2(C(N)=O)CCOC2)c(F)c1 ZINC000655658018 419498005 /nfs/dbraw/zinc/49/80/05/419498005.db2.gz PTGNXXVLLPTUCI-GFCCVEGCSA-N -1 1 336.316 -0.104 20 0 EBADMM Cc1[nH]c(=O)sc1S(=O)(=O)[N-]CCNC(=O)[C@@H]1CO[C@@H](C)C1 ZINC000526242317 419605155 /nfs/dbraw/zinc/60/51/55/419605155.db2.gz VHTAMKHTBPHXPR-CBAPKCEASA-N -1 1 349.434 -0.023 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)CN2CCOC[C@H]2C(=O)[O-])C1 ZINC000648425163 419733547 /nfs/dbraw/zinc/73/35/47/419733547.db2.gz UXEMXTIYGMNQQC-KGLIPLIRSA-N -1 1 343.424 -0.755 20 0 EBADMM CC(C)N1CCO[C@H](CN2CCN(C(=O)CNC(=O)[O-])CC2)C1 ZINC000376110044 419738056 /nfs/dbraw/zinc/73/80/56/419738056.db2.gz AMYQLCXFCVTAGC-CYBMUJFWSA-N -1 1 328.413 -0.493 20 0 EBADMM O=C(Cn1nc2n(c1=O)CCCC2)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000653028435 419782998 /nfs/dbraw/zinc/78/29/98/419782998.db2.gz FSLZLFZTSAXONQ-JTQLQIEISA-N -1 1 348.363 -0.124 20 0 EBADMM CO[C@@H](CNC(=O)OC(C)(C)C)CC(=O)NN1CC(=O)[N-]C1=O ZINC000495855228 420609845 /nfs/dbraw/zinc/60/98/45/420609845.db2.gz AWZJBTFGRDULCP-MRVPVSSYSA-N -1 1 330.341 -0.501 20 0 EBADMM Cc1nc(S(=O)(=O)[N-]CC2CN(S(C)(=O)=O)C2)sc1C ZINC000494359303 420589732 /nfs/dbraw/zinc/58/97/32/420589732.db2.gz YOMCIOCQHPEXAQ-UHFFFAOYSA-N -1 1 339.464 -0.070 20 0 EBADMM COC[C@H](NC(=O)OC(C)(C)C)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000496653252 420643470 /nfs/dbraw/zinc/64/34/70/420643470.db2.gz BHXCXDGZDBDCGH-QMMMGPOBSA-N -1 1 326.357 -0.155 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H]2COC(=O)N[C@H]2CCOC2)nc1=O ZINC000496783039 420645483 /nfs/dbraw/zinc/64/54/83/420645483.db2.gz CRIFROIOFOMFIH-NWDGAFQWSA-N -1 1 339.396 -0.022 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCCc2c1cnn2CCO ZINC000367658493 420660645 /nfs/dbraw/zinc/66/06/45/420660645.db2.gz PBGMMCSIGZAWCX-SNVBAGLBSA-N -1 1 341.393 0.110 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCN(CC(F)(F)F)C1=O ZINC000349592286 420660882 /nfs/dbraw/zinc/66/08/82/420660882.db2.gz WIAMKTVJARFWDC-ZCFIWIBFSA-N -1 1 342.299 0.007 20 0 EBADMM Nc1nc(C(=O)[N-]c2nc3ccccn3n2)nc(N2CCOCC2)n1 ZINC000615009660 420679479 /nfs/dbraw/zinc/67/94/79/420679479.db2.gz WMIYHPYNDLDYIB-UHFFFAOYSA-N -1 1 341.335 -0.415 20 0 EBADMM CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)Cc1ncccc1[O-] ZINC000517057282 420696438 /nfs/dbraw/zinc/69/64/38/420696438.db2.gz MCJPCMQWSKIDFS-LLVKDONJSA-N -1 1 327.406 -0.088 20 0 EBADMM CC(C)N1CCO[C@H](CN2CCN(C(=O)[C@@H]3C[C@H]3C(=O)[O-])CC2)C1 ZINC000649453416 420808593 /nfs/dbraw/zinc/80/85/93/420808593.db2.gz DTMDBUZWGGGARM-RBSFLKMASA-N -1 1 339.436 -0.040 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2CCC[C@H]3C[C@H]32)c(=O)n(C)c1=O ZINC000657149981 420919359 /nfs/dbraw/zinc/91/93/59/420919359.db2.gz RIOZYSXEUDTEES-GARJFASQSA-N -1 1 327.406 -0.202 20 0 EBADMM CC(C)O[C@]1(CS(=O)(=O)[N-]Cc2cc(C(N)=O)no2)CCOC1 ZINC000659927532 421035000 /nfs/dbraw/zinc/03/50/00/421035000.db2.gz QUVITCSSQGJXGX-CYBMUJFWSA-N -1 1 347.393 -0.223 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2C(C)(C)C2(F)F)c(=O)n(C)c1=O ZINC000660019969 421036628 /nfs/dbraw/zinc/03/66/28/421036628.db2.gz AJVHSSSXMAHDJC-MRVPVSSYSA-N -1 1 337.348 -0.346 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCNc2ccn(C)n2)o1 ZINC000552079123 421220125 /nfs/dbraw/zinc/22/01/25/421220125.db2.gz QBZUQEJTDLURRT-UHFFFAOYSA-N -1 1 327.366 -0.237 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCSCC(=O)OC)o1 ZINC000264383097 264609114 /nfs/dbraw/zinc/60/91/14/264609114.db2.gz KOYWYPUOAZMQCD-UHFFFAOYSA-N -1 1 336.391 -0.176 20 0 EBADMM NC(=O)[C@H]1CC[C@H](CNC(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000421914895 264938043 /nfs/dbraw/zinc/93/80/43/264938043.db2.gz HPZYJFQTVZHZAA-XYPYZODXSA-N -1 1 334.376 -0.075 20 0 EBADMM O=C([O-])[C@H]1CN(C(=O)N[C@@H]2CCCc3cn[nH]c32)CCS1(=O)=O ZINC000424411946 265060702 /nfs/dbraw/zinc/06/07/02/265060702.db2.gz PKMCHAHHZSQMGQ-NXEZZACHSA-N -1 1 342.377 -0.320 20 0 EBADMM Cn1cc([C@@H]2OCC[C@H]2NC(=O)CNC(=O)c2ncccc2[O-])cn1 ZINC000425045750 265079546 /nfs/dbraw/zinc/07/95/46/265079546.db2.gz QGTYJILKXHZZTP-ABAIWWIYSA-N -1 1 345.359 -0.103 20 0 EBADMM Cc1ccc(NC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)cn1 ZINC000434467990 265203293 /nfs/dbraw/zinc/20/32/93/265203293.db2.gz SYQLHJALIWBRRT-UHFFFAOYSA-N -1 1 338.345 -0.001 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](CO)CCSC ZINC000443501389 265352220 /nfs/dbraw/zinc/35/22/20/265352220.db2.gz PBTVHFVVDNFCSE-MRVPVSSYSA-N -1 1 337.423 -0.021 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C1(n2cnnn2)CC1 ZINC000668745599 545750002 /nfs/dbraw/zinc/75/00/02/545750002.db2.gz ZTMZPZQDWXKEMA-UHFFFAOYSA-N -1 1 328.336 -0.156 20 0 EBADMM O=C(CC1(n2cnnn2)CCOCC1)NC1(c2nn[n-]n2)CCCC1 ZINC000668868939 545777784 /nfs/dbraw/zinc/77/77/84/545777784.db2.gz HYWOAXGRZZGFNO-UHFFFAOYSA-N -1 1 347.383 -0.332 20 0 EBADMM O=S(=O)(CCCCS(=O)(=O)N1CCCO1)[N-]c1c[nH]cn1 ZINC000520839452 545967010 /nfs/dbraw/zinc/96/70/10/545967010.db2.gz FEMNLHYLPKXLTL-UHFFFAOYSA-N -1 1 338.411 -0.101 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]CCc1nnnn1-c1ccccc1 ZINC000349871774 546042684 /nfs/dbraw/zinc/04/26/84/546042684.db2.gz KWGHWMIGHBVISW-UHFFFAOYSA-N -1 1 349.376 0.062 20 0 EBADMM Cc1nn(C)c(C)c1C(=O)NC1(C(=O)NCc2nn[n-]n2)CCCC1 ZINC000674092141 546155581 /nfs/dbraw/zinc/15/55/81/546155581.db2.gz HPUQWDPHONATPD-UHFFFAOYSA-N -1 1 346.395 -0.091 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1ccn(Cc2ccccc2)n1 ZINC000675209780 546181299 /nfs/dbraw/zinc/18/12/99/546181299.db2.gz UXOYUEDKVGCQHC-UHFFFAOYSA-N -1 1 326.320 -0.301 20 0 EBADMM C[C@@H](NC(=O)c1n[nH]c2ccccc21)C(=O)N1CCO[C@H](C(=O)[O-])C1 ZINC000676215926 546198339 /nfs/dbraw/zinc/19/83/39/546198339.db2.gz RJPKBKAAZZTCJW-SKDRFNHKSA-N -1 1 346.343 -0.007 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H](C)[C@H]2CN(C)CCO2)co1 ZINC000677318431 546228374 /nfs/dbraw/zinc/22/83/74/546228374.db2.gz FPFUPPGLEKFIAP-GXSJLCMTSA-N -1 1 331.394 -0.363 20 0 EBADMM CS(=O)(=O)c1ccc(S(=O)(=O)[N-]c2cc(C(N)=O)[nH]n2)cc1 ZINC000679204594 546267762 /nfs/dbraw/zinc/26/77/62/546267762.db2.gz MKMCFQJDSYIBFM-UHFFFAOYSA-N -1 1 344.374 -0.287 20 0 EBADMM C[C@@H]1CCN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C[C@@H]1F ZINC000679263451 546268341 /nfs/dbraw/zinc/26/83/41/546268341.db2.gz ZMXONIFEAAYOTL-BDAKNGLRSA-N -1 1 347.368 -0.137 20 0 EBADMM CS(=O)(=O)c1ccc(S(=O)(=O)[N-]c2cc(C(N)=O)n[nH]2)cn1 ZINC000684608573 546374892 /nfs/dbraw/zinc/37/48/92/546374892.db2.gz ZBYBDQVAGLXNIU-UHFFFAOYSA-N -1 1 345.362 -0.892 20 0 EBADMM NC(=O)[C@H](c1ccc(-c2nn[nH]n2)cc1)[N@@H+]1CCC[C@H](OCCO)C1 ZINC000737739266 582544609 /nfs/dbraw/zinc/54/46/09/582544609.db2.gz NAGLRMKKLBHBDF-KBPBESRZSA-N -1 1 346.391 -0.134 20 0 EBADMM NC(=O)[C@H](c1ccc(-c2nn[nH]n2)cc1)[N@H+]1CCC[C@H](OCCO)C1 ZINC000737739266 582544611 /nfs/dbraw/zinc/54/46/11/582544611.db2.gz NAGLRMKKLBHBDF-KBPBESRZSA-N -1 1 346.391 -0.134 20 0 EBADMM COc1ccc([C@H](O)CNC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC000727850199 582660905 /nfs/dbraw/zinc/66/09/05/582660905.db2.gz VCAGWSXTMNDBNN-CYBMUJFWSA-N -1 1 333.344 -0.215 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCCOC[C@H]1CCCO1 ZINC000728203947 582671771 /nfs/dbraw/zinc/67/17/71/582671771.db2.gz QBYUPGXJPZTVGY-GFCCVEGCSA-N -1 1 325.365 -0.371 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC2N=NC(=S)N2C)o1 ZINC000729251426 582687672 /nfs/dbraw/zinc/68/76/72/582687672.db2.gz RZZKMHPJBKOKAT-UHFFFAOYSA-N -1 1 331.379 -0.465 20 0 EBADMM COC[C@@]1(C(=O)[N-]S(=O)(=O)c2cccc(C)c2)CNCCO1 ZINC000901011679 582788312 /nfs/dbraw/zinc/78/83/12/582788312.db2.gz XFLYVHDBZBZESA-CQSZACIVSA-N -1 1 328.390 -0.195 20 0 EBADMM O=C([C@@H]1CC[C@@H](C(F)(F)F)NC1=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000732090709 582844055 /nfs/dbraw/zinc/84/40/55/582844055.db2.gz MGIWRRKIGSBJOM-PRJMDXOYSA-N -1 1 348.285 -0.443 20 0 EBADMM O=C(Cn1cc(Br)cn1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000732091633 582844396 /nfs/dbraw/zinc/84/43/96/582844396.db2.gz LFPAOWOIQPXKNH-QMMMGPOBSA-N -1 1 342.157 -0.241 20 0 EBADMM C[C@]1(c2ccccc2)NC(=O)N(CC(=O)NCc2nn[n-]n2)C1=O ZINC000732158071 582845476 /nfs/dbraw/zinc/84/54/76/582845476.db2.gz RNNIASQHORIAAU-CQSZACIVSA-N -1 1 329.320 -0.717 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@@H](C(=O)N2CCCC2)C1 ZINC000732306290 582847685 /nfs/dbraw/zinc/84/76/85/582847685.db2.gz XNMCDSKMJLHXOF-CYBMUJFWSA-N -1 1 348.403 -0.212 20 0 EBADMM CNC(=O)c1cc(OC)ccc1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000733144450 582856018 /nfs/dbraw/zinc/85/60/18/582856018.db2.gz QKYOZOLZYIOOLL-UHFFFAOYSA-N -1 1 346.343 -0.066 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1ccc2c(c1)C(=O)NCC2 ZINC000735329708 582893394 /nfs/dbraw/zinc/89/33/94/582893394.db2.gz FIPLLBDZJDVUFJ-UHFFFAOYSA-N -1 1 328.328 -0.149 20 0 EBADMM CC(=O)NCCCNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735475686 582896140 /nfs/dbraw/zinc/89/61/40/582896140.db2.gz YXRHVEGEUQIBBO-UHFFFAOYSA-N -1 1 325.354 -0.934 20 0 EBADMM CC(=O)NCCCNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735475686 582896141 /nfs/dbraw/zinc/89/61/41/582896141.db2.gz YXRHVEGEUQIBBO-UHFFFAOYSA-N -1 1 325.354 -0.934 20 0 EBADMM CC(C)[C@H](NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)C(N)=O ZINC000735734200 582904938 /nfs/dbraw/zinc/90/49/38/582904938.db2.gz LQKZMXIYLIVTOQ-VIFPVBQESA-N -1 1 325.354 -0.950 20 0 EBADMM CC(C)[C@H](NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)C(N)=O ZINC000735734200 582904941 /nfs/dbraw/zinc/90/49/41/582904941.db2.gz LQKZMXIYLIVTOQ-VIFPVBQESA-N -1 1 325.354 -0.950 20 0 EBADMM CC(C)N1CCN(S(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000735881238 582909398 /nfs/dbraw/zinc/90/93/98/582909398.db2.gz HWQIRXIRKPTRRI-UHFFFAOYSA-N -1 1 337.409 -0.024 20 0 EBADMM CC(C)N1CCN(S(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)CC1 ZINC000735881238 582909401 /nfs/dbraw/zinc/90/94/01/582909401.db2.gz HWQIRXIRKPTRRI-UHFFFAOYSA-N -1 1 337.409 -0.024 20 0 EBADMM CC(C)NC(=O)CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735887566 582909735 /nfs/dbraw/zinc/90/97/35/582909735.db2.gz CRZBSOHCUIVHNR-UHFFFAOYSA-N -1 1 325.354 -0.935 20 0 EBADMM CC(C)NC(=O)CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735887566 582909736 /nfs/dbraw/zinc/90/97/36/582909736.db2.gz CRZBSOHCUIVHNR-UHFFFAOYSA-N -1 1 325.354 -0.935 20 0 EBADMM CC(=O)N1CCN([C@H]2CN(CC(=O)NCCC(=O)[O-])C[C@@H]2C)CC1 ZINC000736134896 582920083 /nfs/dbraw/zinc/92/00/83/582920083.db2.gz SWXKSPNZNIGADZ-JSGCOSHPSA-N -1 1 340.424 -0.938 20 0 EBADMM C[C@H](OC(=O)c1ccc(-c2nnn[n-]2)nc1)C(=O)N1CCNC1=O ZINC000736159554 582921753 /nfs/dbraw/zinc/92/17/53/582921753.db2.gz WEAULFBOXMEVFL-ZETCQYMHSA-N -1 1 331.292 -0.641 20 0 EBADMM C[C@H](OC(=O)c1ccc(-c2nn[n-]n2)nc1)C(=O)N1CCNC1=O ZINC000736159554 582921757 /nfs/dbraw/zinc/92/17/57/582921757.db2.gz WEAULFBOXMEVFL-ZETCQYMHSA-N -1 1 331.292 -0.641 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)N2CCO[C@@H](C(=O)[O-])C2)C1 ZINC000736444026 582934454 /nfs/dbraw/zinc/93/44/54/582934454.db2.gz VMFWFZVEMQOTBB-CHWSQXEVSA-N -1 1 329.397 -0.162 20 0 EBADMM CCOC(=O)CN(CC)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736596606 582945434 /nfs/dbraw/zinc/94/54/34/582945434.db2.gz RONMWRWFNPVCAB-UHFFFAOYSA-N -1 1 340.365 -0.165 20 0 EBADMM CCOC(=O)CN(CC)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736596606 582945436 /nfs/dbraw/zinc/94/54/36/582945436.db2.gz RONMWRWFNPVCAB-UHFFFAOYSA-N -1 1 340.365 -0.165 20 0 EBADMM CCc1nn(CCCC(=O)NC(N)=O)c(=O)c(-c2nn[n-]n2)c1CC ZINC000736706124 582950636 /nfs/dbraw/zinc/95/06/36/582950636.db2.gz UFYBWKVGIXWKQN-UHFFFAOYSA-N -1 1 348.367 -0.477 20 0 EBADMM CN(C)C(=O)Cn1ccc(NC(=O)c2ccc(-c3nnn[n-]3)nc2)n1 ZINC000736750747 582953522 /nfs/dbraw/zinc/95/35/22/582953522.db2.gz BJFVGGKOBWCTOR-UHFFFAOYSA-N -1 1 341.335 -0.201 20 0 EBADMM CN(C)C(=O)Cn1ccc(NC(=O)c2ccc(-c3nn[n-]n3)nc2)n1 ZINC000736750747 582953524 /nfs/dbraw/zinc/95/35/24/582953524.db2.gz BJFVGGKOBWCTOR-UHFFFAOYSA-N -1 1 341.335 -0.201 20 0 EBADMM COC(=O)C(C)(C)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736886820 582969850 /nfs/dbraw/zinc/96/98/50/582969850.db2.gz ALINSKQTEZTOHX-UHFFFAOYSA-N -1 1 326.338 -0.508 20 0 EBADMM COC(=O)C(C)(C)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736886820 582969853 /nfs/dbraw/zinc/96/98/53/582969853.db2.gz ALINSKQTEZTOHX-UHFFFAOYSA-N -1 1 326.338 -0.508 20 0 EBADMM COC(=O)CNC(=O)[C@H](C)OC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736931403 582975837 /nfs/dbraw/zinc/97/58/37/582975837.db2.gz NRDCDPZJUHYCIU-ZETCQYMHSA-N -1 1 334.292 -0.904 20 0 EBADMM COC(=O)CNC(=O)[C@H](C)OC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736931403 582975840 /nfs/dbraw/zinc/97/58/40/582975840.db2.gz NRDCDPZJUHYCIU-ZETCQYMHSA-N -1 1 334.292 -0.904 20 0 EBADMM CC[C@@H]1CN(CCn2cccn2)CCN1[C@@H](C)C(=O)NCC(=O)[O-] ZINC000736943579 582976553 /nfs/dbraw/zinc/97/65/53/582976553.db2.gz OSMYLAQFPKXMHM-UONOGXRCSA-N -1 1 337.424 -0.131 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@H](C)C(=O)NCC(=O)OC)co1 ZINC000756404142 582986820 /nfs/dbraw/zinc/98/68/20/582986820.db2.gz KNCQIEJDIJIOKC-SSDOTTSWSA-N -1 1 348.333 -0.978 20 0 EBADMM CN(CCC(=O)NCC(=O)[O-])[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000737412685 583007979 /nfs/dbraw/zinc/00/79/79/583007979.db2.gz VCYPARGGDFZLCD-MRVPVSSYSA-N -1 1 325.287 -0.328 20 0 EBADMM CN1CCN(C)[C@H](CNC(=O)C(=O)Nc2ccc(CC(=O)[O-])cc2)C1 ZINC000737525193 583013242 /nfs/dbraw/zinc/01/32/42/583013242.db2.gz CEKNNCPCOYETGO-CQSZACIVSA-N -1 1 348.403 -0.386 20 0 EBADMM O=C([C@@H]1CCCN(c2nccnc2-c2nnn[n-]2)C1)N1CCOCC1 ZINC000737791974 583027508 /nfs/dbraw/zinc/02/75/08/583027508.db2.gz NAIFHNUYGBOALE-LLVKDONJSA-N -1 1 344.379 -0.268 20 0 EBADMM O=C([C@@H]1CCCN(c2nccnc2-c2nn[n-]n2)C1)N1CCOCC1 ZINC000737791974 583027510 /nfs/dbraw/zinc/02/75/10/583027510.db2.gz NAIFHNUYGBOALE-LLVKDONJSA-N -1 1 344.379 -0.268 20 0 EBADMM O=C(CCNC(=O)c1ccc(-c2nnn[n-]2)nc1)Nc1ccncc1 ZINC000737846679 583028754 /nfs/dbraw/zinc/02/87/54/583028754.db2.gz KIKOSCSJNRFELJ-UHFFFAOYSA-N -1 1 338.331 -0.163 20 0 EBADMM O=C(CCNC(=O)c1ccc(-c2nn[n-]n2)nc1)Nc1ccncc1 ZINC000737846679 583028758 /nfs/dbraw/zinc/02/87/58/583028758.db2.gz KIKOSCSJNRFELJ-UHFFFAOYSA-N -1 1 338.331 -0.163 20 0 EBADMM O=C(c1ccc(-n2ccnc2)nc1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000738258963 583045970 /nfs/dbraw/zinc/04/59/70/583045970.db2.gz SUVZOIGTRFTXTN-LLVKDONJSA-N -1 1 326.320 -0.006 20 0 EBADMM O=C(c1sccc1-c1nn[nH]n1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000738289086 583047209 /nfs/dbraw/zinc/04/72/09/583047209.db2.gz GHGWRRWDFRVCRS-SSDOTTSWSA-N -1 1 333.337 -0.345 20 0 EBADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N(C1CC1)[C@@H]1CC(=O)NC1=O ZINC000738300338 583047849 /nfs/dbraw/zinc/04/78/49/583047849.db2.gz DKYCZTWIYRRCPY-SNVBAGLBSA-N -1 1 327.304 -0.719 20 0 EBADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N(C1CC1)[C@@H]1CC(=O)NC1=O ZINC000738300338 583047852 /nfs/dbraw/zinc/04/78/52/583047852.db2.gz DKYCZTWIYRRCPY-SNVBAGLBSA-N -1 1 327.304 -0.719 20 0 EBADMM O=C(CNC(=O)c1cccc(O)c1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000788150251 583068471 /nfs/dbraw/zinc/06/84/71/583068471.db2.gz TYONQFYJKYMDHL-LLVKDONJSA-N -1 1 348.388 -0.048 20 0 EBADMM CCC1N=NC(=S)N1CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC000743664417 583087187 /nfs/dbraw/zinc/08/71/87/583087187.db2.gz WDYIPAZVYWDXOT-UHFFFAOYSA-N -1 1 338.393 -0.814 20 0 EBADMM CN1CCN(C(=O)CNC(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000744272105 583090745 /nfs/dbraw/zinc/09/07/45/583090745.db2.gz JCADUNLOBPXRQI-UHFFFAOYSA-N -1 1 329.360 -0.573 20 0 EBADMM C[C@@H](O)[C@H](NC(=O)C1CC1)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000745736705 583099933 /nfs/dbraw/zinc/09/99/33/583099933.db2.gz CDOVDLQSEVXUPG-YGRLFVJLSA-N -1 1 348.355 -0.238 20 0 EBADMM COCCn1cc(S(=O)(=O)NN=c2nc(OC)cc(C)[n-]2)cn1 ZINC000747792033 583115798 /nfs/dbraw/zinc/11/57/98/583115798.db2.gz PDSTWPPDOLFVRF-UHFFFAOYSA-N -1 1 342.381 -0.058 20 0 EBADMM CS(=O)(=O)CCS(=O)(=O)[N-]CC(=O)OC1CCCCC1 ZINC000751977480 583150650 /nfs/dbraw/zinc/15/06/50/583150650.db2.gz MPUVORGKNZCUQR-UHFFFAOYSA-N -1 1 327.424 -0.174 20 0 EBADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)CCS(C)(=O)=O)C(C)(C)C ZINC000753379473 583160872 /nfs/dbraw/zinc/16/08/72/583160872.db2.gz XWFPJFOIIVIOTK-SECBINFHSA-N -1 1 329.440 -0.072 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)C(C)(CO)CO)cc1 ZINC000759840436 583219212 /nfs/dbraw/zinc/21/92/12/583219212.db2.gz KAQMBAUYJCMJMR-UHFFFAOYSA-N -1 1 325.317 -0.514 20 0 EBADMM CC1(C2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)CC2)OCCO1 ZINC000761583948 583241626 /nfs/dbraw/zinc/24/16/26/583241626.db2.gz XJIYYEWYSHFUFE-UHFFFAOYSA-N -1 1 337.376 -0.072 20 0 EBADMM CCOc1ccccc1C(=O)NCC(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765399284 583281330 /nfs/dbraw/zinc/28/13/30/583281330.db2.gz SMIJQRSONDCDAF-UHFFFAOYSA-N -1 1 334.332 -0.020 20 0 EBADMM Cn1[n-]c(COC(=O)CCNS(=O)(=O)c2cccs2)nc1=O ZINC000765418792 583281781 /nfs/dbraw/zinc/28/17/81/583281781.db2.gz LYHFEMLMGURGMR-UHFFFAOYSA-N -1 1 346.390 -0.418 20 0 EBADMM Cc1ccc(C(=O)NCCC(=O)OCc2nc(=O)n(C)[n-]2)c(O)c1 ZINC000765464090 583283006 /nfs/dbraw/zinc/28/30/06/583283006.db2.gz MRXYMLCNIQCRST-UHFFFAOYSA-N -1 1 334.332 -0.014 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(c2ccccc2)C(=O)C1 ZINC000765821406 583287794 /nfs/dbraw/zinc/28/77/94/583287794.db2.gz WKVQWDBFIUBSEO-UHFFFAOYSA-N -1 1 342.355 -0.198 20 0 EBADMM CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc([O-])c(F)c1 ZINC000767300085 583304933 /nfs/dbraw/zinc/30/49/33/583304933.db2.gz ZJEPAKAKCRWYND-JTQLQIEISA-N -1 1 344.364 -0.093 20 0 EBADMM CCNC(=O)COc1cccc(NC(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000770146388 583333219 /nfs/dbraw/zinc/33/32/19/583333219.db2.gz RZUGISROOLIDPJ-UHFFFAOYSA-N -1 1 335.320 -0.210 20 0 EBADMM O=C(Nc1ccc(C[C@H]2CC(=O)NC2=O)cc1)NN1CC(=O)[N-]C1=O ZINC000770524393 583339196 /nfs/dbraw/zinc/33/91/96/583339196.db2.gz VHHUBZBWPQRMRC-VIFPVBQESA-N -1 1 345.315 -0.520 20 0 EBADMM Cc1nc(N2CCOCC2)ccc1NC(=O)NN1CC(=O)[N-]C1=O ZINC000770593622 583339861 /nfs/dbraw/zinc/33/98/61/583339861.db2.gz OTVQDFLQUWFVCP-UHFFFAOYSA-N -1 1 334.336 -0.185 20 0 EBADMM Cn1ncc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c1Br ZINC000772895508 583366308 /nfs/dbraw/zinc/36/63/08/583366308.db2.gz DASBVASQAWLPFZ-SSDOTTSWSA-N -1 1 342.157 -0.091 20 0 EBADMM Cc1ccc(S(=O)(=O)[N-]N=c2ccc(S(N)(=O)=O)c[nH]2)o1 ZINC000773231032 583370635 /nfs/dbraw/zinc/37/06/35/583370635.db2.gz HBWWLWLVDGHPIF-UHFFFAOYSA-N -1 1 332.363 -0.064 20 0 EBADMM C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774961227 583392832 /nfs/dbraw/zinc/39/28/32/583392832.db2.gz HZLZOWWBNQDGMO-IONNQARKSA-N -1 1 340.298 -0.126 20 0 EBADMM COC[C@H]([N-]S(=O)(=O)c1c(C)nn(C)c1Cl)C(=O)OC ZINC000778069521 583417209 /nfs/dbraw/zinc/41/72/09/583417209.db2.gz OGQXJJWCDZBDPU-ZETCQYMHSA-N -1 1 325.774 -0.152 20 0 EBADMM O=C(CSc1nnc2ccccn21)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000782670502 583472534 /nfs/dbraw/zinc/47/25/34/583472534.db2.gz WRQDBYMEGUGGRP-SECBINFHSA-N -1 1 346.376 -0.065 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CN(c2ccc(F)cc2)C1=O ZINC000782867897 583474435 /nfs/dbraw/zinc/47/44/35/583474435.db2.gz CKKPTUFFBGKENU-GFCCVEGCSA-N -1 1 346.318 -0.403 20 0 EBADMM CN(C)S(=O)(=O)CCC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000787903270 583524246 /nfs/dbraw/zinc/52/42/46/583524246.db2.gz SXXOIVWDQFRMNF-MRVPVSSYSA-N -1 1 334.427 -0.902 20 0 EBADMM CC(=O)N[C@@H](CC(=O)Nc1nc(Br)ccc1[O-])C(N)=O ZINC000790046661 583541442 /nfs/dbraw/zinc/54/14/42/583541442.db2.gz KULJYPLVDPWBRP-LURJTMIESA-N -1 1 345.153 -0.132 20 0 EBADMM O=C([N-]S(=O)(=O)N1CCOCC1)c1cccc(-c2nnc[nH]2)c1 ZINC000793160332 583564649 /nfs/dbraw/zinc/56/46/49/583564649.db2.gz CQKQLMFQRNYSFD-UHFFFAOYSA-N -1 1 337.361 -0.222 20 0 EBADMM COC(=O)c1ccc(C(=O)OCC(=O)N2CCCC[C@H]2C(N)=O)[n-]1 ZINC000796343855 583595649 /nfs/dbraw/zinc/59/56/49/583595649.db2.gz ITPWUWHAUMVSND-NSHDSACASA-N -1 1 337.332 -0.176 20 0 EBADMM Cn1nnc(CNC(=O)N2CCC(C[N-]C(=O)C(F)(F)F)CC2)n1 ZINC000798470130 583605008 /nfs/dbraw/zinc/60/50/08/583605008.db2.gz VJHRJEXVLRLLSK-UHFFFAOYSA-N -1 1 349.317 -0.190 20 0 EBADMM CN1C(=O)COc2cc(NC(=O)CCn3cc[n-]c(=O)c3=O)ccc21 ZINC000799055198 583609403 /nfs/dbraw/zinc/60/94/03/583609403.db2.gz IYSXIEJQBWDPCQ-UHFFFAOYSA-N -1 1 344.327 -0.079 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC2(S(C)(=O)=O)CC2)co1 ZINC000799712202 583612860 /nfs/dbraw/zinc/61/28/60/583612860.db2.gz ZUNQEEVFCDSNRZ-UHFFFAOYSA-N -1 1 337.375 -0.078 20 0 EBADMM CC[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H](C)[S@@]1=O ZINC000804437864 583644564 /nfs/dbraw/zinc/64/45/64/583644564.db2.gz MHBHEPVKQCXPST-UTSGRSJNSA-N -1 1 327.406 -0.315 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)N3CCC[C@@H](S(N)(=O)=O)C3)ccnc1-2 ZINC000806485305 583650298 /nfs/dbraw/zinc/65/02/98/583650298.db2.gz QOZKKLJBFNSOOH-SECBINFHSA-N -1 1 338.393 -0.373 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)N3CCC(S(N)(=O)=O)CC3)ccnc1-2 ZINC000806488508 583650339 /nfs/dbraw/zinc/65/03/39/583650339.db2.gz HZMKUAPGFVFIAU-UHFFFAOYSA-N -1 1 338.393 -0.373 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NC3COC(C)(C)OC3)ccnc1-2 ZINC000806971952 583656468 /nfs/dbraw/zinc/65/64/68/583656468.db2.gz ZWJTUFNQQDRVEC-UHFFFAOYSA-N -1 1 333.348 -0.452 20 0 EBADMM COc1ccc(CNC(=O)CCn2cc[n-]c(=O)c2=O)c(OC)n1 ZINC000811956744 583694104 /nfs/dbraw/zinc/69/41/04/583694104.db2.gz VNVVENYPTMEURL-UHFFFAOYSA-N -1 1 334.332 -0.345 20 0 EBADMM CC(C)OCCO[N-]C(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000812803174 583696711 /nfs/dbraw/zinc/69/67/11/583696711.db2.gz WMGGUNXOLIADEH-CQSZACIVSA-N -1 1 343.424 -0.360 20 0 EBADMM CN(C[C@@H](O)Cc1ccccc1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000817220276 583726021 /nfs/dbraw/zinc/72/60/21/583726021.db2.gz CSIGIPYIGRNWTN-AWEZNQCLSA-N -1 1 331.372 -0.011 20 0 EBADMM COC[C@@]1(C(=O)[O-])CCCN1C(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000818309361 583741331 /nfs/dbraw/zinc/74/13/31/583741331.db2.gz BMXPXHWZBFBMKO-OAHLLOKOSA-N -1 1 348.363 -0.119 20 0 EBADMM CC(=O)N[C@@H]1CCCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000819802743 583761317 /nfs/dbraw/zinc/76/13/17/583761317.db2.gz ILCRTMCWZQASAO-SECBINFHSA-N -1 1 333.356 -0.267 20 0 EBADMM CC(=O)N[C@@H]1CCCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000819802743 583761319 /nfs/dbraw/zinc/76/13/19/583761319.db2.gz ILCRTMCWZQASAO-SECBINFHSA-N -1 1 333.356 -0.267 20 0 EBADMM COC(=O)c1ccc(CNC(=O)CCn2cc[n-]c(=O)c2=O)nc1 ZINC000819975453 583764293 /nfs/dbraw/zinc/76/42/93/583764293.db2.gz OSKJCZRRLFWAPB-UHFFFAOYSA-N -1 1 332.316 -0.575 20 0 EBADMM Cn1cc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)c(=O)n(C)c1=O ZINC000819970504 583764311 /nfs/dbraw/zinc/76/43/11/583764311.db2.gz MEOCQXFGGFDBSD-QMMMGPOBSA-N -1 1 335.320 -0.808 20 0 EBADMM Cn1cc(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c(=O)n(C)c1=O ZINC000819970503 583764350 /nfs/dbraw/zinc/76/43/50/583764350.db2.gz MEOCQXFGGFDBSD-MRVPVSSYSA-N -1 1 335.320 -0.808 20 0 EBADMM CC(C)NC(=O)CN(C)CC(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000820131395 583767126 /nfs/dbraw/zinc/76/71/26/583767126.db2.gz CGCANRODIJVCCG-UHFFFAOYSA-N -1 1 347.379 -0.033 20 0 EBADMM C[C@H](CC[S@@](C)=O)NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820249325 583769146 /nfs/dbraw/zinc/76/91/46/583769146.db2.gz UTBMLEGINKBCLR-CGQWYARDSA-N -1 1 326.386 -0.121 20 0 EBADMM C[C@H](CC[S@@](C)=O)NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820249325 583769148 /nfs/dbraw/zinc/76/91/48/583769148.db2.gz UTBMLEGINKBCLR-CGQWYARDSA-N -1 1 326.386 -0.121 20 0 EBADMM CC[C@@H](NCC(=O)NCC(=O)[O-])c1cccc(S(N)(=O)=O)c1 ZINC000820284974 583770680 /nfs/dbraw/zinc/77/06/80/583770680.db2.gz JSFLXJFNRNTWQD-LLVKDONJSA-N -1 1 329.378 -0.425 20 0 EBADMM C[C@H](CS(C)(=O)=O)NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820293227 583771196 /nfs/dbraw/zinc/77/11/96/583771196.db2.gz JCPLRSUQPFBUPW-ZCFIWIBFSA-N -1 1 328.358 -0.845 20 0 EBADMM C[C@H](CS(C)(=O)=O)NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820293227 583771197 /nfs/dbraw/zinc/77/11/97/583771197.db2.gz JCPLRSUQPFBUPW-ZCFIWIBFSA-N -1 1 328.358 -0.845 20 0 EBADMM C[C@@](O)(CNC(=O)Cn1cnc(-c2nn[n-]n2)n1)c1ccccc1 ZINC000820467913 583773643 /nfs/dbraw/zinc/77/36/43/583773643.db2.gz OOORJMMVJFSEIS-CQSZACIVSA-N -1 1 328.336 -0.518 20 0 EBADMM C[C@@H](Oc1ccc(-c2nn[nH]n2)cc1)C(=O)NN1CC(=O)[N-]C1=O ZINC000820530303 583774975 /nfs/dbraw/zinc/77/49/75/583774975.db2.gz ZYYGGWHPKRXOHO-SSDOTTSWSA-N -1 1 331.292 -0.783 20 0 EBADMM CC1(C)[C@H](NC(=O)Cn2cnc(-c3nn[n-]n3)n2)[C@H]2CCCO[C@H]21 ZINC000820583849 583775891 /nfs/dbraw/zinc/77/58/91/583775891.db2.gz GAHNJUONIQRYPQ-FBIMIBRVSA-N -1 1 332.368 -0.222 20 0 EBADMM C[C@@H]1N(c2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)CCOC1(C)C ZINC000820820292 583781380 /nfs/dbraw/zinc/78/13/80/583781380.db2.gz NODRYFLIYRKFPF-QMMMGPOBSA-N -1 1 335.368 -0.732 20 0 EBADMM CCCC(=O)N[C@@H]1CCCN(C(=O)Cn2cnc(-c3nn[n-]n3)n2)C1 ZINC000821000204 583783882 /nfs/dbraw/zinc/78/38/82/583783882.db2.gz AXSKXRBCJJVQSD-SNVBAGLBSA-N -1 1 347.383 -0.635 20 0 EBADMM CCN(CC)C(=O)[C@@H]1CCCN1C(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821170439 583786314 /nfs/dbraw/zinc/78/63/14/583786314.db2.gz QNVUJAGVQKHCJA-JTQLQIEISA-N -1 1 347.383 -0.682 20 0 EBADMM CCNC(=O)N1CC[C@@H](NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000821286678 583788182 /nfs/dbraw/zinc/78/81/82/583788182.db2.gz VRIBPKUZRPMOET-MRVPVSSYSA-N -1 1 348.371 -0.475 20 0 EBADMM CCNC(=O)N1CC[C@@H](NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000821286678 583788184 /nfs/dbraw/zinc/78/81/84/583788184.db2.gz VRIBPKUZRPMOET-MRVPVSSYSA-N -1 1 348.371 -0.475 20 0 EBADMM CCOC(=O)C1(O)CCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000821320205 583788762 /nfs/dbraw/zinc/78/87/62/583788762.db2.gz MOZFDSNJMSJAIY-UHFFFAOYSA-N -1 1 346.347 -0.208 20 0 EBADMM CCOC(=O)C1(O)CCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)CC1 ZINC000821320205 583788763 /nfs/dbraw/zinc/78/87/63/583788763.db2.gz MOZFDSNJMSJAIY-UHFFFAOYSA-N -1 1 346.347 -0.208 20 0 EBADMM CCOC(=O)C[C@@H](C)Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000821326432 583788819 /nfs/dbraw/zinc/78/88/19/583788819.db2.gz XJSQURXHQNPRBZ-SSDOTTSWSA-N -1 1 337.340 -0.982 20 0 EBADMM NC(=O)c1n[nH]c2ccc(NC(=O)C(=O)N3CC[C@H](C(=O)[O-])C3)cc21 ZINC000821357468 583789627 /nfs/dbraw/zinc/78/96/27/583789627.db2.gz STGMSUJBURTESE-ZETCQYMHSA-N -1 1 345.315 -0.467 20 0 EBADMM CCc1nc([C@@H]2CN(c3nccnc3-c3nn[nH]n3)CCO2)n[nH]1 ZINC000821504452 583791930 /nfs/dbraw/zinc/79/19/30/583791930.db2.gz DQRXTQHTYRRCBH-QMMMGPOBSA-N -1 1 328.340 -0.085 20 0 EBADMM CCn1cc(-c2nn[n-]n2)c(=O)n(CCCCS(C)(=O)=O)c1=O ZINC000821565488 583793169 /nfs/dbraw/zinc/79/31/69/583793169.db2.gz VCNZPORWCRHUCP-UHFFFAOYSA-N -1 1 342.381 -0.965 20 0 EBADMM CCn1ncc2c1CCC[C@@H]2NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821568436 583793455 /nfs/dbraw/zinc/79/34/55/583793455.db2.gz UEJHRHIDLIHUDR-JTQLQIEISA-N -1 1 342.367 -0.132 20 0 EBADMM COC(=O)[C@H]1CN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C[C@H]1C ZINC000821655775 583799220 /nfs/dbraw/zinc/79/92/20/583799220.db2.gz RKICSSSVDAFZKR-SFYZADRCSA-N -1 1 334.340 -0.127 20 0 EBADMM COC(=O)[C@H]1CN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C[C@H]1C ZINC000821655775 583799222 /nfs/dbraw/zinc/79/92/22/583799222.db2.gz RKICSSSVDAFZKR-SFYZADRCSA-N -1 1 334.340 -0.127 20 0 EBADMM COC(=O)N1CCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)CC1 ZINC000821664616 583800020 /nfs/dbraw/zinc/80/00/20/583800020.db2.gz BCOXFOZSKRGAAD-UHFFFAOYSA-N -1 1 335.328 -0.484 20 0 EBADMM COC(=O)N1CCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)CC1 ZINC000821664616 583800021 /nfs/dbraw/zinc/80/00/21/583800021.db2.gz BCOXFOZSKRGAAD-UHFFFAOYSA-N -1 1 335.328 -0.484 20 0 EBADMM COc1ccccc1[C@H](O)CNC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821882878 583805011 /nfs/dbraw/zinc/80/50/11/583805011.db2.gz MYJLLAYTGLJCIQ-SNVBAGLBSA-N -1 1 344.335 -0.683 20 0 EBADMM CS(=O)(=O)CCOCCNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821926172 583805882 /nfs/dbraw/zinc/80/58/82/583805882.db2.gz AYTJARMMNJQIRG-UHFFFAOYSA-N -1 1 345.406 -0.281 20 0 EBADMM CS(=O)(=O)CCOCCNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821926172 583805883 /nfs/dbraw/zinc/80/58/83/583805883.db2.gz AYTJARMMNJQIRG-UHFFFAOYSA-N -1 1 345.406 -0.281 20 0 EBADMM Cc1[nH]c(=O)c(-c2nn[n-]n2)c(C)c1CCC(=O)O[C@@H]1CNC(=O)C1 ZINC000822010189 583807308 /nfs/dbraw/zinc/80/73/08/583807308.db2.gz RMXZDFNSZBEYCT-VIFPVBQESA-N -1 1 346.347 -0.052 20 0 EBADMM Cc1cc([C@@](C)(O)CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)c(C)o1 ZINC000822191349 583808286 /nfs/dbraw/zinc/80/82/86/583808286.db2.gz IWYKSSWGDUTQCC-AWEZNQCLSA-N -1 1 346.351 -0.308 20 0 EBADMM Cc1ccccc1[C@@H](O)Cn1c(=O)c(-c2nn[n-]n2)cn(C)c1=O ZINC000822351965 583809997 /nfs/dbraw/zinc/80/99/97/583809997.db2.gz SHRAICNLKQRDDW-LBPRGKRZSA-N -1 1 328.332 -0.231 20 0 EBADMM CC1N=NC(=S)N1CCNC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000822516380 583811560 /nfs/dbraw/zinc/81/15/60/583811560.db2.gz XPBPXCYNILMWDI-UHFFFAOYSA-N -1 1 349.384 -0.389 20 0 EBADMM CC1N=NC(=S)N1CCNC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000822516380 583811562 /nfs/dbraw/zinc/81/15/62/583811562.db2.gz XPBPXCYNILMWDI-UHFFFAOYSA-N -1 1 349.384 -0.389 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CC(=O)N[C@H]3CCCC[C@@H]32)n1 ZINC000822605018 583813932 /nfs/dbraw/zinc/81/39/32/583813932.db2.gz UZYWLJWGMZTHMR-UWVGGRQHSA-N -1 1 345.367 -0.125 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CC(=O)N[C@H]3CCCC[C@@H]32)n1 ZINC000822605018 583813934 /nfs/dbraw/zinc/81/39/34/583813934.db2.gz UZYWLJWGMZTHMR-UWVGGRQHSA-N -1 1 345.367 -0.125 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NC[C@H](O)C2CCOCC2)n1 ZINC000822614985 583814804 /nfs/dbraw/zinc/81/48/04/583814804.db2.gz YQRQUBSTAUIUGS-JTQLQIEISA-N -1 1 336.356 -0.491 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NC[C@H](O)C2CCOCC2)n1 ZINC000822614985 583814806 /nfs/dbraw/zinc/81/48/06/583814806.db2.gz YQRQUBSTAUIUGS-JTQLQIEISA-N -1 1 336.356 -0.491 20 0 EBADMM Cn1cc(C(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])c(=O)n(C)c1=O ZINC000822670049 583816413 /nfs/dbraw/zinc/81/64/13/583816413.db2.gz ZPPYSTVNVQPXTJ-UHFFFAOYSA-N -1 1 343.303 -0.778 20 0 EBADMM COC(=O)CN1CCN(CC(=O)[N-]C(=O)c2ccc(OC)cc2)CC1 ZINC000822838355 583818457 /nfs/dbraw/zinc/81/84/57/583818457.db2.gz VRKOBWJQFAUUHA-UHFFFAOYSA-N -1 1 349.387 -0.258 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CC[C@@]2(O)CCCC[C@@H]2C1 ZINC000823122828 583824430 /nfs/dbraw/zinc/82/44/30/583824430.db2.gz JKCUFDJFZVFPTK-YGRLFVJLSA-N -1 1 332.368 -0.388 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NC[C@@H]1OCCc2sccc21 ZINC000823127075 583825257 /nfs/dbraw/zinc/82/52/57/583825257.db2.gz RVDREDUTJBWILO-VIFPVBQESA-N -1 1 346.376 -0.050 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)Nc1ccc2c(c1)CCC(=O)N2 ZINC000823136037 583825853 /nfs/dbraw/zinc/82/58/53/583825853.db2.gz HAXKRBKPTLBDKB-UHFFFAOYSA-N -1 1 339.319 -0.018 20 0 EBADMM O=C(c1ccc(-c2nn[nH]n2)s1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000823483784 583829843 /nfs/dbraw/zinc/82/98/43/583829843.db2.gz NDSBUTSTQMWZEV-LURJTMIESA-N -1 1 333.337 -0.345 20 0 EBADMM CC(=O)N1CC[C@@H](NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)[C@@H](C)C1 ZINC000823973234 583839530 /nfs/dbraw/zinc/83/95/30/583839530.db2.gz JLVWOBJKRAZIAL-GZMMTYOYSA-N -1 1 347.383 -0.021 20 0 EBADMM CC(=O)N1CC[C@@H](NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)[C@@H](C)C1 ZINC000823973234 583839531 /nfs/dbraw/zinc/83/95/31/583839531.db2.gz JLVWOBJKRAZIAL-GZMMTYOYSA-N -1 1 347.383 -0.021 20 0 EBADMM CC(C)NC(=O)C(=O)N1CCN(Cc2ccc(-c3nnn[n-]3)o2)CC1 ZINC000824301170 583843760 /nfs/dbraw/zinc/84/37/60/583843760.db2.gz SBRKAMNIWLMKAQ-UHFFFAOYSA-N -1 1 347.379 -0.372 20 0 EBADMM CC(C)NC(=O)C(=O)N1CCN(Cc2ccc(-c3nn[n-]n3)o2)CC1 ZINC000824301170 583843761 /nfs/dbraw/zinc/84/37/61/583843761.db2.gz SBRKAMNIWLMKAQ-UHFFFAOYSA-N -1 1 347.379 -0.372 20 0 EBADMM C[C@H](Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C)[C@@H]1CCCOC1 ZINC000824648413 583848197 /nfs/dbraw/zinc/84/81/97/583848197.db2.gz FSZRNCNDPUGTNG-DTWKUNHWSA-N -1 1 335.368 -0.509 20 0 EBADMM C[C@H](O)C(=O)N1CCN(C(=O)c2ccc(-c3nnn[n-]3)s2)CC1 ZINC000824679812 583848579 /nfs/dbraw/zinc/84/85/79/583848579.db2.gz WCNUZCLLCNCTQA-QMMMGPOBSA-N -1 1 336.377 -0.407 20 0 EBADMM C[C@H](O)C(=O)N1CCN(C(=O)c2ccc(-c3nn[n-]n3)s2)CC1 ZINC000824679812 583848580 /nfs/dbraw/zinc/84/85/80/583848580.db2.gz WCNUZCLLCNCTQA-QMMMGPOBSA-N -1 1 336.377 -0.407 20 0 EBADMM C[C@@H]1C[C@H](Nc2ccc(-c3nnn[n-]3)nn2)C(=O)N1c1cnn(C)c1 ZINC000824892833 583851858 /nfs/dbraw/zinc/85/18/58/583851858.db2.gz NFEPSCKARUYCEP-KCJUWKMLSA-N -1 1 340.351 -0.004 20 0 EBADMM C[C@@H]1C[C@H](Nc2ccc(-c3nn[n-]n3)nn2)C(=O)N1c1cnn(C)c1 ZINC000824892833 583851859 /nfs/dbraw/zinc/85/18/59/583851859.db2.gz NFEPSCKARUYCEP-KCJUWKMLSA-N -1 1 340.351 -0.004 20 0 EBADMM C[C@H]1C[C@@H](CCNc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)CCO1 ZINC000824886326 583851899 /nfs/dbraw/zinc/85/18/99/583851899.db2.gz XCTHBBYHRYPLOS-UWVGGRQHSA-N -1 1 349.395 -0.119 20 0 EBADMM C[C@H]1CN(S(C)(=O)=O)CC[C@@H]1Nc1ccc(-c2nnn[n-]2)nn1 ZINC000824992086 583853672 /nfs/dbraw/zinc/85/36/72/583853672.db2.gz JRWCKNLTZCSSJJ-IUCAKERBSA-N -1 1 338.397 -0.261 20 0 EBADMM C[C@H]1CN(S(C)(=O)=O)CC[C@@H]1Nc1ccc(-c2nn[n-]n2)nn1 ZINC000824992086 583853675 /nfs/dbraw/zinc/85/36/75/583853675.db2.gz JRWCKNLTZCSSJJ-IUCAKERBSA-N -1 1 338.397 -0.261 20 0 EBADMM CCCNC(=O)CNS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000825326814 583858774 /nfs/dbraw/zinc/85/87/74/583858774.db2.gz DNCAGPHIFCWWDZ-UHFFFAOYSA-N -1 1 342.356 -0.190 20 0 EBADMM CCCNC(=O)CNS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000825326814 583858776 /nfs/dbraw/zinc/85/87/76/583858776.db2.gz DNCAGPHIFCWWDZ-UHFFFAOYSA-N -1 1 342.356 -0.190 20 0 EBADMM CCOC(=O)C1CCN(C(=O)Cn2ccnc2-c2nnn[n-]2)CC1 ZINC000825499643 583862085 /nfs/dbraw/zinc/86/20/85/583862085.db2.gz PPFXDMAMNNACTJ-UHFFFAOYSA-N -1 1 333.352 -0.135 20 0 EBADMM CCOC(=O)C1CCN(C(=O)Cn2ccnc2-c2nn[n-]n2)CC1 ZINC000825499643 583862087 /nfs/dbraw/zinc/86/20/87/583862087.db2.gz PPFXDMAMNNACTJ-UHFFFAOYSA-N -1 1 333.352 -0.135 20 0 EBADMM CCc1nnc([C@H](C)Nc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)[nH]1 ZINC000825745414 583866180 /nfs/dbraw/zinc/86/61/80/583866180.db2.gz LREJWJHZQPBGHT-LURJTMIESA-N -1 1 346.355 -0.882 20 0 EBADMM CN([C@H]1CCNC1=O)S(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000825888837 583869826 /nfs/dbraw/zinc/86/98/26/583869826.db2.gz WISIWJOYMXASSF-QMMMGPOBSA-N -1 1 340.340 -0.485 20 0 EBADMM CN([C@H]1CCNC1=O)S(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000825888837 583869828 /nfs/dbraw/zinc/86/98/28/583869828.db2.gz WISIWJOYMXASSF-QMMMGPOBSA-N -1 1 340.340 -0.485 20 0 EBADMM CN(c1ccc(-c2nnn[n-]2)nn1)C1CCN(S(C)(=O)=O)CC1 ZINC000826003921 583873473 /nfs/dbraw/zinc/87/34/73/583873473.db2.gz ABKGPFSHGBPQRU-UHFFFAOYSA-N -1 1 338.397 -0.483 20 0 EBADMM CN(c1ccc(-c2nn[n-]n2)nn1)C1CCN(S(C)(=O)=O)CC1 ZINC000826003921 583873474 /nfs/dbraw/zinc/87/34/74/583873474.db2.gz ABKGPFSHGBPQRU-UHFFFAOYSA-N -1 1 338.397 -0.483 20 0 EBADMM CN1C(=O)NC2(CCN(c3cccc(-c4nnn[n-]4)n3)CC2)C1=O ZINC000826018253 583873776 /nfs/dbraw/zinc/87/37/76/583873776.db2.gz SVBQEKHBDPTQEW-UHFFFAOYSA-N -1 1 328.336 -0.218 20 0 EBADMM CN1C(=O)NC2(CCN(c3cccc(-c4nn[n-]n4)n3)CC2)C1=O ZINC000826018253 583873777 /nfs/dbraw/zinc/87/37/77/583873777.db2.gz SVBQEKHBDPTQEW-UHFFFAOYSA-N -1 1 328.336 -0.218 20 0 EBADMM C[C@@H]1CN(CCCNC(=O)[C@H]2CN(C(=O)[O-])CCO2)C[C@H](C)O1 ZINC000826056386 583875862 /nfs/dbraw/zinc/87/58/62/583875862.db2.gz CDAZTRIBKKRXJI-FRRDWIJNSA-N -1 1 329.397 -0.019 20 0 EBADMM CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000826061102 583876670 /nfs/dbraw/zinc/87/66/70/583876670.db2.gz ZQANIVACBLMRBY-APPZFPTMSA-N -1 1 333.356 -0.364 20 0 EBADMM CNC(=O)[C@@H]1CCC[C@@H]1NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000826061102 583876671 /nfs/dbraw/zinc/87/66/71/583876671.db2.gz ZQANIVACBLMRBY-APPZFPTMSA-N -1 1 333.356 -0.364 20 0 EBADMM CNC(=O)[C@H](CC(C)C)NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000826067970 583876681 /nfs/dbraw/zinc/87/66/81/583876681.db2.gz OFIXPQZABYLBSV-JTQLQIEISA-N -1 1 335.368 -0.017 20 0 EBADMM CNC(=O)[C@H](CC(C)C)NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000826067970 583876683 /nfs/dbraw/zinc/87/66/83/583876683.db2.gz OFIXPQZABYLBSV-JTQLQIEISA-N -1 1 335.368 -0.017 20 0 EBADMM CO[C@@H]1[C@@H](C)[C@@H](Nc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)C1(C)C ZINC000826144966 583883288 /nfs/dbraw/zinc/88/32/88/583883288.db2.gz WUYHAPKGLXKSDU-FXBDTBDDSA-N -1 1 349.395 -0.265 20 0 EBADMM CO[C@@H]1CCCN(c2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)CC1 ZINC000826146943 583883312 /nfs/dbraw/zinc/88/33/12/583883312.db2.gz JHGTTWKPCXPUCT-SECBINFHSA-N -1 1 335.368 -0.731 20 0 EBADMM COCCCNC(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000826156913 583885543 /nfs/dbraw/zinc/88/55/43/583885543.db2.gz ZLHFQBSXDFMWRN-UHFFFAOYSA-N -1 1 337.388 -0.218 20 0 EBADMM COCCCNC(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000826156913 583885546 /nfs/dbraw/zinc/88/55/46/583885546.db2.gz ZLHFQBSXDFMWRN-UHFFFAOYSA-N -1 1 337.388 -0.218 20 0 EBADMM COc1cccc([C@H](CO)NC(=O)Cn2cnc(-c3nn[n-]n3)n2)c1 ZINC000826214643 583888210 /nfs/dbraw/zinc/88/82/10/583888210.db2.gz SCMMCYZXDPARTQ-NSHDSACASA-N -1 1 344.335 -0.683 20 0 EBADMM COc1ccc(NC(=O)Cn2cnc(-c3nn[n-]n3)n2)cc1CO ZINC000826209437 583888285 /nfs/dbraw/zinc/88/82/85/583888285.db2.gz BMNQXWFCZBAOCQ-UHFFFAOYSA-N -1 1 330.308 -0.402 20 0 EBADMM CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNc1ccc(-c2nnn[n-]2)nn1 ZINC000826223445 583888704 /nfs/dbraw/zinc/88/87/04/583888704.db2.gz MZLPDQWFKLJMJS-RKDXNWHRSA-N -1 1 338.397 -0.214 20 0 EBADMM CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNc1ccc(-c2nn[n-]n2)nn1 ZINC000826223445 583888706 /nfs/dbraw/zinc/88/87/06/583888706.db2.gz MZLPDQWFKLJMJS-RKDXNWHRSA-N -1 1 338.397 -0.214 20 0 EBADMM Cc1[nH]c(=O)c(-c2nn[n-]n2)c(C)c1CCC(=O)NN1CN=NC1=O ZINC000826233045 583889040 /nfs/dbraw/zinc/88/90/40/583889040.db2.gz RQWPOXFZOXNAEB-UHFFFAOYSA-N -1 1 345.323 -0.416 20 0 EBADMM CSC[C@@](C)(O)CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000826227627 583889118 /nfs/dbraw/zinc/88/91/18/583889118.db2.gz UQXMTYWWWZDSSN-NSHDSACASA-N -1 1 344.422 -0.346 20 0 EBADMM CSC[C@@](C)(O)CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000826227627 583889120 /nfs/dbraw/zinc/88/91/20/583889120.db2.gz UQXMTYWWWZDSSN-NSHDSACASA-N -1 1 344.422 -0.346 20 0 EBADMM Cc1ccc(NC(=O)Cn2cnc(-c3nn[n-]n3)n2)c(N(C)C)n1 ZINC000826290931 583890272 /nfs/dbraw/zinc/89/02/72/583890272.db2.gz HOQXHZWAHPONLM-UHFFFAOYSA-N -1 1 328.340 -0.134 20 0 EBADMM Cc1onc(CC(=O)N2CC[C@H](N3CCOCC3)C2)c1-c1nn[n-]n1 ZINC000826344046 583892097 /nfs/dbraw/zinc/89/20/97/583892097.db2.gz VXAFBEBUBXCCTI-NSHDSACASA-N -1 1 347.379 -0.361 20 0 EBADMM Cc1onc(CC(=O)N[C@H]2CCCC[C@@H]2C(N)=O)c1-c1nnn[n-]1 ZINC000826346509 583892861 /nfs/dbraw/zinc/89/28/61/583892861.db2.gz OAOAYQFHSLNUQN-IUCAKERBSA-N -1 1 333.352 -0.134 20 0 EBADMM Cc1onc(CC(=O)N[C@H]2CCCC[C@@H]2C(N)=O)c1-c1nn[n-]n1 ZINC000826346509 583892862 /nfs/dbraw/zinc/89/28/62/583892862.db2.gz OAOAYQFHSLNUQN-IUCAKERBSA-N -1 1 333.352 -0.134 20 0 EBADMM Cc1onc(CC(=O)NC[C@H](O)COCC(C)C)c1-c1nnn[n-]1 ZINC000826346619 583892897 /nfs/dbraw/zinc/89/28/97/583892897.db2.gz QRTCVGDNWVLMIL-JTQLQIEISA-N -1 1 338.368 -0.145 20 0 EBADMM Cc1onc(CC(=O)NC[C@H](O)COCC(C)C)c1-c1nn[n-]n1 ZINC000826346619 583892899 /nfs/dbraw/zinc/89/28/99/583892899.db2.gz QRTCVGDNWVLMIL-JTQLQIEISA-N -1 1 338.368 -0.145 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N2CC[C@H]([C@@H]3CCOC3)C2)n(C)c1=O ZINC000826355990 583893911 /nfs/dbraw/zinc/89/39/11/583893911.db2.gz WFXQOFKBDYNAPU-VHSXEESVSA-N -1 1 347.379 -0.873 20 0 EBADMM NC(=O)NC(=O)CNc1ccn(-c2cccc(F)c2-c2nn[n-]n2)n1 ZINC000826392274 583897448 /nfs/dbraw/zinc/89/74/48/583897448.db2.gz SKPHGXZIZSDGJO-UHFFFAOYSA-N -1 1 345.298 -0.202 20 0 EBADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)c2c[nH]c(-c3nn[nH]n3)c2)c1 ZINC000826394918 583898731 /nfs/dbraw/zinc/89/87/31/583898731.db2.gz FBXPONDWQGRGTC-UHFFFAOYSA-N -1 1 349.332 -0.200 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@H]1COc2ccccc2C1=O ZINC000826425470 583901345 /nfs/dbraw/zinc/90/13/45/583901345.db2.gz FRSVOFZWIPHGQM-VIFPVBQESA-N -1 1 340.303 -0.782 20 0 EBADMM O=S(=O)(N[C@H]1CCc2nccn2C1)c1ccc(-c2nnn[n-]2)nc1 ZINC000826489358 583905197 /nfs/dbraw/zinc/90/51/97/583905197.db2.gz NHSRUIMGACVLCM-VIFPVBQESA-N -1 1 346.376 -0.249 20 0 EBADMM O=S(=O)(N[C@H]1CCc2nccn2C1)c1ccc(-c2nn[n-]n2)nc1 ZINC000826489358 583905198 /nfs/dbraw/zinc/90/51/98/583905198.db2.gz NHSRUIMGACVLCM-VIFPVBQESA-N -1 1 346.376 -0.249 20 0 EBADMM O=S(=O)(NCCCn1ccnn1)c1ccc(-c2nnn[n-]2)nc1 ZINC000826491009 583905692 /nfs/dbraw/zinc/90/56/92/583905692.db2.gz QDGFQNFMLLEAHA-UHFFFAOYSA-N -1 1 335.353 -0.778 20 0 EBADMM O=S(=O)(NCCCn1ccnn1)c1ccc(-c2nn[n-]n2)nc1 ZINC000826491009 583905694 /nfs/dbraw/zinc/90/56/94/583905694.db2.gz QDGFQNFMLLEAHA-UHFFFAOYSA-N -1 1 335.353 -0.778 20 0 EBADMM O=S(=O)(c1ccc(Cl)cc1-c1nn[n-]n1)N(CCO)CCO ZINC000826494523 583906088 /nfs/dbraw/zinc/90/60/88/583906088.db2.gz GHXQKTITEHWRTF-UHFFFAOYSA-N -1 1 347.784 -0.505 20 0 EBADMM O=S(=O)(c1cccc(F)c1-c1nnn[n-]1)N(CCO)CCCO ZINC000826496355 583906279 /nfs/dbraw/zinc/90/62/79/583906279.db2.gz SWAXBTUADKYVMF-UHFFFAOYSA-N -1 1 345.356 -0.629 20 0 EBADMM O=S(=O)(c1cccc(F)c1-c1nn[n-]n1)N(CCO)CCCO ZINC000826496355 583906280 /nfs/dbraw/zinc/90/62/80/583906280.db2.gz SWAXBTUADKYVMF-UHFFFAOYSA-N -1 1 345.356 -0.629 20 0 EBADMM c1cnnc(NC[C@H]2CN(c3ccc(-c4nnn[n-]4)nn3)CCO2)c1 ZINC000826526220 583906791 /nfs/dbraw/zinc/90/67/91/583906791.db2.gz PQIRUTBCTBDRBT-JTQLQIEISA-N -1 1 340.351 -0.236 20 0 EBADMM c1cnnc(NC[C@H]2CN(c3ccc(-c4nn[n-]n4)nn3)CCO2)c1 ZINC000826526220 583906794 /nfs/dbraw/zinc/90/67/94/583906794.db2.gz PQIRUTBCTBDRBT-JTQLQIEISA-N -1 1 340.351 -0.236 20 0 EBADMM CC[C@@H]1CN(C(=O)[C@@H]2CN(C(=O)[O-])CCO2)CCN1C[C@@H](C)O ZINC000826681081 583909605 /nfs/dbraw/zinc/90/96/05/583909605.db2.gz DRZRGSSVGVTAKS-UPJWGTAASA-N -1 1 329.397 -0.331 20 0 EBADMM CCN(Cc1ccccc1NC(=O)C(=O)NCc1nn[nH]n1)C(=O)[O-] ZINC000827182247 583915980 /nfs/dbraw/zinc/91/59/80/583915980.db2.gz IGUPMHLSZKWPRZ-UHFFFAOYSA-N -1 1 347.335 -0.046 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)CN2C(=O)NC(=O)C2(C)C)CC1 ZINC000827363042 583919865 /nfs/dbraw/zinc/91/98/65/583919865.db2.gz YKMRFLHMHMITKK-SNVBAGLBSA-N -1 1 340.380 -0.676 20 0 EBADMM CN(C)CC(=O)N1CCN(C(=O)CC2(NC(=O)[O-])CCC2)CC1 ZINC000828008222 583931255 /nfs/dbraw/zinc/93/12/55/583931255.db2.gz FLTYDKOGDGJBIB-UHFFFAOYSA-N -1 1 326.397 -0.201 20 0 EBADMM C[C@@H]1CN(C2CC2)C[C@H]1NS(=O)(=O)c1cnn(CC(=O)[O-])c1 ZINC000828432751 583938923 /nfs/dbraw/zinc/93/89/23/583938923.db2.gz OIQCAUZXQNOYAD-BXKDBHETSA-N -1 1 328.394 -0.271 20 0 EBADMM C[C@@H]1CN(CC(=O)N2CCC(C(=O)[O-])CC2)C[C@H]1N1CCOCC1 ZINC000828431419 583938944 /nfs/dbraw/zinc/93/89/44/583938944.db2.gz IGMWIIHJLFXRDJ-UKRRQHHQSA-N -1 1 339.436 -0.038 20 0 EBADMM CC(=O)N1CCO[C@H](C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)C1 ZINC000828702166 583946106 /nfs/dbraw/zinc/94/61/06/583946106.db2.gz XOHLMOKRCITDST-JTQLQIEISA-N -1 1 337.298 -0.629 20 0 EBADMM COCCCS(=O)(=O)NCCN1CC[C@H](NC(=O)[O-])[C@@H](C)C1 ZINC000828963818 583949178 /nfs/dbraw/zinc/94/91/78/583949178.db2.gz DLSBBEOVVAFWGH-RYUDHWBXSA-N -1 1 337.442 -0.080 20 0 EBADMM CC[C@@H](O)CN1CCN(CC(=O)N[C@H](CC(C)C)C(=O)[O-])CC1 ZINC000829004592 583949912 /nfs/dbraw/zinc/94/99/12/583949912.db2.gz MOTUCWPHQYMYKU-ZIAGYGMSSA-N -1 1 329.441 -0.010 20 0 EBADMM Cc1c(C(=O)NCc2c[nH]nn2)nnn1C1CCN(C(=O)[O-])CC1 ZINC000829855097 583959369 /nfs/dbraw/zinc/95/93/69/583959369.db2.gz QGKPJVXJTPWECX-UHFFFAOYSA-N -1 1 334.340 -0.050 20 0 EBADMM O=C([N-]CCCN1CCO[C@@]2(CCS(=O)(=O)C2)C1)C(F)(F)F ZINC000830014342 583961938 /nfs/dbraw/zinc/96/19/38/583961938.db2.gz XFGBMPBGGKUZKX-NSHDSACASA-N -1 1 344.355 -0.056 20 0 EBADMM CS(=O)(=O)N1CCC[C@@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)C1 ZINC000830190140 583965367 /nfs/dbraw/zinc/96/53/67/583965367.db2.gz HWVNBDPENDRTFP-SSDOTTSWSA-N -1 1 342.830 -0.235 20 0 EBADMM CCc1nc(CN2CCN(CCC(=O)N(C)CC(=O)[O-])CC2)no1 ZINC000830318629 583966103 /nfs/dbraw/zinc/96/61/03/583966103.db2.gz SLJDCGJJHFHVKL-UHFFFAOYSA-N -1 1 339.396 -0.317 20 0 EBADMM CN(CCC(=O)[O-])S(=O)(=O)N1CCN(CC2CCOCC2)CC1 ZINC000830734346 583969961 /nfs/dbraw/zinc/96/99/61/583969961.db2.gz DMXHZGCOGYOXDZ-UHFFFAOYSA-N -1 1 349.453 -0.318 20 0 EBADMM CN1CCN(c2ccc(CNC(=O)NC3CN(C(=O)[O-])C3)cn2)CC1 ZINC000830960580 583972810 /nfs/dbraw/zinc/97/28/10/583972810.db2.gz SFCXVGJJLPMSLF-UHFFFAOYSA-N -1 1 348.407 -0.005 20 0 EBADMM COC(=O)c1cn(CC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)nn1 ZINC000831461868 583983023 /nfs/dbraw/zinc/98/30/23/583983023.db2.gz GYHCXRPZGQRVLI-SNVBAGLBSA-N -1 1 339.352 -0.928 20 0 EBADMM COCCCN(C)CCNS(=O)(=O)c1cc(C(=O)[O-])n(C)c1 ZINC000831692364 583989917 /nfs/dbraw/zinc/98/99/17/583989917.db2.gz QZKQMTWVJIUNGV-UHFFFAOYSA-N -1 1 333.410 -0.030 20 0 EBADMM COC(=O)[C@H]1C[C@@H](O)CN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000831748809 583991214 /nfs/dbraw/zinc/99/12/14/583991214.db2.gz NZUUXQDLZYVJGC-VHSXEESVSA-N -1 1 333.348 -0.271 20 0 EBADMM O=C([O-])N1CC(OCC(=O)N2CCN(C[C@H]3CCOC3)CC2)C1 ZINC000831858567 583993081 /nfs/dbraw/zinc/99/30/81/583993081.db2.gz MZBISZRIJUSBTL-GFCCVEGCSA-N -1 1 327.381 -0.454 20 0 EBADMM O=C([O-])N1CCO[C@@H](CC(=O)N2CCN(C[C@@H]3CCOC3)CC2)C1 ZINC000832059465 583995462 /nfs/dbraw/zinc/99/54/62/583995462.db2.gz BBVVQMIIMQEBKJ-KBPBESRZSA-N -1 1 341.408 -0.064 20 0 EBADMM O=C([O-])NCCNC(=O)[C@H]1CCCN(C(=O)CCc2nc[nH]n2)C1 ZINC000832648052 584000147 /nfs/dbraw/zinc/00/01/47/584000147.db2.gz VLAOHUFJGHTYPA-JTQLQIEISA-N -1 1 338.368 -0.640 20 0 EBADMM Cc1cc(O)cc(=O)n1CC(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000832900219 584002521 /nfs/dbraw/zinc/00/25/21/584002521.db2.gz TXVWZROPRNNZSY-NSHDSACASA-N -1 1 337.376 -0.130 20 0 EBADMM O=C([O-])c1cc(CN[C@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c[nH]1 ZINC000833296698 584008469 /nfs/dbraw/zinc/00/84/69/584008469.db2.gz QJUUSJIKRXQZHM-QWHCGFSZSA-N -1 1 343.405 -0.700 20 0 EBADMM CC(=O)N1CCN(C(=O)CN2CCN(CCC(=O)[O-])C[C@H]2C)CC1 ZINC000833392523 584009566 /nfs/dbraw/zinc/00/95/66/584009566.db2.gz AOQLHSXISXQLIV-CYBMUJFWSA-N -1 1 340.424 -0.842 20 0 EBADMM CC(=O)N1CCOC[C@H]1C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000833391077 584009580 /nfs/dbraw/zinc/00/95/80/584009580.db2.gz HGQIHEPYQVTLFO-AAEUAGOBSA-N -1 1 327.381 -0.759 20 0 EBADMM CC(=O)N1CCN(C(=O)[C@@H](C)N2CCC([C@@H](O)C(=O)[O-])CC2)CC1 ZINC000833394456 584009692 /nfs/dbraw/zinc/00/96/92/584009692.db2.gz ZPMMOZBPZHSQFE-BXUZGUMPSA-N -1 1 341.408 -0.777 20 0 EBADMM C[C@H](C(=O)N1CCC[C@@H](C(N)=O)C1)N1CCC(O)(C(=O)[O-])CC1 ZINC000833404335 584010206 /nfs/dbraw/zinc/01/02/06/584010206.db2.gz OYUPZWDPUYEWHH-GHMZBOCLSA-N -1 1 327.381 -0.990 20 0 EBADMM COC(=O)CC[N@@H+](CN1C[C@@H](NC(=O)[O-])CC1=O)C1CCOCC1 ZINC000833878114 584018245 /nfs/dbraw/zinc/01/82/45/584018245.db2.gz DFGLBKIQNBHIJF-NSHDSACASA-N -1 1 343.380 -0.144 20 0 EBADMM COC(=O)CCN(CN1C[C@@H](NC(=O)[O-])CC1=O)C1CCOCC1 ZINC000833878114 584018247 /nfs/dbraw/zinc/01/82/47/584018247.db2.gz DFGLBKIQNBHIJF-NSHDSACASA-N -1 1 343.380 -0.144 20 0 EBADMM COC(=O)CC[N@@H+](CN1C[C@H](NC(=O)[O-])CC1=O)C1CCOCC1 ZINC000833878109 584018276 /nfs/dbraw/zinc/01/82/76/584018276.db2.gz DFGLBKIQNBHIJF-LLVKDONJSA-N -1 1 343.380 -0.144 20 0 EBADMM COC(=O)CCN(CN1C[C@H](NC(=O)[O-])CC1=O)C1CCOCC1 ZINC000833878109 584018277 /nfs/dbraw/zinc/01/82/77/584018277.db2.gz DFGLBKIQNBHIJF-LLVKDONJSA-N -1 1 343.380 -0.144 20 0 EBADMM O=C([O-])N1CC(NC(=O)C(=O)Nc2cnn(-c3ccncc3)c2)C1 ZINC000834057337 584025466 /nfs/dbraw/zinc/02/54/66/584025466.db2.gz CTVHCLYMTCPIRO-UHFFFAOYSA-N -1 1 330.304 -0.316 20 0 EBADMM O=C([O-])NCCOc1ccc(NC(=O)C(=O)NCc2nn[nH]n2)cc1 ZINC000834244707 584029996 /nfs/dbraw/zinc/02/99/96/584029996.db2.gz NSQAGIPVRRCZID-UHFFFAOYSA-N -1 1 349.307 -0.899 20 0 EBADMM CS(=O)(=O)[N-]C(=O)CCNC(=O)c1cc2[nH]cnc2cc1F ZINC000834325147 584031718 /nfs/dbraw/zinc/03/17/18/584031718.db2.gz XPCHOZUKWWNBGN-UHFFFAOYSA-N -1 1 328.325 -0.102 20 0 EBADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)c2ccc(C(N)=O)o2)CCC1 ZINC000843017007 584069617 /nfs/dbraw/zinc/06/96/17/584069617.db2.gz XZCHMVVAVVIANR-UHFFFAOYSA-N -1 1 329.378 -0.078 20 0 EBADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)CCN2CCCC2=O)CCC1 ZINC000843017992 584070039 /nfs/dbraw/zinc/07/00/39/584070039.db2.gz KNNASBGFKJAFLD-UHFFFAOYSA-N -1 1 331.438 -0.071 20 0 EBADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCCN(CCCO)C1 ZINC000867327124 584078438 /nfs/dbraw/zinc/07/84/38/584078438.db2.gz LQBNAENIAAQORY-NSPYISDASA-N -1 1 327.472 -0.215 20 0 EBADMM COC(=O)[C@@H](F)C[N-]S(=O)(=O)c1cnn(C)c1Br ZINC000867386504 584113448 /nfs/dbraw/zinc/11/34/48/584113448.db2.gz VAJADZWKQCGYIL-YFKPBYRVSA-N -1 1 344.162 -0.028 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@@]1(CO)CCc2ccccc21 ZINC000846346044 584125053 /nfs/dbraw/zinc/12/50/53/584125053.db2.gz CZMYKFTZCWWKKU-GOSISDBHSA-N -1 1 343.383 -0.081 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC(=O)C2(C(=O)OC)CC2)o1 ZINC000848699919 584160582 /nfs/dbraw/zinc/16/05/82/584160582.db2.gz CQTNERGIXIHCER-UHFFFAOYSA-N -1 1 345.329 -0.133 20 0 EBADMM COC(=O)c1c(F)ccc(S(=O)(=O)[N-][C@H]2CCNC2=O)c1F ZINC000849410201 584170249 /nfs/dbraw/zinc/17/02/49/584170249.db2.gz IPDSWWASZZGECY-ZETCQYMHSA-N -1 1 334.300 -0.082 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CC[C@H]4COC[C@H]4C3)ccnc1-2 ZINC000850695701 584186613 /nfs/dbraw/zinc/18/66/13/584186613.db2.gz WKCUYIKDNKPUIM-WDEREUQCSA-N -1 1 329.360 -0.225 20 0 EBADMM CO[C@@H](C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1)[C@H]1CCOC1 ZINC000851103216 584191865 /nfs/dbraw/zinc/19/18/65/584191865.db2.gz ARVSVUPRPBHZFK-NWDGAFQWSA-N -1 1 334.438 -0.032 20 0 EBADMM Cn1ncc(CN2CCC[C@@H](NC(=O)C(F)(F)F)[C@H]2C(=O)[O-])n1 ZINC000852736963 584215176 /nfs/dbraw/zinc/21/51/76/584215176.db2.gz PTNISHBOILSTHC-BDAKNGLRSA-N -1 1 335.286 -0.089 20 0 EBADMM CS(=O)(=O)CCN1CCC[C@H](NC(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC000852736784 584215340 /nfs/dbraw/zinc/21/53/40/584215340.db2.gz OJRYSHZEZPWHIL-YUMQZZPRSA-N -1 1 346.327 -0.373 20 0 EBADMM CN(C(=O)CCn1cc[n-]c(=O)c1=O)[C@@H](CO)Cc1ccccc1 ZINC000855137362 584255614 /nfs/dbraw/zinc/25/56/14/584255614.db2.gz CKHODPKBEFPREI-CQSZACIVSA-N -1 1 331.372 -0.011 20 0 EBADMM CC(C)(CNC(=O)CCn1cc[n-]c(=O)c1=O)[C@]1(O)CCCOC1 ZINC000856148590 584267208 /nfs/dbraw/zinc/26/72/08/584267208.db2.gz XSMKYJPUEOMMPJ-INIZCTEOSA-N -1 1 339.392 -0.389 20 0 EBADMM O=C([N-]OCC1CCC1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000856346359 584268161 /nfs/dbraw/zinc/26/81/61/584268161.db2.gz XGHBHBNWWGBSCO-NEPJUHHUSA-N -1 1 330.406 -0.130 20 0 EBADMM COCCn1cc(N2C[C@@H](C(=O)[N-]OC(C)(C)CO)CC2=O)cn1 ZINC000857283656 584276487 /nfs/dbraw/zinc/27/64/87/584276487.db2.gz KYCRTQJJUVSWGN-NSHDSACASA-N -1 1 340.380 -0.299 20 0 EBADMM COCCNC(=O)CN1CCN(c2cc(Cl)[n-]c(=O)n2)CC1 ZINC000858327675 584286644 /nfs/dbraw/zinc/28/66/44/584286644.db2.gz VNAHCACONKNQAQ-UHFFFAOYSA-N -1 1 329.788 -0.280 20 0 EBADMM O=C(N1CCO[C@H](c2nn[n-]n2)C1)[C@@]1(C2CCCC2)CCNC1=O ZINC000859278229 584299023 /nfs/dbraw/zinc/29/90/23/584299023.db2.gz NABOWVJLTDBOKP-XHDPSFHLSA-N -1 1 334.380 -0.204 20 0 EBADMM O=C([C@@H]1[C@H]2CC[C@]3(COC(=O)[C@H]13)O2)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000860348654 584315233 /nfs/dbraw/zinc/31/52/33/584315233.db2.gz FBWIJGUSBHCGST-TVSZNTQPSA-N -1 1 333.348 -0.374 20 0 EBADMM Cc1cc(S(=O)(=O)[N-]C[C@@]23COC(=O)N2CCOC3)sn1 ZINC000867749414 584416012 /nfs/dbraw/zinc/41/60/12/584416012.db2.gz NOJMSWAIZYYXOE-LLVKDONJSA-N -1 1 333.391 -0.049 20 0 EBADMM CN1CC[C@](O)(C[N-]S(=O)(=O)N=[S@](C)(=O)C(C)(C)C)C1 ZINC000872473808 584462161 /nfs/dbraw/zinc/46/21/61/584462161.db2.gz URBUUBMOPVBPMT-JEOXALJRSA-N -1 1 327.472 -0.216 20 0 EBADMM COCC(C)(C)CC[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000872547294 584463186 /nfs/dbraw/zinc/46/31/86/584463186.db2.gz ASZVYEXISLKZJN-UHFFFAOYSA-N -1 1 333.410 -0.575 20 0 EBADMM COC(=O)N1CC(NC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)C1 ZINC000875401069 584498606 /nfs/dbraw/zinc/49/86/06/584498606.db2.gz UTGULLUYKKILNU-UHFFFAOYSA-N -1 1 338.286 -0.243 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC(N3CCCC3=O)C2)co1 ZINC000888755946 584540897 /nfs/dbraw/zinc/54/08/97/584540897.db2.gz VZKHOAGYUUAYQO-UHFFFAOYSA-N -1 1 327.362 -0.366 20 0 EBADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCN(C2CCC2)C1=O ZINC000882466443 584589788 /nfs/dbraw/zinc/58/97/88/584589788.db2.gz QYWSMEOZFUEFTA-CFMSYZGJSA-N -1 1 338.455 -0.451 20 0 EBADMM O=C(CNC(=O)c1ccc(Br)o1)NN1CC(=O)[N-]C1=O ZINC000029019139 584607680 /nfs/dbraw/zinc/60/76/80/584607680.db2.gz RNQRVFKOTVBFQH-UHFFFAOYSA-N -1 1 345.109 -0.645 20 0 EBADMM CC(C)COCCOC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000800220959 584613794 /nfs/dbraw/zinc/61/37/94/584613794.db2.gz ZNJGOOMMTDLRDY-UHFFFAOYSA-N -1 1 348.377 -0.127 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)CCn1cccn1)C1CCOCC1 ZINC000885354039 584649457 /nfs/dbraw/zinc/64/94/57/584649457.db2.gz NVZURTWHUPRSGD-GFCCVEGCSA-N -1 1 331.394 -0.229 20 0 EBADMM O=S(=O)(NCCCn1cncn1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738340096 598266146 /nfs/dbraw/zinc/26/61/46/598266146.db2.gz WBDHGMRDHQIQPG-UHFFFAOYSA-N -1 1 335.353 -0.778 20 0 EBADMM O=S(=O)(NCCCn1cncn1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738340096 598266147 /nfs/dbraw/zinc/26/61/47/598266147.db2.gz WBDHGMRDHQIQPG-UHFFFAOYSA-N -1 1 335.353 -0.778 20 0 EBADMM O=S(=O)(NCCNc1cnccn1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738339648 598269478 /nfs/dbraw/zinc/26/94/78/598269478.db2.gz UGQQTHHOIMANPL-UHFFFAOYSA-N -1 1 347.364 -0.558 20 0 EBADMM O=S(=O)(NCCNc1cnccn1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738339648 598269479 /nfs/dbraw/zinc/26/94/79/598269479.db2.gz UGQQTHHOIMANPL-UHFFFAOYSA-N -1 1 347.364 -0.558 20 0 EBADMM Cc1nc(CN2CCC[C@H](N(C)[C@H](C)C(=O)NCC(=O)[O-])C2)no1 ZINC000738856677 599963074 /nfs/dbraw/zinc/96/30/74/599963074.db2.gz AYEYRHFZSXMPJL-PWSUYJOCSA-N -1 1 339.396 -0.136 20 0 EBADMM O=C([O-])[C@H]1CCN(C(=O)N[C@@H]2CCN(CCN3CCOCC3)C2)C1 ZINC000739592722 600190712 /nfs/dbraw/zinc/19/07/12/600190712.db2.gz PFCHKVYCXBMTCS-UONOGXRCSA-N -1 1 340.424 -0.491 20 0 EBADMM Cc1noc([C@H](C)N2CCN(CCC(=O)NCC(=O)[O-])CC2)n1 ZINC000738938257 600302167 /nfs/dbraw/zinc/30/21/67/600302167.db2.gz VLDKQAYMQOXFAJ-JTQLQIEISA-N -1 1 325.369 -0.352 20 0 EBADMM O=C([O-])c1cc(S(=O)(=O)NC[C@@H]2CN3CCC[C@@H]3CO2)c[nH]1 ZINC000317833873 600729394 /nfs/dbraw/zinc/72/93/94/600729394.db2.gz WRCIRBQEUHYQSU-NXEZZACHSA-N -1 1 329.378 -0.146 20 0 EBADMM O=C([O-])N1CCC[C@H]1[C@@H]1CCCCN1CC(=O)N1CCNC(=O)C1 ZINC000740731643 601929933 /nfs/dbraw/zinc/92/99/33/601929933.db2.gz TWVVOPAAMDDMLE-STQMWFEESA-N -1 1 338.408 -0.058 20 0 EBADMM CC(=O)N1CCC(NC(=O)CN2CC[C@H](CNC(=O)[O-])C2)CC1 ZINC000738520817 601953803 /nfs/dbraw/zinc/95/38/03/601953803.db2.gz IEMHLPQRVUYYAR-GFCCVEGCSA-N -1 1 326.397 -0.297 20 0 EBADMM CN(C)CC(=O)N1CCN(C(=O)[C@@H]2CSCN2C(=O)[O-])CC1 ZINC000739608746 602268887 /nfs/dbraw/zinc/26/88/87/602268887.db2.gz UIFYIPRKQSQOOX-JTQLQIEISA-N -1 1 330.410 -0.728 20 0 EBADMM O=C([O-])N1CC[C@H](NC(=O)N2CCN([C@@H]3CCC[C@@H]3O)CC2)C1 ZINC000740505774 602636352 /nfs/dbraw/zinc/63/63/52/602636352.db2.gz RGKCOSUOCQSAQV-XQQFMLRXSA-N -1 1 326.397 -0.021 20 0 EBADMM O=C([O-])N1CCC[C@@H]1C(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC000740400067 602770972 /nfs/dbraw/zinc/77/09/72/602770972.db2.gz OMJCSUBQZNMOFK-UONOGXRCSA-N -1 1 340.424 -0.349 20 0 EBADMM C[C@@H]1CN(C(=O)NC[C@@H]2CN(C)CCN2C)CC[C@H]1NC(=O)[O-] ZINC000739130706 602834803 /nfs/dbraw/zinc/83/48/03/602834803.db2.gz AVBACDYVQXCLKC-JHJVBQTASA-N -1 1 327.429 -0.080 20 0 EBADMM O=C([O-])N1CCOC[C@H]1C(=O)N1CC[C@H](CN2CCOCC2)C1 ZINC000740344367 602971330 /nfs/dbraw/zinc/97/13/30/602971330.db2.gz GOYQPOKYYWHKMX-OLZOCXBDSA-N -1 1 327.381 -0.454 20 0 EBADMM O=C(CN1CCN(C(=O)[O-])CC1=O)NCCCCN1CCOCC1 ZINC000740366626 603018293 /nfs/dbraw/zinc/01/82/93/603018293.db2.gz CSINDOPKSLJAGF-UHFFFAOYSA-N -1 1 342.396 -0.963 20 0 EBADMM C[C@@H](CN1CCN(C)CC1)NC(=O)N[C@H](CNC(=O)[O-])C1CC1 ZINC000738911136 603088635 /nfs/dbraw/zinc/08/86/35/603088635.db2.gz LBWCZROJBZBMAU-WCQYABFASA-N -1 1 327.429 -0.032 20 0 EBADMM COc1cc[n-]c(=NNC(=O)NCCCN(C)S(C)(=O)=O)n1 ZINC000046981868 649947717 /nfs/dbraw/zinc/94/77/17/649947717.db2.gz SGSKVNXDIVFMBC-UHFFFAOYSA-N -1 1 332.386 -0.607 20 0 EBADMM NS(=O)(=O)c1cccc(NC=C2C(=O)[N-]C(=S)NC2=O)c1 ZINC000060861092 649961654 /nfs/dbraw/zinc/96/16/54/649961654.db2.gz CHDGSHCMSLVKDN-UHFFFAOYSA-N -1 1 326.359 -0.839 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@H](O)c2ccccc2)c(=O)n(C)c1=O ZINC000319842510 649994327 /nfs/dbraw/zinc/99/43/27/649994327.db2.gz ONAJBLCQIBKKJR-NSHDSACASA-N -1 1 339.373 -0.904 20 0 EBADMM CC(=O)N1CSC[C@@H]1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000347716267 650004053 /nfs/dbraw/zinc/00/40/53/650004053.db2.gz HQFVXALOIZARDN-SNVBAGLBSA-N -1 1 345.402 -0.101 20 0 EBADMM CS(=O)(=O)N1CC(CS(=O)(=O)[N-][C@H]2CCCC2(F)F)C1 ZINC000907187711 650077843 /nfs/dbraw/zinc/07/78/43/650077843.db2.gz OEETYZSONJJJHY-VIFPVBQESA-N -1 1 332.394 -0.015 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2CC[C@@H](C3CC3)O2)c(=O)n(C)c1=O ZINC000907467507 650083340 /nfs/dbraw/zinc/08/33/40/650083340.db2.gz HKOXXYISCBMKGQ-QWRGUYRKSA-N -1 1 343.405 -0.680 20 0 EBADMM CN1C(=O)CS/C1=C/C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907481969 650083463 /nfs/dbraw/zinc/08/34/63/650083463.db2.gz ZYCPTBZQRLNICL-KMFAHORVSA-N -1 1 326.407 -0.137 20 0 EBADMM O=S(=O)(CC[N-]S(=O)(=O)c1ccns1)N1CCCC1 ZINC000907767665 650089801 /nfs/dbraw/zinc/08/98/01/650089801.db2.gz DGWPORIMLZLVTA-UHFFFAOYSA-N -1 1 325.437 -0.153 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCCN1CCCC1=O ZINC000912531548 650206952 /nfs/dbraw/zinc/20/69/52/650206952.db2.gz YWQHRKLPZSTBNV-UHFFFAOYSA-N -1 1 330.366 -0.513 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H](CO)Cc1ccco1 ZINC000912544417 650207481 /nfs/dbraw/zinc/20/74/81/650207481.db2.gz OJZDWJVPWWBGLZ-SECBINFHSA-N -1 1 343.361 -0.081 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CS(=O)(=O)C1(C)C ZINC000912556733 650208998 /nfs/dbraw/zinc/20/89/98/650208998.db2.gz OFBNONNNIUGVKW-ZETCQYMHSA-N -1 1 337.379 -0.950 20 0 EBADMM CSCC[C@H](NC(=O)C=CCN1CCOCC1)c1nn[n-]n1 ZINC000912861951 650215476 /nfs/dbraw/zinc/21/54/76/650215476.db2.gz DIVFDUUFTLRMAC-QUCGXOGASA-N -1 1 326.426 -0.002 20 0 EBADMM CSCC[C@H](NC(=O)/C=C\CN1CCOCC1)c1nn[n-]n1 ZINC000912861951 650215485 /nfs/dbraw/zinc/21/54/85/650215485.db2.gz DIVFDUUFTLRMAC-QUCGXOGASA-N -1 1 326.426 -0.002 20 0 EBADMM O=C(CNC(=O)N1CCCC1)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000913781409 650245869 /nfs/dbraw/zinc/24/58/69/650245869.db2.gz LZYMEBWJKPXPIW-UHFFFAOYSA-N -1 1 336.314 -0.071 20 0 EBADMM Cc1nc(S(=O)(=O)[N-]C[C@]2(CO)COCCN2C)sc1C ZINC000921339867 650318096 /nfs/dbraw/zinc/31/80/96/650318096.db2.gz NWXMWFBSGHDDHY-LBPRGKRZSA-N -1 1 335.451 -0.269 20 0 EBADMM CCOC(=O)[C@@H](CSC)[N-]S(=O)(=O)C[C@H]1CN(C)CCO1 ZINC000921376966 650318828 /nfs/dbraw/zinc/31/88/28/650318828.db2.gz HBQRFKPPRFNXID-GHMZBOCLSA-N -1 1 340.467 -0.469 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)CN2CCOCC2)C1 ZINC000937181722 651663817 /nfs/dbraw/zinc/66/38/17/651663817.db2.gz RWTXGCBWRSDUTI-CYBMUJFWSA-N -1 1 348.403 -0.208 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)CN2CN=NC2=O)C1 ZINC000937214047 651681998 /nfs/dbraw/zinc/68/19/98/651681998.db2.gz LHKRBXNIEFUATO-SNVBAGLBSA-N -1 1 346.347 -0.543 20 0 EBADMM CNC(=O)CCN(C)C(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000933107862 651714980 /nfs/dbraw/zinc/71/49/80/651714980.db2.gz XGBFPDYSWLLHRN-UHFFFAOYSA-N -1 1 343.330 -0.076 20 0 EBADMM C[C@@H]1C(=O)N(C)CN1C(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000933110391 651715000 /nfs/dbraw/zinc/71/50/00/651715000.db2.gz PFUDXJHDOQNBLG-MRVPVSSYSA-N -1 1 341.314 -0.024 20 0 EBADMM O=C(NC[C@@H]1CCCCN1C(=O)c1cc(=O)n2[n-]cnc2n1)C1CC1 ZINC000937437272 651776741 /nfs/dbraw/zinc/77/67/41/651776741.db2.gz UQMILVDEEOVSJN-NSHDSACASA-N -1 1 344.375 -0.062 20 0 EBADMM C[C@@H](C(=O)N[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1)C1CC1 ZINC000937791129 651926166 /nfs/dbraw/zinc/92/61/66/651926166.db2.gz SQFZBDMVIPNYFL-YPMHNXCESA-N -1 1 348.403 -0.310 20 0 EBADMM O=C(CO[C@H]1CCOC1)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937860974 651957772 /nfs/dbraw/zinc/95/77/72/651957772.db2.gz RLGCDRBTBUQJQN-RYUDHWBXSA-N -1 1 335.360 -0.077 20 0 EBADMM CN1C(=O)CC[C@@H]1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937863102 651959003 /nfs/dbraw/zinc/95/90/03/651959003.db2.gz CQTIHWYAPHXLMQ-WDEREUQCSA-N -1 1 332.360 -0.261 20 0 EBADMM CCN1CCOC[C@@H]1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937865787 651960004 /nfs/dbraw/zinc/96/00/04/651960004.db2.gz NLFYLNDMZWTCPH-QWHCGFSZSA-N -1 1 348.403 -0.161 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCN(C(=O)c2cn[nH]c2)C1 ZINC000938311443 652190091 /nfs/dbraw/zinc/19/00/91/652190091.db2.gz NCSHCCYZVXSNQX-QMMMGPOBSA-N -1 1 348.319 -0.736 20 0 EBADMM CN1C[C@H](C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)NC1=O ZINC000938409951 652245381 /nfs/dbraw/zinc/24/53/81/652245381.db2.gz LVWDGTGEZONESP-VHSXEESVSA-N -1 1 333.348 -0.859 20 0 EBADMM O=C(NC[C@H]1CCCN1C(=O)[C@H]1CNC(=O)N1)c1ncccc1[O-] ZINC000944242380 652725149 /nfs/dbraw/zinc/72/51/49/652725149.db2.gz UAQDCRQGDTZJCR-NXEZZACHSA-N -1 1 333.348 -0.811 20 0 EBADMM O=C(Cc1nnc[nH]1)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000944311343 652743333 /nfs/dbraw/zinc/74/33/33/652743333.db2.gz IOSLYFTXEAERPA-SNVBAGLBSA-N -1 1 330.348 -0.131 20 0 EBADMM C[C@@H]1CN(Cc2ccnn2C)CC[C@H]1NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC000944345952 652748605 /nfs/dbraw/zinc/74/86/05/652748605.db2.gz ILZUASHCUYCLNT-ZYHUDNBSSA-N -1 1 349.395 -0.550 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)N1CCOCC1 ZINC000941477212 652760438 /nfs/dbraw/zinc/76/04/38/652760438.db2.gz CNZWPUYTPPHAKT-QWHCGFSZSA-N -1 1 348.403 -0.161 20 0 EBADMM CCC1(C(=O)N2CCN(C3CN(Cc4nc(=O)n(C)[n-]4)C3)CC2)CC1 ZINC000941552644 652799530 /nfs/dbraw/zinc/79/95/30/652799530.db2.gz KJZCLOMXYXKGMB-UHFFFAOYSA-N -1 1 348.451 -0.373 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1)c1cnns1 ZINC000944498661 652802752 /nfs/dbraw/zinc/80/27/52/652802752.db2.gz MXTNESCGJAVQHY-VIFPVBQESA-N -1 1 349.372 -0.090 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@@H]2CN(C)C(=O)N2)C1 ZINC000939006089 652805670 /nfs/dbraw/zinc/80/56/70/652805670.db2.gz BXRGLXYSKIOKAX-MNOVXSKESA-N -1 1 347.375 -0.516 20 0 EBADMM O=C(CC1CC1)N[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000939055919 652819946 /nfs/dbraw/zinc/81/99/46/652819946.db2.gz SGVOXDXGGDZCNG-GFCCVEGCSA-N -1 1 334.376 -0.556 20 0 EBADMM CO[C@@H]1CN(C(=O)c2nc[nH]n2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941602985 652827893 /nfs/dbraw/zinc/82/78/93/652827893.db2.gz MROFODLDIPRRDI-PSASIEDQSA-N -1 1 332.320 -0.825 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)c2nnn(C)n2)C1 ZINC000939130822 652836576 /nfs/dbraw/zinc/83/65/76/652836576.db2.gz FRKLNVXGSOGOFH-SECBINFHSA-N -1 1 331.336 -0.703 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)CCO1)c1ncccc1[O-] ZINC000944559864 652858700 /nfs/dbraw/zinc/85/87/00/652858700.db2.gz FYNOKGZVSGGVQN-CIQGVGRVSA-N -1 1 347.371 -0.363 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2cc[nH]n2)CCO1)c1ncccc1[O-] ZINC000944559646 652859133 /nfs/dbraw/zinc/85/91/33/652859133.db2.gz DBBMMQPWFSCHPJ-SNVBAGLBSA-N -1 1 331.332 -0.219 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2ccn[nH]2)CCO1)c1ncccc1[O-] ZINC000944559646 652859136 /nfs/dbraw/zinc/85/91/36/652859136.db2.gz DBBMMQPWFSCHPJ-SNVBAGLBSA-N -1 1 331.332 -0.219 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)[C@H]2CCCO2)CCO1)c1ncccc1[O-] ZINC000944561315 652860615 /nfs/dbraw/zinc/86/06/15/652860615.db2.gz QQQHXWIAACGIIF-WCQYABFASA-N -1 1 335.360 -0.077 20 0 EBADMM Cc1nonc1C(=O)N1CCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944562133 652861490 /nfs/dbraw/zinc/86/14/90/652861490.db2.gz XJBYTTOELFMTPS-JTQLQIEISA-N -1 1 347.331 -0.250 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2cn[nH]n2)CCO1)c1ncccc1[O-] ZINC000944562329 652862530 /nfs/dbraw/zinc/86/25/30/652862530.db2.gz YOHLLWQFQUHXGF-VIFPVBQESA-N -1 1 332.320 -0.824 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2cnon2)CCO1)c1ncccc1[O-] ZINC000944565007 652864402 /nfs/dbraw/zinc/86/44/02/652864402.db2.gz MUFNXJBWJQSWCJ-SECBINFHSA-N -1 1 333.304 -0.559 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)C1=COCCO1 ZINC000941765976 652904694 /nfs/dbraw/zinc/90/46/94/652904694.db2.gz WFLWFPFMJKQLKB-MNOVXSKESA-N -1 1 337.380 -0.677 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)C2(C(N)=O)CC2)C1 ZINC000939382220 652919367 /nfs/dbraw/zinc/91/93/67/652919367.db2.gz PPCHQBGRCWFDEK-JTQLQIEISA-N -1 1 332.360 -0.274 20 0 EBADMM C[C@@H]1CN(C(=O)CCc2cnccn2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939478903 652944106 /nfs/dbraw/zinc/94/41/06/652944106.db2.gz BDOMSWVMJBFFGV-ZYHUDNBSSA-N -1 1 331.380 -0.130 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1nccnc1N ZINC000941903947 652946841 /nfs/dbraw/zinc/94/68/41/652946841.db2.gz HYNZPMNHODEZQG-UWVGGRQHSA-N -1 1 346.395 -0.879 20 0 EBADMM CCc1cc(C(=O)N2CC[C@@H](NC(=O)Cn3c(=O)[n-][nH]c3=O)C2)n[nH]1 ZINC000941934245 652956103 /nfs/dbraw/zinc/95/61/03/652956103.db2.gz OFXPCKFIRWQTBX-SECBINFHSA-N -1 1 349.351 -0.994 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1cncnc1 ZINC000941980040 653011403 /nfs/dbraw/zinc/01/14/03/653011403.db2.gz OMIAUJKUOGKWGX-PWSUYJOCSA-N -1 1 331.380 -0.461 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)cnn1 ZINC000942001017 653017129 /nfs/dbraw/zinc/01/71/29/653017129.db2.gz POBLLWGNQGKWLC-GXFFZTMASA-N -1 1 345.407 -0.153 20 0 EBADMM CC(=O)N1CC[C@@H](C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000942106293 653036645 /nfs/dbraw/zinc/03/66/45/653036645.db2.gz WQIKBZGDGFVSJO-CHWSQXEVSA-N -1 1 346.387 -0.014 20 0 EBADMM Cc1ncc(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)o1 ZINC000942122175 653040031 /nfs/dbraw/zinc/04/00/31/653040031.db2.gz LCKDWSPXTOZHSN-GWCFXTLKSA-N -1 1 348.407 -0.026 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1cn[nH]c(=O)c1 ZINC000942157041 653047368 /nfs/dbraw/zinc/04/73/68/653047368.db2.gz OUARHACHHQTLRY-KOLCDFICSA-N -1 1 347.379 -0.756 20 0 EBADMM C[C@@H]1OCCO[C@@H]1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940129854 653084430 /nfs/dbraw/zinc/08/44/30/653084430.db2.gz CFSADHDHVZLJDU-WDMOLILDSA-N -1 1 335.360 -0.078 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)cc1=O ZINC000940130845 653084791 /nfs/dbraw/zinc/08/47/91/653084791.db2.gz YHDOVSIMDOFYNO-SNVBAGLBSA-N -1 1 343.343 -0.475 20 0 EBADMM CN1CC[C@H](CC(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)C1=O ZINC000940138991 653085311 /nfs/dbraw/zinc/08/53/11/653085311.db2.gz LKYDXAATMUULJQ-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)[nH]1 ZINC000940139211 653085334 /nfs/dbraw/zinc/08/53/34/653085334.db2.gz WDAHQJHNMMCVCN-SECBINFHSA-N -1 1 343.343 -0.141 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)N[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000942482284 653124456 /nfs/dbraw/zinc/12/44/56/653124456.db2.gz HFIORLJLWRODAH-JHJVBQTASA-N -1 1 348.403 -0.310 20 0 EBADMM CN1CCOC[C@@H]1C(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000946512495 653135991 /nfs/dbraw/zinc/13/59/91/653135991.db2.gz NVMSLJWGYIWOIJ-CYBMUJFWSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1csnn1 ZINC000942537495 653136749 /nfs/dbraw/zinc/13/67/49/653136749.db2.gz BQKBCZKMDACHRR-DTWKUNHWSA-N -1 1 337.409 -0.400 20 0 EBADMM O=C(NC1CCN(C(=O)c2ncccc2[O-])CC1)[C@@H]1CNC(=O)N1 ZINC000946535777 653145827 /nfs/dbraw/zinc/14/58/27/653145827.db2.gz LGAVYMGEDAQIEW-JTQLQIEISA-N -1 1 333.348 -0.811 20 0 EBADMM O=C(NC1CCN(C(=O)c2ncccc2[O-])CC1)[C@@H]1CCNC1=O ZINC000946538856 653146606 /nfs/dbraw/zinc/14/66/06/653146606.db2.gz SKLJZKOTKNFGDX-LLVKDONJSA-N -1 1 332.360 -0.356 20 0 EBADMM CCC(=O)N1CCO[C@@H](CNC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC000944680686 653149262 /nfs/dbraw/zinc/14/92/62/653149262.db2.gz ZASPJXIOQLHAKT-QMMMGPOBSA-N -1 1 340.336 -0.736 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1)[C@@H]1CCC(=O)N1 ZINC000944695745 653163060 /nfs/dbraw/zinc/16/30/60/653163060.db2.gz VYRBGCBJJWZTRS-MNOVXSKESA-N -1 1 348.359 -0.977 20 0 EBADMM NC(=O)CC(=O)N1CC[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000940493846 653188011 /nfs/dbraw/zinc/18/80/11/653188011.db2.gz BOBSSRZUWATBIR-SECBINFHSA-N -1 1 333.348 -0.734 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1)c1nnc[nH]1 ZINC000944721385 653193777 /nfs/dbraw/zinc/19/37/77/653193777.db2.gz XYDUUTOYUAUTEM-VIFPVBQESA-N -1 1 332.320 -0.824 20 0 EBADMM CCN(C(=O)[C@@H]1COC(=O)N1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000942766604 653200951 /nfs/dbraw/zinc/20/09/51/653200951.db2.gz BVSIPUGJNBOITG-MNOVXSKESA-N -1 1 348.359 -0.041 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)C2=COCCO2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947005981 653290529 /nfs/dbraw/zinc/29/05/29/653290529.db2.gz XTOXCUBBJYPVPU-GHMZBOCLSA-N -1 1 337.380 -0.534 20 0 EBADMM O=C(N[C@H]1CCCCN(C(=O)[C@H]2CNC(=O)N2)C1)c1ncccc1[O-] ZINC000943209196 653312323 /nfs/dbraw/zinc/31/23/23/653312323.db2.gz KCJWOPBEVFDASI-WDEREUQCSA-N -1 1 347.375 -0.421 20 0 EBADMM O=C(N[C@@H]1CCCCN(C(=O)[C@H]2CNC(=O)N2)C1)c1ncccc1[O-] ZINC000943209193 653312443 /nfs/dbraw/zinc/31/24/43/653312443.db2.gz KCJWOPBEVFDASI-GHMZBOCLSA-N -1 1 347.375 -0.421 20 0 EBADMM Cc1ccnn1CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1C ZINC000943229838 653319481 /nfs/dbraw/zinc/31/94/81/653319481.db2.gz FDIBJUDCFNEUJY-AAEUAGOBSA-N -1 1 347.423 -0.360 20 0 EBADMM CC1(C(=O)N[C@@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)CC1 ZINC000940908877 653324605 /nfs/dbraw/zinc/32/46/05/653324605.db2.gz HLJLEJBXPCPNDB-LLVKDONJSA-N -1 1 334.376 -0.556 20 0 EBADMM C[C@H]1CN(C(=O)C2CC2)CC[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000945084331 653341270 /nfs/dbraw/zinc/34/12/70/653341270.db2.gz SRUDJTOPADMXLB-ONGXEEELSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@H]1C[C@H](NC(=O)Cc2ccnn2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947258790 653350580 /nfs/dbraw/zinc/35/05/80/653350580.db2.gz YBWSUXSSWWBFJO-NWDGAFQWSA-N -1 1 347.423 -0.446 20 0 EBADMM C[C@H]1C[C@H](NC(=O)Cc2cncnc2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947258487 653350664 /nfs/dbraw/zinc/35/06/64/653350664.db2.gz SMKWGYADTOOFJG-WCQYABFASA-N -1 1 345.407 -0.390 20 0 EBADMM Cc1c[nH]nc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@H](C)C1 ZINC000947291540 653357657 /nfs/dbraw/zinc/35/76/57/653357657.db2.gz RNUYSOQSXQMICM-GHMZBOCLSA-N -1 1 333.396 -0.077 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)Cc2ccn(C)n2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947303831 653363469 /nfs/dbraw/zinc/36/34/69/653363469.db2.gz APRVJCOAEDBTHQ-RYUDHWBXSA-N -1 1 347.423 -0.446 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1NC(=O)c1nnn(C)n1 ZINC000945276124 653386109 /nfs/dbraw/zinc/38/61/09/653386109.db2.gz BSFOJZMWJZSMCU-ZJUUUORDSA-N -1 1 345.363 -0.409 20 0 EBADMM O=C(N[C@H]1CCCCN(C(=O)c2ncccc2[O-])C1)[C@H]1CNC(=O)N1 ZINC000943485134 653404962 /nfs/dbraw/zinc/40/49/62/653404962.db2.gz JEDXEJKSDFCRTD-WDEREUQCSA-N -1 1 347.375 -0.421 20 0 EBADMM O=C(N[C@@H]1CCCCN(C(=O)c2ncccc2[O-])C1)[C@H]1CNC(=O)N1 ZINC000943485131 653405017 /nfs/dbraw/zinc/40/50/17/653405017.db2.gz JEDXEJKSDFCRTD-GHMZBOCLSA-N -1 1 347.375 -0.421 20 0 EBADMM NC(=O)C(=O)N[C@H]1CCCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000943487916 653407682 /nfs/dbraw/zinc/40/76/82/653407682.db2.gz QQLQUAKBCLGUPF-JTQLQIEISA-N -1 1 347.375 -0.344 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cnon3)C(C)(C)C2)nc1=O ZINC000941112718 653418274 /nfs/dbraw/zinc/41/82/74/653418274.db2.gz GZSCCCLCTSNWMS-JTQLQIEISA-N -1 1 335.368 -0.478 20 0 EBADMM CC1(C)CN(Cc2n[nH]c(=O)[n-]2)CC[C@@H]1NC(=O)Cc1nnc[nH]1 ZINC000941155577 653432523 /nfs/dbraw/zinc/43/25/23/653432523.db2.gz SZQYRYBOLJFNNC-VIFPVBQESA-N -1 1 334.384 -0.412 20 0 EBADMM C[C@H]1C[C@H](NC(=O)Cc2cnoc2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947644010 653446463 /nfs/dbraw/zinc/44/64/63/653446463.db2.gz UGAJLDGKAFNSEG-CMPLNLGQSA-N -1 1 334.380 -0.192 20 0 EBADMM CCCC(=O)N1CCC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000943822874 653478221 /nfs/dbraw/zinc/47/82/21/653478221.db2.gz JAQKNVONHGIDHJ-SNVBAGLBSA-N -1 1 332.364 -0.062 20 0 EBADMM C[C@H]1C[C@H]1C(=O)N1CCN(C2CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC000941292645 653486530 /nfs/dbraw/zinc/48/65/30/653486530.db2.gz KCTXIBAMCDNCTI-WCQYABFASA-N -1 1 334.424 -0.907 20 0 EBADMM CCn1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H](C)C2)nn1 ZINC000947866649 653495168 /nfs/dbraw/zinc/49/51/68/653495168.db2.gz DREBAKCSTILYCT-MNOVXSKESA-N -1 1 348.411 -0.497 20 0 EBADMM CN1C[C@H](C(=O)NC[C@H]2CCCN2C(=O)c2ncccc2[O-])NC1=O ZINC000943981003 653501020 /nfs/dbraw/zinc/50/10/20/653501020.db2.gz XQVUJPBHRXDCST-GHMZBOCLSA-N -1 1 347.375 -0.468 20 0 EBADMM Cc1nccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H](C)C2)n1 ZINC000948057108 653517656 /nfs/dbraw/zinc/51/76/56/653517656.db2.gz RNKVKUALRYVPBK-PWSUYJOCSA-N -1 1 345.407 -0.010 20 0 EBADMM CCC(=O)N[C@H]1CCC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000946055862 653539938 /nfs/dbraw/zinc/53/99/38/653539938.db2.gz FZHKMLNFTVSCTN-ZJUUUORDSA-N -1 1 332.364 -0.158 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2COCCN2C)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000948565874 653558757 /nfs/dbraw/zinc/55/87/57/653558757.db2.gz FOSTTWDMZJSMOW-JHJVBQTASA-N -1 1 348.403 -0.306 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H]1CNC(=O)C1CCC1 ZINC000948616558 653562747 /nfs/dbraw/zinc/56/27/47/653562747.db2.gz MDDQVMNGJIZELR-GFCCVEGCSA-N -1 1 334.376 -0.556 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@@H]1COCCO1 ZINC000948619001 653563014 /nfs/dbraw/zinc/56/30/14/653563014.db2.gz OLEGPCBRYZQJSA-WZRBSPASSA-N -1 1 335.360 -0.221 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1cnnn1C ZINC000948630204 653564152 /nfs/dbraw/zinc/56/41/52/653564152.db2.gz RDOANPSHYOIWJY-NXEZZACHSA-N -1 1 330.348 -0.194 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)[C@H]3CCc4cncn4C3)CC2)nc1=O ZINC000948955215 653584621 /nfs/dbraw/zinc/58/46/21/653584621.db2.gz VPFZZPLBCIYACM-LBPRGKRZSA-N -1 1 345.407 -0.788 20 0 EBADMM O=C(CCc1n[nH]c(=O)[n-]c1=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000949069396 653592753 /nfs/dbraw/zinc/59/27/53/653592753.db2.gz CQPBXENKLAAMAE-NSHDSACASA-N -1 1 337.380 -0.604 20 0 EBADMM Cc1nc([C@@H](C)N2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)CC2)n[nH]1 ZINC000949111360 653595535 /nfs/dbraw/zinc/59/55/35/653595535.db2.gz QOYXAOVCSOWWKZ-SSDOTTSWSA-N -1 1 334.340 -0.772 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCN2C(=O)c2n[nH]c(=O)[n-]c2=O)c1C ZINC000949175454 653822132 /nfs/dbraw/zinc/82/21/32/653822132.db2.gz ISVYGBUOTMYFST-QMMMGPOBSA-N -1 1 347.335 -0.733 20 0 EBADMM Cn1nccc1[C@@H]1C[C@H]1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000949421885 653890717 /nfs/dbraw/zinc/89/07/17/653890717.db2.gz XDKKFZZNNQOEBM-VXGBXAGGSA-N -1 1 345.407 -0.710 20 0 EBADMM CC1(C)C[C@@H]1C(=O)NC[C@@H]1CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000949457320 653899087 /nfs/dbraw/zinc/89/90/87/653899087.db2.gz QNNIIIHPPVFJPC-NWDGAFQWSA-N -1 1 348.403 -0.310 20 0 EBADMM CN1CCO[C@H](C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])C1 ZINC000949535429 653921866 /nfs/dbraw/zinc/92/18/66/653921866.db2.gz UTYJPJFNAWBEFV-AAEUAGOBSA-N -1 1 334.376 -0.552 20 0 EBADMM CC(=O)N1CC(C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])C1 ZINC000949534875 653922206 /nfs/dbraw/zinc/92/22/06/653922206.db2.gz HSXVFSSWFLYKPE-GFCCVEGCSA-N -1 1 332.360 -0.404 20 0 EBADMM CCN1CCOC[C@@H]1C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949538522 653922814 /nfs/dbraw/zinc/92/28/14/653922814.db2.gz LAYOSFJEHAYJAU-QWHCGFSZSA-N -1 1 348.403 -0.161 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)[C@H]1CCNC(=O)C1)c1ncccc1[O-] ZINC000949535707 653923023 /nfs/dbraw/zinc/92/30/23/653923023.db2.gz YQEFGIJMKVFLOV-QWRGUYRKSA-N -1 1 332.360 -0.356 20 0 EBADMM Cn1c(C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])c[nH]c1=O ZINC000949541449 653924080 /nfs/dbraw/zinc/92/40/80/653924080.db2.gz HXRYJTPWUGMMNU-VIFPVBQESA-N -1 1 331.332 -0.129 20 0 EBADMM CCN1C[C@@H](C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])CC1=O ZINC000949542034 653924655 /nfs/dbraw/zinc/92/46/55/653924655.db2.gz UWEXEOCONZPMKB-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM Cc1ccn([C@H](C)CC(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC000949638706 653940945 /nfs/dbraw/zinc/94/09/45/653940945.db2.gz HHEDDZSMNBXGQS-CYBMUJFWSA-N -1 1 347.423 -0.091 20 0 EBADMM C[C@@H](C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1)c1cncnc1 ZINC000949838392 653985037 /nfs/dbraw/zinc/98/50/37/653985037.db2.gz ZKEYSHBMMCGJMY-LLVKDONJSA-N -1 1 331.380 -0.654 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1nc[nH]n1)c1cnc(C2CC2)[n-]c1=O ZINC000950037378 654023467 /nfs/dbraw/zinc/02/34/67/654023467.db2.gz KIQJJSBIWVDZAV-VIFPVBQESA-N -1 1 343.347 -0.178 20 0 EBADMM CN1C[C@H](C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])CC1=O ZINC000950400367 654115457 /nfs/dbraw/zinc/11/54/57/654115457.db2.gz CSLWRUBKMLBPCV-GHMZBOCLSA-N -1 1 332.360 -0.404 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)NC[C@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951479580 654157522 /nfs/dbraw/zinc/15/75/22/654157522.db2.gz ISQQFUQAGAMDHE-OPRDCNLKSA-N -1 1 330.348 -0.596 20 0 EBADMM O=C(N[C@H]1CCCN(C(=O)[C@@H]2CCC(=O)N2)C1)c1ncccc1[O-] ZINC000951494722 654161690 /nfs/dbraw/zinc/16/16/90/654161690.db2.gz QFOZQYHSULFGPP-QWRGUYRKSA-N -1 1 332.360 -0.213 20 0 EBADMM Cc1nc(C(=O)N[C@@H]2CCCN(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)c[nH]1 ZINC000951510771 654165804 /nfs/dbraw/zinc/16/58/04/654165804.db2.gz SRTFRJLLSFWNPC-SECBINFHSA-N -1 1 349.351 -0.858 20 0 EBADMM O=C(Cn1ncnn1)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950542368 654172439 /nfs/dbraw/zinc/17/24/39/654172439.db2.gz ADXKJXAVOIGCOI-SNVBAGLBSA-N -1 1 331.336 -0.805 20 0 EBADMM O=C(N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1)c1c[nH]c(=O)cn1 ZINC000950543325 654173134 /nfs/dbraw/zinc/17/31/34/654173134.db2.gz WWNHQMOAILZKIU-SNVBAGLBSA-N -1 1 343.343 -0.095 20 0 EBADMM CN1CC[C@H](C(=O)N[C@H]2CCCN(C(=O)c3ncccc3[O-])C2)C1=O ZINC000950542604 654173365 /nfs/dbraw/zinc/17/33/65/654173365.db2.gz FOUGFVUXFGGQMO-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCC(=O)N1 ZINC000950543346 654173493 /nfs/dbraw/zinc/17/34/93/654173493.db2.gz XNHIDAVRTBHXJI-MNOVXSKESA-N -1 1 332.360 -0.213 20 0 EBADMM CN1C[C@H](C(=O)N2CCC[C@@H](NC(=O)c3ncccc3[O-])C2)NC1=O ZINC000951539846 654182636 /nfs/dbraw/zinc/18/26/36/654182636.db2.gz GNOFBYYXMOQSOA-GHMZBOCLSA-N -1 1 347.375 -0.468 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H]1CNC(=O)[C@H]1C[C@@H]1C ZINC000951602095 654208944 /nfs/dbraw/zinc/20/89/44/654208944.db2.gz IPRYLAOPVRPBOH-YIZRAAEISA-N -1 1 336.348 -0.117 20 0 EBADMM Cc1cc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)nc(C)n1 ZINC000951725045 654259012 /nfs/dbraw/zinc/25/90/12/654259012.db2.gz VHHHOZUKYQSUMF-UHFFFAOYSA-N -1 1 331.380 -0.527 20 0 EBADMM CC1(C(=O)N2CCC[C@@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)CC1 ZINC000951055640 654366512 /nfs/dbraw/zinc/36/65/12/654366512.db2.gz PDPLJJRDFDOMII-SNVBAGLBSA-N -1 1 344.375 -0.062 20 0 EBADMM Cn1nc(C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])ccc1=O ZINC000952185497 654418771 /nfs/dbraw/zinc/41/87/71/654418771.db2.gz RKWPKYUNKVYNSX-JTQLQIEISA-N -1 1 343.343 -0.475 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1ccon1)c1cc(=O)n2[n-]cnc2n1 ZINC000951222345 654423800 /nfs/dbraw/zinc/42/38/00/654423800.db2.gz KOADBZOCCCMGDV-MRVPVSSYSA-N -1 1 343.303 -0.950 20 0 EBADMM CSCC(=O)N1CC[C@H]1CNC(=O)c1cc(=O)n2nc[n-]c2n1 ZINC000951223122 654424511 /nfs/dbraw/zinc/42/45/11/654424511.db2.gz YVRMDFALKHBMJD-QMMMGPOBSA-N -1 1 336.377 -0.889 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCN1C(=O)c1cc[nH]c1 ZINC000951223016 654424979 /nfs/dbraw/zinc/42/49/79/654424979.db2.gz XBWHBBQJJWWAIF-VIFPVBQESA-N -1 1 347.331 -0.131 20 0 EBADMM CC(C)(C)C(=O)N1CC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951225289 654426379 /nfs/dbraw/zinc/42/63/79/654426379.db2.gz YGYVFFQQPQYLHE-SECBINFHSA-N -1 1 332.364 -0.206 20 0 EBADMM NC(=O)C(=O)N1CCC([C@@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC000952305185 654438012 /nfs/dbraw/zinc/43/80/12/654438012.db2.gz KSNVRKBMEYGJMV-GFCCVEGCSA-N -1 1 346.387 -0.027 20 0 EBADMM CC(=O)N1CCC[C@@]2(CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)C1 ZINC000952924998 654510350 /nfs/dbraw/zinc/51/03/50/654510350.db2.gz GUQVSRQUOQWKAZ-QGZVFWFLSA-N -1 1 348.403 -0.212 20 0 EBADMM CCC(=O)N1CC[C@@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]21 ZINC000953383877 654570639 /nfs/dbraw/zinc/57/06/39/654570639.db2.gz RCGAHEHLGLYKDC-CMPLNLGQSA-N -1 1 344.375 -0.109 20 0 EBADMM CC(=O)N[C@H](C)[C@@H]1CCCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000953629776 654600210 /nfs/dbraw/zinc/60/02/10/654600210.db2.gz CSHMEQVQMPDVLY-YPMHNXCESA-N -1 1 336.392 -0.168 20 0 EBADMM CCC(=O)N(C)C1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000953674880 654608780 /nfs/dbraw/zinc/60/87/80/654608780.db2.gz JZFOVZVDEONEEH-UHFFFAOYSA-N -1 1 332.364 -0.109 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CCN(C(=O)[C@@H]2COC(=O)N2)CC1 ZINC000953888256 654648371 /nfs/dbraw/zinc/64/83/71/654648371.db2.gz MGPUVLOQJBKPSR-NSHDSACASA-N -1 1 348.359 -0.041 20 0 EBADMM CN(C(=O)Cn1ncnn1)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000953960303 654664049 /nfs/dbraw/zinc/66/40/49/654664049.db2.gz ITRWFMSYKQITCF-UHFFFAOYSA-N -1 1 345.363 -0.463 20 0 EBADMM C[C@H](C(=O)N(C)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1)C1CC1 ZINC000953981271 654666768 /nfs/dbraw/zinc/66/67/68/654666768.db2.gz PTOIAZVPTLXFGO-NSHDSACASA-N -1 1 348.403 -0.358 20 0 EBADMM CN(C(=O)c1n[nH]c(=O)[n-]c1=O)C1CCN(C(=O)c2ccn[nH]2)CC1 ZINC000954107489 654686977 /nfs/dbraw/zinc/68/69/77/654686977.db2.gz NJLPIQXTHOHSEE-UHFFFAOYSA-N -1 1 347.335 -0.617 20 0 EBADMM C[C@@H]1CCN(Cc2nnn(C)n2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000965942348 724543984 /nfs/dbraw/zinc/54/39/84/724543984.db2.gz YAQMDNNTMGXBMF-GHMZBOCLSA-N -1 1 331.380 -0.049 20 0 EBADMM CCn1ncc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)n1 ZINC000965997299 724557360 /nfs/dbraw/zinc/55/73/60/724557360.db2.gz YGOOHSFJGSNDRH-PWSUYJOCSA-N -1 1 348.411 -0.640 20 0 EBADMM CCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000966067133 724563697 /nfs/dbraw/zinc/56/36/97/724563697.db2.gz MRNLOVCOYWWKIT-KOLCDFICSA-N -1 1 332.364 -0.206 20 0 EBADMM CC(C)C(=O)N1C[C@H](C)[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000966172792 724581880 /nfs/dbraw/zinc/58/18/80/724581880.db2.gz FUBLSUIPQHUXJZ-GXSJLCMTSA-N -1 1 332.364 -0.350 20 0 EBADMM Cc1cc(C(=O)N2C[C@@H](C)[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC000966223500 724592467 /nfs/dbraw/zinc/59/24/67/724592467.db2.gz QDFFVCANYZKOEL-MUWHJKNJSA-N -1 1 347.335 -0.795 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)c2cc(=O)n(C)o2)C1 ZINC000954123956 719568870 /nfs/dbraw/zinc/56/88/70/719568870.db2.gz FQJGRGKTYONISR-UHFFFAOYSA-N -1 1 332.316 -0.325 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)c2cn(C)c(=O)[nH]2)C1 ZINC000954125302 719570161 /nfs/dbraw/zinc/57/01/61/719570161.db2.gz JZFMMCZOYXBGOU-UHFFFAOYSA-N -1 1 331.332 -0.177 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@]2(C)CNC(=O)C2)C1 ZINC000954128072 719571326 /nfs/dbraw/zinc/57/13/26/719571326.db2.gz QVASQODIYJTWJG-INIZCTEOSA-N -1 1 332.360 -0.404 20 0 EBADMM CN(C(=O)[C@H]1CNC(=O)N1)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000954245823 719634889 /nfs/dbraw/zinc/63/48/89/719634889.db2.gz RYPGVBSVDXJXPP-LLVKDONJSA-N -1 1 347.375 -0.468 20 0 EBADMM CN(C(=O)c1cc[nH]c1)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000954479503 719737275 /nfs/dbraw/zinc/73/72/75/719737275.db2.gz QQNDIYXQEWDAJA-UHFFFAOYSA-N -1 1 345.359 -0.762 20 0 EBADMM CN(C(=O)C[C@@H]1CCN(C)C1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954539242 719772386 /nfs/dbraw/zinc/77/23/86/719772386.db2.gz VSSDBOUWFMENJR-NSHDSACASA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C(=O)c1cc(=O)n(C)cn1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954632721 719804598 /nfs/dbraw/zinc/80/45/98/719804598.db2.gz RJOMIJLOJMQYJL-UHFFFAOYSA-N -1 1 343.343 -0.523 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)c1cnn(C)n1 ZINC000966354127 724621641 /nfs/dbraw/zinc/62/16/41/724621641.db2.gz XIDGDVPBAWIUJC-ONGXEEELSA-N -1 1 330.348 -0.194 20 0 EBADMM C/C=C(\C)C(=O)N1CC(N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000954830850 719925636 /nfs/dbraw/zinc/92/56/36/719925636.db2.gz KCWWCCPZRCYRLX-RUDMXATFSA-N -1 1 330.348 -0.333 20 0 EBADMM C[C@H]1C[C@H]1CC(=O)N(C)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000955218669 720095202 /nfs/dbraw/zinc/09/52/02/720095202.db2.gz ZPCFWIBWKWZAFF-RYUDHWBXSA-N -1 1 348.403 -0.358 20 0 EBADMM CN(C(=O)[C@@H]1CC12CC2)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000955433587 720174775 /nfs/dbraw/zinc/17/47/75/720174775.db2.gz YXNSWMRDAVMZST-LBPRGKRZSA-N -1 1 346.387 -0.604 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1nnn(C)n1 ZINC000955765751 720249872 /nfs/dbraw/zinc/24/98/72/720249872.db2.gz DWHMHPRMKYOFBI-VHSXEESVSA-N -1 1 345.363 -0.266 20 0 EBADMM O=C(NC[C@@H]1CCCN1C(=O)c1ccn[nH]1)c1n[nH]c(=O)[n-]c1=O ZINC000955902407 720289778 /nfs/dbraw/zinc/28/97/78/720289778.db2.gz MXVXWYVNOFXGEA-ZETCQYMHSA-N -1 1 333.308 -0.960 20 0 EBADMM O=C(NC[C@@H]1CCCN1C(=O)c1ncccc1[O-])[C@@H]1COC(=O)N1 ZINC000955979575 720315436 /nfs/dbraw/zinc/31/54/36/720315436.db2.gz PFQDYZSEQCEHJP-UWVGGRQHSA-N -1 1 334.332 -0.384 20 0 EBADMM C[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1NC(=O)C1CC1 ZINC000966610014 724697854 /nfs/dbraw/zinc/69/78/54/724697854.db2.gz MDHMKEFOYRZGGV-KWQFWETISA-N -1 1 330.348 -0.596 20 0 EBADMM C[C@@H]1CN(C(=O)c2c[nH]c(=O)cn2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966742378 724735350 /nfs/dbraw/zinc/73/53/50/724735350.db2.gz QWHZWEPLRYDGJR-KOLCDFICSA-N -1 1 343.343 -0.239 20 0 EBADMM CO[C@H](C)C(=O)N1CCN(C2CN(C(=O)c3ncccc3[O-])C2)CC1 ZINC000967196985 724829554 /nfs/dbraw/zinc/82/95/54/724829554.db2.gz ZPARFHDYAJZUQO-GFCCVEGCSA-N -1 1 348.403 -0.209 20 0 EBADMM Cc1ncc(C(=O)N2CC[C@@](C)(NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC000957235341 722178015 /nfs/dbraw/zinc/17/80/15/722178015.db2.gz AUPVLLCCJUBCAS-CQSZACIVSA-N -1 1 347.335 -0.651 20 0 EBADMM C[C@]1(NC(=O)[C@@H]2CCC(=O)N2)CCN(C(=O)c2ncccc2[O-])C1 ZINC000957253053 722179740 /nfs/dbraw/zinc/17/97/40/722179740.db2.gz PXCBADOBUXAQOS-QFYYESIMSA-N -1 1 332.360 -0.213 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N[C@@]1(C)CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000957273412 722188399 /nfs/dbraw/zinc/18/83/99/722188399.db2.gz BEZSWHGLWDZHSD-LYGAQUJYSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@@H](Oc1ccccn1)C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000957354302 722200945 /nfs/dbraw/zinc/20/09/45/722200945.db2.gz PUGZKSRAVQEWLX-GFCCVEGCSA-N -1 1 346.391 -0.385 20 0 EBADMM CCc1cc(C(=O)N(CC)C2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC000957463934 722214806 /nfs/dbraw/zinc/21/48/06/722214806.db2.gz DMFRHYOLQSSHHP-UHFFFAOYSA-N -1 1 347.423 -0.249 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N1CCN(CCC[C@H]2CCOC2)CC1 ZINC000957473641 722215474 /nfs/dbraw/zinc/21/54/74/722215474.db2.gz BTUDNEKUMZHULD-LBPRGKRZSA-N -1 1 339.396 -0.350 20 0 EBADMM CC(C)c1ncncc1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000957678382 722232893 /nfs/dbraw/zinc/23/28/93/722232893.db2.gz QMNHHBXTXFUFSO-UHFFFAOYSA-N -1 1 345.407 -0.020 20 0 EBADMM CO[C@@H](C)C(=O)N1CC(N2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC000967211282 724836417 /nfs/dbraw/zinc/83/64/17/724836417.db2.gz SILSFMNVYTVLOG-LBPRGKRZSA-N -1 1 348.403 -0.209 20 0 EBADMM Cn1[n-]c(CN2C[C@H](O)[C@@H](CNC(=O)C3CCCCC3)C2)nc1=O ZINC000957822919 722245444 /nfs/dbraw/zinc/24/54/44/722245444.db2.gz ZRUXPJQKDJOXAJ-STQMWFEESA-N -1 1 337.424 -0.402 20 0 EBADMM Cc1ccccc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC000957833476 722246419 /nfs/dbraw/zinc/24/64/19/722246419.db2.gz BQPZINQYSMEMEE-GXTWGEPZSA-N -1 1 345.403 -0.360 20 0 EBADMM C[C@@H](C[C@H]1CCCO1)C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000957845707 722250331 /nfs/dbraw/zinc/25/03/31/722250331.db2.gz NGRGLPMSDRKFDF-QWHCGFSZSA-N -1 1 337.424 -0.042 20 0 EBADMM Cc1occc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC000957864068 722254246 /nfs/dbraw/zinc/25/42/46/722254246.db2.gz OUKMVJHDCUDMDG-PWSUYJOCSA-N -1 1 335.364 -0.767 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@@H](CNC(=O)c3ccccc3O)C2)nc1=O ZINC000957887133 722258394 /nfs/dbraw/zinc/25/83/94/722258394.db2.gz SMEAULTWUPVIAY-GXFFZTMASA-N -1 1 347.375 -0.963 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2CN(Cc3nccnc3C)C[C@H]2O)c1[O-] ZINC000957985935 722282876 /nfs/dbraw/zinc/28/28/76/722282876.db2.gz LBGSBMLWRZAXHH-DGCLKSJQSA-N -1 1 346.391 -0.255 20 0 EBADMM CCc1cc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)[nH]n1 ZINC000958271743 722335736 /nfs/dbraw/zinc/33/57/36/722335736.db2.gz BIDGWZPDXUDMRX-IWIIMEHWSA-N -1 1 331.380 -0.746 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(Cc3cc(=O)n4[n-]ccc4n3)C[C@H]21)[C@@H]1CCOC1 ZINC000958359333 722352406 /nfs/dbraw/zinc/35/24/06/722352406.db2.gz CEZJBEKKKAXVIA-KBNOKHGBSA-N -1 1 343.387 -0.395 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](CNC(=O)C3CC4(CC4)C3)[C@H](O)C2)nc1=O ZINC000958400477 722360609 /nfs/dbraw/zinc/36/06/09/722360609.db2.gz VGKKMMANJSHAFA-VXGBXAGGSA-N -1 1 335.408 -0.793 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2[C@H]3CN(CC(=O)N4CCCC4)C[C@H]32)c1[O-] ZINC000958583767 722395591 /nfs/dbraw/zinc/39/55/91/722395591.db2.gz NFIYFAWPGYZLOV-PJXYFTJBSA-N -1 1 333.392 -0.294 20 0 EBADMM NC(=O)CC(=O)N1CCc2ccccc2[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000958783490 722432515 /nfs/dbraw/zinc/43/25/15/722432515.db2.gz BRQHLLMYYIDJHB-LBPRGKRZSA-N -1 1 344.375 -0.399 20 0 EBADMM CN(C(=O)c1ccncn1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958932620 722660810 /nfs/dbraw/zinc/66/08/10/722660810.db2.gz CBQGENVNLOKUEB-AAEUAGOBSA-N -1 1 343.343 -0.465 20 0 EBADMM C[C@@H](NC(=O)c1n[nH]c(=O)[n-]c1=O)[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC000959072302 722684888 /nfs/dbraw/zinc/68/48/88/722684888.db2.gz JCCRPUGGSWOQJK-HTQZYQBOSA-N -1 1 347.335 -0.714 20 0 EBADMM C[C@@H](NC(=O)C1CC1)[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000959208751 722698632 /nfs/dbraw/zinc/69/86/32/722698632.db2.gz RBGIUFNKBGDIOR-YPMHNXCESA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)c2nnn(C)n2)C1 ZINC000959233612 722701570 /nfs/dbraw/zinc/70/15/70/722701570.db2.gz LUUPTXZSEDPYQZ-UWVGGRQHSA-N -1 1 345.363 -0.409 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1CNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC000959383933 722714638 /nfs/dbraw/zinc/71/46/38/722714638.db2.gz BSNNNJZDDFCFBK-HTQZYQBOSA-N -1 1 347.335 -0.856 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNC(=O)c1nnn(C)n1 ZINC000959454896 722723278 /nfs/dbraw/zinc/72/32/78/722723278.db2.gz VCNCHQURMMVDOS-ZJUUUORDSA-N -1 1 345.363 -0.551 20 0 EBADMM C[C@@H]1CN(C(=O)Cn2nccn2)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000959489727 722730089 /nfs/dbraw/zinc/73/00/89/722730089.db2.gz VTNGGQPRPXVMNS-VXGBXAGGSA-N -1 1 344.375 -0.097 20 0 EBADMM CCn1ncc(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)n1 ZINC000959997937 722781593 /nfs/dbraw/zinc/78/15/93/722781593.db2.gz DEEFJZGILYMKIU-JTQLQIEISA-N -1 1 334.384 -0.094 20 0 EBADMM CN1CC[C@H](C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)C1=O ZINC000960100574 722792835 /nfs/dbraw/zinc/79/28/35/722792835.db2.gz LWQVCLVUOPOZQV-QWRGUYRKSA-N -1 1 336.396 -0.541 20 0 EBADMM Cn1ncc(CCC(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)n1 ZINC000960132084 722797304 /nfs/dbraw/zinc/79/73/04/722797304.db2.gz YCIBFONJAXJSCB-LBPRGKRZSA-N -1 1 348.411 -0.258 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)c1ccnn1C ZINC000960469786 722832072 /nfs/dbraw/zinc/83/20/72/722832072.db2.gz DKCJWWXUHMTCBE-LLVKDONJSA-N -1 1 333.396 -0.422 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)c1nccnc1N ZINC000960489030 722833394 /nfs/dbraw/zinc/83/33/94/722833394.db2.gz ADSXFJUQWYLDDJ-JTQLQIEISA-N -1 1 346.395 -0.783 20 0 EBADMM Cc1ccnc(C(=O)N2CCC[C@@H]2CN(C)Cc2nc(=O)n(C)[n-]2)n1 ZINC000960598681 722842886 /nfs/dbraw/zinc/84/28/86/722842886.db2.gz XWOFHYVJKANDCL-GFCCVEGCSA-N -1 1 345.407 -0.057 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)CC(C)(C)O ZINC000960603646 722843371 /nfs/dbraw/zinc/84/33/71/722843371.db2.gz LBJLSXBLAJCVRI-LLVKDONJSA-N -1 1 325.413 -0.308 20 0 EBADMM Cc1ncn(C)c1C(=O)N1CCC[C@@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC000960686910 722853341 /nfs/dbraw/zinc/85/33/41/722853341.db2.gz JFGJRBSBWKJKCT-GFCCVEGCSA-N -1 1 347.423 -0.113 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)c1csnn1 ZINC000960780168 722863730 /nfs/dbraw/zinc/86/37/30/722863730.db2.gz UXJGYBXYLQUWHY-VIFPVBQESA-N -1 1 337.409 -0.304 20 0 EBADMM CN(Cc1cnn(C)c1)C[C@@H]1CCCN1C(=O)Cn1c(=O)[n-][nH]c1=O ZINC000960990931 722885273 /nfs/dbraw/zinc/88/52/73/722885273.db2.gz JOEOZKOXPYUGMZ-LBPRGKRZSA-N -1 1 349.395 -0.454 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@@H]2CN(C(=O)[C@H]3COCCO3)C[C@@H]2C1 ZINC000961063492 722893073 /nfs/dbraw/zinc/89/30/73/722893073.db2.gz IEXHIPKIILPRFG-MBNYWOFBSA-N -1 1 347.371 -0.267 20 0 EBADMM CCCc1cc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)[nH]n1 ZINC000961420909 723016400 /nfs/dbraw/zinc/01/64/00/723016400.db2.gz TYNJHGBTHOQDMJ-YABSGUDNSA-N -1 1 345.407 -0.356 20 0 EBADMM CC(C)(C(N)=O)C(=O)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC000961427269 723017432 /nfs/dbraw/zinc/01/74/32/723017432.db2.gz NTTZNBAAVARJDZ-PHIMTYICSA-N -1 1 346.387 -0.171 20 0 EBADMM Cc1ncoc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc([O-])n(C)c1=O ZINC000961471729 723024509 /nfs/dbraw/zinc/02/45/09/723024509.db2.gz WMDBGBMZGCBIEB-PJXYFTJBSA-N -1 1 345.359 -0.357 20 0 EBADMM O=C([C@H]1CCn2cncc2C1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019055875 728785089 /nfs/dbraw/zinc/78/50/89/728785089.db2.gz AUUNYNWCFDXBLO-QWRGUYRKSA-N -1 1 331.380 -0.340 20 0 EBADMM O=C([C@@H]1CCCn2nccc21)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019057288 728785737 /nfs/dbraw/zinc/78/57/37/728785737.db2.gz KPYFEXQFDDEBLR-WDEREUQCSA-N -1 1 331.380 -0.025 20 0 EBADMM Cc1ncc(CN[C@H]2CCN(C(=O)CCc3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC001019082155 728791312 /nfs/dbraw/zinc/79/13/12/728791312.db2.gz PJQBPSVBNFRHQJ-JTQLQIEISA-N -1 1 348.363 -0.097 20 0 EBADMM O=C(Cc1cc[nH]n1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000962008873 723145152 /nfs/dbraw/zinc/14/51/52/723145152.db2.gz DUWKNWVZIZXJLY-PWSUYJOCSA-N -1 1 331.332 -0.946 20 0 EBADMM O=C(c1cn2cccnc2n1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019088197 728792936 /nfs/dbraw/zinc/79/29/36/728792936.db2.gz NPOXYCGXUPCYSF-VIFPVBQESA-N -1 1 328.336 -0.443 20 0 EBADMM C[C@H](NCC1CC(NC(=O)c2n[nH]c(=O)[n-]c2=O)C1)c1nncn1C ZINC000962039847 723156145 /nfs/dbraw/zinc/15/61/45/723156145.db2.gz PIKYKXGUEGTBJK-UEJVZZJDSA-N -1 1 348.367 -0.730 20 0 EBADMM CC[C@H](F)CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC000962066998 723164360 /nfs/dbraw/zinc/16/43/60/723164360.db2.gz LHRYIYSVLIKLAO-PQFRYHKHSA-N -1 1 348.382 -0.134 20 0 EBADMM CCn1nnc(C)c1CN[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001019094767 728794570 /nfs/dbraw/zinc/79/45/70/728794570.db2.gz LQQWEIGKQVPXFU-VIFPVBQESA-N -1 1 348.367 -0.793 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2cc[nH]c(=O)c2)C[C@@H]1O)c1ncccc1[O-] ZINC000962434002 723251292 /nfs/dbraw/zinc/25/12/92/723251292.db2.gz CNSUIHJHXUWYFE-PWSUYJOCSA-N -1 1 344.327 -0.497 20 0 EBADMM Cc1c(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)cnn1C ZINC000962433974 723251317 /nfs/dbraw/zinc/25/13/17/723251317.db2.gz BVQWNDQYOOJDCI-YPMHNXCESA-N -1 1 345.359 -0.556 20 0 EBADMM O=C(Cc1cncnc1)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962434726 723251859 /nfs/dbraw/zinc/25/18/59/723251859.db2.gz KALXTAFOXYKHFJ-YPMHNXCESA-N -1 1 343.343 -0.879 20 0 EBADMM CCn1cc(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)nn1 ZINC000962435946 723252409 /nfs/dbraw/zinc/25/24/09/723252409.db2.gz ZFBMCWXDPZMWSE-SKDRFNHKSA-N -1 1 346.347 -0.986 20 0 EBADMM CCc1cc(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)no1 ZINC000962435915 723252528 /nfs/dbraw/zinc/25/25/28/723252528.db2.gz XJYOIABATQENBX-YPMHNXCESA-N -1 1 346.343 -0.047 20 0 EBADMM CO[C@@H](C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1)C(C)C ZINC000962436921 723252749 /nfs/dbraw/zinc/25/27/49/723252749.db2.gz KRHDVRIDFMAPTJ-SCDSUCTJSA-N -1 1 337.376 -0.240 20 0 EBADMM Cn1ccc(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1 ZINC000962437188 723252842 /nfs/dbraw/zinc/25/28/42/723252842.db2.gz PRLSVHZYZXMKBE-PWSUYJOCSA-N -1 1 331.332 -0.864 20 0 EBADMM Cc1ncn(C)c1C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962437353 723253673 /nfs/dbraw/zinc/25/36/73/723253673.db2.gz SCZBVUOMWZJOJR-PWSUYJOCSA-N -1 1 345.359 -0.556 20 0 EBADMM CO[C@@H](C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1)C1CCC1 ZINC000962442026 723254578 /nfs/dbraw/zinc/25/45/78/723254578.db2.gz WILVACAIWOQWBH-OSAQELSMSA-N -1 1 349.387 -0.096 20 0 EBADMM Cc1ccnc(CNCC2CC(NC(=O)Cn3c(=O)[n-][nH]c3=O)C2)n1 ZINC000962594101 723291869 /nfs/dbraw/zinc/29/18/69/723291869.db2.gz WRLXVKLWRPYDCU-UHFFFAOYSA-N -1 1 347.379 -0.528 20 0 EBADMM Cc1nccc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)n1 ZINC000962602104 723293667 /nfs/dbraw/zinc/29/36/67/723293667.db2.gz CPTWFWJQXURKQO-IWIIMEHWSA-N -1 1 329.364 -0.933 20 0 EBADMM CCOC1CC(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)C1 ZINC000962680423 723306070 /nfs/dbraw/zinc/30/60/70/723306070.db2.gz VIUCXQAZIFAXEI-YZVRNYIASA-N -1 1 349.387 -0.096 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@H]2CN(C(C)=O)C[C@H]21 ZINC000963781968 723485532 /nfs/dbraw/zinc/48/55/32/723485532.db2.gz FRGULJWLQYBNRM-VHSXEESVSA-N -1 1 336.348 -0.021 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1ncccc1[O-])[C@@H]1CCCO1 ZINC000964070161 723534832 /nfs/dbraw/zinc/53/48/32/723534832.db2.gz VLGZROSJXHUTOH-YPMHNXCESA-N -1 1 335.360 -0.077 20 0 EBADMM Cc1cnc(CN[C@H]2CCN(C(=O)c3cnc([O-])n(C)c3=O)C2)cn1 ZINC001019227907 728831123 /nfs/dbraw/zinc/83/11/23/728831123.db2.gz ZWPLOUJURVVMFI-NSHDSACASA-N -1 1 344.375 -0.411 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1ncccc1[O-])[C@H]1CCOC1 ZINC000964107502 723807955 /nfs/dbraw/zinc/80/79/55/723807955.db2.gz VQSYHJGTOOZBDR-RYUDHWBXSA-N -1 1 335.360 -0.219 20 0 EBADMM Cn1cncc1C(=O)N1CCOC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000964155869 723841760 /nfs/dbraw/zinc/84/17/60/723841760.db2.gz SHNBZIGELCRDPH-NSHDSACASA-N -1 1 345.359 -0.208 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1ncccn1)c1ncccc1[O-] ZINC000964156157 723842313 /nfs/dbraw/zinc/84/23/13/723842313.db2.gz UTHALVHLTBGHTR-LLVKDONJSA-N -1 1 343.343 -0.152 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)[C@@H]1CCOC1)c1ncccc1[O-] ZINC000964156665 723842518 /nfs/dbraw/zinc/84/25/18/723842518.db2.gz WJGPOTGAZNEHNS-NEPJUHHUSA-N -1 1 335.360 -0.219 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)[C@@H]1CCCO1)c1ncccc1[O-] ZINC000964157202 723842970 /nfs/dbraw/zinc/84/29/70/723842970.db2.gz YUPJQINKMCEQCZ-YPMHNXCESA-N -1 1 335.360 -0.077 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1cc[nH]n1)c1ncccc1[O-] ZINC000964157812 723843720 /nfs/dbraw/zinc/84/37/20/723843720.db2.gz KRHKFTMBAQMREJ-SNVBAGLBSA-N -1 1 331.332 -0.219 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1ccn[nH]1)c1ncccc1[O-] ZINC000964157812 723843721 /nfs/dbraw/zinc/84/37/21/723843721.db2.gz KRHKFTMBAQMREJ-SNVBAGLBSA-N -1 1 331.332 -0.219 20 0 EBADMM O=C(Cc1ccon1)N1CCOC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000964157838 723843844 /nfs/dbraw/zinc/84/38/44/723843844.db2.gz LERUXXDBCOHQMX-LBPRGKRZSA-N -1 1 346.343 -0.025 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1ncccc1[O-])c1cccnn1 ZINC000964315324 723966671 /nfs/dbraw/zinc/96/66/71/723966671.db2.gz SKILFAFHNYHANJ-LLVKDONJSA-N -1 1 343.343 -0.152 20 0 EBADMM Cn1nnc(C(=O)N2CCCC[C@@H]2CNC(=O)c2ncccc2[O-])n1 ZINC000964557396 724030094 /nfs/dbraw/zinc/03/00/94/724030094.db2.gz QULWJUUBXKVBDO-SNVBAGLBSA-N -1 1 345.363 -0.265 20 0 EBADMM CCC(=O)N[C@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000964644492 724046891 /nfs/dbraw/zinc/04/68/91/724046891.db2.gz OCAFXURTINJDFR-JTQLQIEISA-N -1 1 332.364 -0.062 20 0 EBADMM O=C(N[C@@H]1CCCN(C(=O)C2CC2)CC1)c1cc(=O)n2[n-]cnc2n1 ZINC000965030690 724104992 /nfs/dbraw/zinc/10/49/92/724104992.db2.gz VHBGTUKDRFMIIA-LLVKDONJSA-N -1 1 344.375 -0.062 20 0 EBADMM O=C(N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C1(CF)CCOCC1 ZINC001019386650 728876715 /nfs/dbraw/zinc/87/67/15/728876715.db2.gz SHTQKWHKPQJIOT-JTQLQIEISA-N -1 1 327.360 -0.033 20 0 EBADMM O=C(c1ncccc1[O-])N1CCOC2(CN(C(=O)[C@H]3CCOC3)C2)C1 ZINC000965362050 724198593 /nfs/dbraw/zinc/19/85/93/724198593.db2.gz VEEVTXWYVXYPKF-LBPRGKRZSA-N -1 1 347.371 -0.123 20 0 EBADMM Cc1cnn(CC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)c1 ZINC000965476321 724265664 /nfs/dbraw/zinc/26/56/64/724265664.db2.gz LJUJBDINKZRYGZ-CHWSQXEVSA-N -1 1 347.423 -0.360 20 0 EBADMM COCC(=O)N1CC2(C1)CC[C@H](CNC(=O)c1ncccc1[O-])O2 ZINC000965537925 724289154 /nfs/dbraw/zinc/28/91/54/724289154.db2.gz PNHIPWVVIBTNQI-LLVKDONJSA-N -1 1 335.360 -0.077 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC2(C1)C[C@H](NC(C)=O)CO2 ZINC000965591398 724310432 /nfs/dbraw/zinc/31/04/32/724310432.db2.gz MTRPEZBEIMQUMA-QMMMGPOBSA-N -1 1 338.320 -0.984 20 0 EBADMM O=C(N[C@H]1COC2(CN(C(=O)c3ncccc3[O-])C2)C1)[C@H]1CCOC1 ZINC000965648531 724341021 /nfs/dbraw/zinc/34/10/21/724341021.db2.gz ZRECTOBJGLTOGV-NWDGAFQWSA-N -1 1 347.371 -0.077 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC000967782261 724906348 /nfs/dbraw/zinc/90/63/48/724906348.db2.gz WYRQQTSAWHQDJW-YCGPCKTQSA-N -1 1 335.408 -0.673 20 0 EBADMM CCN(C(=O)[C@H]1COCCO1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000967811701 724910755 /nfs/dbraw/zinc/91/07/55/724910755.db2.gz WNTBHGVXDHTNPO-CYBMUJFWSA-N -1 1 335.360 -0.125 20 0 EBADMM CCN(C(=O)[C@@H]1CN(C)CCO1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000967854990 724920630 /nfs/dbraw/zinc/92/06/30/724920630.db2.gz LVRHNFFELYNIDM-AWEZNQCLSA-N -1 1 348.403 -0.209 20 0 EBADMM CCN(C(=O)[C@@H]1CCNC(=O)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000967872121 724922446 /nfs/dbraw/zinc/92/24/46/724922446.db2.gz VPJFLSACXZLTLP-LLVKDONJSA-N -1 1 346.387 -0.014 20 0 EBADMM CCN(C(=O)[C@@H]1COC(=O)N1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000967928899 724933514 /nfs/dbraw/zinc/93/35/14/724933514.db2.gz IGCYIHNWYJBESZ-JTQLQIEISA-N -1 1 334.332 -0.432 20 0 EBADMM C[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)C1=NC(=O)N(C)C1 ZINC000968176243 724973712 /nfs/dbraw/zinc/97/37/12/724973712.db2.gz OKCOOPDZCYAUFA-DTWKUNHWSA-N -1 1 335.368 -0.410 20 0 EBADMM CCN(C(=O)[C@H]1CNC(=O)N1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000968299199 725046780 /nfs/dbraw/zinc/04/67/80/725046780.db2.gz GJUFAUNEXGNLHN-SNVBAGLBSA-N -1 1 333.348 -0.859 20 0 EBADMM COCCn1ncc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c1C ZINC000968659977 725097605 /nfs/dbraw/zinc/09/76/05/725097605.db2.gz OJTBSGNJWJICJO-LLVKDONJSA-N -1 1 349.395 -0.334 20 0 EBADMM Cc1nc[nH]c1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000968730717 725110131 /nfs/dbraw/zinc/11/01/31/725110131.db2.gz QRLZJMPZZYARAS-KOLCDFICSA-N -1 1 331.332 -0.566 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2cn[nH]n2)CCCO1)c1ncccc1[O-] ZINC001019572274 728925098 /nfs/dbraw/zinc/92/50/98/728925098.db2.gz VHHQGWDKMKUKRL-SNVBAGLBSA-N -1 1 346.347 -0.434 20 0 EBADMM Cc1cncn1CC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000968949272 725132847 /nfs/dbraw/zinc/13/28/47/725132847.db2.gz KQCHDFRYCWTSTA-YPMHNXCESA-N -1 1 345.359 -0.706 20 0 EBADMM Cc1c(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)nnn1C(C)C ZINC001019576480 728926314 /nfs/dbraw/zinc/92/63/14/728926314.db2.gz YDDOPCOOXFQRMX-JTQLQIEISA-N -1 1 334.384 -0.005 20 0 EBADMM Cc1cc(=O)c(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cn1C ZINC000969005877 725140959 /nfs/dbraw/zinc/14/09/59/725140959.db2.gz LQIMQABWBPIIFA-SNVBAGLBSA-N -1 1 332.364 -0.478 20 0 EBADMM NC(=O)C(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000969047756 725144959 /nfs/dbraw/zinc/14/49/59/725144959.db2.gz YUWSVJRWEFSCEU-AOOOYVTPSA-N -1 1 345.359 -0.640 20 0 EBADMM O=C([C@@H]1CCCn2nccc21)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969129365 725154012 /nfs/dbraw/zinc/15/40/12/725154012.db2.gz KPYFEXQFDDEBLR-GHMZBOCLSA-N -1 1 331.380 -0.025 20 0 EBADMM Cc1ncoc1CN[C@@H]1CCN(C(=O)CCc2n[nH]c(=O)[n-]c2=O)C1 ZINC000969184786 725159339 /nfs/dbraw/zinc/15/93/39/725159339.db2.gz VTXRNSWHQCYXHK-SNVBAGLBSA-N -1 1 348.363 -0.097 20 0 EBADMM CCc1nc([C@@H](C)N[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC000969210235 725161811 /nfs/dbraw/zinc/16/18/11/725161811.db2.gz PGWAGTJSVGXXFY-HTQZYQBOSA-N -1 1 348.367 -0.471 20 0 EBADMM Cc1cc(CN[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)nn1C ZINC000969211970 725162075 /nfs/dbraw/zinc/16/20/75/725162075.db2.gz PQDPHNVAZUXQLK-SECBINFHSA-N -1 1 333.352 -0.671 20 0 EBADMM COc1coc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC000969343443 725172299 /nfs/dbraw/zinc/17/22/99/725172299.db2.gz KXDBKMFSVPHMCL-MRVPVSSYSA-N -1 1 335.320 -0.524 20 0 EBADMM Cc1[nH]nc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC000969404795 725176776 /nfs/dbraw/zinc/17/67/76/725176776.db2.gz RJDVIYFZFSQMRZ-JTQLQIEISA-N -1 1 333.396 -0.301 20 0 EBADMM Cc1[nH]nc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC000969404796 725176809 /nfs/dbraw/zinc/17/68/09/725176809.db2.gz RJDVIYFZFSQMRZ-SNVBAGLBSA-N -1 1 333.396 -0.301 20 0 EBADMM C[C@H](NC(=O)c1cncc(F)c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969487440 725185313 /nfs/dbraw/zinc/18/53/13/725185313.db2.gz OSRYVUFTSSMHFX-VIFPVBQESA-N -1 1 334.355 -0.107 20 0 EBADMM CCc1nc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)co1 ZINC000969517148 725187361 /nfs/dbraw/zinc/18/73/61/725187361.db2.gz ICTQCYUYDSSUOV-VIFPVBQESA-N -1 1 334.380 -0.091 20 0 EBADMM Cc1nocc1CN[C@@H]1CCN(C(=O)c2cnc([O-])n(C)c2=O)C1 ZINC000969552063 725192203 /nfs/dbraw/zinc/19/22/03/725192203.db2.gz FOVITVRISJYYHT-LLVKDONJSA-N -1 1 333.348 -0.213 20 0 EBADMM C[C@H](NC(=O)[C@@H]1CCCOCC1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969585248 725194897 /nfs/dbraw/zinc/19/48/97/725194897.db2.gz RWEMZUNKHBJBGX-NWDGAFQWSA-N -1 1 337.424 -0.138 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)[C@]1(F)CCOC1 ZINC000969950587 725231498 /nfs/dbraw/zinc/23/14/98/725231498.db2.gz WLANTKOFCVLBAF-VPJDZLOFSA-N -1 1 339.323 -0.783 20 0 EBADMM C[C@@]1(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)CCCOC1 ZINC000970116058 725252361 /nfs/dbraw/zinc/25/23/61/725252361.db2.gz BVHBGEDMTAZYBD-BTJLNZGRSA-N -1 1 349.387 -0.095 20 0 EBADMM CCCN1C[C@@H](C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CC1=O ZINC000970124546 725253785 /nfs/dbraw/zinc/25/37/85/725253785.db2.gz VJTSPLQTFOPONJ-WDEREUQCSA-N -1 1 336.396 -0.541 20 0 EBADMM Cn1ccc(CN[C@@H]2CCN(C(=O)c3c[n-]n4c3nccc4=O)C2)n1 ZINC000970197939 725261081 /nfs/dbraw/zinc/26/10/81/725261081.db2.gz BVMOVJNCULQYOA-GFCCVEGCSA-N -1 1 341.375 -0.240 20 0 EBADMM CC(=O)NCc1ccc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)o1 ZINC000970280566 725272852 /nfs/dbraw/zinc/27/28/52/725272852.db2.gz MVYVTAIVKCAQCI-SNVBAGLBSA-N -1 1 348.363 -0.256 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970312861 725276650 /nfs/dbraw/zinc/27/66/50/725276650.db2.gz MMNFDPWQWRXUGK-QMMMGPOBSA-N -1 1 347.379 -0.837 20 0 EBADMM C[C@H](NC(=O)c1cc(C(N)=O)co1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970407717 725287228 /nfs/dbraw/zinc/28/72/28/725287228.db2.gz NWULUGMVNNYJAA-QMMMGPOBSA-N -1 1 348.363 -0.949 20 0 EBADMM CC(=O)N1CC[C@@](C)(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC000970430175 725290433 /nfs/dbraw/zinc/29/04/33/725290433.db2.gz QNYHEWLBPPJRNQ-IAQYHMDHSA-N -1 1 336.396 -0.541 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CC[C@@H](NCc2nncs2)C1 ZINC000970475734 725294682 /nfs/dbraw/zinc/29/46/82/725294682.db2.gz WWLOXIXMLJPHGB-MRVPVSSYSA-N -1 1 346.376 -0.727 20 0 EBADMM Cc1ccc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c(=O)[nH]1 ZINC000970492366 725295739 /nfs/dbraw/zinc/29/57/39/725295739.db2.gz HXRPXDHGKDWNJS-SNVBAGLBSA-N -1 1 346.391 -0.232 20 0 EBADMM CCc1oncc1C(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970515707 725296945 /nfs/dbraw/zinc/29/69/45/725296945.db2.gz KLGNMSQLOSXKCV-SECBINFHSA-N -1 1 334.380 -0.091 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1F)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970650644 725311369 /nfs/dbraw/zinc/31/13/69/725311369.db2.gz WPCNYBJJSLEMIV-SECBINFHSA-N -1 1 334.355 -0.107 20 0 EBADMM CCCn1cc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC000970663224 725313384 /nfs/dbraw/zinc/31/33/84/725313384.db2.gz QTANOPUROFTQMF-JTQLQIEISA-N -1 1 348.411 -0.640 20 0 EBADMM C[C@@H](NC(=O)c1c[nH]nc1C1CC1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970674870 725315365 /nfs/dbraw/zinc/31/53/65/725315365.db2.gz AQXCVOBYRSMFMP-SECBINFHSA-N -1 1 345.407 -0.041 20 0 EBADMM CCCC(=O)N1C[C@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@H]21 ZINC000971095813 725351035 /nfs/dbraw/zinc/35/10/35/725351035.db2.gz QATZTZFFRFPATA-CHWSQXEVSA-N -1 1 348.403 -0.214 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC[C@@H](N(C)[C@@H]3CCC(=O)NC3=O)C2)c1[O-] ZINC000971365057 725360683 /nfs/dbraw/zinc/36/06/83/725360683.db2.gz BBAXKXDRWVSPAE-NXEZZACHSA-N -1 1 335.364 -0.625 20 0 EBADMM C[C@@H](C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1)c1ccnn1C ZINC000971556079 725420988 /nfs/dbraw/zinc/42/09/88/725420988.db2.gz NJFXQVZZKGIWDQ-VXGBXAGGSA-N -1 1 347.423 -0.322 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)c2cn3c(n2)CCC3)C1 ZINC000971559042 725421346 /nfs/dbraw/zinc/42/13/46/725421346.db2.gz QMVDKQYVOVWSEP-LLVKDONJSA-N -1 1 345.407 -0.402 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@H]2CCN(C(=O)[C@H]3CCC(=O)N3)C[C@H]21 ZINC000971897615 725446852 /nfs/dbraw/zinc/44/68/52/725446852.db2.gz MTMXYTVCSYGFQM-IJLUTSLNSA-N -1 1 344.371 -0.261 20 0 EBADMM O=C(c1cn[nH]n1)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000971902854 725447252 /nfs/dbraw/zinc/44/72/52/725447252.db2.gz WROPHOJGFNQTRD-MWLCHTKSSA-N -1 1 328.332 -0.108 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)Cc2ccc(=O)[nH]c2)C1 ZINC000971958128 725451102 /nfs/dbraw/zinc/45/11/02/725451102.db2.gz RREFZUUPBRYZAZ-LBPRGKRZSA-N -1 1 346.391 -0.516 20 0 EBADMM O=C(N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1)C1(F)CCOCC1 ZINC001051648112 735290045 /nfs/dbraw/zinc/29/00/45/735290045.db2.gz HVVJVHHYFHMYGM-SNVBAGLBSA-N -1 1 343.359 -0.654 20 0 EBADMM Cc1nc[nH]c1C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000991596290 725469703 /nfs/dbraw/zinc/46/97/03/725469703.db2.gz UFDFEQCZJSEVEY-PWSUYJOCSA-N -1 1 345.359 -0.176 20 0 EBADMM Cn1[n-]c(CN2CCO[C@]3(CCN(C(=O)C4CCC4)C3)C2)nc1=O ZINC000972206649 725478725 /nfs/dbraw/zinc/47/87/25/725478725.db2.gz HFCISRTUACDGQV-MRXNPFEDSA-N -1 1 335.408 -0.288 20 0 EBADMM CC[C@@H](C)C(=O)N1CC[C@@]2(C1)CN(Cc1nc(=O)n(C)[n-]1)CCO2 ZINC000972269702 725487874 /nfs/dbraw/zinc/48/78/74/725487874.db2.gz QMEPPKMAXJBWEW-WBMJQRKESA-N -1 1 337.424 -0.042 20 0 EBADMM CNC(=O)[C@@H](C)N1CCO[C@@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000972355123 725505399 /nfs/dbraw/zinc/50/53/99/725505399.db2.gz JAQBPYFOBLDACP-PXAZEXFGSA-N -1 1 348.403 -0.161 20 0 EBADMM O=C(Cn1cccn1)N1CC(NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000991654884 725516760 /nfs/dbraw/zinc/51/67/60/725516760.db2.gz SUWAUVARIQTFNC-UHFFFAOYSA-N -1 1 342.359 -0.103 20 0 EBADMM O=C(NC1CN(C(=O)[C@@H]2COC(=O)N2)C1)c1cnc(C2CC2)[n-]c1=O ZINC000991656754 725517885 /nfs/dbraw/zinc/51/78/85/725517885.db2.gz XKJICOBPQQKSRI-JTQLQIEISA-N -1 1 347.331 -0.891 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@]2(C1)CN(Cc1nc(=O)n(C)[n-]1)CCO2 ZINC000972501915 725536741 /nfs/dbraw/zinc/53/67/41/725536741.db2.gz JENDQMQFMVVBEE-XHBSWPGZSA-N -1 1 335.408 -0.432 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000972559402 725549437 /nfs/dbraw/zinc/54/94/37/725549437.db2.gz BHYAWOCQSQZNBF-NSHDSACASA-N -1 1 333.396 -0.205 20 0 EBADMM Cc1ncc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)c(C)n1 ZINC000972565131 725550691 /nfs/dbraw/zinc/55/06/91/725550691.db2.gz JDSIJUIJYKOPAT-LBPRGKRZSA-N -1 1 345.407 -0.138 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@]3(CCN(C(=O)[C@@H]4CC45CC5)C3)C2)nc1=O ZINC000972572009 725552246 /nfs/dbraw/zinc/55/22/46/725552246.db2.gz MUAPJNVOGFVVGO-SJCJKPOMSA-N -1 1 347.419 -0.288 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)c1cccnn1 ZINC000991713887 725566053 /nfs/dbraw/zinc/56/60/53/725566053.db2.gz YTHQMWGIXLIKFS-ZWNOBZJWSA-N -1 1 343.343 -0.417 20 0 EBADMM Cc1nonc1CC(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000972649030 725570042 /nfs/dbraw/zinc/57/00/42/725570042.db2.gz SUZRDEOUGNBNGD-JTQLQIEISA-N -1 1 335.368 -0.925 20 0 EBADMM CCn1cc(CC(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC000972704740 725585732 /nfs/dbraw/zinc/58/57/32/725585732.db2.gz UKWVIGISSUQJDD-ZDUSSCGKSA-N -1 1 347.423 -0.400 20 0 EBADMM CN([C@@H]1CCNC1=O)[C@H]1CCN(C(=O)c2ccc3oc(=O)nc-3[n-]2)C1 ZINC000972722400 725588099 /nfs/dbraw/zinc/58/80/99/725588099.db2.gz WJNBMNZQFORKRN-GXSJLCMTSA-N -1 1 345.359 -0.037 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)c3cnccn3)C(C)(C)C2)nc1=O ZINC000972765590 725591426 /nfs/dbraw/zinc/59/14/26/725591426.db2.gz NQHLBWPDCHLLCZ-LLVKDONJSA-N -1 1 331.380 -0.461 20 0 EBADMM CC(F)(F)C(=O)N[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000972891994 725600057 /nfs/dbraw/zinc/60/00/57/725600057.db2.gz YAHCBRYGXARZHP-LJGSYFOKSA-N -1 1 340.290 -0.550 20 0 EBADMM C[C@H]1C[C@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000972920967 725603004 /nfs/dbraw/zinc/60/30/04/725603004.db2.gz AWKTUWFVFQYYQI-AATLWQCWSA-N -1 1 330.348 -0.549 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)ncn1 ZINC000973053381 725624976 /nfs/dbraw/zinc/62/49/76/725624976.db2.gz YUOOGSSZDIASSL-LBPRGKRZSA-N -1 1 345.407 -0.153 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)c1ccnnc1 ZINC000991817373 725644692 /nfs/dbraw/zinc/64/46/92/725644692.db2.gz FEJXLJYHEYXXMU-DGCLKSJQSA-N -1 1 343.343 -0.417 20 0 EBADMM O=C(C[C@@H]1CC(=O)NC1=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973197279 725667110 /nfs/dbraw/zinc/66/71/10/725667110.db2.gz AVFCPDUKEIEMRQ-OPRDCNLKSA-N -1 1 346.343 -0.783 20 0 EBADMM C[C@@]1(C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)CCNC1=O ZINC000973197691 725667333 /nfs/dbraw/zinc/66/73/33/725667333.db2.gz OFVWFBWRMXLVSF-XIZWVBILSA-N -1 1 332.360 -0.310 20 0 EBADMM O=C(N[C@H]1C[C@H](NC(=O)[C@H]2CCCNC2=O)C1)c1ncccc1[O-] ZINC000973197502 725667411 /nfs/dbraw/zinc/66/74/11/725667411.db2.gz LTEKJZHPSUAWMY-DCAQKATOSA-N -1 1 332.360 -0.310 20 0 EBADMM O=C(N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)C1CC(=O)NC(=O)C1 ZINC000973197341 725667441 /nfs/dbraw/zinc/66/74/41/725667441.db2.gz DYXGLCJTKLTHQO-MGCOHNPYSA-N -1 1 346.343 -0.783 20 0 EBADMM O=C(C[C@H]1CCNC1=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973197527 725667600 /nfs/dbraw/zinc/66/76/00/725667600.db2.gz MELWOJLNUDYKDL-GMTAPVOTSA-N -1 1 332.360 -0.310 20 0 EBADMM O=C(N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)[C@H]1CCCC(=O)N1 ZINC000973197655 725667620 /nfs/dbraw/zinc/66/76/20/725667620.db2.gz NPOZPYTTWCAVNC-GMTAPVOTSA-N -1 1 332.360 -0.167 20 0 EBADMM NC(=O)[C@@H]1CC[C@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973198855 725667888 /nfs/dbraw/zinc/66/78/88/725667888.db2.gz LDFHCFZTYNCRCJ-GWOFURMSSA-N -1 1 332.360 -0.324 20 0 EBADMM NC(=O)[C@@H]1CC[C@H](C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)O1 ZINC000973198150 725667911 /nfs/dbraw/zinc/66/79/11/725667911.db2.gz VZRQHNOGKXYRJZ-FSZOTQKASA-N -1 1 348.359 -0.803 20 0 EBADMM O=C(NCC1CC(NC(=O)[C@@H]2CCC(=O)NC2)C1)c1ncccc1[O-] ZINC000992052913 725710274 /nfs/dbraw/zinc/71/02/74/725710274.db2.gz LSKBHPMUBWWYSG-MOENNCHZSA-N -1 1 346.387 -0.062 20 0 EBADMM C[C@@]1(C(=O)NC2CC(CNC(=O)c3ncccc3[O-])C2)CCNC1=O ZINC000992055844 725711260 /nfs/dbraw/zinc/71/12/60/725711260.db2.gz MWXUSIKRZDJZSL-NQMJSUOBSA-N -1 1 346.387 -0.062 20 0 EBADMM CC(=O)N1CC(C(=O)NCC2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC000992065791 725714599 /nfs/dbraw/zinc/71/45/99/725714599.db2.gz JWONLDIYEIBAIR-UHFFFAOYSA-N -1 1 346.387 -0.110 20 0 EBADMM NC(=O)C(=O)N1CCC[C@@H]2CN(C(=O)c3ncccc3[O-])CC[C@@H]21 ZINC000973752120 725798293 /nfs/dbraw/zinc/79/82/93/725798293.db2.gz FYXKAKXOMDROJG-MNOVXSKESA-N -1 1 332.360 -0.274 20 0 EBADMM CC(=O)N1CC[C@@H]2[C@H](CCCN2C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000973752709 725798674 /nfs/dbraw/zinc/79/86/74/725798674.db2.gz YEEZZTRFTVOUPH-DGCLKSJQSA-N -1 1 344.375 -0.109 20 0 EBADMM O=C([C@H]1CCC1(F)F)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051676615 735318321 /nfs/dbraw/zinc/31/83/21/735318321.db2.gz BFWXFBYSECKVDI-DTWKUNHWSA-N -1 1 331.323 -0.127 20 0 EBADMM O=C([C@@H]1CCC1(F)F)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051676616 735318333 /nfs/dbraw/zinc/31/83/33/735318333.db2.gz BFWXFBYSECKVDI-IUCAKERBSA-N -1 1 331.323 -0.127 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)Cc2ccc[nH]2)C1 ZINC000992618326 725820171 /nfs/dbraw/zinc/82/01/71/725820171.db2.gz VSVWHWVXUCKACT-UHFFFAOYSA-N -1 1 347.331 -0.592 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1ccns1 ZINC000992894251 725844491 /nfs/dbraw/zinc/84/44/91/725844491.db2.gz LKIWUCMYQISEMW-UHFFFAOYSA-N -1 1 345.344 -0.872 20 0 EBADMM C[C@H]1[C@@H](NC(=O)Cn2cccn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993007643 725850037 /nfs/dbraw/zinc/85/00/37/725850037.db2.gz GLETUKRKXHXKPV-RYUDHWBXSA-N -1 1 333.396 -0.526 20 0 EBADMM Cc1cnn(CC(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)c1 ZINC000993084281 725854047 /nfs/dbraw/zinc/85/40/47/725854047.db2.gz WCQFZCQXIFLZAZ-STQMWFEESA-N -1 1 347.423 -0.217 20 0 EBADMM CC(=O)N1C[C@@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@]2(C)C1 ZINC000993133644 725857280 /nfs/dbraw/zinc/85/72/80/725857280.db2.gz ZSQOAVWJJGHFEC-WBMJQRKESA-N -1 1 334.376 -0.747 20 0 EBADMM O=C(CN1CCCNC1=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974055892 725858838 /nfs/dbraw/zinc/85/88/38/725858838.db2.gz BSMIITPRDZHZNF-PHIMTYICSA-N -1 1 347.375 -0.421 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2nccnc2N)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993167931 725859499 /nfs/dbraw/zinc/85/94/99/725859499.db2.gz FRVSETKESZAXGX-ZJUUUORDSA-N -1 1 346.395 -0.737 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2cn(C)cn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993193963 725861046 /nfs/dbraw/zinc/86/10/46/725861046.db2.gz CCWKLWQCJQBWGN-WDEREUQCSA-N -1 1 333.396 -0.375 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)ncn1 ZINC000993212259 725862930 /nfs/dbraw/zinc/86/29/30/725862930.db2.gz YOGMAWHTQWTHDU-NWDGAFQWSA-N -1 1 345.407 -0.010 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)cnn1 ZINC000993264022 725866691 /nfs/dbraw/zinc/86/66/91/725866691.db2.gz WLRXUMKXBKOGEJ-DGCLKSJQSA-N -1 1 345.407 -0.010 20 0 EBADMM CCCc1n[nH]cc1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051695005 735330206 /nfs/dbraw/zinc/33/02/06/735330206.db2.gz COTPCHWXWSQPNR-JTQLQIEISA-N -1 1 349.395 -0.183 20 0 EBADMM C[C@H]1[C@@H](NC(=O)C2=NC(=O)N(C)C2)CCCN1Cc1n[nH]c(=O)[n-]1 ZINC000993788763 725942402 /nfs/dbraw/zinc/94/24/02/725942402.db2.gz LCQJLOFHKVLRDB-IUCAKERBSA-N -1 1 335.368 -0.268 20 0 EBADMM Cc1ccnn1CC(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1C ZINC000993887597 725957884 /nfs/dbraw/zinc/95/78/84/725957884.db2.gz CNXRPSITJZORRK-STQMWFEESA-N -1 1 347.423 -0.217 20 0 EBADMM CN1C[C@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)CCC1=O ZINC000993908428 725960793 /nfs/dbraw/zinc/96/07/93/725960793.db2.gz GLYQIYCHDRNDNA-SNVBAGLBSA-N -1 1 332.360 -0.404 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ccc(=O)[nH]c2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000994324784 725996111 /nfs/dbraw/zinc/99/61/11/725996111.db2.gz BXKXFUBWZXIWNO-PWSUYJOCSA-N -1 1 346.391 -0.008 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)[C@@H]1CCC(=O)N1 ZINC000994383105 725998677 /nfs/dbraw/zinc/99/86/77/725998677.db2.gz GEJQJRHZVRIFLP-UTUOFQBUSA-N -1 1 346.387 -0.110 20 0 EBADMM Cc1nc[nH]c1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1C ZINC000994553529 726031722 /nfs/dbraw/zinc/03/17/22/726031722.db2.gz ZWMAARAGRXPWCA-WDEREUQCSA-N -1 1 333.396 -0.077 20 0 EBADMM Cn1nccc1CC(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000974502342 726033639 /nfs/dbraw/zinc/03/36/39/726033639.db2.gz ZOAYVBKNEZQATN-LBPRGKRZSA-N -1 1 347.423 -0.589 20 0 EBADMM CCn1nccc1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000974507815 726034265 /nfs/dbraw/zinc/03/42/65/726034265.db2.gz TTYJCPHQMVWVFB-LBPRGKRZSA-N -1 1 347.423 -0.035 20 0 EBADMM COc1cc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)ccn1 ZINC001051695467 735330430 /nfs/dbraw/zinc/33/04/30/735330430.db2.gz HOHSWZBUJIXXPW-NSHDSACASA-N -1 1 348.363 -0.455 20 0 EBADMM Cc1ncc(CC(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)o1 ZINC000974663501 726049681 /nfs/dbraw/zinc/04/96/81/726049681.db2.gz CXWODOANJNHKJT-LBPRGKRZSA-N -1 1 348.407 -0.026 20 0 EBADMM COCC(=O)N1CC(n2cc(CNC(=O)c3ncccc3[O-])nn2)C1 ZINC000994699544 726052476 /nfs/dbraw/zinc/05/24/76/726052476.db2.gz AASHXUYWEAKXEY-UHFFFAOYSA-N -1 1 346.347 -0.662 20 0 EBADMM Cc1n[nH]cc1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000974882338 726077390 /nfs/dbraw/zinc/07/73/90/726077390.db2.gz ZPVSRTFMBDZLBR-NSHDSACASA-N -1 1 333.396 -0.220 20 0 EBADMM Cc1cnn(C)c1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000974936767 726084058 /nfs/dbraw/zinc/08/40/58/726084058.db2.gz QPKKOPAJWXLTNW-NSHDSACASA-N -1 1 347.423 -0.209 20 0 EBADMM CCn1ccc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)n1 ZINC000975107575 726100327 /nfs/dbraw/zinc/10/03/27/726100327.db2.gz HFMPCMOLYCAQBW-LBPRGKRZSA-N -1 1 347.423 -0.035 20 0 EBADMM O=C(N[C@H]1CC[C@H](CNCc2ccon2)C1)c1n[nH]c(=O)[n-]c1=O ZINC000995003179 726140722 /nfs/dbraw/zinc/14/07/22/726140722.db2.gz AXOXEPVARWLOSJ-IUCAKERBSA-N -1 1 334.336 -0.041 20 0 EBADMM O=C(N[C@H]1CC[C@@H](CNCc2ccon2)C1)c1n[nH]c(=O)[n-]c1=O ZINC000995003176 726140762 /nfs/dbraw/zinc/14/07/62/726140762.db2.gz AXOXEPVARWLOSJ-BDAKNGLRSA-N -1 1 334.336 -0.041 20 0 EBADMM Cc1nnc(CNC[C@@H]2CC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC000995007785 726142132 /nfs/dbraw/zinc/14/21/32/726142132.db2.gz QAXDXOCYKWRDNL-BDAKNGLRSA-N -1 1 348.367 -0.602 20 0 EBADMM O=C(N[C@@H]1CC[C@H](CNCc2cnon2)C1)c1n[nH]c(=O)[n-]c1=O ZINC000995011023 726142860 /nfs/dbraw/zinc/14/28/60/726142860.db2.gz YFVUADPMCJYELL-JGVFFNPUSA-N -1 1 335.324 -0.646 20 0 EBADMM O=C(CCc1cncnc1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051715718 735349239 /nfs/dbraw/zinc/34/92/39/735349239.db2.gz RDUWFCVREAZNLF-LBPRGKRZSA-N -1 1 347.379 -0.750 20 0 EBADMM O=C(CCc1cncnc1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051715717 735349276 /nfs/dbraw/zinc/34/92/76/735349276.db2.gz RDUWFCVREAZNLF-GFCCVEGCSA-N -1 1 347.379 -0.750 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@@H]1C ZINC000976091279 726200600 /nfs/dbraw/zinc/20/06/00/726200600.db2.gz AIUNBISOWZSSDE-SQXHDICFSA-N -1 1 348.359 -0.261 20 0 EBADMM C[C@H]1C[C@H]1C(=O)N[C@@H]1[C@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]21 ZINC000976100126 726201190 /nfs/dbraw/zinc/20/11/90/726201190.db2.gz RIMHKYSGNBRWSP-TURJHENQSA-N -1 1 342.359 -0.740 20 0 EBADMM CC1(C)CN(C(=O)[C@H]2COCCO2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995503585 726263135 /nfs/dbraw/zinc/26/31/35/726263135.db2.gz MZDBHMICUJJBRC-NXEZZACHSA-N -1 1 325.369 -0.748 20 0 EBADMM C[C@H](C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-])n1cncn1 ZINC000976395471 726291269 /nfs/dbraw/zinc/29/12/69/726291269.db2.gz CSHQFYNQNDCWCE-DCQANWLSSA-N -1 1 342.359 -0.174 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)[C@H]3COCCO3)C[C@H]21)c1ncccc1[O-] ZINC000976404872 726294574 /nfs/dbraw/zinc/29/45/74/726294574.db2.gz QTVARRULZGOQRS-DNIRFERGSA-N -1 1 333.344 -0.611 20 0 EBADMM CC1(C)CN(C(=O)[C@@H]2CCC(=O)NC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995994116 726321882 /nfs/dbraw/zinc/32/18/82/726321882.db2.gz OSNAWGHVFUNAHW-ZJUUUORDSA-N -1 1 336.396 -0.637 20 0 EBADMM CC1(C)CN(C(=O)[C@@H]2CCC(=O)NC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995994091 726322101 /nfs/dbraw/zinc/32/21/01/726322101.db2.gz OSNAWGHVFUNAHW-NXEZZACHSA-N -1 1 336.396 -0.637 20 0 EBADMM Cn1ccnc1CN[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC1(C)C ZINC000996007570 726323446 /nfs/dbraw/zinc/32/34/46/726323446.db2.gz KCGDRAHZTBMZJT-VIFPVBQESA-N -1 1 347.379 -0.343 20 0 EBADMM COc1nccc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)n1 ZINC000996041672 726325319 /nfs/dbraw/zinc/32/53/19/726325319.db2.gz PJWBYTKNUGZTST-JTQLQIEISA-N -1 1 347.379 -0.051 20 0 EBADMM COc1nn(C)cc1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000996125611 726335906 /nfs/dbraw/zinc/33/59/06/726335906.db2.gz KQGDDOSZSLVRQY-JTQLQIEISA-N -1 1 349.395 -0.107 20 0 EBADMM Cn1cc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)[nH]c1=O ZINC000996228074 726348720 /nfs/dbraw/zinc/34/87/20/726348720.db2.gz NRSDBIQGPGPBAZ-VIFPVBQESA-N -1 1 335.368 -0.410 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)C[C@@H]2C[C@@H]2C)C1 ZINC000996396083 726384823 /nfs/dbraw/zinc/38/48/23/726384823.db2.gz GQUBLAQURVPNIP-YUMQZZPRSA-N -1 1 336.348 -0.117 20 0 EBADMM Cc1cocc1C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996403929 726387981 /nfs/dbraw/zinc/38/79/81/726387981.db2.gz CXXNWMQGLMIOTI-UHFFFAOYSA-N -1 1 342.315 -0.427 20 0 EBADMM C[C@H]1CC[C@H](C(=O)N2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)C1 ZINC000996403941 726388103 /nfs/dbraw/zinc/38/81/03/726388103.db2.gz DFZJUCMQYJEUKZ-UWVGGRQHSA-N -1 1 344.375 -0.206 20 0 EBADMM C/C(=C\C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC000996405528 726388197 /nfs/dbraw/zinc/38/81/97/726388197.db2.gz JACVCLOIERBELP-RUDMXATFSA-N -1 1 342.359 -0.286 20 0 EBADMM C[C@@H](CC(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC000996405017 726388249 /nfs/dbraw/zinc/38/82/49/726388249.db2.gz IKPPLZHMNVJEHH-VIFPVBQESA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(NC1CN(C(=O)C2(CF)CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996404506 726388396 /nfs/dbraw/zinc/38/83/96/726388396.db2.gz GIICYPJWFOFYDP-UHFFFAOYSA-N -1 1 334.311 -0.892 20 0 EBADMM O=C(NC1CN(C(=O)[C@H]2CC23CC3)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996410151 726389143 /nfs/dbraw/zinc/38/91/43/726389143.db2.gz ZPGIYDADEQAQTO-SECBINFHSA-N -1 1 328.332 -0.842 20 0 EBADMM O=C(NC1CN(C(=O)c2ccc[nH]2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996409040 726389318 /nfs/dbraw/zinc/38/93/18/726389318.db2.gz SSBDLGVUGHEMDG-UHFFFAOYSA-N -1 1 327.304 -1.000 20 0 EBADMM Cn1ccnc1CN[C@@H]1CN(C(=O)Cn2c(=O)[n-][nH]c2=O)CC1(C)C ZINC000996561772 726418503 /nfs/dbraw/zinc/41/85/03/726418503.db2.gz JGJXMFLJNAHGLB-SNVBAGLBSA-N -1 1 349.395 -0.550 20 0 EBADMM Cn1cc(CNC2CCN(C(=O)c3cnc([O-])n(C)c3=O)CC2)nn1 ZINC000996874473 726431173 /nfs/dbraw/zinc/43/11/73/726431173.db2.gz NWIBUKKNUCKGME-UHFFFAOYSA-N -1 1 347.379 -0.991 20 0 EBADMM CC1(C(=O)NC2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)CCC1 ZINC000997473587 726454824 /nfs/dbraw/zinc/45/48/24/726454824.db2.gz WSAIMUYUXVINGA-UHFFFAOYSA-N -1 1 334.376 -0.556 20 0 EBADMM CC(=O)N1CC[C@@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000997665913 726459133 /nfs/dbraw/zinc/45/91/33/726459133.db2.gz ZGJJILGIOKIOIU-LLVKDONJSA-N -1 1 332.360 -0.404 20 0 EBADMM CN1C(=O)CCC[C@@H]1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000997710443 726460891 /nfs/dbraw/zinc/46/08/91/726460891.db2.gz JNPACEPKWWHGAC-LLVKDONJSA-N -1 1 332.360 -0.261 20 0 EBADMM CC1(C)CC[C@@H]1C(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000997925110 726469949 /nfs/dbraw/zinc/46/99/49/726469949.db2.gz XBGUOEPNISHQPF-SNVBAGLBSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1nccs1 ZINC000998306342 726484235 /nfs/dbraw/zinc/48/42/35/726484235.db2.gz QVBNSCYUOYDXRA-UHFFFAOYSA-N -1 1 345.344 -0.872 20 0 EBADMM CC(C)[C@H]1C[C@H]1C(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000998838298 726499433 /nfs/dbraw/zinc/49/94/33/726499433.db2.gz SGGRWXGXQJLSDO-GHMZBOCLSA-N -1 1 344.375 -0.350 20 0 EBADMM CC[C@@]1(C)C[C@@H]1C(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000998865494 726499800 /nfs/dbraw/zinc/49/98/00/726499800.db2.gz NGDZNAYPMNYGIX-HWPZZCPQSA-N -1 1 344.375 -0.206 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)C[C@H]2C[C@H]2C)C1 ZINC000999090013 726507459 /nfs/dbraw/zinc/50/74/59/726507459.db2.gz CKYDHGWTUVXKEL-HTQZYQBOSA-N -1 1 336.348 -0.117 20 0 EBADMM O=C(C[C@@H]1CCC(=O)NC1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999338477 726514961 /nfs/dbraw/zinc/51/49/61/726514961.db2.gz HCYXTJFCQCKIGA-WDEREUQCSA-N -1 1 336.396 -0.493 20 0 EBADMM Cc1cc(=O)c(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)cn1C ZINC000999450294 726519921 /nfs/dbraw/zinc/51/99/21/726519921.db2.gz UXNZTVDFCUUETP-NSHDSACASA-N -1 1 346.391 -0.088 20 0 EBADMM Cc1oncc1CN[C@@H]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000999609292 726524657 /nfs/dbraw/zinc/52/46/57/726524657.db2.gz KUUVWANLRGYBNE-SNVBAGLBSA-N -1 1 334.336 -0.026 20 0 EBADMM O=C(c1ccnc2n[nH]nc21)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999672618 726527064 /nfs/dbraw/zinc/52/70/64/726527064.db2.gz GAOZFTYUNQPBEA-MRVPVSSYSA-N -1 1 343.351 -0.429 20 0 EBADMM C[C@@H](C(=O)NC1CN(C(=O)c2ncccc2[O-])C1)[C@H]1CCC(=O)N1 ZINC001000348676 726564729 /nfs/dbraw/zinc/56/47/29/726564729.db2.gz VJUDZIHLODQUPP-MWLCHTKSSA-N -1 1 332.360 -0.358 20 0 EBADMM C[C@H]1CO[C@@H](C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)C1 ZINC001000967251 726585469 /nfs/dbraw/zinc/58/54/69/726585469.db2.gz GKEJCVXJUQAMQO-DGCLKSJQSA-N -1 1 335.408 -0.218 20 0 EBADMM Cc1nn[nH]c1C(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001001021497 726588152 /nfs/dbraw/zinc/58/81/52/726588152.db2.gz VIZBZAMPDDGVTK-UHFFFAOYSA-N -1 1 332.368 -0.903 20 0 EBADMM O=C(NCC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1=CCCC1 ZINC001001409449 726604998 /nfs/dbraw/zinc/60/49/98/726604998.db2.gz DFSFPNMOPLQDBB-UHFFFAOYSA-N -1 1 342.359 -0.284 20 0 EBADMM CC1(C)C[C@H]1C(=O)NCC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001001464863 726607656 /nfs/dbraw/zinc/60/76/56/726607656.db2.gz CSCYFJQJHUPYSV-LBPRGKRZSA-N -1 1 348.403 -0.453 20 0 EBADMM CN1CCOC[C@H]1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001001492992 726608855 /nfs/dbraw/zinc/60/88/55/726608855.db2.gz GKAQSQPKRHPZQR-LBPRGKRZSA-N -1 1 334.376 -0.694 20 0 EBADMM CC(=O)N1CCC[C@H]1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001542568 726611212 /nfs/dbraw/zinc/61/12/12/726611212.db2.gz WDCOTKXJYGHREI-ZDUSSCGKSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@H](C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1)n1cncn1 ZINC001001541829 726611233 /nfs/dbraw/zinc/61/12/33/726611233.db2.gz PFKUOYMGUUZPPQ-SNVBAGLBSA-N -1 1 330.348 -0.172 20 0 EBADMM O=C(C[C@H]1CCNC1=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001542778 726611244 /nfs/dbraw/zinc/61/12/44/726611244.db2.gz ZNLOFMOOFKQWEP-LLVKDONJSA-N -1 1 332.360 -0.498 20 0 EBADMM O=C(C[C@@H]1CCC(=O)N1)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001541326 726611286 /nfs/dbraw/zinc/61/12/86/726611286.db2.gz LMMMGJPHUWFMAM-NSHDSACASA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(NCC1CN(C(=O)[C@H]2CCCNC2=O)C1)c1ncccc1[O-] ZINC001001547021 726611512 /nfs/dbraw/zinc/61/15/12/726611512.db2.gz XNUICUGTLQFBLP-NSHDSACASA-N -1 1 332.360 -0.498 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)c3csnn3)CC2)nc1=O ZINC001001690418 726616286 /nfs/dbraw/zinc/61/62/86/726616286.db2.gz DYRKRXDQDJWCRZ-UHFFFAOYSA-N -1 1 337.409 -0.398 20 0 EBADMM NC(=O)C(=O)N[C@@H]1c2ccccc2C[C@H]1NC(=O)c1ncccc1[O-] ZINC001001928665 726627398 /nfs/dbraw/zinc/62/73/98/726627398.db2.gz XDPXZZXAEOMZGT-DGCLKSJQSA-N -1 1 340.339 -0.216 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)c3ccncn3)CC2)nc1=O ZINC001001946074 726627852 /nfs/dbraw/zinc/62/78/52/726627852.db2.gz OLOGOLPXYUDWNN-UHFFFAOYSA-N -1 1 331.380 -0.460 20 0 EBADMM CN(C[C@@H]1CCCN1C(=O)Cn1c(=O)[n-][nH]c1=O)C(=O)c1ccn[nH]1 ZINC001002762780 726646634 /nfs/dbraw/zinc/64/66/34/726646634.db2.gz FPTMJYWREJSVLN-VIFPVBQESA-N -1 1 349.351 -0.824 20 0 EBADMM C[C@@H](C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1)c1ccnn1C ZINC001002837090 726650064 /nfs/dbraw/zinc/65/00/64/726650064.db2.gz BLOKZRNOWWNVKA-LLVKDONJSA-N -1 1 347.423 -0.274 20 0 EBADMM CN(C[C@@H]1CCCN1C(=O)C(N)=O)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001002923137 726654514 /nfs/dbraw/zinc/65/45/14/726654514.db2.gz MDXUFYYMTBUUBO-JTQLQIEISA-N -1 1 347.375 -0.392 20 0 EBADMM CCc1c[nH]c(CC(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC001003055680 726660499 /nfs/dbraw/zinc/66/04/99/726660499.db2.gz KBSKEHUIUPESKZ-UHFFFAOYSA-N -1 1 347.423 -0.283 20 0 EBADMM COc1nccc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC001003285740 726667711 /nfs/dbraw/zinc/66/77/11/726667711.db2.gz LCGXTZOHSDCXQH-UHFFFAOYSA-N -1 1 347.379 -0.699 20 0 EBADMM C/C=C(/C)C(=O)N1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001003318729 726669570 /nfs/dbraw/zinc/66/95/70/726669570.db2.gz HWBKXLBNPNKDSH-OQFOIZHKSA-N -1 1 330.348 -0.428 20 0 EBADMM Cc1nc(C)c(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)[nH]1 ZINC001003642432 726683271 /nfs/dbraw/zinc/68/32/71/726683271.db2.gz ABPPGPZMDREGHC-UHFFFAOYSA-N -1 1 333.396 -0.157 20 0 EBADMM CCCn1cc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)nn1 ZINC001003861655 726693028 /nfs/dbraw/zinc/69/30/28/726693028.db2.gz ZHZPBJVAWJNJAJ-UHFFFAOYSA-N -1 1 348.411 -0.496 20 0 EBADMM O=C(NC1CCN(Cc2ccon2)CC1)c1cc(=O)n2[n-]cnc2n1 ZINC001003961327 726696234 /nfs/dbraw/zinc/69/62/34/726696234.db2.gz JPPIXHNYNVZTGV-UHFFFAOYSA-N -1 1 343.347 -0.200 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)Cn3ccccc3=O)CC2)nc1=O ZINC001004121536 726700574 /nfs/dbraw/zinc/70/05/74/726700574.db2.gz VYZFIONGCANSQS-UHFFFAOYSA-N -1 1 346.391 -0.949 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)c1ncn(C)n1 ZINC001004843730 726717828 /nfs/dbraw/zinc/71/78/28/726717828.db2.gz UTHJQKDGNVUPSJ-UWVGGRQHSA-N -1 1 334.384 -0.188 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)c1ncn(C)n1 ZINC001004843729 726717836 /nfs/dbraw/zinc/71/78/36/726717836.db2.gz UTHJQKDGNVUPSJ-NXEZZACHSA-N -1 1 334.384 -0.188 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)c1cnn(C)n1 ZINC001004952821 726720727 /nfs/dbraw/zinc/72/07/27/726720727.db2.gz HBEUQKKVMICJEI-KOLCDFICSA-N -1 1 334.384 -0.188 20 0 EBADMM CN(C(=O)[C@@H]1CCCOC1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005175207 726727860 /nfs/dbraw/zinc/72/78/60/726727860.db2.gz PANUUPQLOPCICV-GFCCVEGCSA-N -1 1 337.424 -0.042 20 0 EBADMM CN(C(=O)c1n[nH]c(=O)[n-]c1=O)C1CCN(Cc2cnn(C)c2)CC1 ZINC001005665088 726738425 /nfs/dbraw/zinc/73/84/25/726738425.db2.gz RYIJDQKIXKXTHT-UHFFFAOYSA-N -1 1 347.379 -0.247 20 0 EBADMM C[C@@H](NC(=O)[C@@H]1COCCO1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005735916 726740312 /nfs/dbraw/zinc/74/03/12/726740312.db2.gz ZTJZCGWZWODCCU-MFKMUULPSA-N -1 1 335.360 -0.221 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CCCNC2=O)C1 ZINC001005956683 726750067 /nfs/dbraw/zinc/75/00/67/726750067.db2.gz MLKLBYOHYHYSES-PWSUYJOCSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@@H](NC(=O)[C@@H]1COC(=O)N1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006003481 726751696 /nfs/dbraw/zinc/75/16/96/726751696.db2.gz AYDAPZVSXPEKPD-SCZZXKLOSA-N -1 1 334.332 -0.528 20 0 EBADMM C[C@H](NC(=O)[C@H]1CC(=O)N(C)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006232636 726758980 /nfs/dbraw/zinc/75/89/80/726758980.db2.gz RLRLLPWHMCQSKO-QWRGUYRKSA-N -1 1 346.387 -0.158 20 0 EBADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)C(F)F)C1 ZINC001006460838 726770183 /nfs/dbraw/zinc/77/01/83/726770183.db2.gz ANVBUUHSXHEIFR-ZCFIWIBFSA-N -1 1 340.290 -0.741 20 0 EBADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)C2(C)CC2)C1 ZINC001006468911 726770370 /nfs/dbraw/zinc/77/03/70/726770370.db2.gz SAIZINSRQPAOIG-SECBINFHSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@@H](NC(=O)[C@H]1CN(C)C(=O)N1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006560116 726773615 /nfs/dbraw/zinc/77/36/15/726773615.db2.gz APJSUHKGUDOGQQ-MWLCHTKSSA-N -1 1 347.375 -0.613 20 0 EBADMM Cc1nnsc1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001006696521 726780476 /nfs/dbraw/zinc/78/04/76/726780476.db2.gz CCIKAHAQBOPGLG-SECBINFHSA-N -1 1 337.409 -0.337 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001006852950 726785212 /nfs/dbraw/zinc/78/52/12/726785212.db2.gz JMHFBRKAUMUOPE-NSHDSACASA-N -1 1 333.396 -0.455 20 0 EBADMM Cn1cc(CC(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001006888080 726786367 /nfs/dbraw/zinc/78/63/67/726786367.db2.gz AAPNRIMWXWOJGV-LBPRGKRZSA-N -1 1 333.396 -0.835 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)c3cccc(=O)[nH]3)C2)nc1=O ZINC001007025002 726788919 /nfs/dbraw/zinc/78/89/19/726788919.db2.gz GUMKPCKMVMVKGM-SNVBAGLBSA-N -1 1 332.364 -0.397 20 0 EBADMM Cn1nccc1CC(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001007226712 726790630 /nfs/dbraw/zinc/79/06/30/726790630.db2.gz MGVQHCXQHIVQDE-LLVKDONJSA-N -1 1 333.396 -0.835 20 0 EBADMM C[C@@H](C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1)c1ccnn1C ZINC001007358158 726792737 /nfs/dbraw/zinc/79/27/37/726792737.db2.gz SJFBVBPISDSCJI-NEPJUHHUSA-N -1 1 347.423 -0.274 20 0 EBADMM Cc1ncn(C)c1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001007539265 726796245 /nfs/dbraw/zinc/79/62/45/726796245.db2.gz YSFSOXWMTLXTHE-NSHDSACASA-N -1 1 333.396 -0.455 20 0 EBADMM Cc1ncc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)c(C)n1 ZINC001008906097 726827636 /nfs/dbraw/zinc/82/76/36/726827636.db2.gz RQUQXLAYBYHXAV-LBPRGKRZSA-N -1 1 345.407 -0.090 20 0 EBADMM CCn1cc(CC(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001009145278 726861516 /nfs/dbraw/zinc/86/15/16/726861516.db2.gz MULVJNFZMLQRJT-ZDUSSCGKSA-N -1 1 347.423 -0.352 20 0 EBADMM Cc1cnn(C)c1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051775306 735386271 /nfs/dbraw/zinc/38/62/71/735386271.db2.gz CBUNEUOLCLGGNR-SNVBAGLBSA-N -1 1 335.368 -0.817 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)Cn3ccccc3=O)C2)nc1=O ZINC001009470857 726921682 /nfs/dbraw/zinc/92/16/82/726921682.db2.gz NJBMUJCRQYMGBL-GFCCVEGCSA-N -1 1 346.391 -0.949 20 0 EBADMM C[C@H](NC(=O)c1cnon1)[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001009687328 726965462 /nfs/dbraw/zinc/96/54/62/726965462.db2.gz XMBKBQIRTGVVDT-JOYOIKCWSA-N -1 1 347.331 -0.170 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCN(C(=O)C2CCC2)CC1 ZINC001009735114 726986760 /nfs/dbraw/zinc/98/67/60/726986760.db2.gz NCWNRRTUMYKDQV-UHFFFAOYSA-N -1 1 330.348 -0.498 20 0 EBADMM CC(C)(F)C(=O)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001009785358 727000334 /nfs/dbraw/zinc/00/03/34/727000334.db2.gz YCOIEMPDOBXXIK-UHFFFAOYSA-N -1 1 336.327 -0.550 20 0 EBADMM Cc1ncccc1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051791994 735398010 /nfs/dbraw/zinc/39/80/10/735398010.db2.gz HNJRDNLBOWCBSD-NSHDSACASA-N -1 1 332.364 -0.155 20 0 EBADMM C[C@H]1C[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)CN1C(=O)C1CC1 ZINC001009905279 727012405 /nfs/dbraw/zinc/01/24/05/727012405.db2.gz JPCMYBXFGGZOPJ-UWVGGRQHSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(c1ccco1)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001009904911 727012465 /nfs/dbraw/zinc/01/24/65/727012465.db2.gz DETOSWSZTPAYCF-UHFFFAOYSA-N -1 1 342.315 -0.391 20 0 EBADMM O=C(CN1CCCC1=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001010255372 727057948 /nfs/dbraw/zinc/05/79/48/727057948.db2.gz MOXYJLBCAULZRE-UHFFFAOYSA-N -1 1 332.360 -0.306 20 0 EBADMM COc1ncccc1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051799765 735403471 /nfs/dbraw/zinc/40/34/71/735403471.db2.gz WSOKQFDKZDHXBG-SNVBAGLBSA-N -1 1 348.363 -0.455 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(C(=O)c2ccc[nH]2)CC1 ZINC001010322622 727072001 /nfs/dbraw/zinc/07/20/01/727072001.db2.gz KMMDBISRCHIFDD-UHFFFAOYSA-N -1 1 345.359 -0.761 20 0 EBADMM CC[C@H](C)C(=O)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001010395485 727084126 /nfs/dbraw/zinc/08/41/26/727084126.db2.gz VWKZJABGAXWSBV-JTQLQIEISA-N -1 1 332.364 -0.252 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCCC2(CN(C(C)=O)C2)C1 ZINC001010439139 727094718 /nfs/dbraw/zinc/09/47/18/727094718.db2.gz SEXLUUYPUKCQLC-UHFFFAOYSA-N -1 1 336.348 -0.019 20 0 EBADMM CN1CCO[C@@H](C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC001010873702 727159873 /nfs/dbraw/zinc/15/98/73/727159873.db2.gz BBGLTLRTVOOSEM-CYBMUJFWSA-N -1 1 334.376 -0.598 20 0 EBADMM C[C@H](C(=O)N1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1)C1CC1 ZINC001010912446 727165892 /nfs/dbraw/zinc/16/58/92/727165892.db2.gz CKXWQFJXWMGGFG-LBPRGKRZSA-N -1 1 348.403 -0.356 20 0 EBADMM CC(=O)N1CC(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC001011035294 727185863 /nfs/dbraw/zinc/18/58/63/727185863.db2.gz CAXHZPUZTORNAL-UHFFFAOYSA-N -1 1 332.360 -0.450 20 0 EBADMM CCn1ncc(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)n1 ZINC001011036090 727186273 /nfs/dbraw/zinc/18/62/73/727186273.db2.gz ZWDFTOCPAXDJNJ-UHFFFAOYSA-N -1 1 330.348 -0.003 20 0 EBADMM C[C@]1(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)CCC(=O)NC1 ZINC001011036392 727186582 /nfs/dbraw/zinc/18/65/82/727186582.db2.gz KGZSONWDTINFRX-KRWDZBQOSA-N -1 1 346.387 -0.012 20 0 EBADMM CC(C)n1ccc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001051853500 735429120 /nfs/dbraw/zinc/42/91/20/735429120.db2.gz LDMMGKLCQOXHHD-LLVKDONJSA-N -1 1 349.395 -0.082 20 0 EBADMM Cc1nc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)c(C)o1 ZINC001051882527 735443744 /nfs/dbraw/zinc/44/37/44/735443744.db2.gz QWYBYXUGGJMHIN-JTQLQIEISA-N -1 1 336.352 -0.254 20 0 EBADMM O=C(c1ncccc1F)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051883147 735444216 /nfs/dbraw/zinc/44/42/16/735444216.db2.gz YOXHRYIRCVLLOR-SECBINFHSA-N -1 1 336.327 -0.325 20 0 EBADMM C[C@]1(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)CCCOC1 ZINC001051887901 735446688 /nfs/dbraw/zinc/44/66/88/735446688.db2.gz LBDYDZSILIKDSW-ABAIWWIYSA-N -1 1 339.396 -0.356 20 0 EBADMM C[C@H]1[C@H](NC(=O)C2CCC2)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001011913670 727602536 /nfs/dbraw/zinc/60/25/36/727602536.db2.gz OEPWXHCKWYUSMV-GXSJLCMTSA-N -1 1 344.375 -0.063 20 0 EBADMM Cc1nc(C(=O)N[C@H]2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)[C@H]2C)c[nH]1 ZINC001011941189 727606508 /nfs/dbraw/zinc/60/65/08/727606508.db2.gz CZOAIMQSUDKDFX-CBAPKCEASA-N -1 1 349.351 -0.860 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)Cn1cncn1 ZINC001012082140 727633241 /nfs/dbraw/zinc/63/32/41/727633241.db2.gz RUGVTFBCEXAJSX-QWRGUYRKSA-N -1 1 330.348 -0.202 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)Cn1cnnn1 ZINC001012258587 727646525 /nfs/dbraw/zinc/64/65/25/727646525.db2.gz AOHLCWGMNHVUQT-UWVGGRQHSA-N -1 1 331.336 -0.807 20 0 EBADMM CC(C)C(=O)N1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1C ZINC001012306249 727652475 /nfs/dbraw/zinc/65/24/75/727652475.db2.gz AYSBWNUSUUFQOM-VHSXEESVSA-N -1 1 332.364 -0.207 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CN1C(=O)c1ccn[nH]1 ZINC001012330006 727654525 /nfs/dbraw/zinc/65/45/25/727654525.db2.gz XRZVBPLRAMNJFK-NKWVEPMBSA-N -1 1 333.308 -0.961 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2cnc([O-])n(C)c2=O)CN1C(=O)c1ccn[nH]1 ZINC001012334112 727654939 /nfs/dbraw/zinc/65/49/39/727654939.db2.gz PHJCGZMZKOIHFA-RKDXNWHRSA-N -1 1 346.347 -0.758 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)Cn2cnnn2)CN1C(=O)c1ncccc1[O-] ZINC001012507297 727673436 /nfs/dbraw/zinc/67/34/36/727673436.db2.gz SMRUKZJVEMTFGJ-ZJUUUORDSA-N -1 1 331.336 -0.807 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)CN2CCOCC2)CN1C(=O)c1ncccc1[O-] ZINC001012508920 727673761 /nfs/dbraw/zinc/67/37/61/727673761.db2.gz GKBHHQCNXZJTLQ-OLZOCXBDSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)CN2CN=NC2=O)CN1C(=O)c1ncccc1[O-] ZINC001012511820 727673875 /nfs/dbraw/zinc/67/38/75/727673875.db2.gz PPSFBRFMYOVNEU-ZJUUUORDSA-N -1 1 346.347 -0.496 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1ncn(C)n1 ZINC001012556026 727681209 /nfs/dbraw/zinc/68/12/09/727681209.db2.gz BCJVMLWPZNHEFU-UWVGGRQHSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1cn(C)nn1 ZINC001012562265 727683338 /nfs/dbraw/zinc/68/33/38/727683338.db2.gz FSDAVWKROQTHSA-ZJUUUORDSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)Cn1nccn1 ZINC001012716060 727697540 /nfs/dbraw/zinc/69/75/40/727697540.db2.gz IHEQFSYUHQRTMR-MNOVXSKESA-N -1 1 330.348 -0.202 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)C2(C)CC2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001013238117 727786121 /nfs/dbraw/zinc/78/61/21/727786121.db2.gz BNCUJHRGXCGSIH-VXGBXAGGSA-N -1 1 348.403 -0.168 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)CC2CC2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001013241263 727786756 /nfs/dbraw/zinc/78/67/56/727786756.db2.gz QWOALHOAVXEIAY-YPMHNXCESA-N -1 1 348.403 -0.168 20 0 EBADMM C[C@H]1CN(C(=O)CNC(N)=O)C[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001051935622 735473051 /nfs/dbraw/zinc/47/30/51/735473051.db2.gz QXXONJHEOBXPRD-UWVGGRQHSA-N -1 1 335.364 -0.720 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)Cn1cncn1 ZINC001014084387 727894009 /nfs/dbraw/zinc/89/40/09/727894009.db2.gz QMSRZEZYKGTSLK-MNOVXSKESA-N -1 1 330.348 -0.202 20 0 EBADMM CC(=O)N1CC[C@]2(NC(=O)c3cc(=O)n4[n-]cnc4n3)CCC[C@@H]12 ZINC001014345289 727929274 /nfs/dbraw/zinc/92/92/74/727929274.db2.gz XLLZFFDWLHDFHT-IAQYHMDHSA-N -1 1 330.348 -0.309 20 0 EBADMM CCn1ccc(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001014515202 727965274 /nfs/dbraw/zinc/96/52/74/727965274.db2.gz ZWZVEHFKUBXYHV-LBPRGKRZSA-N -1 1 333.396 -0.742 20 0 EBADMM CC(C)n1nccc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001014553240 727967869 /nfs/dbraw/zinc/96/78/69/727967869.db2.gz OJHKFKKLMPCEDE-LLVKDONJSA-N -1 1 333.396 -0.110 20 0 EBADMM C[C@H](C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)c1cnn(C)c1 ZINC001051956408 735483518 /nfs/dbraw/zinc/48/35/18/735483518.db2.gz NHOTVVHWMKTSSA-JQWIXIFHSA-N -1 1 349.395 -0.636 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ccnc4ccnn43)C2)nc1=O ZINC001014691760 727991957 /nfs/dbraw/zinc/99/19/57/727991957.db2.gz QJGHWWPCJMBKQG-SNVBAGLBSA-N -1 1 342.363 -0.845 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cnc4[nH]ccc4c3)C2)nc1=O ZINC001014829756 728016916 /nfs/dbraw/zinc/01/69/16/728016916.db2.gz SFBPGLSLTYUNCQ-LBPRGKRZSA-N -1 1 341.375 -0.059 20 0 EBADMM Cn1ncc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c1Cl ZINC001014951193 728039541 /nfs/dbraw/zinc/03/95/41/728039541.db2.gz NJQLRACPTXXJOQ-MRVPVSSYSA-N -1 1 339.787 -0.500 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3n[nH]c4c3CCC4)C2)nc1=O ZINC001015076207 728071997 /nfs/dbraw/zinc/07/19/97/728071997.db2.gz DRVOJCORAGUACT-SECBINFHSA-N -1 1 331.380 -0.676 20 0 EBADMM CCn1cc(CCN2CC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)cn1 ZINC001015108987 728080524 /nfs/dbraw/zinc/08/05/24/728080524.db2.gz GCQMRXSCULNRGW-NSHDSACASA-N -1 1 347.379 -0.454 20 0 EBADMM O=C(N[C@@H]1CCN(CCO[C@H]2CC2(F)F)C1)c1n[nH]c(=O)[n-]c1=O ZINC001015116418 728083457 /nfs/dbraw/zinc/08/34/57/728083457.db2.gz BLBHVFPNUWYBGW-SFYZADRCSA-N -1 1 345.306 -0.489 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cccc4nccn43)C2)nc1=O ZINC001015260797 728124900 /nfs/dbraw/zinc/12/49/00/728124900.db2.gz AUTYICKSQTVNJA-NSHDSACASA-N -1 1 341.375 -0.240 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3noc4c3CCCC4)C2)nc1=O ZINC001015746544 728431123 /nfs/dbraw/zinc/43/11/23/728431123.db2.gz SCKAIJSHGNTZMC-SNVBAGLBSA-N -1 1 346.391 -0.021 20 0 EBADMM CN(C)c1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)ccn1 ZINC001015786890 728431935 /nfs/dbraw/zinc/43/19/35/728431935.db2.gz SCFIUBXQPAHWOR-GFCCVEGCSA-N -1 1 345.407 -0.426 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nc(C)n1 ZINC001015837585 728434676 /nfs/dbraw/zinc/43/46/76/728434676.db2.gz VZYJZOVXGLTPQJ-NSHDSACASA-N -1 1 331.380 -0.480 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cn(CC4CC4)nn3)C2)nc1=O ZINC001015912748 728438215 /nfs/dbraw/zinc/43/82/15/728438215.db2.gz GBQGSXHDVNNHRJ-LLVKDONJSA-N -1 1 346.395 -0.886 20 0 EBADMM C[C@H](C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)C1CCOCC1 ZINC001016431054 728472779 /nfs/dbraw/zinc/47/27/79/728472779.db2.gz DFJXZFAURDBBLF-AAEUAGOBSA-N -1 1 337.424 -0.138 20 0 EBADMM C[C@@H](C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)c1cnn(C)c1 ZINC001016450719 728475551 /nfs/dbraw/zinc/47/55/51/728475551.db2.gz WJQBEXDSYIHVLG-PWSUYJOCSA-N -1 1 333.396 -0.664 20 0 EBADMM CN(C(=O)[C@@H]1CCC(=O)N1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016810160 728510869 /nfs/dbraw/zinc/51/08/69/728510869.db2.gz QDYYBILPQHQICS-ILDUYXDCSA-N -1 1 332.360 -0.215 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)C[C@](C)(O)C2CC2)nc1=O ZINC001017488291 728580466 /nfs/dbraw/zinc/58/04/66/728580466.db2.gz CIXZXVORCMZPOG-AHIWAGSCSA-N -1 1 349.435 -0.165 20 0 EBADMM CC(C)C(=O)N1CC=C(CNC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001017584337 728590861 /nfs/dbraw/zinc/59/08/61/728590861.db2.gz QUWREWWRDRFOHI-UHFFFAOYSA-N -1 1 344.375 -0.038 20 0 EBADMM CCC(=O)N1CC=C(CNC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001017584559 728591015 /nfs/dbraw/zinc/59/10/15/728591015.db2.gz UBYSEKSXSIFTLW-UHFFFAOYSA-N -1 1 330.348 -0.284 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2cnn[nH]2)CN1C(=O)c1cn(C)c(=O)n1C ZINC001018251311 728649916 /nfs/dbraw/zinc/64/99/16/728649916.db2.gz QSMNUWFFISHXAJ-NXEZZACHSA-N -1 1 347.379 -0.735 20 0 EBADMM Cc1nc(CN[C@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)co1 ZINC001019650527 728962491 /nfs/dbraw/zinc/96/24/91/728962491.db2.gz KXFYDZAAKAIEJD-JTQLQIEISA-N -1 1 343.347 -0.282 20 0 EBADMM O=C(c1ccc2n[nH]nc2c1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019761609 728986134 /nfs/dbraw/zinc/98/61/34/728986134.db2.gz PKAXYRJMENTVGZ-VIFPVBQESA-N -1 1 328.336 -0.214 20 0 EBADMM O=C(c1noc2c1COCC2)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019797782 728995295 /nfs/dbraw/zinc/99/52/95/728995295.db2.gz DNPQHODCJVFOLD-QMMMGPOBSA-N -1 1 334.336 -0.425 20 0 EBADMM COCC(=O)N1CC[C@@H]2OCCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001019832845 729004079 /nfs/dbraw/zinc/00/40/79/729004079.db2.gz LPTPUWGHTJGNHG-YPMHNXCESA-N -1 1 335.360 -0.125 20 0 EBADMM NC(=O)CC(=O)N1CC[C@H]2OCCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC001019862918 729015385 /nfs/dbraw/zinc/01/53/85/729015385.db2.gz DFQDXHCHNFFANY-CMPLNLGQSA-N -1 1 348.359 -0.896 20 0 EBADMM CC(C)c1nnc(CN[C@H]2C[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC001020352866 729144008 /nfs/dbraw/zinc/14/40/08/729144008.db2.gz CNBQPGDKTKYPIO-ZKCHVHJHSA-N -1 1 348.367 -0.425 20 0 EBADMM COc1nccc(CN[C@H]2C[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001020353314 729144497 /nfs/dbraw/zinc/14/44/97/729144497.db2.gz ZHDMNMGOCPIOTM-KYZUINATSA-N -1 1 347.335 -0.868 20 0 EBADMM O=C(C[C@@H]1CCC(=O)N1)NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001021407162 729311168 /nfs/dbraw/zinc/31/11/68/729311168.db2.gz HBJZAFRDWWGPHT-YEJSDXFRSA-N -1 1 348.407 -0.209 20 0 EBADMM O=C(c1cn[nH]n1)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001021441838 729314043 /nfs/dbraw/zinc/31/40/43/729314043.db2.gz CHTOMDIMBUWTIE-GXSJLCMTSA-N -1 1 328.332 -0.108 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@@H]2CCN(C(=O)[C@@H]3CCNC3=O)[C@@H]2C1 ZINC001022345732 729481997 /nfs/dbraw/zinc/48/19/97/729481997.db2.gz ULIOKDNVLHXEPM-QJPTWQEYSA-N -1 1 344.371 -0.404 20 0 EBADMM CCc1nnc([C@H](C)N[C@H]2C[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC001022369790 729486084 /nfs/dbraw/zinc/48/60/84/729486084.db2.gz PJIBBPKHIFRRIS-BIIVOSGPSA-N -1 1 348.367 -0.425 20 0 EBADMM O=C(N[C@H]1C[C@@H](NCc2cnns2)C1)c1c[n-]n2c1nccc2=O ZINC001022821348 729535492 /nfs/dbraw/zinc/53/54/92/729535492.db2.gz OZXGWUPDRCLMBM-DTORHVGOSA-N -1 1 345.388 -0.075 20 0 EBADMM O=C([N-][C@@H]1CN(C(=O)c2[nH]cnc2C(F)(F)F)C[C@H]1O)C(F)F ZINC001022860693 729541913 /nfs/dbraw/zinc/54/19/13/729541913.db2.gz CRSQJHVXMBJJEZ-RFZPGFLSSA-N -1 1 342.224 -0.005 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)c1cccnc1 ZINC001022925533 729555565 /nfs/dbraw/zinc/55/55/65/729555565.db2.gz KSAIUKMXBYZGAR-DGCLKSJQSA-N -1 1 328.328 -0.203 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)c1cc[n+]([O-])cc1 ZINC001022980928 729569635 /nfs/dbraw/zinc/56/96/35/729569635.db2.gz QCKUGAKPDKWXDG-DGCLKSJQSA-N -1 1 344.327 -0.964 20 0 EBADMM Cn1cccc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001023073451 729594713 /nfs/dbraw/zinc/59/47/13/729594713.db2.gz BWKPGKBCKREVEG-ZWNOBZJWSA-N -1 1 330.344 -0.259 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)c1cnsn1 ZINC001023123998 729613430 /nfs/dbraw/zinc/61/34/30/729613430.db2.gz YJVRMHPFQBTKOZ-PSASIEDQSA-N -1 1 335.345 -0.746 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)c1cscn1 ZINC001023179735 729630654 /nfs/dbraw/zinc/63/06/54/729630654.db2.gz QZROTJJPBIOEKX-LDYMZIIASA-N -1 1 334.357 -0.141 20 0 EBADMM Cc1ncc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)[nH]1 ZINC001023205916 729636747 /nfs/dbraw/zinc/63/67/47/729636747.db2.gz TYAUWOSHHBRODH-ZYHUDNBSSA-N -1 1 331.332 -0.566 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](CNC(=O)c3ccc(=O)[nH]n3)C2)nc1=O ZINC001023216550 729640716 /nfs/dbraw/zinc/64/07/16/729640716.db2.gz ZGDGSBFGHJDSQK-SNVBAGLBSA-N -1 1 347.379 -0.754 20 0 EBADMM Cc1ncncc1C(=O)NC[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001023241399 729647952 /nfs/dbraw/zinc/64/79/52/729647952.db2.gz BUJXUPZWOFYONM-GFCCVEGCSA-N -1 1 345.407 -0.151 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2CCCN([C@H]3CCC(=O)NC3=O)C2)c1[O-] ZINC001023307210 729661140 /nfs/dbraw/zinc/66/11/40/729661140.db2.gz VDNUAXRGCOCACO-MNOVXSKESA-N -1 1 349.391 -0.329 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)[C@@H]2CC2(F)F)C[C@H]1O)c1ncccc1[O-] ZINC001023488286 729702124 /nfs/dbraw/zinc/70/21/24/729702124.db2.gz ANUCMZWYTAYJKN-QXFUBDJGSA-N -1 1 327.287 -0.256 20 0 EBADMM C[C@H](C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1)n1cccn1 ZINC001023488358 729702274 /nfs/dbraw/zinc/70/22/74/729702274.db2.gz DUOJUWYNHUATQR-NQBHXWOUSA-N -1 1 345.359 -0.454 20 0 EBADMM Cc1nc[nH]c1CC(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023491006 729703436 /nfs/dbraw/zinc/70/34/36/729703436.db2.gz WFMPBHMYZVGIHJ-DGCLKSJQSA-N -1 1 345.359 -0.637 20 0 EBADMM C[C@@]1(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)CCOC1 ZINC001023490976 729703641 /nfs/dbraw/zinc/70/36/41/729703641.db2.gz UTINAYODBJBQMS-NSODJVPESA-N -1 1 335.360 -0.485 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2cccnn2)C[C@H]1O)c1ncccc1[O-] ZINC001023490673 729703709 /nfs/dbraw/zinc/70/37/09/729703709.db2.gz MAQAOOWKSBQTCV-ZYHUDNBSSA-N -1 1 329.316 -0.808 20 0 EBADMM Cc1ncc(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)n1C ZINC001023493404 729704403 /nfs/dbraw/zinc/70/44/03/729704403.db2.gz CFADBHRSTVGFJT-ZWNOBZJWSA-N -1 1 345.359 -0.556 20 0 EBADMM O=C(Cc1cncs1)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023493826 729704608 /nfs/dbraw/zinc/70/46/08/729704608.db2.gz NLIBIJOKKATDOS-ZYHUDNBSSA-N -1 1 348.384 -0.212 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCC[C@@H](CNCc2cnon2)C1 ZINC001024051934 729835065 /nfs/dbraw/zinc/83/50/65/729835065.db2.gz KPUQCDQKTFMPQI-QMMMGPOBSA-N -1 1 335.324 -0.692 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H]2CNC(=O)c2cnccn2)nc1=O ZINC001024310237 729872189 /nfs/dbraw/zinc/87/21/89/729872189.db2.gz JOYZZOOMSUCTDN-NSHDSACASA-N -1 1 331.380 -0.317 20 0 EBADMM Cn1ncc(C(=O)NC[C@@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)c1N ZINC001024406444 729882517 /nfs/dbraw/zinc/88/25/17/729882517.db2.gz UKXZPZBDSSLPCK-JTQLQIEISA-N -1 1 348.411 -0.791 20 0 EBADMM Cc1ccnc(C(=O)NC[C@@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001024413175 729883397 /nfs/dbraw/zinc/88/33/97/729883397.db2.gz WWIASGAXZSAAHB-LBPRGKRZSA-N -1 1 345.407 -0.009 20 0 EBADMM Cn1nncc1C(=O)NC[C@@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001024418546 729885077 /nfs/dbraw/zinc/88/50/77/729885077.db2.gz KHLKBIFJNSKJBS-JTQLQIEISA-N -1 1 334.384 -0.979 20 0 EBADMM Cn1cc(C(=O)NC[C@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)nn1 ZINC001024420205 729885322 /nfs/dbraw/zinc/88/53/22/729885322.db2.gz LHDDIRIRTDRHHW-SNVBAGLBSA-N -1 1 334.384 -0.979 20 0 EBADMM Cc1nc(CN2CCCC[C@@H]2CNC(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC001024533179 729899253 /nfs/dbraw/zinc/89/92/53/729899253.db2.gz SJAJCULCWVRIGA-SECBINFHSA-N -1 1 348.367 -0.506 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H]2CNC(=O)c2csnn2)nc1=O ZINC001024577639 729907444 /nfs/dbraw/zinc/90/74/44/729907444.db2.gz DXDXYNQMDOQVEK-VIFPVBQESA-N -1 1 337.409 -0.256 20 0 EBADMM O=C([C@@H]1CCNC(=O)C1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024926383 729942610 /nfs/dbraw/zinc/94/26/10/729942610.db2.gz YUEXSNWQQXFYOD-GHMZBOCLSA-N -1 1 336.396 -0.493 20 0 EBADMM O=C([C@H]1CCCNC1=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024992197 729983505 /nfs/dbraw/zinc/98/35/05/729983505.db2.gz OHAARHILTWSCHM-MNOVXSKESA-N -1 1 336.396 -0.493 20 0 EBADMM Cn1ccc(=O)c(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)c1 ZINC001025016009 729986950 /nfs/dbraw/zinc/98/69/50/729986950.db2.gz FWEFAQZABHWRGB-LLVKDONJSA-N -1 1 346.391 -0.007 20 0 EBADMM C[C@@]1(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CCNC1=O ZINC001025082410 729994255 /nfs/dbraw/zinc/99/42/55/729994255.db2.gz GCUOBBQFTVCMCC-ZUZCIYMTSA-N -1 1 336.396 -0.493 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)c1cccnn1 ZINC001025265428 730023167 /nfs/dbraw/zinc/02/31/67/730023167.db2.gz ZJYNFKLXDALQDL-ZYHUDNBSSA-N -1 1 329.316 -0.808 20 0 EBADMM CC(=O)N[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C12CCC2 ZINC001025829470 730098231 /nfs/dbraw/zinc/09/82/31/730098231.db2.gz XQKNKSAMNORZDA-QWRGUYRKSA-N -1 1 330.348 -0.405 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)N[C@@H]1[C@H]2CN(C/C=C\Cl)C[C@H]21 ZINC001026111149 730122133 /nfs/dbraw/zinc/12/21/33/730122133.db2.gz UVBQEWMSGPIBNC-IAKTZEFTSA-N -1 1 348.794 -0.130 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1C[C@@H]2CC[C@H](NCc3ccon3)[C@@H]2C1 ZINC001026305435 730136460 /nfs/dbraw/zinc/13/64/60/730136460.db2.gz ZFXOLAMGLVWHHI-GDPRMGEGSA-N -1 1 346.347 -0.089 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1C[C@@H]2CC[C@@H](NCc3cnon3)[C@H]2C1 ZINC001026304697 730136506 /nfs/dbraw/zinc/13/65/06/730136506.db2.gz PAOIAOCYMJGRDP-UJNFCWOMSA-N -1 1 347.335 -0.694 20 0 EBADMM Cn1ncnc1CNC1CCC(NC(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001026522372 730148447 /nfs/dbraw/zinc/14/84/47/730148447.db2.gz DOJKXLNABOEVGS-UHFFFAOYSA-N -1 1 348.367 -0.758 20 0 EBADMM CCn1ncc(CNC[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001026942005 730174102 /nfs/dbraw/zinc/17/41/02/730174102.db2.gz YJRWLBGMUCJQPN-SECBINFHSA-N -1 1 348.367 -0.854 20 0 EBADMM Cc1noc(CNC[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001026941733 730174113 /nfs/dbraw/zinc/17/41/13/730174113.db2.gz PYQAYGVRYYLVSF-MRVPVSSYSA-N -1 1 335.324 -0.774 20 0 EBADMM Cc1nnc(CNC[C@@H]2CCN(C(=O)c3cnc([O-])n(C)c3=O)C2)o1 ZINC001026985779 730178568 /nfs/dbraw/zinc/17/85/68/730178568.db2.gz AIYMIHZCDCCNMW-JTQLQIEISA-N -1 1 348.363 -0.571 20 0 EBADMM Cc1nnsc1C(=O)NC[C@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001027748036 730237027 /nfs/dbraw/zinc/23/70/27/730237027.db2.gz ZGCAOTJUOIBYQK-SECBINFHSA-N -1 1 337.409 -0.337 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H]2CNC(=O)c2cc[n+]([O-])cc2)nc1=O ZINC001027742647 730237132 /nfs/dbraw/zinc/23/71/32/730237132.db2.gz VETVWCUFASNXTG-LBPRGKRZSA-N -1 1 332.364 -0.864 20 0 EBADMM COc1cc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)on1 ZINC001027832228 730241746 /nfs/dbraw/zinc/24/17/46/730241746.db2.gz BHZUSVQGKMBLKA-SECBINFHSA-N -1 1 336.352 -0.501 20 0 EBADMM CCOc1cc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)[nH]n1 ZINC001027961322 730252088 /nfs/dbraw/zinc/25/20/88/730252088.db2.gz QYGZPAWKRFARPA-SNVBAGLBSA-N -1 1 349.395 -0.375 20 0 EBADMM Cn1cc(CN2CCC[C@@H]2CNC(=O)c2cnc([O-])n(C)c2=O)cn1 ZINC001028069011 730261994 /nfs/dbraw/zinc/26/19/94/730261994.db2.gz AALRPZZGAJESTA-GFCCVEGCSA-N -1 1 346.391 -0.386 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H]2CNC(=O)c2cc(C(N)=O)co2)nc1=O ZINC001028127433 730268980 /nfs/dbraw/zinc/26/89/80/730268980.db2.gz IJRXIKUZCMBRKS-JTQLQIEISA-N -1 1 348.363 -0.805 20 0 EBADMM COc1cncc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001028183760 730272822 /nfs/dbraw/zinc/27/28/22/730272822.db2.gz CMEUEHGOPIPKIV-SNVBAGLBSA-N -1 1 347.379 -0.699 20 0 EBADMM CS(=O)(=O)CCN1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001028499896 730301461 /nfs/dbraw/zinc/30/14/61/730301461.db2.gz MQJAYJAZCHXUDH-NSHDSACASA-N -1 1 327.406 -0.117 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)[C@@H]3CCCOCC3)C2)nc1=O ZINC001028509342 730303403 /nfs/dbraw/zinc/30/34/03/730303403.db2.gz LWYNHZREVUZJQT-QWHCGFSZSA-N -1 1 337.424 -0.137 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C2)nc1=O ZINC001028698927 730341367 /nfs/dbraw/zinc/34/13/67/730341367.db2.gz SJNBFMRFSMIGRC-VPLWTHEFSA-N -1 1 347.419 -0.530 20 0 EBADMM Cc1nc(CN2CC[C@@H](CNC(=O)c3cnc([O-])n(C)c3=O)C2)n[nH]1 ZINC001028734254 730346147 /nfs/dbraw/zinc/34/61/47/730346147.db2.gz SZZHURBNISKBEJ-JTQLQIEISA-N -1 1 347.379 -0.836 20 0 EBADMM CCn1nc(C)c(C(=O)NC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001029021226 730385197 /nfs/dbraw/zinc/38/51/97/730385197.db2.gz MNJZBUJVWMGSHQ-LLVKDONJSA-N -1 1 348.411 -0.720 20 0 EBADMM Cn1cc(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)cn1 ZINC001029208419 730393210 /nfs/dbraw/zinc/39/32/10/730393210.db2.gz LUMFHSPJKQMSNW-TXEJJXNPSA-N -1 1 331.380 -0.669 20 0 EBADMM C[C@H](C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1)n1cccn1 ZINC001029222735 730393607 /nfs/dbraw/zinc/39/36/07/730393607.db2.gz FEYIDGWGZVBQTE-UPJWGTAASA-N -1 1 345.407 -0.259 20 0 EBADMM COc1nc(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)co1 ZINC001029409674 730403926 /nfs/dbraw/zinc/40/39/26/730403926.db2.gz ANNKKDZFQPXAPR-AOOOYVTPSA-N -1 1 348.363 -0.406 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)c2nccnc2N)C1 ZINC001029681527 730482914 /nfs/dbraw/zinc/48/29/14/730482914.db2.gz WZLWSNQOJLMHIM-SECBINFHSA-N -1 1 332.368 -0.523 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC[C@@H](C[N@H+](C)Cc3n[nH]c(=O)[n-]3)C2)c1[O-] ZINC001029707549 730485323 /nfs/dbraw/zinc/48/53/23/730485323.db2.gz BBEUZBWWJOXTDR-VIFPVBQESA-N -1 1 335.368 -0.158 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)c2cnc[nH]c2=O)CC1 ZINC001029971807 730530288 /nfs/dbraw/zinc/53/02/88/730530288.db2.gz XGSAFMYQWCPGIS-UHFFFAOYSA-N -1 1 347.379 -0.010 20 0 EBADMM CC(C)Oc1ccc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001030401024 730580564 /nfs/dbraw/zinc/58/05/64/730580564.db2.gz LEVQLEVDXXTVAH-UHFFFAOYSA-N -1 1 346.391 -0.095 20 0 EBADMM COc1ccc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)cc1F ZINC001030474244 730592712 /nfs/dbraw/zinc/59/27/12/730592712.db2.gz VBBSIBXGDIGYIT-UHFFFAOYSA-N -1 1 335.339 -0.130 20 0 EBADMM CC(C)c1nc(CN2CC(NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001030617059 730614522 /nfs/dbraw/zinc/61/45/22/730614522.db2.gz VIIMNXDPWYXOGA-UHFFFAOYSA-N -1 1 334.340 -0.862 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)Cc3noc4ccccc34)C2)nc1=O ZINC001030632531 730617138 /nfs/dbraw/zinc/61/71/38/730617138.db2.gz CULYBKARHKVWEP-UHFFFAOYSA-N -1 1 342.359 -0.207 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@H]3Cc4ccccc4O3)C2)nc1=O ZINC001030636614 730618283 /nfs/dbraw/zinc/61/82/83/730618283.db2.gz NBEZMJLOVPNHHA-CYBMUJFWSA-N -1 1 329.360 -0.588 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@@]34CCO[C@@H]3CCCC4)C2)nc1=O ZINC001030662034 730621804 /nfs/dbraw/zinc/62/18/04/730621804.db2.gz QOEDURLYTGXWNJ-WBMJQRKESA-N -1 1 335.408 -0.242 20 0 EBADMM Cc1noc(C2CC2)c1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030691117 730624423 /nfs/dbraw/zinc/62/44/23/730624423.db2.gz MRAZVEVUSGUKIS-UHFFFAOYSA-N -1 1 332.364 -0.104 20 0 EBADMM C[C@H]1CCc2[nH]ncc2[C@H]1C(=O)NC1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001030701141 730625682 /nfs/dbraw/zinc/62/56/82/730625682.db2.gz LRXNIWQXYMCJAZ-SDBXPKJASA-N -1 1 331.380 -0.100 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cccc4n[nH]cc43)C2)nc1=O ZINC001030772209 730635880 /nfs/dbraw/zinc/63/58/80/730635880.db2.gz NBNCBXCWTUYDAT-UHFFFAOYSA-N -1 1 327.348 -0.401 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3noc4c3CCCCC4)C2)nc1=O ZINC001031028594 730666016 /nfs/dbraw/zinc/66/60/16/730666016.db2.gz QAAIKVRMQWGNKS-UHFFFAOYSA-N -1 1 346.391 -0.021 20 0 EBADMM O=C(NC1CN(C[C@H]2C[C@]23CCOC3)C1)c1c[n-]n2c1nccc2=O ZINC001031069292 730670859 /nfs/dbraw/zinc/67/08/59/730670859.db2.gz XDOIRNDPBGEQER-DIFFPNOSSA-N -1 1 343.387 -0.137 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cnn(C(F)F)c3)C2)nc1=O ZINC001031149873 730681109 /nfs/dbraw/zinc/68/11/09/730681109.db2.gz SCQRYNKQAGAGNO-UHFFFAOYSA-N -1 1 327.295 -0.686 20 0 EBADMM Cn1cc(C(C)(C)C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001031182774 730684377 /nfs/dbraw/zinc/68/43/77/730684377.db2.gz ZXHLMBYLAZDHFH-UHFFFAOYSA-N -1 1 333.396 -0.880 20 0 EBADMM O=C(NC1CN(Cc2n[nH]c(=O)[n-]2)C1)c1ccc(-c2nnc[nH]2)cc1 ZINC001031196837 730685434 /nfs/dbraw/zinc/68/54/34/730685434.db2.gz BZNAXTPOQGIVFK-UHFFFAOYSA-N -1 1 340.347 -0.090 20 0 EBADMM CC(C)[C@@H]1C[C@H](C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)CCO1 ZINC001031205743 730686078 /nfs/dbraw/zinc/68/60/78/730686078.db2.gz RAKNZDNJEZSGSO-YPMHNXCESA-N -1 1 337.424 -0.140 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C[C@H]2CCCCO2)C1 ZINC001031249697 730693294 /nfs/dbraw/zinc/69/32/94/730693294.db2.gz DPHJBYKEQOSUMP-SNVBAGLBSA-N -1 1 338.364 -0.121 20 0 EBADMM Cc1ncc(CN2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)s1 ZINC001031249830 730693506 /nfs/dbraw/zinc/69/35/06/730693506.db2.gz IIIWPIIZGJILDH-UHFFFAOYSA-N -1 1 345.388 -0.203 20 0 EBADMM C[C@H]1CC[C@H](CN2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)O1 ZINC001031251604 730695045 /nfs/dbraw/zinc/69/50/45/730695045.db2.gz RLKMJHKKVGMBGS-GXSJLCMTSA-N -1 1 332.364 -0.601 20 0 EBADMM Cc1cc(CN2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)ncn1 ZINC001031251578 730695179 /nfs/dbraw/zinc/69/51/79/730695179.db2.gz QNJTZTACYCAEJR-UHFFFAOYSA-N -1 1 340.347 -0.870 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3[nH]nc4ccccc43)C2)nc1=O ZINC001031340501 730705311 /nfs/dbraw/zinc/70/53/11/730705311.db2.gz DWBMBGJHBRXTOP-UHFFFAOYSA-N -1 1 327.348 -0.401 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@@H]3COc4ccccc4O3)C2)nc1=O ZINC001031347491 730705960 /nfs/dbraw/zinc/70/59/60/730705960.db2.gz OQHROFRIUOCCBI-ZDUSSCGKSA-N -1 1 345.359 -0.751 20 0 EBADMM O=C(CN1CC(CNC(=O)c2ncccc2[O-])C1)Nc1nncs1 ZINC001031627238 730742362 /nfs/dbraw/zinc/74/23/62/730742362.db2.gz HQGPIZNVZXSWEC-UHFFFAOYSA-N -1 1 348.388 -0.061 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cn(C4CCC4)nn3)C2)nc1=O ZINC001031676172 730749917 /nfs/dbraw/zinc/74/99/17/730749917.db2.gz KACOSASMTHAYBE-UHFFFAOYSA-N -1 1 346.395 -0.713 20 0 EBADMM COc1cc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)sn1 ZINC001031678633 730749941 /nfs/dbraw/zinc/74/99/41/730749941.db2.gz QHGQZYAIBNMTTE-UHFFFAOYSA-N -1 1 338.393 -0.565 20 0 EBADMM Cc1cc(C)n(CCN2CC(CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001031815808 730773793 /nfs/dbraw/zinc/77/37/93/730773793.db2.gz KFDUIQIDZALLRX-UHFFFAOYSA-N -1 1 347.379 -0.542 20 0 EBADMM O=C(NCC1CN(CCc2ccns2)C1)c1n[nH]c(=O)[n-]c1=O ZINC001031815926 730774106 /nfs/dbraw/zinc/77/41/06/730774106.db2.gz QJUZVWSXABKWBZ-UHFFFAOYSA-N -1 1 336.377 -0.356 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@@H]3Cc4ccccc4O3)C2)nc1=O ZINC001031825328 730775644 /nfs/dbraw/zinc/77/56/44/730775644.db2.gz ITRKNJSWCQVXJS-AWEZNQCLSA-N -1 1 343.387 -0.340 20 0 EBADMM COCc1ccc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)o1 ZINC001031831097 730777290 /nfs/dbraw/zinc/77/72/90/730777290.db2.gz YQGJSDHZDXXZKS-UHFFFAOYSA-N -1 1 335.364 -0.290 20 0 EBADMM CCc1cc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)c(C)nn1 ZINC001031876182 730783765 /nfs/dbraw/zinc/78/37/65/730783765.db2.gz NUTZWUXHXLDJFZ-UHFFFAOYSA-N -1 1 345.407 -0.369 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cc(C4CC4)no3)C2)nc1=O ZINC001032016865 730803401 /nfs/dbraw/zinc/80/34/01/730803401.db2.gz XQPCRQVCHJIGFH-UHFFFAOYSA-N -1 1 332.364 -0.164 20 0 EBADMM Cc1cc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)nc(C)n1 ZINC001032051318 730807802 /nfs/dbraw/zinc/80/78/02/730807802.db2.gz JKMIWFRPYOUZNU-UHFFFAOYSA-N -1 1 331.380 -0.623 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cccn4ccnc34)C2)nc1=O ZINC001032052479 730808046 /nfs/dbraw/zinc/80/80/46/730808046.db2.gz MWXYDVSCBTWYOI-UHFFFAOYSA-N -1 1 341.375 -0.382 20 0 EBADMM O=C(NCC1CN(Cc2cccnc2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001032128306 730816732 /nfs/dbraw/zinc/81/67/32/730816732.db2.gz ZDYMSKZQLWDZSD-UHFFFAOYSA-N -1 1 339.359 -0.326 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@@H]3Cc4cccnc4C3)C2)nc1=O ZINC001032154715 730818940 /nfs/dbraw/zinc/81/89/40/730818940.db2.gz GMSHATVMMPQWMK-CYBMUJFWSA-N -1 1 342.403 -0.534 20 0 EBADMM CCc1ncc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)s1 ZINC001032219552 730831628 /nfs/dbraw/zinc/83/16/28/730831628.db2.gz AUABFXGCIFFTAZ-UHFFFAOYSA-N -1 1 336.421 -0.011 20 0 EBADMM Cc1nnsc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032274614 730836621 /nfs/dbraw/zinc/83/66/21/730836621.db2.gz LXWFVOMCTTVCPV-IUCAKERBSA-N -1 1 335.393 -0.633 20 0 EBADMM CCc1c[nH]c(CC(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)n1 ZINC001032452968 730864097 /nfs/dbraw/zinc/86/40/97/730864097.db2.gz OGGCXMRYKDMJTM-RYUDHWBXSA-N -1 1 345.407 -0.578 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)CCn2ccnc2)nc1=O ZINC001032550031 730886535 /nfs/dbraw/zinc/88/65/35/730886535.db2.gz AATQRDHSNWGKON-RYUDHWBXSA-N -1 1 331.380 -0.820 20 0 EBADMM Cc1noc(CCC(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)n1 ZINC001032551568 730887158 /nfs/dbraw/zinc/88/71/58/730887158.db2.gz XGZFXHIITOMBLY-QWRGUYRKSA-N -1 1 347.379 -0.782 20 0 EBADMM Cc1nc(CN2C[C@@H]3C[C@H]2CN3C(=O)c2cnc([O-])n(C)c2=O)n[nH]1 ZINC001032557824 730888783 /nfs/dbraw/zinc/88/87/83/730888783.db2.gz ZGBOQBGBQKMBNZ-UWVGGRQHSA-N -1 1 345.363 -0.989 20 0 EBADMM C[C@@H](CC(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1)n1ccnc1 ZINC001032656895 730905543 /nfs/dbraw/zinc/90/55/43/730905543.db2.gz PNEDRKYQMKQLEI-AVGNSLFASA-N -1 1 345.407 -0.259 20 0 EBADMM COC[C@@H](C)N1C[C@@H]2C[C@H]1CN2C(=O)c1c[n-]n2c1nccc2=O ZINC001032670564 730907628 /nfs/dbraw/zinc/90/76/28/730907628.db2.gz FLJBHDJGGRXWLH-WOPDTQHZSA-N -1 1 331.376 -0.044 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)CCc2ncccn2)nc1=O ZINC001032692823 730912353 /nfs/dbraw/zinc/91/23/53/730912353.db2.gz WVTQRSOJAUFEMD-RYUDHWBXSA-N -1 1 343.391 -0.684 20 0 EBADMM Cc1nc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)c(C)o1 ZINC001032697940 730913429 /nfs/dbraw/zinc/91/34/29/730913429.db2.gz XKCWNDHZOMMXOF-QWRGUYRKSA-N -1 1 332.364 -0.188 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1ccon1 ZINC001032729365 730920000 /nfs/dbraw/zinc/92/00/00/730920000.db2.gz AFKZYOBXTOAEPO-UWVGGRQHSA-N -1 1 347.331 -0.017 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1C[C@@H]2C[C@H]1CN2Cc1ccon1 ZINC001032730803 730920649 /nfs/dbraw/zinc/92/06/49/730920649.db2.gz QJVMLMQDEGXMNH-QWRGUYRKSA-N -1 1 341.331 -0.496 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)COc2ccccc2)nc1=O ZINC001032750424 730922956 /nfs/dbraw/zinc/92/29/56/730922956.db2.gz ZFTNIHFKWOZFJG-STQMWFEESA-N -1 1 343.387 -0.028 20 0 EBADMM Cc1ncncc1C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032937541 730950724 /nfs/dbraw/zinc/95/07/24/730950724.db2.gz VVDFOQGBFBRQHK-NSHDSACASA-N -1 1 331.380 -0.447 20 0 EBADMM CN(C(=O)c1ccnc(F)c1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032953093 730951544 /nfs/dbraw/zinc/95/15/44/730951544.db2.gz WSYSUOLITAHBKB-NSHDSACASA-N -1 1 334.355 -0.011 20 0 EBADMM CN(C(=O)CC1CCOCC1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032966024 730952755 /nfs/dbraw/zinc/95/27/55/730952755.db2.gz SNJSEIAXGBIOBE-CYBMUJFWSA-N -1 1 337.424 -0.042 20 0 EBADMM CN(C(=O)c1cncc(F)c1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033000826 730955887 /nfs/dbraw/zinc/95/58/87/730955887.db2.gz FBMHDCOFPKFINP-GFCCVEGCSA-N -1 1 334.355 -0.011 20 0 EBADMM Cc1ccnc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001033045938 730962605 /nfs/dbraw/zinc/96/26/05/730962605.db2.gz HHXQGOQAPDYZTR-LLVKDONJSA-N -1 1 331.380 -0.447 20 0 EBADMM CCn1ncc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001033061941 730964625 /nfs/dbraw/zinc/96/46/25/730964625.db2.gz VZCGJXCEUDSRII-SNVBAGLBSA-N -1 1 334.384 -0.934 20 0 EBADMM Cc1cn(C)nc1C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033069326 730965041 /nfs/dbraw/zinc/96/50/41/730965041.db2.gz JCSMDZXKMVEKFV-NSHDSACASA-N -1 1 333.396 -0.503 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033169657 730981781 /nfs/dbraw/zinc/98/17/81/730981781.db2.gz PKYMNGYSQHOYJO-SECBINFHSA-N -1 1 335.368 -0.808 20 0 EBADMM CN(C(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033278583 731000070 /nfs/dbraw/zinc/00/00/70/731000070.db2.gz KPCMNTYDBUBDPO-PWNZVWSESA-N -1 1 349.435 -0.044 20 0 EBADMM C[C@@H](C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)c1cncnc1 ZINC001033356640 731013589 /nfs/dbraw/zinc/01/35/89/731013589.db2.gz QAURKNGIVNECMS-YPMHNXCESA-N -1 1 345.407 -0.265 20 0 EBADMM CCn1cnc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001033588167 731044449 /nfs/dbraw/zinc/04/44/49/731044449.db2.gz LCPVYXTWWQLFQP-NSHDSACASA-N -1 1 333.396 -0.329 20 0 EBADMM CN(C(=O)Cn1c(=O)[n-][nH]c1=O)[C@@H]1CCN(Cc2cccnc2)C1 ZINC001033616060 731047217 /nfs/dbraw/zinc/04/72/17/731047217.db2.gz FDLNELBTWYRFSJ-GFCCVEGCSA-N -1 1 332.364 -0.183 20 0 EBADMM COc1cccnc1C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033617925 731048028 /nfs/dbraw/zinc/04/80/28/731048028.db2.gz UDELYPZYNQEQMZ-NSHDSACASA-N -1 1 346.391 -0.142 20 0 EBADMM CN(C(=O)[C@H]1CC12CCOCC2)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033717525 731069978 /nfs/dbraw/zinc/06/99/78/731069978.db2.gz DJEKWLJWCFBARH-QWHCGFSZSA-N -1 1 349.435 -0.042 20 0 EBADMM CCN(C(=O)c1cncnc1C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033761435 731071541 /nfs/dbraw/zinc/07/15/41/731071541.db2.gz LYSFAEUVUNYNPV-LBPRGKRZSA-N -1 1 345.407 -0.057 20 0 EBADMM CCN(C(=O)c1cn(CC)nn1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034002711 731097554 /nfs/dbraw/zinc/09/75/54/731097554.db2.gz BIHVSELNTAVHEK-LLVKDONJSA-N -1 1 348.411 -0.544 20 0 EBADMM CCN(C(=O)c1[nH]cnc1C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034057864 731101646 /nfs/dbraw/zinc/10/16/46/731101646.db2.gz AGKTWWNBSWEPOW-LLVKDONJSA-N -1 1 333.396 -0.123 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H](NC(=O)C3=CCOCC3)C2)nc1=O ZINC001034179168 731108372 /nfs/dbraw/zinc/10/83/72/731108372.db2.gz YMEIFFZAPHYGSU-CYBMUJFWSA-N -1 1 335.408 -0.074 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H](NC(=O)[C@]34C[C@H]3COC4)C2)nc1=O ZINC001034214741 731112108 /nfs/dbraw/zinc/11/21/08/731112108.db2.gz KHLICCJIVQYHBU-OZVIIMIRSA-N -1 1 335.408 -0.384 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H](NC(=O)[C@]3(F)CCOC3)C2)nc1=O ZINC001034365003 731125554 /nfs/dbraw/zinc/12/55/54/731125554.db2.gz YLEYHGLEMOEQRX-ABAIWWIYSA-N -1 1 341.387 -0.292 20 0 EBADMM Cc1c[nH]c(C(=O)N[C@@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001034435753 731131209 /nfs/dbraw/zinc/13/12/09/731131209.db2.gz JKYQWWVIVAEGTP-LLVKDONJSA-N -1 1 333.396 -0.076 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CC[C@H]([NH2+]Cc3nc(=O)n(C)[n-]3)CC2)c1[O-] ZINC001034625646 731146470 /nfs/dbraw/zinc/14/64/70/731146470.db2.gz BYZUSGMTBGZLNI-MGCOHNPYSA-N -1 1 349.395 -0.324 20 0 EBADMM O=C(c1cccn2nnnc12)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034947430 731166455 /nfs/dbraw/zinc/16/64/55/731166455.db2.gz QJECUDOFYOLWMD-SECBINFHSA-N -1 1 343.351 -0.658 20 0 EBADMM CN1CC[C@@H](CC(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)C1=O ZINC001034965143 731169849 /nfs/dbraw/zinc/16/98/49/731169849.db2.gz JWOMEKKVWANEAI-WDEREUQCSA-N -1 1 336.396 -0.541 20 0 EBADMM CN1CC[C@@H](C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CC1=O ZINC001034976638 731170835 /nfs/dbraw/zinc/17/08/35/731170835.db2.gz XMDPFLDJCRDEAB-GHMZBOCLSA-N -1 1 336.396 -0.541 20 0 EBADMM C[C@H]1CCN(C(=O)C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)C1 ZINC001035097590 731185977 /nfs/dbraw/zinc/18/59/77/731185977.db2.gz WOLRKMNWHXVNLW-WDEREUQCSA-N -1 1 336.396 -0.541 20 0 EBADMM CCn1ccnc1CNC[C@H]1CCCN1C(=O)Cn1c(=O)[n-][nH]c1=O ZINC001035115512 731187462 /nfs/dbraw/zinc/18/74/62/731187462.db2.gz LMHOPNUJEAPQDG-LLVKDONJSA-N -1 1 349.395 -0.314 20 0 EBADMM NC(=O)c1ccnc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)c1 ZINC001035143456 731192389 /nfs/dbraw/zinc/19/23/89/731192389.db2.gz NCBLYSAFDOEXNS-SNVBAGLBSA-N -1 1 345.363 -0.601 20 0 EBADMM O=C([C@H]1CCC(=O)N1)N1CCC2(CCN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035167666 731193875 /nfs/dbraw/zinc/19/38/75/731193875.db2.gz MTWQPLZOHYONRX-LLVKDONJSA-N -1 1 348.407 -0.397 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)c3ccccn3)C2)nc1=O ZINC001035261861 731201862 /nfs/dbraw/zinc/20/18/62/731201862.db2.gz HQFGPAGQTNRBIF-NSHDSACASA-N -1 1 332.364 -0.866 20 0 EBADMM Cn1cccc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035294849 731206921 /nfs/dbraw/zinc/20/69/21/731206921.db2.gz PEKGZASWDAJHMC-LLVKDONJSA-N -1 1 334.380 -0.922 20 0 EBADMM CCc1cc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)[nH]n1 ZINC001035296267 731207152 /nfs/dbraw/zinc/20/71/52/731207152.db2.gz DGPFACSJJZGUKZ-LLVKDONJSA-N -1 1 349.395 -0.975 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)c3cncs3)C2)nc1=O ZINC001035302252 731208691 /nfs/dbraw/zinc/20/86/91/731208691.db2.gz ZJHHDZLFDOKVJI-VIFPVBQESA-N -1 1 338.393 -0.804 20 0 EBADMM Cn1cnnc1CN1CCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001035342278 731221199 /nfs/dbraw/zinc/22/11/99/731221199.db2.gz CKHYNIJNEDJFOQ-NSHDSACASA-N -1 1 332.364 -0.454 20 0 EBADMM CCc1occc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035353948 731226751 /nfs/dbraw/zinc/22/67/51/731226751.db2.gz GWHZRBSZMJVAFX-LLVKDONJSA-N -1 1 349.391 -0.105 20 0 EBADMM C[C@@H]1CCCN(C(=O)Cn2cncn2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036595600 731263137 /nfs/dbraw/zinc/26/31/37/731263137.db2.gz DAHXIDQRYMSMOW-MNOVXSKESA-N -1 1 334.384 -0.481 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cn(C3CCC3)nn2)nc1=O ZINC001038258908 731299987 /nfs/dbraw/zinc/29/99/87/731299987.db2.gz UGYQSFNPDKBUKA-LLVKDONJSA-N -1 1 346.395 -0.571 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cn3c(n2)CCC3)nc1=O ZINC001038269013 731301097 /nfs/dbraw/zinc/30/10/97/731301097.db2.gz MZEGRKOEVBFPGS-JTQLQIEISA-N -1 1 331.380 -0.745 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cncc3[nH]cnc32)nc1=O ZINC001038278117 731301457 /nfs/dbraw/zinc/30/14/57/731301457.db2.gz LSLQAFUXHMEFKN-SECBINFHSA-N -1 1 342.363 -0.616 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2ccn(C(F)F)n2)nc1=O ZINC001038295751 731303658 /nfs/dbraw/zinc/30/36/58/731303658.db2.gz KDCGGLMNTJAZKX-MRVPVSSYSA-N -1 1 341.322 -0.296 20 0 EBADMM CCCn1nccc1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038295278 731303682 /nfs/dbraw/zinc/30/36/82/731303682.db2.gz FJFLKMUTYFYFQG-LLVKDONJSA-N -1 1 333.396 -0.281 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)c(C)nn1 ZINC001038299043 731304110 /nfs/dbraw/zinc/30/41/10/731304110.db2.gz BECOTDJIUIEPOE-NSHDSACASA-N -1 1 331.380 -0.480 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cnc3[nH]cnc3c2)nc1=O ZINC001038304970 731304512 /nfs/dbraw/zinc/30/45/12/731304512.db2.gz DSNBYOKSZWQSSW-JTQLQIEISA-N -1 1 342.363 -0.616 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cc3c([nH]2)CCOC3)nc1=O ZINC001038335019 731308858 /nfs/dbraw/zinc/30/88/58/731308858.db2.gz DIUCYPMYEZBWQT-NSHDSACASA-N -1 1 346.391 -0.487 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cnn3ncccc23)nc1=O ZINC001038348129 731310844 /nfs/dbraw/zinc/31/08/44/731310844.db2.gz CBFLXENZTNHXLI-JTQLQIEISA-N -1 1 342.363 -0.845 20 0 EBADMM COc1cncc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)c1C ZINC001038405316 731319586 /nfs/dbraw/zinc/31/95/86/731319586.db2.gz JBKIHEHZCICYBI-NSHDSACASA-N -1 1 346.391 -0.175 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@@H]2CCn3cncc3C2)nc1=O ZINC001038418684 731321528 /nfs/dbraw/zinc/32/15/28/731321528.db2.gz RVDGUSJITWIYSJ-NEPJUHHUSA-N -1 1 345.407 -0.742 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cn3cccnc3n2)nc1=O ZINC001038452320 731328209 /nfs/dbraw/zinc/32/82/09/731328209.db2.gz UJOSIBZWBBEEPB-JTQLQIEISA-N -1 1 342.363 -0.845 20 0 EBADMM CCOc1nc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)co1 ZINC001038457165 731329066 /nfs/dbraw/zinc/32/90/66/731329066.db2.gz LRWFJGRVAOFSDC-VIFPVBQESA-N -1 1 336.352 -0.501 20 0 EBADMM Cc1nc([C@H](C)N2CC[C@H]2CNC(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC001038464875 731330492 /nfs/dbraw/zinc/33/04/92/731330492.db2.gz HIWKDXHUVNLDQS-XPUUQOCRSA-N -1 1 334.340 -0.725 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@@H]2C[C@H]2C2CCOCC2)nc1=O ZINC001038502330 731336701 /nfs/dbraw/zinc/33/67/01/731336701.db2.gz NBHAASUYQLFMFT-MELADBBJSA-N -1 1 349.435 -0.138 20 0 EBADMM Cc1nc([C@H](C)N2CC[C@H]2CNC(=O)c2cnc([O-])n(C)c2=O)n[nH]1 ZINC001038583977 731347651 /nfs/dbraw/zinc/34/76/51/731347651.db2.gz RSZSHOWKEYEZTL-WPRPVWTQSA-N -1 1 347.379 -0.522 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cnc3n2CCCC3)nc1=O ZINC001038625026 731355730 /nfs/dbraw/zinc/35/57/30/731355730.db2.gz UWJSIWXFYDKWIS-NSHDSACASA-N -1 1 345.407 -0.355 20 0 EBADMM Cc1cc(=O)[nH]cc1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038695786 731365486 /nfs/dbraw/zinc/36/54/86/731365486.db2.gz SOIKOIHXPJKTDT-JTQLQIEISA-N -1 1 332.364 -0.478 20 0 EBADMM CCn1cc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)c(C)n1 ZINC001038751583 731371414 /nfs/dbraw/zinc/37/14/14/731371414.db2.gz BAAGXBUOLSWJJJ-NSHDSACASA-N -1 1 333.396 -0.362 20 0 EBADMM CN(C)c1cc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)ccn1 ZINC001038768818 731374104 /nfs/dbraw/zinc/37/41/04/731374104.db2.gz SQHPWQRQSNQBGA-GFCCVEGCSA-N -1 1 345.407 -0.426 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cnc3cccnn32)nc1=O ZINC001038777180 731375213 /nfs/dbraw/zinc/37/52/13/731375213.db2.gz BYQKGBRDGFJUEX-JTQLQIEISA-N -1 1 342.363 -0.845 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cnn3ccccc23)nc1=O ZINC001038821117 731381588 /nfs/dbraw/zinc/38/15/88/731381588.db2.gz XNOMOYPJMVQFAC-NSHDSACASA-N -1 1 341.375 -0.240 20 0 EBADMM Cc1ncc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)c(C)n1 ZINC001038823061 731381913 /nfs/dbraw/zinc/38/19/13/731381913.db2.gz NRIWTSVODSGIFU-LLVKDONJSA-N -1 1 331.380 -0.480 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2c[nH]nc2C2CC2)nc1=O ZINC001038867803 731387052 /nfs/dbraw/zinc/38/70/52/731387052.db2.gz WFYCQWYKXQDCHY-JTQLQIEISA-N -1 1 331.380 -0.287 20 0 EBADMM CCc1nc(C)c(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)o1 ZINC001038881403 731387626 /nfs/dbraw/zinc/38/76/26/731387626.db2.gz GHDNFYMBBWWHQL-SNVBAGLBSA-N -1 1 334.380 -0.028 20 0 EBADMM O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[n-]1)[C@@H]1CCc2[nH]cnc2C1 ZINC001038893851 731388765 /nfs/dbraw/zinc/38/87/65/731388765.db2.gz OOMOUFLWEULRCH-NXEZZACHSA-N -1 1 331.380 -0.271 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCN1Cc1nncn1C ZINC001038917628 731392157 /nfs/dbraw/zinc/39/21/57/731392157.db2.gz RTPCYQPTYSGQPR-QMMMGPOBSA-N -1 1 349.351 -0.971 20 0 EBADMM O=C(NC[C@H]1CCN1Cc1csnn1)c1cc(=O)n2[n-]cnc2n1 ZINC001038919195 731392576 /nfs/dbraw/zinc/39/25/76/731392576.db2.gz BUJLWTYUGNDJBV-SECBINFHSA-N -1 1 346.376 -0.727 20 0 EBADMM CN(C)c1ccc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)nc1 ZINC001039064035 731413920 /nfs/dbraw/zinc/41/39/20/731413920.db2.gz VODJTGPCIONQQN-GFCCVEGCSA-N -1 1 345.407 -0.426 20 0 EBADMM CO[C@@H](C(=O)NC[C@]1(O)CCN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC001039597033 731458514 /nfs/dbraw/zinc/45/85/14/731458514.db2.gz OPTTWUBSSJZBIW-RHSMWYFYSA-N -1 1 349.387 -0.095 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@]3(CCN(C(=O)c4ncc[nH]4)C3)C2)nc1=O ZINC001040196186 731639394 /nfs/dbraw/zinc/63/93/94/731639394.db2.gz VGLSAELLBVECAT-MRXNPFEDSA-N -1 1 345.407 -0.040 20 0 EBADMM O=C(NC[C@]1(O)CCN(C(=O)c2ncccc2[O-])C1)c1cnon1 ZINC001040666539 731817081 /nfs/dbraw/zinc/81/70/81/731817081.db2.gz WYDNEKSQLONZDC-CQSZACIVSA-N -1 1 333.304 -0.823 20 0 EBADMM O=C(NC[C@@]1(O)CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCOC1 ZINC001040790130 731874677 /nfs/dbraw/zinc/87/46/77/731874677.db2.gz IOXSVLCNJADRGS-BZNIZROVSA-N -1 1 335.360 -0.483 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(CCN(C(=O)[C@H]4CCOC4)C3)C2)nc1=O ZINC001041124217 731997197 /nfs/dbraw/zinc/99/71/97/731997197.db2.gz ZFQBDOXLIROKQZ-BLLLJJGKSA-N -1 1 335.408 -0.431 20 0 EBADMM O=C(Cc1ccn[nH]1)N1CC[C@]2(CCN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001041163071 732015288 /nfs/dbraw/zinc/01/52/88/732015288.db2.gz XZQLMFROUSKDLQ-HNNXBMFYSA-N -1 1 331.380 -0.100 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(CCN(C(=O)c4ncc[nH]4)C3)C2)nc1=O ZINC001041265656 732058044 /nfs/dbraw/zinc/05/80/44/732058044.db2.gz KPPJBUREKAZVSX-HNNXBMFYSA-N -1 1 331.380 -0.430 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(CCN(C(=O)c4cnon4)C3)C2)nc1=O ZINC001041748160 732213083 /nfs/dbraw/zinc/21/30/83/732213083.db2.gz JAXAUTVFWUEMOX-CQSZACIVSA-N -1 1 333.352 -0.770 20 0 EBADMM Cc1cc(CC(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)no1 ZINC001042162630 732339663 /nfs/dbraw/zinc/33/96/63/732339663.db2.gz RXHYWNUALWHXKR-UHFFFAOYSA-N -1 1 346.343 -0.371 20 0 EBADMM O=C(C[C@H]1CCOC1)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001042244847 732373366 /nfs/dbraw/zinc/37/33/66/732373366.db2.gz JEXSESKVQYHDLS-LLVKDONJSA-N -1 1 335.360 -0.483 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)c4ccnnc4)C[C@@H]32)nc1=O ZINC001042245627 732374535 /nfs/dbraw/zinc/37/45/35/732374535.db2.gz REOIYAHCYULXQF-AAEUAGOBSA-N -1 1 343.391 -0.365 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)c4cnon4)C[C@H]32)nc1=O ZINC001042275292 732387013 /nfs/dbraw/zinc/38/70/13/732387013.db2.gz AKZIAGZFKORGLJ-MWLCHTKSSA-N -1 1 333.352 -0.772 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)c4ncccn4)C[C@@H]32)nc1=O ZINC001042279270 732388446 /nfs/dbraw/zinc/38/84/46/732388446.db2.gz ICBOXGZWUHVMJU-NEPJUHHUSA-N -1 1 343.391 -0.365 20 0 EBADMM CSCCC(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042712516 732546284 /nfs/dbraw/zinc/54/62/84/732546284.db2.gz BIZMXNDHRMUDRJ-UHFFFAOYSA-N -1 1 325.390 -0.157 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)c1C ZINC001042713393 732547139 /nfs/dbraw/zinc/54/71/39/732547139.db2.gz WLHLWFIRYNZTMG-UHFFFAOYSA-N -1 1 345.359 -0.256 20 0 EBADMM CC(C)(C)OCC(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042713400 732547146 /nfs/dbraw/zinc/54/71/46/732547146.db2.gz WWMQNARJUZRPHX-UHFFFAOYSA-N -1 1 337.376 -0.095 20 0 EBADMM CC1(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)CCOCC1 ZINC001042715843 732547884 /nfs/dbraw/zinc/54/78/84/732547884.db2.gz CMBCZXGUPIPBSK-UHFFFAOYSA-N -1 1 349.387 -0.093 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ccnnc2)C1)c1ncccc1[O-] ZINC001042719570 732550102 /nfs/dbraw/zinc/55/01/02/732550102.db2.gz GGRVXAPVUFFEQF-UHFFFAOYSA-N -1 1 329.316 -0.806 20 0 EBADMM CCc1nocc1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042719590 732550393 /nfs/dbraw/zinc/55/03/93/732550393.db2.gz HAMYRGJDDYVACB-UHFFFAOYSA-N -1 1 346.343 -0.046 20 0 EBADMM CCn1ccc(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)n1 ZINC001042720552 732551853 /nfs/dbraw/zinc/55/18/53/732551853.db2.gz YZDLJZPZJPLEBN-UHFFFAOYSA-N -1 1 345.359 -0.380 20 0 EBADMM CN(C(=O)C1(F)CCOCC1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042874812 732638144 /nfs/dbraw/zinc/63/81/44/732638144.db2.gz NPNCHVNLQDKPGE-UHFFFAOYSA-N -1 1 327.360 -0.730 20 0 EBADMM CN(C(=O)c1cnc(C2CC2)o1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042948440 732677532 /nfs/dbraw/zinc/67/75/32/732677532.db2.gz UKQVWZGRFZMMRN-UHFFFAOYSA-N -1 1 332.364 -0.070 20 0 EBADMM Cc1ccc(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)nn1 ZINC001042973474 732692469 /nfs/dbraw/zinc/69/24/69/732692469.db2.gz WDWXKCLWRPZWQY-UHFFFAOYSA-N -1 1 343.343 -0.497 20 0 EBADMM CN(C(=O)c1cc2n(n1)CCCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043021926 732714838 /nfs/dbraw/zinc/71/48/38/732714838.db2.gz IRRHKQRGCOLSQW-UHFFFAOYSA-N -1 1 345.407 -0.402 20 0 EBADMM CCO[C@@H](C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC001043173457 732806836 /nfs/dbraw/zinc/80/68/36/732806836.db2.gz XFVPTMPJXGUCEL-CQSZACIVSA-N -1 1 349.387 -0.095 20 0 EBADMM CCc1nnsc1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043254839 732844451 /nfs/dbraw/zinc/84/44/51/732844451.db2.gz INXSVTGZEIMDDC-UHFFFAOYSA-N -1 1 337.409 -0.521 20 0 EBADMM Cc1ncc(CC(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)o1 ZINC001043450693 732948093 /nfs/dbraw/zinc/94/80/93/732948093.db2.gz KIPRGEPRRNKOGK-UHFFFAOYSA-N -1 1 346.343 -0.371 20 0 EBADMM CN(C(=O)C1(C2CC2)CCOCC1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043465713 732957264 /nfs/dbraw/zinc/95/72/64/732957264.db2.gz CHUDRDCHBMRQOH-UHFFFAOYSA-N -1 1 349.435 -0.042 20 0 EBADMM CN(C(=O)c1cnn2cccnc12)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043505031 732976381 /nfs/dbraw/zinc/97/63/81/732976381.db2.gz XKCPAOYNAYRQRK-UHFFFAOYSA-N -1 1 342.363 -0.893 20 0 EBADMM COc1ccc(CC(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)nc1 ZINC001043633430 733034640 /nfs/dbraw/zinc/03/46/40/733034640.db2.gz MQSYUJASKUQUPT-UHFFFAOYSA-N -1 1 346.391 -0.603 20 0 EBADMM CN(C(=O)c1ncn(C(C)(C)C)n1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043866218 733162263 /nfs/dbraw/zinc/16/22/63/733162263.db2.gz UGLJQCYGNUEBAF-UHFFFAOYSA-N -1 1 348.411 -0.589 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CN(c3ncnc4[nH]cnc43)C[C@@H]2O)c1[O-] ZINC001043892642 733182196 /nfs/dbraw/zinc/18/21/96/733182196.db2.gz CAEJUTTYBIAJIF-SFYZADRCSA-N -1 1 344.335 -0.930 20 0 EBADMM C[C@H]1OCC[C@@]1(C)C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001043943688 733212455 /nfs/dbraw/zinc/21/24/55/733212455.db2.gz DASVKMJKYZELLU-BDJLRTHQSA-N -1 1 349.387 -0.095 20 0 EBADMM CC(C)c1nccn1CC(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043987628 733232866 /nfs/dbraw/zinc/23/28/66/733232866.db2.gz FVLNDPDSIVXZIK-UHFFFAOYSA-N -1 1 347.423 -0.229 20 0 EBADMM CN(C(=O)c1cc(C2CC2)no1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043988377 733233763 /nfs/dbraw/zinc/23/37/63/733233763.db2.gz RELZPKFCYDQMAG-UHFFFAOYSA-N -1 1 332.364 -0.070 20 0 EBADMM Cc1cc(CN2CC(N(C)C(=O)c3cc(=O)n4[n-]cnc4n3)C2)no1 ZINC001044182607 733322298 /nfs/dbraw/zinc/32/22/98/733322298.db2.gz WKZDOKBFLDSZAF-UHFFFAOYSA-N -1 1 343.347 -0.330 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(Cc2ccccn2)C1 ZINC001044182099 733322356 /nfs/dbraw/zinc/32/23/56/733322356.db2.gz PSNFUKDQJNKHGX-UHFFFAOYSA-N -1 1 339.359 -0.231 20 0 EBADMM CN(C(=O)Cn1c(=O)[n-][nH]c1=O)C1CN(CC[C@@H]2CCCO2)C1 ZINC001044236334 733333514 /nfs/dbraw/zinc/33/35/14/733333514.db2.gz KSOPJIVKJUNDOZ-NSHDSACASA-N -1 1 325.369 -0.599 20 0 EBADMM CCc1cc(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)no1 ZINC001044240062 733334961 /nfs/dbraw/zinc/33/49/61/733334961.db2.gz MFHPYEGWDMLWTR-UHFFFAOYSA-N -1 1 346.343 -0.046 20 0 EBADMM Cc1nnc(CN[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)[C@H](C)C2)[nH]1 ZINC001044664505 733474639 /nfs/dbraw/zinc/47/46/39/733474639.db2.gz TWOKGWXZMVCPCT-APPZFPTMSA-N -1 1 348.367 -0.508 20 0 EBADMM Cc1nnc(CN[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)[C@H](C)C2)o1 ZINC001044663845 733474767 /nfs/dbraw/zinc/47/47/67/733474767.db2.gz NWSZAZSRDKVHRC-VXNVDRBHSA-N -1 1 349.351 -0.243 20 0 EBADMM C[C@@H]1C[C@@H](NCc2nncn2C)CCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001044663485 733474984 /nfs/dbraw/zinc/47/49/84/733474984.db2.gz LJCQGOQHQFFUGB-BDAKNGLRSA-N -1 1 348.367 -0.806 20 0 EBADMM C[C@H]1C[C@H](NCc2ncccn2)CCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001044665404 733475243 /nfs/dbraw/zinc/47/52/43/733475243.db2.gz YKCFBZOYDPVINX-VHSXEESVSA-N -1 1 345.363 -0.144 20 0 EBADMM O=C(CCn1ccccc1=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045058963 733569025 /nfs/dbraw/zinc/56/90/25/733569025.db2.gz CDKVSKIIIPCGJO-LBPRGKRZSA-N -1 1 346.391 -0.157 20 0 EBADMM O=C([C@@H]1CCS(=O)(=O)C1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045111427 733586164 /nfs/dbraw/zinc/58/61/64/733586164.db2.gz JTRJNRQWIWBKAE-ZJUUUORDSA-N -1 1 343.409 -0.974 20 0 EBADMM O=C([C@H]1CCS(=O)(=O)C1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045111426 733586219 /nfs/dbraw/zinc/58/62/19/733586219.db2.gz JTRJNRQWIWBKAE-UWVGGRQHSA-N -1 1 343.409 -0.974 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)o1 ZINC001045115397 733588188 /nfs/dbraw/zinc/58/81/88/733588188.db2.gz WCVGKRSKZNLRBS-QMMMGPOBSA-N -1 1 334.336 -0.403 20 0 EBADMM O=C(Cc1ccc(=O)[nH]c1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045124991 733591004 /nfs/dbraw/zinc/59/10/04/733591004.db2.gz WWWFJJSWLVBIFE-NSHDSACASA-N -1 1 332.364 -0.066 20 0 EBADMM CCn1ccnc1CNC[C@@H]1CCCN1C(=O)Cn1c(=O)[n-][nH]c1=O ZINC001045288888 733651762 /nfs/dbraw/zinc/65/17/62/733651762.db2.gz LMHOPNUJEAPQDG-NSHDSACASA-N -1 1 349.395 -0.314 20 0 EBADMM CN1C(=O)CCC[C@@H]1C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045294876 733656052 /nfs/dbraw/zinc/65/60/52/733656052.db2.gz WSDZIWYLFGWUGY-WDEREUQCSA-N -1 1 336.396 -0.398 20 0 EBADMM O=C(CCN1CCCC1=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045314604 733666791 /nfs/dbraw/zinc/66/67/91/733666791.db2.gz JNEFVRMUGHVQJA-NSHDSACASA-N -1 1 336.396 -0.397 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)cn1 ZINC001045329260 733669907 /nfs/dbraw/zinc/66/99/07/733669907.db2.gz DJMXLGVTSVBMHE-JTQLQIEISA-N -1 1 345.363 -0.601 20 0 EBADMM C[C@@]1(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)CCCOC1 ZINC001045362893 733677027 /nfs/dbraw/zinc/67/70/27/733677027.db2.gz VQKDEWOSAXZIRH-MRXNPFEDSA-N -1 1 349.387 -0.093 20 0 EBADMM Cn1nccc1C(=O)NC1(C)CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001045384957 733682121 /nfs/dbraw/zinc/68/21/21/733682121.db2.gz YCHHENMXIFXLOG-UHFFFAOYSA-N -1 1 333.396 -0.374 20 0 EBADMM CCn1nncc1C(=O)NC1(C)CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001045431927 733694491 /nfs/dbraw/zinc/69/44/91/733694491.db2.gz LERZBXXPRKXOTR-UHFFFAOYSA-N -1 1 348.411 -0.496 20 0 EBADMM O=C(Cc1cnoc1)NC[C@H]1COCCN1C(=O)c1ncccc1[O-] ZINC001061800485 738756292 /nfs/dbraw/zinc/75/62/92/738756292.db2.gz IDTQJTIZCXLPSC-LBPRGKRZSA-N -1 1 346.343 -0.025 20 0 EBADMM Cc1ncncc1C(=O)N[C@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001046167722 733871067 /nfs/dbraw/zinc/87/10/67/733871067.db2.gz FDQNBKNSPCKRIA-OAHLLOKOSA-N -1 1 331.380 -0.399 20 0 EBADMM CCn1nncc1C(=O)N[C@@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001046290322 733903299 /nfs/dbraw/zinc/90/32/99/733903299.db2.gz AKXKOHDLUXULSS-AWEZNQCLSA-N -1 1 334.384 -0.886 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](C)(NC(=O)c3cnc4n3CCC4)C2)nc1=O ZINC001046385823 733924081 /nfs/dbraw/zinc/92/40/81/733924081.db2.gz ROOODMYPIOYMIF-MRXNPFEDSA-N -1 1 345.407 -0.355 20 0 EBADMM COc1nccc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001046477227 733944490 /nfs/dbraw/zinc/94/44/90/733944490.db2.gz RHNQEIDKYHDWAZ-HNNXBMFYSA-N -1 1 347.379 -0.699 20 0 EBADMM COc1cccnc1C(=O)N[C@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001046800363 734029437 /nfs/dbraw/zinc/02/94/37/734029437.db2.gz CKAZLQXJWUAKEV-MRXNPFEDSA-N -1 1 346.391 -0.094 20 0 EBADMM O=C(NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)CCC1(F)F)c1cnn[nH]1 ZINC001046951938 734070210 /nfs/dbraw/zinc/07/02/10/734070210.db2.gz UOMRUDXSVVWMOK-SSDOTTSWSA-N -1 1 342.310 -0.484 20 0 EBADMM O=C(Cc1c[nH]cn1)N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047150588 734101254 /nfs/dbraw/zinc/10/12/54/734101254.db2.gz QFZWVIRYTHSRMY-UMNHJUIQSA-N -1 1 331.380 -0.055 20 0 EBADMM NC(=O)C(=O)N1CCC[C@H](C2CCN(Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001047252465 734112593 /nfs/dbraw/zinc/11/25/93/734112593.db2.gz CQNHSVPFOXZFPZ-NSHDSACASA-N -1 1 336.396 -0.554 20 0 EBADMM Cc1cc(C(=O)N(C)[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)oc1C ZINC001047347636 734144863 /nfs/dbraw/zinc/14/48/63/734144863.db2.gz FGWQCHYUNHAZAE-RYUDHWBXSA-N -1 1 349.391 -0.364 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(CC(=O)N2CCCC2)C[C@@H]1O ZINC001047358462 734151892 /nfs/dbraw/zinc/15/18/92/734151892.db2.gz YIHAKKWBZNRDLX-JSGCOSHPSA-N -1 1 348.403 -0.473 20 0 EBADMM C[C@@H](C(=O)N(C)C)N1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001047358501 734151919 /nfs/dbraw/zinc/15/19/19/734151919.db2.gz AJXUMLFIFIZDMV-GVXVVHGQSA-N -1 1 336.392 -0.619 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(Cc2cnn(C)c2)C[C@@H]1O ZINC001047358582 734151923 /nfs/dbraw/zinc/15/19/23/734151923.db2.gz FWMPIXCYMOYURI-JSGCOSHPSA-N -1 1 331.376 -0.162 20 0 EBADMM Cc1nonc1CN1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001047358556 734151934 /nfs/dbraw/zinc/15/19/34/734151934.db2.gz DLQIJCFMYHYMIL-AAEUAGOBSA-N -1 1 333.348 -0.204 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(Cc2cn(C)nn2)C[C@@H]1O ZINC001047359542 734152546 /nfs/dbraw/zinc/15/25/46/734152546.db2.gz ZKCGZMWFNWWCKP-AAEUAGOBSA-N -1 1 332.364 -0.767 20 0 EBADMM Cc1ccn(C)c1C(=O)N(C)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047362457 734153964 /nfs/dbraw/zinc/15/39/64/734153964.db2.gz UZRHBFJYSQUXBI-RYUDHWBXSA-N -1 1 348.407 -0.927 20 0 EBADMM CN(C(=O)[C@@H]1CCC1(C)C)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047495168 734224979 /nfs/dbraw/zinc/22/49/79/734224979.db2.gz XOFCPTWNEPNRGS-SRVKXCTJSA-N -1 1 337.424 -0.452 20 0 EBADMM CCc1ccoc1C(=O)N(C)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047514362 734234040 /nfs/dbraw/zinc/23/40/40/734234040.db2.gz AXCSSGULOHWFKV-RYUDHWBXSA-N -1 1 349.391 -0.419 20 0 EBADMM CN(C(=O)[C@@]12C[C@@H]1CCCC2)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047520112 734235975 /nfs/dbraw/zinc/23/59/75/734235975.db2.gz GEVWGDQSXGVQAV-MRHIQRDNSA-N -1 1 349.435 -0.308 20 0 EBADMM Cc1noc(CNC[C@@H]2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@H]2C)n1 ZINC001048305454 734386472 /nfs/dbraw/zinc/38/64/72/734386472.db2.gz UJJAAISNBKIBSM-VXNVDRBHSA-N -1 1 349.351 -0.528 20 0 EBADMM C[C@H]1CN(C(=O)c2cnc([O-])n(C)c2=O)C[C@H]1CNCc1cnon1 ZINC001048337400 734392899 /nfs/dbraw/zinc/39/28/99/734392899.db2.gz HXMZARXWPCKJOA-VHSXEESVSA-N -1 1 348.363 -0.633 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnc([O-])n(C)c2=O)C[C@@H]1CNCc1ccon1 ZINC001048338756 734394073 /nfs/dbraw/zinc/39/40/73/734394073.db2.gz NRLNIUDLKUPTLD-MNOVXSKESA-N -1 1 347.375 -0.028 20 0 EBADMM Cn1cc(C(=O)NC[C@H]2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[n-]2)nn1 ZINC001048522629 734435234 /nfs/dbraw/zinc/43/52/34/734435234.db2.gz CICLMXUZBMJMSQ-JGPRNRPPSA-N -1 1 346.395 -0.188 20 0 EBADMM CO[C@H](C(=O)N(C)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)C1CC1 ZINC001048765582 734498758 /nfs/dbraw/zinc/49/87/58/734498758.db2.gz LJOHKDCKCSWZAR-WHOFXGATSA-N -1 1 349.387 -0.144 20 0 EBADMM Cn1nnnc1CN1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC001048783056 734508158 /nfs/dbraw/zinc/50/81/58/734508158.db2.gz VLWTVNGKXCNSKU-PHIMTYICSA-N -1 1 329.364 -0.485 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4ccns4)C[C@@H]3C2)nc1=O ZINC001048850706 734536446 /nfs/dbraw/zinc/53/64/46/734536446.db2.gz KWKIXTKWCXXKFO-AOOOYVTPSA-N -1 1 334.405 -0.231 20 0 EBADMM O=C(c1cnc[nH]c1=O)N1C[C@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C1 ZINC001048884384 734557191 /nfs/dbraw/zinc/55/71/91/734557191.db2.gz RZPIKDAKZWDAQY-DTORHVGOSA-N -1 1 331.336 -0.790 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@@H]2CCCN3C(=O)c2cn[nH]c2)nc1=O ZINC001049353233 734713929 /nfs/dbraw/zinc/71/39/29/734713929.db2.gz KDJUGBSOSGJPBO-RYUDHWBXSA-N -1 1 331.380 -0.289 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@H]2CCCN3C(=O)Cc2ccon2)nc1=O ZINC001049377863 734717199 /nfs/dbraw/zinc/71/71/99/734717199.db2.gz RUVFTDWDJSSZHN-OLZOCXBDSA-N -1 1 346.391 -0.096 20 0 EBADMM O=C(CCc1nc[nH]n1)N1CCC[C@@H]2[C@@H]1CCN2Cc1n[nH]c(=O)[n-]1 ZINC001049411592 734724610 /nfs/dbraw/zinc/72/46/10/734724610.db2.gz PRLUTVZFPQMGOD-MNOVXSKESA-N -1 1 346.395 -0.174 20 0 EBADMM Cn1cnc(C(=O)N2CCC[C@@H]3[C@H]2CCN3Cc2nc(=O)n(C)[n-]2)c1 ZINC001049420651 734726079 /nfs/dbraw/zinc/72/60/79/734726079.db2.gz WDYLKMCMFOFMJM-CHWSQXEVSA-N -1 1 345.407 -0.279 20 0 EBADMM Cn1cncc1C(=O)N1CCC[C@@H]2[C@H]1CCN2Cc1nc(=O)n(C)[n-]1 ZINC001049576977 734758430 /nfs/dbraw/zinc/75/84/30/734758430.db2.gz NBRDRIIYHCICQJ-VXGBXAGGSA-N -1 1 345.407 -0.279 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@@H]2CCCN3C(=O)c2cnco2)nc1=O ZINC001049620222 734767084 /nfs/dbraw/zinc/76/70/84/734767084.db2.gz IZKPDXUNOZDDMD-WDEREUQCSA-N -1 1 332.364 -0.025 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CCC[C@@H]2[C@@H]1CCN2Cc1nc(=O)n(C)[n-]1 ZINC001049632526 734768906 /nfs/dbraw/zinc/76/89/06/734768906.db2.gz YAAKUILTMKVQLX-MNOVXSKESA-N -1 1 346.395 -0.586 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@H]2CCCN3C(=O)Cn2ccnc2)nc1=O ZINC001049932517 734809590 /nfs/dbraw/zinc/80/95/90/734809590.db2.gz NKTQJSXSXRQXEP-CHWSQXEVSA-N -1 1 345.407 -0.430 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCCN(C(=O)c4cnsn4)[C@@H]3C2)nc1=O ZINC001049980182 734816871 /nfs/dbraw/zinc/81/68/71/734816871.db2.gz HQRYTHRHMHKZNS-GXSJLCMTSA-N -1 1 349.420 -0.304 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCCN(C(=O)c4ccon4)[C@@H]3C2)nc1=O ZINC001049985708 734819492 /nfs/dbraw/zinc/81/94/92/734819492.db2.gz IUTJCKBXARLYJJ-CMPLNLGQSA-N -1 1 332.364 -0.167 20 0 EBADMM C[C@@H](NC(=O)Cn1c(=O)[n-][nH]c1=O)[C@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001050103461 734842503 /nfs/dbraw/zinc/84/25/03/734842503.db2.gz LHZVDWIUYDLJBU-BDAKNGLRSA-N -1 1 349.351 -0.921 20 0 EBADMM Cc1c[nH]c(C(=O)N2CCC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)n1 ZINC001050160728 734857082 /nfs/dbraw/zinc/85/70/82/734857082.db2.gz QEEINJZKLPFMKH-NWDGAFQWSA-N -1 1 345.407 -0.123 20 0 EBADMM C[C@@H](NC(=O)Cn1cnnn1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050532056 734919939 /nfs/dbraw/zinc/91/99/39/734919939.db2.gz WOQWUGJBUVRYKR-MNOVXSKESA-N -1 1 345.363 -0.559 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)c2ccccc2F)nc1=O ZINC001050787170 734959743 /nfs/dbraw/zinc/95/97/43/734959743.db2.gz KBBLVVUULUAVQE-NSHDSACASA-N -1 1 349.366 -0.122 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)c2cncs2)nc1=O ZINC001050833964 734970200 /nfs/dbraw/zinc/97/02/00/734970200.db2.gz KJFCYQILGDUIRR-SECBINFHSA-N -1 1 338.393 -0.804 20 0 EBADMM Cc1coc(C)c1C(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001050904157 734997260 /nfs/dbraw/zinc/99/72/60/734997260.db2.gz WXWVYIICTPTSSI-LBPRGKRZSA-N -1 1 349.391 -0.051 20 0 EBADMM CN1CCCC[C@@H]1C(=O)NC[C@@H]1COCCN1Cc1n[nH]c(=O)[n-]1 ZINC001050983310 735024514 /nfs/dbraw/zinc/02/45/14/735024514.db2.gz SWJDPBGWSYTNHF-VXGBXAGGSA-N -1 1 338.412 -0.688 20 0 EBADMM Cc1cocc1C(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001051064021 735051917 /nfs/dbraw/zinc/05/19/17/735051917.db2.gz LDDGMLGTLKXLIW-LLVKDONJSA-N -1 1 335.364 -0.359 20 0 EBADMM O=C(NC[C@H]1COCCN1CC1CC1)c1cc(=O)n2[n-]cnc2n1 ZINC001051209754 735099816 /nfs/dbraw/zinc/09/98/16/735099816.db2.gz MPVBKWJSXFYAJO-NSHDSACASA-N -1 1 332.364 -0.742 20 0 EBADMM O=C(NC[C@@H]1COCCN1CCC1CC1)c1cc(=O)n2[n-]cnc2n1 ZINC001051210719 735100474 /nfs/dbraw/zinc/10/04/74/735100474.db2.gz ZMOPXMZNOVMURF-GFCCVEGCSA-N -1 1 346.391 -0.352 20 0 EBADMM CCn1cccc1C(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001051245300 735109744 /nfs/dbraw/zinc/10/97/44/735109744.db2.gz KGTLACFTWLRCAX-GFCCVEGCSA-N -1 1 348.407 -0.439 20 0 EBADMM Cc1nnsc1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051424843 735148016 /nfs/dbraw/zinc/14/80/16/735148016.db2.gz RFIHKKHPJFZXOZ-MRVPVSSYSA-N -1 1 339.381 -0.699 20 0 EBADMM Cc1c(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)cnn1C ZINC001051440636 735153023 /nfs/dbraw/zinc/15/30/23/735153023.db2.gz ZBPUFDZDCVBYRN-SNVBAGLBSA-N -1 1 335.368 -0.817 20 0 EBADMM C[C@@H](C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)n1cccn1 ZINC001051457750 735159466 /nfs/dbraw/zinc/15/94/66/735159466.db2.gz OJJBOTUNYANDMM-QWRGUYRKSA-N -1 1 335.368 -0.715 20 0 EBADMM Cc1nn(C)cc1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051494682 735197905 /nfs/dbraw/zinc/19/79/05/735197905.db2.gz WIGUCQLZNRMNQC-SNVBAGLBSA-N -1 1 335.368 -0.817 20 0 EBADMM CCc1ocnc1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051504546 735213339 /nfs/dbraw/zinc/21/33/39/735213339.db2.gz HQIMELFIGNXTHJ-SECBINFHSA-N -1 1 336.352 -0.309 20 0 EBADMM Cc1ccncc1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051513042 735218041 /nfs/dbraw/zinc/21/80/41/735218041.db2.gz TYNGTWSDQCGMEL-LLVKDONJSA-N -1 1 332.364 -0.155 20 0 EBADMM Cc1cncc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001051512819 735218098 /nfs/dbraw/zinc/21/80/98/735218098.db2.gz OZBSWZRXYTZPKM-LBPRGKRZSA-N -1 1 332.364 -0.155 20 0 EBADMM Cn1cnnc1CNC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001051566391 735237397 /nfs/dbraw/zinc/23/73/97/735237397.db2.gz LYSCPYOVGRKSBU-NSHDSACASA-N -1 1 332.364 -0.454 20 0 EBADMM Cn1ncc(CNC[C@@H]2CN(C(=O)c3ncccc3[O-])CCO2)n1 ZINC001051567585 735239095 /nfs/dbraw/zinc/23/90/95/735239095.db2.gz YOBOUXLGOGCMHH-GFCCVEGCSA-N -1 1 332.364 -0.454 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)c3ccncn3)CC2)nc1=O ZINC001052800673 735694939 /nfs/dbraw/zinc/69/49/39/735694939.db2.gz FFSXXVBORDYVOF-LLVKDONJSA-N -1 1 331.380 -0.317 20 0 EBADMM Cc1ccc(C(=O)N2CCOC3(CN(Cc4nc(=O)n(C)[n-]4)C3)C2)o1 ZINC001053143748 735758588 /nfs/dbraw/zinc/75/85/88/735758588.db2.gz REQBOXVCIZRNGF-UHFFFAOYSA-N -1 1 347.375 -0.263 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)CC(C)(C)C)CCO3)nc1=O ZINC001053153541 735760699 /nfs/dbraw/zinc/76/06/99/735760699.db2.gz GEWIINWVKYSRPW-UHFFFAOYSA-N -1 1 337.424 -0.042 20 0 EBADMM CCc1cc(C(=O)N2CCOC3(CN(Cc4n[nH]c(=O)[n-]4)C3)C2)n[nH]1 ZINC001053162449 735763838 /nfs/dbraw/zinc/76/38/38/735763838.db2.gz JLWOFLVZWGIXCR-UHFFFAOYSA-N -1 1 347.379 -0.477 20 0 EBADMM CC(C)=C(F)C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053216498 735779435 /nfs/dbraw/zinc/77/94/35/735779435.db2.gz KNQIXEUPWHDPFC-UHFFFAOYSA-N -1 1 339.371 -0.215 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)C2CC(C)(C)C2)CCO3)nc1=O ZINC001053242542 735793666 /nfs/dbraw/zinc/79/36/66/735793666.db2.gz GRIVKYLJXZJPOL-UHFFFAOYSA-N -1 1 349.435 -0.042 20 0 EBADMM C[C@H](O)CN1CC2(C1)CN(C(=O)c1cnc(C3CC3)[n-]c1=O)CCO2 ZINC001053461444 735882367 /nfs/dbraw/zinc/88/23/67/735882367.db2.gz RBQUYWRDMUJNCY-NSHDSACASA-N -1 1 348.403 -0.033 20 0 EBADMM CC(C)CN1CC2(C1)CC[C@H](CNC(=O)c1n[nH]c(=O)[n-]c1=O)O2 ZINC001053627020 735949205 /nfs/dbraw/zinc/94/92/05/735949205.db2.gz LCOJGWQFOXCOFW-SNVBAGLBSA-N -1 1 337.380 -0.098 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CC[C@H](CNC(=O)[C@@H]2CC24CC4)O3)nc1=O ZINC001053669453 735960593 /nfs/dbraw/zinc/96/05/93/735960593.db2.gz ZOKDBLHHWQULQP-NEPJUHHUSA-N -1 1 347.419 -0.242 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)C[C@@H]2C=CCC2)CO3)nc1=O ZINC001053733894 735983847 /nfs/dbraw/zinc/98/38/47/735983847.db2.gz GYHALNGDWUOLPJ-CHWSQXEVSA-N -1 1 347.419 -0.076 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)c2ccc[nH]2)CO3)nc1=O ZINC001053739162 735986037 /nfs/dbraw/zinc/98/60/37/735986037.db2.gz AZBDDYVECLEDCL-JTQLQIEISA-N -1 1 332.364 -0.790 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1(CNC(=O)CC2CC2)CCC1 ZINC001062388147 738910522 /nfs/dbraw/zinc/91/05/22/738910522.db2.gz FHMUIMRCIXAMAH-UHFFFAOYSA-N -1 1 348.403 -0.118 20 0 EBADMM Cn1nnc(CN2CC3(C2)C[C@@H](NC(=O)c2ncccc2[O-])CO3)n1 ZINC001053784364 736013989 /nfs/dbraw/zinc/01/39/89/736013989.db2.gz MHYMTKZNOBSZBJ-SNVBAGLBSA-N -1 1 345.363 -0.916 20 0 EBADMM Cn1nnc(CN2CC3(C2)C[C@H](NC(=O)c2ncccc2[O-])CO3)n1 ZINC001053784363 736014082 /nfs/dbraw/zinc/01/40/82/736014082.db2.gz MHYMTKZNOBSZBJ-JTQLQIEISA-N -1 1 345.363 -0.916 20 0 EBADMM Cc1cc[nH]c1C(=O)N[C@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053793174 736022814 /nfs/dbraw/zinc/02/28/14/736022814.db2.gz KJMIDVGURXRVIC-LLVKDONJSA-N -1 1 346.391 -0.482 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)C2(C4CC4)CC2)CO3)nc1=O ZINC001053842671 736051635 /nfs/dbraw/zinc/05/16/35/736051635.db2.gz SNTPQIGWTPHEDK-GFCCVEGCSA-N -1 1 347.419 -0.242 20 0 EBADMM Cc1coc(C(=O)N[C@H]2COC3(CN(Cc4nc(=O)n(C)[n-]4)C3)C2)c1 ZINC001053901064 736085119 /nfs/dbraw/zinc/08/51/19/736085119.db2.gz ZTJRYHTUZJKNLR-LLVKDONJSA-N -1 1 347.375 -0.217 20 0 EBADMM Cc1cnoc1C(=O)N[C@@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053970504 736125585 /nfs/dbraw/zinc/12/55/85/736125585.db2.gz OFPFCMWLTASBFY-JTQLQIEISA-N -1 1 348.363 -0.822 20 0 EBADMM O=C(CN1CCCC1)N[C@@H]1COC2(CN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001054009583 736149418 /nfs/dbraw/zinc/14/94/18/736149418.db2.gz ADPKRHFQRZYVAD-NSHDSACASA-N -1 1 336.396 -0.934 20 0 EBADMM C[C@H]1CN(C(=O)CC2OCCCO2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054609174 736217565 /nfs/dbraw/zinc/21/75/65/736217565.db2.gz XGAWDUNCQNPHAP-VHSXEESVSA-N -1 1 325.369 -0.400 20 0 EBADMM C[C@@H]1CN(C(=O)CCc2ccncn2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054697660 736232860 /nfs/dbraw/zinc/23/28/60/736232860.db2.gz WCYIOMNRPAGNDE-ZYHUDNBSSA-N -1 1 331.380 -0.130 20 0 EBADMM C[C@H]1CN(C(=O)c2cc3n(n2)CCO3)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054737490 736237153 /nfs/dbraw/zinc/23/71/53/736237153.db2.gz NANOPYPPQWHIHX-WCBMZHEXSA-N -1 1 333.352 -0.651 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccnc3ccnn32)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054746055 736238946 /nfs/dbraw/zinc/23/89/46/736238946.db2.gz HBWYLJIVXZSPIV-NXEZZACHSA-N -1 1 342.363 -0.197 20 0 EBADMM C[C@H]1CN(C(=O)c2cn3c(n2)COCC3)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054797321 736247164 /nfs/dbraw/zinc/24/71/64/736247164.db2.gz DYACJWMRZRLUNT-VHSXEESVSA-N -1 1 347.379 -0.513 20 0 EBADMM Cc1ncoc1CN[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@H]1C ZINC001054860692 736257387 /nfs/dbraw/zinc/25/73/87/736257387.db2.gz RARPSBIESQNADO-CBAPKCEASA-N -1 1 334.336 -0.170 20 0 EBADMM COc1c[nH]c(C(=O)N2C[C@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC001054909979 736266456 /nfs/dbraw/zinc/26/64/56/736266456.db2.gz WEMHTFSRRWFIHV-WCBMZHEXSA-N -1 1 348.363 -0.543 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001054993540 736279495 /nfs/dbraw/zinc/27/94/95/736279495.db2.gz BEQZGLKLYHJZLI-VXNVDRBHSA-N -1 1 333.352 -0.435 20 0 EBADMM C[C@H]1CN(C(=O)COc2cnn(C)c2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001055012264 736280832 /nfs/dbraw/zinc/28/08/32/736280832.db2.gz MBGXLMZTNZXDJB-GXSJLCMTSA-N -1 1 335.368 -0.741 20 0 EBADMM CCOCC(=O)N1CCC[C@@](CO)(NC(=O)c2ncccc2[O-])C1 ZINC001055900068 736540894 /nfs/dbraw/zinc/54/08/94/736540894.db2.gz CUYBDUVHFBSJCY-MRXNPFEDSA-N -1 1 337.376 -0.093 20 0 EBADMM O=C(N[C@]1(CO)CCCN(C(=O)[C@@H]2CCOC2)C1)c1ncccc1[O-] ZINC001055900682 736541398 /nfs/dbraw/zinc/54/13/98/736541398.db2.gz RIVAGSAYOYWWLR-SJKOYZFVSA-N -1 1 349.387 -0.093 20 0 EBADMM O=C(N[C@@]1(CO)CCCN(C(=O)c2cnon2)C1)c1ncccc1[O-] ZINC001055900899 736541934 /nfs/dbraw/zinc/54/19/34/736541934.db2.gz VZCOLRVRKWAMLX-HNNXBMFYSA-N -1 1 347.331 -0.433 20 0 EBADMM NC(=O)NCC(=O)N1CCC[C@H]2[C@@H]1CCN2C(=O)c1ncccc1[O-] ZINC001056220261 736670256 /nfs/dbraw/zinc/67/02/56/736670256.db2.gz KAROSFKNWVJYHK-QWRGUYRKSA-N -1 1 347.375 -0.339 20 0 EBADMM NC(=O)NCC(=O)N1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ncccc1[O-] ZINC001056358084 736700253 /nfs/dbraw/zinc/70/02/53/736700253.db2.gz XCRRLFZUKYDTEN-WDEREUQCSA-N -1 1 347.375 -0.339 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CC[C@@H](Nc2ncc(F)cn2)C1 ZINC001056669402 736766426 /nfs/dbraw/zinc/76/64/26/736766426.db2.gz IXWKXYYYIMJQIV-SECBINFHSA-N -1 1 344.310 -0.327 20 0 EBADMM CN(c1ncccn1)[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001056938130 736885716 /nfs/dbraw/zinc/88/57/16/736885716.db2.gz AXKKWJKOFAYNNH-LBPRGKRZSA-N -1 1 344.375 -0.546 20 0 EBADMM C[C@@H](C(=O)N(CCO)CCNC(=O)c1ncccc1[O-])n1cccn1 ZINC001057049562 736943675 /nfs/dbraw/zinc/94/36/75/736943675.db2.gz LOEIYDDBABSPGX-LBPRGKRZSA-N -1 1 347.375 -0.204 20 0 EBADMM COC[C@@H](C)C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057189099 737061115 /nfs/dbraw/zinc/06/11/15/737061115.db2.gz KBILKAPSKUZRDA-LLVKDONJSA-N -1 1 325.365 -0.380 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1cc[nH]c(=O)c1)c1ncccc1[O-] ZINC001057330833 737166022 /nfs/dbraw/zinc/16/60/22/737166022.db2.gz KKDSFKVOWCDNQW-UHFFFAOYSA-N -1 1 346.343 -0.248 20 0 EBADMM CCO[C@H](C)C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410044 737229334 /nfs/dbraw/zinc/22/93/34/737229334.db2.gz AJSUHKZYHYJAQS-LLVKDONJSA-N -1 1 325.365 -0.237 20 0 EBADMM C[C@@H]1OCC[C@H]1C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410072 737230072 /nfs/dbraw/zinc/23/00/72/737230072.db2.gz BPMRWGCNUHEHSB-NWDGAFQWSA-N -1 1 337.376 -0.237 20 0 EBADMM Cn1nccc1CC(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410610 737230426 /nfs/dbraw/zinc/23/04/26/737230426.db2.gz TYLLAHLNTBBYSZ-UHFFFAOYSA-N -1 1 347.375 -0.686 20 0 EBADMM O=C(C[C@H]1CCOC1)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410334 737230883 /nfs/dbraw/zinc/23/08/83/737230883.db2.gz MMOKQTNEMHPGKI-GFCCVEGCSA-N -1 1 337.376 -0.236 20 0 EBADMM COCCOCC(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410792 737231432 /nfs/dbraw/zinc/23/14/32/737231432.db2.gz WWBMEZINTNCBQI-UHFFFAOYSA-N -1 1 341.364 -0.999 20 0 EBADMM C[C@H]1C[C@H](C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])CO1 ZINC001057413465 737233996 /nfs/dbraw/zinc/23/39/96/737233996.db2.gz XORWSMIXHTXSPF-RYUDHWBXSA-N -1 1 337.376 -0.237 20 0 EBADMM Cc1nc[nH]c1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001058066291 737623548 /nfs/dbraw/zinc/62/35/48/737623548.db2.gz USDHGJPXPGMUHW-UHFFFAOYSA-N -1 1 333.348 -0.317 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCN(c2ncccn2)C1 ZINC001058406137 737816661 /nfs/dbraw/zinc/81/66/61/737816661.db2.gz LRLNYPVQEWWQCN-QMMMGPOBSA-N -1 1 332.320 -0.305 20 0 EBADMM C[C@]1(C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])CCOC1 ZINC001058556245 737900517 /nfs/dbraw/zinc/90/05/17/737900517.db2.gz VSFDFVIGFHMITC-INIZCTEOSA-N -1 1 337.376 -0.236 20 0 EBADMM O=C(NCCN(CCO)C(=O)[C@@H]1C[C@H]2CC[C@@H]1O2)c1ncccc1[O-] ZINC001058917794 738072585 /nfs/dbraw/zinc/07/25/85/738072585.db2.gz LSZMLVHNUFBAMU-BZPMIXESSA-N -1 1 349.387 -0.095 20 0 EBADMM O=C(Cn1cnnn1)N1CC[C@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059128948 738118451 /nfs/dbraw/zinc/11/84/51/738118451.db2.gz HOKPZPANGXKHOS-NSHDSACASA-N -1 1 345.363 -0.558 20 0 EBADMM COCCC(=O)NC1(CNC(=O)c2cc(=O)n3[n-]cnc3n2)CCC1 ZINC001063714748 739185544 /nfs/dbraw/zinc/18/55/44/739185544.db2.gz CNLUXRWPMAUXSJ-UHFFFAOYSA-N -1 1 348.363 -0.777 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001064559482 739298136 /nfs/dbraw/zinc/29/81/36/739298136.db2.gz KTQWQWNPVSSCLH-ZJUUUORDSA-N -1 1 332.360 -0.323 20 0 EBADMM O=C(Cc1ncc[nH]1)NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001065072811 739510327 /nfs/dbraw/zinc/51/03/27/739510327.db2.gz HCGXTJTVIXWGIC-LLVKDONJSA-N -1 1 345.359 -0.290 20 0 EBADMM CNC(=O)NCC(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC001066078539 739908583 /nfs/dbraw/zinc/90/85/83/739908583.db2.gz LGIBBQJCKXVJFB-NSHDSACASA-N -1 1 349.391 -0.173 20 0 EBADMM CN(CCCN(C)C(=O)c1cnc(C2CC2)[n-]c1=O)C(=O)CC(N)=O ZINC001067053444 740089601 /nfs/dbraw/zinc/08/96/01/740089601.db2.gz RJNHHWNJJRIWKD-UHFFFAOYSA-N -1 1 349.391 -0.145 20 0 EBADMM C[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H]1Nc1ncccn1 ZINC001067121312 740097910 /nfs/dbraw/zinc/09/79/10/740097910.db2.gz ZRFFZKFTUBJXQI-NEPJUHHUSA-N -1 1 344.375 -0.324 20 0 EBADMM CNC(=O)CC(=O)N[C@H]1COC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001068826194 740456825 /nfs/dbraw/zinc/45/68/25/740456825.db2.gz CSVBLCBYOJFLSF-SNVBAGLBSA-N -1 1 348.359 -0.977 20 0 EBADMM CCOCC(=O)N1CCC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001070383035 740800989 /nfs/dbraw/zinc/80/09/89/740800989.db2.gz DZOXGYPVLZUBFT-SNVBAGLBSA-N -1 1 348.363 -0.825 20 0 EBADMM CN(C)C(=O)CC(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648420 740908640 /nfs/dbraw/zinc/90/86/40/740908640.db2.gz IZCBHTWCLYLOIA-LLVKDONJSA-N -1 1 334.376 -0.014 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)C2=COCCO2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071317247 741120563 /nfs/dbraw/zinc/12/05/63/741120563.db2.gz QEJMYQXYVUUKKX-GHMZBOCLSA-N -1 1 337.380 -0.534 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2cc[n+]([O-])cc2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071332451 741122698 /nfs/dbraw/zinc/12/26/98/741122698.db2.gz QRIUJJPBDPDOFT-DGCLKSJQSA-N -1 1 346.391 -0.475 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2cnsn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071371535 741128464 /nfs/dbraw/zinc/12/84/64/741128464.db2.gz PDDANGAOVUMNIX-RKDXNWHRSA-N -1 1 337.409 -0.257 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2ccnn2C)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071383630 741130747 /nfs/dbraw/zinc/13/07/47/741130747.db2.gz KNWACLIWOGLLSJ-QWRGUYRKSA-N -1 1 333.396 -0.375 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)Cc2ccon2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071383785 741131057 /nfs/dbraw/zinc/13/10/57/741131057.db2.gz MRMHMJKAUYJMNM-JQWIXIFHSA-N -1 1 334.380 -0.192 20 0 EBADMM Cc1ncc(C(=O)N[C@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)[nH]1 ZINC001071392657 741131720 /nfs/dbraw/zinc/13/17/20/741131720.db2.gz ZWOVUWBHUXHSSL-KOLCDFICSA-N -1 1 333.396 -0.077 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2cn(C)cn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071434857 741135929 /nfs/dbraw/zinc/13/59/29/741135929.db2.gz MWUTYZVTIAZHAN-QWRGUYRKSA-N -1 1 333.396 -0.375 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)Cc2cncnc2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071469715 741142961 /nfs/dbraw/zinc/14/29/61/741142961.db2.gz AQCUXYDCGVJGNP-AAEUAGOBSA-N -1 1 345.407 -0.390 20 0 EBADMM CCCC(=O)N1C[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)[C@@H](C)C1 ZINC001071476944 741143832 /nfs/dbraw/zinc/14/38/32/741143832.db2.gz OJPCYWRPHDPJQE-RYUDHWBXSA-N -1 1 336.392 -0.310 20 0 EBADMM CC(C)=CC(=O)N1C[C@@H](C)[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001071523619 741155369 /nfs/dbraw/zinc/15/53/69/741155369.db2.gz AWLIRORGVZQRDS-CHWSQXEVSA-N -1 1 348.403 -0.144 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2csnn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071711964 741199470 /nfs/dbraw/zinc/19/94/70/741199470.db2.gz HCFGDZYXMBEQPI-DTWKUNHWSA-N -1 1 337.409 -0.257 20 0 EBADMM Cc1cnn(C)c1C(=O)N[C@@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071754152 741212453 /nfs/dbraw/zinc/21/24/53/741212453.db2.gz VKHDUWAGUHCXCL-NWDGAFQWSA-N -1 1 347.423 -0.067 20 0 EBADMM Cc1c[nH]c(C(=O)N[C@@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001071881351 741238306 /nfs/dbraw/zinc/23/83/06/741238306.db2.gz YCRSVRNNOKDYAN-GHMZBOCLSA-N -1 1 333.396 -0.077 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2cnn(C)n2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071890909 741239444 /nfs/dbraw/zinc/23/94/44/741239444.db2.gz UEXZFNPGYBQLFP-VHSXEESVSA-N -1 1 334.384 -0.980 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2ccncn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071905220 741241404 /nfs/dbraw/zinc/24/14/04/741241404.db2.gz WHVVVGQOBALBKT-MNOVXSKESA-N -1 1 331.380 -0.319 20 0 EBADMM CCNC(=O)CC(=O)N1C[C@@H](C)[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001071939944 741255219 /nfs/dbraw/zinc/25/52/19/741255219.db2.gz RFVGPRUHZGGFFH-MNOVXSKESA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C(=O)NCC1CC1 ZINC001071951861 741263213 /nfs/dbraw/zinc/26/32/13/741263213.db2.gz DTOQWJLSYLPMSS-PWSUYJOCSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)CS(C)(=O)=O ZINC001071952011 741263378 /nfs/dbraw/zinc/26/33/78/741263378.db2.gz GTQWYPQSNAWVHA-NXEZZACHSA-N -1 1 341.389 -0.592 20 0 EBADMM C[C@H]1CN(C(=O)CC(=O)N(C)C)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001072125698 741311278 /nfs/dbraw/zinc/31/12/78/741311278.db2.gz NUGIWAAOADCWHO-QWRGUYRKSA-N -1 1 334.376 -0.158 20 0 EBADMM CNC(=O)NCC(=O)N1C[C@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001072269138 741349442 /nfs/dbraw/zinc/34/94/42/741349442.db2.gz WTYAOTFYQFJTDQ-UWVGGRQHSA-N -1 1 335.364 -0.707 20 0 EBADMM CNC(=O)NCC(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001072269137 741349573 /nfs/dbraw/zinc/34/95/73/741349573.db2.gz WTYAOTFYQFJTDQ-NXEZZACHSA-N -1 1 335.364 -0.707 20 0 EBADMM CCCC(=O)N[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC001072426287 741395102 /nfs/dbraw/zinc/39/51/02/741395102.db2.gz GBEFZKOJJGJDJT-KOLCDFICSA-N -1 1 332.364 -0.206 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)CC4CCOCC4)C3)C2)nc1=O ZINC001072566015 741429925 /nfs/dbraw/zinc/42/99/25/741429925.db2.gz RBXGRWVQCMTPEK-UHFFFAOYSA-N -1 1 349.435 -0.041 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)c4cccc(=O)[nH]4)C3)C2)nc1=O ZINC001072583395 741433456 /nfs/dbraw/zinc/43/34/56/741433456.db2.gz CSVUUQFDHYKDME-UHFFFAOYSA-N -1 1 344.375 -0.443 20 0 EBADMM Cc1nc(CN2CCC3(CN(C(=O)c4n[nH]c(=O)[n-]c4=O)C3)C2)n[nH]1 ZINC001072898239 741515263 /nfs/dbraw/zinc/51/52/63/741515263.db2.gz PDGKSGJDOCVJIJ-UHFFFAOYSA-N -1 1 346.351 -0.943 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)[C@]4(F)CCOC4)C3)C2)nc1=O ZINC001072916191 741519047 /nfs/dbraw/zinc/51/90/47/741519047.db2.gz CKXGBONJTZFVFT-HNNXBMFYSA-N -1 1 339.371 -0.729 20 0 EBADMM C[C@@H]1CC[C@H](C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)O1 ZINC001073002451 741540527 /nfs/dbraw/zinc/54/05/27/741540527.db2.gz FBFVRTDONCDXCM-VXGBXAGGSA-N -1 1 335.408 -0.290 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)CCc4cn[nH]c4)C3)C2)nc1=O ZINC001073044657 741547716 /nfs/dbraw/zinc/54/77/16/741547716.db2.gz YFXJEVICBIGFBI-UHFFFAOYSA-N -1 1 345.407 -0.501 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)c4cccnn4)C3)C2)nc1=O ZINC001073071382 741553465 /nfs/dbraw/zinc/55/34/65/741553465.db2.gz UPUQOKCCSVVLTJ-UHFFFAOYSA-N -1 1 329.364 -0.754 20 0 EBADMM CCOCC(=O)N1CC(N2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC001073562724 741655307 /nfs/dbraw/zinc/65/53/07/741655307.db2.gz COAZJIFDMYWWLB-UHFFFAOYSA-N -1 1 348.403 -0.208 20 0 EBADMM Cc1[nH]ccc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001073568449 741658853 /nfs/dbraw/zinc/65/88/53/741658853.db2.gz TUNGXGIAXDYZDW-GFCCVEGCSA-N -1 1 348.407 -0.234 20 0 EBADMM CN1CC[C@@H](N2CCCO[C@@H](CNC(=O)c3ncccc3[O-])C2)C1=O ZINC001073583645 741668855 /nfs/dbraw/zinc/66/88/55/741668855.db2.gz BACXPVWOMJPLBF-QWHCGFSZSA-N -1 1 348.403 -0.161 20 0 EBADMM Cn1ncc(CN2CCCO[C@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC001073583615 741668950 /nfs/dbraw/zinc/66/89/50/741668950.db2.gz AGQJCLYBNLUKHL-CYBMUJFWSA-N -1 1 346.391 -0.063 20 0 EBADMM C[C@@H]1CN(C(=O)CCNC(N)=O)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC001073901242 741782624 /nfs/dbraw/zinc/78/26/24/741782624.db2.gz UDVIMBNBRRSLSX-GHMZBOCLSA-N -1 1 349.391 -0.188 20 0 EBADMM C[C@@H]1CN(C(=O)C2CC2)CC[C@@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001074064054 741824706 /nfs/dbraw/zinc/82/47/06/741824706.db2.gz UOPHSZJKOVOYRN-YPMHNXCESA-N -1 1 348.403 -0.310 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H]3CCN(C(=O)C(C)(F)F)C[C@@H]32)nc1=O ZINC001074130982 741837877 /nfs/dbraw/zinc/83/78/77/741837877.db2.gz JNPROHASNMGHFB-UWVGGRQHSA-N -1 1 345.350 -0.435 20 0 EBADMM CSCC(=O)N1CC[C@H]2OCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1 ZINC001074163428 741846404 /nfs/dbraw/zinc/84/64/04/741846404.db2.gz QISSJFIVZXTQNO-WDEREUQCSA-N -1 1 341.437 -0.727 20 0 EBADMM CNC(=O)CN1CCO[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001074218920 741862636 /nfs/dbraw/zinc/86/26/36/741862636.db2.gz HIIQXTGEJFKIKF-DGCLKSJQSA-N -1 1 334.376 -0.552 20 0 EBADMM CN(C)C(=O)CN1CCO[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001074219413 741862996 /nfs/dbraw/zinc/86/29/96/741862996.db2.gz OXJJKKWVEMQKIS-OCCSQVGLSA-N -1 1 348.403 -0.209 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H]3CCN(C(=O)c4cnco4)C[C@H]32)nc1=O ZINC001074304054 741895757 /nfs/dbraw/zinc/89/57/57/741895757.db2.gz DIXOHPUFBYWNPX-MNOVXSKESA-N -1 1 348.363 -0.788 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CNC(N)=O ZINC001074943619 742140349 /nfs/dbraw/zinc/14/03/49/742140349.db2.gz KTSMJIXWDYVWNT-ZJUUUORDSA-N -1 1 335.364 -0.435 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@@H]1CCCS1(=O)=O ZINC001075120633 742183832 /nfs/dbraw/zinc/18/38/32/742183832.db2.gz CTPWPSOFSBRKIK-GUBZILKMSA-N -1 1 343.409 -0.834 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)c4cnns4)[C@@H]3C2)nc1=O ZINC001075583738 742257328 /nfs/dbraw/zinc/25/73/28/742257328.db2.gz GCYFWNFBNOYKEK-DTWKUNHWSA-N -1 1 335.393 -0.694 20 0 EBADMM Cc1ncoc1C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001075653136 742267631 /nfs/dbraw/zinc/26/76/31/742267631.db2.gz RQIFTAFGWJVXCH-WDEREUQCSA-N -1 1 332.364 -0.249 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)c4cc[nH]c(=O)c4)[C@@H]3C2)nc1=O ZINC001075678446 742269901 /nfs/dbraw/zinc/26/99/01/742269901.db2.gz BAFYUUCFQCHOCY-NWDGAFQWSA-N -1 1 344.375 -0.445 20 0 EBADMM Cn1nnnc1CN1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001075722525 742281472 /nfs/dbraw/zinc/28/14/72/742281472.db2.gz YUSNIWJNGFWHEK-WDEREUQCSA-N -1 1 329.364 -0.343 20 0 EBADMM Cc1n[nH]c(C(=O)NCC[C@H](C)NC(=O)CCc2c[nH]nn2)c1[O-] ZINC001076167599 742393814 /nfs/dbraw/zinc/39/38/14/742393814.db2.gz GWMJBUXZVDVWLR-QMMMGPOBSA-N -1 1 335.368 -0.201 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)CCn1cnnn1 ZINC001076244800 742418967 /nfs/dbraw/zinc/41/89/67/742418967.db2.gz AADDXBXWAXQDJK-JTQLQIEISA-N -1 1 333.352 -0.511 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)C[C@@H]1CCNC1=O ZINC001076245335 742419184 /nfs/dbraw/zinc/41/91/84/742419184.db2.gz XTZNKEKBYXEOQK-QWRGUYRKSA-N -1 1 334.376 -0.062 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)Cn4ccnc4)[C@@H]3C2)nc1=O ZINC001076632632 742518998 /nfs/dbraw/zinc/51/89/98/742518998.db2.gz YFURYDPHAVYQAH-NWDGAFQWSA-N -1 1 331.380 -0.962 20 0 EBADMM C[C@H](c1nncn1C)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001076916854 742641726 /nfs/dbraw/zinc/64/17/26/742641726.db2.gz FYKOZGGGSRCWEM-CKYFFXLPSA-N -1 1 332.364 -0.548 20 0 EBADMM CCS(=O)(=O)CC(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001076922254 742645473 /nfs/dbraw/zinc/64/54/73/742645473.db2.gz PFPMOHRSAYURAX-JTQLQIEISA-N -1 1 343.405 -0.154 20 0 EBADMM Cc1sccc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001076965519 742667126 /nfs/dbraw/zinc/66/71/26/742667126.db2.gz HWIZWOPFVANRGB-GHMZBOCLSA-N -1 1 337.405 -0.547 20 0 EBADMM C[C@@H](CCNC(=O)[C@H]1CCCNC1=O)NC(=O)c1ncccc1[O-] ZINC001076972230 742671328 /nfs/dbraw/zinc/67/13/28/742671328.db2.gz JSKCWDYQEGVBHE-QWRGUYRKSA-N -1 1 334.376 -0.062 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)nc1C ZINC001077397195 742952610 /nfs/dbraw/zinc/95/26/10/742952610.db2.gz PGSKIDREMIXJFZ-CHWSQXEVSA-N -1 1 346.391 -0.905 20 0 EBADMM C[C@@H](CCNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)c1ccc[nH]1 ZINC001077402247 742956386 /nfs/dbraw/zinc/95/63/86/742956386.db2.gz HPGWAISPNNPLAB-NSHDSACASA-N -1 1 347.375 -0.420 20 0 EBADMM C[C@@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)c1ccc[nH]1 ZINC001077487113 743012178 /nfs/dbraw/zinc/01/21/78/743012178.db2.gz FYQRRXSUBVYGID-VIFPVBQESA-N -1 1 343.347 -0.316 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)C3CC4(CCC4)C3)C2)nc1=O ZINC001077525215 743051010 /nfs/dbraw/zinc/05/10/10/743051010.db2.gz KRIAQIVETQRQGT-VXGBXAGGSA-N -1 1 335.408 -0.650 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)[C@H]3CCCC3(C)C)C2)nc1=O ZINC001077532990 743056360 /nfs/dbraw/zinc/05/63/60/743056360.db2.gz ZMLQDGGLGNQXAC-IJLUTSLNSA-N -1 1 337.424 -0.404 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)C3(C)CCCCC3)C2)nc1=O ZINC001077618033 743121687 /nfs/dbraw/zinc/12/16/87/743121687.db2.gz XLCQKYNWMJGSHC-VXGBXAGGSA-N -1 1 337.424 -0.260 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O)c1ccco1 ZINC001077663559 743150839 /nfs/dbraw/zinc/15/08/39/743150839.db2.gz TXCFRQRHITYGLB-HBNTYKKESA-N -1 1 335.364 -0.834 20 0 EBADMM Cc1cccc(C)c1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001077719991 743198280 /nfs/dbraw/zinc/19/82/80/743198280.db2.gz IIXMSVRRPPOVLP-CHWSQXEVSA-N -1 1 345.403 -0.300 20 0 EBADMM CC[C@@H](F)C(=O)NCC[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001077838099 743291053 /nfs/dbraw/zinc/29/10/53/743291053.db2.gz ULMYDYRCYFMOJU-WDEREUQCSA-N -1 1 342.371 -0.314 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O)C1CCCC1 ZINC001077980403 743390898 /nfs/dbraw/zinc/39/08/98/743390898.db2.gz VHFILFGWNLXBJY-RAIGVLPGSA-N -1 1 337.424 -0.404 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)cc1C ZINC001078117717 743482273 /nfs/dbraw/zinc/48/22/73/743482273.db2.gz KVADZSSVOATVLX-ZIAGYGMSSA-N -1 1 345.403 -0.300 20 0 EBADMM CCN1CCCC[C@H]1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1O ZINC001078228163 743546303 /nfs/dbraw/zinc/54/63/03/743546303.db2.gz GDOOMLWFWXFGPN-GRYCIOLGSA-N -1 1 338.412 -0.954 20 0 EBADMM Cc1nc(C(=O)N2CCCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)c[nH]1 ZINC001078358721 743606013 /nfs/dbraw/zinc/60/60/13/743606013.db2.gz VGWGPDGUJDSBJL-JTQLQIEISA-N -1 1 335.368 -0.437 20 0 EBADMM O=C(Cc1cc[nH]n1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078365845 743611077 /nfs/dbraw/zinc/61/10/77/743611077.db2.gz MUJQOXGZKBNQCN-LLVKDONJSA-N -1 1 335.368 -0.817 20 0 EBADMM O=C(C1=CCOCC1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078385649 743619217 /nfs/dbraw/zinc/61/92/17/743619217.db2.gz LUICJLZWRPXLHA-LBPRGKRZSA-N -1 1 337.380 -0.436 20 0 EBADMM O=C(c1ccns1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078409642 743635362 /nfs/dbraw/zinc/63/53/62/743635362.db2.gz FGSRYKFTJZYSQN-VIFPVBQESA-N -1 1 338.393 -0.012 20 0 EBADMM Cc1nc[nH]c1C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078515707 743687378 /nfs/dbraw/zinc/68/73/78/743687378.db2.gz DNAPQFJOKRYMGM-SNVBAGLBSA-N -1 1 335.368 -0.437 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2C[C@H](NCc3nnnn3C)C23CCC3)c1[O-] ZINC001078601466 743704776 /nfs/dbraw/zinc/70/47/76/743704776.db2.gz IVXPVWLGZPNINK-UWVGGRQHSA-N -1 1 346.395 -0.222 20 0 EBADMM CCn1ncc(C(=O)N[C@@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)n1 ZINC001078620210 743709517 /nfs/dbraw/zinc/70/95/17/743709517.db2.gz VGBOYIVKSRQSSA-GHMZBOCLSA-N -1 1 346.395 -0.048 20 0 EBADMM Cn1c(C(=O)N[C@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)c[nH]c1=O ZINC001078698198 743720672 /nfs/dbraw/zinc/72/06/72/743720672.db2.gz MBFLGINKLVQDJB-UWVGGRQHSA-N -1 1 347.379 -0.220 20 0 EBADMM O=C(Cc1c[nH]cn1)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078736347 743725588 /nfs/dbraw/zinc/72/55/88/743725588.db2.gz IIWRXNFOQLMCDC-MNOVXSKESA-N -1 1 331.380 -0.007 20 0 EBADMM Cc1nonc1CC(=O)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078766506 743731842 /nfs/dbraw/zinc/73/18/42/743731842.db2.gz FDWVYBVIDCCDTI-QWRGUYRKSA-N -1 1 347.379 -0.039 20 0 EBADMM CC1(C(=O)N[C@H]2C[C@H](CNC(=O)c3cc(=O)n4[n-]cnc4n3)C2)CC1 ZINC000980056371 805711739 /nfs/dbraw/zinc/71/17/39/805711739.db2.gz NBISPRPMBIGWHY-MGCOHNPYSA-N -1 1 344.375 -0.158 20 0 EBADMM CCc1nc[nH]c1C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000980282265 805828903 /nfs/dbraw/zinc/82/89/03/805828903.db2.gz BMCDQWJUNGIWKU-SNVBAGLBSA-N -1 1 333.396 -0.260 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)CCc2cnn(C)c2)C1 ZINC000980474894 805909297 /nfs/dbraw/zinc/90/92/97/805909297.db2.gz ANNIFETXWKDIDL-CYBMUJFWSA-N -1 1 347.423 -0.493 20 0 EBADMM Cc1nnc(CNC[C@@H]2C[C@H](C)N(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC000980643517 805973073 /nfs/dbraw/zinc/97/30/73/805973073.db2.gz ZRJUKQYTJFKYGY-CBAPKCEASA-N -1 1 349.351 -0.385 20 0 EBADMM Cn1[nH]c(CN2CCCN(C(=O)c3ncccc3[O-])CC2)nc1=O ZINC000981026632 806061587 /nfs/dbraw/zinc/06/15/87/806061587.db2.gz HAKWELBKQGNXFJ-UHFFFAOYSA-N -1 1 332.364 -0.443 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)c3cn4c(n3)CCC4)CC2)nc1=O ZINC000981191145 806105607 /nfs/dbraw/zinc/10/56/07/806105607.db2.gz PPBNIUCPQDZLGR-UHFFFAOYSA-N -1 1 345.407 -0.401 20 0 EBADMM O=C(N[C@H]1CCC[C@H](NCc2ncccn2)C1)c1n[nH]c(=O)[n-]c1=O ZINC000981200757 806106991 /nfs/dbraw/zinc/10/69/91/806106991.db2.gz BSRKZQXCWQULSF-UWVGGRQHSA-N -1 1 345.363 -0.096 20 0 EBADMM Cc1noc(CN[C@H]2CCC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC000981202915 806107890 /nfs/dbraw/zinc/10/78/90/806107890.db2.gz XDRXJSDGGRFKHE-DTWKUNHWSA-N -1 1 349.351 -0.195 20 0 EBADMM CO[C@H]1CC[C@@H](C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)C1 ZINC000981497818 806182562 /nfs/dbraw/zinc/18/25/62/806182562.db2.gz HNGGBSZCILEAFD-OLZOCXBDSA-N -1 1 337.424 -0.042 20 0 EBADMM COc1ccc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)cn1 ZINC000981583583 806207606 /nfs/dbraw/zinc/20/76/06/806207606.db2.gz AZDOSCIURPMQLO-UHFFFAOYSA-N -1 1 346.391 -0.140 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)c3cn(C)ccc3=O)CC2)nc1=O ZINC000981595374 806211170 /nfs/dbraw/zinc/21/11/70/806211170.db2.gz LKTDWHWFEJWXAV-UHFFFAOYSA-N -1 1 346.391 -0.845 20 0 EBADMM CCO[C@@H]1C[C@@H]1C(=O)N1CC2(C1)CCCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC000981640697 806222007 /nfs/dbraw/zinc/22/20/07/806222007.db2.gz JLLNYDXNCPJOPO-QWHCGFSZSA-N -1 1 349.435 -0.042 20 0 EBADMM O=C(NC[C@H]1CC[C@@H](NC(=O)C2CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000981777335 806274347 /nfs/dbraw/zinc/27/43/47/806274347.db2.gz NQUVPGKUZGGPKR-GXSJLCMTSA-N -1 1 344.375 -0.158 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000981799746 806282542 /nfs/dbraw/zinc/28/25/42/806282542.db2.gz KYEQJWADCSSZME-UHFFFAOYSA-N -1 1 347.379 -0.739 20 0 EBADMM CCn1ncc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)c1C ZINC000982456686 806508008 /nfs/dbraw/zinc/50/80/08/806508008.db2.gz DTMDRCXVVHTWOY-UHFFFAOYSA-N -1 1 347.423 -0.019 20 0 EBADMM CO[C@H](C)C(=O)N1CC[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000982510720 806535312 /nfs/dbraw/zinc/53/53/12/806535312.db2.gz KMTCELFATROLOF-ZJUUUORDSA-N -1 1 348.363 -0.969 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1)c1c[nH]c(=O)cn1 ZINC000982671823 806627684 /nfs/dbraw/zinc/62/76/84/806627684.db2.gz DTULMVROXBHBQJ-SNVBAGLBSA-N -1 1 343.343 -0.237 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1CCC(=O)NC1 ZINC000982671949 806628815 /nfs/dbraw/zinc/62/88/15/806628815.db2.gz SUDXEYBOLWVIJS-RYUDHWBXSA-N -1 1 346.387 -0.108 20 0 EBADMM CC(=O)N1CC(C(=O)N2CC[C@H](CNC(=O)c3ncccc3[O-])C2)C1 ZINC000982687604 806635908 /nfs/dbraw/zinc/63/59/08/806635908.db2.gz NSGMISBHQLVBNW-GFCCVEGCSA-N -1 1 346.387 -0.156 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)[C@H]2COC(=O)N2)C1)c1ncccc1[O-] ZINC000982711604 806658897 /nfs/dbraw/zinc/65/88/97/806658897.db2.gz ZKTCYBFXKAXHKO-NXEZZACHSA-N -1 1 334.332 -0.526 20 0 EBADMM CN1C[C@H](C(=O)N2CC[C@H](CNC(=O)c3ncccc3[O-])C2)CC1=O ZINC000982865435 806744267 /nfs/dbraw/zinc/74/42/67/806744267.db2.gz XNYKKCMFDWKRAI-VXGBXAGGSA-N -1 1 346.387 -0.156 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)[C@H]2CCC(=O)NC2)C1)c1ncccc1[O-] ZINC000982957601 806801959 /nfs/dbraw/zinc/80/19/59/806801959.db2.gz SYWDNWRICXMWAO-RYUDHWBXSA-N -1 1 346.387 -0.108 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)[C@@H]2CCC(=O)NC2)C1)c1ncccc1[O-] ZINC000982957598 806802196 /nfs/dbraw/zinc/80/21/96/806802196.db2.gz SYWDNWRICXMWAO-NWDGAFQWSA-N -1 1 346.387 -0.108 20 0 EBADMM C[C@H]1C[C@@H](C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)CCO1 ZINC000982965567 806807246 /nfs/dbraw/zinc/80/72/46/806807246.db2.gz AFVMAJHTQHMSGR-STQMWFEESA-N -1 1 337.424 -0.042 20 0 EBADMM C[C@@H]1CN(c2ccc(C(=O)NN3CC(=O)[N-]C3=O)cn2)C[C@H](C)O1 ZINC000030041097 803741170 /nfs/dbraw/zinc/74/11/70/803741170.db2.gz RILUIVVHBZCNCF-AOOOYVTPSA-N -1 1 333.348 -0.108 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000976858810 803909721 /nfs/dbraw/zinc/90/97/21/803909721.db2.gz JQEBBVGWEGXOKY-PXSXMVNOSA-N -1 1 342.359 -0.740 20 0 EBADMM CC(C)(C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000976860073 803911163 /nfs/dbraw/zinc/91/11/63/803911163.db2.gz UTKGXGUGMWCGGS-GDGBQDQQSA-N -1 1 344.375 -0.350 20 0 EBADMM CN1C(=O)CC[C@@H]1C(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000977023930 804064909 /nfs/dbraw/zinc/06/49/09/804064909.db2.gz KEPGOZRTUGSIME-DEKYYXRVSA-N -1 1 344.371 -0.405 20 0 EBADMM C[C@@]1(C(=O)N[C@@H]2[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)CCNC1=O ZINC000977190447 804196621 /nfs/dbraw/zinc/19/66/21/804196621.db2.gz NHEVQKWXOPSCTL-YFEKEUHLSA-N -1 1 344.371 -0.500 20 0 EBADMM Cc1cc(C(=O)N(C)C[C@@H]2CCN2C(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC000977417154 804317466 /nfs/dbraw/zinc/31/74/66/804317466.db2.gz FVWMKAFTCQZDRG-QMMMGPOBSA-N -1 1 347.335 -0.699 20 0 EBADMM Cn1cncc1CC(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000977477127 804345556 /nfs/dbraw/zinc/34/55/56/804345556.db2.gz PIDRUNCYCDVNIH-LBPRGKRZSA-N -1 1 347.423 -0.589 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1COCCN1C ZINC000977590820 804399220 /nfs/dbraw/zinc/39/92/20/804399220.db2.gz AURDYQIJLLKSGG-QWHCGFSZSA-N -1 1 348.403 -0.209 20 0 EBADMM CN(C[C@H]1CCN1C(=O)[C@@]1(C)CNC(=O)C1)C(=O)c1ncccc1[O-] ZINC000977597162 804405668 /nfs/dbraw/zinc/40/56/68/804405668.db2.gz FORJBEGTHFMRPH-PIGZYNQJSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1cnn(C)n1)C(=O)c1ncccc1[O-] ZINC000977616732 804416120 /nfs/dbraw/zinc/41/61/20/804416120.db2.gz INLFNYNAPKMHEQ-JTQLQIEISA-N -1 1 330.348 -0.098 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2[C@H]3CN(CC(=O)N(C)C4CC4)C[C@H]32)c1[O-] ZINC000977978476 804601248 /nfs/dbraw/zinc/60/12/48/804601248.db2.gz JGWBQPQXLQHAHD-XYYAHUGASA-N -1 1 347.419 -0.048 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2[C@H]3CN(CC(=O)NC4CC4)C[C@H]32)c1[O-] ZINC000978088253 804654708 /nfs/dbraw/zinc/65/47/08/804654708.db2.gz ZHXQQJXCAVJECO-CNDDSTCGSA-N -1 1 333.392 -0.390 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N(C)C[C@@H]1CCN1C(=O)C(N)=O ZINC000978134979 804680023 /nfs/dbraw/zinc/68/00/23/804680023.db2.gz NXCWZLXMLSAJDF-JTQLQIEISA-N -1 1 349.391 -0.228 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N(C)C[C@H]1CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000978150890 804695718 /nfs/dbraw/zinc/69/57/18/804695718.db2.gz YYGLXEPLBMJWMN-JHJVBQTASA-N -1 1 348.403 -0.358 20 0 EBADMM O=C(NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)[C@@H]1CCC(=O)NC1 ZINC000979005699 805088417 /nfs/dbraw/zinc/08/84/17/805088417.db2.gz QJZBZMCNTGHPMT-UTUOFQBUSA-N -1 1 346.387 -0.062 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)CCn2cccn2)CCO1 ZINC000979259050 805245840 /nfs/dbraw/zinc/24/58/40/805245840.db2.gz RWBHUVHRBOFDOJ-VXGBXAGGSA-N -1 1 349.395 -0.497 20 0 EBADMM C[C@H]([NH2+]Cc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC000979274001 805253654 /nfs/dbraw/zinc/25/36/54/805253654.db2.gz JZSQMBBUIRVOSE-ONGXEEELSA-N -1 1 348.363 -0.370 20 0 EBADMM C[C@@H]([NH2+]Cc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC000979273984 805253844 /nfs/dbraw/zinc/25/38/44/805253844.db2.gz JZSQMBBUIRVOSE-KOLCDFICSA-N -1 1 348.363 -0.370 20 0 EBADMM C[C@H](NCc1cnn(C)n1)[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC000979279946 805259853 /nfs/dbraw/zinc/25/98/53/805259853.db2.gz SPXLGENJNWKHOO-SMDDNHRTSA-N -1 1 346.391 -0.065 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)ncn1 ZINC000979290665 805266786 /nfs/dbraw/zinc/26/67/86/805266786.db2.gz JLLOFHNSPIKKFL-CMPLNLGQSA-N -1 1 347.379 -0.372 20 0 EBADMM CCc1n[nH]cc1C(=O)N1CCO[C@@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979335275 805302191 /nfs/dbraw/zinc/30/21/91/805302191.db2.gz DJIXADOOZDENSL-JOYOIKCWSA-N -1 1 349.395 -0.185 20 0 EBADMM CCn1ccc(C(=O)N2CCO[C@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000979376490 805336760 /nfs/dbraw/zinc/33/67/60/805336760.db2.gz BDHVSTGHLZJPHB-PWSUYJOCSA-N -1 1 349.395 -0.254 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)CCc2cn[nH]c2)CCO1 ZINC000979380841 805339591 /nfs/dbraw/zinc/33/95/91/805339591.db2.gz HFQXQSMEBABKJE-ZYHUDNBSSA-N -1 1 349.395 -0.428 20 0 EBADMM CCn1cnc(C(=O)N2CCO[C@@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC000979442424 805383631 /nfs/dbraw/zinc/38/36/31/805383631.db2.gz GMOOCIOITPNSGW-ZYHUDNBSSA-N -1 1 349.395 -0.254 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)Cc2ccc[nH]2)CCO1 ZINC000979457196 805391588 /nfs/dbraw/zinc/39/15/88/805391588.db2.gz LULOJVSZHWJOAW-JQWIXIFHSA-N -1 1 334.380 -0.213 20 0 EBADMM CN1C[C@H](C(=O)N[C@H]2C[C@H](CNC(=O)c3ncccc3[O-])C2)CC1=O ZINC000979672390 805480789 /nfs/dbraw/zinc/48/07/89/805480789.db2.gz JTMQZPNWQOHACW-IJLUTSLNSA-N -1 1 346.387 -0.110 20 0 EBADMM Cn1nnc(C(=O)N[C@@H]2CCC[C@@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC000983572847 807039752 /nfs/dbraw/zinc/03/97/52/807039752.db2.gz HXEOHGWYUNOKOK-NXEZZACHSA-N -1 1 345.363 -0.218 20 0 EBADMM CN(C(=O)[C@@H]1CCNC1=O)[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984109753 807167641 /nfs/dbraw/zinc/16/76/41/807167641.db2.gz DWMQZBORYRRZGO-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM Cc1c(C(=O)N2CCC(N(C)Cc3n[nH]c(=O)[n-]3)CC2)nnn1C ZINC000985350110 807491065 /nfs/dbraw/zinc/49/10/65/807491065.db2.gz RPEDRSMLTWBUPK-UHFFFAOYSA-N -1 1 334.384 -0.316 20 0 EBADMM CCC(=O)N1C[C@@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC[C@@H]2C1 ZINC000985585364 807543857 /nfs/dbraw/zinc/54/38/57/807543857.db2.gz LKMBQPBUWUGELY-MNOVXSKESA-N -1 1 344.375 -0.252 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)c2cccn(C)c2=O)CC1 ZINC000985759231 807574340 /nfs/dbraw/zinc/57/43/40/807574340.db2.gz FOIQEKWKCCUJMX-UHFFFAOYSA-N -1 1 346.391 -0.054 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CC1OCCCO1 ZINC000986193975 807647808 /nfs/dbraw/zinc/64/78/08/807647808.db2.gz CODMYQUCNJFSNN-UWVGGRQHSA-N -1 1 325.369 -0.258 20 0 EBADMM COc1cc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)ncn1 ZINC000986297825 807669521 /nfs/dbraw/zinc/66/95/21/807669521.db2.gz GTVLXKBKZODUJU-IUCAKERBSA-N -1 1 333.352 -0.298 20 0 EBADMM Cc1cc(OCC(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)no1 ZINC000986389541 807693955 /nfs/dbraw/zinc/69/39/55/807693955.db2.gz VRLYXQRLLBBRNN-UWVGGRQHSA-N -1 1 336.352 -0.035 20 0 EBADMM C[C@@H]1[C@@H](NCc2cnns2)CCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000986479549 807713880 /nfs/dbraw/zinc/71/38/80/807713880.db2.gz AABZBKIOOYCWQH-SVRRBLITSA-N -1 1 337.365 -0.473 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cn2cccnc2n1 ZINC000986483045 807716467 /nfs/dbraw/zinc/71/64/67/807716467.db2.gz PUMJSMYQCDUBAT-NXEZZACHSA-N -1 1 342.363 -0.054 20 0 EBADMM CCn1ccnc1CN[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)[C@@H]1C ZINC000986486066 807717537 /nfs/dbraw/zinc/71/75/37/807717537.db2.gz FCYNQWVLNKQIPN-NXEZZACHSA-N -1 1 347.379 -0.108 20 0 EBADMM Cc1cc(CN[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)[C@@H]2C)ncn1 ZINC000986486514 807717709 /nfs/dbraw/zinc/71/77/09/807717709.db2.gz GYTZSHKKTVDWAR-KOLCDFICSA-N -1 1 345.363 -0.226 20 0 EBADMM Cc1oncc1CN[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)[C@@H]1C ZINC000986487411 807717734 /nfs/dbraw/zinc/71/77/34/807717734.db2.gz JWGIXKBYOUXBEI-XCBNKYQSSA-N -1 1 334.336 -0.028 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cc(C(N)=O)cn1C ZINC000986497120 807719941 /nfs/dbraw/zinc/71/99/41/807719941.db2.gz WJUQCFHLDQDTFJ-WPRPVWTQSA-N -1 1 347.379 -0.660 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc(C(N)=O)o1 ZINC000986527902 807726541 /nfs/dbraw/zinc/72/65/41/807726541.db2.gz MIVANGPNFQEORO-YUMQZZPRSA-N -1 1 334.336 -0.405 20 0 EBADMM Cc1cc(CC(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)n(C)n1 ZINC000986556768 807734733 /nfs/dbraw/zinc/73/47/33/807734733.db2.gz NAMOPISDISJEIW-JQWIXIFHSA-N -1 1 333.396 -0.126 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000986690244 807765677 /nfs/dbraw/zinc/76/56/77/807765677.db2.gz PEBKKHKSPLXNEF-DTWKUNHWSA-N -1 1 333.352 -0.293 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cccc(=O)n1C ZINC000986912520 807794501 /nfs/dbraw/zinc/79/45/01/807794501.db2.gz FXEBAACEHIMSKJ-UWVGGRQHSA-N -1 1 332.364 -0.398 20 0 EBADMM Cc1cc(=O)c(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)c[nH]1 ZINC000987297241 807884617 /nfs/dbraw/zinc/88/46/17/807884617.db2.gz VJNPISSWMIYZGZ-ONGXEEELSA-N -1 1 332.364 -0.100 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc(N(C)C)nn1 ZINC000987366638 807901483 /nfs/dbraw/zinc/90/14/83/807901483.db2.gz XPYQOKRFJNHSTQ-NXEZZACHSA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@H]1[C@H](NCc2cnns2)CCN1C(=O)Cn1c(=O)[n-][nH]c1=O ZINC000987424311 807916041 /nfs/dbraw/zinc/91/60/41/807916041.db2.gz ZTWYWXMJFITEIA-IONNQARKSA-N -1 1 339.381 -0.680 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1c[nH]c(C(N)=O)c1 ZINC000987517671 807951457 /nfs/dbraw/zinc/95/14/57/807951457.db2.gz JEYYJPDVJSJZQY-APPZFPTMSA-N -1 1 333.352 -0.670 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCN1CCCC1=O ZINC000987553148 807955903 /nfs/dbraw/zinc/95/59/03/807955903.db2.gz XSBTXTAVHJJLEA-GHMZBOCLSA-N -1 1 336.396 -0.398 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)CN2CCOCC2)CCN1C(=O)c1ncccc1[O-] ZINC000987631418 807975443 /nfs/dbraw/zinc/97/54/43/807975443.db2.gz CZJNOWFTDBVLIW-OLZOCXBDSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)[C@H]2COCCN2C)CCN1C(=O)c1ncccc1[O-] ZINC000987637217 807978607 /nfs/dbraw/zinc/97/86/07/807978607.db2.gz DIYQURWQGNNPDN-FRRDWIJNSA-N -1 1 348.403 -0.163 20 0 EBADMM CC(C)C(=O)N1CC[C@@H](CN(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000987753164 808006146 /nfs/dbraw/zinc/00/61/46/808006146.db2.gz DXQSEDREOUQDDQ-NSHDSACASA-N -1 1 346.391 -0.006 20 0 EBADMM CN(C[C@H]1CCN(C(=O)Cn2ncnn2)C1)C(=O)c1ncccc1[O-] ZINC000988015033 808092240 /nfs/dbraw/zinc/09/22/40/808092240.db2.gz UVCLJWMYVSUHSC-LLVKDONJSA-N -1 1 345.363 -0.606 20 0 EBADMM CN(C[C@H]1CCN(C(=O)Cn2cncn2)C1)C(=O)c1ncccc1[O-] ZINC000988364531 808206726 /nfs/dbraw/zinc/20/67/26/808206726.db2.gz RATZBZHGPYMFKK-GFCCVEGCSA-N -1 1 344.375 -0.001 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc2n[nH]nc2n1 ZINC000988933585 808329004 /nfs/dbraw/zinc/32/90/04/808329004.db2.gz MCIRSJGIQZYSGP-JGVFFNPUSA-N -1 1 343.351 -0.431 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)Cn1cc(C2CC2)nn1 ZINC000988954782 808333818 /nfs/dbraw/zinc/33/38/18/808333818.db2.gz OHHOKXQDGLERKD-ONGXEEELSA-N -1 1 346.395 -0.242 20 0 EBADMM Cc1cc(OCC(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)no1 ZINC000988990396 808344918 /nfs/dbraw/zinc/34/49/18/808344918.db2.gz PPFDOKABVQRDJV-SCZZXKLOSA-N -1 1 336.352 -0.035 20 0 EBADMM COCCn1nccc1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC000989013057 808349179 /nfs/dbraw/zinc/34/91/79/808349179.db2.gz SNANTZYLMSTEQM-MNOVXSKESA-N -1 1 349.395 -0.254 20 0 EBADMM CCCC(=O)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@H]1C ZINC000989040881 808355134 /nfs/dbraw/zinc/35/51/34/808355134.db2.gz PZJKYTHZXZPTKR-ZJUUUORDSA-N -1 1 332.364 -0.063 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cc(CN(C)C)on1 ZINC000989080170 808369416 /nfs/dbraw/zinc/36/94/16/808369416.db2.gz YHAZHAXKQGSMFJ-UWVGGRQHSA-N -1 1 349.395 -0.047 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cc(C(N)=O)cn1C ZINC000989113807 808378278 /nfs/dbraw/zinc/37/82/78/808378278.db2.gz VADZKBIFTZRDEX-WPRPVWTQSA-N -1 1 347.379 -0.660 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cccc2ncnn21 ZINC000989115133 808379495 /nfs/dbraw/zinc/37/94/95/808379495.db2.gz RZMMBRRXAWMMMB-NXEZZACHSA-N -1 1 342.363 -0.054 20 0 EBADMM Cc1cc(CN[C@H]2C[C@H](C)N(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)on1 ZINC000989117367 808379577 /nfs/dbraw/zinc/37/95/77/808379577.db2.gz BSDYIPFAMMNYLZ-IUCAKERBSA-N -1 1 334.336 -0.028 20 0 EBADMM C[C@H]1C[C@@H](NCc2nccn2C)CN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000989122298 808381740 /nfs/dbraw/zinc/38/17/40/808381740.db2.gz XIXSNLOVKXYHRK-DTWKUNHWSA-N -1 1 333.352 -0.591 20 0 EBADMM COCCn1ccc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)n1 ZINC000989146142 808386987 /nfs/dbraw/zinc/38/69/87/808386987.db2.gz AYOTWKKOYLEXJI-WDEREUQCSA-N -1 1 349.395 -0.254 20 0 EBADMM COCCn1ccc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)n1 ZINC000989146140 808387528 /nfs/dbraw/zinc/38/75/28/808387528.db2.gz AYOTWKKOYLEXJI-MNOVXSKESA-N -1 1 349.395 -0.254 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc2nnnn2c1 ZINC000989187006 808398156 /nfs/dbraw/zinc/39/81/56/808398156.db2.gz XXQMVONVOKPXGI-WPRPVWTQSA-N -1 1 343.351 -0.659 20 0 EBADMM Cc1cc(C(=O)N2CC[C@H](NC(=O)Cn3c(=O)[n-][nH]c3=O)[C@H]2C)[nH]n1 ZINC000989199379 808401651 /nfs/dbraw/zinc/40/16/51/808401651.db2.gz URERGTPMDWRWMS-BDAKNGLRSA-N -1 1 349.351 -0.860 20 0 EBADMM COc1nn(C)cc1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC000989292863 808429611 /nfs/dbraw/zinc/42/96/11/808429611.db2.gz CSSZLPYWHMWFOR-DTWKUNHWSA-N -1 1 335.368 -0.355 20 0 EBADMM Cc1ccn(CC(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)c(=O)c1 ZINC000989501529 808491531 /nfs/dbraw/zinc/49/15/31/808491531.db2.gz FVDGJQYEBMQCJH-VXGBXAGGSA-N -1 1 346.391 -0.240 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnc2cccnn21 ZINC000989537246 808499577 /nfs/dbraw/zinc/49/95/77/808499577.db2.gz SOOJEKIYEWOAGT-NXEZZACHSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2c[nH]c(=O)cn2)CCN1C(=O)c1ncccc1[O-] ZINC000989543510 808500725 /nfs/dbraw/zinc/50/07/25/808500725.db2.gz HPPHNHBEPHLQBE-ZJUUUORDSA-N -1 1 343.343 -0.097 20 0 EBADMM COc1cccnc1C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000989545043 808501866 /nfs/dbraw/zinc/50/18/66/808501866.db2.gz DPKOZOMGQBZIDK-UHFFFAOYSA-N -1 1 346.391 -0.140 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cc(C(N)=O)c[nH]1 ZINC000989614308 808532764 /nfs/dbraw/zinc/53/27/64/808532764.db2.gz GAVVKGINQMBDSU-IONNQARKSA-N -1 1 333.352 -0.670 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cccn(C)c1=O ZINC000989691555 808560880 /nfs/dbraw/zinc/56/08/80/808560880.db2.gz VRCOFCFNNHGPOE-VHSXEESVSA-N -1 1 332.364 -0.398 20 0 EBADMM CCn1ccnc1CN[C@@H]1C[C@@H](C)N(C(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC000989722471 808568229 /nfs/dbraw/zinc/56/82/29/808568229.db2.gz KPXVOEZJEVEMAI-GHMZBOCLSA-N -1 1 349.395 -0.315 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)[C@@H]4CCCO4)CCC[C@H]23)nc1=O ZINC000989932679 808619976 /nfs/dbraw/zinc/61/99/76/808619976.db2.gz SJOYQWVWCUXDNK-MQIPJXDCSA-N -1 1 335.408 -0.099 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)[C@@H]4CCOC4)CCC[C@H]23)nc1=O ZINC000989984905 808630881 /nfs/dbraw/zinc/63/08/81/808630881.db2.gz UZXTXPKFBTVBEP-BFQNTYOBSA-N -1 1 335.408 -0.242 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CCCC1 ZINC000990036765 808642092 /nfs/dbraw/zinc/64/20/92/808642092.db2.gz PVALKTNZJMLKKI-UHFFFAOYSA-N -1 1 330.348 -0.452 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)C(C)(F)F)C1 ZINC000990053457 808645323 /nfs/dbraw/zinc/64/53/23/808645323.db2.gz ATJRXKHOERAYIG-UHFFFAOYSA-N -1 1 332.263 -0.508 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)c2ccco2)C1 ZINC000990076783 808650509 /nfs/dbraw/zinc/65/05/09/808650509.db2.gz HWYTYCDXCGSZEP-UHFFFAOYSA-N -1 1 334.288 -0.256 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)Cc4ccon4)CCC[C@@H]23)nc1=O ZINC000990080550 808651285 /nfs/dbraw/zinc/65/12/85/808651285.db2.gz HWLUGKUYUNSJPL-WBMJQRKESA-N -1 1 346.391 -0.048 20 0 EBADMM O=C(Cc1cc[nH]n1)NC1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000990596059 808856007 /nfs/dbraw/zinc/85/60/07/808856007.db2.gz AVECITYYPGZROL-UHFFFAOYSA-N -1 1 342.359 -0.034 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)c4ncc[nH]4)CCC[C@H]23)nc1=O ZINC000990612174 808862972 /nfs/dbraw/zinc/86/29/72/808862972.db2.gz GXCDXXWOOAGJSP-BONVTDFDSA-N -1 1 331.380 -0.242 20 0 EBADMM Cc1nc(CC(=O)N[C@@]23CCC[C@@H]2N(Cc2n[nH]c(=O)[n-]2)CC3)n[nH]1 ZINC000990640482 808882734 /nfs/dbraw/zinc/88/27/34/808882734.db2.gz VBLHXECJSKJGBE-ZUZCIYMTSA-N -1 1 346.395 -0.207 20 0 EBADMM COc1cc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)ncn1 ZINC000990969142 809018492 /nfs/dbraw/zinc/01/84/92/809018492.db2.gz AJWJHTXRGZMRET-UHFFFAOYSA-N -1 1 329.316 -0.160 20 0 EBADMM O=C(NC1CN(C(=O)[C@H]2CCCCC(=O)N2)C1)c1ncccc1[O-] ZINC000990969254 809018843 /nfs/dbraw/zinc/01/88/43/809018843.db2.gz BYZDCIHGCDTXBA-LLVKDONJSA-N -1 1 332.360 -0.213 20 0 EBADMM CCC(=O)N1CC[C@@H](C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC000990971772 809020081 /nfs/dbraw/zinc/02/00/81/809020081.db2.gz JCMKYDWLNBCHSJ-LLVKDONJSA-N -1 1 346.387 -0.014 20 0 EBADMM CN1C(=O)CC[C@H]1CC(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990974167 809020296 /nfs/dbraw/zinc/02/02/96/809020296.db2.gz FUNVIRHHMWLJDF-NSHDSACASA-N -1 1 332.360 -0.261 20 0 EBADMM O=C(NC1CN(C(=O)c2cnc3n2CCOC3)C1)c1ncccc1[O-] ZINC000990975571 809021829 /nfs/dbraw/zinc/02/18/29/809021829.db2.gz UJUHCAIODFYHMH-UHFFFAOYSA-N -1 1 343.343 -0.232 20 0 EBADMM C[C@H](C(=O)N1CC(NC(=O)c2ncccc2[O-])C1)S(C)(=O)=O ZINC000990976214 809022636 /nfs/dbraw/zinc/02/26/36/809022636.db2.gz YBKLGUQKBQNPEI-MRVPVSSYSA-N -1 1 327.362 -0.839 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)C1CCOCC1 ZINC000991185647 809134350 /nfs/dbraw/zinc/13/43/50/809134350.db2.gz FXSNFGDEOVVNEC-OCCSQVGLSA-N -1 1 349.387 -0.095 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)C1=COCCO1 ZINC000991236175 809180542 /nfs/dbraw/zinc/18/05/42/809180542.db2.gz XOYRNIQMHTYGAV-PWSUYJOCSA-N -1 1 349.343 -0.633 20 0 EBADMM Cn1cc(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]2O)cn1 ZINC000991262935 809210586 /nfs/dbraw/zinc/21/05/86/809210586.db2.gz ZWYJXLCAPORMFK-DGCLKSJQSA-N -1 1 345.359 -0.474 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1ccon1 ZINC000991301885 809260056 /nfs/dbraw/zinc/26/00/56/809260056.db2.gz FABBSIUCMNSXAL-CABZTGNLSA-N -1 1 332.316 -0.219 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)c1cnns1 ZINC000991303290 809261253 /nfs/dbraw/zinc/26/12/53/809261253.db2.gz HJRJGIFLMILWTO-PSASIEDQSA-N -1 1 349.372 -0.356 20 0 EBADMM Cc1ncc(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]2O)[nH]1 ZINC000991345219 809334511 /nfs/dbraw/zinc/33/45/11/809334511.db2.gz SSQDQYAGMXSSID-GWCFXTLKSA-N -1 1 345.359 -0.176 20 0 EBADMM C[C@@H]1CC[C@@H](C(=O)NC2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)C1 ZINC000991406156 809423431 /nfs/dbraw/zinc/42/34/31/809423431.db2.gz FHDRJJFBAHTRKL-VXGBXAGGSA-N -1 1 348.403 -0.310 20 0 EBADMM Cn1ccc(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1 ZINC000991406446 809423695 /nfs/dbraw/zinc/42/36/95/809423695.db2.gz CUEMSXRTRXAQFV-MFKMUULPSA-N -1 1 345.359 -0.474 20 0 EBADMM O=C(Cn1cccn1)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991407740 809426185 /nfs/dbraw/zinc/42/61/85/809426185.db2.gz KCAFIKDDGQSRMG-AAEUAGOBSA-N -1 1 345.359 -0.625 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cnns2)C[C@H]1O)c1ncccc1[O-] ZINC000991410529 809428174 /nfs/dbraw/zinc/42/81/74/809428174.db2.gz RMIHIKBVGRNPNM-PSASIEDQSA-N -1 1 349.372 -0.356 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cnns2)C[C@H]1O)c1ncccc1[O-] ZINC000991410533 809428525 /nfs/dbraw/zinc/42/85/25/809428525.db2.gz RMIHIKBVGRNPNM-WCBMZHEXSA-N -1 1 349.372 -0.356 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cnns2)C[C@@H]1O)c1ncccc1[O-] ZINC000991410531 809428652 /nfs/dbraw/zinc/42/86/52/809428652.db2.gz RMIHIKBVGRNPNM-SCZZXKLOSA-N -1 1 349.372 -0.356 20 0 EBADMM Cc1nc(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])[C@H](O)C2)c[nH]1 ZINC000991424398 809448054 /nfs/dbraw/zinc/44/80/54/809448054.db2.gz JYYKVKLWXPWNEU-ZWNOBZJWSA-N -1 1 345.359 -0.176 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncc[nH]2)C[C@H]1O)c1ncccc1[O-] ZINC000991425331 809449495 /nfs/dbraw/zinc/44/94/95/809449495.db2.gz LBGZLFANMJASIL-MWLCHTKSSA-N -1 1 331.332 -0.484 20 0 EBADMM Cc1c[nH]c(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1 ZINC000991426618 809452379 /nfs/dbraw/zinc/45/23/79/809452379.db2.gz NGIPTLILVCCYKO-JQWIXIFHSA-N -1 1 345.359 -0.176 20 0 EBADMM Cc1c[nH]c(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])[C@H](O)C2)n1 ZINC000991426622 809452699 /nfs/dbraw/zinc/45/26/99/809452699.db2.gz NGIPTLILVCCYKO-ZYHUDNBSSA-N -1 1 345.359 -0.176 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cccnn2)C[C@@H]1O)c1ncccc1[O-] ZINC000991430072 809459193 /nfs/dbraw/zinc/45/91/93/809459193.db2.gz SMRNSINWVKPTGW-MFKMUULPSA-N -1 1 343.343 -0.417 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])[C@H](O)C2)c1 ZINC000991430735 809460351 /nfs/dbraw/zinc/46/03/51/809460351.db2.gz UWBISJJVRYLQNW-GXFFZTMASA-N -1 1 345.359 -0.474 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991434435 809466709 /nfs/dbraw/zinc/46/67/09/809466709.db2.gz ZNOROCXKXILLNJ-MWLCHTKSSA-N -1 1 346.347 -0.781 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991434435 809466713 /nfs/dbraw/zinc/46/67/13/809466713.db2.gz ZNOROCXKXILLNJ-MWLCHTKSSA-N -1 1 346.347 -0.781 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)c3cccc(=O)[nH]3)CC2)nc1=O ZINC001002540103 809737332 /nfs/dbraw/zinc/73/73/32/809737332.db2.gz JDUMAWKKZNVKEX-UHFFFAOYSA-N -1 1 332.364 -0.397 20 0 EBADMM NC(=O)[C@@H]1CC[C@@H]1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001003548782 809801298 /nfs/dbraw/zinc/80/12/98/809801298.db2.gz LLEZGMPAWPAJCR-MNOVXSKESA-N -1 1 332.360 -0.513 20 0 EBADMM CCC(=O)N[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC[C@H]1C ZINC001004273177 809818688 /nfs/dbraw/zinc/81/86/88/809818688.db2.gz IJUSXAPCZJXQFV-MWLCHTKSSA-N -1 1 332.364 -0.206 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)c1nnn(C)n1 ZINC001004923671 809835302 /nfs/dbraw/zinc/83/53/02/809835302.db2.gz JXFJILMBYJBVLA-RKDXNWHRSA-N -1 1 335.372 -0.793 20 0 EBADMM CN(C(=O)c1cnns1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005148623 809842038 /nfs/dbraw/zinc/84/20/38/809842038.db2.gz LBBLELLZJFETKQ-UHFFFAOYSA-N -1 1 337.409 -0.304 20 0 EBADMM CN(C(=O)Cc1cncnc1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005333633 809852488 /nfs/dbraw/zinc/85/24/88/809852488.db2.gz HLGQJEVQENPIEE-UHFFFAOYSA-N -1 1 345.407 -0.436 20 0 EBADMM CC1(C)CN(C(=O)[C@@H]2CNC(=O)N2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001008081867 810073820 /nfs/dbraw/zinc/07/38/20/810073820.db2.gz HYDCMAAKILAYME-GXSJLCMTSA-N -1 1 347.375 -0.565 20 0 EBADMM NC(=O)C(=O)N[C@@H]1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C1 ZINC001009884853 810267363 /nfs/dbraw/zinc/26/73/63/810267363.db2.gz NTXJWZRGMGQCGW-HBNTYKKESA-N -1 1 332.360 -0.371 20 0 EBADMM C[C@@H]1C[C@H](CNC(=O)c2ncccc2[O-])CN1C(=O)c1nnn(C)n1 ZINC001010250511 810280407 /nfs/dbraw/zinc/28/04/07/810280407.db2.gz LVCNRJJUETZYKX-NXEZZACHSA-N -1 1 345.363 -0.409 20 0 EBADMM C[C@@H]1C[C@@H](CNC(=O)c2ncccc2[O-])CN1C(=O)c1nnn(C)n1 ZINC001010250517 810280982 /nfs/dbraw/zinc/28/09/82/810280982.db2.gz LVCNRJJUETZYKX-ZJUUUORDSA-N -1 1 345.363 -0.409 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2cn(C)nn2)CCN1C(=O)c1ncccc1[O-] ZINC001011674867 810344839 /nfs/dbraw/zinc/34/48/39/810344839.db2.gz WWNYZWXJVWIKEP-NXEZZACHSA-N -1 1 330.348 -0.051 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C)C1 ZINC001011674802 810344872 /nfs/dbraw/zinc/34/48/72/810344872.db2.gz WDEVFNUHIAQONW-ZWNOBZJWSA-N -1 1 346.387 -0.015 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)Cc1nnc[nH]1 ZINC001014082936 810412141 /nfs/dbraw/zinc/41/21/41/810412141.db2.gz MAIPLQRHMZVIBW-VHSXEESVSA-N -1 1 330.348 -0.133 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ccc4cc[nH]c4n3)C2)nc1=O ZINC001014826862 810450673 /nfs/dbraw/zinc/45/06/73/810450673.db2.gz KLOUOLXDJRGBDM-LLVKDONJSA-N -1 1 341.375 -0.011 20 0 EBADMM O=C(Cn1ncnn1)N[C@@H]1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001015451645 810479668 /nfs/dbraw/zinc/47/96/68/810479668.db2.gz UTAYUEUATQDXSP-GHMZBOCLSA-N -1 1 345.363 -0.511 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cccc4n[nH]cc43)C2)nc1=O ZINC001015469047 810484641 /nfs/dbraw/zinc/48/46/41/810484641.db2.gz CJMRBDYCADUIFF-SNVBAGLBSA-N -1 1 341.375 -0.011 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3nccn4ccnc34)C2)nc1=O ZINC001015719673 810569630 /nfs/dbraw/zinc/56/96/30/810569630.db2.gz SROMVPYOTHZBGM-SNVBAGLBSA-N -1 1 342.363 -0.845 20 0 EBADMM CC[C@H](CN1CC[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1)OC ZINC001015865023 810604315 /nfs/dbraw/zinc/60/43/15/810604315.db2.gz OCMBSIWATWKPJE-NWDGAFQWSA-N -1 1 348.407 -0.313 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ncoc3C3CC3)C2)nc1=O ZINC001015945720 810622665 /nfs/dbraw/zinc/62/26/65/810622665.db2.gz LAXMMHNBLQVOHH-JTQLQIEISA-N -1 1 332.364 -0.022 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cnn4cc[nH]c34)C2)nc1=O ZINC001016104418 810664270 /nfs/dbraw/zinc/66/42/70/810664270.db2.gz OWXAAVJZPYKCAM-VIFPVBQESA-N -1 1 330.352 -0.912 20 0 EBADMM Cc1nc([C@H](C)N2CC[C@@H](NC(=O)Cn3c(=O)[n-][nH]c3=O)C2)n[nH]1 ZINC001016110639 810667686 /nfs/dbraw/zinc/66/76/86/810667686.db2.gz LOWIWXOCAPJJAV-IONNQARKSA-N -1 1 336.356 -0.932 20 0 EBADMM Cc1cccnc1CN1CC[C@H](NC(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC001016111455 810668177 /nfs/dbraw/zinc/66/81/77/810668177.db2.gz QRVPGTAMEPQVFM-NSHDSACASA-N -1 1 332.364 -0.217 20 0 EBADMM CCc1noc(C)c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001016231024 810711248 /nfs/dbraw/zinc/71/12/48/810711248.db2.gz XKQAMGXIHCMZTH-JTQLQIEISA-N -1 1 334.380 -0.028 20 0 EBADMM Cc1cc(C)n([C@H](C)C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001016382951 810723662 /nfs/dbraw/zinc/72/36/62/810723662.db2.gz YCGZPRJFXMOZFC-OLZOCXBDSA-N -1 1 347.423 -0.127 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)Cc2nc[nH]n2)C1 ZINC001016431569 810725809 /nfs/dbraw/zinc/72/58/09/810725809.db2.gz RMRFAFRRDZNLRD-UHFFFAOYSA-N -1 1 330.348 -0.133 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)Cc2nnc[nH]2)C1 ZINC001016431569 810725819 /nfs/dbraw/zinc/72/58/19/810725819.db2.gz RMRFAFRRDZNLRD-UHFFFAOYSA-N -1 1 330.348 -0.133 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)c2cnn(C)n2)C1 ZINC001016432503 810726191 /nfs/dbraw/zinc/72/61/91/810726191.db2.gz XFAUAQCZDIWICZ-UHFFFAOYSA-N -1 1 330.348 -0.051 20 0 EBADMM CCC(C)(C)CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001219693607 810799578 /nfs/dbraw/zinc/79/95/78/810799578.db2.gz LDZGUTZNAXOQFR-MNOVXSKESA-N -1 1 325.413 -0.404 20 0 EBADMM C[C@H](C(N)=O)N1CCO[C@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001035536846 810883803 /nfs/dbraw/zinc/88/38/03/810883803.db2.gz YMKACIWUHXOSGP-MWLCHTKSSA-N -1 1 349.391 -0.636 20 0 EBADMM Cc1n[nH]cc1C(=O)N1CCC2(CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001035761607 811013242 /nfs/dbraw/zinc/01/32/42/811013242.db2.gz YPBRRXNOLLWYSQ-UHFFFAOYSA-N -1 1 345.407 -0.122 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CCC2(CN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035762669 811013589 /nfs/dbraw/zinc/01/35/89/811013589.db2.gz RQNCYIVYQKSBQV-UHFFFAOYSA-N -1 1 332.368 -0.325 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCC2(CN(CCF)C2)CC1 ZINC001035836900 811035618 /nfs/dbraw/zinc/03/56/18/811035618.db2.gz PJSITGQUKLSNJF-UHFFFAOYSA-N -1 1 334.355 -0.075 20 0 EBADMM CCCC(C)(C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001220254518 811035959 /nfs/dbraw/zinc/03/59/59/811035959.db2.gz TYQQVPMQZKKWBH-MNOVXSKESA-N -1 1 325.413 -0.404 20 0 EBADMM Cc1nc[nH]c1C(=O)N1CCC2(CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001035864851 811044013 /nfs/dbraw/zinc/04/40/13/811044013.db2.gz XOCRPXMKNFXPJW-UHFFFAOYSA-N -1 1 345.407 -0.122 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1NCc1nnn(C)n1 ZINC001036004845 811068799 /nfs/dbraw/zinc/06/87/99/811068799.db2.gz YQZYTGQHFVDHBA-QWRGUYRKSA-N -1 1 331.380 -0.049 20 0 EBADMM C[C@@H](CC(C)(C)C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001220493467 811125327 /nfs/dbraw/zinc/12/53/27/811125327.db2.gz QMCQOBAUGONTJT-TUAOUCFPSA-N -1 1 339.440 -0.158 20 0 EBADMM C[C@H]1CCCN(C(=O)c2nnn(C)n2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036568944 811176629 /nfs/dbraw/zinc/17/66/29/811176629.db2.gz GXIVCAKBUKNGOA-DTWKUNHWSA-N -1 1 335.372 -0.936 20 0 EBADMM Cn1nccc1C(=O)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036663528 811197769 /nfs/dbraw/zinc/19/77/69/811197769.db2.gz MYPQJEMWWVRXPR-MNOVXSKESA-N -1 1 331.380 -0.020 20 0 EBADMM Cn1nccc1C(=O)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036663529 811198562 /nfs/dbraw/zinc/19/85/62/811198562.db2.gz MYPQJEMWWVRXPR-QWRGUYRKSA-N -1 1 331.380 -0.020 20 0 EBADMM O=C(CCn1ccnn1)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036700335 811213345 /nfs/dbraw/zinc/21/33/45/811213345.db2.gz FHJOAXSNTKHIQO-NEPJUHHUSA-N -1 1 346.395 -0.385 20 0 EBADMM Cn1nccc1CC(=O)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036700810 811213802 /nfs/dbraw/zinc/21/38/02/811213802.db2.gz LWVNCNCMFGHUBV-YPMHNXCESA-N -1 1 345.407 -0.091 20 0 EBADMM Cc1nnc(CC(=O)N2CC[C@@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)o1 ZINC001036706938 811219348 /nfs/dbraw/zinc/21/93/48/811219348.db2.gz GNCVZCBMNDVLQH-WDEREUQCSA-N -1 1 347.379 -0.133 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036744056 811237569 /nfs/dbraw/zinc/23/75/69/811237569.db2.gz CVZCDNFMESHRQB-UWVGGRQHSA-N -1 1 347.379 -0.016 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036788869 811255822 /nfs/dbraw/zinc/25/58/22/811255822.db2.gz IOCQVULZWWJTCB-VHSXEESVSA-N -1 1 332.368 -0.327 20 0 EBADMM Cn1ncc(C(=O)N2CC[C@@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001036840419 811282279 /nfs/dbraw/zinc/28/22/79/811282279.db2.gz GXMSASNJVYQTFC-GXSJLCMTSA-N -1 1 332.368 -0.625 20 0 EBADMM O=C(CCn1cnnn1)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036853280 811290006 /nfs/dbraw/zinc/29/00/06/811290006.db2.gz ROHSDMFBUSZARO-MNOVXSKESA-N -1 1 347.383 -0.990 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CCC[C@H]2C[NH2+]Cc2nc(=O)n(C)[n-]2)c1[O-] ZINC001036985825 811324044 /nfs/dbraw/zinc/32/40/44/811324044.db2.gz MVAYXNOMELNOEY-VHSXEESVSA-N -1 1 349.395 -0.466 20 0 EBADMM Cc1nnc(CNC[C@H]2CCC[C@H]2NC(=O)c2n[nH]c(=O)[n-]c2=O)o1 ZINC001037038278 811347565 /nfs/dbraw/zinc/34/75/65/811347565.db2.gz KTWJFZOEERCOLI-RKDXNWHRSA-N -1 1 349.351 -0.337 20 0 EBADMM CC(=O)N(Cc1ccccc1)[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001079122851 811419564 /nfs/dbraw/zinc/41/95/64/811419564.db2.gz XKPANAMLSICEIW-HUUCEWRRSA-N -1 1 345.403 -0.298 20 0 EBADMM NC(=O)NC(=O)CN1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001221494863 811468561 /nfs/dbraw/zinc/46/85/61/811468561.db2.gz JNRBBSCPMKYJJJ-NXEZZACHSA-N -1 1 333.348 -0.872 20 0 EBADMM CCC[C@@H](OC)C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001221733748 811526079 /nfs/dbraw/zinc/52/60/79/811526079.db2.gz ZTUIYUZQWAWYNN-JHJVBQTASA-N -1 1 337.424 -0.044 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)ncn1 ZINC001079585209 811597655 /nfs/dbraw/zinc/59/76/55/811597655.db2.gz MNFOGDKWPDTQJL-BXKDBHETSA-N -1 1 331.380 -0.543 20 0 EBADMM C[C@@H]1CN(CC(=O)N2CCOCC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001079611036 811608606 /nfs/dbraw/zinc/60/86/06/811608606.db2.gz KYFHWWCOVWLVCS-CHWSQXEVSA-N -1 1 348.403 -0.304 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)CN(C)C(C)=O)C1 ZINC001079653193 811638418 /nfs/dbraw/zinc/63/84/18/811638418.db2.gz XAIJOFTUUDNXHI-UHFFFAOYSA-N -1 1 334.376 -0.062 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)nn1 ZINC001079678786 811656913 /nfs/dbraw/zinc/65/69/13/811656913.db2.gz CVOCMYOYSPYLKM-BXKDBHETSA-N -1 1 331.380 -0.543 20 0 EBADMM Cc1n[nH]c(C(=O)NCC2CCN(CC(=O)NC(N)=O)CC2)c1[O-] ZINC001222820261 811662162 /nfs/dbraw/zinc/66/21/62/811662162.db2.gz BBKWXZPJIPAMJT-UHFFFAOYSA-N -1 1 338.368 -0.940 20 0 EBADMM O=C(CO[C@@H]1CCOC1)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001226226720 811853945 /nfs/dbraw/zinc/85/39/45/811853945.db2.gz AQEZTARMOIFTIZ-IJLUTSLNSA-N -1 1 339.396 -0.167 20 0 EBADMM Cc1nc([C@@H](C)N2C[C@@H](C)[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001080051093 811867504 /nfs/dbraw/zinc/86/75/04/811867504.db2.gz MHOOGORZNJBLCP-ZXFLCMHBSA-N -1 1 348.367 -0.479 20 0 EBADMM COC(=O)C[C@H](Oc1cc(=O)[n-]c(N2CCOCC2)n1)C(=O)OC ZINC001226723323 811882447 /nfs/dbraw/zinc/88/24/47/811882447.db2.gz WOQKUCOPBFVRMK-VIFPVBQESA-N -1 1 341.320 -0.498 20 0 EBADMM CCNC(=O)NC(=O)CN1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC001226978443 811895252 /nfs/dbraw/zinc/89/52/52/811895252.db2.gz VHHLEQXPNRLONI-UHFFFAOYSA-N -1 1 349.391 -0.173 20 0 EBADMM CS(=O)(=O)CCC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001227121540 811904136 /nfs/dbraw/zinc/90/41/36/811904136.db2.gz DMKHCVHIDWOPGS-NXEZZACHSA-N -1 1 345.425 -0.538 20 0 EBADMM CCN(C(=O)[C@@H](C)CC(N)=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001080216682 811947835 /nfs/dbraw/zinc/94/78/35/811947835.db2.gz XZFHZDPSYZXKBH-JTQLQIEISA-N -1 1 334.376 -0.028 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001080301957 811976204 /nfs/dbraw/zinc/97/62/04/811976204.db2.gz OKSTWJVKPKWSOQ-PSASIEDQSA-N -1 1 347.379 -0.837 20 0 EBADMM Cn1ccnc1CCC(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001228408493 811978347 /nfs/dbraw/zinc/97/83/47/811978347.db2.gz USDJTDJGMPQWGY-UHFFFAOYSA-N -1 1 347.423 -0.445 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C)c1cncnc1 ZINC001080320571 811979310 /nfs/dbraw/zinc/97/93/10/811979310.db2.gz FHEZAGXNCBHWIS-NQBHXWOUSA-N -1 1 345.407 -0.361 20 0 EBADMM C[C@H](Cn1cnc2c1c(=O)n(C)c(=O)n2C)Oc1cnnc(=S)[n-]1 ZINC001228641753 811993022 /nfs/dbraw/zinc/99/30/22/811993022.db2.gz IMQOJKNDHQWMNM-SSDOTTSWSA-N -1 1 349.376 -0.625 20 0 EBADMM COc1cc(=O)[nH]c(O[C@@H](CN2CCOCC2)CS(=O)(=O)[O-])n1 ZINC001228919431 812006146 /nfs/dbraw/zinc/00/61/46/812006146.db2.gz XPIGTRQEAQVELP-VIFPVBQESA-N -1 1 349.365 -0.842 20 0 EBADMM O=C(CCn1cnnn1)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001229301612 812025849 /nfs/dbraw/zinc/02/58/49/812025849.db2.gz VEHIHXFWTFGSAV-NXEZZACHSA-N -1 1 335.372 -0.896 20 0 EBADMM Cc1ncccc1CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001080438123 812029057 /nfs/dbraw/zinc/02/90/57/812029057.db2.gz HALYPNVGOZLFLU-BXUZGUMPSA-N -1 1 344.419 -0.009 20 0 EBADMM Cc1nc(C)c(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)[nH]1 ZINC001080463284 812036476 /nfs/dbraw/zinc/03/64/76/812036476.db2.gz KQXRWGIAEXTVQA-LDYMZIIASA-N -1 1 333.396 -0.301 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001080632345 812103705 /nfs/dbraw/zinc/10/37/05/812103705.db2.gz FABOSQUPCBQZFG-LDYMZIIASA-N -1 1 333.396 -0.301 20 0 EBADMM CCCn1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)nn1 ZINC001080683994 812135729 /nfs/dbraw/zinc/13/57/29/812135729.db2.gz KEQQMMCRKISXQS-GHMZBOCLSA-N -1 1 348.411 -0.640 20 0 EBADMM O=S(=O)([O-])C[C@H](CN1CCOCC1)Oc1nncc2n[nH]cc21 ZINC001230895675 812252781 /nfs/dbraw/zinc/25/27/81/812252781.db2.gz JLPAGKDMZFOIMA-VIFPVBQESA-N -1 1 343.365 -0.680 20 0 EBADMM O=C(NC1(CO)CCN(C(=O)c2cc[nH]n2)CC1)c1ncccc1[O-] ZINC001080826550 812262173 /nfs/dbraw/zinc/26/21/73/812262173.db2.gz PLFXBLGAHRQMPL-UHFFFAOYSA-N -1 1 345.359 -0.093 20 0 EBADMM O=C(NC1(CO)CCN(C(=O)c2ccn[nH]2)CC1)c1ncccc1[O-] ZINC001080826550 812262177 /nfs/dbraw/zinc/26/21/77/812262177.db2.gz PLFXBLGAHRQMPL-UHFFFAOYSA-N -1 1 345.359 -0.093 20 0 EBADMM CCNC(=O)CCC(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001231208273 812279764 /nfs/dbraw/zinc/27/97/64/812279764.db2.gz GXWWWABXDWLBPM-LLVKDONJSA-N -1 1 338.412 -0.943 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C[C@H]1CCCOC1 ZINC001080958269 812394731 /nfs/dbraw/zinc/39/47/31/812394731.db2.gz PJRJOEXOJQPHDL-JHJVBQTASA-N -1 1 337.424 -0.138 20 0 EBADMM CC(=O)OCC(COC(C)=O)Oc1c(C(N)=O)nc(C)[n-]c1=O ZINC001234470404 812428895 /nfs/dbraw/zinc/42/88/95/812428895.db2.gz SGNHJURLGDZKTO-UHFFFAOYSA-N -1 1 327.293 -0.537 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1N=NC(=S)N1C1CC1 ZINC001251810753 812632456 /nfs/dbraw/zinc/63/24/56/812632456.db2.gz LXYHLPXIXKLCNR-UHFFFAOYSA-N -1 1 336.377 -0.542 20 0 EBADMM CN(C)C(=O)CC1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001254397197 812703881 /nfs/dbraw/zinc/70/38/81/812703881.db2.gz ZDXFMWNUTLVSIQ-UHFFFAOYSA-N -1 1 336.392 -0.356 20 0 EBADMM CCCOCC(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001081326426 812738493 /nfs/dbraw/zinc/73/84/93/812738493.db2.gz CIGJJERSTORKKD-DGCLKSJQSA-N -1 1 337.376 -0.237 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C[C@@H]1C=CCC1 ZINC001081331454 812748732 /nfs/dbraw/zinc/74/87/32/812748732.db2.gz HEFGGYWJMSYCSR-JHJVBQTASA-N -1 1 335.408 -0.220 20 0 EBADMM COC(=O)CCS(=O)(=O)CC[C@H](C)NCC(=O)CCC(=O)[O-] ZINC001257928400 812775300 /nfs/dbraw/zinc/77/53/00/812775300.db2.gz XKRBUNSBNBHVHU-JTQLQIEISA-N -1 1 337.394 -0.234 20 0 EBADMM CN(C)C(=O)c1cccc([N-]S(=O)(=O)CS(C)(=O)=O)c1O ZINC001260592561 812840471 /nfs/dbraw/zinc/84/04/71/812840471.db2.gz KIWCXLLGTUCGNO-UHFFFAOYSA-N -1 1 336.391 -0.162 20 0 EBADMM CO[C@H](CS(=O)(=O)NNc1nc(=O)c2cnn(C)c2[n-]1)C1CC1 ZINC001261480327 812915772 /nfs/dbraw/zinc/91/57/72/812915772.db2.gz MMDLNSQXKDRFBQ-SECBINFHSA-N -1 1 342.381 -0.260 20 0 EBADMM O=C(CC1(O)CCC1)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001081466667 813003201 /nfs/dbraw/zinc/00/32/01/813003201.db2.gz WPCDYWDOEBKQKT-AAEUAGOBSA-N -1 1 349.387 -0.359 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cnccc1C ZINC001081478116 813028713 /nfs/dbraw/zinc/02/87/13/813028713.db2.gz IRGJTTHCXZJNEB-CHWSQXEVSA-N -1 1 346.391 -0.559 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2CCN(c3ccccc3F)CC2)CNCCO1 ZINC001263092427 813106698 /nfs/dbraw/zinc/10/66/98/813106698.db2.gz RTXJOZQSJOYTOB-MRXNPFEDSA-N -1 1 337.351 -0.083 20 0 EBADMM CN(CCOCCN(C)C(=O)[C@@H]1CCCO1)Cc1nc(=O)n(C)[n-]1 ZINC001264103317 813151400 /nfs/dbraw/zinc/15/14/00/813151400.db2.gz HPOUUKJAVLMDPX-LBPRGKRZSA-N -1 1 341.412 -0.806 20 0 EBADMM CO[C@@H]1CN([C@H]2CCCNC2=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001081578402 813227155 /nfs/dbraw/zinc/22/71/55/813227155.db2.gz BOPZSJZSZLYNQK-NTZNESFSSA-N -1 1 334.376 -0.505 20 0 EBADMM NC(=O)[C@@H]1CC[C@H]1C(=O)NCCCN(Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001265171250 813252386 /nfs/dbraw/zinc/25/23/86/813252386.db2.gz NDIILANSWMKNBK-GHMZBOCLSA-N -1 1 336.396 -0.508 20 0 EBADMM CC(C)C[C@]1(C(=O)NC[C@H](C)NCc2n[nH]c(=O)[n-]2)CCNC1=O ZINC001265785401 813370613 /nfs/dbraw/zinc/37/06/13/813370613.db2.gz CMOIWBBFRGPDBQ-ZUZCIYMTSA-N -1 1 338.412 -0.343 20 0 EBADMM CCN(CCCNC(=O)[C@H]1C[C@H]1C(=O)OC)Cc1n[nH]c(=O)[n-]1 ZINC001266025239 813440947 /nfs/dbraw/zinc/44/09/47/813440947.db2.gz FGFJGFMLDCQGFJ-VHSXEESVSA-N -1 1 325.369 -0.352 20 0 EBADMM Cc1nnc(CN2CC[C@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)o1 ZINC001266346684 813541491 /nfs/dbraw/zinc/54/14/91/813541491.db2.gz GFYAPDRIQMTICQ-NSHDSACASA-N -1 1 348.363 -0.991 20 0 EBADMM CCN(CCNC(=O)c1ccnc2[nH]cnc21)Cc1nc(=O)n(C)[n-]1 ZINC001266393094 813558727 /nfs/dbraw/zinc/55/87/27/813558727.db2.gz VYPBTNIIZFJLSP-UHFFFAOYSA-N -1 1 344.379 -0.368 20 0 EBADMM O=C(NC[C@H](NCc1n[nH]c(=O)[n-]1)C1CC1)c1cnc2cccnn21 ZINC001266434212 813568569 /nfs/dbraw/zinc/56/85/69/813568569.db2.gz UGFPPORBEYXOPY-JTQLQIEISA-N -1 1 342.363 -0.149 20 0 EBADMM CO[C@@H]1CN(CCO)C[C@H]1NC(=O)Cc1cc(=O)n(CC(C)C)[n-]1 ZINC001081908109 813672285 /nfs/dbraw/zinc/67/22/85/813672285.db2.gz ZQHJPOSIBHBRTF-ZIAGYGMSSA-N -1 1 340.424 -0.817 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C1CC2(CC2)C1 ZINC001082115927 813770716 /nfs/dbraw/zinc/77/07/16/813770716.db2.gz KGEHQKMMVWRCJH-VXGBXAGGSA-N -1 1 335.408 -0.386 20 0 EBADMM C[C@@H](NC(=O)CC(C)(C)C)C(=O)N(C)CCNCc1n[nH]c(=O)[n-]1 ZINC001267455373 813901109 /nfs/dbraw/zinc/90/11/09/813901109.db2.gz OIUBABXVMXCFGE-SNVBAGLBSA-N -1 1 340.428 -0.001 20 0 EBADMM O=C(CCc1cnc[nH]1)NC1CN(Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC001267649293 813969989 /nfs/dbraw/zinc/96/99/89/813969989.db2.gz UYTCMVHHPQPFKJ-UHFFFAOYSA-N -1 1 341.375 -0.321 20 0 EBADMM C[C@@H](N[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1C)c1cnccn1 ZINC001082619942 813980968 /nfs/dbraw/zinc/98/09/68/813980968.db2.gz KLCHBPXNTFGCDE-FXPVBKGRSA-N -1 1 345.363 -0.116 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@@H]32)no1 ZINC001082993064 814045563 /nfs/dbraw/zinc/04/55/63/814045563.db2.gz FEXFPANXGARNAG-NWDGAFQWSA-N -1 1 348.363 -0.870 20 0 EBADMM O=C(c1ncccc1[O-])N1CCO[C@@H]2CN([C@H]3CCNC3=O)C[C@@H]21 ZINC001083059561 814097659 /nfs/dbraw/zinc/09/76/59/814097659.db2.gz KXCNQFFFMUISGA-GMXVVIOVSA-N -1 1 332.360 -0.799 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)[C@@H]4CC4(F)F)[C@H]3C2)nc1=O ZINC001083073516 814111919 /nfs/dbraw/zinc/11/19/19/814111919.db2.gz IJGKMVGXIDBUMZ-LPEHRKFASA-N -1 1 343.334 -0.825 20 0 EBADMM Cc1nc([C@@H](C)N2CC(NC(=O)CCn3cc[n-]c(=O)c3=O)C2)no1 ZINC001268463916 814252870 /nfs/dbraw/zinc/25/28/70/814252870.db2.gz WEZBSSCRZHVPME-SECBINFHSA-N -1 1 348.363 -0.820 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCC(=O)N1C(C)C ZINC001268472951 814256708 /nfs/dbraw/zinc/25/67/08/814256708.db2.gz KQLSZSFTBRIOKS-NSHDSACASA-N -1 1 338.412 -0.152 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1cnnn1-c1ccccc1 ZINC001268662962 814343040 /nfs/dbraw/zinc/34/30/40/814343040.db2.gz CZMCUBAZEINQDY-SNVBAGLBSA-N -1 1 342.363 -0.001 20 0 EBADMM CCOCCNC(=O)CN1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001268823383 814398433 /nfs/dbraw/zinc/39/84/33/814398433.db2.gz UBLSDGZZIJFSPC-UHFFFAOYSA-N -1 1 336.392 -0.399 20 0 EBADMM O=C(c1ncccc1[O-])N1CCOC[C@]2(CC(=O)N(C3COC3)C2)C1 ZINC001268845223 814410468 /nfs/dbraw/zinc/41/04/68/814410468.db2.gz IHCILBPSTQSWHV-QGZVFWFLSA-N -1 1 347.371 -0.123 20 0 EBADMM Cc1cc(C)c(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)[nH]1 ZINC001083574922 814576606 /nfs/dbraw/zinc/57/66/06/814576606.db2.gz WCNSNOXMJQPVDB-MNOVXSKESA-N -1 1 334.380 -0.972 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)Cc3ccccc3)[C@@H](O)C2)nc1=O ZINC001083576108 814577651 /nfs/dbraw/zinc/57/76/51/814577651.db2.gz IVMZJOXCONULOW-OLZOCXBDSA-N -1 1 331.376 -0.988 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@]3(C)C[C@H]4C[C@H]4C3)[C@@H](O)C2)nc1=O ZINC001083666724 814674528 /nfs/dbraw/zinc/67/45/28/814674528.db2.gz WOYPJLORADSARH-OYFAQSGBSA-N -1 1 335.408 -0.794 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C3(C4CCC4)CCC3)[C@@H](O)C2)nc1=O ZINC001083675795 814683360 /nfs/dbraw/zinc/68/33/60/814683360.db2.gz TZGPVYRVGLDJCA-OLZOCXBDSA-N -1 1 349.435 -0.260 20 0 EBADMM Cc1ncc(CO)c(C(=O)N2CC[C@@]3(C2)CN(C)C(=O)CO3)c1[O-] ZINC001269420008 814683857 /nfs/dbraw/zinc/68/38/57/814683857.db2.gz SPVMLWKPFZPDKQ-INIZCTEOSA-N -1 1 335.360 -0.339 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC[C@@]12CCN(CCn1ccnc1)C2=O ZINC001269576949 814733884 /nfs/dbraw/zinc/73/38/84/814733884.db2.gz CAUVWFJMKYLADZ-HNNXBMFYSA-N -1 1 344.379 -0.768 20 0 EBADMM CC(C)CN1C[C@@]2(CC1=O)COCCN(C(=O)Cc1nn[n-]n1)C2 ZINC001269577902 814735675 /nfs/dbraw/zinc/73/56/75/814735675.db2.gz PRFKUGMXNJWRII-HNNXBMFYSA-N -1 1 336.396 -0.524 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@@]2(C1)CN(CC1CCCC1)C(=O)CO2 ZINC001269578178 814735739 /nfs/dbraw/zinc/73/57/39/814735739.db2.gz VHIIDNZTNAZNIC-MRXNPFEDSA-N -1 1 348.407 -0.238 20 0 EBADMM COCCCN1C[C@@]2(CC1=O)CCCCN2C(=O)Cc1nn[n-]n1 ZINC001269578290 814736094 /nfs/dbraw/zinc/73/60/94/814736094.db2.gz ZTYFQIPJLLQFNG-HNNXBMFYSA-N -1 1 336.396 -0.238 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCCC[C@@]12CC(=O)N(CC(F)F)C2 ZINC001269577482 814736215 /nfs/dbraw/zinc/73/62/15/814736215.db2.gz KADLIGCIDHBIED-ZDUSSCGKSA-N -1 1 328.323 -0.009 20 0 EBADMM CN(C(=O)CCn1cc[n-]c(=O)c1=O)C1CN(Cc2ccccn2)C1 ZINC001269862415 815622746 /nfs/dbraw/zinc/62/27/46/815622746.db2.gz UFDAIMTWOWZEMC-UHFFFAOYSA-N -1 1 343.387 -0.336 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@@H](F)c3ccccc3)[C@@H](O)C2)nc1=O ZINC001083818609 815626646 /nfs/dbraw/zinc/62/66/46/815626646.db2.gz DUMOATTXBNTIND-DYEKYZERSA-N -1 1 349.366 -0.520 20 0 EBADMM COCCOCN1CCO[C@H]2CN(C(=O)c3ccc([O-])cn3)C[C@@H]21 ZINC001269895093 815630687 /nfs/dbraw/zinc/63/06/87/815630687.db2.gz ISQUZWIVLLFSJZ-GJZGRUSLSA-N -1 1 337.376 -0.067 20 0 EBADMM Cc1ccc([C@@H](C)C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)o1 ZINC001083842094 815643883 /nfs/dbraw/zinc/64/38/83/815643883.db2.gz WKORFHSMMXCRCH-UTUOFQBUSA-N -1 1 349.391 -0.525 20 0 EBADMM Cc1ncc(CO)c(C(=O)N2Cc3ccnn3CC[C@H]2C(N)=O)c1[O-] ZINC001269979076 815655059 /nfs/dbraw/zinc/65/50/59/815655059.db2.gz BSYFXBHGZHBYLJ-LBPRGKRZSA-N -1 1 345.359 -0.316 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC[C@]2(CC[N@H+](Cc3cn[nH]c3)C2)C1 ZINC001270182256 815713054 /nfs/dbraw/zinc/71/30/54/815713054.db2.gz AAQSHLOCWCFZET-OAHLLOKOSA-N -1 1 330.396 -0.020 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC2(C1)CCN(C(=O)CCc1ccc[nH]1)C2 ZINC001270182262 815713210 /nfs/dbraw/zinc/71/32/10/815713210.db2.gz AEIGNUAUZTUNIO-UHFFFAOYSA-N -1 1 343.391 -0.236 20 0 EBADMM CCc1oc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)cc1C ZINC001083945911 815728155 /nfs/dbraw/zinc/72/81/55/815728155.db2.gz SQEOYWMNQSKXER-MNOVXSKESA-N -1 1 349.391 -0.453 20 0 EBADMM O=C(c1ccc(=O)[nH]n1)N1CC2(C1)CN(C(=O)c1ncccc1[O-])C2 ZINC001270258182 815736560 /nfs/dbraw/zinc/73/65/60/815736560.db2.gz OLFQFZHANKRKEJ-UHFFFAOYSA-N -1 1 341.327 -0.119 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c(C)n1 ZINC001084083773 815822518 /nfs/dbraw/zinc/82/25/18/815822518.db2.gz ZJBYMNIZCCXKLK-OLZOCXBDSA-N -1 1 346.391 -0.905 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)C4CCOCC4)[C@@H]3C2)nc1=O ZINC001084148917 815858579 /nfs/dbraw/zinc/85/85/79/815858579.db2.gz SDVLODWJOGKVRS-CHWSQXEVSA-N -1 1 335.408 -0.432 20 0 EBADMM Cc1nnsc1C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001084180545 815863396 /nfs/dbraw/zinc/86/33/96/815863396.db2.gz FBMRZRZBKDRNHH-NXEZZACHSA-N -1 1 349.420 -0.385 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4cnns4)[C@@H]3C2)nc1=O ZINC001084211598 815866064 /nfs/dbraw/zinc/86/60/64/815866064.db2.gz BVCJUNTXTOXENB-RKDXNWHRSA-N -1 1 335.393 -0.694 20 0 EBADMM Cn1nnc(CN2CC[C@@H]3CN(C(=O)c4ncccc4[O-])[C@@H]3C2)n1 ZINC001084300126 815886616 /nfs/dbraw/zinc/88/66/16/815886616.db2.gz XDJFCRUZWHIGAO-GHMZBOCLSA-N -1 1 329.364 -0.343 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC2(C[C@H]2C(=O)NCCc2ccccn2)C1 ZINC001270784206 815887786 /nfs/dbraw/zinc/88/77/86/815887786.db2.gz FGQRWBRHHZUFRM-LBPRGKRZSA-N -1 1 341.375 -0.655 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC2(C[C@H]2C(=O)Nc2cn[nH]c2)CC1 ZINC001270785295 815889217 /nfs/dbraw/zinc/88/92/17/815889217.db2.gz SGUXMFLUAMMSFN-JTQLQIEISA-N -1 1 330.352 -0.267 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCc2cc(C(=O)Nc3cn[nH]c3)[nH]c2C1 ZINC001270786078 815890768 /nfs/dbraw/zinc/89/07/68/815890768.db2.gz ZAHIHLXMLXGRMZ-UHFFFAOYSA-N -1 1 341.335 -0.369 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2CC23CN(C(=O)Cc2nn[n-]n2)C3)C[C@@H](C)O1 ZINC001270789420 815893607 /nfs/dbraw/zinc/89/36/07/815893607.db2.gz PUHPPRGJPAJKDI-GMTAPVOTSA-N -1 1 334.380 -0.774 20 0 EBADMM C[C@@H]1CN(C(=O)[C@]23C[C@H]2CCN3C(=O)Cc2nn[n-]n2)C[C@@H](C)O1 ZINC001270789984 815894450 /nfs/dbraw/zinc/89/44/50/815894450.db2.gz YVWRKPPKNAJART-CZFOOCMKSA-N -1 1 334.380 -0.631 20 0 EBADMM CC[C@@H]1OCC[C@H]1C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001084534804 815932367 /nfs/dbraw/zinc/93/23/67/815932367.db2.gz RTYDAHHIQUYXEK-SYQHCUMBSA-N -1 1 349.435 -0.044 20 0 EBADMM Cc1nc[nH]c1C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001084872207 815998159 /nfs/dbraw/zinc/99/81/59/815998159.db2.gz ZYUVAOYTFBICPY-GHMZBOCLSA-N -1 1 331.380 -0.514 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)[C@@H]4CCCO4)C[C@@H]32)nc1=O ZINC001084885634 815999281 /nfs/dbraw/zinc/99/92/81/815999281.db2.gz JDUXYZNOPBOVFI-AGIUHOORSA-N -1 1 335.408 -0.290 20 0 EBADMM O=C(N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)[C@H]1COCCO1 ZINC001085139354 816023273 /nfs/dbraw/zinc/02/32/73/816023273.db2.gz LNOWJIVWSFZTHN-GMTAPVOTSA-N -1 1 325.369 -0.557 20 0 EBADMM CN1C(=O)CC[C@@H]1C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001085307598 816040329 /nfs/dbraw/zinc/04/03/29/816040329.db2.gz GXGHMRVJIGVRHD-GMTAPVOTSA-N -1 1 336.396 -0.352 20 0 EBADMM CN(C[C@@H]1CCN1[C@H]1CCC(=O)NC1=O)C(=O)c1ncccc1[O-] ZINC001085562600 816072396 /nfs/dbraw/zinc/07/23/96/816072396.db2.gz PAXNFCWJPBPEPX-QWRGUYRKSA-N -1 1 332.360 -0.261 20 0 EBADMM CC[C@H](C(N)=O)N1C(=O)COCC12CN(C(=O)c1cncc([O-])c1)C2 ZINC001271387047 816094127 /nfs/dbraw/zinc/09/41/27/816094127.db2.gz PQCKCHJCIGEYHA-GFCCVEGCSA-N -1 1 348.359 -0.896 20 0 EBADMM Cc1ncn(C)c1C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085655584 816101032 /nfs/dbraw/zinc/10/10/32/816101032.db2.gz STEQVWKQEYUIOE-NSHDSACASA-N -1 1 333.396 -0.503 20 0 EBADMM Cc1nnccc1C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085698995 816114168 /nfs/dbraw/zinc/11/41/68/816114168.db2.gz CLHOBTAPMWHKFZ-LLVKDONJSA-N -1 1 331.380 -0.447 20 0 EBADMM COc1nc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)co1 ZINC001085745238 816131051 /nfs/dbraw/zinc/13/10/51/816131051.db2.gz BUYHLWXROASXAU-VIFPVBQESA-N -1 1 336.352 -0.549 20 0 EBADMM Cc1ccc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)c(=O)[nH]1 ZINC001085843208 816159644 /nfs/dbraw/zinc/15/96/44/816159644.db2.gz GUHNRNTVIHLCIS-LLVKDONJSA-N -1 1 346.391 -0.136 20 0 EBADMM CCn1ncc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)c1C ZINC001085889892 816172656 /nfs/dbraw/zinc/17/26/56/816172656.db2.gz KKAZLMCATFCAKG-GFCCVEGCSA-N -1 1 347.423 -0.020 20 0 EBADMM Cc1nnc(CN[C@H]2C[C@@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC001086138642 816244765 /nfs/dbraw/zinc/24/47/65/816244765.db2.gz UBYPPOGEYWPQSN-OCAPTIKFSA-N -1 1 334.340 -0.992 20 0 EBADMM C[C@@H](N[C@H]1C[C@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1)c1nncn1C ZINC001086418299 816327875 /nfs/dbraw/zinc/32/78/75/816327875.db2.gz KLWSUZIWJGEZMW-IWSPIJDZSA-N -1 1 348.367 -0.730 20 0 EBADMM O=C(c1ccc([O-])cc1F)N1CC2(C1)CN(C1COC1)C(=O)CO2 ZINC001272224938 816358476 /nfs/dbraw/zinc/35/84/76/816358476.db2.gz SBRFENNBCKDDTD-UHFFFAOYSA-N -1 1 336.319 -0.017 20 0 EBADMM O=C(NC[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C1)[C@H]1CCCS1(=O)=O ZINC001086555999 816375689 /nfs/dbraw/zinc/37/56/89/816375689.db2.gz GUSCAOGOHPNRSH-OPRDCNLKSA-N -1 1 343.409 -0.928 20 0 EBADMM O=C(c1ccc([O-])c(F)c1)N1CC2(C1)COCC(=O)N2C1COC1 ZINC001272401411 816421411 /nfs/dbraw/zinc/42/14/11/816421411.db2.gz OZHZATTXPYBQGT-UHFFFAOYSA-N -1 1 336.319 -0.017 20 0 EBADMM Cn1cc([C@@H]2CN(C(=O)C3CC3)C[C@H]2NCc2n[nH]c(=O)[n-]2)cn1 ZINC001086961912 816421853 /nfs/dbraw/zinc/42/18/53/816421853.db2.gz CFFHXVYRTYORIK-NWDGAFQWSA-N -1 1 331.380 -0.262 20 0 EBADMM NC(=O)CC(=O)N1C[C@H]2[C@H](C1)[C@@H]1CC[C@H]2CN1Cc1n[nH]c(=O)[n-]1 ZINC001087344299 816504648 /nfs/dbraw/zinc/50/46/48/816504648.db2.gz DKUOPLRKBSDHDR-VLEAKVRGSA-N -1 1 334.380 -0.946 20 0 EBADMM Cn1ncnc1C(=O)N1CCC2(CN(C(=O)c3cncc([O-])c3)C2)C1 ZINC001272674281 816505587 /nfs/dbraw/zinc/50/55/87/816505587.db2.gz XJVZSFGLZFQXGH-UHFFFAOYSA-N -1 1 342.359 -0.096 20 0 EBADMM Cc1[nH]nc(C(=O)N2C[C@H]3CCN([C@H]4CCNC4=O)C[C@H]3C2)c1[O-] ZINC001087935784 816582319 /nfs/dbraw/zinc/58/23/19/816582319.db2.gz RXNWOZMAKWXIQV-WOPDTQHZSA-N -1 1 333.392 -0.294 20 0 EBADMM O=C(CCn1cnnn1)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088405242 816678172 /nfs/dbraw/zinc/67/81/72/816678172.db2.gz ROIMIMLZUUBLFZ-NSHDSACASA-N -1 1 349.399 -0.552 20 0 EBADMM CN(C)S(=O)(=O)N1CC2(CN(C(=O)c3ccc(F)c(=O)[n-]3)C2)C1 ZINC001273576837 816700975 /nfs/dbraw/zinc/70/09/75/816700975.db2.gz SQLDMTUOUXCTRD-UHFFFAOYSA-N -1 1 344.368 -0.510 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)c(C)nn1 ZINC001088592464 816709059 /nfs/dbraw/zinc/70/90/59/816709059.db2.gz IEKRCCLURNXQKZ-YPMHNXCESA-N -1 1 345.407 -0.092 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ccc(=O)n(C)c2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088695179 816725988 /nfs/dbraw/zinc/72/59/88/816725988.db2.gz CYCRHEDUBSMJAP-PWSUYJOCSA-N -1 1 346.391 -0.800 20 0 EBADMM COc1nccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)n1 ZINC001088736503 816732984 /nfs/dbraw/zinc/73/29/84/816732984.db2.gz FXVMPVRKXMXBQU-ZJUUUORDSA-N -1 1 347.379 -0.700 20 0 EBADMM Cc1ccnn1CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001088890905 816759594 /nfs/dbraw/zinc/75/95/94/816759594.db2.gz RWYREPUFZASKBA-NEPJUHHUSA-N -1 1 333.396 -0.607 20 0 EBADMM Cc1n[nH]c(C(=O)NCC=CCNCC(=O)NCC(F)(F)F)c1[O-] ZINC001273957567 816821812 /nfs/dbraw/zinc/82/18/12/816821812.db2.gz PKTLLYFZAXVUMY-IHWYPQMZSA-N -1 1 349.313 -0.022 20 0 EBADMM Cc1ccc([O-])c(C(=O)N2C[C@@H]3OCCN(CC(=O)N(C)C)[C@H]3C2)n1 ZINC001274068013 816855380 /nfs/dbraw/zinc/85/53/80/816855380.db2.gz WXZQPEXMIFVQCW-JSGCOSHPSA-N -1 1 348.403 -0.291 20 0 EBADMM CC1(C)C[C@H](NC(=O)Cn2cncn2)CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001089555073 816868105 /nfs/dbraw/zinc/86/81/05/816868105.db2.gz HQAVEIFLRRJHAM-LLVKDONJSA-N -1 1 348.411 -0.091 20 0 EBADMM CCNCc1cn([C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)nn1 ZINC001089588302 816882698 /nfs/dbraw/zinc/88/26/98/816882698.db2.gz IHEBRRKUTPCRFK-VIFPVBQESA-N -1 1 334.340 -0.929 20 0 EBADMM CCOCCOCC(=O)N1C[C@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001274296977 816926331 /nfs/dbraw/zinc/92/63/31/816926331.db2.gz GTMURXSYLGXIEE-WDEREUQCSA-N -1 1 327.385 -0.500 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cccc(F)c3)[C@@H](O)C2)nc1=O ZINC001090040234 816980757 /nfs/dbraw/zinc/98/07/57/816980757.db2.gz AWPWEAAOLDKQDH-STQMWFEESA-N -1 1 349.366 -0.387 20 0 EBADMM O=C(c1c[nH]c(=O)c(=O)[n-]1)N1C[C@H]2CN(C3CCCC3)C[C@@H](C1)O2 ZINC001274502136 816986446 /nfs/dbraw/zinc/98/64/46/816986446.db2.gz ODJCAAGNMCZWIR-TXEJJXNPSA-N -1 1 334.376 -0.469 20 0 EBADMM COc1ccnc(CNCC=CCNC(=O)Cn2c(=O)[n-][nH]c2=O)c1 ZINC001274534017 816993244 /nfs/dbraw/zinc/99/32/44/816993244.db2.gz HSYJXBXNNFPMRL-IHWYPQMZSA-N -1 1 348.363 -0.445 20 0 EBADMM Cc1ccoc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001090078547 817011907 /nfs/dbraw/zinc/01/19/07/817011907.db2.gz JWZJQZWVEIZPGF-GHMZBOCLSA-N -1 1 335.364 -0.625 20 0 EBADMM Cc1cccnc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001090167085 817085009 /nfs/dbraw/zinc/08/50/09/817085009.db2.gz UMJSOBXQXOIGLT-NWDGAFQWSA-N -1 1 346.391 -0.823 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1cccc2c1oc(=O)n2C ZINC001274787053 817087516 /nfs/dbraw/zinc/08/75/16/817087516.db2.gz BLQXGLVKSBUNBI-MRVPVSSYSA-N -1 1 346.347 -0.137 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C3CC(F)(F)C3)[C@@H](O)C2)nc1=O ZINC001090183894 817100683 /nfs/dbraw/zinc/10/06/83/817100683.db2.gz YSENZVGQRHVZJX-ZJUUUORDSA-N -1 1 345.350 -0.795 20 0 EBADMM Cc1noc(CN2CC[C@@H](NC(=O)c3ncccc3[O-])[C@H](O)C2)n1 ZINC001090215210 817131563 /nfs/dbraw/zinc/13/15/63/817131563.db2.gz CZFUMQIDRNFSLS-ZYHUDNBSSA-N -1 1 333.348 -0.156 20 0 EBADMM Cc1nonc1CN1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001090217300 817136342 /nfs/dbraw/zinc/13/63/42/817136342.db2.gz PRBWFVKVQJLUDJ-GXFFZTMASA-N -1 1 333.348 -0.156 20 0 EBADMM Cc1nonc1CN1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001090217301 817136678 /nfs/dbraw/zinc/13/66/78/817136678.db2.gz PRBWFVKVQJLUDJ-MFKMUULPSA-N -1 1 333.348 -0.156 20 0 EBADMM Cn1cc(CN2CC[C@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)nn1 ZINC001090218670 817138932 /nfs/dbraw/zinc/13/89/32/817138932.db2.gz VXVQFRRZVUDMIP-AAEUAGOBSA-N -1 1 332.364 -0.719 20 0 EBADMM CC(C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O)=C1CCC1 ZINC001090253907 817189767 /nfs/dbraw/zinc/18/97/67/817189767.db2.gz GJULPCFKJKOVGF-CHWSQXEVSA-N -1 1 335.408 -0.340 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H](C)NC(=O)c1cnn2ccncc12 ZINC001275415525 817212491 /nfs/dbraw/zinc/21/24/91/817212491.db2.gz PYAHHWPXSJZLSP-RKDXNWHRSA-N -1 1 330.352 -0.150 20 0 EBADMM Cc1cc(C)c(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)[nH]1 ZINC001090362353 817305230 /nfs/dbraw/zinc/30/52/30/817305230.db2.gz WMUVYRZBHCJIGV-VXGBXAGGSA-N -1 1 348.407 -0.581 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C34CCC(CC3)C4)[C@@H](O)C2)nc1=O ZINC001090364023 817305457 /nfs/dbraw/zinc/30/54/57/817305457.db2.gz SGGVMPXQGBEFDY-UDRCLVEDSA-N -1 1 349.435 -0.260 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)Cc3ccccc3)[C@@H](O)C2)nc1=O ZINC001090364471 817306974 /nfs/dbraw/zinc/30/69/74/817306974.db2.gz JPDZBEOHVDLQPY-KGLIPLIRSA-N -1 1 345.403 -0.598 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C3(F)CCCC3)[C@@H](O)C2)nc1=O ZINC001090371994 817314876 /nfs/dbraw/zinc/31/48/76/817314876.db2.gz OJQFDYGXNLEQFH-QWRGUYRKSA-N -1 1 341.387 -0.558 20 0 EBADMM Cn1[n-]c(CN2CC(CCO)(NC(=O)C[C@@H]3CC=CCC3)C2)nc1=O ZINC001276076095 817354126 /nfs/dbraw/zinc/35/41/26/817354126.db2.gz SMVAJBDZMVLZBO-CYBMUJFWSA-N -1 1 349.435 -0.092 20 0 EBADMM CCCC[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CCCNC1=O ZINC001276254890 817378412 /nfs/dbraw/zinc/37/84/12/817378412.db2.gz HZOORFMMLKJSGM-MNOVXSKESA-N -1 1 338.412 -0.199 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C3(C)CCCC3)[C@H](O)C2)nc1=O ZINC001090469000 817443490 /nfs/dbraw/zinc/44/34/90/817443490.db2.gz QFAGRZSJTFJUKE-NWDGAFQWSA-N -1 1 337.424 -0.260 20 0 EBADMM Cc1ccoc1CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001090562606 817543872 /nfs/dbraw/zinc/54/38/72/817543872.db2.gz KRTULUTUDZZAEK-RYUDHWBXSA-N -1 1 349.391 -0.696 20 0 EBADMM Cc1cc(CN2Cc3[nH]nc(C(=O)N=c4nn[n-]n4C)c3C2)n[nH]1 ZINC001277349052 817557153 /nfs/dbraw/zinc/55/71/53/817557153.db2.gz MSNKQFXFSIIVPN-UHFFFAOYSA-N -1 1 328.340 -0.845 20 0 EBADMM CNC(=O)C1(C(=O)N2CCC(N(C)Cc3n[nH]c(=O)[n-]3)CC2)CC1 ZINC001277436051 817569860 /nfs/dbraw/zinc/56/98/60/817569860.db2.gz ZAIOEXYFYGBOBA-UHFFFAOYSA-N -1 1 336.396 -0.541 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c(C)[nH]1 ZINC001090606458 817580815 /nfs/dbraw/zinc/58/08/15/817580815.db2.gz QXOQTKDHUNAFMD-CHWSQXEVSA-N -1 1 348.407 -0.581 20 0 EBADMM CN(C(=O)CCn1cc[n-]c(=O)c1=O)C1CN(Cc2ccon2)C1 ZINC001277670480 817620622 /nfs/dbraw/zinc/62/06/22/817620622.db2.gz BRCAFPAQAVHLMV-UHFFFAOYSA-N -1 1 333.348 -0.743 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C(C3CC3)C3CC3)[C@@H](O)C2)nc1=O ZINC001090717359 817692240 /nfs/dbraw/zinc/69/22/40/817692240.db2.gz WLIQZMZVUZKKQN-OLZOCXBDSA-N -1 1 349.435 -0.404 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCN(CCF)C[C@H]1O ZINC001090721919 817695673 /nfs/dbraw/zinc/69/56/73/817695673.db2.gz IPTYCTKIUHDLKN-HTQZYQBOSA-N -1 1 330.316 -0.969 20 0 EBADMM COCCCOCC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC001278307975 817739649 /nfs/dbraw/zinc/73/96/49/817739649.db2.gz JZRTUEOTXAAMLB-GHMZBOCLSA-N -1 1 327.385 -0.358 20 0 EBADMM Cc1c[nH]cc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001090804832 817759164 /nfs/dbraw/zinc/75/91/64/817759164.db2.gz KWHNEXWWNCXZDB-RYUDHWBXSA-N -1 1 334.380 -0.890 20 0 EBADMM CC1=CC[C@](C)(C(=O)NCC2(O)CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001278931480 817894905 /nfs/dbraw/zinc/89/49/05/817894905.db2.gz BBLPONUBOQEYLZ-INIZCTEOSA-N -1 1 349.435 -0.092 20 0 EBADMM O=C(NCC1CC(NCc2n[nH]c(=O)[n-]2)C1)[C@H]1CCCS1(=O)=O ZINC001091372199 817972773 /nfs/dbraw/zinc/97/27/73/817972773.db2.gz GUSCAOGOHPNRSH-UDNWOFFPSA-N -1 1 343.409 -0.928 20 0 EBADMM Cc1ocnc1C(=O)N1C[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@]2(C)C1 ZINC001091525374 818004621 /nfs/dbraw/zinc/00/46/21/818004621.db2.gz UKTRBLCUTBZDPK-BZNIZROVSA-N -1 1 346.391 -0.001 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)[C@H]4CCCCO4)C[C@]3(C)C2)nc1=O ZINC001091599320 818015186 /nfs/dbraw/zinc/01/51/86/818015186.db2.gz RXNREXUHPQQVPX-XNJGSVPQSA-N -1 1 349.435 -0.042 20 0 EBADMM O=C(NCCNc1ncncc1Cl)c1cc(=O)n2[n-]cnc2n1 ZINC001093761605 818097386 /nfs/dbraw/zinc/09/73/86/818097386.db2.gz OHWVSLYRTYDHMO-UHFFFAOYSA-N -1 1 334.727 -0.297 20 0 EBADMM CCc1cc(NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)nc(C)n1 ZINC001093761667 818097801 /nfs/dbraw/zinc/09/78/01/818097801.db2.gz RQOJYBJRIRYBQA-UHFFFAOYSA-N -1 1 342.363 -0.080 20 0 EBADMM CCCCN1CC(n2cc(CNC(=O)c3n[nH]c(=O)[n-]c3=O)nn2)C1 ZINC001094304060 818224755 /nfs/dbraw/zinc/22/47/55/818224755.db2.gz OCUZFSCCEQDURY-UHFFFAOYSA-N -1 1 348.367 -0.539 20 0 EBADMM Cc1nccc(NCCCNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001094498929 818276302 /nfs/dbraw/zinc/27/63/02/818276302.db2.gz FUTPVKWEYSNHQD-UHFFFAOYSA-N -1 1 332.364 -0.356 20 0 EBADMM Cc1cc(NCCCNC(=O)CCn2cc[n-]c(=O)c2=O)ncn1 ZINC001094499304 818277225 /nfs/dbraw/zinc/27/72/25/818277225.db2.gz TZPKEHVTFWMYBE-UHFFFAOYSA-N -1 1 332.364 -0.356 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)Cc2cccnc2)C3)nc1=O ZINC001095315781 818600607 /nfs/dbraw/zinc/60/06/07/818600607.db2.gz ZOXUSAKJFIULMS-RDBSUJKOSA-N -1 1 342.403 -0.032 20 0 EBADMM O=C(NCCNC(=O)[C@@H]1C[C@H]1C1CC1)c1cc(=O)n2[n-]cnc2n1 ZINC001283039581 818603270 /nfs/dbraw/zinc/60/32/70/818603270.db2.gz SNHWXLQSHUXSDO-VHSXEESVSA-N -1 1 330.348 -0.690 20 0 EBADMM Cc1nn[nH]c1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001095855755 818695487 /nfs/dbraw/zinc/69/54/87/818695487.db2.gz GLQQKPRDEIRWSV-UTLUCORTSA-N -1 1 332.368 -0.930 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2c[nH]c(=O)n2C)C3)nc1=O ZINC001095869674 818699793 /nfs/dbraw/zinc/69/97/93/818699793.db2.gz NDMLMDVLDWRHGD-UTLUCORTSA-N -1 1 347.379 -0.917 20 0 EBADMM O=C(NCCCNC(=O)C1CC=CC1)c1cc(=O)n2[n-]cnc2n1 ZINC001283210820 818701023 /nfs/dbraw/zinc/70/10/23/818701023.db2.gz AKYYHIGRQZDYPP-UHFFFAOYSA-N -1 1 330.348 -0.380 20 0 EBADMM CCN1C[C@H](C(=O)NCCCNC(=O)c2ncccc2[O-])CC1=O ZINC001283232188 818711053 /nfs/dbraw/zinc/71/10/53/818711053.db2.gz DJGMSWVVAJMUOI-LLVKDONJSA-N -1 1 334.376 -0.108 20 0 EBADMM Cc1ccncc1C(=O)NCCOCCN(C)Cc1nc(=O)n(C)[n-]1 ZINC001283363193 818776854 /nfs/dbraw/zinc/77/68/54/818776854.db2.gz KSEIXPHITNXCDJ-UHFFFAOYSA-N -1 1 348.407 -0.310 20 0 EBADMM Cc1nccc(N2CC[C@@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)n1 ZINC001096403617 818817564 /nfs/dbraw/zinc/81/75/64/818817564.db2.gz VVROEDRPUCDESX-GFCCVEGCSA-N -1 1 344.375 -0.580 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)Cc2ccc[nH]2)C3)nc1=O ZINC001096585883 818855722 /nfs/dbraw/zinc/85/57/22/818855722.db2.gz BGAGPUOCJQENHK-AGIUHOORSA-N -1 1 330.392 -0.099 20 0 EBADMM Cn1cccc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001096815714 818890655 /nfs/dbraw/zinc/89/06/55/818890655.db2.gz WDELNSCJYWPNPC-UTUOFQBUSA-N -1 1 330.392 -0.018 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)c2cnns2)C3)nc1=O ZINC001096843939 818895315 /nfs/dbraw/zinc/89/53/15/818895315.db2.gz CUVPKSVHFPWAME-HLTSFMKQSA-N -1 1 335.393 -0.505 20 0 EBADMM CCn1nncc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001097036222 818930758 /nfs/dbraw/zinc/93/07/58/818930758.db2.gz IPDUBUXSSYVXOL-MXWKQRLJSA-N -1 1 346.395 -0.745 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)c2cn[nH]c(=O)c2)C3)nc1=O ZINC001097279199 818969911 /nfs/dbraw/zinc/96/99/11/818969911.db2.gz ITDYECVRRZNOJX-MXWKQRLJSA-N -1 1 345.363 -0.861 20 0 EBADMM CCc1n[nH]cc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001097408312 818987529 /nfs/dbraw/zinc/98/75/29/818987529.db2.gz ATTIZORJKQYKAA-WQAKAFBOSA-N -1 1 345.407 -0.071 20 0 EBADMM Cc1nn[nH]c1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001097627835 819009483 /nfs/dbraw/zinc/00/94/83/819009483.db2.gz GLQQKPRDEIRWSV-BBBLOLIVSA-N -1 1 332.368 -0.930 20 0 EBADMM O=C(N[C@H](CNC(=O)[C@H]1CCNC1=O)C1CC1)c1ncccc1[O-] ZINC001283955173 819044059 /nfs/dbraw/zinc/04/40/59/819044059.db2.gz WBBROLRQUWPDTJ-WDEREUQCSA-N -1 1 332.360 -0.452 20 0 EBADMM Cc1cc(N[C@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)ncn1 ZINC001097939040 819057367 /nfs/dbraw/zinc/05/73/67/819057367.db2.gz ASHBUEXOSNXEED-LLVKDONJSA-N -1 1 332.364 -0.358 20 0 EBADMM Cc1cc(N[C@@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)nc(C)n1 ZINC001097940195 819058708 /nfs/dbraw/zinc/05/87/08/819058708.db2.gz VCYDQIXVXFVQTJ-NSHDSACASA-N -1 1 346.391 -0.050 20 0 EBADMM O=C(c1cncnc1)N1CCC(N(CCO)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001098353456 819142851 /nfs/dbraw/zinc/14/28/51/819142851.db2.gz ZTDBSIGWMJHSTH-UHFFFAOYSA-N -1 1 347.379 -0.601 20 0 EBADMM CNC(=O)C1(C(=O)N2CC[C@H](CNC(=O)c3ncccc3[O-])C2)CC1 ZINC001284369649 819203099 /nfs/dbraw/zinc/20/30/99/819203099.db2.gz BROBJDRYIRGADL-LLVKDONJSA-N -1 1 346.387 -0.108 20 0 EBADMM CN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)[C@@H]1CC12CCC2 ZINC001284554743 819285743 /nfs/dbraw/zinc/28/57/43/819285743.db2.gz QJYUJKIJWDTIDC-LBPRGKRZSA-N -1 1 348.403 -0.309 20 0 EBADMM CC[C@H](F)C(=O)N(CC)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001284631941 819310794 /nfs/dbraw/zinc/31/07/94/819310794.db2.gz LPMLFQKQQPEAGJ-NSHDSACASA-N -1 1 342.371 -0.361 20 0 EBADMM O=C(NCCN(C(=O)c1ncccc1[O-])C1CC1)[C@H]1CCNC1=O ZINC001284745607 819344950 /nfs/dbraw/zinc/34/49/50/819344950.db2.gz WBRIBQSXJXBGAB-NSHDSACASA-N -1 1 332.360 -0.356 20 0 EBADMM CN(CC1CCN(C(=O)c2ncccc2[O-])CC1)C(=O)CC(N)=O ZINC001284849270 819371557 /nfs/dbraw/zinc/37/15/57/819371557.db2.gz USLOXERZVNXYHO-UHFFFAOYSA-N -1 1 334.376 -0.027 20 0 EBADMM C[C@@H](CNC(=O)c1ccoc1)CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001285210143 819511822 /nfs/dbraw/zinc/51/18/22/819511822.db2.gz UXTVUEFDKLUVTI-VIFPVBQESA-N -1 1 344.331 -0.194 20 0 EBADMM CCC(CC)C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001099695676 819586170 /nfs/dbraw/zinc/58/61/70/819586170.db2.gz MICVATKAXXXBOX-VXGBXAGGSA-N -1 1 325.413 -0.404 20 0 EBADMM CS[C@@H](C)C(=O)N[C@H](C)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285628250 819698995 /nfs/dbraw/zinc/69/89/95/819698995.db2.gz PSMVUPRIRRTBBF-ZJUUUORDSA-N -1 1 342.421 -0.701 20 0 EBADMM C[C@H](CCNC(=O)c1n[nH]c(=O)[n-]c1=O)Nc1ncnc2[nH]cnc21 ZINC001099789105 819700841 /nfs/dbraw/zinc/70/08/41/819700841.db2.gz SRQPQYOCUIJXIF-ZCFIWIBFSA-N -1 1 345.323 -0.430 20 0 EBADMM CNC(=O)C1(C(=O)N(C)[C@@H](C)CNC(=O)c2ncccc2[O-])CC1 ZINC001285654260 819709323 /nfs/dbraw/zinc/70/93/23/819709323.db2.gz DWTOOPHZRAQHST-JTQLQIEISA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)c1ccccn1 ZINC001285703315 819731094 /nfs/dbraw/zinc/73/10/94/819731094.db2.gz FKLZUMLYKWKXCL-NSHDSACASA-N -1 1 345.359 -0.744 20 0 EBADMM CC/C=C(\C)C(=O)NC[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285755464 819758534 /nfs/dbraw/zinc/75/85/34/819758534.db2.gz LJXNNSXNHDMUFG-JVOXIWMLSA-N -1 1 336.392 -0.096 20 0 EBADMM O=C(NCC(F)F)C(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001285876482 819802262 /nfs/dbraw/zinc/80/22/62/819802262.db2.gz OBGGITCWOAQZPA-QMMMGPOBSA-N -1 1 342.302 -0.501 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CC12CC2)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001285901906 819814414 /nfs/dbraw/zinc/81/44/14/819814414.db2.gz PBWXFKQBTYKAQN-NWDGAFQWSA-N -1 1 348.403 -0.310 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)CCC(C)(F)F)[C@@H](O)C2)nc1=O ZINC001099897195 819860898 /nfs/dbraw/zinc/86/08/98/819860898.db2.gz ROLFAFYYLGBAQR-UWVGGRQHSA-N -1 1 347.366 -0.405 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)CCC(F)F)[C@H](O)C2)nc1=O ZINC001099918333 819914887 /nfs/dbraw/zinc/91/48/87/819914887.db2.gz KCGPNHUDONZAPP-RKDXNWHRSA-N -1 1 333.339 -0.795 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)CCC(F)F)[C@@H](O)C2)nc1=O ZINC001099918330 819915479 /nfs/dbraw/zinc/91/54/79/819915479.db2.gz KCGPNHUDONZAPP-BDAKNGLRSA-N -1 1 333.339 -0.795 20 0 EBADMM CCCC(C)(C)C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001099984284 819989524 /nfs/dbraw/zinc/98/95/24/819989524.db2.gz YNAODXVAVJXIJL-NEPJUHHUSA-N -1 1 339.440 -0.014 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)CC(C)(F)F)[C@@H](O)C2)nc1=O ZINC001099990844 819996829 /nfs/dbraw/zinc/99/68/29/819996829.db2.gz BUSKBZFDBQHDFE-IUCAKERBSA-N -1 1 333.339 -0.795 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C(C)(C)C(F)F)[C@H](O)C2)nc1=O ZINC001099998583 820008041 /nfs/dbraw/zinc/00/80/41/820008041.db2.gz IXXDNWGLOYNNAB-DTWKUNHWSA-N -1 1 347.366 -0.549 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)CCC(C)(C)C)[C@@H](O)C2)nc1=O ZINC001100043054 820111099 /nfs/dbraw/zinc/11/10/99/820111099.db2.gz ADFOTKMEIWXTQP-NEPJUHHUSA-N -1 1 339.440 -0.014 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)CCC(C)(C)C)[C@H](O)C2)nc1=O ZINC001100043057 820111386 /nfs/dbraw/zinc/11/13/86/820111386.db2.gz ADFOTKMEIWXTQP-VXGBXAGGSA-N -1 1 339.440 -0.014 20 0 EBADMM CCC(C)(CC)C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001100118927 820246716 /nfs/dbraw/zinc/24/67/16/820246716.db2.gz AIWNXJFEAUARCV-NEPJUHHUSA-N -1 1 339.440 -0.014 20 0 EBADMM CCN(C(=O)c1cnc(C2CC2)[n-]c1=O)[C@H](C)CNC(=O)C(N)=O ZINC001288647670 820327277 /nfs/dbraw/zinc/32/72/77/820327277.db2.gz KYZHIYDGAJTHJC-MRVPVSSYSA-N -1 1 335.364 -0.488 20 0 EBADMM CCN(CCNC(=O)c1cc(=O)n2[n-]cnc2n1)c1nccnc1F ZINC001100567445 820476324 /nfs/dbraw/zinc/47/63/24/820476324.db2.gz LGVZCGQNVGGZCJ-UHFFFAOYSA-N -1 1 346.326 -0.397 20 0 EBADMM CCN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)c1nccc(C)n1 ZINC001100572204 820477451 /nfs/dbraw/zinc/47/74/51/820477451.db2.gz TZCVELQLLQTDPT-UHFFFAOYSA-N -1 1 346.391 -0.332 20 0 EBADMM NC(=O)[C@@H]1CCC[C@@H](C(=O)NCCNC(=O)c2ncccc2[O-])C1 ZINC001292665949 820581651 /nfs/dbraw/zinc/58/16/51/820581651.db2.gz DWHIPDHANPCBIU-GHMZBOCLSA-N -1 1 334.376 -0.075 20 0 EBADMM O=C(NCCNC(=O)[C@H]1CCc2nnnn2CC1)c1ncccc1[O-] ZINC001292666916 820581746 /nfs/dbraw/zinc/58/17/46/820581746.db2.gz ZMRUBPSQAIRERE-JTQLQIEISA-N -1 1 345.363 -0.728 20 0 EBADMM CCC(C)(C)CC(=O)NCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001292794868 820619311 /nfs/dbraw/zinc/61/93/11/820619311.db2.gz XVQDINDELWBPLI-UHFFFAOYSA-N -1 1 338.408 -0.015 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@@H]1CCN(c2ncccn2)C1 ZINC001100993365 820637664 /nfs/dbraw/zinc/63/76/64/820637664.db2.gz DBIHOYIUHJOUEO-LBPRGKRZSA-N -1 1 344.375 -0.641 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNC(=O)C12CCC(CC1)C2 ZINC001292947185 820668975 /nfs/dbraw/zinc/66/89/75/820668975.db2.gz PIRIRJKGGXPBSM-UHFFFAOYSA-N -1 1 348.403 -0.261 20 0 EBADMM CC(C)=CC(=O)NCCCN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001293933137 820843023 /nfs/dbraw/zinc/84/30/23/820843023.db2.gz UNONRLKQDVZHLJ-UHFFFAOYSA-N -1 1 332.364 -0.038 20 0 EBADMM Cc1nnc(CC(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C)[nH]1 ZINC001101955702 820971493 /nfs/dbraw/zinc/97/14/93/820971493.db2.gz CEBJBPVWHMQXDZ-PSASIEDQSA-N -1 1 334.384 -0.636 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)C[C@H]1CCNC1=O ZINC001102043712 821009635 /nfs/dbraw/zinc/00/96/35/821009635.db2.gz JNGTYMYPPAPGQB-GMTAPVOTSA-N -1 1 336.396 -0.779 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)N1CC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001295080456 821047441 /nfs/dbraw/zinc/04/74/41/821047441.db2.gz ZKDKKVICAMTOQA-FRRDWIJNSA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)CCc1cnn(C)n1 ZINC001102378422 821083834 /nfs/dbraw/zinc/08/38/34/821083834.db2.gz VKFYVKLOVAMGSK-GHMZBOCLSA-N -1 1 348.411 -0.544 20 0 EBADMM C[C@@H](CNC(=O)c1cccn1C)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001295422823 821092849 /nfs/dbraw/zinc/09/28/49/821092849.db2.gz PZKKYMNKCUFQDH-VIFPVBQESA-N -1 1 343.347 -0.696 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)c1cccnc1 ZINC001295569048 821125861 /nfs/dbraw/zinc/12/58/61/821125861.db2.gz KGYQFVPWPCGRDS-LLVKDONJSA-N -1 1 345.359 -0.744 20 0 EBADMM C[C@H](CNC(=O)[C@@H]1CCNC1=O)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001295599188 821133244 /nfs/dbraw/zinc/13/32/44/821133244.db2.gz XOVICTFBMDPBNC-PSASIEDQSA-N -1 1 347.375 -0.570 20 0 EBADMM CC(=O)Nc1ccc(N2C[C@@H](C(=O)[N-]OCC(N)=O)CC2=O)cc1 ZINC001295741842 821164306 /nfs/dbraw/zinc/16/43/06/821164306.db2.gz CZGGFAWJULENOF-JTQLQIEISA-N -1 1 334.332 -0.469 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)CCc1cn(C)nn1 ZINC001102619421 821175749 /nfs/dbraw/zinc/17/57/49/821175749.db2.gz JWEPNFWHABWUBO-GHMZBOCLSA-N -1 1 348.411 -0.544 20 0 EBADMM COCCCn1nc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)ccc1=O ZINC001296063452 821200078 /nfs/dbraw/zinc/20/00/78/821200078.db2.gz IHAROVDHQICMCU-JTQLQIEISA-N -1 1 335.368 -0.331 20 0 EBADMM Cc1cocc1C(=O)NCCN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001296196285 821222795 /nfs/dbraw/zinc/22/27/95/821222795.db2.gz OIYWHNKHQUMNIC-UHFFFAOYSA-N -1 1 344.331 -0.179 20 0 EBADMM CC[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)Nc1ncccn1 ZINC001103135825 821264705 /nfs/dbraw/zinc/26/47/05/821264705.db2.gz MMNOSRWKRNJEGZ-SECBINFHSA-N -1 1 328.336 -0.172 20 0 EBADMM O=Cc1cccc(OCC(=O)N2CCC(O)(c3nn[n-]n3)CC2)c1 ZINC001296954265 821298697 /nfs/dbraw/zinc/29/86/97/821298697.db2.gz QLCVHHGQAHFCBB-UHFFFAOYSA-N -1 1 331.332 -0.099 20 0 EBADMM C=C/C(C)=C/CC(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001297122818 821324673 /nfs/dbraw/zinc/32/46/73/821324673.db2.gz YDBBVKDPGJWQQA-UUILKARUSA-N -1 1 346.387 -0.224 20 0 EBADMM CCC1(C(=O)NC2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)CCC1 ZINC001297121428 821325442 /nfs/dbraw/zinc/32/54/42/821325442.db2.gz KOMFKMSMYPHLFA-UHFFFAOYSA-N -1 1 348.403 -0.166 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001103849102 821360004 /nfs/dbraw/zinc/36/00/04/821360004.db2.gz LWVCWEFGWBRKTR-CKYFFXLPSA-N -1 1 338.412 -0.391 20 0 EBADMM C[C@@H]1CN(C(=O)C2=COCCO2)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001103845914 821360215 /nfs/dbraw/zinc/36/02/15/821360215.db2.gz BVCSEBGPGXDSPX-GHMZBOCLSA-N -1 1 337.380 -0.027 20 0 EBADMM CC(=O)N(C)CC(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001103906403 821367646 /nfs/dbraw/zinc/36/76/46/821367646.db2.gz VDLMITYOUFAHQE-ZYHUDNBSSA-N -1 1 338.412 -0.437 20 0 EBADMM Cc1ccc(NC[C@@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)nn1 ZINC001104017681 821387381 /nfs/dbraw/zinc/38/73/81/821387381.db2.gz QDYIMZYYPLNUMQ-LLVKDONJSA-N -1 1 346.391 -0.110 20 0 EBADMM C[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)Cc1ccco1 ZINC001298686317 821586327 /nfs/dbraw/zinc/58/63/27/821586327.db2.gz RXLMQBMMCNGBST-SECBINFHSA-N -1 1 344.331 -0.512 20 0 EBADMM C[C@@H](CNC(=O)c1ccncc1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001298825952 821605435 /nfs/dbraw/zinc/60/54/35/821605435.db2.gz PYIXHZMUEPOEOW-NSHDSACASA-N -1 1 345.359 -0.744 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1ccccc1O[C@H]1CC(=O)N1 ZINC001299649831 821721814 /nfs/dbraw/zinc/72/18/14/821721814.db2.gz LZQZCKPQDXEQSN-AWEZNQCLSA-N -1 1 344.327 -0.210 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1COCCC1(OC)OC ZINC001301156423 821745961 /nfs/dbraw/zinc/74/59/61/821745961.db2.gz IBDUKTYZLGHHQU-ZETCQYMHSA-N -1 1 329.309 -0.596 20 0 EBADMM Cc1ccnc(N(C)CCN(C)C(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001105427837 821748178 /nfs/dbraw/zinc/74/81/78/821748178.db2.gz JAUJRVZPPXMGOC-UHFFFAOYSA-N -1 1 346.391 -0.380 20 0 EBADMM Cc1ccc(N(C)CCN(C)C(=O)c2cc(=O)n3[n-]cnc3n2)nn1 ZINC001105428318 821749152 /nfs/dbraw/zinc/74/91/52/821749152.db2.gz HCASPCIBWSSEBS-UHFFFAOYSA-N -1 1 342.363 -0.276 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H]2C=CCC2)o1 ZINC001303084934 821754898 /nfs/dbraw/zinc/75/48/98/821754898.db2.gz YPCCZPMPUSOIBE-VIFPVBQESA-N -1 1 327.362 -0.261 20 0 EBADMM COC[C@@H](NC(=O)CCn1cnc2ccccc2c1=O)c1nn[n-]n1 ZINC001303578071 821757469 /nfs/dbraw/zinc/75/74/69/821757469.db2.gz IZLFRENDENHOHP-GFCCVEGCSA-N -1 1 343.347 -0.196 20 0 EBADMM COC[C@H](NC(=O)c1cccc(Cn2ccnn2)c1)c1nn[n-]n1 ZINC001303580220 821757513 /nfs/dbraw/zinc/75/75/13/821757513.db2.gz SHLOXDYUJBHBJP-LBPRGKRZSA-N -1 1 328.336 -0.043 20 0 EBADMM COC[C@@H](NC(=O)CN1C(=O)c2ccccc2C1=O)c1nn[n-]n1 ZINC001303579159 821757702 /nfs/dbraw/zinc/75/77/02/821757702.db2.gz YKPMUCCMDIFHTB-SNVBAGLBSA-N -1 1 330.304 -0.700 20 0 EBADMM O=C(Nc1cccn(CC(F)(F)F)c1=O)NN1CC(=O)[N-]C1=O ZINC001310433293 821785596 /nfs/dbraw/zinc/78/55/96/821785596.db2.gz GPCJKIRSQLDCLR-UHFFFAOYSA-N -1 1 333.226 -0.001 20 0 EBADMM Cn1ncc(CCCNC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)n1 ZINC001312743024 821794640 /nfs/dbraw/zinc/79/46/40/821794640.db2.gz OWVBOOVFEFZKHT-UHFFFAOYSA-N -1 1 347.379 -0.185 20 0 EBADMM Cc1cc(NC[C@@H](O)CNC(=O)c2ncccc2[O-])n2ncnc2n1 ZINC001105803364 821875542 /nfs/dbraw/zinc/87/55/42/821875542.db2.gz YMRFYOOTKFINMJ-SNVBAGLBSA-N -1 1 343.347 -0.264 20 0 EBADMM CNC(=O)[C@@H](C)N(C)CCCNC(=O)c1c[n-]n2c1nccc2=O ZINC001316854137 821891958 /nfs/dbraw/zinc/89/19/58/821891958.db2.gz RAQFVLAPFJMVLN-SNVBAGLBSA-N -1 1 334.380 -0.791 20 0 EBADMM COCCC(=O)NCCCN1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001316952392 821931983 /nfs/dbraw/zinc/93/19/83/821931983.db2.gz OECUQADODIYAJZ-UHFFFAOYSA-N -1 1 326.401 -0.829 20 0 EBADMM C[C@@H](CNC(=O)c1ccnc(-n2cccn2)c1)NCc1n[nH]c(=O)[n-]1 ZINC001317266862 822054274 /nfs/dbraw/zinc/05/42/74/822054274.db2.gz XZVZESUSCOLSAH-JTQLQIEISA-N -1 1 342.363 -0.001 20 0 EBADMM Cc1nc2c(nccc2C(=O)NCCN(C)Cc2nc(=O)n(C)[n-]2)[nH]1 ZINC001317455005 822115354 /nfs/dbraw/zinc/11/53/54/822115354.db2.gz DWTQIAFHJLOEFG-UHFFFAOYSA-N -1 1 344.379 -0.450 20 0 EBADMM Cc1nc(C(=O)NCCN(C)Cc2nc(=O)n(C)[n-]2)c2ccccn12 ZINC001317453880 822115687 /nfs/dbraw/zinc/11/56/87/822115687.db2.gz YNRZQUCSKDOTRA-UHFFFAOYSA-N -1 1 343.391 -0.074 20 0 EBADMM Cc1cnc2c(C(=O)NCCN(C)Cc3nc(=O)n(C)[n-]3)cnn2c1 ZINC001317462798 822117930 /nfs/dbraw/zinc/11/79/30/822117930.db2.gz JHCJMUVVNJQALV-UHFFFAOYSA-N -1 1 344.379 -0.679 20 0 EBADMM Cc1conc1CNCCN(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001317644442 822189287 /nfs/dbraw/zinc/18/92/87/822189287.db2.gz RLNUUZZDKKDMEH-UHFFFAOYSA-N -1 1 335.364 -0.529 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)CCOCC(F)(F)F)C2)nc1=O ZINC001318042764 822248629 /nfs/dbraw/zinc/24/86/29/822248629.db2.gz GWYLZKPALKJTMA-UHFFFAOYSA-N -1 1 337.302 -0.622 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1CN(Cc2cccnc2)C1 ZINC001318070972 822252781 /nfs/dbraw/zinc/25/27/81/822252781.db2.gz HMYYUXNBWRQDRR-UHFFFAOYSA-N -1 1 329.360 -0.678 20 0 EBADMM C[C@H](CCNc1ncnc2[nH]cnc21)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001106643857 822306263 /nfs/dbraw/zinc/30/62/63/822306263.db2.gz ITSAUAOBWBRCAX-ZCFIWIBFSA-N -1 1 345.323 -0.479 20 0 EBADMM Cn1nc(C(F)(F)F)cc1C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001128134076 828419663 /nfs/dbraw/zinc/41/96/63/828419663.db2.gz AUNJDHDXTLTDCV-UHFFFAOYSA-N -1 1 333.274 -0.218 20 0 EBADMM Cn1[n-]c(CN2CC(n3cc(CNC(=O)CC4CC4)nn3)C2)nc1=O ZINC001107110976 823731144 /nfs/dbraw/zinc/73/11/44/823731144.db2.gz JLZAMRJMGWYPSY-UHFFFAOYSA-N -1 1 346.395 -0.827 20 0 EBADMM Cn1[n-]c(CN2CC(n3cc(CNC(=O)C(C)(C)C)nn3)C2)nc1=O ZINC001107230515 823817259 /nfs/dbraw/zinc/81/72/59/823817259.db2.gz LLLFHULEDXGKJV-UHFFFAOYSA-N -1 1 348.411 -0.581 20 0 EBADMM CO[C@@H](C)CC(=O)NC[C@@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107799267 823970623 /nfs/dbraw/zinc/97/06/23/823970623.db2.gz BKNUFHDHSBSBBX-NHYWBVRUSA-N -1 1 341.412 -0.760 20 0 EBADMM COC[C@H](C)C(=O)NC[C@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107811947 823975804 /nfs/dbraw/zinc/97/58/04/823975804.db2.gz VPRIVFCHQWEWJY-XHDPSFHLSA-N -1 1 341.412 -0.902 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@](C)(CNC(=O)C3CC=CC3)C2)nc1=O ZINC001107834462 823992246 /nfs/dbraw/zinc/99/22/46/823992246.db2.gz YQQUFZJAKZOOMQ-INIZCTEOSA-N -1 1 335.408 -0.218 20 0 EBADMM C[C@H](CNc1cc(F)ncn1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001107836852 823994139 /nfs/dbraw/zinc/99/41/39/823994139.db2.gz AOLHOEFIBXRBSD-SECBINFHSA-N -1 1 336.327 -0.527 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@](C)(CNC(=O)C3=CCCC3)C2)nc1=O ZINC001107865744 824004256 /nfs/dbraw/zinc/00/42/56/824004256.db2.gz CZWUTNBRPZPJEI-INIZCTEOSA-N -1 1 335.408 -0.074 20 0 EBADMM Cn1cnnc1CN1CCO[C@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001107889694 824020118 /nfs/dbraw/zinc/02/01/18/824020118.db2.gz BKWHFAWXKALPEU-MRXNPFEDSA-N -1 1 346.391 -0.063 20 0 EBADMM C[C@@]1(CNC(=O)c2ncccc2[O-])CN(CCOCCO)CCO1 ZINC001107890747 824021861 /nfs/dbraw/zinc/02/18/61/824021861.db2.gz VECQJXYGCWQTDA-MRXNPFEDSA-N -1 1 339.392 -0.383 20 0 EBADMM Cn1ncc(CN2CCO[C@](C)(CNC(=O)c3ncccc3[O-])C2)n1 ZINC001107890761 824022054 /nfs/dbraw/zinc/02/20/54/824022054.db2.gz VMRSSEPRIWDRKO-MRXNPFEDSA-N -1 1 346.391 -0.063 20 0 EBADMM Cc1nc(=O)[nH]c(C)c1CCC(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001129397557 828613574 /nfs/dbraw/zinc/61/35/74/828613574.db2.gz VUYZVGHRDHCMST-UHFFFAOYSA-N -1 1 335.368 -0.539 20 0 EBADMM CC(C)[C@@H](F)C(=O)NC[C@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001108141076 824139294 /nfs/dbraw/zinc/13/92/94/824139294.db2.gz ULCGPNOPELNEEX-IUODEOHRSA-N -1 1 343.403 -0.190 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)[C@@H]1CNC(=O)c2ccccc21 ZINC001129640975 828648478 /nfs/dbraw/zinc/64/84/78/828648478.db2.gz YKJQMMGCPLAHMP-LLVKDONJSA-N -1 1 330.348 -0.757 20 0 EBADMM Cc1cc(N(C)C[C@@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)ncn1 ZINC001109020185 824473090 /nfs/dbraw/zinc/47/30/90/824473090.db2.gz KNWXCIJQOKUDOJ-GFCCVEGCSA-N -1 1 346.391 -0.334 20 0 EBADMM O=C(NCC1(Nc2ncccn2)CC1)c1cc(=O)n2[n-]cnc2n1 ZINC001110182538 824672915 /nfs/dbraw/zinc/67/29/15/824672915.db2.gz MDDWDNSZQBQVPX-UHFFFAOYSA-N -1 1 326.320 -0.418 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1(Nc2cnc(F)cn2)CC1 ZINC001110180526 824673147 /nfs/dbraw/zinc/67/31/47/824673147.db2.gz NKFOEAMCTZMQAQ-UHFFFAOYSA-N -1 1 348.338 -0.383 20 0 EBADMM COc1cc(COCC(=O)NCCNCc2n[nH]c(=O)[n-]2)ccn1 ZINC001130211279 828757601 /nfs/dbraw/zinc/75/76/01/828757601.db2.gz ATIZGOJNOVVNIW-UHFFFAOYSA-N -1 1 336.352 -0.664 20 0 EBADMM CC(C)[C@@H](O)C(=O)N[C@]12CCC[C@H]1CN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001111734425 825307328 /nfs/dbraw/zinc/30/73/28/825307328.db2.gz YWWSQJNATQRHOM-GHJWDPDVSA-N -1 1 337.424 -0.404 20 0 EBADMM CC[C@H](C)[C@H](OC)C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001113044040 825736513 /nfs/dbraw/zinc/73/65/13/825736513.db2.gz JNUAIHCOQVQSER-AAEUAGOBSA-N -1 1 325.413 -0.186 20 0 EBADMM C[C@@H](Nc1ncnc2[nH]cnc21)[C@H](C)NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001113110512 825760365 /nfs/dbraw/zinc/76/03/65/825760365.db2.gz SRDGXJSNKMIHOB-NKWVEPMBSA-N -1 1 347.339 -0.639 20 0 EBADMM CCSCCC(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001113942969 826086421 /nfs/dbraw/zinc/08/64/21/826086421.db2.gz UFAXLIDEABBWAK-IWIIMEHWSA-N -1 1 325.438 -0.202 20 0 EBADMM CO[C@H](C)CC(=O)N[C@@H]1[C@H]2CN(Cc3cc(=O)n4[n-]ccc4n3)C[C@H]21 ZINC001114020639 826114795 /nfs/dbraw/zinc/11/47/95/826114795.db2.gz JVBJIGFSMVXUDE-XPZRHXQJSA-N -1 1 345.403 -0.006 20 0 EBADMM CCCNC(=O)[C@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1[nH]nc(C)c1[O-] ZINC001114142969 826148174 /nfs/dbraw/zinc/14/81/74/826148174.db2.gz JWTVJHANXCBORT-NNYUYHANSA-N -1 1 335.408 -0.002 20 0 EBADMM O=C(CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-])NCC1CC1 ZINC001114164073 826156284 /nfs/dbraw/zinc/15/62/84/826156284.db2.gz PTUCLMXGWSAFPL-JYAVWHMHSA-N -1 1 330.388 -0.027 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C2(C(F)F)CC2)nc1=O ZINC001114280689 826193919 /nfs/dbraw/zinc/19/39/19/826193919.db2.gz NBUHGUROVOTYOA-MBTKJCJQSA-N -1 1 327.335 -0.300 20 0 EBADMM COCCC1(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)CC1 ZINC001114386324 826230076 /nfs/dbraw/zinc/23/00/76/826230076.db2.gz CNYXZFLUFJNTEB-PJXYFTJBSA-N -1 1 335.408 -0.529 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CC[C@H]2CCCOC2)nc1=O ZINC001115046238 826408254 /nfs/dbraw/zinc/40/82/54/826408254.db2.gz IICWFRZXLXDGHE-FOCJUVANSA-N -1 1 349.435 -0.138 20 0 EBADMM O=C([O-])C(F)(F)C(F)(F)C(=O)N[C@H]1COCCC12OCCO2 ZINC001180176766 833052620 /nfs/dbraw/zinc/05/26/20/833052620.db2.gz NZFZXBIQTQCVPN-LURJTMIESA-N -1 1 331.218 -0.010 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CCc2cscn2)nc1=O ZINC001115340087 826513424 /nfs/dbraw/zinc/51/34/24/826513424.db2.gz KIGCWLZYZASYOD-YABSGUDNSA-N -1 1 348.432 -0.256 20 0 EBADMM C[C@H](CN(C)C(=O)c1cc(=O)n2[n-]cnc2n1)Nc1cc(F)ncn1 ZINC001115777583 826584142 /nfs/dbraw/zinc/58/41/42/826584142.db2.gz DBGYUQKLNCEHDC-MRVPVSSYSA-N -1 1 346.326 -0.081 20 0 EBADMM C[C@H](CN(C)C(=O)c1cc(=O)n2[n-]cnc2n1)Nc1nccnc1F ZINC001115777823 826584180 /nfs/dbraw/zinc/58/41/80/826584180.db2.gz JZFBMYSAHCJJRJ-MRVPVSSYSA-N -1 1 346.326 -0.081 20 0 EBADMM CNC(=O)Cn1cnc([N-]C(=O)c2cc(Cn3cccn3)on2)n1 ZINC001117818519 826808323 /nfs/dbraw/zinc/80/83/23/826808323.db2.gz HLEUFDZDXFXEKH-UHFFFAOYSA-N -1 1 330.308 -0.491 20 0 EBADMM C[C@H]1CC(=O)N(C)c2ccc(NC(=O)C(=O)NCc3nn[n-]n3)cc21 ZINC001118926042 827026504 /nfs/dbraw/zinc/02/65/04/827026504.db2.gz YYESPLDSIMUOCT-QMMMGPOBSA-N -1 1 343.347 -0.075 20 0 EBADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C(=O)N[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001119132249 827070389 /nfs/dbraw/zinc/07/03/89/827070389.db2.gz LMVLXJZYMPFEEA-MRCNFZFLSA-N -1 1 349.309 -0.583 20 0 EBADMM Cn1ncc2c1ncnc2N[C@@H](CO)CNC(=O)c1ncccc1[O-] ZINC001121339213 827346964 /nfs/dbraw/zinc/34/69/64/827346964.db2.gz GQXQITKANZVLTA-SECBINFHSA-N -1 1 343.347 -0.333 20 0 EBADMM Cn1ncc(C(=O)OCc2nn[n-]n2)c1I ZINC001123019231 827677147 /nfs/dbraw/zinc/67/71/47/827677147.db2.gz ZWMZZZHZFDWKDU-UHFFFAOYSA-N -1 1 334.077 -0.105 20 0 EBADMM CC(=O)N[C@H](C(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O)[C@@H](C)O ZINC001183694326 833301748 /nfs/dbraw/zinc/30/17/48/833301748.db2.gz DHOIVTRULPMMRL-VDTYLAMSSA-N -1 1 326.313 -0.530 20 0 EBADMM Cc1nn([C@@H]2CCOC2)cc1C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001127299271 828275663 /nfs/dbraw/zinc/27/56/63/828275663.db2.gz NUVJCHZYICAVEA-SNVBAGLBSA-N -1 1 335.368 -0.504 20 0 EBADMM COC[C@@H](C)n1cc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)c(C)n1 ZINC001127312232 828278292 /nfs/dbraw/zinc/27/82/92/828278292.db2.gz NHAFKMJHYHAUDJ-SECBINFHSA-N -1 1 337.384 -0.258 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)[C@@H]1CCO[C@H]1c1ccncc1 ZINC001127554462 828302175 /nfs/dbraw/zinc/30/21/75/828302175.db2.gz XNWUNXFPLNHASS-YPMHNXCESA-N -1 1 332.364 -0.111 20 0 EBADMM CC(=O)NC(C(=O)C(O)n1cc(N)c(N)n1)=C([O-])c1ccccc1 ZINC001184414468 833329460 /nfs/dbraw/zinc/32/94/60/833329460.db2.gz MPAGLTYSDAVUDK-YPMHNXCESA-N -1 1 331.332 -0.564 20 0 EBADMM CC(=O)NC(C(=O)c1ccccc1)=C([O-])C(O)n1cc(N)c(N)n1 ZINC001184414468 833329461 /nfs/dbraw/zinc/32/94/61/833329461.db2.gz MPAGLTYSDAVUDK-YPMHNXCESA-N -1 1 331.332 -0.564 20 0 EBADMM C[C@]1(C(=O)NCCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])CCOC1 ZINC001184413895 833329463 /nfs/dbraw/zinc/32/94/63/833329463.db2.gz CRHKDWJPWRWSPZ-NHYWBVRUSA-N -1 1 338.364 -0.546 20 0 EBADMM Cc1cccc(NC(=O)CNCCNC(=O)c2n[nH]c(=O)[n-]c2=O)c1 ZINC001130862888 828979488 /nfs/dbraw/zinc/97/94/88/828979488.db2.gz JFODPLYGWGIGKA-UHFFFAOYSA-N -1 1 346.347 -0.451 20 0 EBADMM CN(C(=O)CNCCNC(=O)c1n[nH]c(=O)[n-]c1=O)c1ccccc1 ZINC001130862897 828979493 /nfs/dbraw/zinc/97/94/93/828979493.db2.gz JHQZMXGLFVISFM-UHFFFAOYSA-N -1 1 346.347 -0.735 20 0 EBADMM C[C@@H](NCCNC(=O)c1n[nH]c(=O)[n-]c1=O)C(=O)Nc1ccccc1 ZINC001130865116 828982451 /nfs/dbraw/zinc/98/24/51/828982451.db2.gz QBDKLQHVGIIKNU-SECBINFHSA-N -1 1 346.347 -0.371 20 0 EBADMM CCc1nc(C)c(CC(=O)NCCNCc2n[nH]c(=O)[n-]2)c(C)n1 ZINC001131510993 829149189 /nfs/dbraw/zinc/14/91/89/829149189.db2.gz MBAOURIDUVCFKW-UHFFFAOYSA-N -1 1 333.396 -0.072 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)C1CCN(CC(F)F)CC1 ZINC001132913164 829550716 /nfs/dbraw/zinc/55/07/16/829550716.db2.gz GBKFLCSSHXBYBT-UHFFFAOYSA-N -1 1 332.355 -0.307 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H](C)C[C@H](C)[NH2+]Cc2nc(=O)n(C)[n-]2)c1[O-] ZINC001134100669 829724469 /nfs/dbraw/zinc/72/44/69/829724469.db2.gz RTLBMVAYWFVFDT-YUMQZZPRSA-N -1 1 337.384 -0.468 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H](C)C[C@@H](C)[NH2+]Cc2nc(=O)n(C)[n-]2)c1[O-] ZINC001134100668 829724864 /nfs/dbraw/zinc/72/48/64/829724864.db2.gz RTLBMVAYWFVFDT-SFYZADRCSA-N -1 1 337.384 -0.468 20 0 EBADMM C[C@H](C[C@H](C)NC(=O)CC1CS(=O)(=O)C1)NCc1n[nH]c(=O)[n-]1 ZINC001134805040 829849102 /nfs/dbraw/zinc/84/91/02/829849102.db2.gz NOFUNNMWTPSJSD-BDAKNGLRSA-N -1 1 345.425 -0.682 20 0 EBADMM C[C@H](C[C@@H](C)NC(=O)c1n[nH]c(=O)[n-]c1=O)NCc1nncn1C ZINC001134863606 829860159 /nfs/dbraw/zinc/86/01/59/829860159.db2.gz KJBTXBAAWJHGHK-HTQZYQBOSA-N -1 1 336.356 -0.902 20 0 EBADMM C[C@H](C[C@@H](C)NC(=O)c1cnc([O-])n(C)c1=O)NCc1cn(C)nn1 ZINC001135166488 829912516 /nfs/dbraw/zinc/91/25/16/829912516.db2.gz MMKGYURJPWDHBA-NXEZZACHSA-N -1 1 349.395 -0.699 20 0 EBADMM C[C@H](C[C@H](C)NC(=O)Cn1c(=O)[n-][nH]c1=O)NCc1nncs1 ZINC001136036867 830069336 /nfs/dbraw/zinc/06/93/36/830069336.db2.gz ZEUGICFTXFSOKL-SFYZADRCSA-N -1 1 341.397 -0.386 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@H](O)Cn1ccnn1 ZINC001141931325 830116670 /nfs/dbraw/zinc/11/66/70/830116670.db2.gz XIWLOSVAIASDNJ-NSHDSACASA-N -1 1 345.363 -0.637 20 0 EBADMM Nc1noc2cc(CNC(=O)CCn3cc[n-]c(=O)c3=O)ccc12 ZINC001142652077 830134707 /nfs/dbraw/zinc/13/47/07/830134707.db2.gz UXXKKQKTSIGJIV-UHFFFAOYSA-N -1 1 329.316 -0.034 20 0 EBADMM C[C@H](CS(C)(=O)=O)C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001211302232 837424205 /nfs/dbraw/zinc/42/42/05/837424205.db2.gz VSHANIHTCWXBGK-NXEZZACHSA-N -1 1 345.425 -0.728 20 0 EBADMM CSCC[C@H](NC(C)=O)C(=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001144864908 830169353 /nfs/dbraw/zinc/16/93/53/830169353.db2.gz MULBQDNIGNYFTC-LURJTMIESA-N -1 1 340.365 -0.088 20 0 EBADMM CCc1nn(C)c(Cl)c1C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001147003414 830264191 /nfs/dbraw/zinc/26/41/91/830264191.db2.gz HCWDYQRYGUZXNC-UHFFFAOYSA-N -1 1 327.776 -0.021 20 0 EBADMM O=C(CCCOC1CCOCC1)NCCNCc1n[nH]c(=O)[n-]1 ZINC001147230221 830303807 /nfs/dbraw/zinc/30/38/07/830303807.db2.gz HOSHPBFQZNZGAV-UHFFFAOYSA-N -1 1 327.385 -0.308 20 0 EBADMM Cn1nccc1C(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001147337754 830325032 /nfs/dbraw/zinc/32/50/32/830325032.db2.gz DLPSVZPRFGHEQK-JTQLQIEISA-N -1 1 334.336 -0.925 20 0 EBADMM CCO[C@H](C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2)C1CC1 ZINC001147583976 830367113 /nfs/dbraw/zinc/36/71/13/830367113.db2.gz ZQLKCRFSCUAETH-AWEZNQCLSA-N -1 1 349.435 -0.042 20 0 EBADMM CCCc1noc(CNCCNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001147711937 830399811 /nfs/dbraw/zinc/39/98/11/830399811.db2.gz GAKHGBQIVIMIPH-UHFFFAOYSA-N -1 1 346.351 -0.727 20 0 EBADMM Cc1cnc(CNCCNC(=O)c2cc(=O)n3[n-]cnc3n2)nc1 ZINC001147712655 830400316 /nfs/dbraw/zinc/40/03/16/830400316.db2.gz UVMVFWZFNBCHDE-UHFFFAOYSA-N -1 1 328.336 -0.964 20 0 EBADMM C[C@@H](NCCNC(=O)c1cc(=O)n2[n-]cnc2n1)c1csnn1 ZINC001147712866 830401890 /nfs/dbraw/zinc/40/18/90/830401890.db2.gz XYXWTLILJHEMKA-SSDOTTSWSA-N -1 1 334.365 -0.650 20 0 EBADMM CCCNC(=O)C[N@H+]1CCC2(CN(C(=O)c3cnc[nH]c3=O)C2)C1 ZINC001147760957 830407130 /nfs/dbraw/zinc/40/71/30/830407130.db2.gz QIRIOXCMMPNGEG-UHFFFAOYSA-N -1 1 333.392 -0.144 20 0 EBADMM CSCC(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149106000 830590957 /nfs/dbraw/zinc/59/09/57/830590957.db2.gz XAOFMPUXCWYBKG-SNVBAGLBSA-N -1 1 329.426 -0.822 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cccc(-n2cnnn2)c1 ZINC001150662558 830953345 /nfs/dbraw/zinc/95/33/45/830953345.db2.gz IRCWYMABEVYDRY-UHFFFAOYSA-N -1 1 329.324 -0.994 20 0 EBADMM CCCCn1nc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)ccc1=O ZINC001150670659 830955041 /nfs/dbraw/zinc/95/50/41/830955041.db2.gz BVWPQRSNKOCIJA-UHFFFAOYSA-N -1 1 335.368 -0.613 20 0 EBADMM C[C@H]1Oc2ccccc2O[C@@H]1C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001150733983 830974169 /nfs/dbraw/zinc/97/41/69/830974169.db2.gz QUAOVYKLWLXSFM-RNCFNFMXSA-N -1 1 333.348 -0.055 20 0 EBADMM C[C@@H]1Oc2ccccc2O[C@@H]1C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001150733987 830974856 /nfs/dbraw/zinc/97/48/56/830974856.db2.gz QUAOVYKLWLXSFM-ZANVPECISA-N -1 1 333.348 -0.055 20 0 EBADMM O=C(CNC(=O)c1ccccc1F)NCCNCc1n[nH]c(=O)[n-]1 ZINC001150937278 831001090 /nfs/dbraw/zinc/00/10/90/831001090.db2.gz GOLFZFVEDNPOTJ-UHFFFAOYSA-N -1 1 336.327 -0.715 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1ccnc(-n2ccnc2)c1 ZINC001151394442 831071034 /nfs/dbraw/zinc/07/10/34/831071034.db2.gz CGDXBJPQULWSDD-UHFFFAOYSA-N -1 1 328.336 -0.389 20 0 EBADMM CSc1nc(NC(=O)c2ncn3c2nnn(C)c3=O)cc(=O)[n-]1 ZINC001152746772 831186282 /nfs/dbraw/zinc/18/62/82/831186282.db2.gz LHFFLJDGLQOHTE-UHFFFAOYSA-N -1 1 334.321 -0.707 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H](CO)CN1CCOCC1 ZINC001155209610 831392065 /nfs/dbraw/zinc/39/20/65/831392065.db2.gz KLSFJINEKDNSAE-SECBINFHSA-N -1 1 328.394 -0.798 20 0 EBADMM Cn1cc(N)nc1C(=O)NCC[N-]C(=O)C(F)(F)Br ZINC001157434141 831565317 /nfs/dbraw/zinc/56/53/17/831565317.db2.gz OYFPQLDUKOWMLV-UHFFFAOYSA-N -1 1 340.128 -0.164 20 0 EBADMM CS(=O)(=O)C[C@@H]1CN(C(=O)C(=O)c2ccc([O-])cc2)CCO1 ZINC001157538372 831577034 /nfs/dbraw/zinc/57/70/34/831577034.db2.gz JKQVPKWAJORQRT-LBPRGKRZSA-N -1 1 327.358 -0.153 20 0 EBADMM Cn1[n-]c(CN2CC=C(CCNC(=O)[C@H]3CCOC3)CC2)nc1=O ZINC001159488197 831755719 /nfs/dbraw/zinc/75/57/19/831755719.db2.gz LQZNSVOGEOXWGX-ZDUSSCGKSA-N -1 1 335.408 -0.217 20 0 EBADMM CC(C)[C@@H](O)C(=O)NCCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001160062732 831811445 /nfs/dbraw/zinc/81/14/45/831811445.db2.gz XDKORKIKMDJKNU-CQSZACIVSA-N -1 1 337.424 -0.236 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CCCNC2=O)c1 ZINC001160667103 831878244 /nfs/dbraw/zinc/87/82/44/831878244.db2.gz JSEHGFVMOLKXDG-VIFPVBQESA-N -1 1 327.362 -0.235 20 0 EBADMM Cn1[n-]c(CN2CC=C(CCNC(=O)[C@@H]3CCC(=O)N3)CC2)nc1=O ZINC001161738000 831988335 /nfs/dbraw/zinc/98/83/35/831988335.db2.gz OHSULANVNOMIJE-LBPRGKRZSA-N -1 1 348.407 -0.975 20 0 EBADMM Cn1c(Cl)cnc1CNCCCNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001161862854 831997413 /nfs/dbraw/zinc/99/74/13/831997413.db2.gz AHOKRLDYRRCPRU-UHFFFAOYSA-N -1 1 341.759 -0.421 20 0 EBADMM O=C(NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)c1ccc(=O)[nH]n1 ZINC001163292767 832099491 /nfs/dbraw/zinc/09/94/91/832099491.db2.gz HWRMTFCLNZAUSC-UHFFFAOYSA-N -1 1 333.352 -0.304 20 0 EBADMM CCn1nc(C)c(CNCCCNC(=O)c2cnc([O-])n(C)c2=O)n1 ZINC001163782081 832138356 /nfs/dbraw/zinc/13/83/56/832138356.db2.gz YXQJZEJLCYNCOS-UHFFFAOYSA-N -1 1 349.395 -0.685 20 0 EBADMM CNC(=O)C1(C(=O)N(C)[C@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001350671336 832183683 /nfs/dbraw/zinc/18/36/83/832183683.db2.gz CAXMHZLCLQMBTJ-NSHDSACASA-N -1 1 346.387 -0.014 20 0 EBADMM Cc1nnc(CC(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)o1 ZINC001164433296 832187227 /nfs/dbraw/zinc/18/72/27/832187227.db2.gz CVVCNRGIQIXIJU-UHFFFAOYSA-N -1 1 335.368 -0.179 20 0 EBADMM NC(=O)C1(C(=O)NC2CCN(C(=O)c3ncccc3[O-])CC2)CC1 ZINC001350856553 832199278 /nfs/dbraw/zinc/19/92/78/832199278.db2.gz PTWCMURROBIAPR-UHFFFAOYSA-N -1 1 332.360 -0.227 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CCCN(C(=O)C(F)F)C1 ZINC001351021197 832211491 /nfs/dbraw/zinc/21/14/91/832211491.db2.gz IPQCWNZTNOLFHS-VIFPVBQESA-N -1 1 344.318 -0.701 20 0 EBADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)C[C@H]1CCNC1=O ZINC001351406127 832234390 /nfs/dbraw/zinc/23/43/90/832234390.db2.gz GTKNFBKKBJKDDQ-LLVKDONJSA-N -1 1 334.376 -0.108 20 0 EBADMM NC(=O)c1cc(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)co1 ZINC001166380844 832259144 /nfs/dbraw/zinc/25/91/44/832259144.db2.gz NHWLKXQZAVLBLU-UHFFFAOYSA-N -1 1 348.363 -0.108 20 0 EBADMM Cn1cncc1CC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167737556 832368974 /nfs/dbraw/zinc/36/89/74/832368974.db2.gz UUZDTYBADYULNA-UHFFFAOYSA-N -1 1 333.396 -0.137 20 0 EBADMM NC(=O)c1cc(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)c[nH]1 ZINC001168178880 832401215 /nfs/dbraw/zinc/40/12/15/832401215.db2.gz ZSIQMHABENUMEY-UHFFFAOYSA-N -1 1 347.379 -0.373 20 0 EBADMM Cc1nonc1CNCCCNC(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC001168641321 832429997 /nfs/dbraw/zinc/42/99/97/832429997.db2.gz IDTGBUZSZLUNJX-UHFFFAOYSA-N -1 1 346.351 -0.941 20 0 EBADMM COc1cc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)nc([S@@](C)=O)n1 ZINC001170216489 832493222 /nfs/dbraw/zinc/49/32/22/832493222.db2.gz FNFHWGMKOQTCQY-HSZRJFAPSA-N -1 1 337.321 -0.005 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)C1=CCCC1 ZINC001354553959 832502167 /nfs/dbraw/zinc/50/21/67/832502167.db2.gz DLCMCKLJZKIUGO-NSHDSACASA-N -1 1 334.376 -0.342 20 0 EBADMM CCCCCS(=O)(=O)CC(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001170375307 832503094 /nfs/dbraw/zinc/50/30/94/832503094.db2.gz JDFCNUAMNSKPFO-SNVBAGLBSA-N -1 1 331.398 -0.295 20 0 EBADMM CNC(=O)C1(C(=O)N[C@H](C)CCNC(=O)c2ncccc2[O-])CC1 ZINC001355121860 832548215 /nfs/dbraw/zinc/54/82/15/832548215.db2.gz ODSYBVMBGOMKPB-SNVBAGLBSA-N -1 1 334.376 -0.062 20 0 EBADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)[C@@H]1CCCNC1=O ZINC001356033865 832595677 /nfs/dbraw/zinc/59/56/77/832595677.db2.gz UCPXOICIVBRITJ-LLVKDONJSA-N -1 1 334.376 -0.108 20 0 EBADMM CN(C[C@@H]1CN(C(=O)OC(C)(C)C)CCO1)C(=O)Cc1nn[n-]n1 ZINC001176839640 832693415 /nfs/dbraw/zinc/69/34/15/832693415.db2.gz AQNAHXTYXHMNCZ-SNVBAGLBSA-N -1 1 340.384 -0.164 20 0 EBADMM O=C(CC1CC1)N[C@@H]1CN(C(=O)Cc2nn[n-]n2)C[C@@H]2CCCO[C@H]12 ZINC001176839898 832693601 /nfs/dbraw/zinc/69/36/01/832693601.db2.gz HJGDQPVENOTPFF-OZVIIMIRSA-N -1 1 348.407 -0.336 20 0 EBADMM O=C(Cc1nn[n-]n1)N1Cc2nccn2C[C@H](Cn2cccn2)C1 ZINC001176840368 832694707 /nfs/dbraw/zinc/69/47/07/832694707.db2.gz JECKBBOUCWYMCS-NSHDSACASA-N -1 1 327.352 -0.506 20 0 EBADMM Cc1nnc(CC(=O)NCC[N-]C(=O)C(F)(F)Br)[nH]1 ZINC001177444420 832783481 /nfs/dbraw/zinc/78/34/81/832783481.db2.gz SBOZBHBBVHKPPV-UHFFFAOYSA-N -1 1 340.128 -0.124 20 0 EBADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)CCC(=O)Nc1nncs1 ZINC001177443832 832783520 /nfs/dbraw/zinc/78/35/20/832783520.db2.gz DDKJWWNYYJCUOE-UHFFFAOYSA-N -1 1 325.310 -0.321 20 0 EBADMM CCOC(=O)C1=C(O)CCN(C(=O)Cn2nn[n-]c2=S)CC1 ZINC001177739819 832800916 /nfs/dbraw/zinc/80/09/16/832800916.db2.gz WQTNNSBGSVHVIV-MRVPVSSYSA-N -1 1 327.366 -0.667 20 0 EBADMM CC(C)(C)OC(=O)N1CC[C@@](C)(C(=O)NCc2nn[n-]n2)[C@H](O)C1 ZINC001179065507 832949165 /nfs/dbraw/zinc/94/91/65/832949165.db2.gz VFGNQQWTGVBHIO-YMTOWFKASA-N -1 1 340.384 -0.176 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)COCC4CC4)[C@@H]3C2)nc1=O ZINC001187002877 833448442 /nfs/dbraw/zinc/44/84/42/833448442.db2.gz YOKTYDOZZZQIEI-QWHCGFSZSA-N -1 1 335.408 -0.432 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CN2CCC(=O)C2)c1 ZINC001187330328 833920919 /nfs/dbraw/zinc/92/09/19/833920919.db2.gz FZCPKWVCGOUHKR-UHFFFAOYSA-N -1 1 327.362 -0.486 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C1(CCF)CC1 ZINC001213207391 837723463 /nfs/dbraw/zinc/72/34/63/837723463.db2.gz VXQSVFSHQQTVJX-GHMZBOCLSA-N -1 1 341.387 -0.436 20 0 EBADMM Cc1[nH]ccc1C(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001188162033 834017505 /nfs/dbraw/zinc/01/75/05/834017505.db2.gz HADGVRPILBVONC-LBPRGKRZSA-N -1 1 333.348 -0.022 20 0 EBADMM COC(=O)CC[C@H](NC(=O)c1cnc(SC)[n-]c1=O)C(N)=O ZINC001191439610 834478229 /nfs/dbraw/zinc/47/82/29/834478229.db2.gz BSHJDHXYOTUVGE-ZETCQYMHSA-N -1 1 328.350 -0.559 20 0 EBADMM O=C(NCc1nn[n-]n1)c1nccnc1I ZINC001191533715 834493540 /nfs/dbraw/zinc/49/35/40/834493540.db2.gz SZAGNQOAPFENGX-UHFFFAOYSA-N -1 1 331.077 -0.476 20 0 EBADMM CC(C)N(C)C(=O)CN1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001192374383 834650798 /nfs/dbraw/zinc/65/07/98/834650798.db2.gz DELKKSFKVOCCNN-DGCLKSJQSA-N -1 1 336.392 -0.571 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2c(O)ccc(F)c2F)C(=O)N1S(=O)(=O)[O-] ZINC001192768962 834729812 /nfs/dbraw/zinc/72/98/12/834729812.db2.gz GYIMXXULKZRLTO-IGJIYHIXSA-N -1 1 336.272 -0.198 20 0 EBADMM COC[C@@H](C)CC(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001193157249 834807233 /nfs/dbraw/zinc/80/72/33/834807233.db2.gz WFFMYOBECVQEQO-RYUDHWBXSA-N -1 1 325.413 -0.186 20 0 EBADMM COC[C@H](C)CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1OC ZINC001213649893 837831030 /nfs/dbraw/zinc/83/10/30/837831030.db2.gz QUXPHOTZJDXDFW-IJLUTSLNSA-N -1 1 341.412 -0.904 20 0 EBADMM Cn1[n-]c(C(=O)N2Cc3n[nH]c(C(=O)N4CCOCC4)c3C2)cc1=O ZINC001194196247 835045612 /nfs/dbraw/zinc/04/56/12/835045612.db2.gz RTADFOSZUXLMNK-UHFFFAOYSA-N -1 1 346.347 -0.523 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)CCOCC(F)F)CC2)nc1=O ZINC001195875496 835304344 /nfs/dbraw/zinc/30/43/44/835304344.db2.gz ALWJRNKIXQDOIR-UHFFFAOYSA-N -1 1 347.366 -0.186 20 0 EBADMM CCOC(=O)CS(=O)(=O)[N-][C@@H](Cc1ccc(O)cc1)C(O)O ZINC001195994292 835323685 /nfs/dbraw/zinc/32/36/85/835323685.db2.gz GRDHTEVDGDCJMN-NSHDSACASA-N -1 1 333.362 -0.904 20 0 EBADMM NC(=O)c1cc(=O)cc(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)o1 ZINC001196210142 835370953 /nfs/dbraw/zinc/37/09/53/835370953.db2.gz UVOUDFMXOPIMSV-UHFFFAOYSA-N -1 1 332.232 -0.980 20 0 EBADMM COCCOCCN1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001196423805 835414327 /nfs/dbraw/zinc/41/43/27/835414327.db2.gz PBNVCTUIYKKUOQ-UHFFFAOYSA-N -1 1 341.368 -0.906 20 0 EBADMM Cn1c(=O)cc([N-]S(=O)(=O)c2cccc(Cl)n2)n(C)c1=O ZINC001198246763 835658495 /nfs/dbraw/zinc/65/84/95/835658495.db2.gz OXQQJDCQWOJXSA-UHFFFAOYSA-N -1 1 330.753 -0.067 20 0 EBADMM COc1ccccc1[C@@H](CNC(=O)c1n[nH]c(=O)[n-]c1=O)NCCO ZINC001198785949 835722663 /nfs/dbraw/zinc/72/26/63/835722663.db2.gz TUMCABBOTDJOQH-SNVBAGLBSA-N -1 1 349.347 -0.656 20 0 EBADMM O=C([N-]c1ncnc2n[nH]nc21)c1cc(N2CCCNC2=O)ncn1 ZINC001199422706 835808126 /nfs/dbraw/zinc/80/81/26/835808126.db2.gz MXERULQWIJEWIT-UHFFFAOYSA-N -1 1 340.307 -0.290 20 0 EBADMM O=[P@]([O-])(O)CCCCNC(=S)NCCN1CCOCC1 ZINC001200138679 835917068 /nfs/dbraw/zinc/91/70/68/835917068.db2.gz XBSKBNOQQTXUHO-UHFFFAOYSA-N -1 1 325.371 -0.259 20 0 EBADMM NC(=O)[C@@H](CO)[N-]S(=O)(=O)c1c(F)cccc1Br ZINC001201048137 836085252 /nfs/dbraw/zinc/08/52/52/836085252.db2.gz MNNMFVYZKODOJA-SSDOTTSWSA-N -1 1 341.158 -0.287 20 0 EBADMM NC(=O)CCCC(=O)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001203834967 836481850 /nfs/dbraw/zinc/48/18/50/836481850.db2.gz NHMKVKZPABFPIN-VHSXEESVSA-N -1 1 336.396 -0.317 20 0 EBADMM NC(=O)CCCC(=O)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001203834960 836482105 /nfs/dbraw/zinc/48/21/05/836482105.db2.gz NHMKVKZPABFPIN-NXEZZACHSA-N -1 1 336.396 -0.317 20 0 EBADMM C[C@H](CC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)NC(N)=O ZINC001208041124 836929829 /nfs/dbraw/zinc/92/98/29/836929829.db2.gz CKLZTVYRZPOIAP-RKDXNWHRSA-N -1 1 325.373 -0.962 20 0 EBADMM CO[C@@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C)C1CCC1 ZINC001208219085 836959014 /nfs/dbraw/zinc/95/90/14/836959014.db2.gz CJORPTSTUQEVPQ-MPKXVKKWSA-N -1 1 337.424 -0.140 20 0 EBADMM CN(CC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C(=O)C1CC1 ZINC001208276355 836977135 /nfs/dbraw/zinc/97/71/35/836977135.db2.gz OEASWKNBVNVVSJ-NSHDSACASA-N -1 1 336.396 -0.541 20 0 EBADMM Cn1nccc1COCC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001208411547 837026953 /nfs/dbraw/zinc/02/69/53/837026953.db2.gz WPZUXCQGLYYCIV-NSHDSACASA-N -1 1 349.395 -0.459 20 0 EBADMM CCS(=O)(=O)NCC[N-]S(=O)(=O)c1ccc(F)nc1F ZINC001208899462 837118046 /nfs/dbraw/zinc/11/80/46/837118046.db2.gz BOZCYTMTTWMABE-UHFFFAOYSA-N -1 1 329.350 -0.423 20 0 EBADMM O=C(COC[C@H]1CCOC1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001209920366 837213586 /nfs/dbraw/zinc/21/35/86/837213586.db2.gz GFDASIRMMCOCDF-RYUDHWBXSA-N -1 1 339.396 -0.356 20 0 EBADMM COCC[C@@H](C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1OC ZINC001213882123 837893092 /nfs/dbraw/zinc/89/30/92/837893092.db2.gz LHHGTFQYZJXDDZ-IJLUTSLNSA-N -1 1 341.412 -0.904 20 0 EBADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]CCN1CCNC1=S ZINC001214108151 837931953 /nfs/dbraw/zinc/93/19/53/837931953.db2.gz GLTCCLNXZLUZSA-LJQANCHMSA-N -1 1 342.512 -0.093 20 0 EBADMM Cn1ncc(C(=O)N2CCC[C@H](CCNCc3n[nH]c(=O)[n-]3)C2)c1N ZINC001318787475 838033701 /nfs/dbraw/zinc/03/37/01/838033701.db2.gz FVVLOLICXFKUSQ-SNVBAGLBSA-N -1 1 348.411 -0.142 20 0 EBADMM C[S@](=O)c1ccc(CNC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC001318998911 838057870 /nfs/dbraw/zinc/05/78/70/838057870.db2.gz UQARMZKUPKZGEE-QHCPKHFHSA-N -1 1 335.385 -0.020 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)C(=O)C(C)(C)C)C2)nc1=O ZINC001319297059 838101907 /nfs/dbraw/zinc/10/19/07/838101907.db2.gz KPOPFADWQQILEC-SNVBAGLBSA-N -1 1 339.396 -0.959 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)[C@]3(C)C=CCC3)C2)nc1=O ZINC001319318300 838108051 /nfs/dbraw/zinc/10/80/51/838108051.db2.gz NMOZFLQLACWJFG-BLLLJJGKSA-N -1 1 335.408 -0.218 20 0 EBADMM Cc1nc(CNC(=O)CCn2cc[n-]c(=O)c2=O)ccc1[C@@H](C)O ZINC001319948933 838198329 /nfs/dbraw/zinc/19/83/29/838198329.db2.gz BLHVRYYBHMINTR-LLVKDONJSA-N -1 1 332.360 0.000 20 0 EBADMM Cc1n[nH]c(C(=O)NCC=CCNCC(=O)N2CCOCC2)c1[O-] ZINC001321031931 838887419 /nfs/dbraw/zinc/88/74/19/838887419.db2.gz PNUNURBHBADNNA-NSCUHMNNSA-N -1 1 337.380 -0.842 20 0 EBADMM Cn1nccc1COCC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC001321482515 838998920 /nfs/dbraw/zinc/99/89/20/838998920.db2.gz NUVKMRRMZKWUOY-UHFFFAOYSA-N -1 1 340.361 -0.072 20 0 EBADMM Cn1ccc2ccc(NC(=O)C(=O)NCc3nn[n-]n3)cc2c1=O ZINC001321920437 839103051 /nfs/dbraw/zinc/10/30/51/839103051.db2.gz HZLHGLKWFLNJKU-UHFFFAOYSA-N -1 1 327.304 -0.694 20 0 EBADMM O=C(Nc1ccc(N2CCCC2=O)cc1)C(=O)NN1CC(=O)[N-]C1=O ZINC001323042610 839332783 /nfs/dbraw/zinc/33/27/83/839332783.db2.gz RWBVDSNRZTWHRJ-UHFFFAOYSA-N -1 1 345.315 -0.665 20 0 EBADMM C[C@H](Cn1ccnc1)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001323187701 839368722 /nfs/dbraw/zinc/36/87/22/839368722.db2.gz LWLDVDZHOZMAOS-SNVBAGLBSA-N -1 1 327.348 -0.318 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCC(CC(N)=O)CC3)ccnc1-2 ZINC001323187460 839368933 /nfs/dbraw/zinc/36/89/33/839368933.db2.gz KRVZCWYZOLJOQB-UHFFFAOYSA-N -1 1 344.375 -0.606 20 0 EBADMM C[C@@H](NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@@H]1CN(C)CCO1 ZINC001323676347 839498372 /nfs/dbraw/zinc/49/83/72/839498372.db2.gz XNVRCIHOYXQXER-MFKMUULPSA-N -1 1 346.391 -0.884 20 0 EBADMM CCCc1nc(C)c(NC(=O)C(=O)NN2CC(=O)[N-]C2=O)s1 ZINC001323711040 839506417 /nfs/dbraw/zinc/50/64/17/839506417.db2.gz KZGWEBMWEWCFET-UHFFFAOYSA-N -1 1 325.350 -0.074 20 0 EBADMM O=C(c1cc(Cn2cccn2)on1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001324285315 839622293 /nfs/dbraw/zinc/62/22/93/839622293.db2.gz CJWLYRMFNWKONB-NSHDSACASA-N -1 1 330.308 -0.354 20 0 EBADMM O=C(Cn1ncn2nccc2c1=O)OCCC[N-]C(=O)C(F)(F)F ZINC001324887575 839731986 /nfs/dbraw/zinc/73/19/86/839731986.db2.gz LNIQEOFKORFVHA-UHFFFAOYSA-N -1 1 347.253 -0.497 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](O)(CNC(=O)C[C@H]3C=CCCC3)C2)nc1=O ZINC001325102843 839782557 /nfs/dbraw/zinc/78/25/57/839782557.db2.gz VXUJIBAPKROTSY-SUMWQHHRSA-N -1 1 349.435 -0.092 20 0 EBADMM CCN(C)C(=O)c1cccc(NC(=O)C(=O)NCc2nn[n-]n2)c1 ZINC001326773307 840195569 /nfs/dbraw/zinc/19/55/69/840195569.db2.gz SUEQMVRMTNUGJN-UHFFFAOYSA-N -1 1 331.336 -0.454 20 0 EBADMM CC(C)[C@@H](CNC(=O)C(=O)NCCCCN1CCOCC1)C(=O)[O-] ZINC001326807364 840205839 /nfs/dbraw/zinc/20/58/39/840205839.db2.gz NDORLDYEQACREP-CYBMUJFWSA-N -1 1 343.424 -0.312 20 0 EBADMM COC(=O)c1cc(F)cc(NC(=O)C(=O)NCc2nn[n-]n2)c1F ZINC001326899733 840231236 /nfs/dbraw/zinc/23/12/36/840231236.db2.gz MUKMQTOQLMGFSL-UHFFFAOYSA-N -1 1 340.246 -0.481 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CC[C@@H](CC(N)=O)C3)ccnc1-2 ZINC001326919240 840236060 /nfs/dbraw/zinc/23/60/60/840236060.db2.gz XPDHNNYGJKPEHI-VIFPVBQESA-N -1 1 330.348 -0.996 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3C[C@@H]4C[C@H]3C[S@]4=O)ccnc1-2 ZINC001328731013 840737131 /nfs/dbraw/zinc/73/71/31/840737131.db2.gz VYXFTVCWEYDDGR-GHMSSADISA-N -1 1 333.373 -0.988 20 0 EBADMM Cn1[n-]c(COC(=O)/C=C\c2ccc(S(C)(=O)=O)o2)nc1=O ZINC001328993402 840809693 /nfs/dbraw/zinc/80/96/93/840809693.db2.gz VACQKHRHWZRWKI-HYXAFXHYSA-N -1 1 327.318 -0.139 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)NC[C@H](C(=O)[O-])C(C)C)C1 ZINC001329949084 841072291 /nfs/dbraw/zinc/07/22/91/841072291.db2.gz GSQZHKNWSIGWOK-RYUDHWBXSA-N -1 1 329.397 -0.704 20 0 EBADMM CN(CCOCCNC(=O)c1ncccc1[O-])Cc1nnn(C)n1 ZINC001331117103 841341038 /nfs/dbraw/zinc/34/10/38/841341038.db2.gz AGFGCBVLTMWNLH-UHFFFAOYSA-N -1 1 335.368 -0.811 20 0 EBADMM CCO[N-]C(=O)CNC(=O)C(=O)NC[C@@H]1CCCCN1C1CC1 ZINC001332810108 841742225 /nfs/dbraw/zinc/74/22/25/841742225.db2.gz JLXXFYXONODJRK-LBPRGKRZSA-N -1 1 326.397 -0.697 20 0 EBADMM C[C@H]1COCC[C@@H]1C(=O)N(C)CCOCCNCc1n[nH]c(=O)[n-]1 ZINC001333251455 841835924 /nfs/dbraw/zinc/83/59/24/841835924.db2.gz VDRNCUSYNFKFMQ-RYUDHWBXSA-N -1 1 341.412 -0.253 20 0 EBADMM C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)[C@H](C)NC(=O)c1cc[nH]c1 ZINC001333916870 841966362 /nfs/dbraw/zinc/96/63/62/841966362.db2.gz QDUIRHTWQHODNE-MNOVXSKESA-N -1 1 347.375 -0.422 20 0 EBADMM CC(C)(C)C(=O)N1CCN(C(=O)[C@@]2(C(=O)[O-])CNCCO2)CC1 ZINC001335305963 842257029 /nfs/dbraw/zinc/25/70/29/842257029.db2.gz NIGNBEARUARFJP-OAHLLOKOSA-N -1 1 327.381 -0.854 20 0 EBADMM Cn1cc(/C=C/C(=O)N2CCN([C@@]3(C(=O)[O-])CCOC3)CC2)cn1 ZINC001335428787 842278481 /nfs/dbraw/zinc/27/84/81/842278481.db2.gz HULSVPWLAVKGLT-KZSQQDEKSA-N -1 1 334.376 -0.179 20 0 EBADMM CN(CCOCCNC(=O)Cc1ncc[nH]1)C(=O)c1ncccc1[O-] ZINC001336654077 842526529 /nfs/dbraw/zinc/52/65/29/842526529.db2.gz ARHRRXDSCYDLAR-UHFFFAOYSA-N -1 1 347.375 -0.042 20 0 EBADMM O=C(c1ncn(-c2ccccc2)n1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001337020620 842570435 /nfs/dbraw/zinc/57/04/35/842570435.db2.gz XSGFOJCHDBFFJI-NSHDSACASA-N -1 1 326.320 -0.006 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2CCO[C@@H](Cc3ccccc3)C2)CNCCO1 ZINC001340218567 842982664 /nfs/dbraw/zinc/98/26/64/842982664.db2.gz ZQJJDYSBKXNPHT-WMLDXEAASA-N -1 1 334.372 -0.100 20 0 EBADMM CO[N-]C(=O)CNC(=O)C(=O)N[C@H]1CCN(Cc2ccc(C)cc2)C1 ZINC001341722705 843108221 /nfs/dbraw/zinc/10/82/21/843108221.db2.gz JIKJBQVODUIUSD-AWEZNQCLSA-N -1 1 348.403 -0.521 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2CCC[C@@H](N3CCCCC3=O)C2)CNCCO1 ZINC001342110535 843141384 /nfs/dbraw/zinc/14/13/84/843141384.db2.gz HGVVPYYVGSUNQJ-WBMJQRKESA-N -1 1 339.392 -0.567 20 0 EBADMM CCN(CC)c1ccc(NC(=O)C(=O)NN2CC(=O)[N-]C2=O)cn1 ZINC001342445003 843162457 /nfs/dbraw/zinc/16/24/57/843162457.db2.gz CVPQPTAPABIGKC-UHFFFAOYSA-N -1 1 334.336 -0.551 20 0 EBADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)Cn1ccccc1=O ZINC001342588616 843170831 /nfs/dbraw/zinc/17/08/31/843170831.db2.gz CMPRTROXGYZEHN-UHFFFAOYSA-N -1 1 329.316 -0.326 20 0 EBADMM C[C@H](N1CCN(C(=O)[C@]2(C(=O)[O-])CNCCO2)CC1)C(F)(F)F ZINC001343444577 843236594 /nfs/dbraw/zinc/23/65/94/843236594.db2.gz KBYCOPZXHRBKIW-CABZTGNLSA-N -1 1 339.314 -0.475 20 0 EBADMM C[C@@H](N1CCN(C(=O)[C@]2(C(=O)[O-])CNCCO2)CC1)C(F)(F)F ZINC001343444582 843237301 /nfs/dbraw/zinc/23/73/01/843237301.db2.gz KBYCOPZXHRBKIW-SKDRFNHKSA-N -1 1 339.314 -0.475 20 0 EBADMM COCCN1CCN(C(=O)c2c(C)[nH]c(=O)[n-]c2=S)CC1=O ZINC001346721996 843542230 /nfs/dbraw/zinc/54/22/30/843542230.db2.gz KABPJEZAVOSEGO-UHFFFAOYSA-N -1 1 326.378 -0.290 20 0 EBADMM O=C(CNC(=O)c1ccco1)NCCNC(=O)c1ncccc1[O-] ZINC001348838984 843754951 /nfs/dbraw/zinc/75/49/51/843754951.db2.gz OWVHZXZIEQNEMJ-UHFFFAOYSA-N -1 1 332.316 -0.344 20 0 EBADMM O=C(CNC(=O)c1cccnc1)NCCNC(=O)c1ncccc1[O-] ZINC001348838948 843756001 /nfs/dbraw/zinc/75/60/01/843756001.db2.gz NLXQHEPXTQFTJV-UHFFFAOYSA-N -1 1 343.343 -0.542 20 0 EBADMM O=C(CCC(F)F)NCCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001349351532 843890929 /nfs/dbraw/zinc/89/09/29/843890929.db2.gz XKZIMIPYRZSFBN-UHFFFAOYSA-N -1 1 328.279 -0.691 20 0 EBADMM Cn1cc(CCC(=O)NCCCNC(=O)c2ncccc2[O-])nn1 ZINC001349940731 843951326 /nfs/dbraw/zinc/95/13/26/843951326.db2.gz UKFZNIXRFQRMRN-UHFFFAOYSA-N -1 1 332.364 -0.215 20 0 EBADMM O=C([O-])[C@@H](Cc1cnc[nH]1)NC(=O)Cn1nc2ccccn2c1=O ZINC000323963910 971067765 /nfs/dbraw/zinc/06/77/65/971067765.db2.gz SYTRTPRQDNXYDE-SNVBAGLBSA-N -1 1 330.304 -0.969 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)Cn1nc2ccccn2c1=O ZINC000323963908 971067931 /nfs/dbraw/zinc/06/79/31/971067931.db2.gz SYTRTPRQDNXYDE-JTQLQIEISA-N -1 1 330.304 -0.969 20 0 EBADMM CC(=O)N1CCC[C@@H]1C(=O)NCCNc1nccnc1-c1nnn[n-]1 ZINC001573448461 945805843 /nfs/dbraw/zinc/80/58/43/945805843.db2.gz KQQKMOPHOMTRAT-SNVBAGLBSA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)N1CCC[C@@H]1C(=O)NCCNc1nccnc1-c1nn[n-]n1 ZINC001573448461 945805848 /nfs/dbraw/zinc/80/58/48/945805848.db2.gz KQQKMOPHOMTRAT-SNVBAGLBSA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)N[C@@](C)(C(=O)NCCn1cnc(-c2nn[n-]n2)n1)C(C)C ZINC001573455846 945888442 /nfs/dbraw/zinc/88/84/42/945888442.db2.gz HGSZINGNTLIVDI-CYBMUJFWSA-N -1 1 335.372 -0.875 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)[C@@H](C)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001573467765 945983547 /nfs/dbraw/zinc/98/35/47/945983547.db2.gz HJMDBUHYDKNYTJ-IUCAKERBSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)[C@@H](C)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001573467765 945983553 /nfs/dbraw/zinc/98/35/53/945983553.db2.gz HJMDBUHYDKNYTJ-IUCAKERBSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)CCNc1ccc(-c2nnn[n-]2)nn1 ZINC001573468578 945998817 /nfs/dbraw/zinc/99/88/17/945998817.db2.gz PNQIZVCCXZCSQE-MRVPVSSYSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)CCNc1ccc(-c2nn[n-]n2)nn1 ZINC001573468578 945998825 /nfs/dbraw/zinc/99/88/25/945998825.db2.gz PNQIZVCCXZCSQE-MRVPVSSYSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001573476198 946115273 /nfs/dbraw/zinc/11/52/73/946115273.db2.gz DJLLZUWDLVYJIR-YUMQZZPRSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001573476198 946115278 /nfs/dbraw/zinc/11/52/78/946115278.db2.gz DJLLZUWDLVYJIR-YUMQZZPRSA-N -1 1 333.356 -0.902 20 0 EBADMM COc1ccc(C(=O)[O-])c(NC(=O)NCC(=O)N2CCNCC2)c1 ZINC000325413950 971213353 /nfs/dbraw/zinc/21/33/53/971213353.db2.gz UVONCECXEAXAEP-UHFFFAOYSA-N -1 1 336.348 -0.053 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@H]1C[C@@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001573488183 946266978 /nfs/dbraw/zinc/26/69/78/946266978.db2.gz ZVRULEGCEMBOLH-UJNFCWOMSA-N -1 1 345.367 -0.760 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@H]1C[C@@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001573488183 946266988 /nfs/dbraw/zinc/26/69/88/946266988.db2.gz ZVRULEGCEMBOLH-UJNFCWOMSA-N -1 1 345.367 -0.760 20 0 EBADMM CC(=O)N[C@@]1(CO)CCCN(c2nc(C)cc(-c3nnn[n-]3)n2)C1 ZINC001573492720 946294356 /nfs/dbraw/zinc/29/43/56/946294356.db2.gz FFRLJGZDNSNNNC-AWEZNQCLSA-N -1 1 332.368 -0.567 20 0 EBADMM CC(=O)N[C@@]1(CO)CCCN(c2nc(C)cc(-c3nn[n-]n3)n2)C1 ZINC001573492720 946294368 /nfs/dbraw/zinc/29/43/68/946294368.db2.gz FFRLJGZDNSNNNC-AWEZNQCLSA-N -1 1 332.368 -0.567 20 0 EBADMM CC(=O)NCC(=O)N1C[C@@H](Nc2cnc(-c3nnn[n-]3)cn2)C[C@H]1C ZINC001573501017 946377013 /nfs/dbraw/zinc/37/70/13/946377013.db2.gz NGIWQTQOSUUAFT-SCZZXKLOSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N1C[C@@H](Nc2cnc(-c3nn[n-]n3)cn2)C[C@H]1C ZINC001573501017 946377028 /nfs/dbraw/zinc/37/70/28/946377028.db2.gz NGIWQTQOSUUAFT-SCZZXKLOSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N(C)C[C@@H](C)Nc1nc(C)cc(-c2nnn[n-]2)n1 ZINC001573502015 946386004 /nfs/dbraw/zinc/38/60/04/946386004.db2.gz UFMGRKLOIUSVII-SECBINFHSA-N -1 1 347.383 -0.640 20 0 EBADMM CC(=O)NCC(=O)N(C)C[C@@H](C)Nc1nc(C)cc(-c2nn[n-]n2)n1 ZINC001573502015 946386016 /nfs/dbraw/zinc/38/60/16/946386016.db2.gz UFMGRKLOIUSVII-SECBINFHSA-N -1 1 347.383 -0.640 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@H](CNc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001573503570 946393556 /nfs/dbraw/zinc/39/35/56/946393556.db2.gz AHJZMVPFBPFCEP-SNVBAGLBSA-N -1 1 345.367 -0.947 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@H](CNc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001573503570 946393573 /nfs/dbraw/zinc/39/35/73/946393573.db2.gz AHJZMVPFBPFCEP-SNVBAGLBSA-N -1 1 345.367 -0.947 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@@H](Nc2nccnc2-c2nnn[n-]2)[C@H]1C ZINC001573507228 946444955 /nfs/dbraw/zinc/44/49/55/946444955.db2.gz VXVBEEIKOGPUOB-PSASIEDQSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@@H](Nc2nccnc2-c2nn[n-]n2)[C@H]1C ZINC001573507228 946444958 /nfs/dbraw/zinc/44/49/58/946444958.db2.gz VXVBEEIKOGPUOB-PSASIEDQSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)CN(C)c1nc(C)cc(-c2nnn[n-]2)n1 ZINC001573510206 946473901 /nfs/dbraw/zinc/47/39/01/946473901.db2.gz BQQLNNZAUXQDES-SECBINFHSA-N -1 1 347.383 -0.958 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)CN(C)c1nc(C)cc(-c2nn[n-]n2)n1 ZINC001573510206 946473910 /nfs/dbraw/zinc/47/39/10/946473910.db2.gz BQQLNNZAUXQDES-SECBINFHSA-N -1 1 347.383 -0.958 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)C[C@H](C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001573510293 946476185 /nfs/dbraw/zinc/47/61/85/946476185.db2.gz DPICTOGMJWYELR-DTWKUNHWSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)C[C@H](C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001573510293 946476189 /nfs/dbraw/zinc/47/61/89/946476189.db2.gz DPICTOGMJWYELR-DTWKUNHWSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)[C@@H](C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001573510350 946476297 /nfs/dbraw/zinc/47/62/97/946476297.db2.gz FSKFZVZPKLXTNK-SFYZADRCSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)[C@@H](C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001573510350 946476303 /nfs/dbraw/zinc/47/63/03/946476303.db2.gz FSKFZVZPKLXTNK-SFYZADRCSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)NCC(=O)N[C@H]1C[C@H](CNc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001573521885 946616389 /nfs/dbraw/zinc/61/63/89/946616389.db2.gz MYXOGEGGBUNWFA-MGCOHNPYSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)N[C@H]1C[C@H](CNc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001573521885 946616395 /nfs/dbraw/zinc/61/63/95/946616395.db2.gz MYXOGEGGBUNWFA-MGCOHNPYSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)Nc1ccc(C(=O)NCCn2cnc(-c3nn[n-]n3)n2)nc1 ZINC001573547020 946825875 /nfs/dbraw/zinc/82/58/75/946825875.db2.gz FUGRUMNKVDGFMP-UHFFFAOYSA-N -1 1 342.323 -0.758 20 0 EBADMM C[C@H](C(=O)N(C)C[C@H](O)CNc1nccnc1-c1nnn[n-]1)C1CC1 ZINC001573594918 947411185 /nfs/dbraw/zinc/41/11/85/947411185.db2.gz FBMNXTGNOQJGEG-GXSJLCMTSA-N -1 1 346.395 -0.066 20 0 EBADMM C[C@H](C(=O)N(C)C[C@H](O)CNc1nccnc1-c1nn[n-]n1)C1CC1 ZINC001573594918 947411190 /nfs/dbraw/zinc/41/11/90/947411190.db2.gz FBMNXTGNOQJGEG-GXSJLCMTSA-N -1 1 346.395 -0.066 20 0 EBADMM C[C@@H](C(=O)N(C)C[C@@H](O)CNc1ccc(-c2nnn[n-]2)nn1)C1CC1 ZINC001573595096 947415579 /nfs/dbraw/zinc/41/55/79/947415579.db2.gz FOKCJCHOKGNDOP-KOLCDFICSA-N -1 1 346.395 -0.066 20 0 EBADMM C[C@@H](C(=O)N(C)C[C@@H](O)CNc1ccc(-c2nn[n-]n2)nn1)C1CC1 ZINC001573595096 947415588 /nfs/dbraw/zinc/41/55/88/947415588.db2.gz FOKCJCHOKGNDOP-KOLCDFICSA-N -1 1 346.395 -0.066 20 0 EBADMM C[C@H](C(=O)N(C)CCNc1ccnc(-c2nn[n-]n2)n1)n1cccn1 ZINC001573603225 947482677 /nfs/dbraw/zinc/48/26/77/947482677.db2.gz FFJZWOFNZGDVAW-SNVBAGLBSA-N -1 1 342.367 -0.593 20 0 EBADMM C[C@H](C(=O)N1CCC2(COC(=O)N2)CC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573628164 947748208 /nfs/dbraw/zinc/74/82/08/947748208.db2.gz FUNSQJSSMMYWAV-MRVPVSSYSA-N -1 1 347.339 -0.880 20 0 EBADMM CC(=O)CCCC(=O)N(C)CCNc1ccnc(-c2nn[n-]n2)n1 ZINC001573367963 947765326 /nfs/dbraw/zinc/76/53/26/947765326.db2.gz JVPYFTWEPTZMIP-UHFFFAOYSA-N -1 1 332.368 -0.292 20 0 EBADMM CC(=O)CCCC(=O)NC[C@H](CO)Nc1cncc(-c2nnn[n-]2)n1 ZINC001573380884 947865433 /nfs/dbraw/zinc/86/54/33/947865433.db2.gz JHKALQADCMQOSB-SNVBAGLBSA-N -1 1 348.367 -0.695 20 0 EBADMM CC(=O)CCCC(=O)NC[C@H](CO)Nc1cncc(-c2nn[n-]n2)n1 ZINC001573380884 947865437 /nfs/dbraw/zinc/86/54/37/947865437.db2.gz JHKALQADCMQOSB-SNVBAGLBSA-N -1 1 348.367 -0.695 20 0 EBADMM CC(=O)N(C)C1CCN(C(=O)[C@H](C)n2cnc(-c3nn[n-]n3)n2)CC1 ZINC001573384288 947901450 /nfs/dbraw/zinc/90/14/50/947901450.db2.gz LLEOPQSVTHVKRE-VIFPVBQESA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)[C@H](C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001573398083 947976043 /nfs/dbraw/zinc/97/60/43/947976043.db2.gz GNRAPZTYDJQUIB-DTWKUNHWSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)[C@H](C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001573398083 947976047 /nfs/dbraw/zinc/97/60/47/947976047.db2.gz GNRAPZTYDJQUIB-DTWKUNHWSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)CN(C)c1nccnc1-c1nnn[n-]1 ZINC001573399518 947992694 /nfs/dbraw/zinc/99/26/94/947992694.db2.gz VYOICCINOAEGQF-SECBINFHSA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)CN(C)c1nccnc1-c1nn[n-]n1 ZINC001573399518 947992701 /nfs/dbraw/zinc/99/27/01/947992701.db2.gz VYOICCINOAEGQF-SECBINFHSA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)[C@@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001573399600 947994110 /nfs/dbraw/zinc/99/41/10/947994110.db2.gz XGXOAFPNTNLSBV-RKDXNWHRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)[C@@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001573399600 947994118 /nfs/dbraw/zinc/99/41/18/947994118.db2.gz XGXOAFPNTNLSBV-RKDXNWHRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@H](C)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001573404525 948026864 /nfs/dbraw/zinc/02/68/64/948026864.db2.gz UEYZERPLMVVSPJ-VIFPVBQESA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@H](C)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001573404525 948026873 /nfs/dbraw/zinc/02/68/73/948026873.db2.gz UEYZERPLMVVSPJ-VIFPVBQESA-N -1 1 347.383 -0.924 20 0 EBADMM C[C@H](C(=O)NC1(CO)CCSCC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573662786 948027138 /nfs/dbraw/zinc/02/71/38/948027138.db2.gz GPGSRLWDHXRXCQ-MRVPVSSYSA-N -1 1 338.397 -0.607 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H]1C[C@H](Nc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001573408355 948065042 /nfs/dbraw/zinc/06/50/42/948065042.db2.gz FCQJNHMHGFSSPC-MGCOHNPYSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H]1C[C@H](Nc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001573408355 948065053 /nfs/dbraw/zinc/06/50/53/948065053.db2.gz FCQJNHMHGFSSPC-MGCOHNPYSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CC[C@H]([S@](C)=O)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573665099 948067977 /nfs/dbraw/zinc/06/79/77/948067977.db2.gz IVBWKKWMLTVSRZ-VKKUMIKLSA-N -1 1 338.397 -0.565 20 0 EBADMM CC(=O)N(C)CCN1CCN(c2cncc(-c3nnn[n-]3)n2)CC1 ZINC001573408736 948069351 /nfs/dbraw/zinc/06/93/51/948069351.db2.gz NFFNXODFYLEPMK-UHFFFAOYSA-N -1 1 331.384 -0.743 20 0 EBADMM C[C@H](C(=O)N[C@H]1CCN(CC(F)F)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573670369 948101332 /nfs/dbraw/zinc/10/13/32/948101332.db2.gz BANWBVJRBPXVDT-SFYZADRCSA-N -1 1 341.326 -0.525 20 0 EBADMM C[C@H](C(=O)NC[C@@H](CO)Nc1cnc(-c2nnn[n-]2)cn1)C1CCC1 ZINC001573681579 948208784 /nfs/dbraw/zinc/20/87/84/948208784.db2.gz WONHHBDAFJJUMW-ONGXEEELSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](C(=O)NC[C@@H](CO)Nc1cnc(-c2nn[n-]n2)cn1)C1CCC1 ZINC001573681579 948208792 /nfs/dbraw/zinc/20/87/92/948208792.db2.gz WONHHBDAFJJUMW-ONGXEEELSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](C(=O)NC[C@H](O)CNc1ccnc(-c2nn[n-]n2)n1)C(C)(C)C ZINC001573690592 948228272 /nfs/dbraw/zinc/22/82/72/948228272.db2.gz FDFOGMQUNTYDNY-NXEZZACHSA-N -1 1 348.411 -0.350 20 0 EBADMM C[C@H](C(=O)NC[C@H](O)CNc1ccnc(-c2nn[n-]n2)n1)C1CC1 ZINC001573691209 948238908 /nfs/dbraw/zinc/23/89/08/948238908.db2.gz IIAOCECBNRCGRG-WCBMZHEXSA-N -1 1 332.368 -0.986 20 0 EBADMM C[C@H](C(=O)NC[C@@H](O)CNc1ccnc(-c2nn[n-]n2)n1)C1CC1 ZINC001573691210 948239193 /nfs/dbraw/zinc/23/91/93/948239193.db2.gz IIAOCECBNRCGRG-WPRPVWTQSA-N -1 1 332.368 -0.986 20 0 EBADMM CC(=O)N1CC[C@@]2(C1)CN(c1nc(C)cc(-c3nnn[n-]3)n1)CCO2 ZINC001573439649 948248403 /nfs/dbraw/zinc/24/84/03/948248403.db2.gz NWDHUDLHBBANEP-OAHLLOKOSA-N -1 1 344.379 -0.207 20 0 EBADMM CC(=O)N1CC[C@@]2(C1)CN(c1nc(C)cc(-c3nn[n-]n3)n1)CCO2 ZINC001573439649 948248411 /nfs/dbraw/zinc/24/84/11/948248411.db2.gz NWDHUDLHBBANEP-OAHLLOKOSA-N -1 1 344.379 -0.207 20 0 EBADMM C[C@H](C(=O)NC[C@@H](O)CNc1cncc(-c2nnn[n-]2)n1)C1CC1 ZINC001573692370 948262505 /nfs/dbraw/zinc/26/25/05/948262505.db2.gz OFUBPTGLKQSROR-WPRPVWTQSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@H](C(=O)NC[C@@H](O)CNc1cncc(-c2nn[n-]n2)n1)C1CC1 ZINC001573692370 948262520 /nfs/dbraw/zinc/26/25/20/948262520.db2.gz OFUBPTGLKQSROR-WPRPVWTQSA-N -1 1 332.368 -0.408 20 0 EBADMM CC(=O)N1CCC[C@H](N(CCO)c2ccc(-c3nnn[n-]3)nn2)C1 ZINC001573444080 948310621 /nfs/dbraw/zinc/31/06/21/948310621.db2.gz QUWNKLPUUKOLMD-NSHDSACASA-N -1 1 332.368 -0.534 20 0 EBADMM CC(=O)N1CCC[C@H](N(CCO)c2ccc(-c3nn[n-]n3)nn2)C1 ZINC001573444080 948310629 /nfs/dbraw/zinc/31/06/29/948310629.db2.gz QUWNKLPUUKOLMD-NSHDSACASA-N -1 1 332.368 -0.534 20 0 EBADMM C[C@@H](C(=O)NC[C@@H](O)CNc1nccnc1-c1nnn[n-]1)C1CC1 ZINC001573696031 948316145 /nfs/dbraw/zinc/31/61/45/948316145.db2.gz ZMXOJASDDHYWGX-SCZZXKLOSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@@H](C(=O)NC[C@@H](O)CNc1nccnc1-c1nn[n-]n1)C1CC1 ZINC001573696031 948316156 /nfs/dbraw/zinc/31/61/56/948316156.db2.gz ZMXOJASDDHYWGX-SCZZXKLOSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@@H](C(=O)NCC[C@@H](O)C(F)(F)F)n1cnc(-c2nn[n-]n2)n1 ZINC001573708737 948458470 /nfs/dbraw/zinc/45/84/70/948458470.db2.gz XUSKOSRRUYKUOU-NTSWFWBYSA-N -1 1 334.262 -0.551 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CCCC(F)(F)[C@H]1O)n1cnc(-c2nn[n-]n2)n1 ZINC001573731586 948592742 /nfs/dbraw/zinc/59/27/42/948592742.db2.gz HCKHDZXZTQYIFY-RNJXMRFFSA-N -1 1 342.310 -0.316 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CN(c2nccnc2-c2nnn[n-]2)C[C@@H]1O)C1CC1 ZINC001573739437 948619247 /nfs/dbraw/zinc/61/92/47/948619247.db2.gz CNJSJRHQOIBUKF-IEBDPFPHSA-N -1 1 344.379 -0.632 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CN(c2nccnc2-c2nn[n-]n2)C[C@@H]1O)C1CC1 ZINC001573739437 948619249 /nfs/dbraw/zinc/61/92/49/948619249.db2.gz CNJSJRHQOIBUKF-IEBDPFPHSA-N -1 1 344.379 -0.632 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CN(c2cnc(-c3nnn[n-]3)cn2)C[C@H]1O)C1CC1 ZINC001573740556 948637410 /nfs/dbraw/zinc/63/74/10/948637410.db2.gz LNUKAKBTRSADMP-XXILOJSOSA-N -1 1 344.379 -0.632 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CN(c2cnc(-c3nn[n-]n3)cn2)C[C@H]1O)C1CC1 ZINC001573740556 948637416 /nfs/dbraw/zinc/63/74/16/948637416.db2.gz LNUKAKBTRSADMP-XXILOJSOSA-N -1 1 344.379 -0.632 20 0 EBADMM O=C(C[C@@H]1CCCS(=O)(=O)C1)Nc1n[nH]cc1-c1nnn[n-]1 ZINC001570925208 948664358 /nfs/dbraw/zinc/66/43/58/948664358.db2.gz BMCXLPBPVYIPLI-ZETCQYMHSA-N -1 1 325.354 -0.257 20 0 EBADMM O=C(C[C@@H]1CCCS(=O)(=O)C1)Nc1n[nH]cc1-c1nn[n-]n1 ZINC001570925208 948664361 /nfs/dbraw/zinc/66/43/61/948664361.db2.gz BMCXLPBPVYIPLI-ZETCQYMHSA-N -1 1 325.354 -0.257 20 0 EBADMM Cn1cc([C@@H](CO)NC(=O)Nc2ccnc(-c3nnn[n-]3)c2)cn1 ZINC001570925826 948677295 /nfs/dbraw/zinc/67/72/95/948677295.db2.gz JITBHJWNZQXSLA-LLVKDONJSA-N -1 1 329.324 -0.150 20 0 EBADMM Cn1cc([C@@H](CO)NC(=O)Nc2ccnc(-c3nn[n-]n3)c2)cn1 ZINC001570925826 948677299 /nfs/dbraw/zinc/67/72/99/948677299.db2.gz JITBHJWNZQXSLA-LLVKDONJSA-N -1 1 329.324 -0.150 20 0 EBADMM O=C(N[C@H]1C[C@H](Nc2nccnc2-c2nnn[n-]2)C1)c1ccn[nH]1 ZINC001570925965 948680770 /nfs/dbraw/zinc/68/07/70/948680770.db2.gz UHJMCLQVXHWTDN-ZKCHVHJHSA-N -1 1 326.324 -0.247 20 0 EBADMM O=C(N[C@H]1C[C@H](Nc2nccnc2-c2nn[n-]n2)C1)c1ccn[nH]1 ZINC001570925965 948680773 /nfs/dbraw/zinc/68/07/73/948680773.db2.gz UHJMCLQVXHWTDN-ZKCHVHJHSA-N -1 1 326.324 -0.247 20 0 EBADMM C[C@@]1(CC(=O)Nc2c[nH]nc2-c2nn[n-]n2)CCS(=O)(=O)N1 ZINC001570927363 948709827 /nfs/dbraw/zinc/70/98/27/948709827.db2.gz OGTOHCIDJBZUIQ-JTQLQIEISA-N -1 1 326.342 -1.000 20 0 EBADMM Cc1ccnc(NC(=O)Cn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)c1 ZINC001570927614 948713996 /nfs/dbraw/zinc/71/39/96/948713996.db2.gz VDNATTJGRQRRDK-UHFFFAOYSA-N -1 1 328.292 -0.529 20 0 EBADMM NC(=O)NC1CCN(C(=O)Cc2ccc(-c3nnn[n-]3)nc2)CC1 ZINC001570928440 948733761 /nfs/dbraw/zinc/73/37/61/948733761.db2.gz RDHIUIWLLSSHDF-UHFFFAOYSA-N -1 1 330.352 -0.537 20 0 EBADMM NC(=O)NC1CCN(C(=O)Cc2ccc(-c3nn[n-]n3)nc2)CC1 ZINC001570928440 948733764 /nfs/dbraw/zinc/73/37/64/948733764.db2.gz RDHIUIWLLSSHDF-UHFFFAOYSA-N -1 1 330.352 -0.537 20 0 EBADMM Cc1[nH]nc(NC(=O)c2cn([C@@H]3CC[C@H]3O)nn2)c1-c1nn[n-]n1 ZINC001570928607 948737235 /nfs/dbraw/zinc/73/72/35/948737235.db2.gz SMLWZMGBXCWLHV-HTQZYQBOSA-N -1 1 330.312 -0.562 20 0 EBADMM NS(=O)(=O)c1cccc(CNc2cncc(-c3nnn[n-]3)n2)c1 ZINC001570928871 948744781 /nfs/dbraw/zinc/74/47/81/948744781.db2.gz KNUJFPYQNROWEP-UHFFFAOYSA-N -1 1 332.349 -0.084 20 0 EBADMM NS(=O)(=O)c1cccc(CNc2cncc(-c3nn[n-]n3)n2)c1 ZINC001570928871 948744787 /nfs/dbraw/zinc/74/47/87/948744787.db2.gz KNUJFPYQNROWEP-UHFFFAOYSA-N -1 1 332.349 -0.084 20 0 EBADMM NC(=O)C1(O)CCN(C(=O)Nc2ccnc(-c3nnn[n-]3)c2)CC1 ZINC001570929036 948750492 /nfs/dbraw/zinc/75/04/92/948750492.db2.gz MWQTVWTZFYFPDD-UHFFFAOYSA-N -1 1 332.324 -0.894 20 0 EBADMM NC(=O)C1(O)CCN(C(=O)Nc2ccnc(-c3nn[n-]n3)c2)CC1 ZINC001570929036 948750502 /nfs/dbraw/zinc/75/05/02/948750502.db2.gz MWQTVWTZFYFPDD-UHFFFAOYSA-N -1 1 332.324 -0.894 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)N[C@H]1CCN(C)C(=O)C1 ZINC001570929265 948760639 /nfs/dbraw/zinc/76/06/39/948760639.db2.gz KHBGMXIHHLUWAS-QMMMGPOBSA-N -1 1 331.336 -0.374 20 0 EBADMM COC(=O)[C@@]1(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)CCOC1 ZINC001570929408 948767636 /nfs/dbraw/zinc/76/76/36/948767636.db2.gz SFXMJSPELWMKJK-CQSZACIVSA-N -1 1 332.320 -0.429 20 0 EBADMM COC(=O)[C@@]1(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)CCOC1 ZINC001570929408 948767646 /nfs/dbraw/zinc/76/76/46/948767646.db2.gz SFXMJSPELWMKJK-CQSZACIVSA-N -1 1 332.320 -0.429 20 0 EBADMM C[C@H](CCNc1cnc(-c2nnn[n-]2)cn1)NC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001574679586 948787239 /nfs/dbraw/zinc/78/72/39/948787239.db2.gz YIQASUDIRDQXQW-DNJQJEMRSA-N -1 1 344.379 -0.144 20 0 EBADMM C[C@H](CCNc1cnc(-c2nn[n-]n2)cn1)NC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001574679586 948787254 /nfs/dbraw/zinc/78/72/54/948787254.db2.gz YIQASUDIRDQXQW-DNJQJEMRSA-N -1 1 344.379 -0.144 20 0 EBADMM COCC(=O)N1C[C@@H](C)[C@@H](CNc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001570930342 948809948 /nfs/dbraw/zinc/80/99/48/948809948.db2.gz HYCPBAVPOSXSRU-ZJUUUORDSA-N -1 1 332.368 -0.191 20 0 EBADMM COCC(=O)N1C[C@@H](C)[C@@H](CNc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001570930342 948809962 /nfs/dbraw/zinc/80/99/62/948809962.db2.gz HYCPBAVPOSXSRU-ZJUUUORDSA-N -1 1 332.368 -0.191 20 0 EBADMM C[C@H](C(=O)Nc1ccn2nccc2n1)n1cnc(-c2nn[n-]n2)n1 ZINC001573756306 948813157 /nfs/dbraw/zinc/81/31/57/948813157.db2.gz YVUWZXBKNICAFA-SSDOTTSWSA-N -1 1 325.296 -0.299 20 0 EBADMM O=C(Nc1ccnc(-c2nnn[n-]2)c1)N1C[C@H](CO)[C@@H](CCO)C1 ZINC001570931235 948842408 /nfs/dbraw/zinc/84/24/08/948842408.db2.gz URRDQIRPOBSQPO-VHSXEESVSA-N -1 1 333.352 -0.284 20 0 EBADMM O=C(Nc1ccnc(-c2nn[n-]n2)c1)N1C[C@H](CO)[C@@H](CCO)C1 ZINC001570931235 948842423 /nfs/dbraw/zinc/84/24/23/948842423.db2.gz URRDQIRPOBSQPO-VHSXEESVSA-N -1 1 333.352 -0.284 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)[C@H]1CCC(=O)N1 ZINC001574683095 948843386 /nfs/dbraw/zinc/84/33/86/948843386.db2.gz ULGYFTLFBWOAIN-DTWKUNHWSA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)[C@H]1CCC(=O)N1 ZINC001574683095 948843400 /nfs/dbraw/zinc/84/34/00/948843400.db2.gz ULGYFTLFBWOAIN-DTWKUNHWSA-N -1 1 345.367 -0.758 20 0 EBADMM CC(=O)N1CCC[C@H](N(CCO)c2cnc(-c3nnn[n-]3)cn2)C1 ZINC001570931404 948846898 /nfs/dbraw/zinc/84/68/98/948846898.db2.gz XBAIVCHCBDSSTG-NSHDSACASA-N -1 1 332.368 -0.534 20 0 EBADMM CC(=O)N1CCC[C@H](N(CCO)c2cnc(-c3nn[n-]n3)cn2)C1 ZINC001570931404 948846915 /nfs/dbraw/zinc/84/69/15/948846915.db2.gz XBAIVCHCBDSSTG-NSHDSACASA-N -1 1 332.368 -0.534 20 0 EBADMM CC(F)(F)CC(=O)NC[C@@H](O)CNc1nccnc1-c1nnn[n-]1 ZINC001575231876 948869203 /nfs/dbraw/zinc/86/92/03/948869203.db2.gz ABIAZMHPTQRSHL-SSDOTTSWSA-N -1 1 342.310 -0.409 20 0 EBADMM CC(F)(F)CC(=O)NC[C@@H](O)CNc1nccnc1-c1nn[n-]n1 ZINC001575231876 948869220 /nfs/dbraw/zinc/86/92/20/948869220.db2.gz ABIAZMHPTQRSHL-SSDOTTSWSA-N -1 1 342.310 -0.409 20 0 EBADMM CC(F)(F)CC(=O)NC[C@H](O)CNc1nccnc1-c1nnn[n-]1 ZINC001575231877 948871294 /nfs/dbraw/zinc/87/12/94/948871294.db2.gz ABIAZMHPTQRSHL-ZETCQYMHSA-N -1 1 342.310 -0.409 20 0 EBADMM CC(F)(F)CC(=O)NC[C@H](O)CNc1nccnc1-c1nn[n-]n1 ZINC001575231877 948871311 /nfs/dbraw/zinc/87/13/11/948871311.db2.gz ABIAZMHPTQRSHL-ZETCQYMHSA-N -1 1 342.310 -0.409 20 0 EBADMM Cc1nc(-c2nnn[n-]2)cc(N2C[C@H]3CS(=O)(=O)C[C@@]3(C)C2)n1 ZINC001570933083 948872144 /nfs/dbraw/zinc/87/21/44/948872144.db2.gz DFGBFZRCJNOVPZ-TVQRCGJNSA-N -1 1 335.393 -0.164 20 0 EBADMM Cc1nc(-c2nn[n-]n2)cc(N2C[C@H]3CS(=O)(=O)C[C@@]3(C)C2)n1 ZINC001570933083 948872159 /nfs/dbraw/zinc/87/21/59/948872159.db2.gz DFGBFZRCJNOVPZ-TVQRCGJNSA-N -1 1 335.393 -0.164 20 0 EBADMM CC(F)(F)CC(=O)NC[C@H](CO)Nc1nccnc1-c1nnn[n-]1 ZINC001575234104 948894988 /nfs/dbraw/zinc/89/49/88/948894988.db2.gz ONWWXJCUWLUKBK-SSDOTTSWSA-N -1 1 342.310 -0.409 20 0 EBADMM CC(F)(F)CC(=O)NC[C@H](CO)Nc1nccnc1-c1nn[n-]n1 ZINC001575234104 948895007 /nfs/dbraw/zinc/89/50/07/948895007.db2.gz ONWWXJCUWLUKBK-SSDOTTSWSA-N -1 1 342.310 -0.409 20 0 EBADMM Cc1onc(CC(=O)N2C[C@H](CO)OC(C)(C)C2)c1-c1nnn[n-]1 ZINC001570933689 948907115 /nfs/dbraw/zinc/90/71/15/948907115.db2.gz COQLBWAGALBJFM-SECBINFHSA-N -1 1 336.352 -0.296 20 0 EBADMM Cc1onc(CC(=O)N2C[C@H](CO)OC(C)(C)C2)c1-c1nn[n-]n1 ZINC001570933689 948907129 /nfs/dbraw/zinc/90/71/29/948907129.db2.gz COQLBWAGALBJFM-SECBINFHSA-N -1 1 336.352 -0.296 20 0 EBADMM C[C@@H]1C[C@@H](CO)CN(C(=O)NCCn2cnc(-c3nn[n-]n3)n2)C1 ZINC001570933894 948914535 /nfs/dbraw/zinc/91/45/35/948914535.db2.gz WKDOHHKVMYAQGW-NXEZZACHSA-N -1 1 335.372 -0.882 20 0 EBADMM CC(F)(F)CC(=O)NC[C@H](O)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001575235574 948922242 /nfs/dbraw/zinc/92/22/42/948922242.db2.gz WGXUNTRUQXTJIY-SSDOTTSWSA-N -1 1 342.310 -0.409 20 0 EBADMM CC(F)(F)CC(=O)NC[C@H](O)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575235574 948922251 /nfs/dbraw/zinc/92/22/51/948922251.db2.gz WGXUNTRUQXTJIY-SSDOTTSWSA-N -1 1 342.310 -0.409 20 0 EBADMM C[C@H](C(=O)N(C)C1CCS(=O)CC1)n1cnc(-c2nn[n-]n2)n1 ZINC001570934618 948945758 /nfs/dbraw/zinc/94/57/58/948945758.db2.gz GRSMVSIADQHQJQ-MPBLTYAJSA-N -1 1 338.397 -0.611 20 0 EBADMM C[C@H](CNC(=O)CCn1ccnn1)Nc1nccnc1-c1nnn[n-]1 ZINC001574923483 948953934 /nfs/dbraw/zinc/95/39/34/948953934.db2.gz NXYJPHOCYBIBTN-SECBINFHSA-N -1 1 343.355 -0.745 20 0 EBADMM C[C@H](CNC(=O)CCn1ccnn1)Nc1nccnc1-c1nn[n-]n1 ZINC001574923483 948953943 /nfs/dbraw/zinc/95/39/43/948953943.db2.gz NXYJPHOCYBIBTN-SECBINFHSA-N -1 1 343.355 -0.745 20 0 EBADMM O=C(c1ccnnc1)N1CC[C@H](Nc2cncc(-c3nnn[n-]3)n2)C1 ZINC001570935013 948962352 /nfs/dbraw/zinc/96/23/52/948962352.db2.gz KXHIPKRIFMYQKB-JTQLQIEISA-N -1 1 338.335 -0.227 20 0 EBADMM O=C(c1ccnnc1)N1CC[C@H](Nc2cncc(-c3nn[n-]n3)n2)C1 ZINC001570935013 948962363 /nfs/dbraw/zinc/96/23/63/948962363.db2.gz KXHIPKRIFMYQKB-JTQLQIEISA-N -1 1 338.335 -0.227 20 0 EBADMM CC(C)(C(=O)NC[C@H](CO)Nc1ccc(-c2nnn[n-]2)nn1)C1CC1 ZINC001573774490 949008384 /nfs/dbraw/zinc/00/83/84/949008384.db2.gz SLWKIMKIBLIOAJ-SNVBAGLBSA-N -1 1 346.395 -0.018 20 0 EBADMM CC(C)(C(=O)NC[C@H](CO)Nc1ccc(-c2nn[n-]n2)nn1)C1CC1 ZINC001573774490 949008401 /nfs/dbraw/zinc/00/84/01/949008401.db2.gz SLWKIMKIBLIOAJ-SNVBAGLBSA-N -1 1 346.395 -0.018 20 0 EBADMM CC(C)(C(=O)NC[C@@H](O)CNc1nccnc1-c1nnn[n-]1)C1CC1 ZINC001573774968 949026877 /nfs/dbraw/zinc/02/68/77/949026877.db2.gz QNDZXUMBHOFRJA-JTQLQIEISA-N -1 1 346.395 -0.018 20 0 EBADMM CC(C)(C(=O)NC[C@@H](O)CNc1nccnc1-c1nn[n-]n1)C1CC1 ZINC001573774968 949026901 /nfs/dbraw/zinc/02/69/01/949026901.db2.gz QNDZXUMBHOFRJA-JTQLQIEISA-N -1 1 346.395 -0.018 20 0 EBADMM O=C(Cc1ccc[nH]1)N[C@@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C1 ZINC001570936953 949059514 /nfs/dbraw/zinc/05/95/14/949059514.db2.gz UMWHICDBIGVXKH-LLVKDONJSA-N -1 1 339.363 -0.078 20 0 EBADMM O=C(Cc1ccc[nH]1)N[C@@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C1 ZINC001570936953 949059537 /nfs/dbraw/zinc/05/95/37/949059537.db2.gz UMWHICDBIGVXKH-LLVKDONJSA-N -1 1 339.363 -0.078 20 0 EBADMM C[C@@H](CNC(=O)CNC(=O)C1CC1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574928698 949064401 /nfs/dbraw/zinc/06/44/01/949064401.db2.gz QZOZJTPTHSGAEB-QMMMGPOBSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@@H](CNC(=O)CNC(=O)C1CC1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574928698 949064419 /nfs/dbraw/zinc/06/44/19/949064419.db2.gz QZOZJTPTHSGAEB-QMMMGPOBSA-N -1 1 345.367 -0.901 20 0 EBADMM O=C(c1cn[nH]c1)N1CC[C@@H](CNc2nccnc2-c2nnn[n-]2)C1 ZINC001570937561 949077948 /nfs/dbraw/zinc/07/79/48/949077948.db2.gz GJFIYGBPERVCTK-VIFPVBQESA-N -1 1 340.351 -0.046 20 0 EBADMM O=C(c1cn[nH]c1)N1CC[C@@H](CNc2nccnc2-c2nn[n-]n2)C1 ZINC001570937561 949077968 /nfs/dbraw/zinc/07/79/68/949077968.db2.gz GJFIYGBPERVCTK-VIFPVBQESA-N -1 1 340.351 -0.046 20 0 EBADMM O=C(Cc1ncc[nH]1)N[C@H]1CCN(c2cncc(-c3nnn[n-]3)n2)C1 ZINC001570937605 949078518 /nfs/dbraw/zinc/07/85/18/949078518.db2.gz HDYPTHAVOHCMJV-VIFPVBQESA-N -1 1 340.351 -0.683 20 0 EBADMM O=C(Cc1ncc[nH]1)N[C@H]1CCN(c2cncc(-c3nn[n-]n3)n2)C1 ZINC001570937605 949078537 /nfs/dbraw/zinc/07/85/37/949078537.db2.gz HDYPTHAVOHCMJV-VIFPVBQESA-N -1 1 340.351 -0.683 20 0 EBADMM O=C(Cn1cccn1)N[C@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C1 ZINC001570937616 949084171 /nfs/dbraw/zinc/08/41/71/949084171.db2.gz HHVYFFGTHLPIGR-JTQLQIEISA-N -1 1 340.351 -0.752 20 0 EBADMM O=C(Cn1cccn1)N[C@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C1 ZINC001570937616 949084189 /nfs/dbraw/zinc/08/41/89/949084189.db2.gz HHVYFFGTHLPIGR-JTQLQIEISA-N -1 1 340.351 -0.752 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnon2)C[C@H]1Nc1cnc(-c2nnn[n-]2)cn1 ZINC001570938537 949105853 /nfs/dbraw/zinc/10/58/53/949105853.db2.gz FNYRPESTJKDHAD-GMSGAONNSA-N -1 1 342.323 -0.388 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnon2)C[C@H]1Nc1cnc(-c2nn[n-]n2)cn1 ZINC001570938537 949105863 /nfs/dbraw/zinc/10/58/63/949105863.db2.gz FNYRPESTJKDHAD-GMSGAONNSA-N -1 1 342.323 -0.388 20 0 EBADMM C[C@@H](CNC(=O)CO[C@@H]1CCOC1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574930202 949107202 /nfs/dbraw/zinc/10/72/02/949107202.db2.gz JVLJIWHGLDSRTQ-VHSXEESVSA-N -1 1 348.367 -0.621 20 0 EBADMM C[C@@H](CNC(=O)CO[C@@H]1CCOC1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574930202 949107215 /nfs/dbraw/zinc/10/72/15/949107215.db2.gz JVLJIWHGLDSRTQ-VHSXEESVSA-N -1 1 348.367 -0.621 20 0 EBADMM O=C(NCCn1cnc(-c2nn[n-]n2)n1)c1cnc(C2CC2)[nH]c1=O ZINC001570938584 949109412 /nfs/dbraw/zinc/10/94/12/949109412.db2.gz FRPXGQOYLIFALN-UHFFFAOYSA-N -1 1 342.323 -0.739 20 0 EBADMM O=C(NC[C@H]1CCN(c2nccnc2-c2nnn[n-]2)C1)c1ccn[nH]1 ZINC001570938818 949116528 /nfs/dbraw/zinc/11/65/28/949116528.db2.gz YGGUWOKFJASNAO-SECBINFHSA-N -1 1 340.351 -0.364 20 0 EBADMM O=C(NC[C@H]1CCN(c2nccnc2-c2nn[n-]n2)C1)c1ccn[nH]1 ZINC001570938818 949116538 /nfs/dbraw/zinc/11/65/38/949116538.db2.gz YGGUWOKFJASNAO-SECBINFHSA-N -1 1 340.351 -0.364 20 0 EBADMM O=C(NC[C@@H]1CCN(c2nccnc2-c2nnn[n-]2)C1)c1ccn[nH]1 ZINC001570938819 949116608 /nfs/dbraw/zinc/11/66/08/949116608.db2.gz YGGUWOKFJASNAO-VIFPVBQESA-N -1 1 340.351 -0.364 20 0 EBADMM O=C(NC[C@@H]1CCN(c2nccnc2-c2nn[n-]n2)C1)c1ccn[nH]1 ZINC001570938819 949116614 /nfs/dbraw/zinc/11/66/14/949116614.db2.gz YGGUWOKFJASNAO-VIFPVBQESA-N -1 1 340.351 -0.364 20 0 EBADMM O=C(Cn1ccnc1)N[C@H]1CCN(c2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570938842 949117462 /nfs/dbraw/zinc/11/74/62/949117462.db2.gz ZDTXGOKKDYKBJL-JTQLQIEISA-N -1 1 340.351 -0.752 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)[C@]12C[C@H]1COC2 ZINC001574696208 949123644 /nfs/dbraw/zinc/12/36/44/949123644.db2.gz NBRIBCSAJAYADG-FTGAXOIBSA-N -1 1 344.379 0.000 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)[C@]12C[C@H]1COC2 ZINC001574696208 949123654 /nfs/dbraw/zinc/12/36/54/949123654.db2.gz NBRIBCSAJAYADG-FTGAXOIBSA-N -1 1 344.379 0.000 20 0 EBADMM C[C@@H]1CN(C(=O)c2cn[nH]n2)C[C@H]1Nc1cncc(-c2nnn[n-]2)n1 ZINC001570939106 949125883 /nfs/dbraw/zinc/12/58/83/949125883.db2.gz AXQWPQFPRDCENP-GMSGAONNSA-N -1 1 341.339 -0.653 20 0 EBADMM C[C@@H]1CN(C(=O)c2cn[nH]n2)C[C@H]1Nc1cncc(-c2nn[n-]n2)n1 ZINC001570939106 949125897 /nfs/dbraw/zinc/12/58/97/949125897.db2.gz AXQWPQFPRDCENP-GMSGAONNSA-N -1 1 341.339 -0.653 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)c1ncn(C)n1 ZINC001574696620 949138395 /nfs/dbraw/zinc/13/83/95/949138395.db2.gz SMAAMKNXYAURDS-MRVPVSSYSA-N -1 1 343.355 -0.594 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)c1ncn(C)n1 ZINC001574696620 949138404 /nfs/dbraw/zinc/13/84/04/949138404.db2.gz SMAAMKNXYAURDS-MRVPVSSYSA-N -1 1 343.355 -0.594 20 0 EBADMM O=C(c1cocn1)N1CCC[C@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570939475 949139602 /nfs/dbraw/zinc/13/96/02/949139602.db2.gz DKLSOCGLTZDQCM-VIFPVBQESA-N -1 1 341.335 -0.217 20 0 EBADMM C[C@@H]1C[C@H](Nc2cncc(-c3nnn[n-]3)n2)CN1C(=O)c1nc[nH]n1 ZINC001570939860 949157727 /nfs/dbraw/zinc/15/77/27/949157727.db2.gz HQNOZCJWBAQXBR-SFYZADRCSA-N -1 1 341.339 -0.510 20 0 EBADMM C[C@@H]1C[C@H](Nc2cncc(-c3nn[n-]n3)n2)CN1C(=O)c1nc[nH]n1 ZINC001570939860 949157734 /nfs/dbraw/zinc/15/77/34/949157734.db2.gz HQNOZCJWBAQXBR-SFYZADRCSA-N -1 1 341.339 -0.510 20 0 EBADMM CC(C)CC(=O)N(C)C[C@@H](O)CNc1cncc(-c2nnn[n-]2)n1 ZINC001574099164 949171171 /nfs/dbraw/zinc/17/11/71/949171171.db2.gz IPVVHJNTLVBSAU-JTQLQIEISA-N -1 1 334.384 -0.066 20 0 EBADMM CC(C)CC(=O)N(C)C[C@@H](O)CNc1cncc(-c2nn[n-]n2)n1 ZINC001574099164 949171181 /nfs/dbraw/zinc/17/11/81/949171181.db2.gz IPVVHJNTLVBSAU-JTQLQIEISA-N -1 1 334.384 -0.066 20 0 EBADMM O=C(C1=COCCC1)N1CC[C@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570941114 949208944 /nfs/dbraw/zinc/20/89/44/949208944.db2.gz UJJVAQNOOKWDRY-NSHDSACASA-N -1 1 342.363 -0.214 20 0 EBADMM C[C@H]1CCC[C@@H](CNC(=O)Cn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)O1 ZINC001576151651 949231613 /nfs/dbraw/zinc/23/16/13/949231613.db2.gz HUVWTOKSSIZCHW-IUCAKERBSA-N -1 1 349.351 -0.797 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H](C)CC(N)=O)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574699219 949234443 /nfs/dbraw/zinc/23/44/43/949234443.db2.gz UJICPCVKUPCDKJ-DTWKUNHWSA-N -1 1 347.383 -0.573 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H](C)CC(N)=O)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574699219 949234464 /nfs/dbraw/zinc/23/44/64/949234464.db2.gz UJICPCVKUPCDKJ-DTWKUNHWSA-N -1 1 347.383 -0.573 20 0 EBADMM O=C(N1CC[C@H](Nc2ccnc(-c3nn[n-]n3)n2)C1)[C@]12C[C@H]1COC2 ZINC001570941660 949235830 /nfs/dbraw/zinc/23/58/30/949235830.db2.gz YDOXDEREDPKONO-YHAQOWFVSA-N -1 1 342.363 -0.872 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)N1CCCn2nncc2C1 ZINC001570941760 949245007 /nfs/dbraw/zinc/24/50/07/949245007.db2.gz DQNZWDWWOYPVSJ-UHFFFAOYSA-N -1 1 341.335 -0.087 20 0 EBADMM CC(C)(C)C(=O)N(CCO)CCNc1nccnc1-c1nnn[n-]1 ZINC001573792735 949263132 /nfs/dbraw/zinc/26/31/32/949263132.db2.gz CXLIAICQGUZFJK-UHFFFAOYSA-N -1 1 334.384 -0.064 20 0 EBADMM CC(C)(C)C(=O)N(CCO)CCNc1nccnc1-c1nn[n-]n1 ZINC001573792735 949263154 /nfs/dbraw/zinc/26/31/54/949263154.db2.gz CXLIAICQGUZFJK-UHFFFAOYSA-N -1 1 334.384 -0.064 20 0 EBADMM C[C@@H](NC(=O)C1=COCCO1)[C@H](C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001575258074 949287406 /nfs/dbraw/zinc/28/74/06/949287406.db2.gz DPMCGZLARSZADH-DTWKUNHWSA-N -1 1 346.351 -0.150 20 0 EBADMM C[C@@H](NC(=O)C1=COCCO1)[C@H](C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575258074 949287433 /nfs/dbraw/zinc/28/74/33/949287433.db2.gz DPMCGZLARSZADH-DTWKUNHWSA-N -1 1 346.351 -0.150 20 0 EBADMM CN(c1cnc(-c2nnn[n-]2)cn1)[C@@H]1CCN(C(=O)c2cocn2)C1 ZINC001570942748 949307381 /nfs/dbraw/zinc/30/73/81/949307381.db2.gz VTHFVJHJPBEICV-SECBINFHSA-N -1 1 341.335 -0.004 20 0 EBADMM CN(c1cnc(-c2nn[n-]n2)cn1)[C@@H]1CCN(C(=O)c2cocn2)C1 ZINC001570942748 949307403 /nfs/dbraw/zinc/30/74/03/949307403.db2.gz VTHFVJHJPBEICV-SECBINFHSA-N -1 1 341.335 -0.004 20 0 EBADMM C[C@@H](CNC(=O)Cc1cc[nH]n1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001574942085 949333053 /nfs/dbraw/zinc/33/30/53/949333053.db2.gz KDSAGCISFCVKGA-VIFPVBQESA-N -1 1 342.367 -0.437 20 0 EBADMM C[C@@H](CNC(=O)Cc1cc[nH]n1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001574942085 949333077 /nfs/dbraw/zinc/33/30/77/949333077.db2.gz KDSAGCISFCVKGA-VIFPVBQESA-N -1 1 342.367 -0.437 20 0 EBADMM C[C@@H]1[C@@H](CO)CCCN1C(=O)NCCn1cnc(-c2nn[n-]n2)n1 ZINC001575865418 949374927 /nfs/dbraw/zinc/37/49/27/949374927.db2.gz ALCXIDOCFPHTOX-NXEZZACHSA-N -1 1 335.372 -0.739 20 0 EBADMM C[C@@H](CNC(=O)Cc1cnn(C)c1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574945774 949421237 /nfs/dbraw/zinc/42/12/37/949421237.db2.gz NWWYYNNYLBKECV-VIFPVBQESA-N -1 1 342.367 -0.451 20 0 EBADMM C[C@@H](CNC(=O)Cc1cnn(C)c1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574945774 949421250 /nfs/dbraw/zinc/42/12/50/949421250.db2.gz NWWYYNNYLBKECV-VIFPVBQESA-N -1 1 342.367 -0.451 20 0 EBADMM C[C@@H](CNC(=O)Cc1cnoc1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574946205 949430470 /nfs/dbraw/zinc/43/04/70/949430470.db2.gz SROHCUCSBHUXQG-QMMMGPOBSA-N -1 1 329.324 -0.774 20 0 EBADMM C[C@H](CNC(=O)Cn1ccnc1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001574947924 949456889 /nfs/dbraw/zinc/45/68/89/949456889.db2.gz FURCHYMMWMRJCO-SNVBAGLBSA-N -1 1 342.367 -0.506 20 0 EBADMM C[C@H](CNC(=O)Cn1ccnc1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001574947924 949456897 /nfs/dbraw/zinc/45/68/97/949456897.db2.gz FURCHYMMWMRJCO-SNVBAGLBSA-N -1 1 342.367 -0.506 20 0 EBADMM CCS(=O)(=O)CCNC(=O)NCc1nc(-c2nnn[n-]2)cs1 ZINC001570945184 949465585 /nfs/dbraw/zinc/46/55/85/949465585.db2.gz PJLWZJHMOIMDDZ-UHFFFAOYSA-N -1 1 345.410 -0.443 20 0 EBADMM CCS(=O)(=O)CCNC(=O)NCc1nc(-c2nn[n-]n2)cs1 ZINC001570945184 949465614 /nfs/dbraw/zinc/46/56/14/949465614.db2.gz PJLWZJHMOIMDDZ-UHFFFAOYSA-N -1 1 345.410 -0.443 20 0 EBADMM C[C@@H](Nc1ccnc(-c2nn[n-]n2)n1)[C@H](C)NC(=O)C1CCOCC1 ZINC001575266263 949470394 /nfs/dbraw/zinc/47/03/94/949470394.db2.gz YBRIUQXTLFHXOR-ZJUUUORDSA-N -1 1 346.395 -0.190 20 0 EBADMM O=C(N[C@H]1CCCN(c2nccnc2-c2nnn[n-]2)C1)[C@@H]1CCCO1 ZINC001570945712 949497491 /nfs/dbraw/zinc/49/74/91/949497491.db2.gz IFDVFPXEQNVHBG-QWRGUYRKSA-N -1 1 344.379 -0.079 20 0 EBADMM O=C(N[C@H]1CCCN(c2nccnc2-c2nn[n-]n2)C1)[C@@H]1CCCO1 ZINC001570945712 949497505 /nfs/dbraw/zinc/49/75/05/949497505.db2.gz IFDVFPXEQNVHBG-QWRGUYRKSA-N -1 1 344.379 -0.079 20 0 EBADMM CCC(=O)N1CCC[C@@H](N(CCO)c2cnc(-c3nnn[n-]3)cn2)C1 ZINC001570945763 949498563 /nfs/dbraw/zinc/49/85/63/949498563.db2.gz CUBUPMZSEATCBC-LLVKDONJSA-N -1 1 346.395 -0.144 20 0 EBADMM CCC(=O)N1CCC[C@@H](N(CCO)c2cnc(-c3nn[n-]n3)cn2)C1 ZINC001570945763 949498574 /nfs/dbraw/zinc/49/85/74/949498574.db2.gz CUBUPMZSEATCBC-LLVKDONJSA-N -1 1 346.395 -0.144 20 0 EBADMM O=C([C@H]1CCCO1)N1CCC[C@@H]1CNc1ccnc(-c2nn[n-]n2)n1 ZINC001570946206 949523186 /nfs/dbraw/zinc/52/31/86/949523186.db2.gz OEQRORYLFYKJRD-GHMZBOCLSA-N -1 1 344.379 -0.340 20 0 EBADMM CC(C)[C@@H](O)C(=O)N1C[C@@H](C)[C@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570946511 949534230 /nfs/dbraw/zinc/53/42/30/949534230.db2.gz MTYDJGPYOUYUSZ-CKYFFXLPSA-N -1 1 346.395 -0.646 20 0 EBADMM CC1(C(=O)N[C@@H]2CN(c3cnc(-c4nnn[n-]4)cn3)C[C@H]2O)CCC1 ZINC001570946915 949552800 /nfs/dbraw/zinc/55/28/00/949552800.db2.gz SZNNJVVQCFEXAU-GHMZBOCLSA-N -1 1 344.379 -0.487 20 0 EBADMM CC1(C(=O)N[C@@H]2CN(c3cnc(-c4nn[n-]n4)cn3)C[C@H]2O)CCC1 ZINC001570946915 949552820 /nfs/dbraw/zinc/55/28/20/949552820.db2.gz SZNNJVVQCFEXAU-GHMZBOCLSA-N -1 1 344.379 -0.487 20 0 EBADMM CN(C(=O)[C@H]1CCOC1)[C@H]1CCN(c2cnc(-c3nnn[n-]3)cn2)C1 ZINC001570946935 949554838 /nfs/dbraw/zinc/55/48/38/949554838.db2.gz UASRWOROQGDOGF-QWRGUYRKSA-N -1 1 344.379 -0.270 20 0 EBADMM CN(C(=O)[C@H]1CCOC1)[C@H]1CCN(c2cnc(-c3nn[n-]n3)cn2)C1 ZINC001570946935 949554846 /nfs/dbraw/zinc/55/48/46/949554846.db2.gz UASRWOROQGDOGF-QWRGUYRKSA-N -1 1 344.379 -0.270 20 0 EBADMM O=C(N[C@@H]1CCCN(c2cnc(-c3nnn[n-]3)cn2)C1)[C@H]1CCOC1 ZINC001570946951 949554978 /nfs/dbraw/zinc/55/49/78/949554978.db2.gz UMADOQGRYHGOKK-WDEREUQCSA-N -1 1 344.379 -0.222 20 0 EBADMM O=C(N[C@@H]1CCCN(c2cnc(-c3nn[n-]n3)cn2)C1)[C@H]1CCOC1 ZINC001570946951 949554990 /nfs/dbraw/zinc/55/49/90/949554990.db2.gz UMADOQGRYHGOKK-WDEREUQCSA-N -1 1 344.379 -0.222 20 0 EBADMM O=C(N[C@@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C1)[C@H]1CCCOC1 ZINC001570947320 949565034 /nfs/dbraw/zinc/56/50/34/949565034.db2.gz XIGJPCSDTHPXHA-WDEREUQCSA-N -1 1 344.379 -0.222 20 0 EBADMM O=C(N[C@@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C1)[C@H]1CCCOC1 ZINC001570947320 949565054 /nfs/dbraw/zinc/56/50/54/949565054.db2.gz XIGJPCSDTHPXHA-WDEREUQCSA-N -1 1 344.379 -0.222 20 0 EBADMM O=C(N[C@H]1CCCN(c2cncc(-c3nnn[n-]3)n2)C1)[C@@H]1CCOC1 ZINC001570947350 949565650 /nfs/dbraw/zinc/56/56/50/949565650.db2.gz XTZZWZVFMFKTJQ-MNOVXSKESA-N -1 1 344.379 -0.222 20 0 EBADMM O=C(N[C@H]1CCCN(c2cncc(-c3nn[n-]n3)n2)C1)[C@@H]1CCOC1 ZINC001570947350 949565666 /nfs/dbraw/zinc/56/56/66/949565666.db2.gz XTZZWZVFMFKTJQ-MNOVXSKESA-N -1 1 344.379 -0.222 20 0 EBADMM O=C([C@@H]1CCCCO1)N1CCN(c2nccnc2-c2nnn[n-]2)CC1 ZINC001570947306 949567368 /nfs/dbraw/zinc/56/73/68/949567368.db2.gz XAYDLQSKLUKNOF-NSHDSACASA-N -1 1 344.379 -0.126 20 0 EBADMM O=C([C@@H]1CCCCO1)N1CCN(c2nccnc2-c2nn[n-]n2)CC1 ZINC001570947306 949567386 /nfs/dbraw/zinc/56/73/86/949567386.db2.gz XAYDLQSKLUKNOF-NSHDSACASA-N -1 1 344.379 -0.126 20 0 EBADMM O=C(N[C@H]1CCCN(c2nccnc2-c2nnn[n-]2)C1)[C@@H]1CCOC1 ZINC001570947440 949570065 /nfs/dbraw/zinc/57/00/65/949570065.db2.gz ZRFBHRHGEWKABS-MNOVXSKESA-N -1 1 344.379 -0.222 20 0 EBADMM O=C(N[C@H]1CCCN(c2nccnc2-c2nn[n-]n2)C1)[C@@H]1CCOC1 ZINC001570947440 949570070 /nfs/dbraw/zinc/57/00/70/949570070.db2.gz ZRFBHRHGEWKABS-MNOVXSKESA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1COCCO1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574712683 949586667 /nfs/dbraw/zinc/58/66/67/949586667.db2.gz SXENEUGLLSCAIC-ONGXEEELSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1COCCO1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574712683 949586685 /nfs/dbraw/zinc/58/66/85/949586685.db2.gz SXENEUGLLSCAIC-ONGXEEELSA-N -1 1 348.367 -0.669 20 0 EBADMM COC(=O)[C@]12C[C@H]1C[C@H](Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[n-]n1)C2 ZINC001570947933 949590993 /nfs/dbraw/zinc/59/09/93/949590993.db2.gz HADVTGBMGZOPBU-DHDZUQTRSA-N -1 1 347.335 -0.580 20 0 EBADMM C[C@@H](NC(=O)CCCC(N)=O)[C@@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001575270773 949602256 /nfs/dbraw/zinc/60/22/56/949602256.db2.gz GCEAPUIKGUCSOJ-RKDXNWHRSA-N -1 1 347.383 -0.383 20 0 EBADMM C[C@@H](NC(=O)CCCC(N)=O)[C@@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001575270773 949602266 /nfs/dbraw/zinc/60/22/66/949602266.db2.gz GCEAPUIKGUCSOJ-RKDXNWHRSA-N -1 1 347.383 -0.383 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1COCCO1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574713887 949614704 /nfs/dbraw/zinc/61/47/04/949614704.db2.gz YUPAPRFUAHJZBL-MWLCHTKSSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1COCCO1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574713887 949614721 /nfs/dbraw/zinc/61/47/21/949614721.db2.gz YUPAPRFUAHJZBL-MWLCHTKSSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001574957579 949622691 /nfs/dbraw/zinc/62/26/91/949622691.db2.gz BSLFVWYATRWYLL-DNJQJEMRSA-N -1 1 344.379 -0.287 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001574957579 949622708 /nfs/dbraw/zinc/62/27/08/949622708.db2.gz BSLFVWYATRWYLL-DNJQJEMRSA-N -1 1 344.379 -0.287 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)N1C[C@@H](CO)OC(C)(C)C1 ZINC001570949075 949631664 /nfs/dbraw/zinc/63/16/64/949631664.db2.gz LSYKBYXQAOKMPP-VIFPVBQESA-N -1 1 348.363 -0.112 20 0 EBADMM C[C@H](CN(C)C(=O)C[C@@H]1CCCO1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574716131 949685541 /nfs/dbraw/zinc/68/55/41/949685541.db2.gz MYNFFVKWPLKPQU-MNOVXSKESA-N -1 1 346.395 -0.094 20 0 EBADMM CN(CC1CCOCC1)C(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570950483 949685676 /nfs/dbraw/zinc/68/56/76/949685676.db2.gz IXTRKKUOFRHINB-UHFFFAOYSA-N -1 1 349.351 -0.986 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)CCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)CCO1 ZINC001570950520 949688351 /nfs/dbraw/zinc/68/83/51/949688351.db2.gz KHOPQMGUPRRBDV-IUCAKERBSA-N -1 1 349.351 -0.797 20 0 EBADMM C[C@H](NC(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)c1cn[nH]c1 ZINC001575275047 949696612 /nfs/dbraw/zinc/69/66/12/949696612.db2.gz BRIOTZZPPJWSOT-ZETCQYMHSA-N -1 1 345.323 -0.880 20 0 EBADMM C[C@@H](CNC(=O)[C@]12C[C@H]1COC2)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574958983 949698891 /nfs/dbraw/zinc/69/88/91/949698891.db2.gz XEMVQPGLJKZUJD-FZNYLWTLSA-N -1 1 330.352 -0.390 20 0 EBADMM C[C@@H](CNC(=O)[C@]12C[C@H]1COC2)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574958983 949698908 /nfs/dbraw/zinc/69/89/08/949698908.db2.gz XEMVQPGLJKZUJD-FZNYLWTLSA-N -1 1 330.352 -0.390 20 0 EBADMM C[C@H](CN(C)C(=O)CC1(O)CCC1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574716792 949708801 /nfs/dbraw/zinc/70/88/01/949708801.db2.gz QOVXCYMWYLJKST-SNVBAGLBSA-N -1 1 346.395 -0.358 20 0 EBADMM CC(C)(C)[C@H](CNc1cnc(-c2nnn[n-]2)cn1)NC(=O)C(N)=O ZINC001573818306 949733015 /nfs/dbraw/zinc/73/30/15/949733015.db2.gz KFPWFDJPAYQBIV-QMMMGPOBSA-N -1 1 333.356 -0.915 20 0 EBADMM CC(C)(C)[C@H](CNc1cnc(-c2nn[n-]n2)cn1)NC(=O)C(N)=O ZINC001573818306 949733040 /nfs/dbraw/zinc/73/30/40/949733040.db2.gz KFPWFDJPAYQBIV-QMMMGPOBSA-N -1 1 333.356 -0.915 20 0 EBADMM C[C@H](CNC(=O)[C@]1(C)CCC(=O)N1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574965690 949813975 /nfs/dbraw/zinc/81/39/75/949813975.db2.gz JLNFOPGFENDOMS-CLAHSXSESA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@H](CNC(=O)[C@]1(C)CCC(=O)N1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574965690 949813998 /nfs/dbraw/zinc/81/39/98/949813998.db2.gz JLNFOPGFENDOMS-CLAHSXSESA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@H]1CCN(C(=O)C(N)=O)C[C@H]1CNc1ccc(-c2nnn[n-]2)nn1 ZINC001576179395 949916646 /nfs/dbraw/zinc/91/66/46/949916646.db2.gz LETOEWZXXHELMQ-DTWKUNHWSA-N -1 1 345.367 -0.962 20 0 EBADMM C[C@H]1CCN(C(=O)C(N)=O)C[C@H]1CNc1ccc(-c2nn[n-]n2)nn1 ZINC001576179395 949916660 /nfs/dbraw/zinc/91/66/60/949916660.db2.gz LETOEWZXXHELMQ-DTWKUNHWSA-N -1 1 345.367 -0.962 20 0 EBADMM CC1(C(=O)N[C@@H]2CCN(c3cnc(-c4nnn[n-]4)cn3)C[C@@H]2O)CC1 ZINC001575569833 949929697 /nfs/dbraw/zinc/92/96/97/949929697.db2.gz OSAPSVGIGISWOP-KOLCDFICSA-N -1 1 344.379 -0.487 20 0 EBADMM CC1(C(=O)N[C@@H]2CCN(c3cnc(-c4nn[n-]n4)cn3)C[C@@H]2O)CC1 ZINC001575569833 949929717 /nfs/dbraw/zinc/92/97/17/949929717.db2.gz OSAPSVGIGISWOP-KOLCDFICSA-N -1 1 344.379 -0.487 20 0 EBADMM CC(C)OCC(=O)N(C)C[C@@H](C)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574727297 949958536 /nfs/dbraw/zinc/95/85/36/949958536.db2.gz RLOAMMJZRCMRDA-SNVBAGLBSA-N -1 1 334.384 -0.238 20 0 EBADMM C[C@@H](CN(C)C(=O)Cn1ccnc1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574733116 950095705 /nfs/dbraw/zinc/09/57/05/950095705.db2.gz SFWRYOXXNWAFAC-JTQLQIEISA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@H](CNC(=O)c1cnc[nH]c1=O)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574983439 950217215 /nfs/dbraw/zinc/21/72/15/950217215.db2.gz NTFAEDFKNNUKTE-SSDOTTSWSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@H](CN(C)C(=O)c1cn[nH]n1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574741375 950239230 /nfs/dbraw/zinc/23/92/30/950239230.db2.gz ANRKHLPUYSEOIE-SSDOTTSWSA-N -1 1 329.328 -0.653 20 0 EBADMM C[C@H](CN(C)C(=O)c1cn[nH]n1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574741375 950239244 /nfs/dbraw/zinc/23/92/44/950239244.db2.gz ANRKHLPUYSEOIE-SSDOTTSWSA-N -1 1 329.328 -0.653 20 0 EBADMM CC1(C(=O)NC[C@@H]2COCCN2c2cncc(-c3nnn[n-]3)n2)CC1 ZINC001575596674 950384970 /nfs/dbraw/zinc/38/49/70/950384970.db2.gz UKHXLQXGXQFABN-SNVBAGLBSA-N -1 1 344.379 -0.222 20 0 EBADMM CC1(C(=O)NC[C@@H]2COCCN2c2cncc(-c3nn[n-]n3)n2)CC1 ZINC001575596674 950384991 /nfs/dbraw/zinc/38/49/91/950384991.db2.gz UKHXLQXGXQFABN-SNVBAGLBSA-N -1 1 344.379 -0.222 20 0 EBADMM CC1(C(=O)NC[C@@H]2CN(c3ccc(-c4nnn[n-]4)nn3)CCO2)CC1 ZINC001575597218 950403901 /nfs/dbraw/zinc/40/39/01/950403901.db2.gz YWNZNHYJLXURRN-SNVBAGLBSA-N -1 1 344.379 -0.222 20 0 EBADMM CC1(C(=O)NC[C@@H]2CN(c3ccc(-c4nn[n-]n4)nn3)CCO2)CC1 ZINC001575597218 950403914 /nfs/dbraw/zinc/40/39/14/950403914.db2.gz YWNZNHYJLXURRN-SNVBAGLBSA-N -1 1 344.379 -0.222 20 0 EBADMM CC(C)CCC(=O)N(CCO)CCNc1ccnc(-c2nn[n-]n2)n1 ZINC001574170315 950530656 /nfs/dbraw/zinc/53/06/56/950530656.db2.gz FZZLZESHQZVVFI-UHFFFAOYSA-N -1 1 348.411 -0.252 20 0 EBADMM C[C@H]1[C@H](Nc2ccnc(-c3nn[n-]n3)n2)CCN1C(=O)c1ccon1 ZINC001575920552 950631935 /nfs/dbraw/zinc/63/19/35/950631935.db2.gz MLCJVPXLFWCVLS-DTWKUNHWSA-N -1 1 341.335 -0.218 20 0 EBADMM C[C@H](CN(C)C(=O)c1ccn(C)n1)Nc1nccnc1-c1nnn[n-]1 ZINC001574760024 950654701 /nfs/dbraw/zinc/65/47/01/950654701.db2.gz SUAQVCAJYDCPOK-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CN(C)C(=O)c1ccn(C)n1)Nc1nccnc1-c1nn[n-]n1 ZINC001574760024 950654708 /nfs/dbraw/zinc/65/47/08/950654708.db2.gz SUAQVCAJYDCPOK-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM CC(C)(C)[C@@H]1C[C@H]1C(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001573877727 950691152 /nfs/dbraw/zinc/69/11/52/950691152.db2.gz DVNQNTBZQNIPIN-PSASIEDQSA-N -1 1 347.379 -0.073 20 0 EBADMM C[C@H](CN(C)C(=O)c1ccnn1C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574762499 950708055 /nfs/dbraw/zinc/70/80/55/950708055.db2.gz YITKOPBGZUQHEU-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CN(C)C(=O)c1ccnn1C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574762499 950708074 /nfs/dbraw/zinc/70/80/74/950708074.db2.gz YITKOPBGZUQHEU-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM CC1(C)CC(C(=O)NC[C@H](CO)Nc2nccnc2-c2nnn[n-]2)C1 ZINC001575629374 950735915 /nfs/dbraw/zinc/73/59/15/950735915.db2.gz NMQLZTDMKDWPHE-SNVBAGLBSA-N -1 1 346.395 -0.018 20 0 EBADMM CC1(C)CC(C(=O)NC[C@H](CO)Nc2nccnc2-c2nn[n-]n2)C1 ZINC001575629374 950735930 /nfs/dbraw/zinc/73/59/30/950735930.db2.gz NMQLZTDMKDWPHE-SNVBAGLBSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cncn1C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574764827 950765228 /nfs/dbraw/zinc/76/52/28/950765228.db2.gz ZBPOGANFBILQNV-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cncn1C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574764827 950765241 /nfs/dbraw/zinc/76/52/41/950765241.db2.gz ZBPOGANFBILQNV-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](C(=O)N1C[C@H](C)Cn2ncnc21)n1cnc(-c2nn[n-]n2)n1 ZINC001576218189 950781741 /nfs/dbraw/zinc/78/17/41/950781741.db2.gz LHKLCRNVTOWCFS-JGVFFNPUSA-N -1 1 329.328 -0.706 20 0 EBADMM C[C@@H]1CCc2n[nH]cc2[C@H]1C(=O)NCCn1cnc(-c2nn[n-]n2)n1 ZINC001576220261 950823631 /nfs/dbraw/zinc/82/36/31/950823631.db2.gz WTNGPCZUFLMMKD-KCJUWKMLSA-N -1 1 342.367 -0.336 20 0 EBADMM CC1(C)C[C@]1(C)CNC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001575639432 950869877 /nfs/dbraw/zinc/86/98/77/950869877.db2.gz BMCHRBSGTPJYOK-CQSZACIVSA-N -1 1 333.352 -0.319 20 0 EBADMM C[C@H]1[C@H](Nc2nccnc2-c2nnn[n-]2)CCN1C(=O)c1cn[nH]n1 ZINC001575935750 950892881 /nfs/dbraw/zinc/89/28/81/950892881.db2.gz BBABFRDQQMWHGD-JGVFFNPUSA-N -1 1 341.339 -0.510 20 0 EBADMM C[C@H]1[C@H](Nc2nccnc2-c2nn[n-]n2)CCN1C(=O)c1cn[nH]n1 ZINC001575935750 950892897 /nfs/dbraw/zinc/89/28/97/950892897.db2.gz BBABFRDQQMWHGD-JGVFFNPUSA-N -1 1 341.339 -0.510 20 0 EBADMM CC(C)CCCC(=O)NC[C@@H](CO)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574189593 950894246 /nfs/dbraw/zinc/89/42/46/950894246.db2.gz OJSLGFYQCRZQOJ-NSHDSACASA-N -1 1 348.411 -0.206 20 0 EBADMM CC1(C)CC(NC(=O)CCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)C1 ZINC001575643096 950962855 /nfs/dbraw/zinc/96/28/55/950962855.db2.gz XSWCSIDYEMMVAR-UHFFFAOYSA-N -1 1 333.352 -0.176 20 0 EBADMM C[C@H]1C[C@@H](C(=O)N(C)CCN(C)c2ccc(-c3nnn[n-]3)nn2)CO1 ZINC001575938420 950982220 /nfs/dbraw/zinc/98/22/20/950982220.db2.gz LFKOWRZMDWGQAS-WDEREUQCSA-N -1 1 346.395 -0.024 20 0 EBADMM C[C@H]1C[C@@H](C(=O)N(C)CCN(C)c2ccc(-c3nn[n-]n3)nn2)CO1 ZINC001575938420 950982238 /nfs/dbraw/zinc/98/22/38/950982238.db2.gz LFKOWRZMDWGQAS-WDEREUQCSA-N -1 1 346.395 -0.024 20 0 EBADMM C[C@H](CNC(=O)c1ccc(=O)[nH]c1)Nc1nccnc1-c1nnn[n-]1 ZINC001575017417 950996568 /nfs/dbraw/zinc/99/65/68/950996568.db2.gz FPBMAIJJJQYYMT-MRVPVSSYSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@H](CNC(=O)c1ccc(=O)[nH]c1)Nc1nccnc1-c1nn[n-]n1 ZINC001575017417 950996581 /nfs/dbraw/zinc/99/65/81/950996581.db2.gz FPBMAIJJJQYYMT-MRVPVSSYSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@@H](CNC(=O)c1ccc(=O)[nH]n1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575019080 951031065 /nfs/dbraw/zinc/03/10/65/951031065.db2.gz RCMLQJOVLRJGDV-ZETCQYMHSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@@H](CNC(=O)c1ccc(=O)[nH]n1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575019080 951031083 /nfs/dbraw/zinc/03/10/83/951031083.db2.gz RCMLQJOVLRJGDV-ZETCQYMHSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@H](CNC(=O)c1cccn1C)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575031859 951208052 /nfs/dbraw/zinc/20/80/52/951208052.db2.gz KQHQSEDKBFHVNF-SECBINFHSA-N -1 1 327.352 -0.353 20 0 EBADMM C[C@H](CNC(=O)c1ccnnc1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575033764 951226075 /nfs/dbraw/zinc/22/60/75/951226075.db2.gz AEYASRPBNKWUHX-MRVPVSSYSA-N -1 1 326.324 -0.901 20 0 EBADMM C[C@H](CNC(=O)c1ccncn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575033978 951234005 /nfs/dbraw/zinc/23/40/05/951234005.db2.gz FVQVVARYPGWNMV-MRVPVSSYSA-N -1 1 326.324 -0.901 20 0 EBADMM C[C@H](CNC(=O)c1ccnnc1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001575035029 951262727 /nfs/dbraw/zinc/26/27/27/951262727.db2.gz SRCHQNSGAPFSHK-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@H](CNC(=O)c1ccnnc1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575035029 951262740 /nfs/dbraw/zinc/26/27/40/951262740.db2.gz SRCHQNSGAPFSHK-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1ccn(C)n1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575035225 951270775 /nfs/dbraw/zinc/27/07/75/951270775.db2.gz HXAXCIMRTGXQKP-QMMMGPOBSA-N -1 1 328.340 -0.958 20 0 EBADMM C[C@H](CNC(=O)c1cn[nH]c(=O)c1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575043392 951388694 /nfs/dbraw/zinc/38/86/94/951388694.db2.gz IGXZBHXSVBRUGJ-SSDOTTSWSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@H](CNC(=O)c1cn[nH]c(=O)c1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575043392 951388702 /nfs/dbraw/zinc/38/87/02/951388702.db2.gz IGXZBHXSVBRUGJ-SSDOTTSWSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@@H](CNC(=O)c1cnco1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575046640 951443897 /nfs/dbraw/zinc/44/38/97/951443897.db2.gz QBKXEMYRJUBZJR-QMMMGPOBSA-N -1 1 329.324 -0.101 20 0 EBADMM C[C@@H](CNC(=O)c1cnco1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575046640 951443904 /nfs/dbraw/zinc/44/39/04/951443904.db2.gz QBKXEMYRJUBZJR-QMMMGPOBSA-N -1 1 329.324 -0.101 20 0 EBADMM C[C@H](CNC(=O)c1cncn1C)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575047009 951453284 /nfs/dbraw/zinc/45/32/84/951453284.db2.gz XDQPHYCUTYMVMZ-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1cncn1C)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575047009 951453290 /nfs/dbraw/zinc/45/32/90/951453290.db2.gz XDQPHYCUTYMVMZ-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)c1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575052365 951461976 /nfs/dbraw/zinc/46/19/76/951461976.db2.gz HJFIZZVGXNHTDG-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)c1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575052365 951461983 /nfs/dbraw/zinc/46/19/83/951461983.db2.gz HJFIZZVGXNHTDG-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@@H](CNC(=O)c1cnsn1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575055386 951503945 /nfs/dbraw/zinc/50/39/45/951503945.db2.gz SBRAXZZUDXCHHG-ZETCQYMHSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@@H](CNC(=O)c1cnsn1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575055386 951503951 /nfs/dbraw/zinc/50/39/51/951503951.db2.gz SBRAXZZUDXCHHG-ZETCQYMHSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@H](CNc1[nH]c(=O)n(C)c(=O)c1-c1nn[n-]n1)Cn1cccn1 ZINC001575117069 951975047 /nfs/dbraw/zinc/97/50/47/951975047.db2.gz KZCMIUABKQBQEM-MRVPVSSYSA-N -1 1 331.340 -0.389 20 0 EBADMM O=C([O-])CS(=O)(=O)CCNCCN1C[C@@H]2CCCCN2C1=O ZINC001595125163 952007888 /nfs/dbraw/zinc/00/78/88/952007888.db2.gz NLOTYUYVONWBSK-NSHDSACASA-N -1 1 333.410 -0.635 20 0 EBADMM C[C@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)[C@@H]1COC(=O)N1 ZINC001575132285 952093233 /nfs/dbraw/zinc/09/32/33/952093233.db2.gz FBUVQMGJAFUEIB-APPZFPTMSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)[C@@H]1COC(=O)N1 ZINC001575132285 952093244 /nfs/dbraw/zinc/09/32/44/952093244.db2.gz FBUVQMGJAFUEIB-APPZFPTMSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)[C@@H]1CCCCO1 ZINC001575140746 952143510 /nfs/dbraw/zinc/14/35/10/952143510.db2.gz FVOPHWXIFYEWCZ-MNOVXSKESA-N -1 1 346.395 -0.094 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1ccn(C)c1 ZINC001575144204 952162591 /nfs/dbraw/zinc/16/25/91/952162591.db2.gz GYTMBIMGIAKVBS-JTQLQIEISA-N -1 1 341.379 -0.010 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1ccn(C)n1 ZINC001575144752 952171196 /nfs/dbraw/zinc/17/11/96/952171196.db2.gz LBDBRAPMDCKLKS-SECBINFHSA-N -1 1 342.367 -0.615 20 0 EBADMM C[C@@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001575154170 952216000 /nfs/dbraw/zinc/21/60/00/952216000.db2.gz PNBIYODFFSCIQP-QISWUMQESA-N -1 1 344.379 -0.192 20 0 EBADMM C[C@@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001575154170 952216006 /nfs/dbraw/zinc/21/60/06/952216006.db2.gz PNBIYODFFSCIQP-QISWUMQESA-N -1 1 344.379 -0.192 20 0 EBADMM C[C@@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)C1=COCCO1 ZINC001575154552 952225045 /nfs/dbraw/zinc/22/50/45/952225045.db2.gz SFYQQVRRLIIKTH-VIFPVBQESA-N -1 1 346.351 -0.196 20 0 EBADMM C[C@@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)C1=COCCO1 ZINC001575154552 952225057 /nfs/dbraw/zinc/22/50/57/952225057.db2.gz SFYQQVRRLIIKTH-VIFPVBQESA-N -1 1 346.351 -0.196 20 0 EBADMM C[C@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)Cc1ncc[nH]1 ZINC001575155127 952235507 /nfs/dbraw/zinc/23/55/07/952235507.db2.gz WNLNXFXLHVBUGG-SECBINFHSA-N -1 1 342.367 -0.119 20 0 EBADMM C[C@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)C(C)(C)C(N)=O ZINC001575157355 952265031 /nfs/dbraw/zinc/26/50/31/952265031.db2.gz ZMUYEOFELAFCKP-MRVPVSSYSA-N -1 1 347.383 -0.573 20 0 EBADMM C[C@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)C(C)(C)C(N)=O ZINC001575157355 952265038 /nfs/dbraw/zinc/26/50/38/952265038.db2.gz ZMUYEOFELAFCKP-MRVPVSSYSA-N -1 1 347.383 -0.573 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)c1cnon1 ZINC001575174655 952376158 /nfs/dbraw/zinc/37/61/58/952376158.db2.gz BRIUOPRPTWFXGB-ZETCQYMHSA-N -1 1 330.312 -0.388 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)c1cnon1 ZINC001575174655 952376162 /nfs/dbraw/zinc/37/61/62/952376162.db2.gz BRIUOPRPTWFXGB-ZETCQYMHSA-N -1 1 330.312 -0.388 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)c1nc[nH]n1 ZINC001575176341 952392772 /nfs/dbraw/zinc/39/27/72/952392772.db2.gz CUUXHCHQZMEPIZ-ZETCQYMHSA-N -1 1 329.328 -0.653 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)c1nc[nH]n1 ZINC001575176341 952392779 /nfs/dbraw/zinc/39/27/79/952392779.db2.gz CUUXHCHQZMEPIZ-ZETCQYMHSA-N -1 1 329.328 -0.653 20 0 EBADMM C[C@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)c1cnn(C)n1 ZINC001575178257 952406233 /nfs/dbraw/zinc/40/62/33/952406233.db2.gz LRZGGOXHZDXAOR-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)c1cnn(C)n1 ZINC001575178257 952406240 /nfs/dbraw/zinc/40/62/40/952406240.db2.gz LRZGGOXHZDXAOR-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@](Cn1cccn1)(NC(=O)c1coc(-c2nnn[n-]2)c1)C(N)=O ZINC001575206587 952529397 /nfs/dbraw/zinc/52/93/97/952529397.db2.gz KFRQRZMZUQMANE-ZDUSSCGKSA-N -1 1 330.308 -0.670 20 0 EBADMM C[C@@](Cn1cccn1)(NC(=O)c1coc(-c2nn[n-]n2)c1)C(N)=O ZINC001575206587 952529403 /nfs/dbraw/zinc/52/94/03/952529403.db2.gz KFRQRZMZUQMANE-ZDUSSCGKSA-N -1 1 330.308 -0.670 20 0 EBADMM C[C@@H](Cc1cnn(C)c1)NC(=O)NCCn1cnc(-c2nn[n-]n2)n1 ZINC001575207455 952533552 /nfs/dbraw/zinc/53/35/52/952533552.db2.gz PYLJTOLUMGYZSQ-VIFPVBQESA-N -1 1 345.371 -0.878 20 0 EBADMM C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CCS(=O)(=O)C[C@@H]2C(=O)[O-])C1 ZINC001589413041 954532788 /nfs/dbraw/zinc/53/27/88/954532788.db2.gz OEYZAXRGXMBNDU-DVVUODLYSA-N -1 1 341.389 -0.214 20 0 EBADMM CN1C[C@H](O)C[C@@]1(C)C(=O)NC[C@@H]1CCC2(CC(C(=O)[O-])C2)O1 ZINC001593773356 954561802 /nfs/dbraw/zinc/56/18/02/954561802.db2.gz CVVFUMSWJQNYBL-UOSFWCEJSA-N -1 1 326.393 -0.030 20 0 EBADMM Cn1cc(CC[N@H+]2CCC[C@H]([N-]C(=O)C(F)(F)F)[C@H]2C(=O)[O-])nn1 ZINC001594541746 955659930 /nfs/dbraw/zinc/65/99/30/955659930.db2.gz LLCOVDYQGDBEOR-UWVGGRQHSA-N -1 1 349.313 -0.046 20 0 EBADMM COC(=O)c1ncsc1S(=O)(=O)N[C@@]1(C(=O)[O-])CCOC1 ZINC001593897569 955835138 /nfs/dbraw/zinc/83/51/38/955835138.db2.gz IFMDUVHJAJJWFF-JTQLQIEISA-N -1 1 336.347 -0.548 20 0 EBADMM C[C@@H](NC(=O)N1C[C@H]2COC[C@@]2(C(=O)[O-])C1)[C@H]1CN(C)CCN1C ZINC001589103366 956022388 /nfs/dbraw/zinc/02/23/88/956022388.db2.gz NLTANUWXBSHZLA-IATRGZMQSA-N -1 1 340.424 -0.637 20 0 EBADMM Cn1nccc1[C@H]1c2[nH]cnc2CCN1C(=O)[C@@H]1CC(C(=O)[O-])=NO1 ZINC001594596314 956093092 /nfs/dbraw/zinc/09/30/92/956093092.db2.gz DLQKCNCDKVZDEY-AAEUAGOBSA-N -1 1 344.331 -0.153 20 0 EBADMM NC(=O)[C@@H]1CCCN1CCCNC(=O)[C@@H]1CO[C@H](CCC(=O)[O-])C1 ZINC001594647595 956445148 /nfs/dbraw/zinc/44/51/48/956445148.db2.gz WOUZNMQPTFYJHE-XQQFMLRXSA-N -1 1 341.408 -0.288 20 0 EBADMM COC[C@@]1(C(=O)[O-])CCN(C(=O)C(=O)N[C@H]2CCN(C3CC3)C2)C1 ZINC001593959090 956469140 /nfs/dbraw/zinc/46/91/40/956469140.db2.gz QZATYDKMSLFYDA-MEDUHNTESA-N -1 1 339.392 -0.711 20 0 EBADMM CCN1CCN(c2ccc(CNC(=O)[C@H](OC)C(=O)[O-])cn2)CC1 ZINC001331933675 957738114 /nfs/dbraw/zinc/73/81/14/957738114.db2.gz HJWCCNWQMSZNCL-AWEZNQCLSA-N -1 1 336.392 -0.061 20 0 EBADMM C[C@@H]1CN(C2CCOCC2)CCN1C(=O)[C@@H]1CC(C(=O)[O-])=NO1 ZINC001594221299 959378859 /nfs/dbraw/zinc/37/88/59/959378859.db2.gz SEMKZVAOJMCRDS-MFKMUULPSA-N -1 1 325.365 -0.072 20 0 EBADMM CS(C)(=O)=NS(=O)(=O)N[C@H]1CCCCN(CC(=O)[O-])C1=O ZINC001604948410 972519900 /nfs/dbraw/zinc/51/99/00/972519900.db2.gz KTIJGDXKVOGBQC-QMMMGPOBSA-N -1 1 341.411 -0.986 20 0 EBADMM CN1CCN(CCCS(=O)(=O)N[C@H]2CCC[C@H]2C(=O)[O-])CC1 ZINC001574030132 962002397 /nfs/dbraw/zinc/00/23/97/962002397.db2.gz MTSYEYXJXVMAMM-OLZOCXBDSA-N -1 1 333.454 -0.203 20 0 EBADMM O=C([O-])CC[C@@H]1CC[C@H](C(=O)N2CCOC[C@H]2c2nn[nH]n2)O1 ZINC001571167323 962004424 /nfs/dbraw/zinc/00/44/24/962004424.db2.gz CIWJVSGLIZCKDA-LPEHRKFASA-N -1 1 325.325 -0.488 20 0 EBADMM O=C([O-])[C@H]1CC(C(=O)NCc2n[nH]c(CO)n2)=NN1c1ccccc1 ZINC001574580340 962103525 /nfs/dbraw/zinc/10/35/25/962103525.db2.gz MUNBSFAVROCUGJ-LLVKDONJSA-N -1 1 344.331 -0.367 20 0 EBADMM C[C@@H](CC(=O)[O-])[NH+]1CCN(C(=O)CSc2n[nH]c(=O)[n-]2)CC1 ZINC001571186193 962209879 /nfs/dbraw/zinc/20/98/79/962209879.db2.gz LSYXDQBVULCQDQ-QMMMGPOBSA-N -1 1 329.382 -0.390 20 0 EBADMM C[C@@H](O)CN1CCN(C(=O)NC[C@H]2CCO[C@H]2C(=O)[O-])[C@H](C)C1 ZINC001571186774 962217342 /nfs/dbraw/zinc/21/73/42/962217342.db2.gz WBSCGWIVGRUZIW-FDYHWXHSSA-N -1 1 329.397 -0.427 20 0 EBADMM COC(=O)[C@]12C[C@H]1C[C@H](NS(=O)(=O)c1cc(C(=O)[O-])no1)C2 ZINC001571187718 962226465 /nfs/dbraw/zinc/22/64/65/962226465.db2.gz LWYKMGPYNINDFQ-LTMDPNIMSA-N -1 1 330.318 -0.007 20 0 EBADMM Cc1nn[nH]c1C(=O)N1C[C@H](Nc2ccnc(C(=O)[O-])n2)C[C@@H]1C ZINC001571195748 962303241 /nfs/dbraw/zinc/30/32/41/962303241.db2.gz DZMZARUITKSBKY-IONNQARKSA-N -1 1 331.336 -0.262 20 0 EBADMM O=C([O-])c1cnc(N[C@@H]2CCN(C(=O)CCc3nc[nH]n3)C2)nc1 ZINC001571197263 962329407 /nfs/dbraw/zinc/32/94/07/962329407.db2.gz ZIYHZLDNQZUZBQ-SNVBAGLBSA-N -1 1 331.336 -0.062 20 0 EBADMM Cn1nnc(C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])c1C(F)(F)F ZINC001571202352 962380088 /nfs/dbraw/zinc/38/00/88/962380088.db2.gz MALXNAQASCFTDW-LURJTMIESA-N -1 1 332.242 -0.017 20 0 EBADMM Cc1nn[nH]c1C(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001571211207 962454193 /nfs/dbraw/zinc/45/41/93/962454193.db2.gz XEOGEAXZRUYZJB-LMLFDSFASA-N -1 1 333.348 -0.351 20 0 EBADMM CN1CCN(c2cc(C(=O)N[C@H]3CCO[C@H]3C(=O)[O-])ccn2)CC1 ZINC001571221818 962551905 /nfs/dbraw/zinc/55/19/05/962551905.db2.gz VALXRILWIPLUQE-GXTWGEPZSA-N -1 1 334.376 -0.195 20 0 EBADMM O=C([O-])[C@H]1C[C@H]1C(=O)N[C@H]1C[C@H](NCc2nnc3n2CCOC3)C1 ZINC001571223346 962559978 /nfs/dbraw/zinc/55/99/78/962559978.db2.gz GIDSELPGESLRBM-DBIOUOCHSA-N -1 1 335.364 -0.734 20 0 EBADMM Cn1cc(S(=O)(=O)NCCN2CCN(C)CC2)cc1C(=O)[O-] ZINC000314590816 963773423 /nfs/dbraw/zinc/77/34/23/963773423.db2.gz QUJHPOGWHDQYDP-UHFFFAOYSA-N -1 1 330.410 -0.751 20 0 EBADMM Cc1ccc(S(=O)(=O)N(CCN(C)C)CC(=O)[O-])cc1C(N)=O ZINC000322566256 968019744 /nfs/dbraw/zinc/01/97/44/968019744.db2.gz JSLCBUJESPGDGR-UHFFFAOYSA-N -1 1 343.405 -0.269 20 0 EBADMM O=C([O-])CCC1CCN(C(=O)NCC(=O)N2CCNCC2)CC1 ZINC000320692526 970700033 /nfs/dbraw/zinc/70/00/33/970700033.db2.gz WTKOIEGMPFTTKQ-UHFFFAOYSA-N -1 1 326.397 -0.295 20 0 EBADMM CN(CCC(=O)[O-])C(=O)N[C@@H]1CCC[N@@H+](CCN2CCOCC2)C1 ZINC001604133727 972540393 /nfs/dbraw/zinc/54/03/93/972540393.db2.gz ASWKZRQWWQQRFU-CQSZACIVSA-N -1 1 342.440 -0.101 20 0 EBADMM CN(CCC(=O)[O-])C(=O)N[C@@H]1CCCN(CCN2CCOCC2)C1 ZINC001604133727 972540401 /nfs/dbraw/zinc/54/04/01/972540401.db2.gz ASWKZRQWWQQRFU-CQSZACIVSA-N -1 1 342.440 -0.101 20 0 EBADMM CN1CCN(c2ncccc2CNC(=O)[C@@H]2CC(C(=O)[O-])=NO2)CC1 ZINC001604227706 972726008 /nfs/dbraw/zinc/72/60/08/972726008.db2.gz KYUMQFSLVSASTK-ZDUSSCGKSA-N -1 1 347.375 -0.321 20 0 EBADMM O=C([O-])[C@@H]1CS(=O)(=O)CCN1C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC001606053746 973160966 /nfs/dbraw/zinc/16/09/66/973160966.db2.gz LMWXQRUAWDTTIG-NSHDSACASA-N -1 1 339.329 -0.007 20 0 EBADMM C[C@H]1CN(CCNS(=O)(=O)c2cnn(C)c2)CC[C@H]1C(=O)[O-] ZINC001603461525 973302473 /nfs/dbraw/zinc/30/24/73/973302473.db2.gz PUJNNRYXGCETOA-CMPLNLGQSA-N -1 1 330.410 -0.259 20 0 EBADMM O=C([O-])CN1CC[C@H](N2CC[C@@H](C(=O)NCC(F)(F)F)C2)C1=O ZINC001606232369 973498847 /nfs/dbraw/zinc/49/88/47/973498847.db2.gz SUEXOCYYOOFLIG-BDAKNGLRSA-N -1 1 337.298 -0.328 20 0 EBADMM O=C([O-])CS(=O)(=O)CCN[C@H]1CCCc2c1cnn2CCO ZINC001606296534 973702029 /nfs/dbraw/zinc/70/20/29/973702029.db2.gz IJQDZTUUFOZGSC-NSHDSACASA-N -1 1 331.394 -0.658 20 0 EBADMM CN(C)CCN(CC(=O)[O-])S(=O)(=O)c1ccc2c(c1)nnn2C ZINC001604067413 974603322 /nfs/dbraw/zinc/60/33/22/974603322.db2.gz KKKLNPWPWAKJCP-UHFFFAOYSA-N -1 1 341.393 -0.395 20 0 EBADMM CN(C)CCN(CC(=O)[O-])C(=O)c1cn(C)c2c(cnn2C)c1=O ZINC001604067492 974604268 /nfs/dbraw/zinc/60/42/68/974604268.db2.gz RZEDHJTXQZDRAB-UHFFFAOYSA-N -1 1 335.364 -0.640 20 0 EBADMM CC(C)[C@H]([NH2+]CCC[N-]S(=O)(=O)N=S(C)(C)=O)C(=O)[O-] ZINC001591902058 976296636 /nfs/dbraw/zinc/29/66/36/976296636.db2.gz SMBRJNCDUVETPI-VIFPVBQESA-N -1 1 329.444 -0.363 20 0 EBADMM C[C@@H](CCCNc1ccnc(C(=O)[O-])n1)NC(=O)Cc1nnc[nH]1 ZINC001592486681 978269532 /nfs/dbraw/zinc/26/95/32/978269532.db2.gz BAQOBECUKWWBRO-VIFPVBQESA-N -1 1 333.352 -0.346 20 0 EBADMM C[C@H](CN1CCN(C)CC1)NS(=O)(=O)c1cc(C(=O)[O-])ccn1 ZINC001592614952 978573290 /nfs/dbraw/zinc/57/32/90/978573290.db2.gz CSZVHKTXUJSMAX-LLVKDONJSA-N -1 1 342.421 -0.306 20 0 EBADMM C[C@@H](CNC(=O)CCc1nc[nH]n1)N(C)c1ncc(C(=O)[O-])cn1 ZINC001592650592 978631720 /nfs/dbraw/zinc/63/17/20/978631720.db2.gz JMZDWQRZNVKWPS-VIFPVBQESA-N -1 1 333.352 -0.133 20 0 EBADMM C[C@H](CNS(=O)(=O)c1cncc(C(=O)[O-])c1)N1CCN(C)CC1 ZINC001592722918 979228099 /nfs/dbraw/zinc/22/80/99/979228099.db2.gz RPZRSIUSLHTRDL-LLVKDONJSA-N -1 1 342.421 -0.306 20 0 EBADMM C[C@](CNc1ccnc(C(=O)[O-])n1)(NC(=O)c1cnn[nH]1)C1CC1 ZINC001592728861 979239118 /nfs/dbraw/zinc/23/91/18/979239118.db2.gz GXTRTIITEXPPTJ-CQSZACIVSA-N -1 1 331.336 -0.275 20 0 EBADMM C[C@](Cn1cccn1)(NCc1coc(S(N)(=O)=O)c1)C(=O)[O-] ZINC001592926636 979950499 /nfs/dbraw/zinc/95/04/99/979950499.db2.gz ULWKOHZGWLKJCK-GFCCVEGCSA-N -1 1 328.350 -0.243 20 0 EBADMM CC[C@H](C)[C@@H](NC(=O)CN1CCN(CC(=O)[O-])CC1)C(=O)OC ZINC001594894259 982318137 /nfs/dbraw/zinc/31/81/37/982318137.db2.gz NZYYJEOWLSKKMZ-SMDDNHRTSA-N -1 1 329.397 -0.608 20 0 EBADMM CCN(CC)C(=O)CN1CCC(NC(=O)COCC(=O)[O-])CC1 ZINC001596247699 983648091 /nfs/dbraw/zinc/64/80/91/983648091.db2.gz RCQAENOKEAQIFL-UHFFFAOYSA-N -1 1 329.397 -0.463 20 0 EBADMM CCOC(=O)[C@@H]1CNCCN1CC(=O)N1CCC(C(=O)[O-])CC1 ZINC001596585226 984508573 /nfs/dbraw/zinc/50/85/73/984508573.db2.gz KFWAQJJQSOQJOB-LBPRGKRZSA-N -1 1 327.381 -0.854 20 0 EBADMM CCOC(=O)N1CC[C@@H](NS(=O)(=O)c2cc(C(=O)[O-])no2)C1 ZINC001596647159 984663245 /nfs/dbraw/zinc/66/32/45/984663245.db2.gz UBTSDCCQCXTKKY-SSDOTTSWSA-N -1 1 333.322 -0.118 20 0 EBADMM C[C@H]1CN(C(=O)C(=O)N2CC[C@H](N3CC=CC3)C2)C[C@@H](C(=O)[O-])O1 ZINC001599910242 984975611 /nfs/dbraw/zinc/97/56/11/984975611.db2.gz ORAUZAAENLQEPS-AVGNSLFASA-N -1 1 337.376 -0.840 20 0 EBADMM C[C@H]1CN(C(=O)c2cc(S(N)(=O)=O)ccc2O)C[C@@H](C(=O)[O-])O1 ZINC001599924556 985182350 /nfs/dbraw/zinc/18/23/50/985182350.db2.gz NREMTVVXRPQGAN-CPCISQLKSA-N -1 1 344.345 -0.646 20 0 EBADMM C[C@]12CN([C@@H]3CCCN(CCC(=O)[O-])C3=O)C[C@H]1CS(=O)(=O)C2 ZINC001599938596 985427001 /nfs/dbraw/zinc/42/70/01/985427001.db2.gz YJNUKRZQKOFPHG-YWPYICTPSA-N -1 1 344.433 -0.181 20 0 EBADMM C[C@@H]1CN(C2CC2)C[C@@H]1NC(=O)C(=O)NC[C@H]1CCO[C@H]1C(=O)[O-] ZINC001594505921 985716293 /nfs/dbraw/zinc/71/62/93/985716293.db2.gz JKPBRMLQCQEQRY-VCDKRKBESA-N -1 1 339.392 -0.809 20 0 EBADMM CCOCCN(CC)C(=O)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC001596924049 985996649 /nfs/dbraw/zinc/99/66/49/985996649.db2.gz CTTUBZURQOIVKT-UHFFFAOYSA-N -1 1 343.424 -0.121 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cn([C@@H]2CC[C@H]2O)nn1 ZINC001594523080 986021455 /nfs/dbraw/zinc/02/14/55/986021455.db2.gz ZPSSPGBGMLIFIW-RAIGVLPGSA-N -1 1 337.380 -0.405 20 0 EBADMM C[C@@H]1CN(CCNC(=O)N2CCN(CCC(=O)[O-])C[C@@H]2C)CCO1 ZINC001594527849 986087950 /nfs/dbraw/zinc/08/79/50/986087950.db2.gz PAMRNZZUIMBDGP-UONOGXRCSA-N -1 1 342.440 -0.103 20 0 EBADMM C[C@H](C(=O)NCc1ccc(C(=O)[O-])cc1)N1C[C@@H](CO)[C@H](CO)C1 ZINC001589414319 986630221 /nfs/dbraw/zinc/63/02/21/986630221.db2.gz FXECUXUFXBCZJH-UGFHNGPFSA-N -1 1 336.388 -0.078 20 0 EBADMM C[C@@H](C(=O)[O-])c1cccc(NC(=O)C(=O)NCCN2CC[C@@H](O)C2)c1 ZINC001589522454 987178244 /nfs/dbraw/zinc/17/82/44/987178244.db2.gz KRTBYFZFXXGKCT-BXUZGUMPSA-N -1 1 349.387 -0.004 20 0 EBADMM CSCC[C@H](NC(N)=O)C(=O)N1CCN(CCC(=O)[O-])[C@H](C)C1 ZINC001599801553 988185462 /nfs/dbraw/zinc/18/54/62/988185462.db2.gz NGTKUDOYZMDTIW-MNOVXSKESA-N -1 1 346.453 -0.216 20 0 EBADMM C[C@@H]1CN(C(=O)C(=O)NC[C@@H]2CCN2C(C)(C)C)C[C@@H](C(=O)[O-])O1 ZINC001599851073 989012782 /nfs/dbraw/zinc/01/27/82/989012782.db2.gz MPUMYZMVPRPXRK-WOPDTQHZSA-N -1 1 341.408 -0.324 20 0 EBADMM CC(C)(CNS(=O)(=O)c1cc(C(=O)[O-])no1)CS(C)(=O)=O ZINC001590766221 990570587 /nfs/dbraw/zinc/57/05/87/990570587.db2.gz OKFGISZICXQHFT-UHFFFAOYSA-N -1 1 340.379 -0.278 20 0 EBADMM CN(C)CC(=O)N1CCN(S(=O)(=O)CC(C)(C)C(=O)[O-])CC1 ZINC001598197083 990804965 /nfs/dbraw/zinc/80/49/65/990804965.db2.gz SCSSDLZAHAVYTA-UHFFFAOYSA-N -1 1 335.426 -0.867 20 0 EBADMM CN(C)S(=O)(=O)c1ccc(CN2CC[C@@](O)(C(=O)[O-])C2)cc1 ZINC001598271422 991141073 /nfs/dbraw/zinc/14/10/73/991141073.db2.gz UQLHDKGOHLSIGO-AWEZNQCLSA-N -1 1 328.390 -0.042 20 0 EBADMM CN(CC(=O)N1CCSC[C@@H]1C(=O)[O-])c1ncnc2[nH]cnc21 ZINC001598380312 991579690 /nfs/dbraw/zinc/57/96/90/991579690.db2.gz CCVARNCYSSHUGE-MRVPVSSYSA-N -1 1 336.377 -0.182 20 0 EBADMM CC(C)CC(=O)N[C@H](Cc1cnc[nH]1)C(=O)NC[C@H](O)CC(=O)[O-] ZINC001591108396 991749211 /nfs/dbraw/zinc/74/92/11/991749211.db2.gz DHTKFIYBXPRNHF-VXGBXAGGSA-N -1 1 340.380 -0.565 20 0 EBADMM C[S@](=O)(=NS(=O)(=O)NC[C@H](O)CC(=O)[O-])c1ccccc1 ZINC001599743584 991897241 /nfs/dbraw/zinc/89/72/41/991897241.db2.gz IFOISMIIAMOXJA-GSDQLPOLSA-N -1 1 336.391 -0.187 20 0 EBADMM CS(=O)(=O)Nc1ccc(C(=O)N2CCOC[C@H]2C(=O)[O-])cn1 ZINC001599759860 992105605 /nfs/dbraw/zinc/10/56/05/992105605.db2.gz CNIWCEMDVAWLRN-VIFPVBQESA-N -1 1 329.334 -0.621 20 0 EBADMM CS(=O)(=O)[C@H]1C[C@]1(CNC(=O)[C@@H]1CCc2nc[nH]c2C1)C(=O)[O-] ZINC001599762861 992146107 /nfs/dbraw/zinc/14/61/07/992146107.db2.gz QPSURYJWZYAZJT-FDLBOYPASA-N -1 1 341.389 -0.481 20 0 EBADMM CS(=O)(=O)c1cc(NC(=O)[C@H]2CNCCO2)cc(C(=O)[O-])c1 ZINC001599766817 992192092 /nfs/dbraw/zinc/19/20/92/992192092.db2.gz WUPVVYFSPNYLOL-LLVKDONJSA-N -1 1 328.346 -0.285 20 0 EBADMM CS(C)(=O)=NS(=O)(=O)CCNC[C@@H]1[C@H](C(=O)[O-])C1(F)F ZINC001599781726 992372924 /nfs/dbraw/zinc/37/29/24/992372924.db2.gz SZYVVZOOTOUYBK-RNFRBKRXSA-N -1 1 334.366 -0.401 20 0 EBADMM CN(C[C@@H]1CCCO1)C(=O)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC001598503300 992464921 /nfs/dbraw/zinc/46/49/21/992464921.db2.gz KAZVJYLEGQXZEB-LBPRGKRZSA-N -1 1 341.408 -0.369 20 0 EBADMM CC(C)C[C@H](NC(=O)CNC(=O)c1[nH]nc2c1CNCC2)C(=O)[O-] ZINC001591458697 992571971 /nfs/dbraw/zinc/57/19/71/992571971.db2.gz XPYMUDFBZXBSEU-NSHDSACASA-N -1 1 337.380 -0.599 20 0 EBADMM CN1C(=O)N[C@H](c2ccccc2)C(C(=O)[O-])=C1Cn1nnnc1CN ZINC001598555485 993051692 /nfs/dbraw/zinc/05/16/92/993051692.db2.gz NPUZESPWRXCCEK-CYBMUJFWSA-N -1 1 343.347 -0.133 20 0 EBADMM C[C@H](NC(=O)N1CCO[C@@H](CC(=O)[O-])C1)[C@H]1CN(C)CCN1C ZINC001593062219 993574097 /nfs/dbraw/zinc/57/40/97/993574097.db2.gz FUKUOOWTIGCVGW-RWMBFGLXSA-N -1 1 328.413 -0.494 20 0 EBADMM CN1CCN(C(=O)C(=O)Nc2ccn3ccnc3c2)C[C@H](C(=O)[O-])C1 ZINC001598603834 993608934 /nfs/dbraw/zinc/60/89/34/993608934.db2.gz MJSKIEXMXHYKEP-LLVKDONJSA-N -1 1 345.359 -0.252 20 0 EBADMM CN1CCN(C)[C@@H](CNC(=O)C(=O)N2CCC(CC(=O)[O-])CC2)C1 ZINC001598612253 993800368 /nfs/dbraw/zinc/80/03/68/993800368.db2.gz QGWQLRPGFVYSLO-ZDUSSCGKSA-N -1 1 340.424 -0.938 20 0 EBADMM CN1CCN(C)[C@@H](CNC(=O)C(=O)Nc2ccsc2C(=O)[O-])C1 ZINC001598612434 993804880 /nfs/dbraw/zinc/80/48/80/993804880.db2.gz SBEBKTILICAYQR-VIFPVBQESA-N -1 1 340.405 -0.253 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)c2cncc(C=O)c2)CC1 ZINC001598616947 993903500 /nfs/dbraw/zinc/90/35/00/993903500.db2.gz MDHMAXRBBCTUCR-UHFFFAOYSA-N -1 1 334.376 -0.332 20 0 EBADMM C[C@H](NC(=O)c1n[nH]c2ccccc21)C(=O)NCC[C@H](O)C(=O)[O-] ZINC001593140852 993924732 /nfs/dbraw/zinc/92/47/32/993924732.db2.gz QMYVYOSWZIBEJG-KWQFWETISA-N -1 1 334.332 -0.367 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])S(=O)(=O)c2ccoc2)CC1 ZINC001598618499 993942941 /nfs/dbraw/zinc/94/29/41/993942941.db2.gz IKIABNJQGMHHPP-UHFFFAOYSA-N -1 1 331.394 -0.398 20 0 EBADMM CN1CCN(c2cccc(C(=O)N3C[C@H](O)C[C@@H]3C(=O)[O-])n2)CC1 ZINC001598625143 994076255 /nfs/dbraw/zinc/07/62/55/994076255.db2.gz ZQGJCACEMSHQCK-DGCLKSJQSA-N -1 1 334.376 -0.507 20 0 EBADMM C[C@](O)(Cn1ccccc1=O)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001593428081 995242056 /nfs/dbraw/zinc/24/20/56/995242056.db2.gz RQOLRUHDNXSCLN-NHYWBVRUSA-N -1 1 334.332 -0.866 20 0 EBADMM COC(=O)[C@@H]1CCN(C(=O)C2CN([C@@H]3CCOC3)C2)[C@H](C(=O)[O-])C1 ZINC001598791160 996073877 /nfs/dbraw/zinc/07/38/77/996073877.db2.gz HRZVOGCJTLCYEZ-RTXFEEFZSA-N -1 1 340.376 -0.428 20 0 EBADMM COC(=O)[C@H]1CN(C(=O)NCc2n[nH]c(C3CC3)n2)C[C@@H]1C(=O)[O-] ZINC001598818290 996295998 /nfs/dbraw/zinc/29/59/98/996295998.db2.gz XOTJSIKJFIGPAU-IUCAKERBSA-N -1 1 337.336 -0.303 20 0 EBADMM COC(=O)[C@H]1CN(CCn2cnc(Br)n2)C[C@H]1C(=O)[O-] ZINC001598820986 996326487 /nfs/dbraw/zinc/32/64/87/996326487.db2.gz IZRPVDFVWORNNJ-SFYZADRCSA-N -1 1 347.169 -0.154 20 0 EBADMM COC(=O)CN(CCN1CCN(C)CC1)Cc1c[nH]c(C(=O)[O-])c1 ZINC001598863038 996690600 /nfs/dbraw/zinc/69/06/00/996690600.db2.gz POUXFAWVPGYCSS-UHFFFAOYSA-N -1 1 338.408 -0.065 20 0 EBADMM COc1cc(C(=O)[O-])ccc1OC[C@H](O)CN(C)[C@H]1CCNC1=O ZINC001599381212 996853211 /nfs/dbraw/zinc/85/32/11/996853211.db2.gz UHPRJARQBBQNDB-NEPJUHHUSA-N -1 1 338.360 -0.047 20 0 EBADMM COC[C@@]1(C(=O)N[C@H](Cc2cncs2)C(=O)[O-])CNCCO1 ZINC001599184580 997003526 /nfs/dbraw/zinc/00/35/26/997003526.db2.gz REDRLECFDXZIKM-ZWNOBZJWSA-N -1 1 329.378 -0.740 20 0 EBADMM COC(=O)[C@H]1CN(CCCN2C[C@H](C)O[C@H](C(=O)[O-])C2)C[C@@H](C)O1 ZINC001598904311 997236062 /nfs/dbraw/zinc/23/60/62/997236062.db2.gz BJSFFEQFDIZIHR-RFQIPJPRSA-N -1 1 344.408 -0.187 20 0 EBADMM COCc1nc(CNS(=O)(=O)c2cc(C(=O)[O-])n(C)c2)n[nH]1 ZINC001599306116 997317508 /nfs/dbraw/zinc/31/75/08/997317508.db2.gz FPCHOASONFRAQY-UHFFFAOYSA-N -1 1 329.338 -0.534 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@@H]1N1CCC[C@](O)(C2(C(=O)[O-])CCC2)C1 ZINC001599324123 997632867 /nfs/dbraw/zinc/63/28/67/997632867.db2.gz QRPBZCLQTUVMGS-YWPYICTPSA-N -1 1 347.433 -0.120 20 0 EBADMM COCCN1CC[C@@H](NC(=O)C(=O)N2CC[C@H](C(=O)[O-])[C@H](C)C2)C1 ZINC001599240143 998023179 /nfs/dbraw/zinc/02/31/79/998023179.db2.gz OHBQJKDBJZHLGX-UPJWGTAASA-N -1 1 341.408 -0.608 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)N2CC[C@H](C(=O)[O-])[C@@H]2C)C1 ZINC001599240918 998038901 /nfs/dbraw/zinc/03/89/01/998038901.db2.gz UQIYPAHTQPDDEA-SRVKXCTJSA-N -1 1 327.381 -0.855 20 0 EBADMM COCCN1C[C@H](C(=O)N2CCN(CCC(=O)[O-])C[C@H]2C)CC1=O ZINC001599241318 998048203 /nfs/dbraw/zinc/04/82/03/998048203.db2.gz XLJXTTYIFMQEQD-CHWSQXEVSA-N -1 1 341.408 -0.511 20 0 EBADMM COC[C@@](C)(CC(=O)[O-])NS(=O)(=O)c1cc(C(=O)OC)no1 ZINC001599150685 998292529 /nfs/dbraw/zinc/29/25/29/998292529.db2.gz ZSXCEERNKYNXME-LLVKDONJSA-N -1 1 336.322 -0.381 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)CO1 ZINC001594248869 998949549 /nfs/dbraw/zinc/94/95/49/998949549.db2.gz HQLVNENMGFLJFR-WDEREUQCSA-N -1 1 327.381 -0.713 20 0 EBADMM COC(C)(C)CNC(=O)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC001599032969 999064164 /nfs/dbraw/zinc/06/41/64/999064164.db2.gz PSAGMZPDFABSHW-UHFFFAOYSA-N -1 1 329.397 -0.465 20 0 EBADMM COC(OC)C(=O)N1CCN([C@H](C(=O)[O-])C2(OC)CCC2)CC1 ZINC001599060617 999262393 /nfs/dbraw/zinc/26/23/93/999262393.db2.gz SXCIQWFBXPUNJB-LLVKDONJSA-N -1 1 330.381 -0.228 20 0 EBADMM CO[C@]1(C(=O)[O-])CCN(C(=O)CN(C)c2ncnc3[nH]cnc32)C1 ZINC001599068526 999314905 /nfs/dbraw/zinc/31/49/05/999314905.db2.gz PEMODOOHTGNQQI-CQSZACIVSA-N -1 1 334.336 -0.509 20 0 EBADMM CO[C@]1(C(=O)[O-])CCN(C(=O)NC[C@@H](C)N2CCN(C)CC2)C1 ZINC001599072270 999341399 /nfs/dbraw/zinc/34/13/99/999341399.db2.gz JMJGWKVZCLSSEB-IUODEOHRSA-N -1 1 328.413 -0.493 20 0 EBADMM C[C@@H]1CCNC(=O)[C@@H]1NC(=O)N1CCN(CCC(=O)[O-])C[C@H]1C ZINC001594439039 999619532 /nfs/dbraw/zinc/61/95/32/999619532.db2.gz KXFYYQYUAMMVGM-NQBHXWOUSA-N -1 1 326.397 -0.299 20 0 EBADMM CN(CCNC(=O)c1cccc(C(N)=O)c1)Cc1nc(=O)n(C)[n-]1 ZINC001480880679 1125801272 /nfs/dbraw/zinc/80/12/72/1125801272.db2.gz CAJVJWCMPGQAIM-UHFFFAOYSA-N -1 1 332.364 -0.931 20 0 EBADMM CN(C[C@@H]1CCN1CCOCCO)C(=O)c1c[n-]n2c1nccc2=O ZINC001691062617 1125828856 /nfs/dbraw/zinc/82/88/56/1125828856.db2.gz UKOAWLWZVJFKMR-LBPRGKRZSA-N -1 1 349.391 -0.822 20 0 EBADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)c1cccnc1C(N)=O ZINC001408554868 1125862454 /nfs/dbraw/zinc/86/24/54/1125862454.db2.gz GQESCPCXKLXUHG-UHFFFAOYSA-N -1 1 343.343 -0.217 20 0 EBADMM C[C@@H](CC(N)=O)C(=O)NCCN(C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001408648636 1125900522 /nfs/dbraw/zinc/90/05/22/1125900522.db2.gz SNIYIZHZOOFOIM-VIFPVBQESA-N -1 1 349.391 -0.241 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H]2CNC(=O)C2(F)CCOCC2)nc1=O ZINC001481043299 1125912309 /nfs/dbraw/zinc/91/23/09/1125912309.db2.gz RGDJDBJXESCFLN-LLVKDONJSA-N -1 1 341.387 -0.292 20 0 EBADMM CCN(CCNC(=O)CCNC(=O)NC)C(=O)c1ncccc1[O-] ZINC001408739102 1125939320 /nfs/dbraw/zinc/93/93/20/1125939320.db2.gz OSKHVMPODJFWOG-UHFFFAOYSA-N -1 1 337.380 -0.315 20 0 EBADMM CCCN(C(=O)[C@H](O)C(C)C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001481398358 1125982146 /nfs/dbraw/zinc/98/21/46/1125982146.db2.gz XPFBKBDQCAQCGM-TZMCWYRMSA-N -1 1 339.440 -0.062 20 0 EBADMM O=C(CCc1n[nH]c(=O)[n-]c1=O)NC1CN(C[C@@H]2C[C@]23CCOC3)C1 ZINC001481568581 1126015923 /nfs/dbraw/zinc/01/59/23/1126015923.db2.gz FTGIFKOXYYVPNM-QFYYESIMSA-N -1 1 349.391 -0.558 20 0 EBADMM C[C@H](CC(=O)N(CCNC(=O)c1ncccc1[O-])C1CC1)NC(N)=O ZINC001408910375 1126020375 /nfs/dbraw/zinc/02/03/75/1126020375.db2.gz URXXZZIIABJGAN-SNVBAGLBSA-N -1 1 349.391 -0.045 20 0 EBADMM CC[C@@H](CNC(=O)C[C@H]1CCCS1(=O)=O)NCc1n[nH]c(=O)[n-]1 ZINC001481836014 1126060416 /nfs/dbraw/zinc/06/04/16/1126060416.db2.gz DXNPBQRMGHFMQU-VHSXEESVSA-N -1 1 345.425 -0.538 20 0 EBADMM CC[C@H](CNC(=O)C[C@@H]1CCCS1(=O)=O)NCc1n[nH]c(=O)[n-]1 ZINC001481836015 1126060499 /nfs/dbraw/zinc/06/04/99/1126060499.db2.gz DXNPBQRMGHFMQU-ZJUUUORDSA-N -1 1 345.425 -0.538 20 0 EBADMM CC[C@@H](CNC(=O)C(=O)N1CCC[C@H](C)C1)NCc1n[nH]c(=O)[n-]1 ZINC001481855132 1126061810 /nfs/dbraw/zinc/06/18/10/1126061810.db2.gz JRIFQILCZSEZGB-QWRGUYRKSA-N -1 1 338.412 -0.247 20 0 EBADMM Cc1c(C(=O)NC2(C[NH2+]Cc3nc(=O)n(C)[n-]3)CCCC2)nnn1C ZINC001481892136 1126065280 /nfs/dbraw/zinc/06/52/80/1126065280.db2.gz ZNTFOJXOUOWSOU-UHFFFAOYSA-N -1 1 348.411 -0.622 20 0 EBADMM CCC(=O)N[C@H](C)C(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001481898211 1126065387 /nfs/dbraw/zinc/06/53/87/1126065387.db2.gz LEDRFCXUNSIQPJ-SNVBAGLBSA-N -1 1 338.412 -0.056 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC(OCCO)CC2)[n-]n1 ZINC001414244159 1126075506 /nfs/dbraw/zinc/07/55/06/1126075506.db2.gz XIFYCHLHZIZRCS-UHFFFAOYSA-N -1 1 333.366 -0.642 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC(OCCO)CC2)n[n-]1 ZINC001414244159 1126075507 /nfs/dbraw/zinc/07/55/07/1126075507.db2.gz XIFYCHLHZIZRCS-UHFFFAOYSA-N -1 1 333.366 -0.642 20 0 EBADMM CC(=O)c1cn(CC(=O)CC[N-]C(=O)C(F)(F)F)c(=O)n(C)c1=O ZINC001413939371 1126116350 /nfs/dbraw/zinc/11/63/50/1126116350.db2.gz USGAGOKWLFMQPL-UHFFFAOYSA-N -1 1 349.265 -0.613 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)c1cnc2n1CCOC2 ZINC001482295646 1126121934 /nfs/dbraw/zinc/12/19/34/1126121934.db2.gz DNSNAAPLDFZNHQ-VIFPVBQESA-N -1 1 335.368 -0.513 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)c1ccn2nnnc2c1 ZINC001482319028 1126130542 /nfs/dbraw/zinc/13/05/42/1126130542.db2.gz YDLONNVKCPTRRZ-QMMMGPOBSA-N -1 1 331.340 -0.802 20 0 EBADMM CC(=O)N[C@@H](C(=O)N(C)[C@H](C)CNCc1n[nH]c(=O)[n-]1)C(C)C ZINC001482355734 1126137180 /nfs/dbraw/zinc/13/71/80/1126137180.db2.gz WRTKAHIAOKLNQW-BXKDBHETSA-N -1 1 326.401 -0.393 20 0 EBADMM CC(C)C[C@H](CNCc1ccn(C)n1)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001482386645 1126143110 /nfs/dbraw/zinc/14/31/10/1126143110.db2.gz HWEXIRJUDMTZOS-LLVKDONJSA-N -1 1 349.395 -0.049 20 0 EBADMM CNC(=O)NC(C)(C)C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC001409044818 1126163486 /nfs/dbraw/zinc/16/34/86/1126163486.db2.gz MYOOCHSILZOJDZ-UHFFFAOYSA-N -1 1 335.364 -0.565 20 0 EBADMM CO[C@@H](C(=O)NC1CN(C(=O)c2ncccc2[O-])C1)[C@H]1CCOC1 ZINC001409084214 1126189502 /nfs/dbraw/zinc/18/95/02/1126189502.db2.gz VOGHBSYMDAIEOO-IINYFYTJSA-N -1 1 335.360 -0.221 20 0 EBADMM CC(C)[C@H](CNC(=O)c1ccc(=O)n(C)n1)NCc1n[nH]c(=O)[n-]1 ZINC001482569302 1126199309 /nfs/dbraw/zinc/19/93/09/1126199309.db2.gz WXWLEFYSGWZZIQ-JTQLQIEISA-N -1 1 335.368 -0.852 20 0 EBADMM CCOC(=O)[C@H]1CSCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001626805304 1126214496 /nfs/dbraw/zinc/21/44/96/1126214496.db2.gz APQSXKUQPPPQCW-SECBINFHSA-N -1 1 327.362 -0.609 20 0 EBADMM CNC(=O)[C@H](C)[N-]S(=O)(=O)N=[S@@](=O)(c1ccccc1)N(C)C ZINC001414232426 1126237934 /nfs/dbraw/zinc/23/79/34/1126237934.db2.gz POIIDFBRNKRWIY-CWKPULSASA-N -1 1 348.450 -0.041 20 0 EBADMM CCOC[C@H](C(=O)OC)N(C)S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001414232671 1126248322 /nfs/dbraw/zinc/24/83/22/1126248322.db2.gz YMDVPEFDSGKBHZ-SECBINFHSA-N -1 1 349.365 -0.605 20 0 EBADMM CCOC[C@H](C(=O)OC)N(C)S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001414232671 1126248324 /nfs/dbraw/zinc/24/83/24/1126248324.db2.gz YMDVPEFDSGKBHZ-SECBINFHSA-N -1 1 349.365 -0.605 20 0 EBADMM Cc1nnsc1CNC[C@@H](O)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001482721816 1126266074 /nfs/dbraw/zinc/26/60/74/1126266074.db2.gz IFYDSHZBNMDUPB-MRVPVSSYSA-N -1 1 326.382 -0.536 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1cc(=O)c(OC)co1 ZINC001482937224 1126304408 /nfs/dbraw/zinc/30/44/08/1126304408.db2.gz GBFVFUMRRPVDQK-UHFFFAOYSA-N -1 1 337.336 -0.276 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCc2nncn2C1 ZINC001482970482 1126307967 /nfs/dbraw/zinc/30/79/67/1126307967.db2.gz VCARZEILRWZIGK-SNVBAGLBSA-N -1 1 334.384 -0.698 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CNC(=O)c1ccsc1 ZINC001483196932 1126352851 /nfs/dbraw/zinc/35/28/51/1126352851.db2.gz WOMLDZOGUIOYNR-MRVPVSSYSA-N -1 1 338.393 -0.404 20 0 EBADMM Cn1[n-]c(CN2CC(CCO)(NC(=O)C3(C)CCCC3)C2)nc1=O ZINC001409734855 1126403214 /nfs/dbraw/zinc/40/32/14/1126403214.db2.gz ORGQTOBNBHNWPS-UHFFFAOYSA-N -1 1 337.424 -0.258 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C1(S(C)(=O)=O)CCC1 ZINC001483279558 1126413275 /nfs/dbraw/zinc/41/32/75/1126413275.db2.gz MRMQCOJJQXJMKC-QMMMGPOBSA-N -1 1 331.398 -0.928 20 0 EBADMM O=C(N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)c1cnn2ccncc12 ZINC001483566636 1126435288 /nfs/dbraw/zinc/43/52/88/1126435288.db2.gz RYVOXLXLTDAAJL-NSHDSACASA-N -1 1 342.363 -0.149 20 0 EBADMM O=C(CCc1ncccn1)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001483595612 1126438718 /nfs/dbraw/zinc/43/87/18/1126438718.db2.gz YAVHDGUCHOPSHA-NSHDSACASA-N -1 1 331.380 -0.083 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@H]1CCN1Cc1ncccn1 ZINC001483688531 1126458867 /nfs/dbraw/zinc/45/88/67/1126458867.db2.gz PWCCQHXBOMXOQT-GFCCVEGCSA-N -1 1 344.375 -0.893 20 0 EBADMM CCn1cc(CCN2CC[C@@H]2CNC(=O)Cn2c(=O)[n-][nH]c2=O)cn1 ZINC001483695336 1126459983 /nfs/dbraw/zinc/45/99/83/1126459983.db2.gz AGIYZHLDWKEWCU-GFCCVEGCSA-N -1 1 349.395 -0.661 20 0 EBADMM Cc1noc(CNC2(CNC(=O)CCn3cc[n-]c(=O)c3=O)CC2)n1 ZINC001670876163 1126470868 /nfs/dbraw/zinc/47/08/68/1126470868.db2.gz HLTCVHHSYHILHI-UHFFFAOYSA-N -1 1 348.363 -0.943 20 0 EBADMM CN(C(=O)CCc1nccn1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001483872931 1126486390 /nfs/dbraw/zinc/48/63/90/1126486390.db2.gz JUQKYRVVQHODQB-UHFFFAOYSA-N -1 1 333.396 -0.883 20 0 EBADMM O=C(CCn1cccn1)NCC1(NCc2n[nH]c(=O)[n-]2)CCOCC1 ZINC001484179817 1126511697 /nfs/dbraw/zinc/51/16/97/1126511697.db2.gz COUCSONPAWDRHX-UHFFFAOYSA-N -1 1 349.395 -0.448 20 0 EBADMM Cn1[n-]c(CN2CC[C@](O)(CNC(=O)C3(C(F)F)CC3)C2)nc1=O ZINC001484217235 1126519805 /nfs/dbraw/zinc/51/98/05/1126519805.db2.gz QLROMDUNXFEEDL-ZDUSSCGKSA-N -1 1 345.350 -0.793 20 0 EBADMM COc1ccc(C(=O)N(CCO)CCNCc2n[nH]c(=O)[n-]2)o1 ZINC001484496853 1126597731 /nfs/dbraw/zinc/59/77/31/1126597731.db2.gz LJFCWHZJDYSTOX-UHFFFAOYSA-N -1 1 325.325 -0.664 20 0 EBADMM C/C(=C/C(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001484586332 1126605844 /nfs/dbraw/zinc/60/58/44/1126605844.db2.gz LDSXVESAZCLGMT-LWMSPQMPSA-N -1 1 335.408 -0.218 20 0 EBADMM COc1cc(C(=O)NCC2(NCc3n[nH]c(=O)[n-]3)CCC2)nn1C ZINC001484642992 1126612967 /nfs/dbraw/zinc/61/29/67/1126612967.db2.gz YWKNCFPPHGRMLI-UHFFFAOYSA-N -1 1 335.368 -0.305 20 0 EBADMM C[C@H](CNC(=O)[C@@H](C)CC(N)=O)N(C)Cc1cc(=O)n2[n-]ccc2n1 ZINC001485336108 1126689825 /nfs/dbraw/zinc/68/98/25/1126689825.db2.gz HCERGJMFKMLARJ-WDEREUQCSA-N -1 1 348.407 -0.529 20 0 EBADMM CO[C@H](C(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1)C1CCC1 ZINC001485516985 1126740591 /nfs/dbraw/zinc/74/05/91/1126740591.db2.gz JBBYSXQENCONMW-HZMBPMFUSA-N -1 1 337.424 -0.140 20 0 EBADMM C[C@H](CCCNCc1ncccn1)NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001485747145 1126822318 /nfs/dbraw/zinc/82/23/18/1126822318.db2.gz VMMSAOXSPUNLOO-SNVBAGLBSA-N -1 1 335.368 -0.446 20 0 EBADMM O=C(c1cc(F)cc2n[nH]nc21)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001626046776 1126862272 /nfs/dbraw/zinc/86/22/72/1126862272.db2.gz MZWNXACVOFPXPH-UHFFFAOYSA-N -1 1 332.299 -0.266 20 0 EBADMM C[C@H](CN(C)C(=O)Cn1cc(Cl)cn1)NCc1n[nH]c(=O)[n-]1 ZINC001485926025 1126917456 /nfs/dbraw/zinc/91/74/56/1126917456.db2.gz HFKSDRFEZLQCID-MRVPVSSYSA-N -1 1 327.776 -0.003 20 0 EBADMM CC(C)n1ncnc1CN[C@H](CO)CNC(=O)c1ncccc1[O-] ZINC001485988227 1126951662 /nfs/dbraw/zinc/95/16/62/1126951662.db2.gz DGQOWPUNQOYSEG-NSHDSACASA-N -1 1 334.380 -0.160 20 0 EBADMM Cn1cc(CN[C@@H](CO)CNC(=O)c2ccc3oc(=O)nc-3[n-]2)cn1 ZINC001486049546 1127019257 /nfs/dbraw/zinc/01/92/57/1127019257.db2.gz FLIIDYRZEWDFII-SNVBAGLBSA-N -1 1 346.347 -0.458 20 0 EBADMM Cn1[n-]c(CN[C@H](CO)CNC(=O)c2ccccc2Cl)nc1=O ZINC001486054312 1127023667 /nfs/dbraw/zinc/02/36/67/1127023667.db2.gz UGMSPMPYYAXXMS-VIFPVBQESA-N -1 1 339.783 -0.358 20 0 EBADMM C[C@H]1[C@@H](NC(=O)CCCC(N)=O)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001486187855 1127057691 /nfs/dbraw/zinc/05/76/91/1127057691.db2.gz SSQLZFNVYSKYAJ-QWRGUYRKSA-N -1 1 338.412 -0.767 20 0 EBADMM Cc1[nH]nc(C(=O)N(C)C[C@H](O)CNCc2cc3n(n2)CCC3)c1[O-] ZINC001486272478 1127095017 /nfs/dbraw/zinc/09/50/17/1127095017.db2.gz YAHZTKNMNMFBPW-CYBMUJFWSA-N -1 1 348.407 -0.211 20 0 EBADMM CN(C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)[C@]12CCC[C@H]1OCC2 ZINC001486289252 1127116164 /nfs/dbraw/zinc/11/61/64/1127116164.db2.gz KRVNHVWKMCDPJV-HFAKWTLXSA-N -1 1 339.396 -0.622 20 0 EBADMM CN(C)c1cccc(C(=O)N(C)C[C@H](O)CNCc2n[nH]c(=O)[n-]2)c1 ZINC001486315111 1127116761 /nfs/dbraw/zinc/11/67/61/1127116761.db2.gz SIVCKLIYGIYGRO-CYBMUJFWSA-N -1 1 348.407 -0.201 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H](O)CN(C)C(=O)c1ccsn1 ZINC001486341336 1127143904 /nfs/dbraw/zinc/14/39/04/1127143904.db2.gz QTOGUTYROLIGMD-VIFPVBQESA-N -1 1 340.409 -0.870 20 0 EBADMM C[C@H](C(=O)N(C)C[C@H](O)CN(C)Cc1nc(=O)n(C)[n-]1)C(C)(F)F ZINC001486348116 1127159592 /nfs/dbraw/zinc/15/95/92/1127159592.db2.gz AIGQFIANLLOVTG-NXEZZACHSA-N -1 1 349.382 -0.349 20 0 EBADMM CN(CCN(C)C(=O)[C@@H]1CC[C@H]1C(N)=O)C(=O)c1ncccc1[O-] ZINC001409975907 1127290794 /nfs/dbraw/zinc/29/07/94/1127290794.db2.gz AAQNLGYHJSOSLU-GHMZBOCLSA-N -1 1 334.376 -0.171 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)[C@@]1(C)CNC(=O)C1 ZINC001486849140 1127309513 /nfs/dbraw/zinc/30/95/13/1127309513.db2.gz NQSFDCPGPBYLCS-MGPLVRAMSA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)[C@]1(C)CNC(=O)C1 ZINC001486849141 1127309669 /nfs/dbraw/zinc/30/96/69/1127309669.db2.gz NQSFDCPGPBYLCS-QFYYESIMSA-N -1 1 334.376 -0.110 20 0 EBADMM CCC(=O)N[C@H]1CCC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001487359848 1127483340 /nfs/dbraw/zinc/48/33/40/1127483340.db2.gz FWJYFLCKJPZEJQ-NWDGAFQWSA-N -1 1 336.392 -0.120 20 0 EBADMM CC(=O)N1C[C@@H]2CC[C@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C[C@H]2C1 ZINC001487363757 1127483976 /nfs/dbraw/zinc/48/39/76/1127483976.db2.gz VWQPDIWQKZZZIP-IHRRRGAJSA-N -1 1 348.403 -0.310 20 0 EBADMM O=S(=O)([N-]C[C@@]1(O)CCOC1)c1nc[nH]c1Br ZINC001364796101 1127500066 /nfs/dbraw/zinc/50/00/66/1127500066.db2.gz FHGAFYNKHDGIRN-QMMMGPOBSA-N -1 1 326.172 -0.398 20 0 EBADMM Cc1nn(C)c(=O)c(C(=O)N(C)C[C@H](C)NCc2n[nH]c(=O)[n-]2)c1C ZINC001410449633 1127717830 /nfs/dbraw/zinc/71/78/30/1127717830.db2.gz UYGGCYASUPSIQN-QMMMGPOBSA-N -1 1 349.395 -0.529 20 0 EBADMM Cn1cc(C(=O)NC2(CNC(=O)c3ncccc3[O-])CC2)ncc1=O ZINC001410697276 1127825096 /nfs/dbraw/zinc/82/50/96/1127825096.db2.gz USNYDXRRUDOPSM-UHFFFAOYSA-N -1 1 343.343 -0.427 20 0 EBADMM Cn1[n-]c(CN[C@@H](CO)CNC(=O)c2nc(Cl)cs2)nc1=O ZINC001410723834 1127841896 /nfs/dbraw/zinc/84/18/96/1127841896.db2.gz HJYDNVRNNPOLQR-ZCFIWIBFSA-N -1 1 346.800 -0.901 20 0 EBADMM CCC1(C(=O)NCC2(NC(=O)c3cc(=O)n4[n-]cnc4n3)CC2)CC1 ZINC001410802260 1127901778 /nfs/dbraw/zinc/90/17/78/1127901778.db2.gz FJRNYQWCJHJUTH-UHFFFAOYSA-N -1 1 344.375 -0.014 20 0 EBADMM Cn1nc(C(=O)N[C@@H](CNCc2n[nH]c(=O)[n-]2)C(C)(C)C)ccc1=O ZINC001411219153 1128094093 /nfs/dbraw/zinc/09/40/93/1128094093.db2.gz CEZBQBPAJHBNGJ-JTQLQIEISA-N -1 1 349.395 -0.462 20 0 EBADMM Cc1c(C(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C)nnn1C ZINC001092518279 1128251045 /nfs/dbraw/zinc/25/10/45/1128251045.db2.gz MDJZFCDCVNOQQO-PSASIEDQSA-N -1 1 334.384 -0.555 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)c1cc2n(n1)CCO2 ZINC001092680142 1128260439 /nfs/dbraw/zinc/26/04/39/1128260439.db2.gz OBMLEDNPVNJLMR-NXEZZACHSA-N -1 1 347.379 -0.403 20 0 EBADMM CCn1nnc(C)c1C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001092673719 1128260494 /nfs/dbraw/zinc/26/04/94/1128260494.db2.gz AMMZAPXGMHRPBI-MWLCHTKSSA-N -1 1 348.411 -0.072 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)[C@H]1OCCO[C@H]1C ZINC001092691614 1128264797 /nfs/dbraw/zinc/26/47/97/1128264797.db2.gz VKWUCPIVHSQLSH-XZUYRWCXSA-N -1 1 339.396 -0.502 20 0 EBADMM C[C@@]12CN(CC(N)=O)C[C@@H]1CN(C(=O)c1ccc3oc(=O)nc-3[n-]1)C2 ZINC001092196705 1128214233 /nfs/dbraw/zinc/21/42/33/1128214233.db2.gz PNTAKUAILJGJNX-ABKXIKBNSA-N -1 1 345.359 -0.192 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)c1ccn(C)c(=O)c1 ZINC001092741361 1128267042 /nfs/dbraw/zinc/26/70/42/1128267042.db2.gz BAVFBMMSHDAAQR-ZYHUDNBSSA-N -1 1 346.391 -0.293 20 0 EBADMM CC(C)N1CC2(C1)C[C@H](NC(=O)c1n[nH]c(=O)[n-]c1=O)c1nccn12 ZINC001092379988 1128232451 /nfs/dbraw/zinc/23/24/51/1128232451.db2.gz NECTWMAAMDFLAG-VIFPVBQESA-N -1 1 345.363 -0.227 20 0 EBADMM CC(C)=CNc1[n-]c(=O)nc2c1ncn2[C@@H]1O[C@H](CO)[C@@H](O)[C@H]1O ZINC001174554487 1128347740 /nfs/dbraw/zinc/34/77/40/1128347740.db2.gz QHGOKBJPKPOOES-QYVSTXNMSA-N -1 1 337.336 -0.521 20 0 EBADMM C[C@H](CCCNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CN(C)C(=O)N1 ZINC001487526960 1128363765 /nfs/dbraw/zinc/36/37/65/1128363765.db2.gz LOVFDJPRLAEFDA-MNOVXSKESA-N -1 1 349.391 -0.175 20 0 EBADMM C[C@@H](CN(C)C(=O)C1(NC(N)=O)CC1)NC(=O)c1ncccc1[O-] ZINC001487751917 1128417887 /nfs/dbraw/zinc/41/78/87/1128417887.db2.gz QLVWDPWGZBVFJP-VIFPVBQESA-N -1 1 335.364 -0.435 20 0 EBADMM CO[C@@H](C)CCC(=O)NC[C@H](CO)NC(=O)c1ncccc1[O-] ZINC001487974132 1128469835 /nfs/dbraw/zinc/46/98/35/1128469835.db2.gz CEDZTILINVXKQE-WDEREUQCSA-N -1 1 325.365 -0.191 20 0 EBADMM COCCCCC(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001489327008 1128957298 /nfs/dbraw/zinc/95/72/98/1128957298.db2.gz WCOPNSRCELSOJR-DGCLKSJQSA-N -1 1 337.376 -0.095 20 0 EBADMM O=C(C[C@H]1COC(=O)C1)NCCCN(Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001490371411 1129167707 /nfs/dbraw/zinc/16/77/07/1129167707.db2.gz XLZUXLDJUPTTGP-SNVBAGLBSA-N -1 1 337.380 -0.066 20 0 EBADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@]1(C)CNC(=O)C1 ZINC001416210651 1131310395 /nfs/dbraw/zinc/31/03/95/1131310395.db2.gz SUHWORYANODUBC-QLJPJBMISA-N -1 1 334.376 -0.062 20 0 EBADMM C[C@H](NC(=O)c1cnn[nH]1)[C@H](C)NC(=O)c1cnn2c1OCCC2 ZINC001411409948 1129289784 /nfs/dbraw/zinc/28/97/84/1129289784.db2.gz AZUOCYWSEGAHGH-IUCAKERBSA-N -1 1 333.352 -0.280 20 0 EBADMM C[C@H](CNC(=O)C1CS(=O)(=O)C1)N(C)C(=O)c1ncccc1[O-] ZINC001411574598 1129322454 /nfs/dbraw/zinc/32/24/54/1129322454.db2.gz ZAYPKNSJAAWXMR-SECBINFHSA-N -1 1 341.389 -0.592 20 0 EBADMM O=C(CCCc1nn[n-]n1)N[C@H](CO)C(=O)OCc1ccccc1 ZINC001411987133 1129420599 /nfs/dbraw/zinc/42/05/99/1129420599.db2.gz PIJHDNRUYQSYKX-GFCCVEGCSA-N -1 1 333.348 -0.257 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1C[C@H](O)CN1c1ccncn1)c1nn[n-]n1 ZINC001411991087 1129421633 /nfs/dbraw/zinc/42/16/33/1129421633.db2.gz NMRLZPFXVUBWBR-OUAUKWLOSA-N -1 1 332.368 -0.809 20 0 EBADMM CCn1cc([C@@H]2C[S@](=O)CCN2C(=O)CCc2nn[n-]n2)cn1 ZINC001412096129 1129445859 /nfs/dbraw/zinc/44/58/59/1129445859.db2.gz KIWCJDYZBNOSKT-BSNNYGBQSA-N -1 1 337.409 -0.319 20 0 EBADMM COC(=O)CNC(=O)CNC(=O)c1c(C)[n-]c(=O)nc1SC ZINC001412101089 1129447734 /nfs/dbraw/zinc/44/77/34/1129447734.db2.gz WSNCBMCFCWKXCG-UHFFFAOYSA-N -1 1 328.350 -0.768 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCOC[C@@H]1[C@@H]1CCCO1 ZINC001412112253 1129450259 /nfs/dbraw/zinc/45/02/59/1129450259.db2.gz STMIGVFXATUVSH-BDAKNGLRSA-N -1 1 325.321 -0.084 20 0 EBADMM O=C(CCc1nn[n-]n1)NC[C@@H]1CN(Cc2ccncc2)CCO1 ZINC001412415779 1129522564 /nfs/dbraw/zinc/52/25/64/1129522564.db2.gz DECHGLSWXVPJQB-CYBMUJFWSA-N -1 1 331.380 -0.456 20 0 EBADMM C[C@@H](C(=O)NCc1nn[n-]n1)[C@H](O)CN(C)C(=O)OC(C)(C)C ZINC001412505609 1129545812 /nfs/dbraw/zinc/54/58/12/1129545812.db2.gz NVALMUHWTKKVBX-RKDXNWHRSA-N -1 1 328.373 -0.320 20 0 EBADMM C[C@@H](CN1CCN(C)CC1)NC(=O)c1cccc(-n2[n-]nnc2=N)c1 ZINC001412530036 1129552217 /nfs/dbraw/zinc/55/22/17/1129552217.db2.gz RAZAYWAQRWQHKV-LBPRGKRZSA-N -1 1 344.423 -0.559 20 0 EBADMM O=C(CNC(=O)c1ccc2c(c1)OCO2)NC1(c2nn[n-]n2)CCC1 ZINC001412540259 1129554434 /nfs/dbraw/zinc/55/44/34/1129554434.db2.gz JXPDDXROCCXVMG-UHFFFAOYSA-N -1 1 344.331 -0.146 20 0 EBADMM C[C@]1(C(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)CCCS1(=O)=O ZINC001412612873 1129576967 /nfs/dbraw/zinc/57/69/67/1129576967.db2.gz QMDGOKLOBHTNGG-GXFFZTMASA-N -1 1 327.410 -0.052 20 0 EBADMM COc1cc(C(=O)N[C@@H](CO)CNC(=O)c2ncccc2[O-])ccn1 ZINC001412800434 1129657199 /nfs/dbraw/zinc/65/71/99/1129657199.db2.gz AMUOMJYSQJZGPT-LLVKDONJSA-N -1 1 346.343 -0.289 20 0 EBADMM CCn1ccc(C(=O)N[C@@H](CO)CNC(=O)c2ncccc2[O-])n1 ZINC001412802677 1129660177 /nfs/dbraw/zinc/66/01/77/1129660177.db2.gz CFCPJDQQYFXULJ-SNVBAGLBSA-N -1 1 333.348 -0.476 20 0 EBADMM Cc1noc(C(=O)N[C@@H](CO)CNC(=O)c2ncccc2[O-])c1C ZINC001412808262 1129664432 /nfs/dbraw/zinc/66/44/32/1129664432.db2.gz KDZLAAFALSRROP-SNVBAGLBSA-N -1 1 334.332 -0.087 20 0 EBADMM CCO[C@H](CC)C(=O)N[C@H](CO)CNC(=O)c1ncccc1[O-] ZINC001412808926 1129664871 /nfs/dbraw/zinc/66/48/71/1129664871.db2.gz LGCNXEDNTJFVHQ-CMPLNLGQSA-N -1 1 325.365 -0.191 20 0 EBADMM CCn1nc(C)cc1C(=O)N[C@H](CO)CNC(=O)c1ncccc1[O-] ZINC001412815410 1129667160 /nfs/dbraw/zinc/66/71/60/1129667160.db2.gz UXWSBLFPTIXEGQ-NSHDSACASA-N -1 1 347.375 -0.167 20 0 EBADMM CCN(CCNC(=O)c1ccc(C(N)=O)o1)Cc1nc(=O)n(C)[n-]1 ZINC001492987255 1129683100 /nfs/dbraw/zinc/68/31/00/1129683100.db2.gz QMTWHXUKMIRVOD-UHFFFAOYSA-N -1 1 336.352 -0.948 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)COc2c(=O)ccoc2C)n[n-]1 ZINC001412835975 1129709510 /nfs/dbraw/zinc/70/95/10/1129709510.db2.gz GVWCDXNIVVQNIS-UHFFFAOYSA-N -1 1 336.304 -0.062 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)COc2c(=O)ccoc2C)n1 ZINC001412835975 1129709512 /nfs/dbraw/zinc/70/95/12/1129709512.db2.gz GVWCDXNIVVQNIS-UHFFFAOYSA-N -1 1 336.304 -0.062 20 0 EBADMM O=C(C1CC2(C1)CS(=O)(=O)C2)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001412857171 1129736220 /nfs/dbraw/zinc/73/62/20/1129736220.db2.gz WBASQHSZEMTQCB-VIFPVBQESA-N -1 1 325.394 -0.270 20 0 EBADMM COC(=O)c1c(NC(=O)[C@H]2C[C@H]2C(=O)OC)n[n-]c1OCCO ZINC001412859255 1129738232 /nfs/dbraw/zinc/73/82/32/1129738232.db2.gz VPIOXOMSQSSOJN-NKWVEPMBSA-N -1 1 327.293 -0.685 20 0 EBADMM COC(=O)c1c(OCCO)n[n-]c1NC(=O)[C@H]1C[C@H]1C(=O)OC ZINC001412859255 1129738236 /nfs/dbraw/zinc/73/82/36/1129738236.db2.gz VPIOXOMSQSSOJN-NKWVEPMBSA-N -1 1 327.293 -0.685 20 0 EBADMM C[S@@](=O)C1(CNC(=O)c2ccc(-n3[n-]c(=O)[nH]c3=O)cc2)CC1 ZINC001412876935 1129753952 /nfs/dbraw/zinc/75/39/52/1129753952.db2.gz GMIQNKAVBUIOGO-HSZRJFAPSA-N -1 1 336.373 -0.093 20 0 EBADMM O=C(Cc1[nH]nc2c1CCCC2)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001412902036 1129769118 /nfs/dbraw/zinc/76/91/18/1129769118.db2.gz QNMPYWGIJWYHLZ-UHFFFAOYSA-N -1 1 331.380 -0.146 20 0 EBADMM CNC(=O)Cn1cnc([N-]C(=O)c2ncc(Br)cn2)n1 ZINC001361341804 1131397575 /nfs/dbraw/zinc/39/75/75/1131397575.db2.gz SWVVUBDBAYMKJD-UHFFFAOYSA-N -1 1 340.141 -0.171 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)[C@H](C)CN1CCOCC1 ZINC001412921582 1129787293 /nfs/dbraw/zinc/78/72/93/1129787293.db2.gz YMCJOZGMUAYDGO-SECBINFHSA-N -1 1 326.353 -0.311 20 0 EBADMM O=C(CCc1nn[n-]n1)NC[C@@H]1CS(=O)(=O)c2ccccc2O1 ZINC001413158710 1129940161 /nfs/dbraw/zinc/94/01/61/1129940161.db2.gz MJRPVQGSIGSJCL-SECBINFHSA-N -1 1 337.361 -0.517 20 0 EBADMM C[C@@H](C(=O)N(C)C[C@@H](O)CNC(=O)c1ncccc1[O-])n1cncn1 ZINC001413239428 1129963729 /nfs/dbraw/zinc/96/37/29/1129963729.db2.gz CAWJOMIXZCDQHH-QWRGUYRKSA-N -1 1 348.363 -0.811 20 0 EBADMM CC(C)OCC(=O)NC[C@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001413245493 1129967029 /nfs/dbraw/zinc/96/70/29/1129967029.db2.gz JLEVVLQOMPIWTM-NSHDSACASA-N -1 1 325.365 -0.239 20 0 EBADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2CCS(=O)(=O)C2)n[n-]1 ZINC001413275741 1129984305 /nfs/dbraw/zinc/98/43/05/1129984305.db2.gz YJNDGYJQONBOGQ-JGVFFNPUSA-N -1 1 330.366 -0.407 20 0 EBADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2CCS(=O)(=O)C2)[n-]1 ZINC001413275741 1129984306 /nfs/dbraw/zinc/98/43/06/1129984306.db2.gz YJNDGYJQONBOGQ-JGVFFNPUSA-N -1 1 330.366 -0.407 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2CCS(=O)(=O)C2)n1 ZINC001413275741 1129984308 /nfs/dbraw/zinc/98/43/08/1129984308.db2.gz YJNDGYJQONBOGQ-JGVFFNPUSA-N -1 1 330.366 -0.407 20 0 EBADMM CSCC[C@H](NC(=O)Cn1ccc(=O)[nH]c1=O)c1nn[n-]n1 ZINC001413296857 1129996796 /nfs/dbraw/zinc/99/67/96/1129996796.db2.gz IZFMJYQDPMQTGO-ZETCQYMHSA-N -1 1 325.354 -0.927 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCN(C(C)=O)C[C@@H]2C)[n-]n1 ZINC001413312440 1130006942 /nfs/dbraw/zinc/00/69/42/1130006942.db2.gz XYWCGQRSOHNAKT-QMMMGPOBSA-N -1 1 330.366 -0.562 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCN(C(C)=O)C[C@@H]2C)n[n-]1 ZINC001413312440 1130006947 /nfs/dbraw/zinc/00/69/47/1130006947.db2.gz XYWCGQRSOHNAKT-QMMMGPOBSA-N -1 1 330.366 -0.562 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H](CO)c2cncn2C)o1 ZINC001413325790 1130017845 /nfs/dbraw/zinc/01/78/45/1130017845.db2.gz JQKGHHOBDZZPCM-QMMMGPOBSA-N -1 1 328.350 -0.615 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@](O)(C(F)F)C2)[n-]n1 ZINC001413371980 1130058439 /nfs/dbraw/zinc/05/84/39/1130058439.db2.gz OHVSZSZYUNVQKU-JTQLQIEISA-N -1 1 325.293 -0.413 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@](O)(C(F)F)C2)n[n-]1 ZINC001413371980 1130058444 /nfs/dbraw/zinc/05/84/44/1130058444.db2.gz OHVSZSZYUNVQKU-JTQLQIEISA-N -1 1 325.293 -0.413 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CCCC(F)(F)[C@H]2O)c(=O)[nH]c1=O ZINC001413371440 1130058735 /nfs/dbraw/zinc/05/87/35/1130058735.db2.gz PHTGWABXLLVVOC-SVRRBLITSA-N -1 1 339.320 -0.687 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CC(=O)N(C(C)(C)C)C2)[n-]n1 ZINC001413372643 1130058949 /nfs/dbraw/zinc/05/89/49/1130058949.db2.gz JAYIIWMONUSXIK-QMMMGPOBSA-N -1 1 344.393 -0.126 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CC(=O)N(C(C)(C)C)C2)n[n-]1 ZINC001413372643 1130058956 /nfs/dbraw/zinc/05/89/56/1130058956.db2.gz JAYIIWMONUSXIK-QMMMGPOBSA-N -1 1 344.393 -0.126 20 0 EBADMM CCCOc1ccnc(CC(=O)N2CCOC[C@H]2c2nn[n-]n2)n1 ZINC001413388682 1130068447 /nfs/dbraw/zinc/06/84/47/1130068447.db2.gz QYFVCRNBKYAWLZ-JTQLQIEISA-N -1 1 333.352 -0.079 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)N2CCO[C@@H](CC(N)=O)C2)c1 ZINC001413407999 1130075521 /nfs/dbraw/zinc/07/55/21/1130075521.db2.gz ADLPRTMWSRQNKG-JTQLQIEISA-N -1 1 330.362 -0.334 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCN2C[C@H](C)OC[C@H]2C)[n-]n1 ZINC001413407332 1130075554 /nfs/dbraw/zinc/07/55/54/1130075554.db2.gz VHSVDMHYUBVBJX-ZJUUUORDSA-N -1 1 346.409 -0.416 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCN2C[C@H](C)OC[C@H]2C)n[n-]1 ZINC001413407332 1130075560 /nfs/dbraw/zinc/07/55/60/1130075560.db2.gz VHSVDMHYUBVBJX-ZJUUUORDSA-N -1 1 346.409 -0.416 20 0 EBADMM Cc1nn(C)cc1C1=NO[C@@H](C(=O)NC2(c3nn[n-]n3)CCC2)C1 ZINC001413502356 1130112400 /nfs/dbraw/zinc/11/24/00/1130112400.db2.gz CMBDDYDCVVTZKZ-LLVKDONJSA-N -1 1 330.352 -0.070 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC(c3nnc(C)[nH]3)C2)o1 ZINC001413544466 1130129381 /nfs/dbraw/zinc/12/93/81/1130129381.db2.gz XZLIRIOIHLIKQK-UHFFFAOYSA-N -1 1 325.350 -0.146 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@H](NC(=O)OC)C2)o1 ZINC001413544561 1130129395 /nfs/dbraw/zinc/12/93/95/1130129395.db2.gz DMGLBFNMHVNOMH-QMMMGPOBSA-N -1 1 331.350 -0.242 20 0 EBADMM CCS(=O)(=O)N[C@@H](C)C(=O)NC(C)(C)c1ncc(OC)c(=O)[n-]1 ZINC001413619897 1130197895 /nfs/dbraw/zinc/19/78/95/1130197895.db2.gz DEXIFXPDAYXAHA-QMMMGPOBSA-N -1 1 346.409 -0.130 20 0 EBADMM CC(=O)NCC(=O)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001413715011 1130239763 /nfs/dbraw/zinc/23/97/63/1130239763.db2.gz KGUQBSJUNBPBDM-UHFFFAOYSA-N -1 1 332.360 -0.402 20 0 EBADMM Cc1noc(CCNC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)n1 ZINC001413723901 1130243057 /nfs/dbraw/zinc/24/30/57/1130243057.db2.gz QEVRCRGRHQQAEW-UHFFFAOYSA-N -1 1 334.336 -0.012 20 0 EBADMM C[C@@H](CC(N)=O)C(=O)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001413729048 1130244202 /nfs/dbraw/zinc/24/42/02/1130244202.db2.gz MJTMNFHTYBOMFC-NSHDSACASA-N -1 1 346.387 -0.027 20 0 EBADMM Cc1cn2c(n1)C[C@H](C(=O)NC[C@H](C)NCc1n[nH]c(=O)[n-]1)CC2 ZINC001491494254 1130469422 /nfs/dbraw/zinc/46/94/22/1130469422.db2.gz CQRDMFPTZHZXTP-GXSJLCMTSA-N -1 1 333.396 -0.128 20 0 EBADMM Cc1nnc2n1C[C@H](C(=O)N(C)C)N(C(=O)c1ncccc1[O-])C2 ZINC001413789610 1130491536 /nfs/dbraw/zinc/49/15/36/1130491536.db2.gz TVPDFMPPBPTDII-SNVBAGLBSA-N -1 1 330.348 -0.200 20 0 EBADMM CCCC(=O)N1CCC(NC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001492061396 1130578407 /nfs/dbraw/zinc/57/84/07/1130578407.db2.gz ROXWWGJMQNRAAC-UHFFFAOYSA-N -1 1 336.392 -0.166 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2CCc3nncn3CC2)n[n-]1 ZINC001413844404 1130683816 /nfs/dbraw/zinc/68/38/16/1130683816.db2.gz BXIWQSICVAOZHC-SECBINFHSA-N -1 1 333.352 -0.158 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2CCc3nncn3CC2)n1 ZINC001413844404 1130683818 /nfs/dbraw/zinc/68/38/18/1130683818.db2.gz BXIWQSICVAOZHC-SECBINFHSA-N -1 1 333.352 -0.158 20 0 EBADMM O=C(Nc1c[n-][nH]c1=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001413847911 1130734785 /nfs/dbraw/zinc/73/47/85/1130734785.db2.gz RGGXHOFNMIZHKB-JGVFFNPUSA-N -1 1 328.350 -0.911 20 0 EBADMM Cc1c[nH]cc1C(=O)N1CC(NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001356777094 1130934752 /nfs/dbraw/zinc/93/47/52/1130934752.db2.gz NZVCHOYPJWBZBH-UHFFFAOYSA-N -1 1 345.359 -0.796 20 0 EBADMM CC[C@@H](CNC(=O)c1cocn1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001356873331 1130979076 /nfs/dbraw/zinc/97/90/76/1130979076.db2.gz BCVHHVAWLLKVCJ-JTQLQIEISA-N -1 1 349.347 -0.761 20 0 EBADMM C/C=C(/C)C(=O)NC[C@@H](C)CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001357016009 1131025080 /nfs/dbraw/zinc/02/50/80/1131025080.db2.gz VBNTZFVIYRKMMF-BABIGQSTSA-N -1 1 332.364 -0.134 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCC[C@@H]1CNC(=O)C1CC1 ZINC001357346803 1131069665 /nfs/dbraw/zinc/06/96/65/1131069665.db2.gz KXXMGVYRLUFXDR-CHWSQXEVSA-N -1 1 348.403 -0.262 20 0 EBADMM COC(=O)NCCCC(=O)OCCc1c(C)nc2nc[n-]n2c1=O ZINC001357638983 1131110436 /nfs/dbraw/zinc/11/04/36/1131110436.db2.gz BOCJPFOBKJFVJY-UHFFFAOYSA-N -1 1 337.336 -0.052 20 0 EBADMM C[C@@H](C(=O)NC/C=C/CNC(=O)c1cc(=O)n2[n-]cnc2n1)C1CC1 ZINC001357701060 1131117734 /nfs/dbraw/zinc/11/77/34/1131117734.db2.gz NSLCZBHEPDANRC-VMZHVLLKSA-N -1 1 344.375 -0.134 20 0 EBADMM Cn1cc(C(=O)NCC=CCNC(=O)c2ncccc2[O-])[nH]c1=O ZINC001357750696 1131123098 /nfs/dbraw/zinc/12/30/98/1131123098.db2.gz GFPFNVTVDRAWRH-NSCUHMNNSA-N -1 1 331.332 -0.058 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2Cc3cccnc3N3CCC[C@H]3C2)CNCCO1 ZINC001357999515 1131160170 /nfs/dbraw/zinc/16/01/70/1131160170.db2.gz HKQVWMXSABIGIJ-GUYCJALGSA-N -1 1 346.387 -0.164 20 0 EBADMM O=C(CC1CCC1)NC[C@H]1CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001358596133 1131223548 /nfs/dbraw/zinc/22/35/48/1131223548.db2.gz BPJUWNXKEZNNQS-CYBMUJFWSA-N -1 1 348.403 -0.166 20 0 EBADMM CN(C(=O)Cc1csc([C@H]2CCS(=O)(=O)C2)n1)c1nn[n-]n1 ZINC001361565287 1131422423 /nfs/dbraw/zinc/42/24/23/1131422423.db2.gz KOALSBNVPKUZAT-ZETCQYMHSA-N -1 1 342.406 -0.236 20 0 EBADMM CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc([O-])cc1F ZINC001361807872 1131459302 /nfs/dbraw/zinc/45/93/02/1131459302.db2.gz SGQCODBUWRQMQD-VIFPVBQESA-N -1 1 344.364 -0.093 20 0 EBADMM C[C@@H](NC(=O)c1ccc([O-])cn1)C(=O)N1CCS(=O)(=O)CC1 ZINC001361909055 1131477214 /nfs/dbraw/zinc/47/72/14/1131477214.db2.gz VNVNGUXXRRMKKQ-SECBINFHSA-N -1 1 327.362 -0.838 20 0 EBADMM C[C@H](NC(=O)CNC(=O)c1ncccc1[O-])C(=O)NCC(F)(F)F ZINC001361951564 1131486600 /nfs/dbraw/zinc/48/66/00/1131486600.db2.gz ICTBPBAMDGIZOR-ZETCQYMHSA-N -1 1 348.281 -0.300 20 0 EBADMM CCC(CC)[C@H](CNC(=O)Cn1c(=O)[n-][nH]c1=O)N1CCOCC1 ZINC001362032560 1131500722 /nfs/dbraw/zinc/50/07/22/1131500722.db2.gz ZGLJPHWCEZVWQV-LBPRGKRZSA-N -1 1 341.412 -0.058 20 0 EBADMM CN1CCN(c2ncccc2CNC(=O)Cn2c(=O)[n-][nH]c2=O)CC1 ZINC001362084287 1131512225 /nfs/dbraw/zinc/51/22/25/1131512225.db2.gz AFTACDBYYFRNMF-UHFFFAOYSA-N -1 1 347.379 -0.848 20 0 EBADMM C[C@@H](NC(=O)Cn1c(=O)[n-][nH]c1=O)C1(N2CCOCC2)CCCC1 ZINC001362167268 1131529153 /nfs/dbraw/zinc/52/91/53/1131529153.db2.gz BEUBZXOAHHBVDP-LLVKDONJSA-N -1 1 339.396 -0.161 20 0 EBADMM CCNC(=O)COc1cccc(CNC(=O)CCc2nn[n-]n2)c1 ZINC001362195946 1131533769 /nfs/dbraw/zinc/53/37/69/1131533769.db2.gz LTDHGRBFJPXAMX-UHFFFAOYSA-N -1 1 332.364 -0.036 20 0 EBADMM CN1CCN(C(C)(C)CNC(=O)c2c[n-]n3c2nccc3=O)CC1 ZINC001362245495 1131543739 /nfs/dbraw/zinc/54/37/39/1131543739.db2.gz AVGLQJKUPUPHIW-UHFFFAOYSA-N -1 1 332.408 -0.222 20 0 EBADMM CCOC[C@H](C(=O)OC)N(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC001362281637 1131551542 /nfs/dbraw/zinc/55/15/42/1131551542.db2.gz BYDLQGHDXKSXEC-SNVBAGLBSA-N -1 1 339.348 -0.447 20 0 EBADMM CC1=NS(=O)(=O)N(C)C=C1C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC001362297387 1131554965 /nfs/dbraw/zinc/55/49/65/1131554965.db2.gz DTSWKGHLJNQMFT-ZETCQYMHSA-N -1 1 327.370 -0.703 20 0 EBADMM O=C(CCc1nn[n-]n1)NC[C@@H](O)CS(=O)(=O)c1cccs1 ZINC001362345169 1131565007 /nfs/dbraw/zinc/56/50/07/1131565007.db2.gz BOOBFPCVGPFTRV-MRVPVSSYSA-N -1 1 345.406 -0.855 20 0 EBADMM O=C(CCc1nn[n-]n1)N[C@@H]1CCCN(Cc2ccccn2)C1=O ZINC001362349092 1131565492 /nfs/dbraw/zinc/56/54/92/1131565492.db2.gz DIAKSTRUGJTPDL-GFCCVEGCSA-N -1 1 329.364 -0.165 20 0 EBADMM O=C(C[C@H]1CCCS1(=O)=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC001362412818 1131578601 /nfs/dbraw/zinc/57/86/01/1131578601.db2.gz WZGMYFAHMWPQLN-BDAKNGLRSA-N -1 1 331.423 -0.217 20 0 EBADMM CN(CC(N)=O)C(=O)c1cccc(NC(=O)c2cnncc2[O-])c1 ZINC001362436429 1131583018 /nfs/dbraw/zinc/58/30/18/1131583018.db2.gz AZEQZHFXWFBWBW-UHFFFAOYSA-N -1 1 329.316 -0.008 20 0 EBADMM O=C([C@@H]1CC(c2ccccn2)=NO1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362439826 1131583697 /nfs/dbraw/zinc/58/36/97/1131583697.db2.gz YKENKTRKMPFGDR-LBPRGKRZSA-N -1 1 343.347 -0.402 20 0 EBADMM COc1ccc(C[C@@H](CO)CNC(=O)CCc2nn[n-]n2)cc1O ZINC001362480806 1131592588 /nfs/dbraw/zinc/59/25/88/1131592588.db2.gz OHOJFLDETILLPW-LLVKDONJSA-N -1 1 335.364 -0.186 20 0 EBADMM Cc1nn(C)cc1C1=NO[C@@H](C(=O)N(C)C[C@H](C)c2nn[n-]n2)C1 ZINC001362505977 1131598011 /nfs/dbraw/zinc/59/80/11/1131598011.db2.gz YPNVJZJZPGOVEL-QPUJVOFHSA-N -1 1 332.368 -0.003 20 0 EBADMM Cc1nnc2n1C[C@H](C(=O)N1CCSC[C@H]1c1nn[n-]n1)CC2 ZINC001362523096 1131601233 /nfs/dbraw/zinc/60/12/33/1131601233.db2.gz ZSQDVRDTEVTSBV-ZJUUUORDSA-N -1 1 334.409 -0.021 20 0 EBADMM CC(C)NS(=O)(=O)N1CCN(C(=O)c2cnncc2[O-])CC1 ZINC001362530595 1131602810 /nfs/dbraw/zinc/60/28/10/1131602810.db2.gz AWTGRPHCKPKTLY-UHFFFAOYSA-N -1 1 329.382 -0.817 20 0 EBADMM COC(=O)[C@@H](COC(C)C)N(C)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001362554169 1131609455 /nfs/dbraw/zinc/60/94/55/1131609455.db2.gz BDFHRRUNTCPNOO-MRVPVSSYSA-N -1 1 343.336 -0.065 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCCC[C@H](S(C)(=O)=O)C1 ZINC001362592535 1131619117 /nfs/dbraw/zinc/61/91/17/1131619117.db2.gz RDLXIPUAUKKBRU-QMMMGPOBSA-N -1 1 345.377 -0.064 20 0 EBADMM CS(=O)(=O)c1ccc(F)c(CNC(=O)CCc2nn[n-]n2)c1 ZINC001362714733 1131650905 /nfs/dbraw/zinc/65/09/05/1131650905.db2.gz DHHDUIGBZGWDHU-UHFFFAOYSA-N -1 1 327.341 -0.009 20 0 EBADMM COC(=O)N1CCC(NC(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC001362724107 1131653986 /nfs/dbraw/zinc/65/39/86/1131653986.db2.gz QCDMJCJQLCKJDR-UHFFFAOYSA-N -1 1 336.348 -0.136 20 0 EBADMM O=C(CN1CCc2ccccc2C1=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001362757087 1131664741 /nfs/dbraw/zinc/66/47/41/1131664741.db2.gz ADSGEPOLDVQFTD-CYBMUJFWSA-N -1 1 342.359 -0.202 20 0 EBADMM O=C([C@@H]1C[C@H]2CC[C@@H](C1)S2(=O)=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001362808594 1131682016 /nfs/dbraw/zinc/68/20/16/1131682016.db2.gz WNBJTWHXNUHOJS-DBIOUOCHSA-N -1 1 325.394 -0.129 20 0 EBADMM CNS(=O)(=O)c1csc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)c1 ZINC001362821176 1131685908 /nfs/dbraw/zinc/68/59/08/1131685908.db2.gz ISZWKEFDXCNHGY-ZETCQYMHSA-N -1 1 342.406 -0.201 20 0 EBADMM CC(C)CN(Cc1nn[n-]n1)C(=O)c1cn(CC(=O)N(C)C)nn1 ZINC001362833651 1131689538 /nfs/dbraw/zinc/68/95/38/1131689538.db2.gz XWLTUXOXRVGOGU-UHFFFAOYSA-N -1 1 335.372 -0.822 20 0 EBADMM CSc1nc(CNC(=O)C2CN(S(C)(=O)=O)C2)cc(=O)[n-]1 ZINC001362856118 1131696820 /nfs/dbraw/zinc/69/68/20/1131696820.db2.gz VKEXAXCZQQJXMP-UHFFFAOYSA-N -1 1 332.407 -0.588 20 0 EBADMM Cn1nnc2c1C[C@H](C(=O)N1CCC[C@H](Cc3nn[n-]n3)C1)CC2 ZINC001362902626 1131708209 /nfs/dbraw/zinc/70/82/09/1131708209.db2.gz DPPWJHZJUPPJRL-GHMZBOCLSA-N -1 1 330.396 -0.086 20 0 EBADMM COC[C@H](NC(=O)[C@H]1CC(=O)N(Cc2ccco2)C1)c1nn[n-]n1 ZINC001362912413 1131711609 /nfs/dbraw/zinc/71/16/09/1131711609.db2.gz XSUKJDMYFQLNCN-ONGXEEELSA-N -1 1 334.336 -0.355 20 0 EBADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N[C@@H]1C[C@@H](O)[C@@H](O)C1 ZINC001362951224 1131721563 /nfs/dbraw/zinc/72/15/63/1131721563.db2.gz OESLKUIDILDWMQ-DEZZGGKRSA-N -1 1 344.371 -0.317 20 0 EBADMM O=C([C@H]1CCCCS1(=O)=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC001362971938 1131729335 /nfs/dbraw/zinc/72/93/35/1131729335.db2.gz MKOZIVWQDLWTMH-RKDXNWHRSA-N -1 1 331.423 -0.217 20 0 EBADMM C[C@@H](NC(=O)Cn1cnnn1)C(=O)Nc1cc([O-])c(F)cc1F ZINC001362987624 1131735557 /nfs/dbraw/zinc/73/55/57/1131735557.db2.gz TVUMLFYFBJIESU-ZCFIWIBFSA-N -1 1 326.263 -0.200 20 0 EBADMM CN(C)S(=O)(=O)c1cccc(CNC(=O)CCc2nn[n-]n2)c1 ZINC001363031099 1131755123 /nfs/dbraw/zinc/75/51/23/1131755123.db2.gz VSUQBCCOSALAPT-UHFFFAOYSA-N -1 1 338.393 -0.301 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCO[C@H](Cn2ccnn2)C1 ZINC001363100840 1131784787 /nfs/dbraw/zinc/78/47/87/1131784787.db2.gz VEOVMOCJDMIRCW-QMMMGPOBSA-N -1 1 336.308 -0.971 20 0 EBADMM COC(=O)[C@@H](Cn1ccnc1)NC(=O)CNC(=O)c1ncccc1[O-] ZINC001363115735 1131789986 /nfs/dbraw/zinc/78/99/86/1131789986.db2.gz AVSVBYDHKSFVLO-SNVBAGLBSA-N -1 1 347.331 -0.929 20 0 EBADMM COC(=O)c1c(NC(=O)C[C@H]2COC(=O)C2)n[n-]c1OCCO ZINC001363118091 1131791492 /nfs/dbraw/zinc/79/14/92/1131791492.db2.gz GGMSCCTWAXLVRT-SSDOTTSWSA-N -1 1 327.293 -0.541 20 0 EBADMM COC(=O)c1c(OCCO)n[n-]c1NC(=O)C[C@H]1COC(=O)C1 ZINC001363118091 1131791497 /nfs/dbraw/zinc/79/14/97/1131791497.db2.gz GGMSCCTWAXLVRT-SSDOTTSWSA-N -1 1 327.293 -0.541 20 0 EBADMM COC(=O)[C@@]1(C)C[C@H](OC)CN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001363131179 1131794950 /nfs/dbraw/zinc/79/49/50/1131794950.db2.gz VLMSWPPDQPIEAU-JKYUHCHBSA-N -1 1 341.320 -0.311 20 0 EBADMM CN(C(=O)C1(O)CN(C(=O)OCc2ccccc2)C1)c1nn[n-]n1 ZINC001363152838 1131806342 /nfs/dbraw/zinc/80/63/42/1131806342.db2.gz UGKQYAZJQLZZJL-UHFFFAOYSA-N -1 1 332.320 -0.454 20 0 EBADMM CS[C@H]1C[C@H](NC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C1 ZINC001363170046 1131811993 /nfs/dbraw/zinc/81/19/93/1131811993.db2.gz UNYGKYHYZLOWKC-ZKCHVHJHSA-N -1 1 347.418 -0.333 20 0 EBADMM CN(C[C@H]1CCCN(C(=O)c2cnncc2[O-])C1)S(C)(=O)=O ZINC001363170479 1131813940 /nfs/dbraw/zinc/81/39/40/1131813940.db2.gz AJSRFMXQYZHCSV-SNVBAGLBSA-N -1 1 328.394 -0.074 20 0 EBADMM Cn1cc(N2C[C@@H](C(=O)NC3(c4nn[n-]n4)CCC3)CCC2=O)cn1 ZINC001363276027 1131866521 /nfs/dbraw/zinc/86/65/21/1131866521.db2.gz BGALGTAAKFZOBB-JTQLQIEISA-N -1 1 344.379 -0.128 20 0 EBADMM CO[C@@]1(C(=O)N2CCC(c3nn[n-]n3)CC2)CCS(=O)(=O)C1 ZINC001363283838 1131869776 /nfs/dbraw/zinc/86/97/76/1131869776.db2.gz TVBISYJZNNAMCZ-LBPRGKRZSA-N -1 1 329.382 -0.891 20 0 EBADMM CO[C@]1(C(=O)N2CCC(c3nn[n-]n3)CC2)CCS(=O)(=O)C1 ZINC001363283831 1131870230 /nfs/dbraw/zinc/87/02/30/1131870230.db2.gz TVBISYJZNNAMCZ-GFCCVEGCSA-N -1 1 329.382 -0.891 20 0 EBADMM NC(=O)[C@@H]1CN(C(=O)c2c([O-])cnc3c(F)cccc32)C[C@H]1C(N)=O ZINC001363318180 1131894894 /nfs/dbraw/zinc/89/48/94/1131894894.db2.gz SJCIRAAJYBILIN-RKDXNWHRSA-N -1 1 346.318 -0.262 20 0 EBADMM COC[C@@H](NC(=O)[C@@H]1CN(C)C(=O)c2ccccc21)c1nn[n-]n1 ZINC001363435195 1131948202 /nfs/dbraw/zinc/94/82/02/1131948202.db2.gz LZJJZYDEDXLUQW-VXGBXAGGSA-N -1 1 330.348 -0.127 20 0 EBADMM COC(=O)c1cnn(CC(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)c1 ZINC001363437786 1131949856 /nfs/dbraw/zinc/94/98/56/1131949856.db2.gz VZTLSPJVAKRAHV-SNVBAGLBSA-N -1 1 333.352 -0.336 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2[C@H](C)C(=O)N[C@H](C)[C@@H]2C)co1 ZINC001363467766 1131961618 /nfs/dbraw/zinc/96/16/18/1131961618.db2.gz GOUJJZMWNGQLMI-HRDYMLBCSA-N -1 1 329.378 -0.075 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2[C@H](C)C(=O)N[C@H](C)[C@H]2C)co1 ZINC001363467767 1131961932 /nfs/dbraw/zinc/96/19/32/1131961932.db2.gz GOUJJZMWNGQLMI-IWSPIJDZSA-N -1 1 329.378 -0.075 20 0 EBADMM NS(=O)(=O)c1cc2c(s1)CCN(C(=O)CCc1nn[n-]n1)C2 ZINC001363489786 1131971051 /nfs/dbraw/zinc/97/10/51/1131971051.db2.gz ACAIMLQRNFXZGA-UHFFFAOYSA-N -1 1 342.406 -0.574 20 0 EBADMM CSCC[C@H](NC(=O)[C@@H]1CCCN(C(N)=O)C1)c1nn[n-]n1 ZINC001363490503 1131972118 /nfs/dbraw/zinc/97/21/18/1131972118.db2.gz IFFLTXVIEJRHOO-BDAKNGLRSA-N -1 1 327.414 -0.099 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCN[C@@H](c2cn[nH]c2)C1 ZINC001363497381 1131975983 /nfs/dbraw/zinc/97/59/83/1131975983.db2.gz GSFJZIDKKPRRIT-LLVKDONJSA-N -1 1 330.348 -0.587 20 0 EBADMM O=C(CS[C@@H]1CCS(=O)(=O)C1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001363509839 1131983925 /nfs/dbraw/zinc/98/39/25/1131983925.db2.gz CKFMBTKAQZVVAE-RKDXNWHRSA-N -1 1 347.422 -0.980 20 0 EBADMM O=C([C@@H]1CNC(=O)C[C@@H]1C(F)(F)F)N1CC[C@H](c2nn[n-]n2)C1 ZINC001363521794 1131989333 /nfs/dbraw/zinc/98/93/33/1131989333.db2.gz AQSQSDROEWJOGV-RNJXMRFFSA-N -1 1 332.286 -0.170 20 0 EBADMM COC(=O)[C@H](NC(=O)CNC(=O)c1ncccc1[O-])c1ccnn1C ZINC001363521104 1131989862 /nfs/dbraw/zinc/98/98/62/1131989862.db2.gz LXWWHDVHGMTOAA-GFCCVEGCSA-N -1 1 347.331 -0.719 20 0 EBADMM CN(C)S(=O)(=O)c1ccc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)o1 ZINC001363523617 1131991615 /nfs/dbraw/zinc/99/16/15/1131991615.db2.gz JHKMVDSWEUVXIW-MRVPVSSYSA-N -1 1 340.365 -0.327 20 0 EBADMM CN(C)S(=O)(=O)c1c[nH]c(C(=O)NC2(c3nn[n-]n3)CCC2)c1 ZINC001363546872 1132006254 /nfs/dbraw/zinc/00/62/54/1132006254.db2.gz FHAKPTGJQJWCRR-UHFFFAOYSA-N -1 1 339.381 -0.413 20 0 EBADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)CCNC(=O)NC ZINC001363553445 1132011145 /nfs/dbraw/zinc/01/11/45/1132011145.db2.gz BFWZYHCOBXNVTN-SECBINFHSA-N -1 1 338.368 -0.036 20 0 EBADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)CCNC(=O)NC ZINC001363553445 1132011147 /nfs/dbraw/zinc/01/11/47/1132011147.db2.gz BFWZYHCOBXNVTN-SECBINFHSA-N -1 1 338.368 -0.036 20 0 EBADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)CCNC(=O)NC ZINC001363553445 1132011148 /nfs/dbraw/zinc/01/11/48/1132011148.db2.gz BFWZYHCOBXNVTN-SECBINFHSA-N -1 1 338.368 -0.036 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2n[nH]cc2C(=O)N(C)C)o1 ZINC001363553999 1132011271 /nfs/dbraw/zinc/01/12/71/1132011271.db2.gz HLIFVUFZYNLLMF-UHFFFAOYSA-N -1 1 341.349 -0.135 20 0 EBADMM CSCC[C@H](NC(N)=O)C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001363584823 1132023764 /nfs/dbraw/zinc/02/37/64/1132023764.db2.gz OKVNJDBVBROVFI-ZJUUUORDSA-N -1 1 341.441 -0.229 20 0 EBADMM CCOC(=O)C1(CC(=O)N[C@@H](COC)c2nn[n-]n2)CCOCC1 ZINC001363588494 1132024615 /nfs/dbraw/zinc/02/46/15/1132024615.db2.gz NQEDQIMDIIHTFJ-JTQLQIEISA-N -1 1 341.368 -0.247 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CC[C@@H](O)[C@@H](O)CC2)co1 ZINC001363600639 1132029178 /nfs/dbraw/zinc/02/91/78/1132029178.db2.gz WJSMKRKNMWZWEF-RTCCRHLQSA-N -1 1 332.378 -0.418 20 0 EBADMM C[C@@H](NC(=O)CNC(=O)c1ncccc1[O-])c1nnnn1C1CC1 ZINC001363632223 1132038594 /nfs/dbraw/zinc/03/85/94/1132038594.db2.gz ULAQWOFLVSXCTF-MRVPVSSYSA-N -1 1 331.336 -0.284 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@]12CC(=O)N[C@H]1CCCC2 ZINC001363676358 1132054104 /nfs/dbraw/zinc/05/41/04/1132054104.db2.gz XQUHUIZFWOCJQM-MEDUHNTESA-N -1 1 332.360 -0.166 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)[C@]2(C)CCCS2(=O)=O)n[n-]1 ZINC001363707808 1132063444 /nfs/dbraw/zinc/06/34/44/1132063444.db2.gz KXVOYMXMVFKESZ-LBPRGKRZSA-N -1 1 330.366 -0.435 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@]2(C)CCCS2(=O)=O)n1 ZINC001363707808 1132063452 /nfs/dbraw/zinc/06/34/52/1132063452.db2.gz KXVOYMXMVFKESZ-LBPRGKRZSA-N -1 1 330.366 -0.435 20 0 EBADMM COC(=O)c1c(NC(=O)CCc2ccncn2)n[n-]c1OCCO ZINC001363733939 1132071960 /nfs/dbraw/zinc/07/19/60/1132071960.db2.gz NQEAKYKPTXXCIT-UHFFFAOYSA-N -1 1 335.320 -0.071 20 0 EBADMM COC(=O)c1c(OCCO)n[n-]c1NC(=O)CCc1ccncn1 ZINC001363733939 1132071968 /nfs/dbraw/zinc/07/19/68/1132071968.db2.gz NQEAKYKPTXXCIT-UHFFFAOYSA-N -1 1 335.320 -0.071 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CC[C@@H](c2cc(=O)[nH][nH]2)C1 ZINC001363763303 1132079364 /nfs/dbraw/zinc/07/93/64/1132079364.db2.gz QGYCJDQQSXKVPM-SECBINFHSA-N -1 1 331.332 -0.038 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC2(O)CCCCCC2)c(=O)[nH]c1=O ZINC001363792348 1132090143 /nfs/dbraw/zinc/09/01/43/1132090143.db2.gz SCNPJHQYOGXBGU-UHFFFAOYSA-N -1 1 331.394 -0.151 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H](CO)CC(F)(F)F)c(=O)[nH]c1=O ZINC001363839442 1132107415 /nfs/dbraw/zinc/10/74/15/1132107415.db2.gz XAUAAIZCKQSERB-RXMQYKEDSA-N -1 1 331.272 -0.923 20 0 EBADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H]2COCCN2CC)n[n-]1 ZINC001363888702 1132121176 /nfs/dbraw/zinc/12/11/76/1132121176.db2.gz SAXCKNJTSUGBMG-VHSXEESVSA-N -1 1 325.369 -0.121 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H]2COCCN2CC)n1 ZINC001363888702 1132121180 /nfs/dbraw/zinc/12/11/80/1132121180.db2.gz SAXCKNJTSUGBMG-VHSXEESVSA-N -1 1 325.369 -0.121 20 0 EBADMM Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(C(=O)c1cnncc1[O-])C2 ZINC001363900277 1132124770 /nfs/dbraw/zinc/12/47/70/1132124770.db2.gz OZYVTRHXLNGIMP-JTQLQIEISA-N -1 1 331.336 -0.805 20 0 EBADMM C[C@@H]1C[C@H](CC[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)CCO1 ZINC001363934506 1132134997 /nfs/dbraw/zinc/13/49/97/1132134997.db2.gz IMRKSPFUNYJIOD-NXEZZACHSA-N -1 1 331.394 -0.031 20 0 EBADMM CC(C)(C)OC(=O)N1CC2(C1)OCCN2C(=O)CCc1nn[n-]n1 ZINC001363960262 1132144169 /nfs/dbraw/zinc/14/41/69/1132144169.db2.gz BAPYZCYBMWAGCT-UHFFFAOYSA-N -1 1 338.368 -0.062 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCOC[C@@]23CCOC3)[n-]n1 ZINC001363967879 1132146658 /nfs/dbraw/zinc/14/66/58/1132146658.db2.gz LYOLGYLDAVMNBO-LBPRGKRZSA-N -1 1 331.350 -0.624 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCOC[C@@]23CCOC3)n[n-]1 ZINC001363967879 1132146661 /nfs/dbraw/zinc/14/66/61/1132146661.db2.gz LYOLGYLDAVMNBO-LBPRGKRZSA-N -1 1 331.350 -0.624 20 0 EBADMM NC(=O)[C@@]1([N-]S(=O)(=O)c2nc[nH]c2Br)CCOC1 ZINC001364012851 1132164986 /nfs/dbraw/zinc/16/49/86/1132164986.db2.gz WLNFXFOBDRJQFL-MRVPVSSYSA-N -1 1 339.171 -0.905 20 0 EBADMM NC(=O)[C@]1([N-]S(=O)(=O)c2nc[nH]c2Br)CCOC1 ZINC001364012854 1132165426 /nfs/dbraw/zinc/16/54/26/1132165426.db2.gz WLNFXFOBDRJQFL-QMMMGPOBSA-N -1 1 339.171 -0.905 20 0 EBADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)C(C)(C)C ZINC001364029923 1132172213 /nfs/dbraw/zinc/17/22/13/1132172213.db2.gz SDMYXLIOJFYAQZ-MRVPVSSYSA-N -1 1 333.366 -0.648 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-][C@H]2C(=O)NCC23CCOCC3)c1Cl ZINC001364049870 1132184076 /nfs/dbraw/zinc/18/40/76/1132184076.db2.gz OMHZPGBRAOMVJA-QMMMGPOBSA-N -1 1 348.812 -0.353 20 0 EBADMM CC(C)(C[N-]S(=O)(=O)N=S1(=O)CCCC1)CS(N)(=O)=O ZINC001364051112 1132185057 /nfs/dbraw/zinc/18/50/57/1132185057.db2.gz IKFGYCROZWKURC-UHFFFAOYSA-N -1 1 347.484 -0.603 20 0 EBADMM CC(C)COC(=O)[C@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001364054584 1132186835 /nfs/dbraw/zinc/18/68/35/1132186835.db2.gz NJNYVLJBIQZRHP-QMMMGPOBSA-N -1 1 333.366 -0.648 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)CS(=O)(=O)N(CC)CC)n[n-]1 ZINC001364061243 1132190229 /nfs/dbraw/zinc/19/02/29/1132190229.db2.gz JKIJWSBNPOXUNX-UHFFFAOYSA-N -1 1 347.397 -0.731 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)CS(=O)(=O)N(CC)CC)n1 ZINC001364061243 1132190245 /nfs/dbraw/zinc/19/02/45/1132190245.db2.gz JKIJWSBNPOXUNX-UHFFFAOYSA-N -1 1 347.397 -0.731 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H](O)c1ccnn1C ZINC001364062207 1132191242 /nfs/dbraw/zinc/19/12/42/1132191242.db2.gz OCITZVJMOJREPM-SNVBAGLBSA-N -1 1 343.365 -0.668 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2[C@H](C)C(=O)N(C)[C@@H](C)[C@H]2C)[n-]n1 ZINC001364069517 1132194700 /nfs/dbraw/zinc/19/47/00/1132194700.db2.gz HLSDMESSALEBQE-DJLDLDEBSA-N -1 1 344.393 -0.176 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2[C@H](C)C(=O)N(C)[C@@H](C)[C@H]2C)n[n-]1 ZINC001364069517 1132194703 /nfs/dbraw/zinc/19/47/03/1132194703.db2.gz HLSDMESSALEBQE-DJLDLDEBSA-N -1 1 344.393 -0.176 20 0 EBADMM Cn1cnnc1[C@@H](CO)[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC001364076525 1132198339 /nfs/dbraw/zinc/19/83/39/1132198339.db2.gz SWTIPPQWPADKDU-RXMQYKEDSA-N -1 1 340.287 -0.431 20 0 EBADMM CS(=O)(=O)C[C@H](O)C[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC001364113800 1132211434 /nfs/dbraw/zinc/21/14/34/1132211434.db2.gz ADBJSHGTNZVIOS-ZCFIWIBFSA-N -1 1 333.334 -0.099 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-][C@](C)(Cn2cccn2)C(N)=O)c1Cl ZINC001364156021 1132221790 /nfs/dbraw/zinc/22/17/90/1132221790.db2.gz XXCODBOHXOIJFP-LLVKDONJSA-N -1 1 346.800 -0.508 20 0 EBADMM NC(=O)c1ccccc1CCC(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001364382737 1132300881 /nfs/dbraw/zinc/30/08/81/1132300881.db2.gz IBYIISUSIVSYMA-GFCCVEGCSA-N -1 1 330.348 -0.169 20 0 EBADMM O=c1[n-]c(CN2CCC[C@@H]3OCCNC(=O)[C@@H]32)nc2c1COCC2 ZINC001364472589 1132330196 /nfs/dbraw/zinc/33/01/96/1132330196.db2.gz COHSTIVZENBXKT-GXTWGEPZSA-N -1 1 334.376 -0.266 20 0 EBADMM CC(C)(C)n1nnc(CC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)n1 ZINC001364548293 1132358687 /nfs/dbraw/zinc/35/86/87/1132358687.db2.gz HCDUADLIXAKFNG-UHFFFAOYSA-N -1 1 347.379 -0.145 20 0 EBADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-][C@@H](C(N)=O)c1ccccc1 ZINC001364772388 1132421796 /nfs/dbraw/zinc/42/17/96/1132421796.db2.gz BRZQBGZIDDZFHZ-CFMSYZGJSA-N -1 1 334.423 -0.378 20 0 EBADMM COc1cc(S(=O)(=O)[N-]C[C@H](O)CN2CCCC2=O)sn1 ZINC001364803540 1132432400 /nfs/dbraw/zinc/43/24/00/1132432400.db2.gz IUNOFKGFNGDLLV-QMMMGPOBSA-N -1 1 335.407 -0.587 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCC3(C2)OCCO3)[n-]n1 ZINC001364809211 1132434450 /nfs/dbraw/zinc/43/44/50/1132434450.db2.gz JUFUNJOLXKOSHW-QMMMGPOBSA-N -1 1 331.350 -0.230 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCC3(C2)OCCO3)n[n-]1 ZINC001364809211 1132434454 /nfs/dbraw/zinc/43/44/54/1132434454.db2.gz JUFUNJOLXKOSHW-QMMMGPOBSA-N -1 1 331.350 -0.230 20 0 EBADMM COC[C@@H](NC(=O)CNC(=O)NC1CCCCC1)c1nn[n-]n1 ZINC001364814936 1132436207 /nfs/dbraw/zinc/43/62/07/1132436207.db2.gz OERVRJSWYGAMCP-SNVBAGLBSA-N -1 1 325.373 -0.365 20 0 EBADMM COC[C@H]([N-]S(=O)(=O)C1CCC(C(=O)OC)CC1)C(=O)OC ZINC001364831817 1132446717 /nfs/dbraw/zinc/44/67/17/1132446717.db2.gz UGLXJSGKCLPUIC-ILDUYXDCSA-N -1 1 337.394 -0.174 20 0 EBADMM Cn1nnc(C[N-]S(=O)(=O)C[C@@H]2COc3ccccc3O2)n1 ZINC001364834675 1132447932 /nfs/dbraw/zinc/44/79/32/1132447932.db2.gz TWQPJNJWKDWQAC-VIFPVBQESA-N -1 1 325.350 -0.531 20 0 EBADMM CCC[C@@H]1C(=O)NCCN1S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001364840806 1132449733 /nfs/dbraw/zinc/44/97/33/1132449733.db2.gz WIVYYDULXARIDT-SECBINFHSA-N -1 1 330.366 -0.515 20 0 EBADMM CCC[C@@H]1C(=O)NCCN1S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001364840806 1132449737 /nfs/dbraw/zinc/44/97/37/1132449737.db2.gz WIVYYDULXARIDT-SECBINFHSA-N -1 1 330.366 -0.515 20 0 EBADMM CCC[C@H]1C(=O)NCCN1S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001364840808 1132449889 /nfs/dbraw/zinc/44/98/89/1132449889.db2.gz WIVYYDULXARIDT-VIFPVBQESA-N -1 1 330.366 -0.515 20 0 EBADMM CCC[C@H]1C(=O)NCCN1S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001364840808 1132449895 /nfs/dbraw/zinc/44/98/95/1132449895.db2.gz WIVYYDULXARIDT-VIFPVBQESA-N -1 1 330.366 -0.515 20 0 EBADMM COC(=O)[C@@H](Cc1ccncc1)[N-]S(=O)(=O)C[C@@H](C)C(=O)OC ZINC001364851204 1132453591 /nfs/dbraw/zinc/45/35/91/1132453591.db2.gz KYIJWVYSVNNHGJ-ZYHUDNBSSA-N -1 1 344.389 -0.106 20 0 EBADMM CS(C)(=O)=NC(=O)C[N-]S(=O)(=O)CCOC1CCOCC1 ZINC001364865073 1132459232 /nfs/dbraw/zinc/45/92/32/1132459232.db2.gz AWTSGPDHWYKEOG-UHFFFAOYSA-N -1 1 342.439 -0.644 20 0 EBADMM CC[C@](COC)(NS(=O)(=O)c1cc(C(=O)OC)n[n-]1)C(=O)OC ZINC001364874525 1132463509 /nfs/dbraw/zinc/46/35/09/1132463509.db2.gz CHBRIVMJEPPTSM-GFCCVEGCSA-N -1 1 349.365 -0.557 20 0 EBADMM CC[C@](COC)(NS(=O)(=O)c1cc(C(=O)OC)[n-]n1)C(=O)OC ZINC001364874525 1132463512 /nfs/dbraw/zinc/46/35/12/1132463512.db2.gz CHBRIVMJEPPTSM-GFCCVEGCSA-N -1 1 349.365 -0.557 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@@H](OC)[C@H]2CCOC2)[n-]n1 ZINC001364882318 1132468488 /nfs/dbraw/zinc/46/84/88/1132468488.db2.gz YRZWYAOHPNSMMK-WCBMZHEXSA-N -1 1 333.366 -0.474 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@@H](OC)[C@H]2CCOC2)n[n-]1 ZINC001364882318 1132468489 /nfs/dbraw/zinc/46/84/89/1132468489.db2.gz YRZWYAOHPNSMMK-WCBMZHEXSA-N -1 1 333.366 -0.474 20 0 EBADMM CC[C@@H](C)C[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)C(=O)OC ZINC001364888677 1132471235 /nfs/dbraw/zinc/47/12/35/1132471235.db2.gz YMSNNHFHDVUCPB-BDAKNGLRSA-N -1 1 347.393 -0.258 20 0 EBADMM Cn1ncc(S(=O)(=O)[N-]CCn2cnnn2)c1C(F)(F)F ZINC001364900478 1132474890 /nfs/dbraw/zinc/47/48/90/1132474890.db2.gz KIUDGKTZJUBUCK-UHFFFAOYSA-N -1 1 325.276 -0.596 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](CO)CN2CCOCC2)c1 ZINC001364904495 1132477737 /nfs/dbraw/zinc/47/77/37/1132477737.db2.gz ZNEDSVMMUPAZJA-LLVKDONJSA-N -1 1 346.405 -0.628 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@](OC)(C(=O)OC)C2)[n-]n1 ZINC001364914083 1132482857 /nfs/dbraw/zinc/48/28/57/1132482857.db2.gz XQYUUCVPDKWGRI-GFCCVEGCSA-N -1 1 347.349 -0.851 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@](OC)(C(=O)OC)C2)n[n-]1 ZINC001364914083 1132482861 /nfs/dbraw/zinc/48/28/61/1132482861.db2.gz XQYUUCVPDKWGRI-GFCCVEGCSA-N -1 1 347.349 -0.851 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC(=O)c1cncs1 ZINC001364961478 1132505163 /nfs/dbraw/zinc/50/51/63/1132505163.db2.gz VRNLLBSNGORZFH-UHFFFAOYSA-N -1 1 330.347 -0.186 20 0 EBADMM COc1cc(S(=O)(=O)[N-][C@H]2CS(=O)(=O)C[C@H]2C)sn1 ZINC001364965490 1132505995 /nfs/dbraw/zinc/50/59/95/1132505995.db2.gz MWLWLKKTSYXYBB-RQJHMYQMSA-N -1 1 326.421 -0.137 20 0 EBADMM COC(=O)C1(S(=O)(=O)[N-][C@](C)(Cn2cccn2)C(N)=O)CCC1 ZINC001364977747 1132509507 /nfs/dbraw/zinc/50/95/07/1132509507.db2.gz WDUYSONVFDBOKB-GFCCVEGCSA-N -1 1 344.393 -0.858 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC(=O)c1cnn(C)c1 ZINC001364982961 1132511796 /nfs/dbraw/zinc/51/17/96/1132511796.db2.gz KAUXNFYANBKFKP-UHFFFAOYSA-N -1 1 341.349 -0.519 20 0 EBADMM COC(=O)[C@H](CC1OCCO1)[N-]S(=O)(=O)C[C@H]1CCCOC1 ZINC001364991553 1132513632 /nfs/dbraw/zinc/51/36/32/1132513632.db2.gz JPJJNNMOMOQHON-QWRGUYRKSA-N -1 1 337.394 -0.363 20 0 EBADMM Cc1nn(C)c(C)c1[C@@H](O)C[N-]S(=O)(=O)c1c(C)onc1N ZINC001365000499 1132517178 /nfs/dbraw/zinc/51/71/78/1132517178.db2.gz DJVAQCAQENWIGK-VIFPVBQESA-N -1 1 329.382 -0.073 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC(=O)c2cnn(C)c2)o1 ZINC001365052483 1132529781 /nfs/dbraw/zinc/52/97/81/1132529781.db2.gz DJDTVTVTRSNZOD-UHFFFAOYSA-N -1 1 326.334 -0.466 20 0 EBADMM CCn1[n-]nnc1=NC(=O)NCc1cccnc1N1CCN(C)CC1 ZINC001365055699 1132531113 /nfs/dbraw/zinc/53/11/13/1132531113.db2.gz YZZXTCZQDXQJEA-UHFFFAOYSA-N -1 1 345.411 -0.417 20 0 EBADMM CO[C@H]1CC[C@@H]1N(C)C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC001365156742 1132563005 /nfs/dbraw/zinc/56/30/05/1132563005.db2.gz DCRQXDQHTMSDOM-IUCAKERBSA-N -1 1 345.377 -0.707 20 0 EBADMM CC(C)NC(=O)[C@H](C)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001365238098 1132588131 /nfs/dbraw/zinc/58/81/31/1132588131.db2.gz FNHYUCQPMGQECB-VIFPVBQESA-N -1 1 337.380 -0.033 20 0 EBADMM C[C@@H]1CC(=O)NCCN1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001365242466 1132588668 /nfs/dbraw/zinc/58/86/68/1132588668.db2.gz OQFBSRFQAAGGFS-SECBINFHSA-N -1 1 335.364 -0.325 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@](C)(CO)c2ccccc2)c(=O)[nH]c1=O ZINC001365375081 1132639416 /nfs/dbraw/zinc/63/94/16/1132639416.db2.gz BRLNNSQTLJEBEG-AWEZNQCLSA-N -1 1 339.373 -0.328 20 0 EBADMM CCOC(=O)C1(S(=O)(=O)[N-]CC(=O)Nc2ccn(C)n2)CCC1 ZINC001365399672 1132651454 /nfs/dbraw/zinc/65/14/54/1132651454.db2.gz IIDIUTWYQRFQQQ-UHFFFAOYSA-N -1 1 344.393 -0.236 20 0 EBADMM CC[C@@H](CNS(C)(=O)=O)[N-]S(=O)(=O)c1csnc1OC ZINC001365403074 1132654138 /nfs/dbraw/zinc/65/41/38/1132654138.db2.gz IFHMGSPLDRKYCK-ZETCQYMHSA-N -1 1 343.452 -0.242 20 0 EBADMM COC(=O)c1cccc(CS(=O)(=O)[N-][C@@](C)(CO)C(=O)OC)c1 ZINC001365416508 1132659899 /nfs/dbraw/zinc/65/98/99/1132659899.db2.gz GHPFZKWQMWUFNV-AWEZNQCLSA-N -1 1 345.373 -0.183 20 0 EBADMM Cn1cc([C@@H](O)CNC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)cn1 ZINC001365431437 1132670550 /nfs/dbraw/zinc/67/05/50/1132670550.db2.gz WGXVTMHFHYNEAR-LBPRGKRZSA-N -1 1 348.363 -0.479 20 0 EBADMM Cn1nc(C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)cc1C1CC1 ZINC001365463225 1132694767 /nfs/dbraw/zinc/69/47/67/1132694767.db2.gz YDJAKAXSSNQEGO-UHFFFAOYSA-N -1 1 339.377 -0.425 20 0 EBADMM C[C@@H](Cc1cccnc1)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC001365468491 1132697629 /nfs/dbraw/zinc/69/76/29/1132697629.db2.gz AQXVOYYKYYEYOD-JTQLQIEISA-N -1 1 338.389 -0.612 20 0 EBADMM C[C@@]1(CNC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CCC(=O)N1 ZINC001365643286 1132744403 /nfs/dbraw/zinc/74/44/03/1132744403.db2.gz ZAINPDFNBNXGSO-HNNXBMFYSA-N -1 1 335.364 -0.277 20 0 EBADMM CNC(=O)C(C)(C)CNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001365664637 1132752637 /nfs/dbraw/zinc/75/26/37/1132752637.db2.gz OBJZCENNVFVSOQ-UHFFFAOYSA-N -1 1 337.380 -0.174 20 0 EBADMM CC(=O)N[C@@H]1CCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC001365665177 1132753555 /nfs/dbraw/zinc/75/35/55/1132753555.db2.gz ZSZFNRFKKJBMEC-SNVBAGLBSA-N -1 1 335.364 -0.325 20 0 EBADMM NC(=O)C1=NO[C@H](C[N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)C1 ZINC001365701105 1132767882 /nfs/dbraw/zinc/76/78/82/1132767882.db2.gz GLZVOZHRFGAERX-BYPYZUCNSA-N -1 1 341.271 -0.663 20 0 EBADMM CN(C)c1noc(C[N-]S(=O)(=O)[C@@H]2CC(=O)N(C3CC3)C2)n1 ZINC001365701618 1132768017 /nfs/dbraw/zinc/76/80/17/1132768017.db2.gz UTJSTXVXNKIBMO-SECBINFHSA-N -1 1 329.382 -0.682 20 0 EBADMM CCNC(=O)[C@@H](NS(=O)(=O)c1cc(C(=O)OC)n[n-]1)C(C)C ZINC001365709483 1132771357 /nfs/dbraw/zinc/77/13/57/1132771357.db2.gz SVUSVABPIODOCN-JTQLQIEISA-N -1 1 332.382 -0.365 20 0 EBADMM CCNC(=O)[C@@H](NS(=O)(=O)c1cc(C(=O)OC)[n-]n1)C(C)C ZINC001365709483 1132771363 /nfs/dbraw/zinc/77/13/63/1132771363.db2.gz SVUSVABPIODOCN-JTQLQIEISA-N -1 1 332.382 -0.365 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@H](CO)OC(C)(C)C2)[n-]n1 ZINC001365716291 1132773288 /nfs/dbraw/zinc/77/32/88/1132773288.db2.gz XPEQVUDGQZSXPW-MRVPVSSYSA-N -1 1 333.366 -0.643 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@H](CO)OC(C)(C)C2)n[n-]1 ZINC001365716291 1132773294 /nfs/dbraw/zinc/77/32/94/1132773294.db2.gz XPEQVUDGQZSXPW-MRVPVSSYSA-N -1 1 333.366 -0.643 20 0 EBADMM CS(=O)(=O)N1CC[C@@H]([N-]S(=O)(=O)N=S2(=O)CCCC2)C1 ZINC001365719038 1132774878 /nfs/dbraw/zinc/77/48/78/1132774878.db2.gz ZRCMLLDSNDNDDJ-SECBINFHSA-N -1 1 345.468 -0.884 20 0 EBADMM CN(C)S(=O)(=O)CCS(=O)(=O)[N-][C@H]1CCCC12OCCO2 ZINC001365722936 1132776603 /nfs/dbraw/zinc/77/66/03/1132776603.db2.gz SOASEJCZKILUEI-JTQLQIEISA-N -1 1 342.439 -0.907 20 0 EBADMM COC(=O)c1c(F)ccc(S(=O)(=O)[N-][C@@H]2COC[C@@H]2O)c1F ZINC001365724767 1132777391 /nfs/dbraw/zinc/77/73/91/1132777391.db2.gz BOAMHDNLKLLNHH-SFYZADRCSA-N -1 1 337.300 -0.211 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2CCCn3nccc32)c(=O)n(C)c1=O ZINC001365728156 1132779166 /nfs/dbraw/zinc/77/91/66/1132779166.db2.gz GITLNZDMSBPEGT-VIFPVBQESA-N -1 1 339.377 -0.906 20 0 EBADMM COc1cnc([C@H]2CCCN2S(=O)(=O)c2cnnn2C)[n-]c1=O ZINC001365746842 1132789682 /nfs/dbraw/zinc/78/96/82/1132789682.db2.gz TVQATVUKDCAJFI-MRVPVSSYSA-N -1 1 340.365 -0.155 20 0 EBADMM COC(=O)[C@@H](CC1OCCO1)[N-]S(=O)(=O)[C@H]1COC(C)(C)C1 ZINC001365753264 1132791912 /nfs/dbraw/zinc/79/19/12/1132791912.db2.gz UCAQMOOMCBNVSQ-NXEZZACHSA-N -1 1 337.394 -0.222 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCN1CCN(CCF)CC1 ZINC001365964645 1132927166 /nfs/dbraw/zinc/92/71/66/1132927166.db2.gz XUOBUXYFBZDTLW-UHFFFAOYSA-N -1 1 343.359 -0.787 20 0 EBADMM CCC(=O)NCC(=O)NC[C@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001366193348 1133050340 /nfs/dbraw/zinc/05/03/40/1133050340.db2.gz AXNWCEHAOAJTCN-LLVKDONJSA-N -1 1 338.412 -0.895 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H]2CNC(=O)Cc2cc[nH]n2)nc1=O ZINC001366198610 1133052128 /nfs/dbraw/zinc/05/21/28/1133052128.db2.gz IHSQFMAHVJADAJ-GFCCVEGCSA-N -1 1 333.396 -0.455 20 0 EBADMM Cc1nnc(CC(=O)NC[C@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)o1 ZINC001366200075 1133053341 /nfs/dbraw/zinc/05/33/41/1133053341.db2.gz MOQNKNMJMNPVJV-LLVKDONJSA-N -1 1 349.395 -0.487 20 0 EBADMM Cc1nn(C)c(=O)c(C(=O)NC[C@@H](C)NCc2n[nH]c(=O)[n-]2)c1C ZINC001366310749 1133094512 /nfs/dbraw/zinc/09/45/12/1133094512.db2.gz IAISJJHMUQOVTE-SSDOTTSWSA-N -1 1 335.368 -0.871 20 0 EBADMM C[C@@H](CNC(=O)Cn1[n-]cc2c(=O)ncnc1-2)NCc1ccns1 ZINC001366337172 1133103178 /nfs/dbraw/zinc/10/31/78/1133103178.db2.gz RLUXVIKNSHDDGJ-VIFPVBQESA-N -1 1 347.404 -0.178 20 0 EBADMM CN(CCNC(=O)c1ocnc1C(F)(F)F)Cc1nc(=O)n(C)[n-]1 ZINC001366551633 1133183303 /nfs/dbraw/zinc/18/33/03/1133183303.db2.gz CARSJTDJRLZZAR-UHFFFAOYSA-N -1 1 348.285 -0.023 20 0 EBADMM CCc1cc(C(=O)NCCN(C)Cc2nc(=O)n(C)[n-]2)c(C)nn1 ZINC001366556811 1133186473 /nfs/dbraw/zinc/18/64/73/1133186473.db2.gz ZPYQQONNIHVWRD-UHFFFAOYSA-N -1 1 333.396 -0.369 20 0 EBADMM CCC(=O)NCC(=O)NCCN(CC)Cc1cc(=O)n2[n-]ccc2n1 ZINC001366602158 1133206962 /nfs/dbraw/zinc/20/69/62/1133206962.db2.gz APXYFTBYGODZEU-UHFFFAOYSA-N -1 1 348.407 -0.513 20 0 EBADMM CCN(CCNC(=O)c1cc(C)nnc1C)Cc1nc(=O)n(C)[n-]1 ZINC001366614709 1133211339 /nfs/dbraw/zinc/21/13/39/1133211339.db2.gz XAVPAYIWAYGEMY-UHFFFAOYSA-N -1 1 333.396 -0.233 20 0 EBADMM CCN(CCNC(=O)CCc1cc(C)on1)Cc1nc(=O)n(C)[n-]1 ZINC001366635310 1133219884 /nfs/dbraw/zinc/21/98/84/1133219884.db2.gz IYQARYPLRLCFPP-UHFFFAOYSA-N -1 1 336.396 -0.024 20 0 EBADMM Cn1cc(CC(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001366650678 1133226630 /nfs/dbraw/zinc/22/66/30/1133226630.db2.gz OWQYATXVMDJFEL-GFCCVEGCSA-N -1 1 333.396 -0.835 20 0 EBADMM Cc1noc(CCC(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001366658792 1133230596 /nfs/dbraw/zinc/23/05/96/1133230596.db2.gz IUNIZOSSDXIDRG-LLVKDONJSA-N -1 1 349.395 -0.487 20 0 EBADMM CN(C(=O)CCc1ccnn1C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001367043598 1133449049 /nfs/dbraw/zinc/44/90/49/1133449049.db2.gz HOYPYDKHMHVNBS-ZDUSSCGKSA-N -1 1 347.423 -0.493 20 0 EBADMM COc1nccc(C(=O)N[C@](C)(CNCc2n[nH]c(=O)[n-]2)C2CC2)n1 ZINC001367184747 1133496652 /nfs/dbraw/zinc/49/66/52/1133496652.db2.gz TTWPYXPXQSIGLS-OAHLLOKOSA-N -1 1 347.379 -0.003 20 0 EBADMM C[C@H](CNCc1ccon1)CNC(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC001367275242 1133522491 /nfs/dbraw/zinc/52/24/91/1133522491.db2.gz CDRUAUWNULOBBJ-SNVBAGLBSA-N -1 1 345.363 -0.399 20 0 EBADMM Cc1cnc(COCC(=O)N(C)[C@H](C)CNCc2n[nH]c(=O)[n-]2)o1 ZINC001367366840 1133565758 /nfs/dbraw/zinc/56/57/58/1133565758.db2.gz IXZNPXDUPDUAHV-SECBINFHSA-N -1 1 338.368 -0.040 20 0 EBADMM CC(C)[C@H](CNC(=O)Cn1cc(C2CC2)nn1)NCc1n[nH]c(=O)[n-]1 ZINC001367472813 1133596565 /nfs/dbraw/zinc/59/65/65/1133596565.db2.gz IWWPZVMBSGJGMI-NSHDSACASA-N -1 1 348.411 -0.090 20 0 EBADMM CC(C)[C@H](CNC(=O)CN1CCCNC1=O)NCc1n[nH]c(=O)[n-]1 ZINC001367483590 1133600341 /nfs/dbraw/zinc/60/03/41/1133600341.db2.gz HAZAIHIQPLBUHE-JTQLQIEISA-N -1 1 339.400 -0.844 20 0 EBADMM O=C(NC[C@H](O)CNCc1n[nH]c(=O)[n-]1)c1ccnc(C2CC2)c1 ZINC001367600717 1133677864 /nfs/dbraw/zinc/67/78/64/1133677864.db2.gz COBBLZGMSSLEBA-LLVKDONJSA-N -1 1 332.364 -0.337 20 0 EBADMM CC(C)n1ccc(CNC[C@H](C)NC(=O)c2n[nH]c(=O)[n-]c2=O)n1 ZINC001367739976 1133766526 /nfs/dbraw/zinc/76/65/26/1133766526.db2.gz GVINXEMKEUQGAB-VIFPVBQESA-N -1 1 335.368 -0.032 20 0 EBADMM CCn1ccc(CC(=O)N[C@H](C)CN(C)Cc2nc(=O)n(C)[n-]2)n1 ZINC001367807306 1133793010 /nfs/dbraw/zinc/79/30/10/1133793010.db2.gz NZAUXGDATKCITF-LLVKDONJSA-N -1 1 335.412 -0.496 20 0 EBADMM C[C@@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC001367820654 1133799896 /nfs/dbraw/zinc/79/98/96/1133799896.db2.gz BPTPXEXUJDJBJW-ZJQBRPOHSA-N -1 1 337.424 -0.140 20 0 EBADMM C[C@@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)C[C@H](C)n1cccn1 ZINC001367846937 1133811143 /nfs/dbraw/zinc/81/11/43/1133811143.db2.gz UBNJYBQDLRUTEY-RYUDHWBXSA-N -1 1 335.412 -0.107 20 0 EBADMM C[C@@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)CCCn1cccn1 ZINC001367857082 1133817875 /nfs/dbraw/zinc/81/78/75/1133817875.db2.gz YJIVUAHBPGXOFJ-LBPRGKRZSA-N -1 1 335.412 -0.278 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)C(C)(C)c2c[nH]cn2)nc1=O ZINC001367982505 1133878437 /nfs/dbraw/zinc/87/84/37/1133878437.db2.gz ODBCVSYFSFGBFL-JTQLQIEISA-N -1 1 333.396 -0.500 20 0 EBADMM CCn1ncnc1CN1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001368285563 1134102050 /nfs/dbraw/zinc/10/20/50/1134102050.db2.gz BXEQBZUTDLPCHK-UHFFFAOYSA-N -1 1 332.364 -0.625 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1(O)CN(CC[C@H](C)F)C1 ZINC001368318199 1134141872 /nfs/dbraw/zinc/14/18/72/1134141872.db2.gz CHTXCCACQUUJSZ-QMMMGPOBSA-N -1 1 344.343 -0.579 20 0 EBADMM COc1ccc(C(=O)NCC2(O)CN(Cc3nc(=O)n(C)[n-]3)C2)cc1 ZINC001368320322 1134144481 /nfs/dbraw/zinc/14/44/81/1134144481.db2.gz CCKDCECLXCKOJX-UHFFFAOYSA-N -1 1 347.375 -0.906 20 0 EBADMM CC[C@@H](C(N)=O)N1CCC[C@@](CO)(NC(=O)c2ncccc2[O-])C1 ZINC001368367632 1134171410 /nfs/dbraw/zinc/17/14/10/1134171410.db2.gz AOHZVKQSYSMHIJ-MEDUHNTESA-N -1 1 336.392 -0.392 20 0 EBADMM CCC[C@H](OC)C(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001368437569 1134226040 /nfs/dbraw/zinc/22/60/40/1134226040.db2.gz LQAFSQXZKUZFTO-RYUDHWBXSA-N -1 1 341.412 -0.760 20 0 EBADMM CCC(=O)NCC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@H](C)C1 ZINC001368692263 1134394374 /nfs/dbraw/zinc/39/43/74/1134394374.db2.gz IIHYDFJZXVZVHH-MNOVXSKESA-N -1 1 338.412 -0.896 20 0 EBADMM COc1c(C(=O)N[C@@H](C)[C@H](C)NCc2n[nH]c(=O)[n-]2)c(C)nn1C ZINC001368863153 1134468732 /nfs/dbraw/zinc/46/87/32/1134468732.db2.gz AFBHYDBILMHGKB-YUMQZZPRSA-N -1 1 337.384 -0.143 20 0 EBADMM CCn1cc(CC(=O)NC[C@H](C)N(C)Cc2nc(=O)n(C)[n-]2)cn1 ZINC001369003223 1134514613 /nfs/dbraw/zinc/51/46/13/1134514613.db2.gz UCGBPUTVELEUJE-NSHDSACASA-N -1 1 335.412 -0.496 20 0 EBADMM Cc1ccc(C(=O)NC2(CCO)CN(Cc3nc(=O)n(C)[n-]3)C2)cc1 ZINC001369032474 1134539546 /nfs/dbraw/zinc/53/95/46/1134539546.db2.gz QOHKTOVAFYOHKE-UHFFFAOYSA-N -1 1 345.403 -0.216 20 0 EBADMM C[C@@H](C[S@](C)=O)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001369042987 1134545300 /nfs/dbraw/zinc/54/53/00/1134545300.db2.gz IGLUROZACHRFJS-ZCAPAMDOSA-N -1 1 328.394 -0.179 20 0 EBADMM CCCS(=O)(=O)CC(=O)N[C@H]1C[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001369180745 1134608470 /nfs/dbraw/zinc/60/84/70/1134608470.db2.gz QJNAENZQXIXOCV-MGCOHNPYSA-N -1 1 345.425 -0.681 20 0 EBADMM Cn1[n-]c(CN[C@@H](CO)CNC(=O)C2(C(F)F)CCCC2)nc1=O ZINC001369344733 1134692997 /nfs/dbraw/zinc/69/29/97/1134692997.db2.gz AGZWHRQGKYQUGJ-SECBINFHSA-N -1 1 347.366 -0.499 20 0 EBADMM CCNC(=O)CCC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001369448429 1134787599 /nfs/dbraw/zinc/78/75/99/1134787599.db2.gz YNKFJPQOAKUTJB-SNVBAGLBSA-N -1 1 338.412 -0.247 20 0 EBADMM CC(=O)N1CC(C(=O)N(C)[C@H](C)CNC(=O)c2ncccc2[O-])C1 ZINC001369829343 1135037814 /nfs/dbraw/zinc/03/78/14/1135037814.db2.gz JDWKGZLYIPFWET-SNVBAGLBSA-N -1 1 334.376 -0.158 20 0 EBADMM Cc1c[nH]c(C(=O)N2CC(CCO)(NC(=O)c3ncccc3[O-])C2)n1 ZINC001369981628 1135130594 /nfs/dbraw/zinc/13/05/94/1135130594.db2.gz BPHLPXOCZVPWMB-UHFFFAOYSA-N -1 1 345.359 -0.174 20 0 EBADMM CC(C)(O)CC(=O)N1CC(CCO)(NC(=O)c2ncccc2[O-])C1 ZINC001369984097 1135133555 /nfs/dbraw/zinc/13/35/55/1135133555.db2.gz QDSKEJYCVBQHEO-UHFFFAOYSA-N -1 1 337.376 -0.359 20 0 EBADMM CO[C@@H](C(=O)NC1(CCO)CN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC001369994684 1135151852 /nfs/dbraw/zinc/15/18/52/1135151852.db2.gz FSDYKEYJYNGXKB-CQSZACIVSA-N -1 1 349.387 -0.095 20 0 EBADMM C[C@H](NC(=O)CS(C)(=O)=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001370085550 1135194217 /nfs/dbraw/zinc/19/42/17/1135194217.db2.gz DSIJDNXZRFEECW-VIFPVBQESA-N -1 1 341.389 -0.592 20 0 EBADMM C[C@H](CC(N)=O)C(=O)N1CC([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001370097776 1135199524 /nfs/dbraw/zinc/19/95/24/1135199524.db2.gz ALHQHWOXZQPQDQ-ZJUUUORDSA-N -1 1 334.376 -0.125 20 0 EBADMM O=C(Cn1ccccc1=O)NC[C@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001370269952 1135276400 /nfs/dbraw/zinc/27/64/00/1135276400.db2.gz MZLKBHRCFPEEGA-NSHDSACASA-N -1 1 332.364 -0.643 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)NC[C@@H](NCc1nccs1)C1CC1 ZINC001370269108 1135276828 /nfs/dbraw/zinc/27/68/28/1135276828.db2.gz ZWTYDAUQOOVEBE-SECBINFHSA-N -1 1 338.393 -0.170 20 0 EBADMM Cc1cc(C(=O)N[C@@H](CO)CNC(=O)c2ncccc2[O-])ncn1 ZINC001370684521 1135494462 /nfs/dbraw/zinc/49/44/62/1135494462.db2.gz XIRWNTCPZRWDEW-SNVBAGLBSA-N -1 1 331.332 -0.594 20 0 EBADMM CCn1ccc(CC(=O)NC[C@@H](CO)NC(=O)c2ncccc2[O-])n1 ZINC001370717576 1135540654 /nfs/dbraw/zinc/54/06/54/1135540654.db2.gz IMFOQCWGPWKZAP-LBPRGKRZSA-N -1 1 347.375 -0.547 20 0 EBADMM CCn1cc(C(=O)NC[C@H](O)CN(C)C(=O)c2ncccc2[O-])cn1 ZINC001370990936 1135743342 /nfs/dbraw/zinc/74/33/42/1135743342.db2.gz RPPAMIFDQXVPFU-LBPRGKRZSA-N -1 1 347.375 -0.134 20 0 EBADMM C[C@@H](C[C@H](C)NC(=O)c1ncccc1[O-])NC(=O)CS(C)(=O)=O ZINC001371265647 1135874730 /nfs/dbraw/zinc/87/47/30/1135874730.db2.gz SCUONYNCLKZJMY-UWVGGRQHSA-N -1 1 343.405 -0.155 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])[C@H](C)CNC(=O)[C@H]1CN(C)C(=O)N1 ZINC001371358019 1135895880 /nfs/dbraw/zinc/89/58/80/1135895880.db2.gz SPAXUQQUWVPWOD-GHMZBOCLSA-N -1 1 349.391 -0.222 20 0 EBADMM CCC(=O)NCC(=O)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001371433359 1135909423 /nfs/dbraw/zinc/90/94/23/1135909423.db2.gz ILPMJEXTRMHAJI-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@@H](CCNC(=O)[C@H](C)CS(C)(=O)=O)NCc1n[nH]c(=O)[n-]1 ZINC001371889178 1136048418 /nfs/dbraw/zinc/04/84/18/1136048418.db2.gz REGQHRVMIGMMHN-BDAKNGLRSA-N -1 1 333.414 -0.825 20 0 EBADMM O=C(CNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)NCC(F)F ZINC001372184880 1136130988 /nfs/dbraw/zinc/13/09/88/1136130988.db2.gz CJWDRYCVPZDFGE-UHFFFAOYSA-N -1 1 345.306 -0.565 20 0 EBADMM CC(C)[C@@H]1C(=O)NCCN1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001372186358 1136131045 /nfs/dbraw/zinc/13/10/45/1136131045.db2.gz LDRQWRPGFQSDJC-CYBMUJFWSA-N -1 1 349.391 -0.079 20 0 EBADMM O=C(NCCN(Cc1n[nH]c(=O)[n-]1)C1CC1)[C@@H]1CCCc2n[nH]nc21 ZINC001372859004 1136306639 /nfs/dbraw/zinc/30/66/39/1136306639.db2.gz HFFJRZXROYPISA-SNVBAGLBSA-N -1 1 346.395 -0.171 20 0 EBADMM CC[C@H](F)C(=O)NC[C@@H](C)NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001373022637 1136355019 /nfs/dbraw/zinc/35/50/19/1136355019.db2.gz QXOJSUJQJIBMHT-RQJHMYQMSA-N -1 1 330.316 -0.121 20 0 EBADMM CCCN(C(=O)Cc1ncc[nH]1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001373132611 1136377356 /nfs/dbraw/zinc/37/73/56/1136377356.db2.gz NWMBHYZMIPFWNT-GFCCVEGCSA-N -1 1 347.423 -0.113 20 0 EBADMM CCN(C(=O)CCc1cn[nH]c1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001373708138 1136543875 /nfs/dbraw/zinc/54/38/75/1136543875.db2.gz ADABFMQCCQFJJM-CYBMUJFWSA-N -1 1 347.423 -0.113 20 0 EBADMM CC[C@H](CNC(=O)c1cc2n(n1)CCCO2)NCc1n[nH]c(=O)[n-]1 ZINC001373774119 1136557784 /nfs/dbraw/zinc/55/77/84/1136557784.db2.gz LRMDRNLVNMCXLG-SECBINFHSA-N -1 1 335.368 -0.213 20 0 EBADMM Cc1c(C(=O)N[C@](C)(CNCc2n[nH]c(=O)[n-]2)C2CC2)nnn1C ZINC001373878004 1136578600 /nfs/dbraw/zinc/57/86/00/1136578600.db2.gz VDJGQOCJKSPBCH-CQSZACIVSA-N -1 1 334.384 -0.364 20 0 EBADMM COC(=O)NCC(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001374523691 1136709383 /nfs/dbraw/zinc/70/93/83/1136709383.db2.gz LJSIMYQVTWEPIT-JTQLQIEISA-N -1 1 336.348 -0.136 20 0 EBADMM Cc1ncc(C(=O)N(C)CCN(C)Cc2nc(=O)n(C)[n-]2)c(C)n1 ZINC001374543436 1136714659 /nfs/dbraw/zinc/71/46/59/1136714659.db2.gz JXRWITDKSBIKLM-UHFFFAOYSA-N -1 1 333.396 -0.281 20 0 EBADMM Cc1n[nH]c(C)c1CCC(=O)N(C)CCN(C)Cc1nc(=O)n(C)[n-]1 ZINC001374558716 1136717932 /nfs/dbraw/zinc/71/79/32/1136717932.db2.gz XQUIYIISHQRTHC-UHFFFAOYSA-N -1 1 349.439 -0.029 20 0 EBADMM Cc1cccc(O[C@@H](C)C(=O)NC[C@H](O)CNCc2n[nH]c(=O)[n-]2)c1 ZINC001374676951 1136794738 /nfs/dbraw/zinc/79/47/38/1136794738.db2.gz CFGHYAJFQZSGJB-NWDGAFQWSA-N -1 1 349.391 -0.147 20 0 EBADMM C[C@H](CNCc1ccns1)NC(=O)CCc1n[nH]c(=O)[n-]c1=O ZINC001374781071 1136830936 /nfs/dbraw/zinc/83/09/36/1136830936.db2.gz VERLIQXXWLIPHO-MRVPVSSYSA-N -1 1 338.393 -0.034 20 0 EBADMM C[C@H](CC(=O)NCC1CN(C(=O)c2ncccc2[O-])C1)NC(N)=O ZINC001374830407 1136845108 /nfs/dbraw/zinc/84/51/08/1136845108.db2.gz JOWNJUBOVXHQID-SECBINFHSA-N -1 1 335.364 -0.578 20 0 EBADMM O=C(N[C@H]1CN(c2ccc(F)cc2)C1=O)c1cc(=O)n2[n-]cnc2n1 ZINC000344267939 295908898 /nfs/dbraw/zinc/90/88/98/295908898.db2.gz VQUDFONXTVKLSX-NSHDSACASA-N -1 1 342.290 -0.298 20 0 EBADMM Cc1c(C(=O)NCc2nn[n-]n2)nnn1-c1ccc2c(c1)OCCO2 ZINC000600505267 376428351 /nfs/dbraw/zinc/42/83/51/376428351.db2.gz NYJDVRCSVVFYAN-UHFFFAOYSA-N -1 1 342.319 -0.210 20 0 EBADMM COc1cccc(C[C@H](C(=O)NCc2nn[n-]n2)n2nnnc2C)c1 ZINC000600494723 376419710 /nfs/dbraw/zinc/41/97/10/376419710.db2.gz JXHBCHDUEMZHHG-GFCCVEGCSA-N -1 1 343.351 -0.397 20 0 EBADMM COC(=O)c1cn(CC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)nn1 ZINC000588820520 376395070 /nfs/dbraw/zinc/39/50/70/376395070.db2.gz HWCUMLHNAVQEKW-QMMMGPOBSA-N -1 1 336.308 -0.441 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-]CCC(=O)N2CCOCC2)c1Cl ZINC000045805348 376626229 /nfs/dbraw/zinc/62/62/29/376626229.db2.gz XUHFCGODQJVEGG-UHFFFAOYSA-N -1 1 336.801 -0.399 20 0 EBADMM CCC[C@H](NC(=O)[C@H]1CC(=O)N(c2cnn(C)c2)C1)c1nn[n-]n1 ZINC000626024735 376662768 /nfs/dbraw/zinc/66/27/68/376662768.db2.gz UDZUIGQRJZGXSR-ONGXEEELSA-N -1 1 332.368 -0.056 20 0 EBADMM C[C@@H]1NCCN(S(=O)(=O)c2c[nH]c3nc(=O)[n-]c(=O)c-3c2)[C@H]1C ZINC000262742156 377392942 /nfs/dbraw/zinc/39/29/42/377392942.db2.gz CMEKXTRWEITHOP-YUMQZZPRSA-N -1 1 339.377 -0.193 20 0 EBADMM C[C@@H](C(=O)N(C)C)S(=O)(=O)[N-][C@H]1CN(c2ccccc2)C1=O ZINC000364761355 377658822 /nfs/dbraw/zinc/65/88/22/377658822.db2.gz VCQYNJAUKSBDGG-JQWIXIFHSA-N -1 1 325.390 -0.202 20 0 EBADMM CCOC(=O)CCS(=O)(=O)[N-][C@@H](C(=O)OC)c1ccnn1C ZINC000592090734 377786149 /nfs/dbraw/zinc/78/61/49/377786149.db2.gz DBQOAXJOIAHFKA-LLVKDONJSA-N -1 1 333.366 -0.493 20 0 EBADMM COC(=O)C1(CS(=O)(=O)[N-]Cc2nc(C(N)=O)co2)CCC1 ZINC000594802831 377956007 /nfs/dbraw/zinc/95/60/07/377956007.db2.gz DZBUVXPCDSJDJW-UHFFFAOYSA-N -1 1 331.350 -0.464 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@]2(OCCO)CCOC2)c1 ZINC000594817199 377956682 /nfs/dbraw/zinc/95/66/82/377956682.db2.gz OWIVJXTVOUSFIT-ZDUSSCGKSA-N -1 1 349.361 -0.488 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@]2(OCCO)CCOC2)c1 ZINC000594817198 377956899 /nfs/dbraw/zinc/95/68/99/377956899.db2.gz OWIVJXTVOUSFIT-CYBMUJFWSA-N -1 1 349.361 -0.488 20 0 EBADMM COC(=O)Cn1cc([N-]S(=O)(=O)C[C@H](OC)[C@H]2CCOC2)cn1 ZINC000594695519 377948551 /nfs/dbraw/zinc/94/85/51/377948551.db2.gz SFFLKBWSOZONFR-JQWIXIFHSA-N -1 1 347.393 -0.151 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-]CCN2CCOCC2)cc1C ZINC000595303204 377995677 /nfs/dbraw/zinc/99/56/77/377995677.db2.gz NKWUGJVRSHYGIH-UHFFFAOYSA-N -1 1 332.378 -0.015 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@@H]2CC[C@H](C(N)=O)O2)cc1C ZINC000595320273 377997062 /nfs/dbraw/zinc/99/70/62/377997062.db2.gz SCEPPWWLEYOUMA-DTWKUNHWSA-N -1 1 346.361 -0.314 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1C(=O)NCC1(C)C ZINC000595349714 378001100 /nfs/dbraw/zinc/00/11/00/378001100.db2.gz DHSRQWQFUBMZNX-MRVPVSSYSA-N -1 1 330.366 -0.611 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@@H](F)C[C@H]2C(=O)OC)co1 ZINC000619455443 378069186 /nfs/dbraw/zinc/06/91/86/378069186.db2.gz ZJPSJZPOBHOVIE-IUCAKERBSA-N -1 1 334.325 -0.087 20 0 EBADMM CO[C@H](Cc1ccccc1)CS(=O)(=O)[N-][C@H]1CC(=O)N(C)C1=O ZINC000643213516 378220639 /nfs/dbraw/zinc/22/06/39/378220639.db2.gz BIQNFQVLPGKNKT-OLZOCXBDSA-N -1 1 340.401 -0.079 20 0 EBADMM COC(=O)c1cc(F)cc(S(=O)(=O)[N-]Cc2nnn(C)n2)c1F ZINC000599291973 378224912 /nfs/dbraw/zinc/22/49/12/378224912.db2.gz AZYZVNQXOOTKFI-UHFFFAOYSA-N -1 1 347.303 -0.247 20 0 EBADMM COC(=O)C[C@@H](O)C[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000599463023 378245378 /nfs/dbraw/zinc/24/53/78/378245378.db2.gz UQUYGUFEEFQOFB-MRVPVSSYSA-N -1 1 335.334 -0.423 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@H](O)CC(=O)OC)o1 ZINC000599463671 378246264 /nfs/dbraw/zinc/24/62/64/378246264.db2.gz XJQHBXNTOWQVIN-MRVPVSSYSA-N -1 1 335.334 -0.341 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H]1COCCN1C ZINC000599454727 378248240 /nfs/dbraw/zinc/24/82/40/378248240.db2.gz WLPPWTKNTJIKRP-SECBINFHSA-N -1 1 332.382 -0.805 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C(=O)NC[C@H]1CCS(=O)(=O)C1 ZINC000338167064 378738494 /nfs/dbraw/zinc/73/84/94/378738494.db2.gz ZPRSNEUMJAJPSK-VHSXEESVSA-N -1 1 341.389 -0.544 20 0 EBADMM CCS(=O)(=O)C[C@@H](C)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000337893073 378704272 /nfs/dbraw/zinc/70/42/72/378704272.db2.gz BIQXCFOSCYQEHC-SECBINFHSA-N -1 1 329.378 -0.544 20 0 EBADMM CN(C(=O)CNC(=O)c1ncccc1[O-])[C@@H]1CCS(=O)(=O)C1 ZINC000337952806 378718792 /nfs/dbraw/zinc/71/87/92/378718792.db2.gz WLSAYRMBRDZJBK-SECBINFHSA-N -1 1 327.362 -0.838 20 0 EBADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@](C)(O)CO)o1 ZINC000338625307 378797503 /nfs/dbraw/zinc/79/75/03/378797503.db2.gz JXRJEYLDSRCRHR-CYBMUJFWSA-N -1 1 334.394 -0.171 20 0 EBADMM CNS(=O)(=O)c1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)co1 ZINC000339219744 378866760 /nfs/dbraw/zinc/86/67/60/378866760.db2.gz RJLAEEJLMDBBKK-UHFFFAOYSA-N -1 1 340.365 -0.100 20 0 EBADMM CCOC(=O)Cn1cccc(NC(=O)NCc2nc([O-])n[nH]2)c1=O ZINC000338975025 378838155 /nfs/dbraw/zinc/83/81/55/378838155.db2.gz SPUZJBLYQIZXOO-UHFFFAOYSA-N -1 1 336.308 -0.443 20 0 EBADMM CSc1nc(=O)n(CC(=O)NC[C@H]2CN(CC(C)C)CCO2)[n-]1 ZINC000366440584 378958115 /nfs/dbraw/zinc/95/81/15/378958115.db2.gz BXXVDJMLSCRUBS-NSHDSACASA-N -1 1 343.453 -0.234 20 0 EBADMM CC[C@@H](CNS(C)(=O)=O)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000454283354 379111628 /nfs/dbraw/zinc/11/16/28/379111628.db2.gz AUICZDAIGSXEAT-VIFPVBQESA-N -1 1 338.389 -0.019 20 0 EBADMM COc1cc2[n-]cc(C(=O)NCc3nnnn3C)c(=O)c2c(OC)c1 ZINC000629983972 379331160 /nfs/dbraw/zinc/33/11/60/379331160.db2.gz XXROTKVMXNDRKD-UHFFFAOYSA-N -1 1 344.331 -0.001 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CCC[C@@H]3OCC[C@@H]32)c(=O)n(C)c1=O ZINC000268528801 379309922 /nfs/dbraw/zinc/30/99/22/379309922.db2.gz WVMNDEIVEHWSGT-MXWKQRLJSA-N -1 1 343.405 -0.680 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCCC[C@H]2CNC(N)=O)co1 ZINC000270082861 379429769 /nfs/dbraw/zinc/42/97/69/379429769.db2.gz NCHGIVSKLPTDTD-JTQLQIEISA-N -1 1 344.393 -0.149 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CC(=O)N(C3CC3)C2)co1 ZINC000270143885 379434160 /nfs/dbraw/zinc/43/41/60/379434160.db2.gz GMUDAOAGIVWYBI-SECBINFHSA-N -1 1 327.362 -0.319 20 0 EBADMM CCNC(=O)N1CCN(C(=O)c2coc(S(=O)(=O)[N-]C)c2)CC1 ZINC000270159438 379436677 /nfs/dbraw/zinc/43/66/77/379436677.db2.gz YKCCYKLPAHJYCR-UHFFFAOYSA-N -1 1 344.393 -0.325 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CC(=O)N(C(C)C)C2=O)co1 ZINC000270189015 379437185 /nfs/dbraw/zinc/43/71/85/379437185.db2.gz OMNLYAATIRMWLH-SECBINFHSA-N -1 1 343.361 -0.547 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@](C)(COC)C(=O)OC ZINC000269783256 379407582 /nfs/dbraw/zinc/40/75/82/379407582.db2.gz IQEAVIFGAYNQKO-LBPRGKRZSA-N -1 1 349.365 -0.557 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C2CC2)[C@@H]2CC(=O)NC2=O)co1 ZINC000270049692 379428145 /nfs/dbraw/zinc/42/81/45/379428145.db2.gz LYYYWXWWLDTDCR-SECBINFHSA-N -1 1 341.345 -0.793 20 0 EBADMM O=C(CCN1C(=O)CCC1=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000622612446 379452546 /nfs/dbraw/zinc/45/25/46/379452546.db2.gz XGLPQJKQMOHPQP-AWEZNQCLSA-N -1 1 328.332 -0.056 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC(=O)N2CCOCC2)o1 ZINC000271849747 379547854 /nfs/dbraw/zinc/54/78/54/379547854.db2.gz CLALEJQYWOKLDK-UHFFFAOYSA-N -1 1 332.334 -0.797 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCc2cc(C(N)=O)co2)o1 ZINC000490592707 379549625 /nfs/dbraw/zinc/54/96/25/379549625.db2.gz KYDHAHXDTIDVRX-UHFFFAOYSA-N -1 1 327.318 -0.190 20 0 EBADMM Cc1cnc(C(=O)N2CCC[C@H](CNS(N)(=O)=O)C2)c([O-])c1 ZINC000495016018 379701208 /nfs/dbraw/zinc/70/12/08/379701208.db2.gz GRNXTHSPBVSFSK-SNVBAGLBSA-N -1 1 328.394 -0.259 20 0 EBADMM C[C@@H](NC(=O)c1c([O-])cccc1F)C(=O)N1CCS(=O)(=O)CC1 ZINC000274853719 379718576 /nfs/dbraw/zinc/71/85/76/379718576.db2.gz IBCAUJPDGQMYGM-SECBINFHSA-N -1 1 344.364 -0.093 20 0 EBADMM COC(=O)c1c[n-]c(SCC(=O)N2CCN(C(C)=O)CC2)n1 ZINC000278757275 379933488 /nfs/dbraw/zinc/93/34/88/379933488.db2.gz WXZOQGMUFABLKG-UHFFFAOYSA-N -1 1 326.378 -0.021 20 0 EBADMM CCN(CC)S(=O)(=O)CC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000539326643 379995233 /nfs/dbraw/zinc/99/52/33/379995233.db2.gz OWQBFTPGKVIOGJ-JTQLQIEISA-N -1 1 330.414 -0.423 20 0 EBADMM C[C@@H](O)CN1CCN(C(=O)c2c[nH]c3nc(=O)[n-]c(=O)c-3c2)[C@@H](C)C1 ZINC000281665922 380034681 /nfs/dbraw/zinc/03/46/81/380034681.db2.gz SRHRLYYKVUCJSG-VHSXEESVSA-N -1 1 347.375 -0.037 20 0 EBADMM NS(=O)(=O)c1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cs1 ZINC000540423005 380011432 /nfs/dbraw/zinc/01/14/32/380011432.db2.gz AXSHCLJUHLSKCI-ZETCQYMHSA-N -1 1 342.406 -0.072 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])CC(=O)N1 ZINC000543570412 380064080 /nfs/dbraw/zinc/06/40/80/380064080.db2.gz ZNYUHUBMFBNLJR-HTQZYQBOSA-N -1 1 327.362 -0.107 20 0 EBADMM CC(C)NS(=O)(=O)c1c[nH]c(C(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000354760692 380093929 /nfs/dbraw/zinc/09/39/29/380093929.db2.gz OZHBBNZKGOIBKQ-UHFFFAOYSA-N -1 1 341.397 -0.120 20 0 EBADMM Cn1c(=O)[nH]c(=O)c2cc(C(=O)NC(C)(C)c3nn[n-]n3)cnc21 ZINC000354787206 380097581 /nfs/dbraw/zinc/09/75/81/380097581.db2.gz OSXLHCAXNJMGBK-UHFFFAOYSA-N -1 1 330.308 -0.788 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C2(n3cnnn3)CC2)c1 ZINC000615054538 380162576 /nfs/dbraw/zinc/16/25/76/380162576.db2.gz QGUNECUZDWURSU-UHFFFAOYSA-N -1 1 338.349 -0.585 20 0 EBADMM C[C@H]1OCCN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)[C@@H]1C ZINC000361445862 380311851 /nfs/dbraw/zinc/31/18/51/380311851.db2.gz MFDOBYKXRCOSGA-RKDXNWHRSA-N -1 1 345.377 -0.707 20 0 EBADMM CCOC(=O)CCCNC(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287575779 380363974 /nfs/dbraw/zinc/36/39/74/380363974.db2.gz VZFCKOBQUDICNY-ODLFYWEKSA-N -1 1 333.348 -0.260 20 0 EBADMM Cc1n[nH]cc1CCC[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000288851811 380384643 /nfs/dbraw/zinc/38/46/43/380384643.db2.gz FBUWUHDWKOMZJO-UHFFFAOYSA-N -1 1 341.393 -0.973 20 0 EBADMM NS(=O)(=O)CCOCC[N-]S(=O)(=O)c1cccc(F)c1F ZINC000288984295 380427075 /nfs/dbraw/zinc/42/70/75/380427075.db2.gz RVRNNKFRFGPJHG-UHFFFAOYSA-N -1 1 344.361 -0.452 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCCN(S(C)(=O)=O)C1 ZINC000289296761 380435099 /nfs/dbraw/zinc/43/50/99/380435099.db2.gz HTPCVASHSYLAEI-QMMMGPOBSA-N -1 1 338.411 -0.732 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2CS(=O)(=O)C[C@@H]2C)c1 ZINC000288440253 380409492 /nfs/dbraw/zinc/40/94/92/380409492.db2.gz QJPQYNYJKTYQHC-IONNQARKSA-N -1 1 337.375 -0.222 20 0 EBADMM Cn1[n-]cc2/c(=N\C(=O)C(=O)N[C@H](CO)CC3CCC3)ccnc1-2 ZINC000288556011 380410798 /nfs/dbraw/zinc/41/07/98/380410798.db2.gz WONHVKQRVQAGBH-PYXNCVKXSA-N -1 1 331.376 -0.052 20 0 EBADMM COC(=O)[C@]1(C)CCN(C(=O)C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000288879235 380422724 /nfs/dbraw/zinc/42/27/24/380422724.db2.gz RJBZWDUPOBEQRI-VXIUPONVSA-N -1 1 345.359 -0.308 20 0 EBADMM C[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C(=O)OC(C)(C)C ZINC000269374774 380519221 /nfs/dbraw/zinc/51/92/21/380519221.db2.gz VHOZJGCEWZNBPW-QMMMGPOBSA-N -1 1 347.393 -0.908 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCCC(=O)N(C)C ZINC000269637607 380523557 /nfs/dbraw/zinc/52/35/57/380523557.db2.gz CIKQLDDXUDKYTF-UHFFFAOYSA-N -1 1 332.382 -0.267 20 0 EBADMM O=C([C@@H]1COCCN1C1CCCC1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000369123890 380542190 /nfs/dbraw/zinc/54/21/90/380542190.db2.gz BSXWLXMUOZWWTN-QWHCGFSZSA-N -1 1 336.396 -0.257 20 0 EBADMM O=C([O-])[C@H](c1ccccc1)N1CC[C@@H](NC(=O)c2cnn[nH]2)C1=O ZINC000573205952 380663507 /nfs/dbraw/zinc/66/35/07/380663507.db2.gz KONBFDYQFWQXJN-PWSUYJOCSA-N -1 1 329.316 -0.039 20 0 EBADMM O=C(CNC(=O)c1cccc(F)c1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000371701154 380670179 /nfs/dbraw/zinc/67/01/79/380670179.db2.gz RZAWCALTRUPAEE-LLVKDONJSA-N -1 1 334.311 -0.331 20 0 EBADMM CO[C@H](Cc1ccccc1)CS(=O)(=O)[N-][C@]1(C(N)=O)CCOC1 ZINC000573506257 380676456 /nfs/dbraw/zinc/67/64/56/380676456.db2.gz QNEQLSXAJCDMHK-UKRRQHHQSA-N -1 1 342.417 -0.192 20 0 EBADMM C[C@@H]1CN(S(=O)(=O)[N-]c2ccn(CCC(N)=O)n2)[C@@H](C)CO1 ZINC000340958174 380651266 /nfs/dbraw/zinc/65/12/66/380651266.db2.gz OCINEFLRXKUSQJ-VHSXEESVSA-N -1 1 331.398 -0.476 20 0 EBADMM CC[C@](COC)([N-]S(=O)(=O)N1C[C@@H](C)O[C@@H](C)C1)C(=O)OC ZINC000416517402 380782992 /nfs/dbraw/zinc/78/29/92/380782992.db2.gz RQABRBGCAIKNOB-NTZNESFSSA-N -1 1 338.426 -0.102 20 0 EBADMM CO[C@H](C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)CC(C)C ZINC000416650720 380791997 /nfs/dbraw/zinc/79/19/97/380791997.db2.gz QWRAQODGZJQKTC-JTQLQIEISA-N -1 1 333.410 -0.577 20 0 EBADMM CC(C)NC(=O)CN(C)CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000425327602 380815656 /nfs/dbraw/zinc/81/56/56/380815656.db2.gz VVUKZMFTNPWIHO-UHFFFAOYSA-N -1 1 339.396 -0.062 20 0 EBADMM COC(=O)C[C@H]([N-]S(=O)(=O)N1C[C@H](C)O[C@@H](C)C1)C(=O)OC ZINC000330700864 380837447 /nfs/dbraw/zinc/83/74/47/380837447.db2.gz GQJKQXCULCOQAS-GUBZILKMSA-N -1 1 338.382 -0.965 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@@H]3CCC[C@@H]3[C@@H]2C(N)=O)co1 ZINC000375495932 380851337 /nfs/dbraw/zinc/85/13/37/380851337.db2.gz OKGJZWLQLNHMRF-PTOFAABTSA-N -1 1 341.389 -0.086 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CNC(=O)C2CC2)c1 ZINC000581224632 381061503 /nfs/dbraw/zinc/06/15/03/381061503.db2.gz CVHXHFBSSOSTGZ-UHFFFAOYSA-N -1 1 327.362 -0.235 20 0 EBADMM COC(=O)c1ccccc1CS(=O)(=O)[N-][C@@]1(C(N)=O)CCOC1 ZINC000582975798 381091274 /nfs/dbraw/zinc/09/12/74/381091274.db2.gz RRHRQVZLVILJBW-AWEZNQCLSA-N -1 1 342.373 -0.463 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCNC(=O)c2ccco2)o1 ZINC000032954056 381182863 /nfs/dbraw/zinc/18/28/63/381182863.db2.gz BFSHKPBRWRSUPT-UHFFFAOYSA-N -1 1 341.345 -0.060 20 0 EBADMM CO[C@@H](CNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)C(C)C ZINC000347307824 381207190 /nfs/dbraw/zinc/20/71/90/381207190.db2.gz UIOGJSDHHVONSJ-JTQLQIEISA-N -1 1 347.393 -0.556 20 0 EBADMM CN1CC[C@@H](C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])CC1=O ZINC000347713283 381252133 /nfs/dbraw/zinc/25/21/33/381252133.db2.gz BPRCXSLRXZFCSM-MRVPVSSYSA-N -1 1 327.362 -0.154 20 0 EBADMM Cc1[nH]c(=O)sc1S(=O)(=O)[N-]CCNC(=O)c1cnccn1 ZINC000347705178 381258394 /nfs/dbraw/zinc/25/83/94/381258394.db2.gz QGVXFOVHOYZKEZ-UHFFFAOYSA-N -1 1 343.390 -0.345 20 0 EBADMM COC(=O)CNC(=O)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000058149057 381237301 /nfs/dbraw/zinc/23/73/01/381237301.db2.gz DKJXDTDANBVIJF-UHFFFAOYSA-N -1 1 340.279 -0.329 20 0 EBADMM CC[C@H]1CN(CCNC(=O)CNC(=O)c2ncccc2[O-])CCO1 ZINC000347661923 381243260 /nfs/dbraw/zinc/24/32/60/381243260.db2.gz UYTVEGNWPJBDMB-LBPRGKRZSA-N -1 1 336.392 -0.256 20 0 EBADMM CCOC[C@@H](C(=O)OC)N(C)C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000611117383 381316656 /nfs/dbraw/zinc/31/66/56/381316656.db2.gz CFEUBXXXIULARB-JTQLQIEISA-N -1 1 348.377 -0.162 20 0 EBADMM COC(=O)c1c[n-]c(S(=O)(=O)CC(=O)NCC(F)(F)F)n1 ZINC000350217417 381512887 /nfs/dbraw/zinc/51/28/87/381512887.db2.gz HRSQZFRWCDHORO-UHFFFAOYSA-N -1 1 329.256 -0.352 20 0 EBADMM CC[C@](C)(CCO)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000351629804 381653627 /nfs/dbraw/zinc/65/36/27/381653627.db2.gz FWFVVKDDGLEFQK-CYBMUJFWSA-N -1 1 347.393 -0.676 20 0 EBADMM COCCN1C[C@@H](C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)CC1=O ZINC000351851724 381685775 /nfs/dbraw/zinc/68/57/75/381685775.db2.gz JQLVZTXDELYMEL-NSHDSACASA-N -1 1 338.364 -0.024 20 0 EBADMM CCC[C@H](NC(=O)CCn1cnc2c1ncnc2N)c1nn[n-]n1 ZINC000612059639 381776296 /nfs/dbraw/zinc/77/62/96/381776296.db2.gz CPWANGKLVWRLPF-QMMMGPOBSA-N -1 1 330.356 -0.031 20 0 EBADMM Cc1cnc(C(=O)N2CCN(CC(=O)N3CCOCC3)CC2)c([O-])c1 ZINC000332524845 381806207 /nfs/dbraw/zinc/80/62/07/381806207.db2.gz BMGSPIJWDGBEST-UHFFFAOYSA-N -1 1 348.403 -0.288 20 0 EBADMM C[C@@H]1OCC[C@@]12CN(C(=O)CNC(=O)c1ncccc1[O-])CCO2 ZINC000352298689 381961725 /nfs/dbraw/zinc/96/17/25/381961725.db2.gz AGTYPDIGIVAZDF-MEDUHNTESA-N -1 1 335.360 -0.077 20 0 EBADMM CCCc1nc(=NC(=O)C(=O)N2CC[C@@H](c3nc[nH]n3)C2)s[n-]1 ZINC000425817892 381940751 /nfs/dbraw/zinc/94/07/51/381940751.db2.gz IHGZQKPYQSYFDG-MRVPVSSYSA-N -1 1 335.393 -0.015 20 0 EBADMM NC(=O)C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C1CCCCC1 ZINC000352398085 381979048 /nfs/dbraw/zinc/97/90/48/381979048.db2.gz NGLNVKYVKVBXRE-SNVBAGLBSA-N -1 1 332.364 -0.028 20 0 EBADMM NC(=O)COCC[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000352871091 382051520 /nfs/dbraw/zinc/05/15/20/382051520.db2.gz NRXODDOVZZORCI-UHFFFAOYSA-N -1 1 327.156 -0.178 20 0 EBADMM COC1CCN(S(=O)(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)CC1 ZINC000629310128 382106587 /nfs/dbraw/zinc/10/65/87/382106587.db2.gz XGBDXSKLNNWOMS-UHFFFAOYSA-N -1 1 349.453 -0.177 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@@](CO)(OC)C2)o1 ZINC000617795035 382108471 /nfs/dbraw/zinc/10/84/71/382108471.db2.gz QYPDRQBUXADSPN-CYBMUJFWSA-N -1 1 332.378 -0.199 20 0 EBADMM CC[C@H]1CCN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C1 ZINC000446518815 382180740 /nfs/dbraw/zinc/18/07/40/382180740.db2.gz CXOHWIFJYJOOCM-VIFPVBQESA-N -1 1 329.378 -0.085 20 0 EBADMM Cn1[n-]c(CN(CCN2CCOCC2)[C@H]2CCSC2)nc1=O ZINC000639772742 382152492 /nfs/dbraw/zinc/15/24/92/382152492.db2.gz ACEGCSIPJBAFLU-LBPRGKRZSA-N -1 1 327.454 -0.252 20 0 EBADMM CN1C(=O)CC[C@H]1CC(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000613205286 382164230 /nfs/dbraw/zinc/16/42/30/382164230.db2.gz AKPORNPUOOIJGR-QMMMGPOBSA-N -1 1 327.362 -0.011 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)CN1CCCC1=O ZINC000298841586 155138883 /nfs/dbraw/zinc/13/88/83/155138883.db2.gz FXKXPSIHVRTFJK-SECBINFHSA-N -1 1 344.393 -0.124 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CSC[C@@H]2C(=O)N(C)C)o1 ZINC000299688448 155164677 /nfs/dbraw/zinc/16/46/77/155164677.db2.gz VLPNAELLLAYASE-MRVPVSSYSA-N -1 1 347.418 -0.209 20 0 EBADMM C[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)[C@@H]1CCCOC1 ZINC000329496378 155227583 /nfs/dbraw/zinc/22/75/83/155227583.db2.gz IZCKUSNBLQBWLA-NXEZZACHSA-N -1 1 331.394 -0.823 20 0 EBADMM CN(C)C(=O)COC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC(C)(C)O1 ZINC000330513223 155278730 /nfs/dbraw/zinc/27/87/30/155278730.db2.gz CZZDGXVLMUQTOK-NSHDSACASA-N -1 1 341.412 -0.807 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2ccn3ccnc3c2)c(=O)n(C)c1=O ZINC000178403960 154117503 /nfs/dbraw/zinc/11/75/03/154117503.db2.gz ANUCWDKQWNTPGR-UHFFFAOYSA-N -1 1 349.372 -0.790 20 0 EBADMM CNC(=O)[C@H](CO)[N-]S(=O)(=O)c1sccc1Br ZINC000185179611 154141300 /nfs/dbraw/zinc/14/13/00/154141300.db2.gz ORRQKKMNERKIDB-LURJTMIESA-N -1 1 343.224 -0.104 20 0 EBADMM C[C@@H](CN(C)C(=O)Cn1c(=O)[nH]c2ccccc2c1=O)c1nn[n-]n1 ZINC000183427877 154135192 /nfs/dbraw/zinc/13/51/92/154135192.db2.gz JHXQTZDRWQTKPH-VIFPVBQESA-N -1 1 343.347 -0.535 20 0 EBADMM C[C@H](NC(=O)c1n[nH]c2ccccc21)C(=O)NC[C@@](C)(O)C(=O)[O-] ZINC000262363692 154226361 /nfs/dbraw/zinc/22/63/61/154226361.db2.gz HIPSUUCGQLKPEI-VXJOIVPMSA-N -1 1 334.332 -0.367 20 0 EBADMM COc1cc(C(=O)NCC(=O)[O-])cc(NS(C)(=O)=O)c1OC ZINC000262685808 154243428 /nfs/dbraw/zinc/24/34/28/154243428.db2.gz DGNFFCRAKLSZQO-UHFFFAOYSA-N -1 1 332.334 -0.110 20 0 EBADMM O=C(CCNC(=O)c1ncccc1[O-])N[C@H]1CCS(=O)(=O)C1 ZINC000263708930 154260844 /nfs/dbraw/zinc/26/08/44/154260844.db2.gz UOQHEPFZSYQVGL-VIFPVBQESA-N -1 1 327.362 -0.790 20 0 EBADMM CC(=O)c1cccc(S(=O)(=O)NCCC(=O)Nc2nnn[n-]2)c1 ZINC000264008807 154271535 /nfs/dbraw/zinc/27/15/35/154271535.db2.gz KNFGPJYDBBINBD-UHFFFAOYSA-N -1 1 338.349 -0.291 20 0 EBADMM CC(=O)c1cccc(S(=O)(=O)NCCC(=O)Nc2nn[n-]n2)c1 ZINC000264008807 154271539 /nfs/dbraw/zinc/27/15/39/154271539.db2.gz KNFGPJYDBBINBD-UHFFFAOYSA-N -1 1 338.349 -0.291 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCC(=O)N2CCOCC2)o1 ZINC000264540951 154283982 /nfs/dbraw/zinc/28/39/82/154283982.db2.gz ASALNJHXSMPPJT-UHFFFAOYSA-N -1 1 346.361 -0.407 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCCNS(C)(=O)=O)o1 ZINC000264660973 154287767 /nfs/dbraw/zinc/28/77/67/154287767.db2.gz YROVVJPOXVDUJD-UHFFFAOYSA-N -1 1 340.379 -0.716 20 0 EBADMM O=C1CNC(=O)N1CC[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC000264945687 154301399 /nfs/dbraw/zinc/30/13/99/154301399.db2.gz INWRLNRCDLADBR-UHFFFAOYSA-N -1 1 337.279 -0.066 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H](C)S(C)(=O)=O ZINC000265078479 154305959 /nfs/dbraw/zinc/30/59/59/154305959.db2.gz WKFFETQWQVZAAZ-VIFPVBQESA-N -1 1 326.378 -0.311 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC2(C(N)=O)CCCC2)o1 ZINC000265285320 154310399 /nfs/dbraw/zinc/31/03/99/154310399.db2.gz WZWRFNRRWPKRET-UHFFFAOYSA-N -1 1 329.378 -0.037 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)CN1C(=O)CN(C)C1=O ZINC000265246711 154310530 /nfs/dbraw/zinc/31/05/30/154310530.db2.gz SFKMTNMXZLHUSQ-UHFFFAOYSA-N -1 1 346.347 -0.850 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCC(=O)OC(C)(C)C)c(=O)n(C)c1=O ZINC000266156152 154337337 /nfs/dbraw/zinc/33/73/37/154337337.db2.gz GNAUWECNMNQSDY-UHFFFAOYSA-N -1 1 347.393 -0.906 20 0 EBADMM CO[C@@H]1CCCC[C@@H]1[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000266155889 154337767 /nfs/dbraw/zinc/33/77/67/154337767.db2.gz GLCWEGSYVHPJIC-VHSXEESVSA-N -1 1 331.394 -0.680 20 0 EBADMM CO[C@H](C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C(C)(C)C ZINC000266777651 154353712 /nfs/dbraw/zinc/35/37/12/154353712.db2.gz WXQIRNZKYJFZIY-SNVBAGLBSA-N -1 1 333.410 -0.577 20 0 EBADMM CCC[C@@H](NC(=O)C=Cc1cn(C)c(=O)n(C)c1=O)c1nn[n-]n1 ZINC000267554481 154380021 /nfs/dbraw/zinc/38/00/21/154380021.db2.gz DVLQXRQEZBRZFT-VQCYPWCPSA-N -1 1 333.352 -0.732 20 0 EBADMM CNC(=O)[C@@H]1CN(CC(=O)[N-]C(=O)c2ccc(OC)cc2)CCO1 ZINC000272926057 154573099 /nfs/dbraw/zinc/57/30/99/154573099.db2.gz ULSYDYUQZPGRHZ-ZDUSSCGKSA-N -1 1 335.360 -0.602 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC2(S(C)(=O)=O)CC2)o1 ZINC000280373471 154760728 /nfs/dbraw/zinc/76/07/28/154760728.db2.gz YSMNVYDRUCMKQM-UHFFFAOYSA-N -1 1 337.375 -0.078 20 0 EBADMM CCN(C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C)[C@@H]1CCNC1=O ZINC000281661146 154784391 /nfs/dbraw/zinc/78/43/91/154784391.db2.gz CAHZBZPECHOUNP-GFCCVEGCSA-N -1 1 331.376 -0.081 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H]1COC2(CCOCC2)O1 ZINC000290643663 155006355 /nfs/dbraw/zinc/00/63/55/155006355.db2.gz DNEMIDDYJZYHDY-SECBINFHSA-N -1 1 333.366 -0.234 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H]1CCC[C@]12NC(=O)NC2=O ZINC000290875813 155011192 /nfs/dbraw/zinc/01/11/92/155011192.db2.gz YJRHHGUQGAQHRL-MADCSZMMSA-N -1 1 343.365 -0.778 20 0 EBADMM Cn1cnn(CC(=O)N2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)c1=O ZINC000291258819 155016905 /nfs/dbraw/zinc/01/69/05/155016905.db2.gz YQTFKOVLIVWALN-SECBINFHSA-N -1 1 349.313 -0.501 20 0 EBADMM CO[C@@](C)(C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C1CC1 ZINC000291697101 155023781 /nfs/dbraw/zinc/02/37/81/155023781.db2.gz NGFQMMIMOWHJDI-ZDUSSCGKSA-N -1 1 331.394 -0.823 20 0 EBADMM O=C([N-]OC/C=C/Cl)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000291700936 155023787 /nfs/dbraw/zinc/02/37/87/155023787.db2.gz CFGLCZIXBCIXOX-ISUDXETCSA-N -1 1 331.800 -0.033 20 0 EBADMM CCOCCCNC(=C1C(=O)[N-]C(=S)NC1=O)[C@@H](F)C(=O)OC ZINC000292956981 155041055 /nfs/dbraw/zinc/04/10/55/155041055.db2.gz GFMYGPQDCKUULF-MRVPVSSYSA-N -1 1 347.368 -0.701 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2CCS(=O)(=O)[C@H]2C)c1 ZINC000293090925 155042867 /nfs/dbraw/zinc/04/28/67/155042867.db2.gz VTRSZTWJKWWOQL-CBAPKCEASA-N -1 1 337.375 -0.080 20 0 EBADMM C[C@@H]1CCC[C@@]1(O)CNC(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000293546701 155047776 /nfs/dbraw/zinc/04/77/76/155047776.db2.gz OTHKKFMKNZAFEC-IRSAESDPSA-N -1 1 331.376 -0.052 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)CCn1ncn(C)c1=O ZINC000294294937 155059839 /nfs/dbraw/zinc/05/98/39/155059839.db2.gz MXEMGUBMNFYCIZ-UHFFFAOYSA-N -1 1 345.363 -0.759 20 0 EBADMM CC[C@H](CSC)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000342474113 156035879 /nfs/dbraw/zinc/03/58/79/156035879.db2.gz OUOOUEPLOSVCBL-MRVPVSSYSA-N -1 1 349.434 -0.085 20 0 EBADMM CCN(C(=O)CNC(=O)c1ncccc1[O-])[C@H]1CCS(=O)(=O)C1 ZINC000343179264 156108676 /nfs/dbraw/zinc/10/86/76/156108676.db2.gz JIDNDYDRNIWQEV-JTQLQIEISA-N -1 1 341.389 -0.447 20 0 EBADMM NC(=O)NC(=O)CC[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000343604527 156147047 /nfs/dbraw/zinc/14/70/47/156147047.db2.gz HVDSYPNLWFTICB-UHFFFAOYSA-N -1 1 325.268 -0.033 20 0 EBADMM C[C@@H]1[C@@H](C)[S@@](=O)CCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000343717907 156160833 /nfs/dbraw/zinc/16/08/33/156160833.db2.gz QYOUWVQNPNJMLZ-FQOARCPFSA-N -1 1 325.390 -0.115 20 0 EBADMM CN(C)S(=O)(=O)c1ccc(O)c(C(=O)NCc2n[nH]c(=O)[n-]2)c1 ZINC000343830544 156172408 /nfs/dbraw/zinc/17/24/08/156172408.db2.gz GTJIKASSOWVCEL-UHFFFAOYSA-N -1 1 341.349 -0.604 20 0 EBADMM CS(=O)(=O)C[C@H]1CCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000344092624 156201807 /nfs/dbraw/zinc/20/18/07/156201807.db2.gz QPZXNHGHRYMLAE-JTQLQIEISA-N -1 1 341.389 -0.590 20 0 EBADMM NC(=O)[C@@H](CO)[N-]S(=O)(=O)c1c(F)cccc1OC(F)(F)F ZINC000344773475 156263759 /nfs/dbraw/zinc/26/37/59/156263759.db2.gz LDJAHTOGNLAURQ-ZCFIWIBFSA-N -1 1 346.258 -0.151 20 0 EBADMM C[C@H](CN(C)C)NS(=O)(=O)c1c[nH]c2nc(=O)[n-]c(=O)c-2c1 ZINC000344897280 156278490 /nfs/dbraw/zinc/27/84/90/156278490.db2.gz YJRNCDUETNIZRU-SSDOTTSWSA-N -1 1 327.366 -0.336 20 0 EBADMM NC(=O)CNC(=O)c1ccc([N-]S(=O)(=O)C[C@H]2CCCO2)cc1 ZINC000345028840 156296104 /nfs/dbraw/zinc/29/61/04/156296104.db2.gz HIPHHVWLSNIQNE-GFCCVEGCSA-N -1 1 341.389 -0.178 20 0 EBADMM CCO[C@@H]1C[C@@H]1C(=O)NCC[N-]S(=O)(=O)c1sc(=O)[nH]c1C ZINC000345482972 156337362 /nfs/dbraw/zinc/33/73/62/156337362.db2.gz KLCHJUZLDNXINR-DTWKUNHWSA-N -1 1 349.434 -0.023 20 0 EBADMM CC(C)[C@H](CO)CNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000345822110 156364459 /nfs/dbraw/zinc/36/44/59/156364459.db2.gz QMUJGZCQVTUCCA-VIFPVBQESA-N -1 1 347.393 -0.963 20 0 EBADMM C[C@@H](O)C(=O)N1CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000345874873 156369235 /nfs/dbraw/zinc/36/92/35/156369235.db2.gz MUTZWBVATNYSAC-SNVBAGLBSA-N -1 1 330.344 -0.412 20 0 EBADMM Cn1ccnc1[C@@H]1OCC[C@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000346094956 156383672 /nfs/dbraw/zinc/38/36/72/156383672.db2.gz RRNCTEVQJPXFSP-QMTHXVAHSA-N -1 1 345.359 -0.103 20 0 EBADMM NS(=O)(=O)c1ccc(C(=O)NC2(c3nn[n-]n3)CCCC2)o1 ZINC000346355263 156399654 /nfs/dbraw/zinc/39/96/54/156399654.db2.gz VOHVWVMHOILJQX-UHFFFAOYSA-N -1 1 326.338 -0.361 20 0 EBADMM CN(CC1CCC1)C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000346972768 156458806 /nfs/dbraw/zinc/45/88/06/156458806.db2.gz HZWUIDZSWHZYMY-UHFFFAOYSA-N -1 1 329.378 -0.085 20 0 EBADMM NC(=O)[C@@H](CO)[N-]S(=O)(=O)c1sccc1Br ZINC000352603303 156791734 /nfs/dbraw/zinc/79/17/34/156791734.db2.gz HYTYAEBJUQGUAH-RXMQYKEDSA-N -1 1 329.197 -0.365 20 0 EBADMM CC(C)N1C(=O)C[C@H](NC(=O)c2c[n-]c3c(cnn3C)c2=O)C1=O ZINC000355729391 157021595 /nfs/dbraw/zinc/02/15/95/157021595.db2.gz NAAASJMCCDFPDZ-JTQLQIEISA-N -1 1 331.332 -0.060 20 0 EBADMM C[C@@H]1CS(=O)(=O)C[C@@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000356606647 157082140 /nfs/dbraw/zinc/08/21/40/157082140.db2.gz NGZVRSDERHDXOS-BDAKNGLRSA-N -1 1 327.362 -0.934 20 0 EBADMM CNC(=O)CC(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-] ZINC000356953744 157108073 /nfs/dbraw/zinc/10/80/73/157108073.db2.gz HLTMTYPJLKGLNF-UHFFFAOYSA-N -1 1 327.362 -0.093 20 0 EBADMM CCn1c(=O)[nH]nc1S(=O)(=O)Cc1cc(=O)n2ccccc2n1 ZINC000357039727 157114765 /nfs/dbraw/zinc/11/47/65/157114765.db2.gz NRNMEKDCRWFNIK-UHFFFAOYSA-N -1 1 335.345 -0.015 20 0 EBADMM CNS(=O)(=O)c1csc(C(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000357068291 157117003 /nfs/dbraw/zinc/11/70/03/157117003.db2.gz GOHRAFOIUDZXBS-UHFFFAOYSA-N -1 1 328.379 -0.412 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H](CO)Cc2cccnc2)co1 ZINC000357245854 157130826 /nfs/dbraw/zinc/13/08/26/157130826.db2.gz FZCZSTMBMPMKOY-LBPRGKRZSA-N -1 1 339.373 -0.084 20 0 EBADMM C[C@H](CN(C)C(=O)CN(C)C(=O)[C@@H](C)n1cccn1)c1nn[n-]n1 ZINC000357986224 157195791 /nfs/dbraw/zinc/19/57/91/157195791.db2.gz HPLWLVFLXVONEZ-GHMZBOCLSA-N -1 1 334.384 -0.322 20 0 EBADMM C[C@H](O)C(=O)N1CCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CC1 ZINC000358344419 157234311 /nfs/dbraw/zinc/23/43/11/157234311.db2.gz WPLNCAPNSIRZFN-VIFPVBQESA-N -1 1 333.348 -0.661 20 0 EBADMM Cc1cc(C(=O)Nc2ccncc2[O-])nc2c1c(=O)n(C)c(=O)n2C ZINC000358352308 157235573 /nfs/dbraw/zinc/23/55/73/157235573.db2.gz LEOCQHWBKWLRHA-UHFFFAOYSA-N -1 1 341.327 -0.285 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCN2C(=O)CCC2=O)o1 ZINC000358886059 157285964 /nfs/dbraw/zinc/28/59/64/157285964.db2.gz PTESVPHWNAUHTG-UHFFFAOYSA-N -1 1 344.345 -0.116 20 0 EBADMM COc1ccc(NC(=O)NC[C@H](O)CO)cc1[N-]S(C)(=O)=O ZINC000358884089 157285987 /nfs/dbraw/zinc/28/59/87/157285987.db2.gz MOHIZBXRYXKPAJ-VIFPVBQESA-N -1 1 333.366 -0.459 20 0 EBADMM C[C@@H](C(=O)NC(C)(C)c1nn[n-]n1)N1C(=O)NC2(CCCC2)C1=O ZINC000359804411 157345238 /nfs/dbraw/zinc/34/52/38/157345238.db2.gz XQNRUMAWMODHAP-QMMMGPOBSA-N -1 1 335.368 -0.196 20 0 EBADMM COCCOCCS(=O)(=O)[N-][C@@]1(C(=O)OC)CCSC1 ZINC000340073967 292586060 /nfs/dbraw/zinc/58/60/60/292586060.db2.gz JNCQTCJWUWUUSP-NSHDSACASA-N -1 1 327.424 -0.383 20 0 EBADMM COC(=O)c1ncsc1S(=O)(=O)NC[C@@H](CC(=O)[O-])OC ZINC000530540956 418957863 /nfs/dbraw/zinc/95/78/63/418957863.db2.gz PTSVRKBVWBADKC-ZCFIWIBFSA-N -1 1 338.363 -0.302 20 0 EBADMM COc1ccc(CCN2C(=O)C[C@@H](NCc3nn[n-]n3)C2=O)cc1 ZINC000653285092 419120974 /nfs/dbraw/zinc/12/09/74/419120974.db2.gz CPEMCGPQMVJTAK-GFCCVEGCSA-N -1 1 330.348 -0.332 20 0 EBADMM COc1ccc(N2C(=O)C[C@H](NCc3nn[n-]n3)C2=O)c(OC)c1 ZINC000653285746 419121703 /nfs/dbraw/zinc/12/17/03/419121703.db2.gz YFIVEEKHLIBLQF-VIFPVBQESA-N -1 1 332.320 -0.361 20 0 EBADMM O=C(Cn1nc2n(c1=O)CCCC2)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000651561213 419210154 /nfs/dbraw/zinc/21/01/54/419210154.db2.gz CYVIDNQOLSNHKW-SNVBAGLBSA-N -1 1 332.368 -0.700 20 0 EBADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCOC[C@@H]1c1ccnn1C ZINC000651924060 419227806 /nfs/dbraw/zinc/22/78/06/419227806.db2.gz VNFQSOJVYSOYGF-SNVBAGLBSA-N -1 1 327.366 -0.109 20 0 EBADMM O=C(CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O)Nc1nnn[n-]1 ZINC000264030243 419315001 /nfs/dbraw/zinc/31/50/01/419315001.db2.gz RYLFEUXLOCXPNL-CQSZACIVSA-N -1 1 327.304 -0.468 20 0 EBADMM O=C(CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O)Nc1nn[n-]n1 ZINC000264030243 419315004 /nfs/dbraw/zinc/31/50/04/419315004.db2.gz RYLFEUXLOCXPNL-CQSZACIVSA-N -1 1 327.304 -0.468 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NC2(C3CC3)CC2)o1 ZINC000531613345 419270483 /nfs/dbraw/zinc/27/04/83/419270483.db2.gz NBOUSTQBWAXVBW-UHFFFAOYSA-N -1 1 327.362 -0.284 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H](CCOC)C(N)=O)o1 ZINC000342991976 419404603 /nfs/dbraw/zinc/40/46/03/419404603.db2.gz VDGPABNCNLBFRX-QMMMGPOBSA-N -1 1 334.350 -0.375 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC[C@]2(O)CCCOC2)co1 ZINC000652950670 419729215 /nfs/dbraw/zinc/72/92/15/419729215.db2.gz FELABWWFAUPTTC-CYBMUJFWSA-N -1 1 332.378 -0.151 20 0 EBADMM Cc1nc(S(=O)(=O)[N-]CC(=O)N(C)C)c(Br)n1C ZINC000286558837 419862866 /nfs/dbraw/zinc/86/28/66/419862866.db2.gz PSSPISFFCIDAJT-UHFFFAOYSA-N -1 1 339.215 -0.142 20 0 EBADMM CCCN1C[C@H](CNC(=O)CNC(=O)c2ncccc2[O-])CC1=O ZINC000421911949 419864388 /nfs/dbraw/zinc/86/43/88/419864388.db2.gz HRRXKSCGWSZJBY-NSHDSACASA-N -1 1 334.376 -0.108 20 0 EBADMM CCOC(=O)Cn1cnc([N-]C(=O)c2c(OC)ncnc2OC)n1 ZINC000425106113 420518043 /nfs/dbraw/zinc/51/80/43/420518043.db2.gz KXZKHORXZASNEZ-UHFFFAOYSA-N -1 1 336.308 -0.099 20 0 EBADMM CCOC(=O)N1CCN(S(=O)(=O)[N-]c2cc(OC)n(C)n2)CC1 ZINC000495562516 420518122 /nfs/dbraw/zinc/51/81/22/420518122.db2.gz ZCQRULYKOSJLDR-UHFFFAOYSA-N -1 1 347.397 -0.141 20 0 EBADMM COC(=O)C1(CS(=O)(=O)[N-]Cc2nnn(C)n2)CCCCC1 ZINC000489800622 420549483 /nfs/dbraw/zinc/54/94/83/420549483.db2.gz BGHHOMBYOZOAEI-UHFFFAOYSA-N -1 1 331.398 -0.247 20 0 EBADMM CC(C)(C)OC(=O)N1C[C@@H](O)C[C@@H]1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000492255421 420571144 /nfs/dbraw/zinc/57/11/44/420571144.db2.gz BXEDWLREOPGATL-DTWKUNHWSA-N -1 1 338.368 -0.325 20 0 EBADMM COC(=O)CC[C@@H](NC(=O)OC(C)(C)C)C(=O)Nc1nnn[n-]1 ZINC000495954907 420614282 /nfs/dbraw/zinc/61/42/82/420614282.db2.gz TWMFSCWSWLRJRV-SSDOTTSWSA-N -1 1 328.329 -0.015 20 0 EBADMM COC(=O)CC[C@@H](NC(=O)OC(C)(C)C)C(=O)Nc1nn[n-]n1 ZINC000495954907 420614284 /nfs/dbraw/zinc/61/42/84/420614284.db2.gz TWMFSCWSWLRJRV-SSDOTTSWSA-N -1 1 328.329 -0.015 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2cnc(C)nc2N)co1 ZINC000570646154 420646732 /nfs/dbraw/zinc/64/67/32/420646732.db2.gz GYKIWUXHMUOHFW-UHFFFAOYSA-N -1 1 325.350 -0.202 20 0 EBADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000646412853 420663439 /nfs/dbraw/zinc/66/34/39/420663439.db2.gz CWSXOFDKXWZLDJ-UHFFFAOYSA-N -1 1 330.304 -0.378 20 0 EBADMM COCCN1CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC1=O ZINC000507566717 420673035 /nfs/dbraw/zinc/67/30/35/420673035.db2.gz OKVJERDJHILDCU-UHFFFAOYSA-N -1 1 330.344 -0.146 20 0 EBADMM O=C(Cn1c(=O)cnc2ccccc21)NCCCc1nc(=O)[n-][nH]1 ZINC000174576567 420684139 /nfs/dbraw/zinc/68/41/39/420684139.db2.gz CDRBJQDAKJBSQF-UHFFFAOYSA-N -1 1 328.332 -0.443 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC[C@@H]2CCCOC2)c(=O)n(C)c1=O ZINC000528453039 420749951 /nfs/dbraw/zinc/74/99/51/420749951.db2.gz YIRYPFYFTSFKRI-JTQLQIEISA-N -1 1 331.394 -0.821 20 0 EBADMM CO[C@H](CS(=O)(=O)[N-][C@H]1CS(=O)(=O)C[C@@H]1OC)C(C)C ZINC000657100439 420915749 /nfs/dbraw/zinc/91/57/49/420915749.db2.gz LWHFSBHACFCABI-AXFHLTTASA-N -1 1 329.440 -0.611 20 0 EBADMM CO[C@H](CS(=O)(=O)[N-][C@H]1CS(=O)(=O)C[C@H]1OC)C1CC1 ZINC000657100083 420915768 /nfs/dbraw/zinc/91/57/68/420915768.db2.gz JRSFVSJESRDPJP-HBNTYKKESA-N -1 1 327.424 -0.857 20 0 EBADMM COCCOc1ncccc1C(=O)Nc1n[n-]c(S(C)(=O)=O)n1 ZINC000354717056 421272159 /nfs/dbraw/zinc/27/21/59/421272159.db2.gz DQXNXBJSLPHFLB-UHFFFAOYSA-N -1 1 341.349 -0.119 20 0 EBADMM COCCOc1ncccc1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000354717056 421272162 /nfs/dbraw/zinc/27/21/62/421272162.db2.gz DQXNXBJSLPHFLB-UHFFFAOYSA-N -1 1 341.349 -0.119 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CCC[C@@H]2F)o1 ZINC000340808263 264643885 /nfs/dbraw/zinc/64/38/85/264643885.db2.gz DLNSJIGDIZHEIA-YUMQZZPRSA-N -1 1 333.341 -0.336 20 0 EBADMM CC[C@](COC)([N-]S(=O)(=O)N1C[C@@H](C)O[C@H](C)C1)C(=O)OC ZINC000416517400 264802003 /nfs/dbraw/zinc/80/20/03/264802003.db2.gz RQABRBGCAIKNOB-NQBHXWOUSA-N -1 1 338.426 -0.102 20 0 EBADMM Cn1ccc(=O)n(CCCNC(=O)C(=O)c2ccc([O-])cc2)c1=O ZINC000425284605 265088859 /nfs/dbraw/zinc/08/88/59/265088859.db2.gz VMFSRXDQOWFVTK-UHFFFAOYSA-N -1 1 331.328 -0.358 20 0 EBADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)CC(=O)NCC2CC2)c1 ZINC000427681084 265109924 /nfs/dbraw/zinc/10/99/24/265109924.db2.gz AYZMHIUZLFNMOQ-UHFFFAOYSA-N -1 1 327.362 -0.106 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@@H](C)C[C@H]2C(N)=O)o1 ZINC000428142933 265119360 /nfs/dbraw/zinc/11/93/60/265119360.db2.gz UMNFOMKMQGXFDH-BDAKNGLRSA-N -1 1 329.378 -0.086 20 0 EBADMM Cc1cncc(NC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)c1 ZINC000431046119 265158060 /nfs/dbraw/zinc/15/80/60/265158060.db2.gz CPKXWRDIRWGBJJ-UHFFFAOYSA-N -1 1 338.345 -0.001 20 0 EBADMM Cc1nnc([C@@H]2COCCN2C(=O)CNC(=O)c2ncccc2[O-])o1 ZINC000431442227 265165140 /nfs/dbraw/zinc/16/51/40/265165140.db2.gz ZGSQTUQXCMBZID-JTQLQIEISA-N -1 1 347.331 -0.191 20 0 EBADMM CN(C)CC(=O)N1CCC(NS(=O)(=O)[N-]CC(F)(F)F)CC1 ZINC000440397174 265285762 /nfs/dbraw/zinc/28/57/62/265285762.db2.gz HVIHNDFBARPZPQ-UHFFFAOYSA-N -1 1 346.375 -0.475 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H](C(=O)OC)[C@@H](C)O)o1 ZINC000444204193 265361224 /nfs/dbraw/zinc/36/12/24/265361224.db2.gz YUYKLABCGJMLBB-XCBNKYQSSA-N -1 1 335.334 -0.343 20 0 EBADMM C[C@H]1CN(S(=O)(=O)c2c[nH]c3nc(=O)[n-]c(=O)c-3c2)[C@@H](C)CN1 ZINC000353491312 300289997 /nfs/dbraw/zinc/28/99/97/300289997.db2.gz JQTRAZSYBPYPOV-YUMQZZPRSA-N -1 1 339.377 -0.193 20 0 EBADMM Cc1ccn(C[C@@](C)(O)C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c(=O)c1 ZINC000279820388 302257830 /nfs/dbraw/zinc/25/78/30/302257830.db2.gz QMWOGBHKTDNWGX-IAQYHMDHSA-N -1 1 334.380 -0.317 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)CN1CCOCC1 ZINC000298514155 521568679 /nfs/dbraw/zinc/56/86/79/521568679.db2.gz YJNQFBUWTBVTQJ-SNVBAGLBSA-N -1 1 346.409 -0.415 20 0 EBADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@@H](O)Cn2ccnn2)c(F)c1 ZINC000668264392 545650359 /nfs/dbraw/zinc/65/03/59/545650359.db2.gz UGJQWDKCHPKCFQ-MRVPVSSYSA-N -1 1 348.331 -0.096 20 0 EBADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@H](O)Cn2ccnn2)c(F)c1 ZINC000668264394 545650495 /nfs/dbraw/zinc/65/04/95/545650495.db2.gz UGJQWDKCHPKCFQ-QMMMGPOBSA-N -1 1 348.331 -0.096 20 0 EBADMM CO[C@@H]1C[C@H]([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)C12CCC2 ZINC000668270485 545651088 /nfs/dbraw/zinc/65/10/88/545651088.db2.gz OHONXDLLHBBQCE-WDEREUQCSA-N -1 1 343.405 -0.680 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCOC[C@@]23CCOC3)co1 ZINC000669625544 545916984 /nfs/dbraw/zinc/91/69/84/545916984.db2.gz BGPPYXYQYAGRRT-ZDUSSCGKSA-N -1 1 330.362 -0.181 20 0 EBADMM CC1(C)C(=O)NC(=O)N1CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000670383459 545995056 /nfs/dbraw/zinc/99/50/56/545995056.db2.gz OUJUKRVNOWQOCH-MRVPVSSYSA-N -1 1 337.336 -0.188 20 0 EBADMM CS(=O)(=O)N1CCN(S(=O)(=O)[N-][C@H]2CCCC2(F)F)CC1 ZINC000672429227 546100646 /nfs/dbraw/zinc/10/06/46/546100646.db2.gz LUNLQHMAKYVGOC-VIFPVBQESA-N -1 1 347.409 -0.414 20 0 EBADMM CC[N@H+]1CCN(C(=O)CNS(=O)(=O)c2cn(C)cn2)C[C@@H]1C ZINC000677182191 546226051 /nfs/dbraw/zinc/22/60/51/546226051.db2.gz DHWGDZRFYIODTN-NSHDSACASA-N -1 1 329.426 -0.749 20 0 EBADMM O=C(CCN1CCCS1(=O)=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000677793192 546235533 /nfs/dbraw/zinc/23/55/33/546235533.db2.gz OPDDJTJKRUZFDL-SNVBAGLBSA-N -1 1 328.398 -0.669 20 0 EBADMM Cn1nnnc1-c1cccc(S(=O)(=O)[N-]c2cc(C(N)=O)[nH]n2)c1 ZINC000679204490 546267497 /nfs/dbraw/zinc/26/74/97/546267497.db2.gz IPBIHAXNDRFESL-UHFFFAOYSA-N -1 1 348.348 -0.500 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1CCCCN1S(C)(=O)=O)c1nn[n-]n1 ZINC000681353420 546303783 /nfs/dbraw/zinc/30/37/83/546303783.db2.gz LVCHFLASNTYTLZ-UWVGGRQHSA-N -1 1 330.414 -0.424 20 0 EBADMM NC(=O)NCC(=O)N[C@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1 ZINC000684880700 546378363 /nfs/dbraw/zinc/37/83/63/546378363.db2.gz MQNQQOSNVYPPOQ-VIFPVBQESA-N -1 1 327.707 -0.134 20 0 EBADMM CS[C@@H]1CC[C@H]([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)C1 ZINC000742328373 582534710 /nfs/dbraw/zinc/53/47/10/582534710.db2.gz KPWDVBTXUPAJJA-DTWKUNHWSA-N -1 1 333.435 -0.354 20 0 EBADMM C[C@H](C[N-]S(=O)(=O)N=S1(=O)CCCC1)N1CCN(C)CC1 ZINC000866857855 582565974 /nfs/dbraw/zinc/56/59/74/582565974.db2.gz SOIJOYVEUQMGCM-GFCCVEGCSA-N -1 1 338.499 -0.282 20 0 EBADMM O=C(N1CCOCC1)N1CCN(c2cccc(-c3nnn[n-]3)n2)CC1 ZINC000737994623 582578532 /nfs/dbraw/zinc/57/85/32/582578532.db2.gz DKGJXPOLRGRYAV-UHFFFAOYSA-N -1 1 344.379 -0.164 20 0 EBADMM O=C(N1CCOCC1)N1CCN(c2cccc(-c3nn[n-]n3)n2)CC1 ZINC000737994623 582578534 /nfs/dbraw/zinc/57/85/34/582578534.db2.gz DKGJXPOLRGRYAV-UHFFFAOYSA-N -1 1 344.379 -0.164 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)C2CC(=O)NC(=O)C2)cc1 ZINC000726822086 582622020 /nfs/dbraw/zinc/62/20/20/582622020.db2.gz TYGRDQZWUUGHAB-UHFFFAOYSA-N -1 1 348.311 -0.452 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(Cc2ccccn2)CC1 ZINC000726865815 582623276 /nfs/dbraw/zinc/62/32/76/582623276.db2.gz HPHVVBOYKRXHLB-UHFFFAOYSA-N -1 1 343.387 -0.334 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(Cc2cccnc2)CC1 ZINC000727848634 582660031 /nfs/dbraw/zinc/66/00/31/582660031.db2.gz OSHPSWCZPRMJIR-UHFFFAOYSA-N -1 1 343.387 -0.334 20 0 EBADMM Cc1cc(NC(=O)CCn2cc[n-]c(=O)c2=O)ccc1-n1cnnn1 ZINC000727848892 582660956 /nfs/dbraw/zinc/66/09/56/582660956.db2.gz YPCPPBUVQHJQFP-UHFFFAOYSA-N -1 1 341.331 -0.150 20 0 EBADMM COc1ccccc1CN(CCO)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000727851628 582661355 /nfs/dbraw/zinc/66/13/55/582661355.db2.gz LZKMATHCHCYWRV-UHFFFAOYSA-N -1 1 347.371 -0.044 20 0 EBADMM COC(=O)[C@@H]1CN(CC(=O)[N-]C(=O)c2ccc(OC)cc2)CCO1 ZINC000728574784 582674620 /nfs/dbraw/zinc/67/46/20/582674620.db2.gz GWHBRUDDLVIUOZ-ZDUSSCGKSA-N -1 1 336.344 -0.175 20 0 EBADMM CC[C@@H](C)[C@H](C(=O)[O-])N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000903594827 582813984 /nfs/dbraw/zinc/81/39/84/582813984.db2.gz HBDXIMYJOVZRPH-CHWSQXEVSA-N -1 1 349.453 -0.320 20 0 EBADMM O=C(NCc1nn[n-]n1)c1ccc2c(c1)S(=O)(=O)N(C1CC1)C2=O ZINC000731887863 582842068 /nfs/dbraw/zinc/84/20/68/582842068.db2.gz OUEVJQJJWWIKQX-UHFFFAOYSA-N -1 1 348.344 -0.563 20 0 EBADMM O=C(c1ccc(-n2cnnn2)cc1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000732090780 582844028 /nfs/dbraw/zinc/84/40/28/582844028.db2.gz OIJBSUABUBLNRA-LLVKDONJSA-N -1 1 327.308 -0.611 20 0 EBADMM CC(C)CS(=O)(=O)CCC(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000732088460 582844223 /nfs/dbraw/zinc/84/42/23/582844223.db2.gz CEKCTPHXRFIAHK-JTQLQIEISA-N -1 1 331.398 -0.440 20 0 EBADMM O=C(C[C@H]1Oc2ccccc2NC1=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000732091489 582844544 /nfs/dbraw/zinc/84/45/44/582844544.db2.gz GMMNCBCOVFEJMY-NEPJUHHUSA-N -1 1 344.331 -0.111 20 0 EBADMM Cn1ncc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c1-n1cccc1 ZINC000732091504 582844645 /nfs/dbraw/zinc/84/46/45/582844645.db2.gz GUXLKJIUFSPBCQ-LLVKDONJSA-N -1 1 328.336 -0.062 20 0 EBADMM CCN1C(=O)c2ccc(C(=O)NCc3nn[n-]n3)cc2S1(=O)=O ZINC000732157596 582844905 /nfs/dbraw/zinc/84/49/05/582844905.db2.gz AJGSSLDBFTVMOP-UHFFFAOYSA-N -1 1 336.333 -0.706 20 0 EBADMM CCn1c(=O)c2ccccc2n(CC(=O)NCc2nn[n-]n2)c1=O ZINC000732157985 582845471 /nfs/dbraw/zinc/84/54/71/582845471.db2.gz OAJIQCOSVJURJT-UHFFFAOYSA-N -1 1 329.320 -0.987 20 0 EBADMM CCN(C)C(=O)[C@H]1CSCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000733146311 582856203 /nfs/dbraw/zinc/85/62/03/582856203.db2.gz ZXUXSFOZZYGOPH-SNVBAGLBSA-N -1 1 340.405 -0.694 20 0 EBADMM CC(C)(C)S(=O)(=O)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC000733181893 582857689 /nfs/dbraw/zinc/85/76/89/582857689.db2.gz TUBBOEDKGVYWGT-UHFFFAOYSA-N -1 1 331.394 -0.744 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCCN2C(=O)CNC2=O)o1 ZINC000733443213 582861995 /nfs/dbraw/zinc/86/19/95/582861995.db2.gz YXMLFZABXYUQRC-UHFFFAOYSA-N -1 1 345.333 -0.714 20 0 EBADMM CC(C)[C@@H]1C[N@H+](C)CCN1c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000735758294 582906256 /nfs/dbraw/zinc/90/62/56/582906256.db2.gz GRMLUXZPZRUQHF-JTQLQIEISA-N -1 1 348.411 -0.960 20 0 EBADMM CC(C)[C@@H]1C[N@@H+](C)CCN1c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000735758294 582906257 /nfs/dbraw/zinc/90/62/57/582906257.db2.gz GRMLUXZPZRUQHF-JTQLQIEISA-N -1 1 348.411 -0.960 20 0 EBADMM CC(C)n1cc(Nc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)cn1 ZINC000735961891 582910488 /nfs/dbraw/zinc/91/04/88/582910488.db2.gz VVUXHPXKMFAVPN-UHFFFAOYSA-N -1 1 331.340 -0.215 20 0 EBADMM C[C@H](NC(=O)COC(=O)c1ccc(-c2nnn[n-]2)nc1)C(=O)N(C)C ZINC000736105243 582916596 /nfs/dbraw/zinc/91/65/96/582916596.db2.gz NCYDGGPNRRITNF-QMMMGPOBSA-N -1 1 347.335 -0.989 20 0 EBADMM C[C@H](NC(=O)COC(=O)c1ccc(-c2nn[n-]n2)nc1)C(=O)N(C)C ZINC000736105243 582916599 /nfs/dbraw/zinc/91/65/99/582916599.db2.gz NCYDGGPNRRITNF-QMMMGPOBSA-N -1 1 347.335 -0.989 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CS(=O)(=O)c2ccccc21 ZINC000736155672 582923303 /nfs/dbraw/zinc/92/33/03/582923303.db2.gz CVVMYNLAGGCXSZ-NSHDSACASA-N -1 1 349.368 -0.429 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CS(=O)(=O)c2ccccc21 ZINC000736155671 582923381 /nfs/dbraw/zinc/92/33/81/582923381.db2.gz CVVMYNLAGGCXSZ-LLVKDONJSA-N -1 1 349.368 -0.429 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@@H](CO)Cc1ccccc1 ZINC000736197125 582923884 /nfs/dbraw/zinc/92/38/84/582923884.db2.gz SPHVQNHTCDAAHC-AWEZNQCLSA-N -1 1 331.372 -0.106 20 0 EBADMM CC1(C)C(=O)NCCN1S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736208534 582924481 /nfs/dbraw/zinc/92/44/81/582924481.db2.gz XRCGIHJEBBDPFI-UHFFFAOYSA-N -1 1 337.365 -0.839 20 0 EBADMM CC1(C)C(=O)NCCN1S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736208534 582924482 /nfs/dbraw/zinc/92/44/82/582924482.db2.gz XRCGIHJEBBDPFI-UHFFFAOYSA-N -1 1 337.365 -0.839 20 0 EBADMM CCC(CC)(NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)C(N)=O ZINC000736334683 582930865 /nfs/dbraw/zinc/93/08/65/582930865.db2.gz JDEWVOMEQUVQSQ-UHFFFAOYSA-N -1 1 339.381 -0.416 20 0 EBADMM CCC(CC)(NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)C(N)=O ZINC000736334683 582930867 /nfs/dbraw/zinc/93/08/67/582930867.db2.gz JDEWVOMEQUVQSQ-UHFFFAOYSA-N -1 1 339.381 -0.416 20 0 EBADMM CC(C)N1CCO[C@H](CN2CCN(C(=O)CCNC(=O)[O-])CC2)C1 ZINC000736474714 582935505 /nfs/dbraw/zinc/93/55/05/582935505.db2.gz PGWMPJJLOXLFJI-CQSZACIVSA-N -1 1 342.440 -0.103 20 0 EBADMM CCN(CC)C(=O)CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736529828 582939569 /nfs/dbraw/zinc/93/95/69/582939569.db2.gz UACVKHMVSWDDCX-UHFFFAOYSA-N -1 1 339.381 -0.592 20 0 EBADMM CCN(CC)C(=O)CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736529828 582939571 /nfs/dbraw/zinc/93/95/71/582939571.db2.gz UACVKHMVSWDDCX-UHFFFAOYSA-N -1 1 339.381 -0.592 20 0 EBADMM CCNC(=O)CN(C)S(=O)(=O)c1ccc(F)c(-c2nn[n-]n2)c1 ZINC000736574080 582943623 /nfs/dbraw/zinc/94/36/23/582943623.db2.gz NVTILTRPZNJWBJ-UHFFFAOYSA-N -1 1 342.356 -0.238 20 0 EBADMM C[C@@H](O)CN1CCN(c2ccc(S(N)(=O)=O)cc2C(=O)[O-])CC1 ZINC000736677537 582950059 /nfs/dbraw/zinc/95/00/59/582950059.db2.gz NAGOZBGDFWHGKT-SNVBAGLBSA-N -1 1 343.405 -0.465 20 0 EBADMM CCc1nn(CCC(=O)NC(N)=O)c(=O)c(-c2nn[n-]n2)c1CC ZINC000736705442 582950630 /nfs/dbraw/zinc/95/06/30/582950630.db2.gz IPGLDXAZXAWCDR-UHFFFAOYSA-N -1 1 334.340 -0.867 20 0 EBADMM CCc1nn(CCCS(N)(=O)=O)c(=O)c(-c2nn[n-]n2)c1CC ZINC000736705757 582950728 /nfs/dbraw/zinc/95/07/28/582950728.db2.gz OKOBWDIWCAOIKN-UHFFFAOYSA-N -1 1 341.397 -0.773 20 0 EBADMM CN(C)C(=O)CN(C)S(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000736745781 582952893 /nfs/dbraw/zinc/95/28/93/582952893.db2.gz BYUIKCGEEMQKIC-UHFFFAOYSA-N -1 1 342.356 -0.286 20 0 EBADMM CN(C)C(=O)CN(C)S(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000736745781 582952895 /nfs/dbraw/zinc/95/28/95/582952895.db2.gz BYUIKCGEEMQKIC-UHFFFAOYSA-N -1 1 342.356 -0.286 20 0 EBADMM C[C@@H]1C[C@@H](C)CN(C(=O)CN2CCN(C[C@](C)(O)C(=O)[O-])CC2)C1 ZINC000736750669 582953205 /nfs/dbraw/zinc/95/32/05/582953205.db2.gz QSHDEDISRBZQBQ-CPUCHLNUSA-N -1 1 341.452 -0.056 20 0 EBADMM C[C@@H]1CN(CCCNC(=O)N2CCO[C@@H](C(=O)[O-])C2)C[C@@H](C)O1 ZINC000736811020 582959042 /nfs/dbraw/zinc/95/90/42/582959042.db2.gz QBDKZHDEPOQEFA-JHJVBQTASA-N -1 1 329.397 -0.019 20 0 EBADMM CN1CC[N@@H+](C)C[C@@H]1CNC(=O)COc1ccccc1-c1nn[nH]n1 ZINC000736857353 582963437 /nfs/dbraw/zinc/96/34/37/582963437.db2.gz RYKSDGKUZSMEJL-LBPRGKRZSA-N -1 1 345.407 -0.393 20 0 EBADMM CN1CCN(C)[C@@H](CNC(=O)COc2ccccc2-c2nn[nH]n2)C1 ZINC000736857353 582963439 /nfs/dbraw/zinc/96/34/39/582963439.db2.gz RYKSDGKUZSMEJL-LBPRGKRZSA-N -1 1 345.407 -0.393 20 0 EBADMM COC(=O)[C@@H](C)CN(C)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736890054 582969279 /nfs/dbraw/zinc/96/92/79/582969279.db2.gz ZZDMSVSGCKFDMH-QMMMGPOBSA-N -1 1 340.365 -0.309 20 0 EBADMM COC(=O)[C@@H](C)CN(C)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736890054 582969281 /nfs/dbraw/zinc/96/92/81/582969281.db2.gz ZZDMSVSGCKFDMH-QMMMGPOBSA-N -1 1 340.365 -0.309 20 0 EBADMM CNc1nc(CNc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)cs1 ZINC000736888255 582970199 /nfs/dbraw/zinc/97/01/99/582970199.db2.gz XANITDLXTYUIRO-UHFFFAOYSA-N -1 1 349.380 -0.626 20 0 EBADMM COC[C@@H]1CCCN(c2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)C1 ZINC000736971115 582978497 /nfs/dbraw/zinc/97/84/97/582978497.db2.gz HGFSJXWECAYVOM-SECBINFHSA-N -1 1 335.368 -0.873 20 0 EBADMM COC(=O)c1cc(Cl)cc(NC(=O)C(=O)NCc2nn[n-]n2)c1 ZINC000737267914 583003347 /nfs/dbraw/zinc/00/33/47/583003347.db2.gz OTPAHIYUHDGMAH-UHFFFAOYSA-N -1 1 338.711 -0.105 20 0 EBADMM CN(CCCC(=O)N[C@H]1CCN(CCN2CCOCC2)C1)C(=O)[O-] ZINC000737421727 583008131 /nfs/dbraw/zinc/00/81/31/583008131.db2.gz JRNABWBCBXUASL-AWEZNQCLSA-N -1 1 342.440 -0.101 20 0 EBADMM Cn1ccc(NC(=O)NCCCc2[nH]nc(N)c2-c2nnn[n-]2)n1 ZINC000737613906 583021849 /nfs/dbraw/zinc/02/18/49/583021849.db2.gz UCMLFTSHHHGRQF-UHFFFAOYSA-N -1 1 331.344 -0.340 20 0 EBADMM Cn1ccc(NC(=O)NCCCc2[nH]nc(N)c2-c2nn[n-]n2)n1 ZINC000737613906 583021852 /nfs/dbraw/zinc/02/18/52/583021852.db2.gz UCMLFTSHHHGRQF-UHFFFAOYSA-N -1 1 331.344 -0.340 20 0 EBADMM O=C(CN1CCN(c2nccnc2-c2nnn[n-]2)CC1)NC1CC1 ZINC000737884115 583031647 /nfs/dbraw/zinc/03/16/47/583031647.db2.gz SYIYTYIXGNDMPL-UHFFFAOYSA-N -1 1 329.368 -0.943 20 0 EBADMM O=C(CN1CCN(c2nccnc2-c2nn[n-]n2)CC1)NC1CC1 ZINC000737884115 583031649 /nfs/dbraw/zinc/03/16/49/583031649.db2.gz SYIYTYIXGNDMPL-UHFFFAOYSA-N -1 1 329.368 -0.943 20 0 EBADMM O=C(COC(=O)c1ccc(-c2nnn[n-]2)nc1)NC[C@@H]1CCCO1 ZINC000737907601 583033715 /nfs/dbraw/zinc/03/37/15/583033715.db2.gz FITIDYLJRDTHTE-JTQLQIEISA-N -1 1 332.320 -0.286 20 0 EBADMM O=C(COC(=O)c1ccc(-c2nn[n-]n2)nc1)NC[C@@H]1CCCO1 ZINC000737907601 583033717 /nfs/dbraw/zinc/03/37/17/583033717.db2.gz FITIDYLJRDTHTE-JTQLQIEISA-N -1 1 332.320 -0.286 20 0 EBADMM O=C(NCCNC(=O)c1ccc(-c2nnn[n-]2)nc1)c1cccnc1 ZINC000738076639 583041973 /nfs/dbraw/zinc/04/19/73/583041973.db2.gz YZELRCYLPDXSAZ-UHFFFAOYSA-N -1 1 338.331 -0.184 20 0 EBADMM O=C(NCCNC(=O)c1ccc(-c2nn[n-]n2)nc1)c1cccnc1 ZINC000738076639 583041975 /nfs/dbraw/zinc/04/19/75/583041975.db2.gz YZELRCYLPDXSAZ-UHFFFAOYSA-N -1 1 338.331 -0.184 20 0 EBADMM NC(=O)CN1CCN(Cc2cc(=O)oc3cc([O-])ccc23)CC1=O ZINC000839176175 583060679 /nfs/dbraw/zinc/06/06/79/583060679.db2.gz CLMGJABIOKZKEL-UHFFFAOYSA-N -1 1 331.328 -0.372 20 0 EBADMM COc1ccc([C@@H]2[C@@H](C(=O)NN3CC(=O)[N-]C3=O)CC(=O)N2C)cc1 ZINC000743291463 583084801 /nfs/dbraw/zinc/08/48/01/583084801.db2.gz SFINQQRCXAPKBX-SMDDNHRTSA-N -1 1 346.343 -0.202 20 0 EBADMM CCC(CC)([N-]S(=O)(=O)c1n[nH]c(C)c1C(=O)OC)C(N)=O ZINC000751066973 583141886 /nfs/dbraw/zinc/14/18/86/583141886.db2.gz ZOHLLDLMEZYYSZ-UHFFFAOYSA-N -1 1 332.382 -0.173 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@H](C(=O)NC(N)=O)C(C)C)co1 ZINC000756395966 583183207 /nfs/dbraw/zinc/18/32/07/583183207.db2.gz VOBUNGAMNRCMFA-VIFPVBQESA-N -1 1 347.349 -0.436 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC(=O)NC(=O)NC2CC2)co1 ZINC000756404964 583183215 /nfs/dbraw/zinc/18/32/15/583183215.db2.gz ORKRVNALRXPVEU-UHFFFAOYSA-N -1 1 345.333 -0.667 20 0 EBADMM CON(C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)C1CCCC1 ZINC000757628635 583194219 /nfs/dbraw/zinc/19/42/19/583194219.db2.gz LHNXPNRHKSHFCE-UHFFFAOYSA-N -1 1 345.377 -0.011 20 0 EBADMM COC(=O)C1([N-]S(=O)(=O)CCS(C)(=O)=O)CCSCC1 ZINC000758423474 583201385 /nfs/dbraw/zinc/20/13/85/583201385.db2.gz JXMWGFGROQVZOT-UHFFFAOYSA-N -1 1 345.464 -0.611 20 0 EBADMM COc1ncc(NC(=O)CCn2cc[n-]c(=O)c2=O)cc1C(N)=O ZINC000762240931 583245682 /nfs/dbraw/zinc/24/56/82/583245682.db2.gz CJISNRHSUDNWHT-UHFFFAOYSA-N -1 1 333.304 -0.932 20 0 EBADMM CS(=O)(=O)[N-]C(=O)CCNC(=O)c1nnc2ccccc2c1O ZINC000763443770 583260745 /nfs/dbraw/zinc/26/07/45/583260745.db2.gz FCMLJPHOMPZJJJ-UHFFFAOYSA-N -1 1 338.345 -0.469 20 0 EBADMM Cc1ccc(S(N)(=O)=O)cc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765385541 583280733 /nfs/dbraw/zinc/28/07/33/583280733.db2.gz ASOQKRWLADSABO-UHFFFAOYSA-N -1 1 326.334 -0.579 20 0 EBADMM Cn1[n-]c(COC(=O)c2ccc(NS(C)(=O)=O)cc2)nc1=O ZINC000765407504 583281317 /nfs/dbraw/zinc/28/13/17/583281317.db2.gz CWPTUXDFJWVGKE-UHFFFAOYSA-N -1 1 326.334 -0.163 20 0 EBADMM Cn1[n-]c(COC(=O)CNC(=O)N2CCc3ccccc3C2)nc1=O ZINC000765482549 583283529 /nfs/dbraw/zinc/28/35/29/583283529.db2.gz ZAAGSGDSIJJDLW-UHFFFAOYSA-N -1 1 345.359 -0.081 20 0 EBADMM CC(C)CN1N=C(C(=O)Nc2n[n-]c(S(C)(=O)=O)n2)CCC1=O ZINC000768708650 583317076 /nfs/dbraw/zinc/31/70/76/583317076.db2.gz ZPAKYBSXOKZKOG-UHFFFAOYSA-N -1 1 342.381 -0.219 20 0 EBADMM CC(C)CN1N=C(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)CCC1=O ZINC000768708650 583317079 /nfs/dbraw/zinc/31/70/79/583317079.db2.gz ZPAKYBSXOKZKOG-UHFFFAOYSA-N -1 1 342.381 -0.219 20 0 EBADMM CCCCCCn1cc(S(=O)(=O)[N-]CC(=O)OC)c(=O)[nH]c1=O ZINC000769624615 583325913 /nfs/dbraw/zinc/32/59/13/583325913.db2.gz JEZLDRALTQPQNU-UHFFFAOYSA-N -1 1 347.393 -0.020 20 0 EBADMM CCCCCCn1cc(S(=O)(=O)[N-]CCC(N)=O)c(=O)[nH]c1=O ZINC000769632198 583325926 /nfs/dbraw/zinc/32/59/26/583325926.db2.gz BMNNYDRYGYQFPS-UHFFFAOYSA-N -1 1 346.409 -0.317 20 0 EBADMM CCOC(=O)COCCOC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000805397891 583332261 /nfs/dbraw/zinc/33/22/61/583332261.db2.gz JVUDSHSSUVYRJH-UHFFFAOYSA-N -1 1 335.334 -0.076 20 0 EBADMM O=C(Nc1ccccc1C(=O)N1CCOCC1)NN1CC(=O)[N-]C1=O ZINC000770128829 583333319 /nfs/dbraw/zinc/33/33/19/583333319.db2.gz KNFGRSNXNOTURF-UHFFFAOYSA-N -1 1 347.331 -0.253 20 0 EBADMM CS(=O)(=O)Nc1ccc(NC(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000770502130 583338193 /nfs/dbraw/zinc/33/81/93/583338193.db2.gz GROMDZWCLUWKCL-UHFFFAOYSA-N -1 1 327.322 -0.354 20 0 EBADMM O=C([N-]OC1CCOCC1)C1=CC=CN2CCS(=O)(=O)N=C12 ZINC000772708112 583364541 /nfs/dbraw/zinc/36/45/41/583364541.db2.gz CNYSTEVBPPGDRI-UHFFFAOYSA-N -1 1 327.362 -0.289 20 0 EBADMM CC1(C)C(=O)NC(=O)N1CC(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774950795 583392871 /nfs/dbraw/zinc/39/28/71/583392871.db2.gz POWVYDXKCKEIGE-UHFFFAOYSA-N -1 1 339.270 -0.071 20 0 EBADMM CS(=O)(=O)c1ccc(-n2nnnc2COC(=O)c2cn[n-]n2)cc1 ZINC000805605978 583421189 /nfs/dbraw/zinc/42/11/89/583421189.db2.gz DCSVRPFZDJYXQU-UHFFFAOYSA-N -1 1 349.332 -0.459 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)CN2CCN3C(=O)NC(=O)[C@H]3C2)cc1 ZINC000778954533 583429433 /nfs/dbraw/zinc/42/94/33/583429433.db2.gz YGVDFOJZCOLAJP-GFCCVEGCSA-N -1 1 346.343 -0.812 20 0 EBADMM CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@H](C(=O)NC)C(C)C ZINC000785379383 583496168 /nfs/dbraw/zinc/49/61/68/583496168.db2.gz UAUUXPBNFCXFCY-JTQLQIEISA-N -1 1 346.409 -0.056 20 0 EBADMM CC(=O)CCCOC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000786201190 583507044 /nfs/dbraw/zinc/50/70/44/583507044.db2.gz QYXGKXGRTFIZRC-UHFFFAOYSA-N -1 1 332.334 -0.431 20 0 EBADMM Cc1cc(COC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)no1 ZINC000786198843 583507058 /nfs/dbraw/zinc/50/70/58/583507058.db2.gz WNLCYKSQPPKNAK-UHFFFAOYSA-N -1 1 343.317 -0.303 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)OCC[C@H]2CCCO2)o1 ZINC000786196679 583507199 /nfs/dbraw/zinc/50/71/99/583507199.db2.gz HPXBJSZNQVHIDP-SECBINFHSA-N -1 1 346.361 -0.231 20 0 EBADMM O=C(Nc1ccc(CN2C(=O)CCC2=O)cc1)NN1CC(=O)[N-]C1=O ZINC000789836327 583539296 /nfs/dbraw/zinc/53/92/96/583539296.db2.gz QWOHQCGQNIRZBG-UHFFFAOYSA-N -1 1 345.315 -0.076 20 0 EBADMM CS(=O)(=O)CC[C@@H](C(=O)NN1CC(=O)[N-]C1=O)c1ccccc1 ZINC000789899460 583539991 /nfs/dbraw/zinc/53/99/91/583539991.db2.gz UFVAPZQDUQOGTH-LLVKDONJSA-N -1 1 339.373 -0.212 20 0 EBADMM CN(CCN1CCOC(C)(C)C1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000790126479 583541937 /nfs/dbraw/zinc/54/19/37/583541937.db2.gz HGDPVNLDVPUJDY-UHFFFAOYSA-N -1 1 338.408 -0.504 20 0 EBADMM Cc1cccc(=O)n1C[C@@](C)(O)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000792147414 583557062 /nfs/dbraw/zinc/55/70/62/583557062.db2.gz CAUNPEAWYLGBPJ-XHDPSFHLSA-N -1 1 348.363 -0.979 20 0 EBADMM CNC(=O)NCCC(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000795626179 583592250 /nfs/dbraw/zinc/59/22/50/583592250.db2.gz QMVKQBHHEKPPCB-UHFFFAOYSA-N -1 1 337.332 -0.186 20 0 EBADMM O=C([C@@H]1CC(=O)N(C2CCCC2)C1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000798146022 583602232 /nfs/dbraw/zinc/60/22/32/583602232.db2.gz UOJZIMYWZPVTQX-LLVKDONJSA-N -1 1 348.407 -0.199 20 0 EBADMM O=C(Cc1ccc2c(c1)OCO2)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000798148672 583602528 /nfs/dbraw/zinc/60/25/28/583602528.db2.gz MFXHBHVYQXOZGL-UHFFFAOYSA-N -1 1 331.332 -0.019 20 0 EBADMM Cn1[n-]c(COC(=O)[C@@](C)(O)CN2Cc3ccccc3C2=O)nc1=O ZINC000803468561 583629907 /nfs/dbraw/zinc/62/99/07/583629907.db2.gz XRIMSSXHRGUQSP-INIZCTEOSA-N -1 1 346.343 -0.441 20 0 EBADMM COC(=O)COCC(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000803803077 583632713 /nfs/dbraw/zinc/63/27/13/583632713.db2.gz VUTYVXYBBUDTSU-UHFFFAOYSA-N -1 1 339.300 -0.316 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)N3CCC[C@H](S(N)(=O)=O)C3)ccnc1-2 ZINC000806485306 583650396 /nfs/dbraw/zinc/65/03/96/583650396.db2.gz QOZKKLJBFNSOOH-VIFPVBQESA-N -1 1 338.393 -0.373 20 0 EBADMM COCCNC(=O)c1cccc(NC(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000806627449 583651561 /nfs/dbraw/zinc/65/15/61/583651561.db2.gz SAJGBJMWQHVMLW-UHFFFAOYSA-N -1 1 335.320 -0.349 20 0 EBADMM C[C@H]1CC(=O)NCCN1C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806919016 583655853 /nfs/dbraw/zinc/65/58/53/583655853.db2.gz IRJHWYMQBSESAE-VIFPVBQESA-N -1 1 330.348 -0.983 20 0 EBADMM CC(C)[C@@H]1C(=O)NCCN1C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000807007483 583656691 /nfs/dbraw/zinc/65/66/91/583656691.db2.gz IGTYKDYQCGLCOR-GFCCVEGCSA-N -1 1 344.375 -0.737 20 0 EBADMM CCOCCOCCN(C)C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000807029051 583656980 /nfs/dbraw/zinc/65/69/80/583656980.db2.gz BYGNBFQIAIXZAZ-UHFFFAOYSA-N -1 1 349.391 -0.208 20 0 EBADMM CCN1CCc2ccc(NC(=O)C(=O)NN3CC(=O)[N-]C3=O)cc21 ZINC000807062037 583657582 /nfs/dbraw/zinc/65/75/82/583657582.db2.gz LSOCNBHYNLBFDC-UHFFFAOYSA-N -1 1 331.332 -0.410 20 0 EBADMM C[C@@H]1OCC[C@@H]1N(CCO)C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000807071436 583657654 /nfs/dbraw/zinc/65/76/54/583657654.db2.gz JMSWRCMROKMXCB-GWCFXTLKSA-N -1 1 347.375 -0.721 20 0 EBADMM CCOC1CC(O)(CNC(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000807064847 583657707 /nfs/dbraw/zinc/65/77/07/583657707.db2.gz WQRZMZJZTXELFO-UHFFFAOYSA-N -1 1 347.375 -0.674 20 0 EBADMM CN(C)S(=O)(=O)CCNC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000810224773 583683221 /nfs/dbraw/zinc/68/32/21/583683221.db2.gz LPDJKZOHNOEZLQ-UHFFFAOYSA-N -1 1 326.382 -0.645 20 0 EBADMM CC(C)OCCO[N-]C(=O)C1=CC=CN2CCS(=O)(=O)N=C12 ZINC000812806654 583696477 /nfs/dbraw/zinc/69/64/77/583696477.db2.gz KRUOPWWIWCYSJL-UHFFFAOYSA-N -1 1 329.378 -0.043 20 0 EBADMM Cn1cnnc1COCC(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000815293279 583710023 /nfs/dbraw/zinc/71/00/23/583710023.db2.gz UNARCHVUYYBHOY-UHFFFAOYSA-N -1 1 332.316 -0.169 20 0 EBADMM CN(C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@H]1CC(C)(C)OC1=O ZINC000815760332 583712145 /nfs/dbraw/zinc/71/21/45/583712145.db2.gz MFGHRXKPXYWXSF-NSHDSACASA-N -1 1 345.359 -0.167 20 0 EBADMM NC(=O)C[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1ccccc1 ZINC000818566306 583745847 /nfs/dbraw/zinc/74/58/47/583745847.db2.gz SSOZGKPZGZKQSA-LBPRGKRZSA-N -1 1 330.344 -0.340 20 0 EBADMM Cn1cc(S(=O)(=O)NCCN2CCC[C@H](CC(=O)[O-])C2)cn1 ZINC000819072344 583754753 /nfs/dbraw/zinc/75/47/53/583754753.db2.gz NOYQFPAYPDIDCH-LLVKDONJSA-N -1 1 330.410 -0.115 20 0 EBADMM O=C(C1=NN(CCO)C(=O)CC1)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000819628301 583759323 /nfs/dbraw/zinc/75/93/23/583759323.db2.gz OGJZGNMOEBCCHM-SECBINFHSA-N -1 1 337.336 -0.548 20 0 EBADMM O=C(C1=NN(CCO)C(=O)CC1)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000819679752 583759402 /nfs/dbraw/zinc/75/94/02/583759402.db2.gz GWWAEINEAPSPKQ-UHFFFAOYSA-N -1 1 337.336 -0.548 20 0 EBADMM C[C@H](CNC(=O)Nc1nn(C)cc1-c1nnn[n-]1)N1CCOCC1 ZINC000820270304 583769680 /nfs/dbraw/zinc/76/96/80/583769680.db2.gz ZVYDXTDMSNEXHV-SECBINFHSA-N -1 1 335.372 -0.558 20 0 EBADMM C[C@H](CNC(=O)Nc1nn(C)cc1-c1nn[n-]n1)N1CCOCC1 ZINC000820270304 583769682 /nfs/dbraw/zinc/76/96/82/583769682.db2.gz ZVYDXTDMSNEXHV-SECBINFHSA-N -1 1 335.372 -0.558 20 0 EBADMM C[C@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)[C@@H](O)c1cccc(F)c1 ZINC000820334020 583771544 /nfs/dbraw/zinc/77/15/44/583771544.db2.gz IYORPCGOTWCDEM-QPUJVOFHSA-N -1 1 346.326 -0.164 20 0 EBADMM C[C@H](NC(=O)Nc1nn(C)cc1-c1nn[n-]n1)[C@H](C)N1CCOCC1 ZINC000820379318 583772118 /nfs/dbraw/zinc/77/21/18/583772118.db2.gz AGOVMYULTFNKTP-UWVGGRQHSA-N -1 1 349.399 -0.169 20 0 EBADMM CN(C)CCN(CC(=O)[O-])S(=O)(=O)c1cnn([C@@H]2CCOC2)c1 ZINC000820539888 583775205 /nfs/dbraw/zinc/77/52/05/583775205.db2.gz ZVJUCUNDQFIGNB-LLVKDONJSA-N -1 1 346.409 -0.519 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)N(CCN(C)C)CC(=O)[O-])c1 ZINC000820693897 583777663 /nfs/dbraw/zinc/77/76/63/583777663.db2.gz OJAVPWUQPWAGKJ-UHFFFAOYSA-N -1 1 334.350 -0.297 20 0 EBADMM C[C@@H]1CN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)CCS1(=O)=O ZINC000820743501 583778774 /nfs/dbraw/zinc/77/87/74/583778774.db2.gz UTYBMNNVQMRCGF-SSDOTTSWSA-N -1 1 340.369 -0.749 20 0 EBADMM C[C@@H]1CN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)CCS1(=O)=O ZINC000820743501 583778775 /nfs/dbraw/zinc/77/87/75/583778775.db2.gz UTYBMNNVQMRCGF-SSDOTTSWSA-N -1 1 340.369 -0.749 20 0 EBADMM C[C@@H]1C[N@H+](C2CC2)C[C@@H]1Nc1c(-c2nnn[n-]2)c(=O)n(C)c(=O)n1C ZINC000820751757 583778967 /nfs/dbraw/zinc/77/89/67/583778967.db2.gz HXWWIPAUXVLAAY-SCZZXKLOSA-N -1 1 346.395 -0.841 20 0 EBADMM C[C@@H]1C[N@@H+](C2CC2)C[C@@H]1Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000820751757 583778969 /nfs/dbraw/zinc/77/89/69/583778969.db2.gz HXWWIPAUXVLAAY-SCZZXKLOSA-N -1 1 346.395 -0.841 20 0 EBADMM C[C@H]1CN(c2nccnc2-c2nnn[n-]2)CCN1C(=O)[C@@H]1CCOC1 ZINC000820775439 583779556 /nfs/dbraw/zinc/77/95/56/583779556.db2.gz IATUITQQICBITH-WDEREUQCSA-N -1 1 344.379 -0.270 20 0 EBADMM C[C@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1C(=O)[C@@H]1CCOC1 ZINC000820775439 583779557 /nfs/dbraw/zinc/77/95/57/583779557.db2.gz IATUITQQICBITH-WDEREUQCSA-N -1 1 344.379 -0.270 20 0 EBADMM CCCC(=O)N[C@H]1CCCN(C(=O)Cn2cnc(-c3nn[n-]n3)n2)C1 ZINC000821000203 583783762 /nfs/dbraw/zinc/78/37/62/583783762.db2.gz AXSKXRBCJJVQSD-JTQLQIEISA-N -1 1 347.383 -0.635 20 0 EBADMM CCCN(CC(C)(C)O)c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000821094488 583784676 /nfs/dbraw/zinc/78/46/76/583784676.db2.gz KNMZAJFANIEOKI-UHFFFAOYSA-N -1 1 337.384 -0.749 20 0 EBADMM CCNC(=O)c1ccc(OC(=O)Cn2cnc(-c3nn[n-]n3)n2)cc1 ZINC000821293685 583788394 /nfs/dbraw/zinc/78/83/94/583788394.db2.gz NEELTMMHUBJKPG-UHFFFAOYSA-N -1 1 342.319 -0.187 20 0 EBADMM CCNC(=O)c1ccc(NC(=O)Cn2cnc(-c3nn[n-]n3)n2)cc1 ZINC000821293587 583788400 /nfs/dbraw/zinc/78/84/00/583788400.db2.gz LFGOCDMTXCKRDO-UHFFFAOYSA-N -1 1 341.335 -0.153 20 0 EBADMM CCNS(=O)(=O)CCNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821294585 583788405 /nfs/dbraw/zinc/78/84/05/583788405.db2.gz VOUCNECAPDLHRN-UHFFFAOYSA-N -1 1 330.395 -0.403 20 0 EBADMM CCNS(=O)(=O)CCNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821294585 583788406 /nfs/dbraw/zinc/78/84/06/583788406.db2.gz VOUCNECAPDLHRN-UHFFFAOYSA-N -1 1 330.395 -0.403 20 0 EBADMM COC(=O)[C@H](NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)C1CC1 ZINC000821645413 583798553 /nfs/dbraw/zinc/79/85/53/583798553.db2.gz ZBRBANBXJMJTLB-SNVBAGLBSA-N -1 1 338.349 -0.508 20 0 EBADMM COC(=O)[C@H](NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)C1CC1 ZINC000821645413 583798555 /nfs/dbraw/zinc/79/85/55/583798555.db2.gz ZBRBANBXJMJTLB-SNVBAGLBSA-N -1 1 338.349 -0.508 20 0 EBADMM COC(=O)CCN(CC(C)C)C(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821661801 583799542 /nfs/dbraw/zinc/79/95/42/583799542.db2.gz ZBBPFQXWJIHBRL-UHFFFAOYSA-N -1 1 336.356 -0.494 20 0 EBADMM COC(=O)C[C@@H]1CCCCN1C(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821658890 583799756 /nfs/dbraw/zinc/79/97/56/583799756.db2.gz LRBVHQOSXFQRNE-VIFPVBQESA-N -1 1 334.340 -0.598 20 0 EBADMM COCCN1C[C@@H](C(=O)OCc2ccnc(-c3nnn[n-]3)c2)CC1=O ZINC000821690772 583801709 /nfs/dbraw/zinc/80/17/09/583801709.db2.gz ZHTRJBVWRQXOLY-NSHDSACASA-N -1 1 346.347 -0.200 20 0 EBADMM COCCN1C[C@@H](C(=O)OCc2ccnc(-c3nn[n-]n3)c2)CC1=O ZINC000821690772 583801711 /nfs/dbraw/zinc/80/17/11/583801711.db2.gz ZHTRJBVWRQXOLY-NSHDSACASA-N -1 1 346.347 -0.200 20 0 EBADMM COc1c(C)cnc(CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)c1C ZINC000821698448 583802367 /nfs/dbraw/zinc/80/23/67/583802367.db2.gz KYGHUOXPBBAHND-UHFFFAOYSA-N -1 1 343.351 -0.205 20 0 EBADMM COc1ccc(CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)cc1O ZINC000821798737 583804289 /nfs/dbraw/zinc/80/42/89/583804289.db2.gz LVQDDZXGONIECM-UHFFFAOYSA-N -1 1 330.308 -0.511 20 0 EBADMM COc1ccc(CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)cc1F ZINC000821798626 583804362 /nfs/dbraw/zinc/80/43/62/583804362.db2.gz HVYBTYWXJGROEF-UHFFFAOYSA-N -1 1 332.299 -0.078 20 0 EBADMM COc1ccccc1[C@@H](O)CNC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821882877 583805088 /nfs/dbraw/zinc/80/50/88/583805088.db2.gz MYJLLAYTGLJCIQ-JTQLQIEISA-N -1 1 344.335 -0.683 20 0 EBADMM Cc1cc([C@](C)(O)CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)c(C)o1 ZINC000822191350 583808252 /nfs/dbraw/zinc/80/82/52/583808252.db2.gz IWYKSSWGDUTQCC-CQSZACIVSA-N -1 1 346.351 -0.308 20 0 EBADMM Cc1ccc([C@H](O)Cn2c(=O)c(-c3nn[n-]n3)cn(C)c2=O)cc1 ZINC000822230952 583808693 /nfs/dbraw/zinc/80/86/93/583808693.db2.gz WDIVKQNVPIXHCL-GFCCVEGCSA-N -1 1 328.332 -0.231 20 0 EBADMM Cc1ccc(OCCNC(=O)Cn2cnc(-c3nn[n-]n3)n2)cc1 ZINC000822263795 583809105 /nfs/dbraw/zinc/80/91/05/583809105.db2.gz ZLQCBTVFGABEKY-UHFFFAOYSA-N -1 1 328.336 -0.038 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NC[C@@H]2CCC[C@@H](O)C2)n(C)c1=O ZINC000822600002 583813467 /nfs/dbraw/zinc/81/34/67/583813467.db2.gz IHYMNXXUGSVDIG-RKDXNWHRSA-N -1 1 335.368 -0.773 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NC[C@@]2(C)CCCC[C@@H]2O)n(C)c1=O ZINC000822601378 583813862 /nfs/dbraw/zinc/81/38/62/583813862.db2.gz YJSTZCWDQCLXIF-BJOHPYRUSA-N -1 1 349.395 -0.383 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCCCO[C@@H]2CCOC2)n1 ZINC000822613134 583814132 /nfs/dbraw/zinc/81/41/32/583814132.db2.gz BCNODAAGLMZDAK-SECBINFHSA-N -1 1 336.356 -0.083 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCCCO[C@@H]2CCOC2)n1 ZINC000822613134 583814134 /nfs/dbraw/zinc/81/41/34/583814134.db2.gz BCNODAAGLMZDAK-SECBINFHSA-N -1 1 336.356 -0.083 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CCC[C@@H](OCCO)C2)n1 ZINC000822609669 583814360 /nfs/dbraw/zinc/81/43/60/583814360.db2.gz UKQZZDQVOHCVKV-SECBINFHSA-N -1 1 336.356 -0.395 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CCC[C@@H](OCCO)C2)n1 ZINC000822609669 583814362 /nfs/dbraw/zinc/81/43/62/583814362.db2.gz UKQZZDQVOHCVKV-SECBINFHSA-N -1 1 336.356 -0.395 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCc2nncn2C2CC2)n1 ZINC000822620616 583814562 /nfs/dbraw/zinc/81/45/62/583814562.db2.gz ZCTPMPGAWGGSGY-UHFFFAOYSA-N -1 1 329.328 -0.152 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCc2nncn2C2CC2)n1 ZINC000822620616 583814565 /nfs/dbraw/zinc/81/45/65/583814565.db2.gz ZCTPMPGAWGGSGY-UHFFFAOYSA-N -1 1 329.328 -0.152 20 0 EBADMM Cn1[nH]cnc1=NS(=O)(=O)c1cccc(Cl)c1-c1nnn[n-]1 ZINC000822714952 583817044 /nfs/dbraw/zinc/81/70/44/583817044.db2.gz XEQUNXQSYZWKFQ-UHFFFAOYSA-N -1 1 340.756 -0.129 20 0 EBADMM Cn1[nH]cnc1=NS(=O)(=O)c1cccc(Cl)c1-c1nn[n-]n1 ZINC000822714952 583817045 /nfs/dbraw/zinc/81/70/45/583817045.db2.gz XEQUNXQSYZWKFQ-UHFFFAOYSA-N -1 1 340.756 -0.129 20 0 EBADMM NS(=O)(=O)[C@H]1CC[N@H+](CCOc2ccc(-c3nn[nH]n3)cc2)C1 ZINC000822895187 583820895 /nfs/dbraw/zinc/82/08/95/583820895.db2.gz NBZWWFONZYUTNH-LBPRGKRZSA-N -1 1 338.393 -0.392 20 0 EBADMM NS(=O)(=O)[C@H]1CC[N@@H+](CCOc2ccc(-c3nn[nH]n3)cc2)C1 ZINC000822895187 583820897 /nfs/dbraw/zinc/82/08/97/583820897.db2.gz NBZWWFONZYUTNH-LBPRGKRZSA-N -1 1 338.393 -0.392 20 0 EBADMM NS(=O)(=O)[C@H]1CCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)C1 ZINC000822895417 583821216 /nfs/dbraw/zinc/82/12/16/583821216.db2.gz RFMMOEPEZOPURP-LURJTMIESA-N -1 1 329.773 -0.218 20 0 EBADMM NS(=O)(=O)[C@H]1CCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)C1 ZINC000822895417 583821218 /nfs/dbraw/zinc/82/12/18/583821218.db2.gz RFMMOEPEZOPURP-LURJTMIESA-N -1 1 329.773 -0.218 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCCC[C@@H]1c1cn[nH]c1 ZINC000823126042 583824789 /nfs/dbraw/zinc/82/47/89/583824789.db2.gz GBPRAWNZPDUUJY-SNVBAGLBSA-N -1 1 328.340 -0.065 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NC[C@@H](CO)Cc1ccccc1 ZINC000823127307 583825169 /nfs/dbraw/zinc/82/51/69/583825169.db2.gz ZKPQUAJQPDMEPZ-LBPRGKRZSA-N -1 1 342.363 -0.574 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCCCNc1ccccn1 ZINC000823132018 583825538 /nfs/dbraw/zinc/82/55/38/583825538.db2.gz VLAPWIMCUGLTNW-UHFFFAOYSA-N -1 1 328.340 -0.528 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCc1cn2ccsc2n1 ZINC000823131558 583825547 /nfs/dbraw/zinc/82/55/47/583825547.db2.gz JRGXPOKMAGJSLT-UHFFFAOYSA-N -1 1 330.337 -0.516 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCCC[C@@H]1CCCC[C@@H]1O ZINC000823131682 583825603 /nfs/dbraw/zinc/82/56/03/583825603.db2.gz LWAAZYIUVBGCRS-QWRGUYRKSA-N -1 1 334.384 -0.094 20 0 EBADMM O=C1NC[C@@H]2CN(c3ccc(Cl)c(-c4nnn[n-]4)n3)CCN2C1=O ZINC000823547393 583832356 /nfs/dbraw/zinc/83/23/56/583832356.db2.gz JOOMPVBECSICAN-SSDOTTSWSA-N -1 1 348.754 -0.938 20 0 EBADMM O=C1NC[C@@H]2CN(c3ccc(Cl)c(-c4nn[n-]n4)n3)CCN2C1=O ZINC000823547393 583832357 /nfs/dbraw/zinc/83/23/57/583832357.db2.gz JOOMPVBECSICAN-SSDOTTSWSA-N -1 1 348.754 -0.938 20 0 EBADMM CC(=O)N1CCCN([C@@H]2CN(C(=O)CN(C)C(=O)[O-])C[C@H]2C)CC1 ZINC000823555145 583832792 /nfs/dbraw/zinc/83/27/92/583832792.db2.gz ARHYDGHEAXCDQU-TZMCWYRMSA-N -1 1 340.424 -0.003 20 0 EBADMM CC(C)[C@@H]1CN(c2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)CCO1 ZINC000824223437 583842677 /nfs/dbraw/zinc/84/26/77/583842677.db2.gz JDFIANBHHMKWEO-VIFPVBQESA-N -1 1 335.368 -0.875 20 0 EBADMM CC(C)CN1CCO[C@@H](CNC(=O)[C@@H]2CN(C(=O)[O-])CCO2)C1 ZINC000824257676 583842961 /nfs/dbraw/zinc/84/29/61/583842961.db2.gz SWKSENTZPQOLPD-STQMWFEESA-N -1 1 329.397 -0.162 20 0 EBADMM CC(C)NC(=O)CNS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000824305729 583843854 /nfs/dbraw/zinc/84/38/54/583843854.db2.gz UBDQRLHGWAWNRV-UHFFFAOYSA-N -1 1 342.356 -0.191 20 0 EBADMM CC(C)NC(=O)CNS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000824305729 583843855 /nfs/dbraw/zinc/84/38/55/583843855.db2.gz UBDQRLHGWAWNRV-UHFFFAOYSA-N -1 1 342.356 -0.191 20 0 EBADMM C[C@@H](Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C)[C@@H]1CCCOC1 ZINC000824648415 583848251 /nfs/dbraw/zinc/84/82/51/583848251.db2.gz FSZRNCNDPUGTNG-RKDXNWHRSA-N -1 1 335.368 -0.509 20 0 EBADMM C[C@@H](O)[C@@H](NC(=O)C1CC1)C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000824680205 583848902 /nfs/dbraw/zinc/84/89/02/583848902.db2.gz ZCFGKQNXXRDMGQ-JMCQJSRRSA-N -1 1 346.347 -0.214 20 0 EBADMM CC1(CNS(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)OCCO1 ZINC000824824783 583850654 /nfs/dbraw/zinc/85/06/54/583850654.db2.gz XUSFTOIQTAOKDP-UHFFFAOYSA-N -1 1 326.338 -0.697 20 0 EBADMM CC1(CNS(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)OCCO1 ZINC000824824783 583850655 /nfs/dbraw/zinc/85/06/55/583850655.db2.gz XUSFTOIQTAOKDP-UHFFFAOYSA-N -1 1 326.338 -0.697 20 0 EBADMM C[C@@H](CN1CCC[C@@H](C(=O)NCCNC(=O)[O-])C1)CS(C)(=O)=O ZINC000824901164 583852049 /nfs/dbraw/zinc/85/20/49/583852049.db2.gz FLSXOLVWDONHLK-NWDGAFQWSA-N -1 1 349.453 -0.237 20 0 EBADMM CC[C@H](C)[C@@H](O)CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000825059651 583854629 /nfs/dbraw/zinc/85/46/29/583854629.db2.gz IGJSXLCFSYMZQJ-KWQFWETISA-N -1 1 326.382 -0.053 20 0 EBADMM CC[C@H](C)[C@@H](O)CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000825059651 583854630 /nfs/dbraw/zinc/85/46/30/583854630.db2.gz IGJSXLCFSYMZQJ-KWQFWETISA-N -1 1 326.382 -0.053 20 0 EBADMM CCC[C@@H](NC(=O)Cc1noc(C)c1-c1nn[nH]n1)c1nn[n-]n1 ZINC000825241867 583856784 /nfs/dbraw/zinc/85/67/84/583856784.db2.gz CBBHMWUNLUMSTB-SSDOTTSWSA-N -1 1 332.328 -0.124 20 0 EBADMM C[C@H](NC(=O)[O-])[C@@H]1CN(C(=O)NC[C@H]2CN(C)CCN2C)CCO1 ZINC000825309288 583857905 /nfs/dbraw/zinc/85/79/05/583857905.db2.gz JTDVBHIWBOTPOJ-AVGNSLFASA-N -1 1 343.428 -0.701 20 0 EBADMM NS(=O)(=O)N1CCN(C(=O)c2ncc3ccccc3c2[O-])CC1 ZINC000825371292 583859622 /nfs/dbraw/zinc/85/96/22/583859622.db2.gz VPCSSJUQDVPRCC-UHFFFAOYSA-N -1 1 336.373 -0.098 20 0 EBADMM CCNC(=O)CN1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000825415049 583860401 /nfs/dbraw/zinc/86/04/01/583860401.db2.gz SKLLKIYGJLZPDH-UHFFFAOYSA-N -1 1 348.432 -0.088 20 0 EBADMM CCOC(=O)c1cnn(CCCS(=O)(=O)NC)c1-c1nn[n-]n1 ZINC000825570676 583863201 /nfs/dbraw/zinc/86/32/01/583863201.db2.gz MLSIZEZMWWGMCO-UHFFFAOYSA-N -1 1 343.369 -0.821 20 0 EBADMM CCOC(=O)c1cnn(CCS(=O)(=O)CC)c1-c1nn[n-]n1 ZINC000825570313 583863222 /nfs/dbraw/zinc/86/32/22/583863222.db2.gz IAURWDPNJNQJQQ-UHFFFAOYSA-N -1 1 328.354 -0.325 20 0 EBADMM CCc1cnccc1CNc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000825739765 583865953 /nfs/dbraw/zinc/86/59/53/583865953.db2.gz JHWYHKAAVXGTSU-UHFFFAOYSA-N -1 1 342.363 -0.166 20 0 EBADMM CC(=O)N1CCN(C(=O)[C@H](C)N2CCSC[C@H]2CC(=O)[O-])CC1 ZINC000825839740 583867752 /nfs/dbraw/zinc/86/77/52/583867752.db2.gz GNGPRNGKRVJXIG-WCQYABFASA-N -1 1 343.449 -0.042 20 0 EBADMM C[C@H](C(=O)N1CCC[C@H](C(N)=O)C1)N1CCSC[C@@H]1CC(=O)[O-] ZINC000825982638 583872644 /nfs/dbraw/zinc/87/26/44/583872644.db2.gz JCEWBYKLQLFYFX-WOPDTQHZSA-N -1 1 343.449 -0.009 20 0 EBADMM CN1CN(S(=O)(=O)c2ccc(F)cc2-c2nn[n-]n2)CC1=O ZINC000826044166 583874903 /nfs/dbraw/zinc/87/49/03/583874903.db2.gz AOHQIOXWXLWEAO-UHFFFAOYSA-N -1 1 326.313 -0.574 20 0 EBADMM CNC(=O)[C@@H](C)NS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000826053736 583875696 /nfs/dbraw/zinc/87/56/96/583875696.db2.gz YLFQKDHUZVTHEW-ZCFIWIBFSA-N -1 1 328.329 -0.581 20 0 EBADMM CNC(=O)c1cccc(OCC(=O)n2ncc(-c3nn[n-]n3)c2N)c1 ZINC000826073088 583876891 /nfs/dbraw/zinc/87/68/91/583876891.db2.gz DIOXUVGJFWJPJV-UHFFFAOYSA-N -1 1 342.319 -0.276 20 0 EBADMM COC[C@@H](CNS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1)OC ZINC000826149383 583883587 /nfs/dbraw/zinc/88/35/87/583883587.db2.gz GNNSJKSLZISMPU-SECBINFHSA-N -1 1 345.356 -0.055 20 0 EBADMM COC[C@@H](CCO)NS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000826149741 583883994 /nfs/dbraw/zinc/88/39/94/583883994.db2.gz NRQAITGAIIIUKH-MRVPVSSYSA-N -1 1 345.356 -0.319 20 0 EBADMM COC[C@@H](CCO)NS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000826149741 583883996 /nfs/dbraw/zinc/88/39/96/583883996.db2.gz NRQAITGAIIIUKH-MRVPVSSYSA-N -1 1 345.356 -0.319 20 0 EBADMM COc1c(C)cnc(Cn2c(=O)c(-c3nn[n-]n3)cn(C)c2=O)c1C ZINC000826167198 583886758 /nfs/dbraw/zinc/88/67/58/583886758.db2.gz TVIMCRACQKVTCO-UHFFFAOYSA-N -1 1 343.347 -0.204 20 0 EBADMM COc1ccc(CN(C)C(=O)Cn2cnc(-c3nn[n-]n3)n2)cn1 ZINC000826192491 583887228 /nfs/dbraw/zinc/88/72/28/583887228.db2.gz PMQXCCLZWWWECD-UHFFFAOYSA-N -1 1 329.324 -0.480 20 0 EBADMM CSC[C@](C)(O)CNC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000826227100 583889020 /nfs/dbraw/zinc/88/90/20/583889020.db2.gz LQFORHOBYRKIKA-GFCCVEGCSA-N -1 1 326.382 -0.064 20 0 EBADMM CSC[C@](C)(O)CNC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000826227100 583889022 /nfs/dbraw/zinc/88/90/22/583889022.db2.gz LQFORHOBYRKIKA-GFCCVEGCSA-N -1 1 326.382 -0.064 20 0 EBADMM Cc1nc2n(n1)C[C@H](NC(=O)Cc1noc(C)c1-c1nnn[n-]1)CC2 ZINC000826329016 583891732 /nfs/dbraw/zinc/89/17/32/583891732.db2.gz NDBLJNNOSZQNHB-SECBINFHSA-N -1 1 343.351 -0.263 20 0 EBADMM Cc1nc2n(n1)C[C@H](NC(=O)Cc1noc(C)c1-c1nn[n-]n1)CC2 ZINC000826329016 583891735 /nfs/dbraw/zinc/89/17/35/583891735.db2.gz NDBLJNNOSZQNHB-SECBINFHSA-N -1 1 343.351 -0.263 20 0 EBADMM Cc1onc(CC(=O)N2CCCC[C@H]2CNC(N)=O)c1-c1nnn[n-]1 ZINC000826344485 583892015 /nfs/dbraw/zinc/89/20/15/583892015.db2.gz JMVYFQRFGVFZQN-VIFPVBQESA-N -1 1 348.367 -0.245 20 0 EBADMM Cc1onc(CC(=O)N2CCCC[C@H]2CNC(N)=O)c1-c1nn[n-]n1 ZINC000826344485 583892017 /nfs/dbraw/zinc/89/20/17/583892017.db2.gz JMVYFQRFGVFZQN-VIFPVBQESA-N -1 1 348.367 -0.245 20 0 EBADMM Cc1onc(CC(=O)N2CCS(=O)(=O)C[C@@H]2C)c1-c1nnn[n-]1 ZINC000826345635 583892650 /nfs/dbraw/zinc/89/26/50/583892650.db2.gz PSICTBSCLWFYIZ-ZETCQYMHSA-N -1 1 340.365 -0.649 20 0 EBADMM Cc1onc(CC(=O)N2CCS(=O)(=O)C[C@@H]2C)c1-c1nn[n-]n1 ZINC000826345635 583892651 /nfs/dbraw/zinc/89/26/51/583892651.db2.gz PSICTBSCLWFYIZ-ZETCQYMHSA-N -1 1 340.365 -0.649 20 0 EBADMM Cc1onc(CC(=O)N[C@@H]2CCC[C@@H](C(N)=O)C2)c1-c1nnn[n-]1 ZINC000826346661 583892834 /nfs/dbraw/zinc/89/28/34/583892834.db2.gz SILRUMAIEQVOCH-RKDXNWHRSA-N -1 1 333.352 -0.134 20 0 EBADMM Cc1onc(CC(=O)N[C@@H]2CCC[C@@H](C(N)=O)C2)c1-c1nn[n-]n1 ZINC000826346661 583892835 /nfs/dbraw/zinc/89/28/35/583892835.db2.gz SILRUMAIEQVOCH-RKDXNWHRSA-N -1 1 333.352 -0.134 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N2CCOC3(CCC3)C2)n(C)c1=O ZINC000826355529 583893431 /nfs/dbraw/zinc/89/34/31/583893431.db2.gz DMZLRTVHOAYLIW-UHFFFAOYSA-N -1 1 333.352 -0.977 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NC[C@H]2CC(=O)N(C3CC3)C2)n1 ZINC000826357044 583893978 /nfs/dbraw/zinc/89/39/78/583893978.db2.gz OYABNEIEYASRPW-MRVPVSSYSA-N -1 1 345.367 -0.267 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NC[C@H]2CC(=O)N(C3CC3)C2)n1 ZINC000826357044 583893981 /nfs/dbraw/zinc/89/39/81/583893981.db2.gz OYABNEIEYASRPW-MRVPVSSYSA-N -1 1 345.367 -0.267 20 0 EBADMM NC(=O)C1(NS(=O)(=O)c2ccc(F)cc2-c2nn[n-]n2)CCC1 ZINC000826389930 583896524 /nfs/dbraw/zinc/89/65/24/583896524.db2.gz LQXPDWGBQQMTIO-UHFFFAOYSA-N -1 1 340.340 -0.308 20 0 EBADMM NS(=O)(=O)[C@@H]1CCN(c2snc(Cl)c2-c2nnn[n-]2)C1 ZINC000826394244 583897759 /nfs/dbraw/zinc/89/77/59/583897759.db2.gz CLYILTUHPDXXTI-SCSAIBSYSA-N -1 1 335.802 -0.156 20 0 EBADMM NS(=O)(=O)[C@@H]1CCN(c2snc(Cl)c2-c2nn[n-]n2)C1 ZINC000826394244 583897761 /nfs/dbraw/zinc/89/77/61/583897761.db2.gz CLYILTUHPDXXTI-SCSAIBSYSA-N -1 1 335.802 -0.156 20 0 EBADMM O=C([C@@H]1CCCN(c2ccc(-c3nnn[n-]3)nn2)C1)N1CCOCC1 ZINC000826399138 583899083 /nfs/dbraw/zinc/89/90/83/583899083.db2.gz NSHXGYVBSDXSEX-LLVKDONJSA-N -1 1 344.379 -0.268 20 0 EBADMM O=C([C@@H]1CCCN(c2ccc(-c3nn[n-]n3)nn2)C1)N1CCOCC1 ZINC000826399138 583899086 /nfs/dbraw/zinc/89/90/86/583899086.db2.gz NSHXGYVBSDXSEX-LLVKDONJSA-N -1 1 344.379 -0.268 20 0 EBADMM O=C(CN1CCN(c2ccc(-c3nnn[n-]3)nn2)CC1)N1CCCC1 ZINC000826411472 583899280 /nfs/dbraw/zinc/89/92/80/583899280.db2.gz ZQYXZGHOTIJHNR-UHFFFAOYSA-N -1 1 343.395 -0.599 20 0 EBADMM O=C(CN1CCN(c2ccc(-c3nn[n-]n3)nn2)CC1)N1CCCC1 ZINC000826411472 583899282 /nfs/dbraw/zinc/89/92/82/583899282.db2.gz ZQYXZGHOTIJHNR-UHFFFAOYSA-N -1 1 343.395 -0.599 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@@H]1[C@@H]2CCO[C@H]2C12CCC2 ZINC000826425628 583901337 /nfs/dbraw/zinc/90/13/37/583901337.db2.gz LXAMMHGCZVGSPB-JMJZKYOTSA-N -1 1 330.352 -0.468 20 0 EBADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N(CCO)CCN1CCOCC1 ZINC000826472545 583903584 /nfs/dbraw/zinc/90/35/84/583903584.db2.gz NYAOHWAHDSGXLW-UHFFFAOYSA-N -1 1 347.379 -0.972 20 0 EBADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N(CCO)CCN1CCOCC1 ZINC000826472545 583903585 /nfs/dbraw/zinc/90/35/85/583903585.db2.gz NYAOHWAHDSGXLW-UHFFFAOYSA-N -1 1 347.379 -0.972 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCC[C@@H]1[C@H]1CCCC1=O ZINC000826479802 583904017 /nfs/dbraw/zinc/90/40/17/583904017.db2.gz IUMUQXLVZVTZTC-NXEZZACHSA-N -1 1 330.352 -0.182 20 0 EBADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000826482303 583904707 /nfs/dbraw/zinc/90/47/07/583904707.db2.gz ZKUDOYHQWWQQAN-ZCFIWIBFSA-N -1 1 333.333 -0.696 20 0 EBADMM O=S(=O)(NC[C@@]1(O)CCOC1)c1ccc(F)cc1-c1nn[n-]n1 ZINC000826489939 583905162 /nfs/dbraw/zinc/90/51/62/583905162.db2.gz FELWMXKZQZVHEH-LBPRGKRZSA-N -1 1 343.340 -0.565 20 0 EBADMM CC[C@@H]1CN(C(=O)[C@H]2COCCN2C(=O)[O-])CCN1C[C@@H](C)O ZINC000826683423 583909582 /nfs/dbraw/zinc/90/95/82/583909582.db2.gz VKACAEGYZGRPJV-JHJVBQTASA-N -1 1 329.397 -0.331 20 0 EBADMM CCOC(=O)[C@@H](CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1)C(C)=O ZINC000826834611 583911091 /nfs/dbraw/zinc/91/10/91/583911091.db2.gz UWQQJYWGTVQELD-VHSXEESVSA-N -1 1 325.325 -0.742 20 0 EBADMM CC(C)OC[C@H](C(=O)[O-])N(C)CCNS(=O)(=O)c1cnn(C)c1 ZINC000827015525 583913980 /nfs/dbraw/zinc/91/39/80/583913980.db2.gz DQEGLXAAUNKZEI-GFCCVEGCSA-N -1 1 348.425 -0.492 20 0 EBADMM CCN1CCN(CC(=O)NCCN2CCN(C(=O)[O-])CC2)CC1 ZINC000827223852 583916881 /nfs/dbraw/zinc/91/68/81/583916881.db2.gz WXVUZICKZCYATC-UHFFFAOYSA-N -1 1 327.429 -0.964 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@H]2CCCN(C(N)=O)C2)CC1 ZINC000827357349 583919931 /nfs/dbraw/zinc/91/99/31/583919931.db2.gz WYIPDBWDUFPOGB-NEPJUHHUSA-N -1 1 326.397 -0.216 20 0 EBADMM CO[C@@H](CS(=O)(=O)[N-]Cc1nc(N(C)C)no1)C1CCOCC1 ZINC000827474439 583921594 /nfs/dbraw/zinc/92/15/94/583921594.db2.gz ZCTFFIRDHYIBIA-NSHDSACASA-N -1 1 348.425 -0.003 20 0 EBADMM CCc1nnc([C@@H]2CN(C(=O)[C@@H]3CN(C(=O)[O-])CCO3)CCO2)[nH]1 ZINC000827621650 583925019 /nfs/dbraw/zinc/92/50/19/583925019.db2.gz UBLTZZDQTCJOEM-UWVGGRQHSA-N -1 1 339.352 -0.354 20 0 EBADMM COC(=O)[C@H](CC(C)(C)C)[N-]S(=O)(=O)CCS(C)(=O)=O ZINC000828016012 583931724 /nfs/dbraw/zinc/93/17/24/583931724.db2.gz RAGHPHZIXUUYOJ-VIFPVBQESA-N -1 1 329.440 -0.072 20 0 EBADMM CN(CCNS(=O)(=O)c1cnn(C)c1)CC(C)(C)CNC(=O)[O-] ZINC000828332554 583937702 /nfs/dbraw/zinc/93/77/02/583937702.db2.gz QHMBZEOOIDGQJP-UHFFFAOYSA-N -1 1 347.441 -0.076 20 0 EBADMM C[C@H]1CN(CC(=O)N2CCC(C(=O)[O-])CC2)C[C@H]1N1CCOCC1 ZINC000828431414 583938880 /nfs/dbraw/zinc/93/88/80/583938880.db2.gz IGMWIIHJLFXRDJ-DZGCQCFKSA-N -1 1 339.436 -0.038 20 0 EBADMM C[C@@H]1CN(CN2C(=O)C[C@H](Cc3cnn(C)c3)C2=O)C[C@H]1C(=O)[O-] ZINC000828448770 583939390 /nfs/dbraw/zinc/93/93/90/583939390.db2.gz GRQNVGKUBKNFJM-KGYLQXTDSA-N -1 1 334.376 -0.052 20 0 EBADMM COCCCS(=O)(=O)NCCN1CC[C@H](NC(=O)[O-])[C@H](C)C1 ZINC000828963816 583949222 /nfs/dbraw/zinc/94/92/22/583949222.db2.gz DLSBBEOVVAFWGH-NEPJUHHUSA-N -1 1 337.442 -0.080 20 0 EBADMM COCCN1CC[C@H](NC(=O)CO[C@@H]2CCCN(C(=O)[O-])C2)C1 ZINC000828984559 583949476 /nfs/dbraw/zinc/94/94/76/583949476.db2.gz FZGDAGMKENDBPV-QWHCGFSZSA-N -1 1 329.397 -0.018 20 0 EBADMM COCCN1CC[C@H](NC(=O)N2CCO[C@@H]([C@H](C)NC(=O)[O-])C2)C1 ZINC000828984384 583949493 /nfs/dbraw/zinc/94/94/93/583949493.db2.gz CWMBRJWQGXFHSA-RWMBFGLXSA-N -1 1 344.412 -0.226 20 0 EBADMM CC[C@@H]1CN(C(=O)Cn2cc(C(=O)[O-])nn2)CCN1C[C@@H](C)O ZINC000829134455 583950677 /nfs/dbraw/zinc/95/06/77/583950677.db2.gz YACPZCHCIHVGMS-GHMZBOCLSA-N -1 1 325.369 -0.720 20 0 EBADMM CN1CC[C@H](C(=O)OCCC[N-]C(=O)C(F)(F)F)S1(=O)=O ZINC000830158960 583964721 /nfs/dbraw/zinc/96/47/21/583964721.db2.gz UYWXPRSWUIRIDO-SSDOTTSWSA-N -1 1 332.300 -0.368 20 0 EBADMM CN(C)C(=O)CN1CCN(C(=O)CN[C@](C)(C(=O)[O-])C2CC2)CC1 ZINC000830551707 583967958 /nfs/dbraw/zinc/96/79/58/583967958.db2.gz IDXLVPFFKBJJCO-INIZCTEOSA-N -1 1 340.424 -0.938 20 0 EBADMM Cc1nnc([C@H]2CN(C(=O)[C@@H]3COCCN3C(=O)[O-])CCO2)[nH]1 ZINC000830678328 583969438 /nfs/dbraw/zinc/96/94/38/583969438.db2.gz ODMYPEFNUQGYOB-VHSXEESVSA-N -1 1 325.325 -0.608 20 0 EBADMM Cc1nnc([C@@H]2CN(C(=O)[C@@H]3C[C@H](O)CN3C(=O)[O-])CCO2)[nH]1 ZINC000830677041 583969484 /nfs/dbraw/zinc/96/94/84/583969484.db2.gz CGQLMZMSGKNNAV-GUBZILKMSA-N -1 1 325.325 -0.874 20 0 EBADMM C[C@@H](CS(C)(=O)=O)C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831140501 583977104 /nfs/dbraw/zinc/97/71/04/583977104.db2.gz YTKSXYPJUXQOLA-ZETCQYMHSA-N -1 1 330.328 -0.196 20 0 EBADMM CCS(=O)(=O)NCC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831140187 583977171 /nfs/dbraw/zinc/97/71/71/583977171.db2.gz VBNOJRHVKKCKNT-UHFFFAOYSA-N -1 1 331.316 -0.937 20 0 EBADMM COC(=O)C[C@H]1CN(CCCN2CCO[C@@H](CC(=O)[O-])C2)CCO1 ZINC000831148143 583977209 /nfs/dbraw/zinc/97/72/09/583977209.db2.gz PQMOQNAYGDUSNS-KBPBESRZSA-N -1 1 344.408 -0.184 20 0 EBADMM O=C([O-])N1CCOC[C@@H]1C(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000831282983 583981342 /nfs/dbraw/zinc/98/13/42/583981342.db2.gz IZNQZPNZOGXXHI-CHWSQXEVSA-N -1 1 327.381 -0.454 20 0 EBADMM COC(=O)c1cn(CC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)nn1 ZINC000831461867 583982992 /nfs/dbraw/zinc/98/29/92/583982992.db2.gz GYHCXRPZGQRVLI-JTQLQIEISA-N -1 1 339.352 -0.928 20 0 EBADMM CC(=O)N1CSC[C@H]1C(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C ZINC000833391506 584009598 /nfs/dbraw/zinc/00/95/98/584009598.db2.gz LHESJTJWPRHPCX-JQWIXIFHSA-N -1 1 329.422 -0.085 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NCC(=O)NCC1CC1 ZINC000833625699 584012913 /nfs/dbraw/zinc/01/29/13/584012913.db2.gz OJKGQCHGYULIMT-NSHDSACASA-N -1 1 326.397 -0.297 20 0 EBADMM O=C([O-])N1CC(OCCC(=O)N2CCN(C[C@@H]3CCOC3)CC2)C1 ZINC000834073074 584025887 /nfs/dbraw/zinc/02/58/87/584025887.db2.gz JXJCVOYFNOMRMF-ZDUSSCGKSA-N -1 1 341.408 -0.064 20 0 EBADMM O=C([O-])N[C@@H]1CC(=O)N(CN2CC[C@@H](CN3CCOCC3)C2)C1 ZINC000834157159 584027208 /nfs/dbraw/zinc/02/72/08/584027208.db2.gz HJZOEGDIIWXCEA-QWHCGFSZSA-N -1 1 326.397 -0.534 20 0 EBADMM O=C([O-])N1CCC[C@@H]1C(=O)NC[C@@H]1CCC[N@H+]([C@H]2CCNC2=O)C1 ZINC000834290970 584030897 /nfs/dbraw/zinc/03/08/97/584030897.db2.gz VDGGPNSGEJKHML-RWMBFGLXSA-N -1 1 338.408 -0.155 20 0 EBADMM O=C([O-])N1CCC[C@@H]1C(=O)NC[C@@H]1CCCN([C@H]2CCNC2=O)C1 ZINC000834290970 584030899 /nfs/dbraw/zinc/03/08/99/584030899.db2.gz VDGGPNSGEJKHML-RWMBFGLXSA-N -1 1 338.408 -0.155 20 0 EBADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)CN(C)C(=O)C2CC2)CCC1 ZINC000843016203 584069635 /nfs/dbraw/zinc/06/96/35/584069635.db2.gz MIEBQOJSEMMMOH-UHFFFAOYSA-N -1 1 331.438 -0.215 20 0 EBADMM CN(CC(=O)NCC1(C(=O)[O-])CCOCC1)c1ncnc2[nH]cnc21 ZINC000843337378 584076258 /nfs/dbraw/zinc/07/62/58/584076258.db2.gz YVJXOKJMVPNNFV-UHFFFAOYSA-N -1 1 348.363 -0.213 20 0 EBADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@@H]1CCCCN1CCO ZINC000867326757 584078168 /nfs/dbraw/zinc/07/81/68/584078168.db2.gz IAINUVRUOOWRGX-JEOXALJRSA-N -1 1 327.472 -0.215 20 0 EBADMM O=C(N1CCO[C@@H](c2nn[n-]n2)C1)C1(n2cnnn2)CCCCC1 ZINC000845462066 584107943 /nfs/dbraw/zinc/10/79/43/584107943.db2.gz GOODVRKTAQTQDT-SNVBAGLBSA-N -1 1 333.356 -0.554 20 0 EBADMM CN1C[C@H](C(=O)N2CCC(C[N-]C(=O)C(F)(F)F)CC2)NC1=O ZINC000847098112 584134861 /nfs/dbraw/zinc/13/48/61/584134861.db2.gz KNDPIECBUMFAMG-SECBINFHSA-N -1 1 336.314 -0.073 20 0 EBADMM CC1=C(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)[C@H](C)n2nnnc2N1C ZINC000847724974 584145631 /nfs/dbraw/zinc/14/56/31/584145631.db2.gz HSPDQTDIGHCMQL-APPZFPTMSA-N -1 1 332.372 -0.267 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CCc2ccccc2NC1=O ZINC000848268838 584154882 /nfs/dbraw/zinc/15/48/82/584154882.db2.gz IUQIBJCPJRXPSS-ZDUSSCGKSA-N -1 1 342.355 -0.004 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1Cc2ccccc2NC(=O)C1 ZINC000848315145 584155551 /nfs/dbraw/zinc/15/55/51/584155551.db2.gz UNDIYVIAOZHGJB-UHFFFAOYSA-N -1 1 328.328 -0.092 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H]2CN(C3CC3)C(=O)O2)o1 ZINC000848365687 584156298 /nfs/dbraw/zinc/15/62/98/584156298.db2.gz BINREQNBOUNQIB-VIFPVBQESA-N -1 1 343.361 -0.099 20 0 EBADMM CNS(=O)(=O)N1CCC[C@H](NC(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC000849231833 584167262 /nfs/dbraw/zinc/16/72/62/584167262.db2.gz HYKNZCNARWJYBE-NTSWFWBYSA-N -1 1 333.288 -0.953 20 0 EBADMM CCOCCOC[C@@H](O)C[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000849390721 584169049 /nfs/dbraw/zinc/16/90/49/584169049.db2.gz URVFFEXANWVRRE-QMMMGPOBSA-N -1 1 327.790 -0.245 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCCO[C@@H]3CC3(F)F)ccnc1-2 ZINC000850623318 584181457 /nfs/dbraw/zinc/18/14/57/584181457.db2.gz ALLHLXWCWMZLNI-SNVBAGLBSA-N -1 1 339.302 -0.179 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CC[C@H]4COC[C@@H]4C3)ccnc1-2 ZINC000850695699 584186533 /nfs/dbraw/zinc/18/65/33/584186533.db2.gz WKCUYIKDNKPUIM-QWRGUYRKSA-N -1 1 329.360 -0.225 20 0 EBADMM C[C@H]1CN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)C[C@]1(C)CO ZINC000850706379 584186957 /nfs/dbraw/zinc/18/69/57/584186957.db2.gz IQDNLFQLTDJOIT-MGPLVRAMSA-N -1 1 331.376 -0.243 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)OCCOC(F)F)o1 ZINC000853915014 584232936 /nfs/dbraw/zinc/23/29/36/584232936.db2.gz ZXOPZUZVKIYRPE-UHFFFAOYSA-N -1 1 342.276 -0.561 20 0 EBADMM O=C([C@H]1CNC(=O)c2ccccc21)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000859278189 584299057 /nfs/dbraw/zinc/29/90/57/584299057.db2.gz MJEOXHLXDNRIFQ-NWDGAFQWSA-N -1 1 328.332 -0.373 20 0 EBADMM CCOC(=O)C[C@@H](O)COC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000859497458 584303986 /nfs/dbraw/zinc/30/39/86/584303986.db2.gz LNPKFHBSMAOVIY-MRVPVSSYSA-N -1 1 335.334 -0.341 20 0 EBADMM CN1C(=O)c2ccccc2[C@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC000863006442 584364045 /nfs/dbraw/zinc/36/40/45/584364045.db2.gz LXEMIWHAYRJBDV-CYBMUJFWSA-N -1 1 342.355 -0.130 20 0 EBADMM COc1cc(COCC(=O)Nc2nc(S(C)(=O)=O)n[n-]2)ccn1 ZINC000863861883 584379613 /nfs/dbraw/zinc/37/96/13/584379613.db2.gz CIGKIKGDMVYHEB-UHFFFAOYSA-N -1 1 341.349 -0.233 20 0 EBADMM COc1cc(COCC(=O)Nc2nnc(S(C)(=O)=O)[n-]2)ccn1 ZINC000863861883 584379615 /nfs/dbraw/zinc/37/96/15/584379615.db2.gz CIGKIKGDMVYHEB-UHFFFAOYSA-N -1 1 341.349 -0.233 20 0 EBADMM O=S(=O)([N-]C[C@H]1COCCO1)c1nc[nH]c1Br ZINC000866559847 584402404 /nfs/dbraw/zinc/40/24/04/584402404.db2.gz MRJWEXHJJFGLRF-LURJTMIESA-N -1 1 326.172 -0.134 20 0 EBADMM C[C@H]1COCCN1CC[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC000866735382 584404842 /nfs/dbraw/zinc/40/48/42/584404842.db2.gz NQLBZOCRTZYBGM-NSHDSACASA-N -1 1 325.456 -0.197 20 0 EBADMM O=S(=O)(N=S1(=O)CCCC1)[N-]C[C@H](O)CN1CCCCC1 ZINC000866833621 584408242 /nfs/dbraw/zinc/40/82/42/584408242.db2.gz SNZUDMKABCEPCE-LBPRGKRZSA-N -1 1 339.483 -0.071 20 0 EBADMM Cc1cc(NC(=O)C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)n(C)n1 ZINC000874229216 584480730 /nfs/dbraw/zinc/48/07/30/584480730.db2.gz JIZJSTCCFDROAQ-UHFFFAOYSA-N -1 1 347.297 -0.196 20 0 EBADMM O=C([N-]CC1CN(C(=O)NC[C@@H]2CCCNC2=O)C1)C(F)(F)F ZINC000875665071 584504157 /nfs/dbraw/zinc/50/41/57/584504157.db2.gz ZGWYFXJOECXSBV-VIFPVBQESA-N -1 1 336.314 -0.168 20 0 EBADMM CO[C@@H]1COC[C@H]1NC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875687847 584504542 /nfs/dbraw/zinc/50/45/42/584504542.db2.gz LNTBUZNWIYTHDF-RKDXNWHRSA-N -1 1 325.287 -0.280 20 0 EBADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(CC(=O)N2CCNC(=O)C2)C1 ZINC000879764045 584557405 /nfs/dbraw/zinc/55/74/05/584557405.db2.gz RVSNYMCUQIICHM-GFCCVEGCSA-N -1 1 336.314 -0.912 20 0 EBADMM Cc1sc2ncn(CC(=O)NN3CC(=O)[N-]C3=O)c(=O)c2c1C ZINC000025612401 584594412 /nfs/dbraw/zinc/59/44/12/584594412.db2.gz YVNFKIAGRDEUAY-UHFFFAOYSA-N -1 1 335.345 -0.342 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CCC[C@]23CCCO3)c(=O)n(C)c1=O ZINC000882795571 584595562 /nfs/dbraw/zinc/59/55/62/584595562.db2.gz PJJWLKAXQAGHOQ-RISCZKNCSA-N -1 1 343.405 -0.536 20 0 EBADMM CS(=O)(=O)N1CCC[C@H]([N-]S(=O)(=O)c2ccns2)C1 ZINC000884976568 584642149 /nfs/dbraw/zinc/64/21/49/584642149.db2.gz UGAKAWZKPXVWNK-QMMMGPOBSA-N -1 1 325.437 -0.155 20 0 EBADMM CNC(=O)c1cc(S(=O)(=O)N(CCN(C)C)CC(=O)[O-])cn1C ZINC000391010660 596908514 /nfs/dbraw/zinc/90/85/14/596908514.db2.gz BPNCWCRRJQDHMY-UHFFFAOYSA-N -1 1 346.409 -0.978 20 0 EBADMM O=C([O-])[C@@H]1CCN(C(=O)N[C@H]2CCN(CCN3CCOCC3)C2)C1 ZINC000739592721 600190681 /nfs/dbraw/zinc/19/06/81/600190681.db2.gz PFCHKVYCXBMTCS-KGLIPLIRSA-N -1 1 340.424 -0.491 20 0 EBADMM O=C([O-])N1CCC[C@H]1[C@H]1CCCCN1CC(=O)N1CCNC(=O)C1 ZINC000740731640 601930135 /nfs/dbraw/zinc/93/01/35/601930135.db2.gz TWVVOPAAMDDMLE-OLZOCXBDSA-N -1 1 338.408 -0.058 20 0 EBADMM CC(C)(CNC(=O)CN1CC[C@@H](CNC(=O)[O-])C1)N1CCOCC1 ZINC000738747079 601936027 /nfs/dbraw/zinc/93/60/27/601936027.db2.gz MIHYFZGZSWKUEB-ZDUSSCGKSA-N -1 1 342.440 -0.197 20 0 EBADMM CN(C)S(=O)(=O)N1CCN(CC2CCN(C(=O)[O-])CC2)CC1 ZINC000739615161 602257833 /nfs/dbraw/zinc/25/78/33/602257833.db2.gz OHBDWBPTHXODGO-UHFFFAOYSA-N -1 1 334.442 -0.200 20 0 EBADMM O=C([O-])NCC(=O)NCCCCN1CCN(c2ncccn2)CC1 ZINC000738651895 602475429 /nfs/dbraw/zinc/47/54/29/602475429.db2.gz QECWAAHDMSCXGZ-UHFFFAOYSA-N -1 1 336.396 -0.237 20 0 EBADMM CC(=O)N1CCN(C(=O)CN2CC[C@H](CN(C)C(=O)[O-])C2)CC1 ZINC000738523488 602535831 /nfs/dbraw/zinc/53/58/31/602535831.db2.gz KHTYCQCXWYCLKT-CYBMUJFWSA-N -1 1 326.397 -0.391 20 0 EBADMM CN1CCN(C)[C@H](CNC(=O)N2CCC(CNC(=O)[O-])CC2)C1 ZINC000739735533 602588176 /nfs/dbraw/zinc/58/81/76/602588176.db2.gz SLGUZQSUZZVFNG-CYBMUJFWSA-N -1 1 327.429 -0.079 20 0 EBADMM CC(=O)N1CCN(C(=O)CN2CC[C@@H](NC(=O)[O-])[C@@H](C)C2)CC1 ZINC000738525506 602770293 /nfs/dbraw/zinc/77/02/93/602770293.db2.gz XELHHTRANZWHTJ-WCQYABFASA-N -1 1 326.397 -0.345 20 0 EBADMM CCC[C@@H](NC(=O)[O-])C(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC000739311346 602771026 /nfs/dbraw/zinc/77/10/26/602771026.db2.gz JVZXMCWBQFBQTB-UONOGXRCSA-N -1 1 342.440 -0.055 20 0 EBADMM O=C([O-])N1CCOC[C@@H]1C(=O)N1CCC(CN2CCOCC2)CC1 ZINC000740350949 602850579 /nfs/dbraw/zinc/85/05/79/602850579.db2.gz XWQSNBGGWDLNKU-CQSZACIVSA-N -1 1 341.408 -0.064 20 0 EBADMM C[C@@H]1CC(=O)N(CN2CCC[C@H](C(=O)NCCNC(=O)[O-])C2)C1 ZINC000739076399 602859828 /nfs/dbraw/zinc/85/98/28/602859828.db2.gz PDCBSJLYZRNDSB-NEPJUHHUSA-N -1 1 326.397 -0.092 20 0 EBADMM CN1CCN(C)[C@@H](CNC(=O)CN(C[C@@H]2CCCO2)C(=O)[O-])C1 ZINC000739733549 602901131 /nfs/dbraw/zinc/90/11/31/602901131.db2.gz FABXXVBUPLZKLL-STQMWFEESA-N -1 1 328.413 -0.493 20 0 EBADMM CC(C)(CC(=O)N[C@H]1CCN(CCN2CCOCC2)C1)NC(=O)[O-] ZINC000738733571 603052088 /nfs/dbraw/zinc/05/20/88/603052088.db2.gz XNOCBLXJQRJGMI-ZDUSSCGKSA-N -1 1 342.440 -0.055 20 0 EBADMM COCCN(CC(=O)N1CCN(Cc2n[nH]c(C)n2)CC1)C(=O)[O-] ZINC000739824029 603226793 /nfs/dbraw/zinc/22/67/93/603226793.db2.gz UUIXLHNHNSQJRJ-UHFFFAOYSA-N -1 1 340.384 -0.616 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2CC2(Cl)Cl)c(=O)n(C)c1=O ZINC000028049403 649936323 /nfs/dbraw/zinc/93/63/23/649936323.db2.gz BMDNIZASTQXIIC-LURJTMIESA-N -1 1 342.204 -0.444 20 0 EBADMM CC1CCC2(CC1)NC(=O)N(CC(=O)NN1CC(=O)[N-]C1=O)C2=O ZINC000053286088 649955586 /nfs/dbraw/zinc/95/55/86/649955586.db2.gz AAZRMQOBRDGMFC-UHFFFAOYSA-N -1 1 337.336 -0.930 20 0 EBADMM COc1cc[n-]c(=NNC(=O)NCC(C)(C)NS(C)(=O)=O)n1 ZINC000069470751 649967834 /nfs/dbraw/zinc/96/78/34/649967834.db2.gz LJOIASDWIWZXEH-UHFFFAOYSA-N -1 1 332.386 -0.561 20 0 EBADMM CC(C)N(C)S(=O)(=O)[N-]c1ccn(CC(=O)N2CCOCC2)n1 ZINC000267115653 649989467 /nfs/dbraw/zinc/98/94/67/649989467.db2.gz ZSSWZDWEUMMVGZ-UHFFFAOYSA-N -1 1 345.425 -0.261 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@H]1[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000700239033 650035859 /nfs/dbraw/zinc/03/58/59/650035859.db2.gz UHGXPHTWHXGYQZ-RNFRBKRXSA-N -1 1 343.814 -0.836 20 0 EBADMM O=C(CN1CCN(C2CC2)C1=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907481446 650083578 /nfs/dbraw/zinc/08/35/78/650083578.db2.gz HYACCEQFFVZBED-JTQLQIEISA-N -1 1 337.409 -0.284 20 0 EBADMM CC(=O)N1CCO[C@@H](C(=O)OCCC[N-]C(=O)C(F)(F)F)C1 ZINC000909686360 650108021 /nfs/dbraw/zinc/10/80/21/650108021.db2.gz UCNDWXVRKNITNF-SECBINFHSA-N -1 1 326.271 -0.155 20 0 EBADMM CN(Cc1nc(=O)o[n-]1)C(=O)c1ccnc(N2CCN(C)CC2)c1 ZINC000911446088 650184820 /nfs/dbraw/zinc/18/48/20/650184820.db2.gz BDHNVVFFHPZKHA-UHFFFAOYSA-N -1 1 332.364 -0.218 20 0 EBADMM CN(Cc1nc(=O)o[n-]1)C(=O)c1cccc(N2CCN(C)CC2)n1 ZINC000911451569 650185179 /nfs/dbraw/zinc/18/51/79/650185179.db2.gz MJKITBWIGMXPBU-UHFFFAOYSA-N -1 1 332.364 -0.218 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCOC[C@H]1CCOC1 ZINC000912544609 650207637 /nfs/dbraw/zinc/20/76/37/650207637.db2.gz PYOGWRHDOKGZIT-VIFPVBQESA-N -1 1 333.366 -0.472 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC1(CC(N)=O)CC1 ZINC000912555792 650208374 /nfs/dbraw/zinc/20/83/74/650208374.db2.gz FLBQRCWOMSULTH-UHFFFAOYSA-N -1 1 330.366 -0.480 20 0 EBADMM CCOC(=O)[C@@H]1CCCN(CC(=O)[N-]OCCN2CCCC2=O)C1 ZINC000912629696 650210634 /nfs/dbraw/zinc/21/06/34/650210634.db2.gz YURXSJGAJPBZCJ-CYBMUJFWSA-N -1 1 341.408 -0.068 20 0 EBADMM O=C1[C@H]([N-]S(=O)(=O)[C@@H]2COC[C@H]2O)CCN1c1ccccc1 ZINC000914088026 650251114 /nfs/dbraw/zinc/25/11/14/650251114.db2.gz BJYZUWIOPOPRSN-JHJVBQTASA-N -1 1 326.374 -0.529 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H](C)C(=O)NCCCOC)o1 ZINC000915239243 650266940 /nfs/dbraw/zinc/26/69/40/650266940.db2.gz CKHHRZUMDRPIMA-VIFPVBQESA-N -1 1 348.377 -0.114 20 0 EBADMM C[C@H]([N-]S(=O)(=O)N=S1(=O)CCCC1)[C@@H]1CN(C)CCN1C ZINC000919982542 650307150 /nfs/dbraw/zinc/30/71/50/650307150.db2.gz SUGFBPYKQYQOGV-RYUDHWBXSA-N -1 1 338.499 -0.283 20 0 EBADMM COC[C@H](O)C[N@H+]1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC000923255472 650336883 /nfs/dbraw/zinc/33/68/83/650336883.db2.gz NAQUQVWPOXNNNU-VGMNWLOBSA-N -1 1 328.287 -0.410 20 0 EBADMM CCOC[C@H](O)C[N@H+]1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC000923255893 650336921 /nfs/dbraw/zinc/33/69/21/650336921.db2.gz TVCIWLJKJPETFJ-OPRDCNLKSA-N -1 1 342.314 -0.020 20 0 EBADMM COC[C@@H](O)C[N@H+]1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC000923255473 650337116 /nfs/dbraw/zinc/33/71/16/650337116.db2.gz NAQUQVWPOXNNNU-XHNCKOQMSA-N -1 1 328.287 -0.410 20 0 EBADMM CN(C(=O)[C@]1(C)CNC(=O)C1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216561 651684854 /nfs/dbraw/zinc/68/48/54/651684854.db2.gz GUHQWRVLPOXJMM-GTNSWQLSSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)[C@@H]1CNC(=O)N1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937219191 651685716 /nfs/dbraw/zinc/68/57/16/651685716.db2.gz DQUQEZSHALNGIP-ZJUUUORDSA-N -1 1 333.348 -0.859 20 0 EBADMM CN(C(=O)[C@H]1COC(=O)N1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937221118 651686769 /nfs/dbraw/zinc/68/67/69/651686769.db2.gz XYPMLTBBJBRQEF-VHSXEESVSA-N -1 1 334.332 -0.432 20 0 EBADMM CN(C(=O)c1ncn(C)n1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937220300 651687230 /nfs/dbraw/zinc/68/72/30/651687230.db2.gz NORHHVYJFWYIAB-SNVBAGLBSA-N -1 1 330.348 -0.098 20 0 EBADMM CC(=O)N1CC(C(=O)N(C)[C@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000937220595 651687245 /nfs/dbraw/zinc/68/72/45/651687245.db2.gz RGFAUDDYGORLQW-ZDUSSCGKSA-N -1 1 346.387 -0.062 20 0 EBADMM CCOCCO[N-]C(=O)C(=O)NC[C@@H]1CCN1C1CCOCC1 ZINC000932956730 651703601 /nfs/dbraw/zinc/70/36/01/651703601.db2.gz HFIFOSZYTKFKTJ-ZDUSSCGKSA-N -1 1 329.397 -0.560 20 0 EBADMM C[C@H]1C(=O)N(C)CN1C(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000933110394 651714957 /nfs/dbraw/zinc/71/49/57/651714957.db2.gz PFUDXJHDOQNBLG-QMMMGPOBSA-N -1 1 341.314 -0.024 20 0 EBADMM CC(=O)NCCN(C)C(=O)C(=O)NCCc1c(F)cc([O-])cc1F ZINC000933110369 651715029 /nfs/dbraw/zinc/71/50/29/651715029.db2.gz OVZPWKDXLNQBMK-UHFFFAOYSA-N -1 1 343.330 -0.076 20 0 EBADMM O=C(NC[C@@H]1CCCCN1C(=O)c1ncccc1[O-])[C@H]1CNC(=O)N1 ZINC000937361869 651750247 /nfs/dbraw/zinc/75/02/47/651750247.db2.gz FDHYNJRETGGDDJ-WDEREUQCSA-N -1 1 347.375 -0.421 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H](NC(=O)c2ccco2)C1 ZINC000937615918 651855961 /nfs/dbraw/zinc/85/59/61/651855961.db2.gz QDROJXFGJFIUFB-NSHDSACASA-N -1 1 346.343 -0.449 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)C2CC(=O)NC(=O)C2)C1)c1ncccc1[O-] ZINC000937861165 651958307 /nfs/dbraw/zinc/95/83/07/651958307.db2.gz OTXGAQOZMFTIPX-JTQLQIEISA-N -1 1 346.343 -0.829 20 0 EBADMM C[C@]1(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)CNC(=O)C1 ZINC000937863923 651959191 /nfs/dbraw/zinc/95/91/91/651959191.db2.gz KTHDUDUVVSHNPO-MGPLVRAMSA-N -1 1 332.360 -0.356 20 0 EBADMM Cn1cc(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)[nH]c1=O ZINC000937864387 651959529 /nfs/dbraw/zinc/95/95/29/651959529.db2.gz PMUBODQRLCDHCC-VIFPVBQESA-N -1 1 331.332 -0.129 20 0 EBADMM C/C=C(/C)C(=O)N1C[C@@H]2C[C@H]1CN2C(=O)CCn1cc[n-]c(=O)c1=O ZINC000936809145 652151935 /nfs/dbraw/zinc/15/19/35/652151935.db2.gz OIIYOKRFXRODMS-IFXZSMIISA-N -1 1 346.387 -0.295 20 0 EBADMM O=C(CN1CCCNC1=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000938245134 652157054 /nfs/dbraw/zinc/15/70/54/652157054.db2.gz YBGBYCSTPFEJJR-NSHDSACASA-N -1 1 347.375 -0.467 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCN(C(=O)[C@@H](C)OC)C1 ZINC000938311360 652189754 /nfs/dbraw/zinc/18/97/54/652189754.db2.gz JVSVOAFVPYZBCQ-SFYZADRCSA-N -1 1 340.336 -0.738 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)C2CCCC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000938315075 652192738 /nfs/dbraw/zinc/19/27/38/652192738.db2.gz ZGMJBXOBHBXNJO-NSHDSACASA-N -1 1 344.375 -0.062 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cocn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000938314940 652192910 /nfs/dbraw/zinc/19/29/10/652192910.db2.gz WEZZHGYTZQCOCC-QMMMGPOBSA-N -1 1 343.303 -0.950 20 0 EBADMM CCc1cc(C(=O)N2CC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC000938349809 652206536 /nfs/dbraw/zinc/20/65/36/652206536.db2.gz LHRABCDASTXZFQ-QMMMGPOBSA-N -1 1 347.335 -0.787 20 0 EBADMM CN1C[C@@H](C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)NC1=O ZINC000938409948 652245164 /nfs/dbraw/zinc/24/51/64/652245164.db2.gz LVWDGTGEZONESP-UWVGGRQHSA-N -1 1 333.348 -0.859 20 0 EBADMM CC(=O)N1CC[C@H](C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000938436203 652255553 /nfs/dbraw/zinc/25/55/53/652255553.db2.gz WQIKBZGDGFVSJO-STQMWFEESA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)c1cnc(C2CC2)[n-]c1=O)[C@@H]1CCN(C(=O)CC(N)=O)C1 ZINC000936965675 652326048 /nfs/dbraw/zinc/32/60/48/652326048.db2.gz JCPBUJTXFWNGJF-SNVBAGLBSA-N -1 1 347.375 -0.392 20 0 EBADMM Cn1[n-]c(CN2CC(N3CCN(C(=O)CCC4CC4)CC3)C2)nc1=O ZINC000941351034 652686365 /nfs/dbraw/zinc/68/63/65/652686365.db2.gz NCTWKQFXLRXYOS-UHFFFAOYSA-N -1 1 348.451 -0.373 20 0 EBADMM CN1C[C@H](C(=O)N2CCC[C@@H]2CNC(=O)c2ncccc2[O-])NC1=O ZINC000944182434 652706851 /nfs/dbraw/zinc/70/68/51/652706851.db2.gz QJNWFOJFBFBMPH-GHMZBOCLSA-N -1 1 347.375 -0.468 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@@H]2CCC(=O)NC2)C1 ZINC000938825106 652726504 /nfs/dbraw/zinc/72/65/04/652726504.db2.gz MNTQCDCUKRWTID-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@]2(C)CNC(=O)C2)C1 ZINC000938890323 652760938 /nfs/dbraw/zinc/76/09/38/652760938.db2.gz MFLQDPJEQBTHJG-DIFFPNOSSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(C[C@@H]1CC(=O)NC1=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000941479427 652762149 /nfs/dbraw/zinc/76/21/49/652762149.db2.gz ZYRIKTLJNFJSRQ-NXEZZACHSA-N -1 1 346.343 -0.829 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)N[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000938922388 652776475 /nfs/dbraw/zinc/77/64/75/652776475.db2.gz HFIORLJLWRODAH-FRRDWIJNSA-N -1 1 348.403 -0.310 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1)[C@@H]1CCCO1 ZINC000944467074 652779047 /nfs/dbraw/zinc/77/90/47/652779047.db2.gz YZEVTPPTZROCSD-AAEUAGOBSA-N -1 1 335.360 -0.077 20 0 EBADMM Cc1cc(C(=O)N(C)[C@@H]2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)[nH]n1 ZINC000938983962 652791186 /nfs/dbraw/zinc/79/11/86/652791186.db2.gz LUPURLDOGAUOQY-SECBINFHSA-N -1 1 349.351 -0.906 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1)c1ccn[nH]1 ZINC000944490839 652794525 /nfs/dbraw/zinc/79/45/25/652794525.db2.gz DRVADOWHYLCQRU-JTQLQIEISA-N -1 1 331.332 -0.219 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@H]2CCNC2=O)C1 ZINC000939002082 652800470 /nfs/dbraw/zinc/80/04/70/652800470.db2.gz IVDIMYKEQNRRNH-QWRGUYRKSA-N -1 1 332.360 -0.404 20 0 EBADMM CO[C@@H]1CN(C(=O)[C@H]2CCC(=O)N2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941600784 652825661 /nfs/dbraw/zinc/82/56/61/652825661.db2.gz GVZSPWUAEPLPSP-CKYFFXLPSA-N -1 1 348.359 -0.979 20 0 EBADMM CO[C@@H]1CN(C(=O)c2cc[nH]n2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941601125 652826108 /nfs/dbraw/zinc/82/61/08/652826108.db2.gz OIMLXHXOUGKJLY-ZYHUDNBSSA-N -1 1 331.332 -0.220 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941601125 652826111 /nfs/dbraw/zinc/82/61/11/652826111.db2.gz OIMLXHXOUGKJLY-ZYHUDNBSSA-N -1 1 331.332 -0.220 20 0 EBADMM O=C(Cn1ccnc1)N1CCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944560583 652860049 /nfs/dbraw/zinc/86/00/49/652860049.db2.gz MDBSWZQTWMSIRU-GFCCVEGCSA-N -1 1 345.359 -0.359 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)[C@H]2CCCO2)CCO1)c1ncccc1[O-] ZINC000944561314 652860910 /nfs/dbraw/zinc/86/09/10/652860910.db2.gz QQQHXWIAACGIIF-DGCLKSJQSA-N -1 1 335.360 -0.077 20 0 EBADMM O=C(Cc1nnc[nH]1)N1CCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944563259 652863087 /nfs/dbraw/zinc/86/30/87/652863087.db2.gz HCCZPCGLKCIUQH-SNVBAGLBSA-N -1 1 346.347 -0.895 20 0 EBADMM O=C(Cc1nnc[nH]1)N1CCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944563256 652863365 /nfs/dbraw/zinc/86/33/65/652863365.db2.gz HCCZPCGLKCIUQH-JTQLQIEISA-N -1 1 346.347 -0.895 20 0 EBADMM COc1c(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)c(C)nn1C ZINC000939237282 652863437 /nfs/dbraw/zinc/86/34/37/652863437.db2.gz UQKKQAJBEWQLSG-PSASIEDQSA-N -1 1 349.395 -0.189 20 0 EBADMM Cn1cncc1C(=O)N1CCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944565272 652864190 /nfs/dbraw/zinc/86/41/90/652864190.db2.gz QUPBPIREQBXYOQ-LLVKDONJSA-N -1 1 345.359 -0.208 20 0 EBADMM CC[C@@H](F)C(=O)N1CC[C@@H](NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC000941663313 652864897 /nfs/dbraw/zinc/86/48/97/652864897.db2.gz OZGMYPUPJXLNGR-HTQZYQBOSA-N -1 1 342.327 -0.025 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)C1=COCCO1 ZINC000941765977 652904404 /nfs/dbraw/zinc/90/44/04/652904404.db2.gz WFLWFPFMJKQLKB-QWRGUYRKSA-N -1 1 337.380 -0.677 20 0 EBADMM Cc1c(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)cnn1C ZINC000941822991 652923342 /nfs/dbraw/zinc/92/33/42/652923342.db2.gz FIGBMQLODNEVCZ-GXFFZTMASA-N -1 1 347.423 -0.209 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1cncnc1 ZINC000941980041 653011585 /nfs/dbraw/zinc/01/15/85/653011585.db2.gz OMIAUJKUOGKWGX-ZYHUDNBSSA-N -1 1 331.380 -0.461 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1cnn(C)c1N ZINC000941981597 653011894 /nfs/dbraw/zinc/01/18/94/653011894.db2.gz UTLNCGNEZMCALO-MWLCHTKSSA-N -1 1 348.411 -0.936 20 0 EBADMM Cc1ccnc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)n1 ZINC000942010615 653019952 /nfs/dbraw/zinc/01/99/52/653019952.db2.gz XTHYDEDXYUNOBF-JQWIXIFHSA-N -1 1 345.407 -0.153 20 0 EBADMM CC1(C(=O)N[C@@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)CCC1 ZINC000942025167 653023589 /nfs/dbraw/zinc/02/35/89/653023589.db2.gz FRHPJUXRWYNMSB-SNVBAGLBSA-N -1 1 344.375 -0.062 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1C[C@@H](C)[C@H](NC(=O)C(N)=O)C1 ZINC000939691881 653024117 /nfs/dbraw/zinc/02/41/17/653024117.db2.gz QYNOAUDHDZOFGL-PSASIEDQSA-N -1 1 349.391 -0.324 20 0 EBADMM Cn1cc(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)ncc1=O ZINC000942059049 653029500 /nfs/dbraw/zinc/02/95/00/653029500.db2.gz MFMHOQYEGWOJJY-SNVBAGLBSA-N -1 1 343.343 -0.475 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1)C1CC1 ZINC000939916375 653067655 /nfs/dbraw/zinc/06/76/55/653067655.db2.gz SQFZBDMVIPNYFL-WCQYABFASA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC000939916385 653067826 /nfs/dbraw/zinc/06/78/26/653067826.db2.gz SWKNZUGMSSPGDC-MWLCHTKSSA-N -1 1 344.375 -0.206 20 0 EBADMM CC1CC(C(=O)N[C@@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)C1 ZINC000939954616 653070063 /nfs/dbraw/zinc/07/00/63/653070063.db2.gz OTBXZCNIQCFOOU-WXRRBKDZSA-N -1 1 348.403 -0.310 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCC[C@H]2NC(=O)Cc2nnc[nH]2)c1[O-] ZINC000946322553 653101110 /nfs/dbraw/zinc/10/11/10/653101110.db2.gz LWNHHDDQWCUZGM-VHSXEESVSA-N -1 1 347.379 -0.201 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H](NC(=O)c2ncc[nH]2)C1 ZINC000940312454 653123751 /nfs/dbraw/zinc/12/37/51/653123751.db2.gz RMSNQXFQSYMAMC-SSDOTTSWSA-N -1 1 348.319 -0.736 20 0 EBADMM CC(=O)N1CCC2(CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)CC1 ZINC000944807597 653269867 /nfs/dbraw/zinc/26/98/67/653269867.db2.gz ROVYXDDWEJSSOS-UHFFFAOYSA-N -1 1 330.348 -0.498 20 0 EBADMM CCC(=O)N[C@@H]1CCCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000943001523 653279492 /nfs/dbraw/zinc/27/94/92/653279492.db2.gz MOYDSQIAVNQKMS-GFCCVEGCSA-N -1 1 336.392 -0.166 20 0 EBADMM O=C(CN1CCOCC1)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940796428 653288031 /nfs/dbraw/zinc/28/80/31/653288031.db2.gz ODSFCESIPDGNFA-UHFFFAOYSA-N -1 1 348.403 -0.206 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2cnccn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947010021 653290502 /nfs/dbraw/zinc/29/05/02/653290502.db2.gz QRMXNDXOSBGFBR-MNOVXSKESA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2ccc(=O)[nH]n2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947020922 653292514 /nfs/dbraw/zinc/29/25/14/653292514.db2.gz HYPFBSPJQQQXAD-VHSXEESVSA-N -1 1 347.379 -0.613 20 0 EBADMM CS(=O)(=O)CC(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000944939770 653296255 /nfs/dbraw/zinc/29/62/55/653296255.db2.gz PAKQYYOSNXYMRO-UHFFFAOYSA-N -1 1 341.389 -0.447 20 0 EBADMM Cn1nnc(C(=O)N2CCCC[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC000943203091 653310946 /nfs/dbraw/zinc/31/09/46/653310946.db2.gz GNBMOZWZMMCQCP-JTQLQIEISA-N -1 1 345.363 -0.265 20 0 EBADMM O=C(N[C@@H]1CCCCN(C(=O)[C@@H]2CNC(=O)N2)C1)c1ncccc1[O-] ZINC000943209194 653311922 /nfs/dbraw/zinc/31/19/22/653311922.db2.gz KCJWOPBEVFDASI-MNOVXSKESA-N -1 1 347.375 -0.421 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H](C)C2)nn1C ZINC000947108869 653315790 /nfs/dbraw/zinc/31/57/90/653315790.db2.gz MEGAAPPMTAWHFA-NWDGAFQWSA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2ccnn2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947127368 653320768 /nfs/dbraw/zinc/32/07/68/653320768.db2.gz YBMUOGFAPCXTGA-QWRGUYRKSA-N -1 1 333.396 -0.375 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2cncnc2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947231651 653343286 /nfs/dbraw/zinc/34/32/86/653343286.db2.gz NMPRLOQZAZJXEU-PWSUYJOCSA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)C2=CCOCC2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947236694 653344687 /nfs/dbraw/zinc/34/46/87/653344687.db2.gz WFAFEQTZSXLECT-DGCLKSJQSA-N -1 1 335.408 -0.076 20 0 EBADMM C[C@H]1CN(C(=O)Cn2cnnn2)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC000945156798 653352683 /nfs/dbraw/zinc/35/26/83/653352683.db2.gz PQBHSRHSMBXZIL-QWRGUYRKSA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@H]1CN(C(=O)c2ccn[nH]2)CC[C@H]1NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC000945194714 653362611 /nfs/dbraw/zinc/36/26/11/653362611.db2.gz GOTRYQZAUOPVOK-DTWKUNHWSA-N -1 1 349.351 -0.921 20 0 EBADMM C[C@H]1CN(C(=O)c2ccn[nH]2)CC[C@@H]1NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC000945194717 653362710 /nfs/dbraw/zinc/36/27/10/653362710.db2.gz GOTRYQZAUOPVOK-IUCAKERBSA-N -1 1 349.351 -0.921 20 0 EBADMM Cc1c[nH]c(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)n1 ZINC000943482760 653402803 /nfs/dbraw/zinc/40/28/03/653402803.db2.gz QHXWBFPLAFOFBV-KOLCDFICSA-N -1 1 333.396 -0.220 20 0 EBADMM Cn1ncc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)n1 ZINC000941097485 653411619 /nfs/dbraw/zinc/41/16/19/653411619.db2.gz OYCXLWRUQRKMRX-LLVKDONJSA-N -1 1 348.411 -0.733 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CCN1Cc1ccnn1C ZINC000947505889 653418234 /nfs/dbraw/zinc/41/82/34/653418234.db2.gz GHFNUMAPQLWTKD-VHSXEESVSA-N -1 1 347.379 -0.201 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1cnn(C)c1 ZINC000941156338 653434029 /nfs/dbraw/zinc/43/40/29/653434029.db2.gz MLEFLWWCWCAGPO-DGCLKSJQSA-N -1 1 345.359 -0.210 20 0 EBADMM CN1C(=O)CC[C@H]1CC(=O)N1CCC(NC(=O)c2cnn[nH]2)CC1 ZINC000947686797 653459095 /nfs/dbraw/zinc/45/90/95/653459095.db2.gz POLMXNUCLIUQND-NSHDSACASA-N -1 1 334.380 -0.464 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1cnsn1 ZINC000941271559 653477661 /nfs/dbraw/zinc/47/76/61/653477661.db2.gz JGNIGHIWPOQVED-MWLCHTKSSA-N -1 1 349.372 -0.092 20 0 EBADMM Cn1[n-]c(CN2CC(N3CCN(C(=O)C4CCC4)CC3)C2)nc1=O ZINC000941271985 653478196 /nfs/dbraw/zinc/47/81/96/653478196.db2.gz JOEBMYSPFMFSIN-UHFFFAOYSA-N -1 1 334.424 -0.763 20 0 EBADMM Cc1nonc1CC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H](C)C1 ZINC000947872417 653495597 /nfs/dbraw/zinc/49/55/97/653495597.db2.gz NEEKTKLVPAMYPK-GXSJLCMTSA-N -1 1 349.395 -0.488 20 0 EBADMM CN1CC[C@H](C(=O)NC[C@H]2CCCN2C(=O)c2ncccc2[O-])C1=O ZINC000943979281 653501177 /nfs/dbraw/zinc/50/11/77/653501177.db2.gz KDVFFPNLXXHTJK-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM CC(=O)NC[C@@H]1[C@@H](C)CCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000945789060 653505842 /nfs/dbraw/zinc/50/58/42/653505842.db2.gz OQOBBIXRTLGLSS-WCQYABFASA-N -1 1 336.392 -0.310 20 0 EBADMM CCC(=O)N1CC[C@@H]2[C@@H](CCN2C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000945813601 653509925 /nfs/dbraw/zinc/50/99/25/653509925.db2.gz IRGUQDUIELQWDI-QWHCGFSZSA-N -1 1 348.403 -0.214 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H]2CN(C(C)=O)CC[C@@H]21 ZINC000945820529 653509978 /nfs/dbraw/zinc/50/99/78/653509978.db2.gz KSIRTXUOAYJMAG-ZJUUUORDSA-N -1 1 336.348 -0.021 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H]2[C@H](CCN2C(C)=O)C1 ZINC000945994007 653529360 /nfs/dbraw/zinc/52/93/60/653529360.db2.gz SXSPNVCWXPSETJ-NXEZZACHSA-N -1 1 336.348 -0.021 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@@H]1CCNC1=O ZINC000948630117 653564281 /nfs/dbraw/zinc/56/42/81/653564281.db2.gz MJSUDIZCIVXNPS-GMTAPVOTSA-N -1 1 332.360 -0.500 20 0 EBADMM Cn1nc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cc1C1CC1 ZINC000948645583 653564910 /nfs/dbraw/zinc/56/49/10/653564910.db2.gz NUIYUFPDYPQXKJ-UHFFFAOYSA-N -1 1 345.407 -0.323 20 0 EBADMM COCc1cncc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c1 ZINC000948831972 653574836 /nfs/dbraw/zinc/57/48/36/653574836.db2.gz JYMJRYCBTOLYCV-UHFFFAOYSA-N -1 1 346.391 -0.392 20 0 EBADMM O=C(CCc1n[nH]c(=O)[n-]c1=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000949069395 653592784 /nfs/dbraw/zinc/59/27/84/653592784.db2.gz CQPBXENKLAAMAE-LLVKDONJSA-N -1 1 337.380 -0.604 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)[C@H]3Cc4ccccc4O3)CC2)nc1=O ZINC000949175711 653822619 /nfs/dbraw/zinc/82/26/19/653822619.db2.gz HDCMYDZXUGJIOM-CQSZACIVSA-N -1 1 343.387 -0.244 20 0 EBADMM C[C@H]1C[C@H]1C(=O)N1CCC2(CC1)CN(Cc1nc(=O)n(C)[n-]1)CCO2 ZINC000949289542 653855061 /nfs/dbraw/zinc/85/50/61/653855061.db2.gz LYZVKZKYJRBQST-QWHCGFSZSA-N -1 1 349.435 -0.042 20 0 EBADMM CC1CC(C(=O)NC[C@@H]2CCN2C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000949341977 653866398 /nfs/dbraw/zinc/86/63/98/653866398.db2.gz QKXORVQNMHCREZ-BPCQOVAHSA-N -1 1 348.403 -0.310 20 0 EBADMM Cc1nnc(CC(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])[nH]1 ZINC000949534786 653922218 /nfs/dbraw/zinc/92/22/18/653922218.db2.gz GHDJZNAICAEVIW-SNVBAGLBSA-N -1 1 330.348 -0.213 20 0 EBADMM CN1C[C@@H](C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])NC1=O ZINC000949541651 653923482 /nfs/dbraw/zinc/92/34/82/653923482.db2.gz MQGWYNUKZZSUHR-UWVGGRQHSA-N -1 1 333.348 -0.859 20 0 EBADMM CN1C[C@H](C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])CC1=O ZINC000949541813 653924692 /nfs/dbraw/zinc/92/46/92/653924692.db2.gz PXLIIWNBIXLGGD-MNOVXSKESA-N -1 1 332.360 -0.404 20 0 EBADMM CCN(C(=O)c1cnc(C)cn1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000949605212 653931928 /nfs/dbraw/zinc/93/19/28/653931928.db2.gz XJXQHYOXGZCUFH-UHFFFAOYSA-N -1 1 331.380 -0.447 20 0 EBADMM CCN(C(=O)c1cncnc1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000949699873 653953920 /nfs/dbraw/zinc/95/39/20/653953920.db2.gz JYSVYUIZFAQCGP-UHFFFAOYSA-N -1 1 331.380 -0.447 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(CC(=O)N2CCOCC2)C1 ZINC000949947634 654007635 /nfs/dbraw/zinc/00/76/35/654007635.db2.gz DLWXYPXHODZPIH-UHFFFAOYSA-N -1 1 348.403 -0.208 20 0 EBADMM NC(=O)CC(=O)N1CC[C@@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000950037363 654023537 /nfs/dbraw/zinc/02/35/37/654023537.db2.gz JXYCJMVDSBYFLL-SECBINFHSA-N -1 1 333.348 -0.734 20 0 EBADMM O=C(N[C@H]1CCCN(C(=O)[C@H]2CCC(=O)N2)C1)c1ncccc1[O-] ZINC000950219709 654067651 /nfs/dbraw/zinc/06/76/51/654067651.db2.gz QFOZQYHSULFGPP-WDEREUQCSA-N -1 1 332.360 -0.213 20 0 EBADMM O=C(CN1CN=NC1=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950543147 654173096 /nfs/dbraw/zinc/17/30/96/654173096.db2.gz RWTBRJNWBZKTRB-SNVBAGLBSA-N -1 1 346.347 -0.495 20 0 EBADMM O=C(Cn1nccn1)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950542449 654173600 /nfs/dbraw/zinc/17/36/00/654173600.db2.gz BVIXIXLRYAQAGK-LLVKDONJSA-N -1 1 330.348 -0.200 20 0 EBADMM CN1C[C@H](C(=O)N[C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC000950547155 654174856 /nfs/dbraw/zinc/17/48/56/654174856.db2.gz YLHJJMIAMGATAN-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM CN1C[C@H](C(=O)N2CCC[C@H](NC(=O)c3ncccc3[O-])C2)NC1=O ZINC000951539849 654183420 /nfs/dbraw/zinc/18/34/20/654183420.db2.gz GNOFBYYXMOQSOA-WDEREUQCSA-N -1 1 347.375 -0.468 20 0 EBADMM O=C(c1c[n-]n2c1nccc2=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000951549994 654190459 /nfs/dbraw/zinc/19/04/59/654190459.db2.gz JLJIKKBYHYNQMC-GFCCVEGCSA-N -1 1 331.376 -0.183 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NC[C@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951602104 654209059 /nfs/dbraw/zinc/20/90/59/654209059.db2.gz ISQQFUQAGAMDHE-AEJSXWLSSA-N -1 1 330.348 -0.596 20 0 EBADMM CN1C(=O)CC[C@@H]1C(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC000951699990 654251011 /nfs/dbraw/zinc/25/10/11/654251011.db2.gz OKYHJURHRJAKCF-GHMZBOCLSA-N -1 1 332.360 -0.261 20 0 EBADMM CCc1nc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c(C)o1 ZINC000951702940 654252015 /nfs/dbraw/zinc/25/20/15/654252015.db2.gz GQKLCRSVXWERHK-UHFFFAOYSA-N -1 1 334.380 -0.075 20 0 EBADMM CCN(C(=O)c1n[nH]c(=O)[n-]c1=O)C1CN([C@@H](C)c2n[nH]c(C)n2)C1 ZINC000950766818 654255597 /nfs/dbraw/zinc/25/55/97/654255597.db2.gz ZIDPHFFLDTXFFH-ZETCQYMHSA-N -1 1 348.367 -0.383 20 0 EBADMM C[C@@H](CC(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1)n1ccnc1 ZINC000950962636 654327301 /nfs/dbraw/zinc/32/73/01/654327301.db2.gz UEAZFAATDCEASW-LBPRGKRZSA-N -1 1 333.396 -0.400 20 0 EBADMM COc1c(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c(C)nn1C ZINC000951113156 654391026 /nfs/dbraw/zinc/39/10/26/654391026.db2.gz UVKPDTFNVALQBA-UHFFFAOYSA-N -1 1 349.395 -0.883 20 0 EBADMM NC(=O)c1ccc(C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])[nH]1 ZINC000952155859 654409432 /nfs/dbraw/zinc/40/94/32/654409432.db2.gz UZENFHQINBVIDX-VIFPVBQESA-N -1 1 343.343 -0.141 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1cocn1)c1cc(=O)n2[n-]cnc2n1 ZINC000951222610 654424255 /nfs/dbraw/zinc/42/42/55/654424255.db2.gz OLZWQAFHGVGRDP-QMMMGPOBSA-N -1 1 343.303 -0.950 20 0 EBADMM CC1(C)C[C@H]1C(=O)N1CC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951224536 654425311 /nfs/dbraw/zinc/42/53/11/654425311.db2.gz HTDSCGDPZHXCTD-ZJUUUORDSA-N -1 1 344.375 -0.206 20 0 EBADMM CCN(C(=O)c1ccc(C)[nH]c1=O)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000952357855 654445346 /nfs/dbraw/zinc/44/53/46/654445346.db2.gz XDEUXZURLLSLTG-UHFFFAOYSA-N -1 1 346.391 -0.136 20 0 EBADMM CC(=O)N1CC[C@H]2CC[C@@H](C1)N2C(=O)CCn1cc[n-]c(=O)c1=O ZINC000952563327 654477351 /nfs/dbraw/zinc/47/73/51/654477351.db2.gz TVOFRUVRSAVVJE-OLZOCXBDSA-N -1 1 334.376 -0.462 20 0 EBADMM CC(=O)N1CC[C@@]2(C1)CCCN(C(=O)c1cc(=O)n3[n-]cnc3n1)C2 ZINC000952817331 654505331 /nfs/dbraw/zinc/50/53/31/654505331.db2.gz MDMNZXSQNKDSCY-MRXNPFEDSA-N -1 1 344.375 -0.108 20 0 EBADMM CC(=O)N1CC[C@@]2(CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)C1 ZINC000953020099 654515860 /nfs/dbraw/zinc/51/58/60/654515860.db2.gz CZMGMFWGDFXPMR-OAHLLOKOSA-N -1 1 330.348 -0.498 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(N(C)C(=O)C(C)(F)F)C1 ZINC000953521387 654592873 /nfs/dbraw/zinc/59/28/73/654592873.db2.gz YXUJCXVISOBTMW-UHFFFAOYSA-N -1 1 346.290 -0.166 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CCN(C(=O)c2nnn(C)n2)CC1 ZINC000953897776 654650543 /nfs/dbraw/zinc/65/05/43/654650543.db2.gz YVAIFPBYCSVHHT-UHFFFAOYSA-N -1 1 345.363 -0.313 20 0 EBADMM CN(C(=O)C=C1CCC1)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000954023270 654670269 /nfs/dbraw/zinc/67/02/69/654670269.db2.gz VJQVYLVCDWCXKG-UHFFFAOYSA-N -1 1 346.387 -0.294 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)Cc1cncnc1 ZINC000965962607 724549688 /nfs/dbraw/zinc/54/96/88/724549688.db2.gz CKJNLFMFUPIRDP-AAEUAGOBSA-N -1 1 345.407 -0.532 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)Cc1ccn(C)n1 ZINC000966077552 724565361 /nfs/dbraw/zinc/56/53/61/724565361.db2.gz PMPHCKPCCCFTQU-YPMHNXCESA-N -1 1 347.423 -0.589 20 0 EBADMM Cc1ncc(C(=O)N2C[C@@H](C)[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC000966236311 724594352 /nfs/dbraw/zinc/59/43/52/724594352.db2.gz BCUOEBULZRLNKN-MUWHJKNJSA-N -1 1 347.335 -0.795 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)CCn2ccnn2)C1 ZINC000954123866 719568775 /nfs/dbraw/zinc/56/87/75/719568775.db2.gz BJADFDPGCKDXSX-UHFFFAOYSA-N -1 1 330.348 -0.248 20 0 EBADMM COC(=O)[C@@H]1C[C@H]1C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954124043 719569246 /nfs/dbraw/zinc/56/92/46/719569246.db2.gz JIQAOZBSENGMDV-GHMZBOCLSA-N -1 1 333.344 -0.121 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CCC(=O)NC2)C1 ZINC000954125495 719569924 /nfs/dbraw/zinc/56/99/24/719569924.db2.gz QFRSYLMOFNRRKA-SNVBAGLBSA-N -1 1 332.360 -0.404 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CN(C)C(=O)N2)C1 ZINC000954127907 719571152 /nfs/dbraw/zinc/57/11/52/719571152.db2.gz LDSCHXUCZQHXBF-SNVBAGLBSA-N -1 1 333.348 -0.906 20 0 EBADMM CN(C(=O)C[C@@H]1CCNC1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954463477 719730374 /nfs/dbraw/zinc/73/03/74/719730374.db2.gz IOBOCOYEQIZLAK-JTQLQIEISA-N -1 1 332.360 -0.404 20 0 EBADMM CN(C(=O)C[C@@H]1CC(=O)NC1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954743555 719881890 /nfs/dbraw/zinc/88/18/90/719881890.db2.gz JRIMPLZBGQXNDD-VIFPVBQESA-N -1 1 346.343 -0.877 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)CC2CCC2)C1 ZINC000954829824 719924891 /nfs/dbraw/zinc/92/48/91/719924891.db2.gz ADJLZZQNYURIFZ-UHFFFAOYSA-N -1 1 344.375 -0.109 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)[C@H]2CC2(C)C)C1 ZINC000954833638 719927129 /nfs/dbraw/zinc/92/71/29/719927129.db2.gz ZYERRSZUMYVEAW-SNVBAGLBSA-N -1 1 344.375 -0.254 20 0 EBADMM Cc1ncc(C(=O)N2CC(N(C)C(=O)c3cnc([O-])n(C)c3=O)C2)[nH]1 ZINC000954951151 719978039 /nfs/dbraw/zinc/97/80/39/719978039.db2.gz MBLBPOSWARSPDF-UHFFFAOYSA-N -1 1 346.347 -0.886 20 0 EBADMM CCn1cc(CC(=O)N(C)C2CN(C(=O)c3ncccc3[O-])C2)nn1 ZINC000954998187 720004617 /nfs/dbraw/zinc/00/46/17/720004617.db2.gz QCHFNSHHJUSCTN-UHFFFAOYSA-N -1 1 344.375 -0.076 20 0 EBADMM CN(C(=O)[C@]1(C)CCC(=O)NC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955060966 720032496 /nfs/dbraw/zinc/03/24/96/720032496.db2.gz MJEZKDOQGNYONZ-QGZVFWFLSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@@H]1CN(C(=O)Cn2ncnn2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966453394 724651199 /nfs/dbraw/zinc/65/11/99/724651199.db2.gz DDHVRHQXCSAKER-ZJUUUORDSA-N -1 1 331.336 -0.949 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)C(N)=O)CCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000955692375 720226023 /nfs/dbraw/zinc/22/60/23/720226023.db2.gz ZTEHDIFNMNRDDE-WPRPVWTQSA-N -1 1 347.375 -0.346 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCCN2C(=O)CCc2nc[nH]n2)c1[O-] ZINC000955951643 720304976 /nfs/dbraw/zinc/30/49/76/720304976.db2.gz BZLPOBGFRIUEBM-JTQLQIEISA-N -1 1 347.379 -0.105 20 0 EBADMM CN1CC[C@@H](C(=O)N2CCC[C@H]2CNC(=O)c2ncccc2[O-])C1=O ZINC000956160651 720382571 /nfs/dbraw/zinc/38/25/71/720382571.db2.gz RTCRWKZWJZNQOC-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM COCC(=O)N1CCC(C)(NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000956426423 720425967 /nfs/dbraw/zinc/42/59/67/720425967.db2.gz GSQKXLNPXGTYQA-UHFFFAOYSA-N -1 1 348.363 -0.825 20 0 EBADMM CN1CCO[C@H](C(=O)N[C@]2(C)CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000956793201 720497185 /nfs/dbraw/zinc/49/71/85/720497185.db2.gz YVHKYSPBZUSZEN-SUMWQHHRSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H]1NC(=O)CC1CC1 ZINC000966592296 724691869 /nfs/dbraw/zinc/69/18/69/724691869.db2.gz KWMSRXMPELJMER-AAEUAGOBSA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@@H]1CN(C(=O)Cc2nnc[nH]2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966856959 724767013 /nfs/dbraw/zinc/76/70/13/724767013.db2.gz SLBFDBQMQDKSOH-ZJUUUORDSA-N -1 1 330.348 -0.275 20 0 EBADMM CN1CCO[C@H](C(=O)N2CC[C@@](C)(NC(=O)c3ncccc3[O-])C2)C1 ZINC000956849567 722119409 /nfs/dbraw/zinc/11/94/09/722119409.db2.gz UNWWPNQOHPMHKS-SUMWQHHRSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@]1(NC(=O)c2cnc(C3CC3)[n-]c2=O)CCN(C(=O)CC(N)=O)C1 ZINC000956924956 722135406 /nfs/dbraw/zinc/13/54/06/722135406.db2.gz BRNSZCQKEFMLCD-INIZCTEOSA-N -1 1 347.375 -0.344 20 0 EBADMM CCN(C(=O)[C@@H]1CCc2[nH]nnc2C1)C1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC000957129362 722160828 /nfs/dbraw/zinc/16/08/28/722160828.db2.gz BJDOYTZMOZVUAQ-SECBINFHSA-N -1 1 346.395 -0.534 20 0 EBADMM CCN(C(=O)[C@@H]1CCc2nn[nH]c2C1)C1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC000957129362 722160830 /nfs/dbraw/zinc/16/08/30/722160830.db2.gz BJDOYTZMOZVUAQ-SECBINFHSA-N -1 1 346.395 -0.534 20 0 EBADMM CCN(C(=O)[C@H]1CCc2[nH]nnc2C1)C1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC000957129363 722160870 /nfs/dbraw/zinc/16/08/70/722160870.db2.gz BJDOYTZMOZVUAQ-VIFPVBQESA-N -1 1 346.395 -0.534 20 0 EBADMM CCN(C(=O)[C@H]1CCc2nn[nH]c2C1)C1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC000957129363 722160872 /nfs/dbraw/zinc/16/08/72/722160872.db2.gz BJDOYTZMOZVUAQ-VIFPVBQESA-N -1 1 346.395 -0.534 20 0 EBADMM CCN(C(=O)c1[nH]c(=O)[n-]c(=O)c1OC)C1CN(CCCO)C1 ZINC000957132520 722161826 /nfs/dbraw/zinc/16/18/26/722161826.db2.gz TVGGYOIPJXABGO-UHFFFAOYSA-N -1 1 326.353 -0.575 20 0 EBADMM CC(C)C(=O)N1CC[C@](C)(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000957220050 722176459 /nfs/dbraw/zinc/17/64/59/722176459.db2.gz SCGCDPJNUQWLSB-HNNXBMFYSA-N -1 1 332.364 -0.206 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](CNC(=O)c3ccc(F)cc3)[C@H](O)C2)nc1=O ZINC000957813134 722244943 /nfs/dbraw/zinc/24/49/43/722244943.db2.gz XFLZRMHJCFONPP-DGCLKSJQSA-N -1 1 349.366 -0.530 20 0 EBADMM CCC(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(C(=O)c1cc(=O)n3[n-]cnc3n1)C2 ZINC000957823022 722245657 /nfs/dbraw/zinc/24/56/57/722245657.db2.gz WMNKLAGMDDKBTQ-AXFHLTTASA-N -1 1 344.375 -0.063 20 0 EBADMM CCc1cc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)nn1C ZINC000957928962 722269409 /nfs/dbraw/zinc/26/94/09/722269409.db2.gz PQXOATXGWWUUFR-UHFFFAOYSA-N -1 1 333.396 -0.638 20 0 EBADMM Cc1ncoc1CN1C[C@H](O)[C@@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000957984928 722282284 /nfs/dbraw/zinc/28/22/84/722282284.db2.gz ATYAYHLUXUZWGU-QWRGUYRKSA-N -1 1 335.364 -0.057 20 0 EBADMM Cc1nnc(CN2C[C@@H](O)[C@@H](CNC(=O)c3ncccc3[O-])C2)o1 ZINC000957989357 722283286 /nfs/dbraw/zinc/28/32/86/722283286.db2.gz CDTJCNHAGOPVGD-CMPLNLGQSA-N -1 1 333.348 -0.299 20 0 EBADMM Cc1nonc1CN1C[C@@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957989886 722283591 /nfs/dbraw/zinc/28/35/91/722283591.db2.gz IWMJKKFPNZVNKV-GXFFZTMASA-N -1 1 333.348 -0.299 20 0 EBADMM Cn1ccnc1CN1C[C@H](O)[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957990256 722283817 /nfs/dbraw/zinc/28/38/17/722283817.db2.gz LUODHDRQMBBYNR-YPMHNXCESA-N -1 1 331.376 -0.257 20 0 EBADMM O=C(CN1C[C@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1)NC1CC1 ZINC000957995100 722285171 /nfs/dbraw/zinc/28/51/71/722285171.db2.gz KWOHUOTYIGUWPT-GWCFXTLKSA-N -1 1 334.376 -0.912 20 0 EBADMM CCc1occc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC000958031758 722292965 /nfs/dbraw/zinc/29/29/65/722292965.db2.gz WGDIPRWREOUWRB-CMPLNLGQSA-N -1 1 349.391 -0.514 20 0 EBADMM Cc1oncc1C[N@@H+]1C[C@@H](CNC(=O)c2cnc[nH]c2=O)[C@H](O)C1 ZINC000958168053 722317410 /nfs/dbraw/zinc/31/74/10/722317410.db2.gz SGVNEGHEGGSWAA-DGCLKSJQSA-N -1 1 333.348 -0.299 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(Cc3cc(=O)n4[n-]ccc4n3)C[C@H]21)[C@H]1CCCO1 ZINC000958169340 722317788 /nfs/dbraw/zinc/31/77/88/722317788.db2.gz MNGYLHREZVZEKN-IATRGZMQSA-N -1 1 343.387 -0.252 20 0 EBADMM CCc1ccoc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC000958375463 722354385 /nfs/dbraw/zinc/35/43/85/722354385.db2.gz UKWZYSYECLJHTA-NWDGAFQWSA-N -1 1 349.391 -0.514 20 0 EBADMM CN(C(=O)c1cnccn1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958455521 722368630 /nfs/dbraw/zinc/36/86/30/722368630.db2.gz FHLNXLPMQKJHDP-AAEUAGOBSA-N -1 1 343.343 -0.465 20 0 EBADMM Cc1cc(C(=O)N2C[C@H](O)[C@@H](N(C)C(=O)c3ncccc3[O-])C2)[nH]n1 ZINC000958830352 722604215 /nfs/dbraw/zinc/60/42/15/722604215.db2.gz QWPMGADOKMIEOG-AAEUAGOBSA-N -1 1 345.359 -0.224 20 0 EBADMM Cc1cc(C(=O)N2C[C@H](O)[C@@H](N(C)C(=O)c3ncccc3[O-])C2)n[nH]1 ZINC000958830352 722604216 /nfs/dbraw/zinc/60/42/16/722604216.db2.gz QWPMGADOKMIEOG-AAEUAGOBSA-N -1 1 345.359 -0.224 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)c2ccnnc2)C[C@@H]1O ZINC000958830790 722604840 /nfs/dbraw/zinc/60/48/40/722604840.db2.gz KKVBXSOXFRELDT-AAEUAGOBSA-N -1 1 343.343 -0.465 20 0 EBADMM CN(C(=O)c1cnco1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958901425 722646917 /nfs/dbraw/zinc/64/69/17/722646917.db2.gz LBZBOGKSRTZXSL-ONGXEEELSA-N -1 1 332.316 -0.267 20 0 EBADMM CN(C(=O)Cc1cnoc1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958930088 722659039 /nfs/dbraw/zinc/65/90/39/722659039.db2.gz MJFKMXYKRACODE-AAEUAGOBSA-N -1 1 346.343 -0.338 20 0 EBADMM CN(C(=O)c1n[nH]cc1F)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958952225 722670763 /nfs/dbraw/zinc/67/07/63/722670763.db2.gz PJVADAXJTOSWJX-ONGXEEELSA-N -1 1 349.322 -0.393 20 0 EBADMM CN(C(=O)c1ccnnc1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958976757 722679654 /nfs/dbraw/zinc/67/96/54/722679654.db2.gz ZZJRROHVUAKWIU-AAEUAGOBSA-N -1 1 343.343 -0.465 20 0 EBADMM C[C@H](NC(=O)c1nnn(C)n1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000959128911 722688868 /nfs/dbraw/zinc/68/88/68/722688868.db2.gz FSHQFUZPRKQGMG-UWVGGRQHSA-N -1 1 345.363 -0.409 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)c2nnn(C)n2)C1 ZINC000959233609 722701620 /nfs/dbraw/zinc/70/16/20/722701620.db2.gz LUUPTXZSEDPYQZ-NXEZZACHSA-N -1 1 345.363 -0.409 20 0 EBADMM O=C(c1ncccc1[O-])N1CC2(C1)CCN(C(=O)[C@H]1CNC(=O)N1)C2 ZINC001018962166 728756685 /nfs/dbraw/zinc/75/66/85/728756685.db2.gz IJUNMPLQBPXVJG-SNVBAGLBSA-N -1 1 345.359 -0.857 20 0 EBADMM C[C@@H]1CN(C(=O)Cn2nccn2)C[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000959489711 722730018 /nfs/dbraw/zinc/73/00/18/722730018.db2.gz VTNGGQPRPXVMNS-NEPJUHHUSA-N -1 1 344.375 -0.097 20 0 EBADMM C[C@H]1CN(C(=O)Cc2nnc[nH]2)C[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000959604656 722750060 /nfs/dbraw/zinc/75/00/60/722750060.db2.gz JGRKTFDJVLUPDV-QWRGUYRKSA-N -1 1 344.375 -0.028 20 0 EBADMM CC(=O)N1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)c1cc(=O)n3[n-]cnc3n1)C2 ZINC000959739823 722758772 /nfs/dbraw/zinc/75/87/72/722758772.db2.gz RNVXTPISNGBRIH-ZSBIGDGJSA-N -1 1 344.375 -0.063 20 0 EBADMM Cc1cn(C)nc1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000959882194 722770650 /nfs/dbraw/zinc/77/06/50/722770650.db2.gz VEEIBXMTZVLCIH-IWIIMEHWSA-N -1 1 331.380 -0.989 20 0 EBADMM O=C([C@@H]1COCCO1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959890968 722771544 /nfs/dbraw/zinc/77/15/44/722771544.db2.gz OCTBUCNYRICBCL-QWRGUYRKSA-N -1 1 325.369 -0.604 20 0 EBADMM O=C(C[C@@H]1COC(=O)C1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959957993 722777776 /nfs/dbraw/zinc/77/77/76/722777776.db2.gz QTHLEVLQMPIRKR-QWRGUYRKSA-N -1 1 337.380 -0.066 20 0 EBADMM Cn1ccc(=O)c(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)c1 ZINC000960053544 722785792 /nfs/dbraw/zinc/78/57/92/722785792.db2.gz FWEFAQZABHWRGB-NSHDSACASA-N -1 1 346.391 -0.007 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)[nH]1 ZINC000960131204 722797365 /nfs/dbraw/zinc/79/73/65/722797365.db2.gz QRGQQWGXKUPFAB-VIFPVBQESA-N -1 1 347.379 -0.278 20 0 EBADMM CCC[N@H+]1CCC[C@H]1C(=O)N[C@@H]1[C@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC000960175895 722803611 /nfs/dbraw/zinc/80/36/11/722803611.db2.gz QRFQHQNGFDGLIV-KZVDOYCCSA-N -1 1 334.424 -0.069 20 0 EBADMM O=C(c1cn[nH]n1)N1C[C@H]2OCCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC000960278828 722813598 /nfs/dbraw/zinc/81/35/98/722813598.db2.gz DMWSKTZWQGMCFS-CMPLNLGQSA-N -1 1 344.331 -0.729 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]21)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC000960370722 722823586 /nfs/dbraw/zinc/82/35/86/722823586.db2.gz DPLNIZOUWOXKRI-LGMRYKSHSA-N -1 1 329.364 -0.417 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)c1cnccn1 ZINC000960383367 722824359 /nfs/dbraw/zinc/82/43/59/722824359.db2.gz JVOZMHJYTCDFRX-LLVKDONJSA-N -1 1 331.380 -0.365 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)c1ccc(=O)[nH]n1 ZINC000960398040 722825423 /nfs/dbraw/zinc/82/54/23/722825423.db2.gz CNYSPMLQBGDRBG-JTQLQIEISA-N -1 1 347.379 -0.659 20 0 EBADMM Cc1c(C(=O)N2CCC[C@H]2CN(C)Cc2nc(=O)n(C)[n-]2)cnn1C ZINC000960437049 722829391 /nfs/dbraw/zinc/82/93/91/722829391.db2.gz VZHFLZLQDDXVST-LBPRGKRZSA-N -1 1 347.423 -0.113 20 0 EBADMM CCc1cccnc1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000960690757 722853647 /nfs/dbraw/zinc/85/36/47/722853647.db2.gz KHMXCHKRPNHNHZ-JYAVWHMHSA-N -1 1 342.403 -0.074 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N1CCC[C@@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC000960695780 722853999 /nfs/dbraw/zinc/85/39/99/722853999.db2.gz PIEBTFYSXJGOKX-SNVBAGLBSA-N -1 1 349.395 -0.418 20 0 EBADMM CCCc1n[nH]cc1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000960707961 722855393 /nfs/dbraw/zinc/85/53/93/722855393.db2.gz WUUNTMVHJKWXRT-YABSGUDNSA-N -1 1 345.407 -0.356 20 0 EBADMM COc1cc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)ccn1 ZINC000960728999 722858118 /nfs/dbraw/zinc/85/81/18/722858118.db2.gz LIYNDFURFSEKNV-YABSGUDNSA-N -1 1 344.375 -0.628 20 0 EBADMM CC(C)c1n[nH]cc1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000960746629 722860101 /nfs/dbraw/zinc/86/01/01/722860101.db2.gz YSWHXAYOUUHWAU-YABSGUDNSA-N -1 1 345.407 -0.185 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@H]2OCCN(C(=O)c3cnon3)[C@H]2C1 ZINC000960825629 722869330 /nfs/dbraw/zinc/86/93/30/722869330.db2.gz JOTUZJUQORCPOB-CMPLNLGQSA-N -1 1 345.315 -0.464 20 0 EBADMM C[C@@H]1CCO[C@@H]1C(=O)N1CCC[C@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC000960875766 722875930 /nfs/dbraw/zinc/87/59/30/722875930.db2.gz ULXSCYDUNTXAIK-DYEKYZERSA-N -1 1 337.424 -0.044 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@@H]2CN(C(=O)[C@@H]3COCCO3)C[C@@H]2C1 ZINC000961063496 722892977 /nfs/dbraw/zinc/89/29/77/722892977.db2.gz IEXHIPKIILPRFG-SCRDCRAPSA-N -1 1 347.371 -0.267 20 0 EBADMM CC[C@@H]1OCC[C@H]1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000961306952 722928054 /nfs/dbraw/zinc/92/80/54/722928054.db2.gz AKZNRDPHOUGFNI-JLDUMIBSSA-N -1 1 335.408 -0.530 20 0 EBADMM Cc1ncc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)n1C ZINC000961591919 723042005 /nfs/dbraw/zinc/04/20/05/723042005.db2.gz UTQTZIFELMZVKA-IWIIMEHWSA-N -1 1 331.380 -0.989 20 0 EBADMM Cc1n[nH]c(C(=O)NC2CC(C[NH2+]Cc3nc(=O)n(C)[n-]3)C2)c1[O-] ZINC000961721339 723063112 /nfs/dbraw/zinc/06/31/12/723063112.db2.gz SNAVKCICPBDLGN-UHFFFAOYSA-N -1 1 335.368 -0.856 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)nc1=O ZINC000961841183 723097348 /nfs/dbraw/zinc/09/73/48/723097348.db2.gz ONHBYZWOGQTWBK-DSDMKHSGSA-N -1 1 333.392 -0.778 20 0 EBADMM CCn1cc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)cn1 ZINC000961944748 723127723 /nfs/dbraw/zinc/12/77/23/723127723.db2.gz FQKCEUPULCAKTF-YPMHNXCESA-N -1 1 345.359 -0.381 20 0 EBADMM CCc1ocnc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000961983832 723139432 /nfs/dbraw/zinc/13/94/32/723139432.db2.gz WGXGKTTZDVDLJP-KOLCDFICSA-N -1 1 346.343 -0.047 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)NC1[C@H]2CN(C/C=C\Cl)C[C@@H]12 ZINC000962069179 723164604 /nfs/dbraw/zinc/16/46/04/723164604.db2.gz UVBQEWMSGPIBNC-RNTBUYPDSA-N -1 1 348.794 -0.130 20 0 EBADMM CNC(=O)[C@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC000962278442 723214105 /nfs/dbraw/zinc/21/41/05/723214105.db2.gz GVCMBNVTVZIZAR-APOZVJGGSA-N -1 1 345.359 -0.277 20 0 EBADMM O=C(C[C@@H]1COC(=O)C1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000962284871 723216016 /nfs/dbraw/zinc/21/60/16/723216016.db2.gz RTDOAGHNQSGNAX-UMNHJUIQSA-N -1 1 349.343 -0.958 20 0 EBADMM CCn1ncc(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1 ZINC000962433922 723251380 /nfs/dbraw/zinc/25/13/80/723251380.db2.gz ANVWJWJSMYXBNX-PWSUYJOCSA-N -1 1 346.347 -0.986 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2cnccn2)C[C@@H]1O)c1ncccc1[O-] ZINC000962435685 723252460 /nfs/dbraw/zinc/25/24/60/723252460.db2.gz RJEQHVZZFNKGDY-PWSUYJOCSA-N -1 1 329.316 -0.808 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2cncc(F)c2)C[C@@H]1O)c1ncccc1[O-] ZINC000962435816 723252498 /nfs/dbraw/zinc/25/24/98/723252498.db2.gz UYFWPGVIJWWTOA-YPMHNXCESA-N -1 1 346.318 -0.063 20 0 EBADMM CC1(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)CCOCC1 ZINC000962435315 723252548 /nfs/dbraw/zinc/25/25/48/723252548.db2.gz PGEWCERRLNTHDY-YPMHNXCESA-N -1 1 349.387 -0.095 20 0 EBADMM Cc1ccn(CC(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1 ZINC000962436049 723252904 /nfs/dbraw/zinc/25/29/04/723252904.db2.gz ALFBUSQWDBGFIP-YPMHNXCESA-N -1 1 345.359 -0.706 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)[C@@]2(F)CCOC2)C[C@@H]1O)c1ncccc1[O-] ZINC000962436203 723253228 /nfs/dbraw/zinc/25/32/28/723253228.db2.gz CQBBVGONULBRPZ-BPYAMOTFSA-N -1 1 339.323 -0.783 20 0 EBADMM O=C(Cc1ccc[nH]1)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962444119 723254774 /nfs/dbraw/zinc/25/47/74/723254774.db2.gz MIORUFVZANDQMB-YPMHNXCESA-N -1 1 330.344 -0.341 20 0 EBADMM O=C(CCc1ccon1)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962445155 723255199 /nfs/dbraw/zinc/25/51/99/723255199.db2.gz SRQPSRWGOZXVHH-YPMHNXCESA-N -1 1 346.343 -0.291 20 0 EBADMM Cn1nc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)cc1Cl ZINC001019121429 728804104 /nfs/dbraw/zinc/80/41/04/728804104.db2.gz UTIDKFYXMZXUPA-ZETCQYMHSA-N -1 1 325.760 -0.099 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)[C@@H]1CCCOCC1 ZINC000962580168 723288724 /nfs/dbraw/zinc/28/87/24/723288724.db2.gz YSNNNMFYAPTFMS-BZPMIXESSA-N -1 1 349.387 -0.095 20 0 EBADMM COc1coc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC001019148972 728809130 /nfs/dbraw/zinc/80/91/30/728809130.db2.gz KXDBKMFSVPHMCL-QMMMGPOBSA-N -1 1 335.320 -0.524 20 0 EBADMM NC(=O)CC(=O)N1CC[C@H]2[C@@H]1CCCN2C(=O)c1ncccc1[O-] ZINC000962944680 723356348 /nfs/dbraw/zinc/35/63/48/723356348.db2.gz LCTWJZCAVDFVRK-QWRGUYRKSA-N -1 1 332.360 -0.132 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)C1CCOCC1 ZINC000963136196 723383645 /nfs/dbraw/zinc/38/36/45/723383645.db2.gz IQLWUOPKIGIOPO-PBOSXPJTSA-N -1 1 349.435 -0.283 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)c1cnn(C)c1 ZINC000963193439 723391385 /nfs/dbraw/zinc/39/13/85/723391385.db2.gz ODHJMZRYVBZIEO-WUWHUORYSA-N -1 1 345.407 -0.808 20 0 EBADMM NC(=O)CC(=O)N1CCC2(C[C@@H]2NC(=O)c2ncccc2[O-])CC1 ZINC000963686474 723465893 /nfs/dbraw/zinc/46/58/93/723465893.db2.gz YKWNYXYHFTYUGY-NSHDSACASA-N -1 1 332.360 -0.227 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1cncnc1)c1ncccc1[O-] ZINC000964154689 723840900 /nfs/dbraw/zinc/84/09/00/723840900.db2.gz LJZJGWGYXACBOA-GFCCVEGCSA-N -1 1 343.343 -0.152 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1ccnnc1)c1ncccc1[O-] ZINC000964155900 723841734 /nfs/dbraw/zinc/84/17/34/723841734.db2.gz SQDZJZPQUCPKIG-GFCCVEGCSA-N -1 1 343.343 -0.152 20 0 EBADMM O=C(Cc1ncc[nH]1)N1CCOC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000964157249 723843024 /nfs/dbraw/zinc/84/30/24/723843024.db2.gz ZOYHLDKNPIZCED-NSHDSACASA-N -1 1 345.359 -0.290 20 0 EBADMM Cn1cnc(C(=O)NC[C@@H]2COCCN2C(=O)c2ncccc2[O-])n1 ZINC000964187586 723868540 /nfs/dbraw/zinc/86/85/40/723868540.db2.gz MEUCNXLDMYVASV-SNVBAGLBSA-N -1 1 346.347 -0.813 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C1=COCCO1 ZINC000964711674 724050104 /nfs/dbraw/zinc/05/01/04/724050104.db2.gz KCEZKZVMKOGEOJ-GHMZBOCLSA-N -1 1 337.380 -0.677 20 0 EBADMM O=C(N[C@@H]1CCCN(C(=O)c2ncccc2[O-])CC1)[C@H]1CNC(=O)N1 ZINC000965137726 724131296 /nfs/dbraw/zinc/13/12/96/724131296.db2.gz BUUTUBKTQLVXNG-GHMZBOCLSA-N -1 1 347.375 -0.421 20 0 EBADMM O=C(N[C@H]1COC2(CN(C(=O)c3cn[nH]c3)C2)C1)c1ncccc1[O-] ZINC000965682805 724363745 /nfs/dbraw/zinc/36/37/45/724363745.db2.gz MFKDKBFBVJBBRA-LLVKDONJSA-N -1 1 343.343 -0.076 20 0 EBADMM C[C@H]1CCN(CCn2cncn2)C[C@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC000967256268 724856997 /nfs/dbraw/zinc/85/69/97/724856997.db2.gz KCOVIQYXYUEVFJ-VHSXEESVSA-N -1 1 348.367 -0.985 20 0 EBADMM Cc1nc(CN2CC[C@@H](C)[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC000967258793 724857548 /nfs/dbraw/zinc/85/75/48/724857548.db2.gz RATBDFZLZMPOTK-VXNVDRBHSA-N -1 1 348.367 -0.650 20 0 EBADMM Cc1nc(CN2CC[C@@H](C)[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC000967258788 724857558 /nfs/dbraw/zinc/85/75/58/724857558.db2.gz RATBDFZLZMPOTK-APPZFPTMSA-N -1 1 348.367 -0.650 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)C2CN(C(C)=O)C2)C1 ZINC000967902308 724927939 /nfs/dbraw/zinc/92/79/39/724927939.db2.gz YKVHQCIJOXFFPE-UHFFFAOYSA-N -1 1 346.387 -0.062 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CCC(=O)N2)C1 ZINC000967902553 724927965 /nfs/dbraw/zinc/92/79/65/724927965.db2.gz ZLBKQRAFGJHIJE-LLVKDONJSA-N -1 1 332.360 -0.261 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)Cc2nnc[nH]2)C1 ZINC000967909717 724929668 /nfs/dbraw/zinc/92/96/68/724929668.db2.gz ZJBWUQVGXXKJCF-UHFFFAOYSA-N -1 1 330.348 -0.179 20 0 EBADMM CCN(C(=O)c1ncn(C)n1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000967928391 724933294 /nfs/dbraw/zinc/93/32/94/724933294.db2.gz CZTUYVOPEQDXEZ-UHFFFAOYSA-N -1 1 330.348 -0.098 20 0 EBADMM CCN(C(=O)[C@H]1CN(C)C(=O)N1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000968212749 724981206 /nfs/dbraw/zinc/98/12/06/724981206.db2.gz JQQJNABXDRPPGO-LLVKDONJSA-N -1 1 347.375 -0.516 20 0 EBADMM Cc1ccn(CC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)n1 ZINC000968339809 725052738 /nfs/dbraw/zinc/05/27/38/725052738.db2.gz KCUYURVZRQNPPY-WCQYABFASA-N -1 1 347.423 -0.360 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ccncn1 ZINC000968436951 725063962 /nfs/dbraw/zinc/06/39/62/725063962.db2.gz YWCYIBQQJAPVOQ-ZYHUDNBSSA-N -1 1 331.380 -0.461 20 0 EBADMM O=C(Cc1nnc[nH]1)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000968886795 725125644 /nfs/dbraw/zinc/12/56/44/725125644.db2.gz ZVQYZCPTNZHGJO-PHIMTYICSA-N -1 1 342.359 -0.037 20 0 EBADMM O=C(c1cnc2n1CCOC2)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000968979105 725137632 /nfs/dbraw/zinc/13/76/32/725137632.db2.gz GBSDYFDTPVDYFX-SECBINFHSA-N -1 1 333.352 -0.759 20 0 EBADMM Cc1cnc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC000969244177 725165018 /nfs/dbraw/zinc/16/50/18/725165018.db2.gz TUFAQCGQXPFQEC-JTQLQIEISA-N -1 1 331.380 -0.543 20 0 EBADMM Cc1occc(=O)c1OCC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969283884 725168214 /nfs/dbraw/zinc/16/82/14/725168214.db2.gz KZCCNNFJNBBIPF-SNVBAGLBSA-N -1 1 349.347 -0.459 20 0 EBADMM CCc1cc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC000969325105 725170712 /nfs/dbraw/zinc/17/07/12/725170712.db2.gz YOJBKXAOWMYVIS-SECBINFHSA-N -1 1 333.396 -0.356 20 0 EBADMM Cc1cc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC000969365541 725174603 /nfs/dbraw/zinc/17/46/03/725174603.db2.gz LCGXTOWLZFUPRW-JTQLQIEISA-N -1 1 333.396 -0.599 20 0 EBADMM C[C@@H](NC(=O)c1ccc(F)nc1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969391606 725175894 /nfs/dbraw/zinc/17/58/94/725175894.db2.gz MAIISVODLROXKI-SECBINFHSA-N -1 1 334.355 -0.107 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H](C)C2CN(CCN3CCNC3=O)C2)c1[O-] ZINC000969500190 725185610 /nfs/dbraw/zinc/18/56/10/725185610.db2.gz JDEDOOUTXHSBHT-SECBINFHSA-N -1 1 336.396 -0.501 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN([C@@H]2CCC(=O)NC2=O)C1 ZINC000969542190 725191229 /nfs/dbraw/zinc/19/12/29/725191229.db2.gz FNHXJPIVGPXBLZ-GXSJLCMTSA-N -1 1 332.360 -0.358 20 0 EBADMM C[C@H](NC(=O)C1CCC(O)CC1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969571160 725193618 /nfs/dbraw/zinc/19/36/18/725193618.db2.gz WULPOOKDGBOUPF-ZBOXLXRLSA-N -1 1 337.424 -0.404 20 0 EBADMM C[C@@H](NC(=O)[C@H]1CCCOCC1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969585246 725194868 /nfs/dbraw/zinc/19/48/68/725194868.db2.gz RWEMZUNKHBJBGX-NEPJUHHUSA-N -1 1 337.424 -0.138 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)[C@@]1(F)CCOC1 ZINC000969950586 725231483 /nfs/dbraw/zinc/23/14/83/725231483.db2.gz WLANTKOFCVLBAF-BPYAMOTFSA-N -1 1 339.323 -0.783 20 0 EBADMM Cn1cc(C(C)(C)C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC000970376241 725285491 /nfs/dbraw/zinc/28/54/91/725285491.db2.gz XIVBFQLMYJVDSM-LLVKDONJSA-N -1 1 333.396 -0.088 20 0 EBADMM Cc1ncc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c(C)n1 ZINC000970603280 725304319 /nfs/dbraw/zinc/30/43/19/725304319.db2.gz XZWOFZWVOJFZRF-VIFPVBQESA-N -1 1 345.407 -0.234 20 0 EBADMM Cc1ccnc2nc(C(=O)N3CC[C@@H](NCc4n[nH]c(=O)[n-]4)C3)nn21 ZINC000970633812 725307752 /nfs/dbraw/zinc/30/77/52/725307752.db2.gz ZPRISFONNMWRJJ-SECBINFHSA-N -1 1 343.351 -0.739 20 0 EBADMM CCCn1nc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)ccc1=O ZINC000970688005 725317289 /nfs/dbraw/zinc/31/72/89/725317289.db2.gz VTFBMLFAQLFPGI-SNVBAGLBSA-N -1 1 347.379 -0.519 20 0 EBADMM COc1c(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC000970810395 725330939 /nfs/dbraw/zinc/33/09/39/725330939.db2.gz NQTNRBFDKTZOCN-SECBINFHSA-N -1 1 349.395 -0.899 20 0 EBADMM CN(C)c1ccc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)nc1 ZINC000970827507 725332511 /nfs/dbraw/zinc/33/25/11/725332511.db2.gz WIKDSZWTUQURLN-SNVBAGLBSA-N -1 1 331.380 -0.024 20 0 EBADMM C[C@H](NC(=O)c1ccc(=O)[nH]c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970834443 725332913 /nfs/dbraw/zinc/33/29/13/725332913.db2.gz ADDRQVNTCPOJHW-VIFPVBQESA-N -1 1 332.364 -0.541 20 0 EBADMM CCc1cc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC000970980208 725347002 /nfs/dbraw/zinc/34/70/02/725347002.db2.gz AMKLSPMOJLSWDJ-JTQLQIEISA-N -1 1 347.423 -0.345 20 0 EBADMM CCn1nc(C)c(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000970983911 725347026 /nfs/dbraw/zinc/34/70/26/725347026.db2.gz ASNUDHMISHMIJK-VIFPVBQESA-N -1 1 348.411 -0.721 20 0 EBADMM Cc1nn(C)cc1C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000971221608 725354734 /nfs/dbraw/zinc/35/47/34/725354734.db2.gz PINQWWMDUXWODQ-LLVKDONJSA-N -1 1 333.396 -0.503 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)[C@@H]2CCCOCC2)C1 ZINC000971433929 725365719 /nfs/dbraw/zinc/36/57/19/725365719.db2.gz VVWLVAUUDPQISJ-CHWSQXEVSA-N -1 1 337.424 -0.042 20 0 EBADMM CCn1nncc1C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000971495888 725369423 /nfs/dbraw/zinc/36/94/23/725369423.db2.gz IFZDAXHOPIDQQP-SNVBAGLBSA-N -1 1 334.384 -0.934 20 0 EBADMM CCn1ncc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000971497888 725369465 /nfs/dbraw/zinc/36/94/65/725369465.db2.gz VOTHSVQNZYRQSZ-JTQLQIEISA-N -1 1 334.384 -0.934 20 0 EBADMM Cc1cc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)c(C)nn1 ZINC000971598809 725424037 /nfs/dbraw/zinc/42/40/37/725424037.db2.gz FBTSMIAJQCQKJR-LBPRGKRZSA-N -1 1 345.407 -0.138 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)Cc2ccc(F)cn2)C1 ZINC000971811024 725438741 /nfs/dbraw/zinc/43/87/41/725438741.db2.gz NZWZRWLLJSLRON-ZDUSSCGKSA-N -1 1 348.382 -0.082 20 0 EBADMM O=C(Cn1cnnn1)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000971895835 725446687 /nfs/dbraw/zinc/44/66/87/725446687.db2.gz MRIUEHALCWLLON-GHMZBOCLSA-N -1 1 343.347 -0.853 20 0 EBADMM NC(=O)C1(C(=O)N2CC[C@@H]3CN(C(=O)c4ncccc4[O-])[C@@H]3C2)CC1 ZINC000971900601 725447038 /nfs/dbraw/zinc/44/70/38/725447038.db2.gz YRYSZTIWQOMCSA-GHMZBOCLSA-N -1 1 344.371 -0.274 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@H]2CCN(C(=O)[C@H]3CCNC3=O)C[C@H]21 ZINC000971902594 725447348 /nfs/dbraw/zinc/44/73/48/725447348.db2.gz QXZXECORAHQBFK-GRYCIOLGSA-N -1 1 344.371 -0.404 20 0 EBADMM COc1cc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC000971915351 725448068 /nfs/dbraw/zinc/44/80/68/725448068.db2.gz VORDEJOSUABPLZ-JTQLQIEISA-N -1 1 349.395 -0.803 20 0 EBADMM Cc1nn(C)c(C)c1C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000972039621 725458011 /nfs/dbraw/zinc/45/80/11/725458011.db2.gz KREAXXSPGPCKDE-LBPRGKRZSA-N -1 1 347.423 -0.195 20 0 EBADMM Cc1cnn(C)c1C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000972125153 725470151 /nfs/dbraw/zinc/47/01/51/725470151.db2.gz PKJGTZBMCUSJRH-NSHDSACASA-N -1 1 333.396 -0.503 20 0 EBADMM Cn1[n-]c(CN2CCO[C@]3(CCN(C(=O)C(C)(C)F)C3)C2)nc1=O ZINC000972219308 725480370 /nfs/dbraw/zinc/48/03/70/725480370.db2.gz VRRRSQAVMJELFG-OAHLLOKOSA-N -1 1 341.387 -0.340 20 0 EBADMM CO[C@H](C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1)C(C)C ZINC000972240938 725482899 /nfs/dbraw/zinc/48/28/99/725482899.db2.gz HLWYMCOQECOKJB-AAEUAGOBSA-N -1 1 325.413 -0.188 20 0 EBADMM Cn1[n-]c(CN2CCO[C@]3(CCN(C(=O)[C@@H]4CC4(C)C)C3)C2)nc1=O ZINC000972342150 725501191 /nfs/dbraw/zinc/50/11/91/725501191.db2.gz JXBLZHYKPVJCQL-YVEFUNNKSA-N -1 1 349.435 -0.042 20 0 EBADMM Cc1nc(C)c(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)[nH]1 ZINC000972362402 725507109 /nfs/dbraw/zinc/50/71/09/725507109.db2.gz NCUVYPNYCSHZQT-NSHDSACASA-N -1 1 333.396 -0.205 20 0 EBADMM O=C(Cn1cncn1)N1CC(NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000991649956 725512713 /nfs/dbraw/zinc/51/27/13/725512713.db2.gz STEWHQFZRVDUQZ-UHFFFAOYSA-N -1 1 343.347 -0.708 20 0 EBADMM Cc1ccc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)c(=O)[nH]1 ZINC000972420732 725519764 /nfs/dbraw/zinc/51/97/64/725519764.db2.gz CYCBXHDFVCWLNX-LLVKDONJSA-N -1 1 346.391 -0.136 20 0 EBADMM Cc1nn(C)cc1CC(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051654948 735296035 /nfs/dbraw/zinc/29/60/35/735296035.db2.gz BATRPMTZPFPKRZ-GFCCVEGCSA-N -1 1 349.395 -0.888 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1ncccn1 ZINC000991719985 725573204 /nfs/dbraw/zinc/57/32/04/725573204.db2.gz QEAXUXYVLLKIHP-PWSUYJOCSA-N -1 1 343.343 -0.417 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)CCc2cnccn2)C1 ZINC000972673777 725576298 /nfs/dbraw/zinc/57/62/98/725576298.db2.gz PMOSKXYWAKSPPQ-ZDUSSCGKSA-N -1 1 345.407 -0.436 20 0 EBADMM CN([C@@H]1CCN(C(=O)c2ccc3oc(=O)nc-3[n-]2)C1)[C@H]1CCNC1=O ZINC000972722401 725588045 /nfs/dbraw/zinc/58/80/45/725588045.db2.gz WJNBMNZQFORKRN-KOLCDFICSA-N -1 1 345.359 -0.037 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)Cn3cccn3)C(C)(C)C2)nc1=O ZINC000972807722 725595249 /nfs/dbraw/zinc/59/52/49/725595249.db2.gz GEJVHOHNXJZUDW-LLVKDONJSA-N -1 1 333.396 -0.668 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)n(C)n1 ZINC000972842281 725597655 /nfs/dbraw/zinc/59/76/55/725597655.db2.gz XGPJRHCTEJVKNB-LBPRGKRZSA-N -1 1 347.423 -0.209 20 0 EBADMM O=C(CN1CCCC1)NC1CN(C(=O)c2c[n-]n3c2nccc3=O)C1 ZINC000991746274 725599700 /nfs/dbraw/zinc/59/97/00/725599700.db2.gz HPNLHSGTYHXKOV-UHFFFAOYSA-N -1 1 344.375 -0.941 20 0 EBADMM CN1C[C@@H](C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)NC1=O ZINC000973197299 725666981 /nfs/dbraw/zinc/66/69/81/725666981.db2.gz BMQUWMBYBLGLKZ-GUBZILKMSA-N -1 1 333.348 -0.812 20 0 EBADMM O=C(C[C@H]1CC(=O)NC1=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973197278 725667048 /nfs/dbraw/zinc/66/70/48/725667048.db2.gz AVFCPDUKEIEMRQ-GUBZILKMSA-N -1 1 346.343 -0.783 20 0 EBADMM Cn1ncc(C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)c1N ZINC000973196873 725667135 /nfs/dbraw/zinc/66/71/35/725667135.db2.gz STDUNNKYMVWFOP-KYZUINATSA-N -1 1 330.348 -0.206 20 0 EBADMM O=C(N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)c1cn[nH]c(=O)c1 ZINC000973197734 725667457 /nfs/dbraw/zinc/66/74/57/725667457.db2.gz PFMLQFQANKLGJU-MGCOHNPYSA-N -1 1 329.316 -0.026 20 0 EBADMM NC(=O)N1CCC[C@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973198590 725667726 /nfs/dbraw/zinc/66/77/26/725667726.db2.gz FUOVONVQRGRKEU-DCAQKATOSA-N -1 1 347.375 -0.293 20 0 EBADMM CCc1nn(C)cc1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051668498 735308993 /nfs/dbraw/zinc/30/89/93/735308993.db2.gz FPTQURMNDALBHF-JTQLQIEISA-N -1 1 349.395 -0.563 20 0 EBADMM O=C(NC1CC(CNC(=O)[C@@H]2CCNC(=O)C2)C1)c1ncccc1[O-] ZINC000992020437 725702798 /nfs/dbraw/zinc/70/27/98/725702798.db2.gz MJRAWOBSWCOIPC-MOENNCHZSA-N -1 1 346.387 -0.062 20 0 EBADMM Cc1nc(CC(=O)NC2CC(CNC(=O)c3[nH]nc(C)c3[O-])C2)n[nH]1 ZINC000992030857 725704934 /nfs/dbraw/zinc/70/49/34/725704934.db2.gz OIBRYBLIEIXGML-UHFFFAOYSA-N -1 1 347.379 -0.282 20 0 EBADMM O=C(NC1CC(CNC(=O)[C@H]2COC(=O)N2)C1)c1ncccc1[O-] ZINC000992079275 725717916 /nfs/dbraw/zinc/71/79/16/725717916.db2.gz FTANXYQGNXDBHZ-UDNWOFFPSA-N -1 1 334.332 -0.480 20 0 EBADMM Cn1cc(C(=O)NCC2CC(NC(=O)c3ncccc3[O-])C2)nn1 ZINC000992090545 725722378 /nfs/dbraw/zinc/72/23/78/725722378.db2.gz YSICNHWDEPEIDB-UHFFFAOYSA-N -1 1 330.348 -0.146 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)Cn4cncn4)CCC[C@@H]23)nc1=O ZINC000992475660 725801894 /nfs/dbraw/zinc/80/18/94/725801894.db2.gz OUZBXERITRJCHW-IAQYHMDHSA-N -1 1 346.395 -0.987 20 0 EBADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCCS1(=O)=O ZINC000992543965 725809233 /nfs/dbraw/zinc/80/92/33/725809233.db2.gz SKOPBMQIIVXJHR-NSHDSACASA-N -1 1 339.373 -0.695 20 0 EBADMM CCN1CCO[C@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000992596895 725815496 /nfs/dbraw/zinc/81/54/96/725815496.db2.gz CZOBCIJZLRADKJ-ZDUSSCGKSA-N -1 1 334.376 -0.552 20 0 EBADMM COC(=O)[C@H]1C[C@@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000973951645 725831809 /nfs/dbraw/zinc/83/18/09/725831809.db2.gz LADYJXLMYPKPAP-VLEAKVRGSA-N -1 1 333.344 -0.027 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2cnns2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993091469 725854521 /nfs/dbraw/zinc/85/45/21/725854521.db2.gz KNGNOWXNDHAXMN-RKDXNWHRSA-N -1 1 337.409 -0.257 20 0 EBADMM Cn1c(C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)c[nH]c1=O ZINC000974054750 725858304 /nfs/dbraw/zinc/85/83/04/725858304.db2.gz LZFXPGDXVAXBCT-DTORHVGOSA-N -1 1 331.332 -0.083 20 0 EBADMM CN1C(=O)CC[C@@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974054966 725858575 /nfs/dbraw/zinc/85/85/75/725858575.db2.gz VZALSYKSOFQKHI-OUAUKWLOSA-N -1 1 332.360 -0.215 20 0 EBADMM C[C@]1(C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)CCNC1=O ZINC000974057304 725859467 /nfs/dbraw/zinc/85/94/67/725859467.db2.gz OFVWFBWRMXLVSF-IEVXNVSRSA-N -1 1 332.360 -0.310 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2cc[nH]c(=O)c2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993217009 725863728 /nfs/dbraw/zinc/86/37/28/725863728.db2.gz QTSSZWBUICEZQJ-ZYHUDNBSSA-N -1 1 346.391 -0.008 20 0 EBADMM C[C@H]1[C@H](NC(=O)Cc2ccnn2C)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993261821 725866500 /nfs/dbraw/zinc/86/65/00/725866500.db2.gz SEYRWFNSRQWVAS-WCQYABFASA-N -1 1 347.423 -0.446 20 0 EBADMM Cc1c[nH]nc1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC000993278246 725868650 /nfs/dbraw/zinc/86/86/50/725868650.db2.gz HHWPSTIRNADXHX-MNOVXSKESA-N -1 1 333.396 -0.077 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncn(C)n2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993300031 725870338 /nfs/dbraw/zinc/87/03/38/725870338.db2.gz ODLUKQUAWBUNPQ-UWVGGRQHSA-N -1 1 334.384 -0.980 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2cncn2C)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993536046 725900100 /nfs/dbraw/zinc/90/01/00/725900100.db2.gz KTONJVYYPJQFPY-WDEREUQCSA-N -1 1 333.396 -0.375 20 0 EBADMM O=C(N[C@H]1C[C@@H](NC(=O)[C@@H]2CC23CC3)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000974324629 725945381 /nfs/dbraw/zinc/94/53/81/725945381.db2.gz MBLXKDSPRFAEJQ-AEJSXWLSSA-N -1 1 342.359 -0.405 20 0 EBADMM COCC[N@H+]1CCC[C@H](NC(=O)c2cc(=O)n3[nH]cnc3n2)[C@H]1C ZINC000994209405 725987963 /nfs/dbraw/zinc/98/79/63/725987963.db2.gz KCOPXZOUTUNMBA-MNOVXSKESA-N -1 1 334.380 -0.353 20 0 EBADMM COCC[N@H+]1CCC[C@H](NC(=O)c2cc(=O)n3[nH]cnc3n2)[C@@H]1C ZINC000994209407 725987976 /nfs/dbraw/zinc/98/79/76/725987976.db2.gz KCOPXZOUTUNMBA-QWRGUYRKSA-N -1 1 334.380 -0.353 20 0 EBADMM Cn1cc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)n(C)c1=O ZINC000994289309 725994475 /nfs/dbraw/zinc/99/44/75/725994475.db2.gz OYBLEQKBNGXISE-UHFFFAOYSA-N -1 1 331.332 -0.921 20 0 EBADMM NC(=O)c1cccc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)n1 ZINC000994323094 725995889 /nfs/dbraw/zinc/99/58/89/725995889.db2.gz BNSBUHRWTLMUFU-UHFFFAOYSA-N -1 1 341.327 -0.465 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)c3cncnc3)C(C)(C)C2)nc1=O ZINC000974475711 726028730 /nfs/dbraw/zinc/02/87/30/726028730.db2.gz FHWJRFBHNCZXPN-LLVKDONJSA-N -1 1 331.380 -0.461 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)[C@]34C[C@H]3COC4)C(C)(C)C2)nc1=O ZINC000974621346 726045570 /nfs/dbraw/zinc/04/55/70/726045570.db2.gz VNWOUFHXOXMQRA-MMPTUQATSA-N -1 1 335.408 -0.529 20 0 EBADMM C/C=C(/C)C(=O)N1CC(n2cc(CNC(=O)c3cnn[nH]3)nn2)C1 ZINC000994719235 726062432 /nfs/dbraw/zinc/06/24/32/726062432.db2.gz QAXYYBLSBGQBSD-OQFOIZHKSA-N -1 1 330.352 -0.324 20 0 EBADMM Cc1cc(C)n(CC(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001051705011 735339205 /nfs/dbraw/zinc/33/92/05/735339205.db2.gz WEHSTGFFNIKQMI-LBPRGKRZSA-N -1 1 349.395 -0.659 20 0 EBADMM Cn1cc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)[nH]c1=O ZINC000975012517 726094653 /nfs/dbraw/zinc/09/46/53/726094653.db2.gz NKTYKKNESAOAON-JTQLQIEISA-N -1 1 349.395 -0.812 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)c3ccnnc3)C(C)(C)C2)nc1=O ZINC000975096647 726099784 /nfs/dbraw/zinc/09/97/84/726099784.db2.gz NKYBZNOYXJPCMD-NSHDSACASA-N -1 1 331.380 -0.461 20 0 EBADMM Cn1cc(CNC[C@@H]2CC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)nn1 ZINC000995007006 726141649 /nfs/dbraw/zinc/14/16/49/726141649.db2.gz NYVNTCOOTLRFBX-RKDXNWHRSA-N -1 1 348.367 -0.900 20 0 EBADMM Cc1nonc1CNC[C@H]1CC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000995007805 726142112 /nfs/dbraw/zinc/14/21/12/726142112.db2.gz QBPZOZSGNNXDKC-DTWKUNHWSA-N -1 1 349.351 -0.337 20 0 EBADMM CCC(=O)N1CCC[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000975411073 726147579 /nfs/dbraw/zinc/14/75/79/726147579.db2.gz SBMURYSQTYWDIA-SNVBAGLBSA-N -1 1 332.364 -0.204 20 0 EBADMM O=C(Cc1ccc(=O)[nH]c1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051716120 735349209 /nfs/dbraw/zinc/34/92/09/735349209.db2.gz CGSGFQAYODHYCW-NSHDSACASA-N -1 1 348.363 -0.829 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(C)C ZINC000976188523 726219091 /nfs/dbraw/zinc/21/90/91/726219091.db2.gz KECWXYKOOYBOEW-BRPSZJMVSA-N -1 1 336.348 -0.261 20 0 EBADMM O=C([C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051722725 735352109 /nfs/dbraw/zinc/35/21/09/735352109.db2.gz SUJZERVSTIQNCS-SSLNLQFTSA-N -1 1 349.391 -0.749 20 0 EBADMM C[C@H]1CN(C(=O)C2CC2)C[C@@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001051721744 735352182 /nfs/dbraw/zinc/35/21/82/735352182.db2.gz VJCJQRHPXQCQGK-AAEUAGOBSA-N -1 1 348.403 -0.453 20 0 EBADMM C[C@@H]1CN(C(=O)C2CC2)C[C@@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001051721749 735352325 /nfs/dbraw/zinc/35/23/25/735352325.db2.gz VJCJQRHPXQCQGK-YPMHNXCESA-N -1 1 348.403 -0.453 20 0 EBADMM CN1CCO[C@@H](C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)C1 ZINC000995596385 726278286 /nfs/dbraw/zinc/27/82/86/726278286.db2.gz HCBFAFBZKNJDCS-GHMZBOCLSA-N -1 1 338.412 -0.833 20 0 EBADMM C[C@H](C(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)n1cncn1 ZINC000976378583 726282833 /nfs/dbraw/zinc/28/28/33/726282833.db2.gz KEKSVXVYRZVOHU-DCQANWLSSA-N -1 1 342.359 -0.174 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)c3c[nH]c(=O)cn3)C[C@H]21)c1ncccc1[O-] ZINC000976397671 726292353 /nfs/dbraw/zinc/29/23/53/726292353.db2.gz JUBSISTXKBVSRR-WOFXILAISA-N -1 1 341.327 -0.629 20 0 EBADMM O=C(Cn1nccn1)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976403697 726294185 /nfs/dbraw/zinc/29/41/85/726294185.db2.gz NWIZJZXYYWZMJU-IWIIMEHWSA-N -1 1 328.332 -0.735 20 0 EBADMM C[C@@]1(C(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ncccc2[O-])CCNC1=O ZINC000976404948 726294566 /nfs/dbraw/zinc/29/45/66/726294566.db2.gz RPBKJJMKVGCAER-YFEKEUHLSA-N -1 1 344.371 -0.500 20 0 EBADMM Cc1nnc(CC(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)[nH]1 ZINC000995816945 726303762 /nfs/dbraw/zinc/30/37/62/726303762.db2.gz UZUPCSNJMFKAIX-VIFPVBQESA-N -1 1 334.384 -0.494 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@@H]2[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)C1 ZINC000976431985 726304400 /nfs/dbraw/zinc/30/44/00/726304400.db2.gz WLCBJFGPFARGIM-IMRBUKKESA-N -1 1 344.371 -0.548 20 0 EBADMM Cn1cnc(C(=O)N[C@@H]2[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)n1 ZINC000976457962 726316657 /nfs/dbraw/zinc/31/66/57/726316657.db2.gz DZPIVATYRBQASK-JZYVYDRUSA-N -1 1 328.332 -0.584 20 0 EBADMM Cn1cc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)ccc1=O ZINC000996010090 726323574 /nfs/dbraw/zinc/32/35/74/726323574.db2.gz ALTZNSBZEBDXFO-NSHDSACASA-N -1 1 346.391 -0.151 20 0 EBADMM COc1nn(C)cc1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000996125616 726335945 /nfs/dbraw/zinc/33/59/45/726335945.db2.gz KQGDDOSZSLVRQY-SNVBAGLBSA-N -1 1 349.395 -0.107 20 0 EBADMM C[C@H]1C[C@@H]1CC(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996403891 726387778 /nfs/dbraw/zinc/38/77/78/726387778.db2.gz CIPBGYPXOVTSOZ-DTWKUNHWSA-N -1 1 330.348 -0.596 20 0 EBADMM C[C@@H]1C[C@H]1CC(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996403887 726388109 /nfs/dbraw/zinc/38/81/09/726388109.db2.gz CIPBGYPXOVTSOZ-BDAKNGLRSA-N -1 1 330.348 -0.596 20 0 EBADMM CO[C@H](C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1)C(C)C ZINC000996404481 726388265 /nfs/dbraw/zinc/38/82/65/726388265.db2.gz GAVMGYDYKNUIKB-LBPRGKRZSA-N -1 1 348.363 -0.971 20 0 EBADMM CC(C)[C@H]1C[C@H]1C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996404448 726388321 /nfs/dbraw/zinc/38/83/21/726388321.db2.gz FVVGUKRNZSMAAR-GHMZBOCLSA-N -1 1 344.375 -0.350 20 0 EBADMM O=C(NC1CN(C(=O)[C@H]2CC23CCC3)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996408274 726388971 /nfs/dbraw/zinc/38/89/71/726388971.db2.gz MKJNZYDGOCLEDI-SNVBAGLBSA-N -1 1 342.359 -0.452 20 0 EBADMM CCC(CC)C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996407880 726389043 /nfs/dbraw/zinc/38/90/43/726389043.db2.gz LOTHPONNEBPPQL-UHFFFAOYSA-N -1 1 332.364 -0.206 20 0 EBADMM CC(C)=C(F)C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996409046 726389172 /nfs/dbraw/zinc/38/91/72/726389172.db2.gz STFOCFIYMRQNEF-UHFFFAOYSA-N -1 1 334.311 -0.378 20 0 EBADMM CCC(C)(C)C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996408945 726389442 /nfs/dbraw/zinc/38/94/42/726389442.db2.gz QHUYQOCVPBLWDK-UHFFFAOYSA-N -1 1 332.364 -0.206 20 0 EBADMM CC1(C)CN(C(=O)C[C@@H]2CCC(=O)N2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996411422 726389899 /nfs/dbraw/zinc/38/98/99/726389899.db2.gz LTRXDEFIFQGIGE-VHSXEESVSA-N -1 1 336.396 -0.494 20 0 EBADMM Cc1nonc1CNC1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC000996762806 726425884 /nfs/dbraw/zinc/42/58/84/726425884.db2.gz OCHAORJVEAEBSD-UHFFFAOYSA-N -1 1 335.324 -0.631 20 0 EBADMM O=C(C[C@H]1CCCC(=O)N1)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000996796219 726427478 /nfs/dbraw/zinc/42/74/78/726427478.db2.gz JLCDQFWXZXLFPO-SNVBAGLBSA-N -1 1 332.360 -0.213 20 0 EBADMM CN1C(=O)CC[C@H]1CC(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000997365135 726448223 /nfs/dbraw/zinc/44/82/23/726448223.db2.gz RFKFYTCRLNOTDI-NSHDSACASA-N -1 1 332.360 -0.261 20 0 EBADMM Cn1nnc(CNCC2CCN(C(=O)c3ncccc3[O-])CC2)n1 ZINC000997714941 726461271 /nfs/dbraw/zinc/46/12/71/726461271.db2.gz CGKBMOLSVXLNJE-UHFFFAOYSA-N -1 1 331.380 -0.047 20 0 EBADMM CC(C)Cn1[n-]c(CC(=O)N2CC(NC(=O)c3ncn[nH]3)C2)cc1=O ZINC000997818880 726466151 /nfs/dbraw/zinc/46/61/51/726466151.db2.gz MKFBXMPLRJOUDF-UHFFFAOYSA-N -1 1 347.379 -0.866 20 0 EBADMM CC(C)Cn1[n-]c(CC(=O)N2CC(NC(=O)c3nc[nH]n3)C2)cc1=O ZINC000997818880 726466152 /nfs/dbraw/zinc/46/61/52/726466152.db2.gz MKFBXMPLRJOUDF-UHFFFAOYSA-N -1 1 347.379 -0.866 20 0 EBADMM C[C@@]1(C(=O)NC2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)C=CCC1 ZINC000997844639 726467231 /nfs/dbraw/zinc/46/72/31/726467231.db2.gz BDOHOOYTYQJPLC-MRXNPFEDSA-N -1 1 342.359 -0.286 20 0 EBADMM CC1(C)CC[C@H]1C(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000997922615 726469823 /nfs/dbraw/zinc/46/98/23/726469823.db2.gz LTRHWOPRYCXJBA-LBPRGKRZSA-N -1 1 348.403 -0.310 20 0 EBADMM CC(C)[C@H]1C[C@H]1C(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000998817225 726498999 /nfs/dbraw/zinc/49/89/99/726498999.db2.gz LOPZLGMTIMMOPF-CHWSQXEVSA-N -1 1 348.403 -0.454 20 0 EBADMM Cc1ncoc1CNC1CC(N(C)C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000999057607 726505966 /nfs/dbraw/zinc/50/59/66/726505966.db2.gz MMFPOOJVASFRRG-UHFFFAOYSA-N -1 1 334.336 -0.028 20 0 EBADMM CCn1ncnc1CNC1CC(N(C)C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000999060508 726506049 /nfs/dbraw/zinc/50/60/49/726506049.db2.gz KWFALZCTZGOUJF-UHFFFAOYSA-N -1 1 348.367 -0.713 20 0 EBADMM Cc1cccn(CC(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c1=O ZINC000999148568 726509023 /nfs/dbraw/zinc/50/90/23/726509023.db2.gz NVXRUSVDFCTGNU-LBPRGKRZSA-N -1 1 346.391 -0.239 20 0 EBADMM CC(C)(C)n1nnc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000999205296 726511179 /nfs/dbraw/zinc/51/11/79/726511179.db2.gz AMROJTAKUSNPCR-SECBINFHSA-N -1 1 349.399 -0.354 20 0 EBADMM O=C(c1ccc2n[nH]nc2n1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999311605 726513716 /nfs/dbraw/zinc/51/37/16/726513716.db2.gz USKSLXDNAYDCFF-QMMMGPOBSA-N -1 1 343.351 -0.429 20 0 EBADMM CC[C@@]1(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CCNC1=O ZINC000999404411 726518721 /nfs/dbraw/zinc/51/87/21/726518721.db2.gz ZZDCPBPTDDWFSO-ZUZCIYMTSA-N -1 1 336.396 -0.493 20 0 EBADMM COCCn1nccc1C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999461356 726520289 /nfs/dbraw/zinc/52/02/89/726520289.db2.gz HPXKLUJHQITUTG-NSHDSACASA-N -1 1 349.395 -0.252 20 0 EBADMM C[C@]1(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CCNC(=O)C1 ZINC000999504839 726521017 /nfs/dbraw/zinc/52/10/17/726521017.db2.gz MFCYWCUFSJMTLV-BONVTDFDSA-N -1 1 336.396 -0.493 20 0 EBADMM C[C@H](C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)S(C)(=O)=O ZINC000999516759 726521359 /nfs/dbraw/zinc/52/13/59/726521359.db2.gz SGFHODIBTYFKDG-BDAKNGLRSA-N -1 1 331.398 -0.976 20 0 EBADMM Cc1cnc(CN[C@@H]2CCCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)cn1 ZINC000999618926 726525046 /nfs/dbraw/zinc/52/50/46/726525046.db2.gz JNLFSUTVVMQQCV-SNVBAGLBSA-N -1 1 345.363 -0.224 20 0 EBADMM O=C([C@H]1CCS(=O)(=O)C1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999642219 726525707 /nfs/dbraw/zinc/52/57/07/726525707.db2.gz ZOHLUCPJTMAEDR-VHSXEESVSA-N -1 1 343.409 -0.974 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)o1 ZINC000999658394 726526296 /nfs/dbraw/zinc/52/62/96/726526296.db2.gz IMCOZCPMLAOBAL-MRVPVSSYSA-N -1 1 334.336 -0.403 20 0 EBADMM Cn1ccnc1CCC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999776182 726531471 /nfs/dbraw/zinc/53/14/71/726531471.db2.gz NTCWRAKSGIJATI-NSHDSACASA-N -1 1 333.396 -0.043 20 0 EBADMM Cn1nncc1CN[C@H]1CCCN(C(=O)c2cnc([O-])n(C)c2=O)C1 ZINC000999863794 726536488 /nfs/dbraw/zinc/53/64/88/726536488.db2.gz IYBBJINZWIFEAW-JTQLQIEISA-N -1 1 347.379 -0.991 20 0 EBADMM O=C(c1ccn2nnnc2c1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999873755 726537202 /nfs/dbraw/zinc/53/72/02/726537202.db2.gz XGMCFRKLPNYJEO-JTQLQIEISA-N -1 1 343.351 -0.658 20 0 EBADMM NC(=O)CN1CC[C@H](n2cc(CNC(=O)c3ncccc3[O-])nn2)C1 ZINC000999979761 726546717 /nfs/dbraw/zinc/54/67/17/726546717.db2.gz JUXNOSJVSXZBNM-NSHDSACASA-N -1 1 345.363 -0.959 20 0 EBADMM O=C([C@@H]1CCCCC(=O)N1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000168889 726557150 /nfs/dbraw/zinc/55/71/50/726557150.db2.gz OHBQXADTEUVDIJ-MNOVXSKESA-N -1 1 336.396 -0.350 20 0 EBADMM Cc1ccn(CC(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c(=O)c1 ZINC001000335724 726564299 /nfs/dbraw/zinc/56/42/99/726564299.db2.gz CUJJXDXMSMEGPE-LBPRGKRZSA-N -1 1 346.391 -0.239 20 0 EBADMM COc1c(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c(C)nn1C ZINC001000356612 726565353 /nfs/dbraw/zinc/56/53/53/726565353.db2.gz PTUHRFXURUIVTO-JTQLQIEISA-N -1 1 349.395 -0.045 20 0 EBADMM O=C(c1cnc2cccnn21)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000391623 726566049 /nfs/dbraw/zinc/56/60/49/726566049.db2.gz WVSSFIATVKSKQX-JTQLQIEISA-N -1 1 342.363 -0.053 20 0 EBADMM Cn1nccc1C(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001000503843 726569024 /nfs/dbraw/zinc/56/90/24/726569024.db2.gz FALCPZPOOHWFLW-UHFFFAOYSA-N -1 1 331.380 -0.596 20 0 EBADMM O=C(NCC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CCC1 ZINC001000603960 726572348 /nfs/dbraw/zinc/57/23/48/726572348.db2.gz OEKDQRYIXSTXKM-UHFFFAOYSA-N -1 1 330.348 -0.594 20 0 EBADMM Cc1ccc(C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)nn1 ZINC001000666720 726574708 /nfs/dbraw/zinc/57/47/08/726574708.db2.gz OQSPXGYWLYUCEK-UHFFFAOYSA-N -1 1 343.391 -0.231 20 0 EBADMM CC(=O)N1CC[C@@H](C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001000798547 726578373 /nfs/dbraw/zinc/57/83/73/726578373.db2.gz WDMPHLPCEQTYJF-NEPJUHHUSA-N -1 1 336.396 -0.541 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(CNC(=O)c2ccon2)C1 ZINC001001074881 726591118 /nfs/dbraw/zinc/59/11/18/726591118.db2.gz PJDZLTOKLLRFOD-UHFFFAOYSA-N -1 1 349.303 -0.614 20 0 EBADMM CC1CC(C(=O)NCC2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)C1 ZINC001001383180 726604157 /nfs/dbraw/zinc/60/41/57/726604157.db2.gz UMJZVMYTWZNJCQ-UHFFFAOYSA-N -1 1 344.375 -0.348 20 0 EBADMM CCc1nc[nH]c1C(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001001412580 726605151 /nfs/dbraw/zinc/60/51/51/726605151.db2.gz MKBPDPNYTDFCCE-UHFFFAOYSA-N -1 1 345.407 -0.044 20 0 EBADMM C[C@H](C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1)[C@@H]1CCC(=O)N1 ZINC001001546674 726611480 /nfs/dbraw/zinc/61/14/80/726611480.db2.gz UXRREWJPXCKYRJ-JQWIXIFHSA-N -1 1 346.387 -0.110 20 0 EBADMM Cn1oc(C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)cc1=O ZINC001001601431 726612955 /nfs/dbraw/zinc/61/29/55/726612955.db2.gz OBLMEDOIARTFSC-UHFFFAOYSA-N -1 1 332.316 -0.419 20 0 EBADMM Cc1nc(CN2CCC(NC(=O)c3n[nH]c(=O)[n-]c3=O)CC2)n[nH]1 ZINC001003250916 726666715 /nfs/dbraw/zinc/66/67/15/726666715.db2.gz NJHYEBDFDLXHHG-UHFFFAOYSA-N -1 1 334.340 -0.896 20 0 EBADMM Cc1oncc1CN1CCC(NC(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001003251615 726666832 /nfs/dbraw/zinc/66/68/32/726666832.db2.gz XHZJLZALIDXYIJ-UHFFFAOYSA-N -1 1 334.336 -0.026 20 0 EBADMM CC(C)(F)C(=O)N1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001003319144 726669565 /nfs/dbraw/zinc/66/95/65/726669565.db2.gz KRZQGERVVBCNQN-UHFFFAOYSA-N -1 1 336.327 -0.646 20 0 EBADMM CC[C@H](F)C(=O)N1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001003319200 726669590 /nfs/dbraw/zinc/66/95/90/726669590.db2.gz MNEDKRWXUXZZAU-VIFPVBQESA-N -1 1 336.327 -0.646 20 0 EBADMM Cn1ccc(=O)c(C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)c1 ZINC001003413777 726673373 /nfs/dbraw/zinc/67/33/73/726673373.db2.gz MHOAEGZBNWWLFG-UHFFFAOYSA-N -1 1 342.355 -0.012 20 0 EBADMM Cn1cccc(C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)c1=O ZINC001003451447 726675146 /nfs/dbraw/zinc/67/51/46/726675146.db2.gz SUAYMWOXHBEKAM-UHFFFAOYSA-N -1 1 342.355 -0.012 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)c3cccn(C)c3=O)CC2)nc1=O ZINC001003990145 726696999 /nfs/dbraw/zinc/69/69/99/726696999.db2.gz VZIQOXKBDGVYFV-UHFFFAOYSA-N -1 1 346.391 -0.799 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)c1ncn(C)n1 ZINC001004843733 726717859 /nfs/dbraw/zinc/71/78/59/726717859.db2.gz UTHJQKDGNVUPSJ-ZJUUUORDSA-N -1 1 334.384 -0.188 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)c1ncn(C)n1 ZINC001004843732 726717895 /nfs/dbraw/zinc/71/78/95/726717895.db2.gz UTHJQKDGNVUPSJ-VHSXEESVSA-N -1 1 334.384 -0.188 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)c1cnn(C)n1 ZINC001004952830 726720722 /nfs/dbraw/zinc/72/07/22/726720722.db2.gz HBEUQKKVMICJEI-ONGXEEELSA-N -1 1 334.384 -0.188 20 0 EBADMM Cc1cnc(C(=O)N(C)C2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cn1 ZINC001005076826 726724215 /nfs/dbraw/zinc/72/42/15/726724215.db2.gz YXJQWRGNGUXWPD-UHFFFAOYSA-N -1 1 345.407 -0.057 20 0 EBADMM C[C@H]1C[C@@H](C(=O)N(C)C2CCN(Cc3nc(=O)n(C)[n-]3)CC2)CO1 ZINC001005614527 726736531 /nfs/dbraw/zinc/73/65/31/726736531.db2.gz XGIPILHKHSEBSS-NWDGAFQWSA-N -1 1 337.424 -0.044 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)c2ncn(C)n2)C1 ZINC001005950913 726749622 /nfs/dbraw/zinc/74/96/22/726749622.db2.gz MFUNLTLAJLDFSU-SECBINFHSA-N -1 1 330.348 -0.194 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CCC(=O)NC2)C1 ZINC001005957879 726750117 /nfs/dbraw/zinc/75/01/17/726750117.db2.gz PZVKYFFZDRDXNY-QWRGUYRKSA-N -1 1 346.387 -0.110 20 0 EBADMM Cc1nccc(C(=O)N(C)C2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC001006424087 726768826 /nfs/dbraw/zinc/76/88/26/726768826.db2.gz BZPZVKDIFAXKCO-UHFFFAOYSA-N -1 1 345.407 -0.057 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)c3cc[n+]([O-])cc3)C2)nc1=O ZINC001006656559 726778485 /nfs/dbraw/zinc/77/84/85/726778485.db2.gz KGJQUPBUTSYIBJ-LBPRGKRZSA-N -1 1 332.364 -0.864 20 0 EBADMM CCC(=O)N[C@]12CCC[C@H]1CN(C(=O)c1cc(=O)n3[n-]cnc3n1)C2 ZINC001006787585 726783958 /nfs/dbraw/zinc/78/39/58/726783958.db2.gz VQLZFKWNAXXUMG-QFYYESIMSA-N -1 1 344.375 -0.062 20 0 EBADMM Cc1cnn(CC(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001006838436 726785016 /nfs/dbraw/zinc/78/50/16/726785016.db2.gz GZVDEJGGMVFJAA-LBPRGKRZSA-N -1 1 333.396 -0.606 20 0 EBADMM O=C(N[C@H]1CCCN(CCN2C(=O)CNC2=O)C1)c1ncccc1[O-] ZINC001007178258 726790067 /nfs/dbraw/zinc/79/00/67/726790067.db2.gz SVQJPESPBMMWLK-NSHDSACASA-N -1 1 347.375 -0.467 20 0 EBADMM Cn1[nH]c(CN2CCC[C@@H](NC(=O)c3ncccc3[O-])C2)nc1=O ZINC001007182410 726790133 /nfs/dbraw/zinc/79/01/33/726790133.db2.gz BAVNULJXIFWOLE-SNVBAGLBSA-N -1 1 332.364 -0.397 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)c3cnc4n3CCC4)C2)nc1=O ZINC001007523344 726795920 /nfs/dbraw/zinc/79/59/20/726795920.db2.gz QVPBERJPTDGGOV-LLVKDONJSA-N -1 1 345.407 -0.355 20 0 EBADMM O=C(NC[C@@H]1[C@H]2CN(C(=O)[C@H]3COCCO3)C[C@H]21)c1ncccc1[O-] ZINC001008983007 726838448 /nfs/dbraw/zinc/83/84/48/726838448.db2.gz YVRWJUXWYATOMS-NRWUCQMLSA-N -1 1 347.371 -0.363 20 0 EBADMM Cn1nnc(C(=O)N2C[C@@H]3[C@@H](CNC(=O)c4ncccc4[O-])[C@@H]3C2)n1 ZINC001008996231 726840395 /nfs/dbraw/zinc/84/03/95/726840395.db2.gz VKRZAWIYELGKNZ-OWUUHHOZSA-N -1 1 343.347 -0.941 20 0 EBADMM O=C(NC[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)c1cn[nH]n1 ZINC001009225046 726874302 /nfs/dbraw/zinc/87/43/02/726874302.db2.gz FRQUMDGYEBXLBP-OWUUHHOZSA-N -1 1 328.332 -0.347 20 0 EBADMM COc1cccnc1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001009321356 726890276 /nfs/dbraw/zinc/89/02/76/726890276.db2.gz YIOZAFIRPPVEFY-LLVKDONJSA-N -1 1 346.391 -0.094 20 0 EBADMM C[C@H](C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1)c1cncnc1 ZINC001051786102 735392387 /nfs/dbraw/zinc/39/23/87/735392387.db2.gz PQBPISMDYCHVJL-CMPLNLGQSA-N -1 1 347.379 -0.579 20 0 EBADMM NC(=O)C(=O)N1C[C@@H]2CC[C@@H](NC(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC001009831985 727003119 /nfs/dbraw/zinc/00/31/19/727003119.db2.gz PIDGJBBPEREUKZ-HBNTYKKESA-N -1 1 332.360 -0.371 20 0 EBADMM CO[C@@H](C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001051803468 735404690 /nfs/dbraw/zinc/40/46/90/735404690.db2.gz OOKGYNQYTZQCAR-CMPLNLGQSA-N -1 1 327.385 -0.502 20 0 EBADMM C[C@@H]1C[C@@H](CNC(=O)C2CC2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001010232323 727051647 /nfs/dbraw/zinc/05/16/47/727051647.db2.gz YUMUCLOQPFVAMD-NEPJUHHUSA-N -1 1 348.403 -0.310 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(C(=O)c2ccon2)CC1 ZINC001010501131 727100313 /nfs/dbraw/zinc/10/03/13/727100313.db2.gz JOCYUMVWPXQSBO-UHFFFAOYSA-N -1 1 349.303 -0.517 20 0 EBADMM O=C(CN1CC[C@@H](NC(=O)c2ncccc2[O-])C1)NC1CCOCC1 ZINC001010719361 727133168 /nfs/dbraw/zinc/13/31/68/727133168.db2.gz KEMNVXRYJSXIIQ-CYBMUJFWSA-N -1 1 348.403 -0.114 20 0 EBADMM O=C(CN1CC[C@@H](NC(=O)c2ncccc2[O-])C1)N1CCOCC1 ZINC001010730891 727135777 /nfs/dbraw/zinc/13/57/77/727135777.db2.gz ILMPEAMCWLMPQK-GFCCVEGCSA-N -1 1 334.376 -0.550 20 0 EBADMM CC(=O)N1CCCC2(CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)C1 ZINC001010739581 727136724 /nfs/dbraw/zinc/13/67/24/727136724.db2.gz HKJIUSFXYBNSCM-UHFFFAOYSA-N -1 1 330.348 -0.498 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCN(C(=O)CC(N)=O)CC1 ZINC001010803420 727147850 /nfs/dbraw/zinc/14/78/50/727147850.db2.gz NOGOXTOVBNSCCJ-UHFFFAOYSA-N -1 1 349.391 -0.226 20 0 EBADMM O=C(/C=C\C1CC1)N1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001010884329 727163839 /nfs/dbraw/zinc/16/38/39/727163839.db2.gz OYKDZNZTCPJSDK-ARJAWSKDSA-N -1 1 346.387 -0.436 20 0 EBADMM O=C(/C=C/C1CC1)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001010886129 727163927 /nfs/dbraw/zinc/16/39/27/727163927.db2.gz XXPRYYKQAMBYFG-ONEGZZNKSA-N -1 1 342.359 -0.332 20 0 EBADMM C[C@@H](C(=O)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1)C1CC1 ZINC001010913192 727165879 /nfs/dbraw/zinc/16/58/79/727165879.db2.gz IMCWJDDBWJFYLC-SNVBAGLBSA-N -1 1 344.375 -0.252 20 0 EBADMM CN(C)C(=O)[C@@H]1C[C@@H]1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011035993 727186209 /nfs/dbraw/zinc/18/62/09/727186209.db2.gz KAALVXIGOHLCKF-NEPJUHHUSA-N -1 1 346.387 -0.204 20 0 EBADMM C[C@@H](C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1)S(C)(=O)=O ZINC001011036204 727186301 /nfs/dbraw/zinc/18/63/01/727186301.db2.gz GNJDQEHBTNJIAI-JTQLQIEISA-N -1 1 341.389 -0.495 20 0 EBADMM C[C@@H]1CN(C)C[C@H](C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)O1 ZINC001011036410 727186589 /nfs/dbraw/zinc/18/65/89/727186589.db2.gz KJPBDCUHHIWITG-TZMCWYRMSA-N -1 1 348.403 -0.209 20 0 EBADMM CN1C[C@H](C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)CC1=O ZINC001011037563 727186979 /nfs/dbraw/zinc/18/69/79/727186979.db2.gz ACLKHOOICHBCEL-LLVKDONJSA-N -1 1 332.360 -0.450 20 0 EBADMM C[C@@H]1C[C@H](C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)CC(=O)N1 ZINC001011037666 727186991 /nfs/dbraw/zinc/18/69/91/727186991.db2.gz GMBHXEKSIUKQSX-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@H]1C[C@@H](C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)CC(=O)N1 ZINC001011037667 727187078 /nfs/dbraw/zinc/18/70/78/727187078.db2.gz GMBHXEKSIUKQSX-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM CN1C[C@@H](C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)CC1=O ZINC001011037564 727187124 /nfs/dbraw/zinc/18/71/24/727187124.db2.gz ACLKHOOICHBCEL-NSHDSACASA-N -1 1 332.360 -0.450 20 0 EBADMM O=C(c1cc[nH]c1)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001011244916 727498548 /nfs/dbraw/zinc/49/85/48/727498548.db2.gz DCYFUYXNQDKRLE-UHFFFAOYSA-N -1 1 341.331 -0.656 20 0 EBADMM CC(C)C(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@H]1C ZINC001011505039 727535030 /nfs/dbraw/zinc/53/50/30/727535030.db2.gz UYHOUTRAPJZEOP-NXEZZACHSA-N -1 1 332.364 -0.207 20 0 EBADMM CCC1(C(=O)N2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)CC2)CC1 ZINC001011557882 727542129 /nfs/dbraw/zinc/54/21/29/727542129.db2.gz ZOCPCMSTHFNFDG-UHFFFAOYSA-N -1 1 348.403 -0.212 20 0 EBADMM CC1(CC(=O)N2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)CC2)CC1 ZINC001011557158 727542198 /nfs/dbraw/zinc/54/21/98/727542198.db2.gz JAHNPODGNFFIJW-UHFFFAOYSA-N -1 1 348.403 -0.212 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CCN(C(=O)CCc3nc[nH]n3)[C@@H]2C)c1[O-] ZINC001011628889 727550881 /nfs/dbraw/zinc/55/08/81/727550881.db2.gz RMSPLUHMCFLGON-NXEZZACHSA-N -1 1 347.379 -0.106 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2ncn(C)n2)CCN1C(=O)c1ncccc1[O-] ZINC001011671570 727556636 /nfs/dbraw/zinc/55/66/36/727556636.db2.gz ISJPNAFFFXYTPP-NXEZZACHSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@@H]1[C@H](NC(=O)Cn2cncn2)CCN1C(=O)c1ncccc1[O-] ZINC001011676595 727557440 /nfs/dbraw/zinc/55/74/40/727557440.db2.gz MSBCWIQNSCACHM-GHMZBOCLSA-N -1 1 330.348 -0.202 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1cnn(C)n1 ZINC001011891773 727597084 /nfs/dbraw/zinc/59/70/84/727597084.db2.gz LLUOPFYTXHOTDS-UWVGGRQHSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@@H]1[C@H](NC(=O)C2CCC2)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001011897949 727599432 /nfs/dbraw/zinc/59/94/32/727599432.db2.gz HYPMXRQIKAPRRF-DGCLKSJQSA-N -1 1 348.403 -0.168 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H](NC(=O)C(F)F)[C@H]1C ZINC001011910421 727602008 /nfs/dbraw/zinc/60/20/08/727602008.db2.gz RWFJBRPNPQVBHV-PHDIDXHHSA-N -1 1 346.290 -0.119 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])[C@@H]1C ZINC001011952415 727609108 /nfs/dbraw/zinc/60/91/08/727609108.db2.gz RIATYRBYNXLEBE-IUCAKERBSA-N -1 1 333.352 -0.117 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H]1CCN(C(=O)C(N)=O)[C@H]1C ZINC001012022508 727625287 /nfs/dbraw/zinc/62/52/87/727625287.db2.gz MZECCTWVWJCKDY-UWVGGRQHSA-N -1 1 349.391 -0.181 20 0 EBADMM COCC(=O)N1C[C@H](NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C[C@@H]1C ZINC001012165105 727641047 /nfs/dbraw/zinc/64/10/47/727641047.db2.gz MCMFYDVEAVHDHE-JGVFFNPUSA-N -1 1 340.336 -0.738 20 0 EBADMM Cc1cc(C(=O)N2C[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C[C@@H]2C)n[nH]1 ZINC001012353408 727656547 /nfs/dbraw/zinc/65/65/47/727656547.db2.gz BEIWYJYVNMBIJY-YUMQZZPRSA-N -1 1 347.335 -0.653 20 0 EBADMM CCn1cnc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001051902600 735454649 /nfs/dbraw/zinc/45/46/49/735454649.db2.gz INCRFERWJXZBSO-JTQLQIEISA-N -1 1 335.368 -0.643 20 0 EBADMM CCn1cnc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001051902601 735454676 /nfs/dbraw/zinc/45/46/76/735454676.db2.gz INCRFERWJXZBSO-SNVBAGLBSA-N -1 1 335.368 -0.643 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1ncn(C)n1 ZINC001012556028 727681195 /nfs/dbraw/zinc/68/11/95/727681195.db2.gz BCJVMLWPZNHEFU-VHSXEESVSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1cnn(C)n1 ZINC001013226623 727784892 /nfs/dbraw/zinc/78/48/92/727784892.db2.gz ZQWBMPHTANVRMD-NXEZZACHSA-N -1 1 330.348 -0.051 20 0 EBADMM CC(C)C(=O)N[C@@H]1C[C@H](C)N(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001013238446 727786062 /nfs/dbraw/zinc/78/60/62/727786062.db2.gz DPQCMACDDGUEFY-NWDGAFQWSA-N -1 1 336.392 -0.312 20 0 EBADMM C[C@H]1C[C@H](NC(=O)C2CC2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001013241062 727786670 /nfs/dbraw/zinc/78/66/70/727786670.db2.gz QJUIRPGOBQYWMC-JQWIXIFHSA-N -1 1 334.376 -0.558 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)C2CC2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001013241060 727786684 /nfs/dbraw/zinc/78/66/84/727786684.db2.gz QJUIRPGOBQYWMC-CMPLNLGQSA-N -1 1 334.376 -0.558 20 0 EBADMM CC(C)C(=O)N[C@@H]1C[C@@H](C)N(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001013324629 727793859 /nfs/dbraw/zinc/79/38/59/727793859.db2.gz GWQJFELTOOXIGS-NXEZZACHSA-N -1 1 332.364 -0.207 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)C2CCC2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001013326191 727794279 /nfs/dbraw/zinc/79/42/79/727794279.db2.gz MAJKAROTZUGKSV-GXSJLCMTSA-N -1 1 344.375 -0.063 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)C2CC2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001013329223 727794452 /nfs/dbraw/zinc/79/44/52/727794452.db2.gz UJIFFEVELLDKHY-WCBMZHEXSA-N -1 1 330.348 -0.453 20 0 EBADMM Cc1ccc(CC(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001051934301 735472562 /nfs/dbraw/zinc/47/25/62/735472562.db2.gz ONYPJPNAYUNXBJ-ZDUSSCGKSA-N -1 1 346.391 -0.227 20 0 EBADMM CC(=O)N[C@]12CCC[C@H]1N(C(=O)c1cc(=O)n3[n-]cnc3n1)CC2 ZINC001014111930 727901831 /nfs/dbraw/zinc/90/18/31/727901831.db2.gz AKKYPDUHKYUPKB-ABAIWWIYSA-N -1 1 330.348 -0.309 20 0 EBADMM CC(=O)N1C[C@@H]2CCC[C@@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)[C@H]2C1 ZINC001014518691 727965262 /nfs/dbraw/zinc/96/52/62/727965262.db2.gz PWVKULGUBZHQIB-SDDRHHMPSA-N -1 1 344.375 -0.206 20 0 EBADMM CCCn1nccc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001014578488 727972893 /nfs/dbraw/zinc/97/28/93/727972893.db2.gz QGCOCPCGQZYELB-NSHDSACASA-N -1 1 333.396 -0.281 20 0 EBADMM CC(=O)N[C@@H]1CCC[C@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@@H]21 ZINC001014598580 727975213 /nfs/dbraw/zinc/97/52/13/727975213.db2.gz LTJFIVSYQNTVGA-SDDRHHMPSA-N -1 1 344.375 -0.206 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3n[nH]c4c3CCCC4)C2)nc1=O ZINC001015077566 728072142 /nfs/dbraw/zinc/07/21/42/728072142.db2.gz NCIJJRQPKGLKEQ-JTQLQIEISA-N -1 1 345.407 -0.285 20 0 EBADMM COCC(=O)N1CCC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H]1C ZINC001015086157 728076114 /nfs/dbraw/zinc/07/61/14/728076114.db2.gz USNPQVBVDWLEAM-VHSXEESVSA-N -1 1 348.363 -0.827 20 0 EBADMM CNC(=O)CN1CCN([C@@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001052017665 735504980 /nfs/dbraw/zinc/50/49/80/735504980.db2.gz QOWVIVUMVGSXHQ-CYBMUJFWSA-N -1 1 347.419 -0.635 20 0 EBADMM Cn1nnc(C(=O)NC[C@@H]2CC[C@@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001015411441 728412147 /nfs/dbraw/zinc/41/21/47/728412147.db2.gz PGBLTJGBXLOYIJ-NXEZZACHSA-N -1 1 345.363 -0.361 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ocnc3C3CC3)C2)nc1=O ZINC001015704371 728427857 /nfs/dbraw/zinc/42/78/57/728427857.db2.gz ZLBKSAAYAVSQRG-SNVBAGLBSA-N -1 1 332.364 -0.022 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@H]3Cc4ccncc4C3)C2)nc1=O ZINC001015710152 728428878 /nfs/dbraw/zinc/42/88/78/728428878.db2.gz GMRIZZVQBJKEKZ-JSGCOSHPSA-N -1 1 342.403 -0.391 20 0 EBADMM COc1c(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c(C)nn1C ZINC001015784543 728431414 /nfs/dbraw/zinc/43/14/14/728431414.db2.gz KBGNKTFLQZPVGH-JTQLQIEISA-N -1 1 349.395 -0.837 20 0 EBADMM Cc1n[nH]c(C)c1[C@H](C)C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001015866607 728437045 /nfs/dbraw/zinc/43/70/45/728437045.db2.gz UPHIMELABPWNSH-JOYOIKCWSA-N -1 1 347.423 -0.057 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3c[nH]nc3C3CC3)C2)nc1=O ZINC001015931847 728439422 /nfs/dbraw/zinc/43/94/22/728439422.db2.gz OIWAMBFQJWFMTL-JTQLQIEISA-N -1 1 331.380 -0.287 20 0 EBADMM Cc1noc(CN2CC[C@@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)n1 ZINC001015988698 728440644 /nfs/dbraw/zinc/44/06/44/728440644.db2.gz FGTPWJPCFBFAGP-SECBINFHSA-N -1 1 344.335 -0.887 20 0 EBADMM CN(C)c1ncccc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001016254898 728446960 /nfs/dbraw/zinc/44/69/60/728446960.db2.gz ZXRSPAZQIINGJY-LLVKDONJSA-N -1 1 345.407 -0.426 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@H]3CC34CCOCC4)C2)nc1=O ZINC001016438103 728473911 /nfs/dbraw/zinc/47/39/11/728473911.db2.gz QLMDRYWXXIJCCO-NWDGAFQWSA-N -1 1 335.408 -0.384 20 0 EBADMM NC(=O)CN1CCC2(C[C@H]2NC(=O)c2c[n-]n3c2nccc3=O)CC1 ZINC001016864626 728518431 /nfs/dbraw/zinc/51/84/31/728518431.db2.gz HOAHDHXWAAPXDE-LLVKDONJSA-N -1 1 344.375 -0.908 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)CCn2cncn2)C1 ZINC001017043050 728540301 /nfs/dbraw/zinc/54/03/01/728540301.db2.gz AJEKLDBMHFFTAW-GFCCVEGCSA-N -1 1 348.411 -0.138 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)[C@@H]2COC(=O)N2)C1 ZINC001017122081 728549108 /nfs/dbraw/zinc/54/91/08/728549108.db2.gz ZAHBJDZBGSPOHX-ZJUUUORDSA-N -1 1 338.368 -0.711 20 0 EBADMM Cn1nnc(C(=O)N2CCC(C3(NC(=O)c4cnn[nH]4)CC3)CC2)n1 ZINC001017174536 728551827 /nfs/dbraw/zinc/55/18/27/728551827.db2.gz FMFFUJOJLWYFPP-UHFFFAOYSA-N -1 1 345.367 -0.857 20 0 EBADMM O=C(NC1(C2CCN(C(=O)[C@@H]3COC(=O)N3)CC2)CC1)c1cnn[nH]1 ZINC001017174111 728551891 /nfs/dbraw/zinc/55/18/91/728551891.db2.gz AVRPPJHOZMTINE-NSHDSACASA-N -1 1 348.363 -0.586 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)CCn2cnnn2)C1 ZINC001017238643 728557594 /nfs/dbraw/zinc/55/75/94/728557594.db2.gz RKQGXLLLKBKEGD-LLVKDONJSA-N -1 1 349.399 -0.743 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)[C@@H]2CCC(=O)N2)C1 ZINC001017249212 728558460 /nfs/dbraw/zinc/55/84/60/728558460.db2.gz WQUFAUYNXNDFAD-MNOVXSKESA-N -1 1 336.396 -0.541 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)Cn2cccn2)nc1=O ZINC001017354951 728567546 /nfs/dbraw/zinc/56/75/46/728567546.db2.gz VKNRAYNGSSTRHX-TXEJJXNPSA-N -1 1 331.380 -0.820 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)[C@H]2CC2(F)F)nc1=O ZINC001017531730 728585218 /nfs/dbraw/zinc/58/52/18/728585218.db2.gz MSFMWNGZMPPTEB-KXUCPTDWSA-N -1 1 327.335 -0.061 20 0 EBADMM C[C@@H](O)C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[n-]n2c1nccc2=O ZINC001018043876 728629996 /nfs/dbraw/zinc/62/99/96/728629996.db2.gz VPIJWEDPPSXNJZ-UTUOFQBUSA-N -1 1 331.376 -0.308 20 0 EBADMM C[C@@H](O)CN1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[n-]n2c1nccc2=O ZINC001018043876 728629998 /nfs/dbraw/zinc/62/99/98/728629998.db2.gz VPIJWEDPPSXNJZ-UTUOFQBUSA-N -1 1 331.376 -0.308 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CN1C(=O)C(N)=O ZINC001018160574 728639842 /nfs/dbraw/zinc/63/98/42/728639842.db2.gz JHHZAAWAWACULE-WCBMZHEXSA-N -1 1 347.375 -0.346 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)Cn2c(=O)[n-][nH]c2=O)CN1C(=O)c1ccn[nH]1 ZINC001018305162 728654797 /nfs/dbraw/zinc/65/47/97/728654797.db2.gz KWIWHOIMVPZZMY-DTWKUNHWSA-N -1 1 349.351 -0.778 20 0 EBADMM C[C@@H]1CCN(C(=O)CC(N)=O)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC001018536403 728673933 /nfs/dbraw/zinc/67/39/33/728673933.db2.gz RJTHQNBJRMNHAH-GHMZBOCLSA-N -1 1 334.376 -0.123 20 0 EBADMM O=C(Cc1cn2c(n1)CCCC2)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001018876822 728697509 /nfs/dbraw/zinc/69/75/09/728697509.db2.gz JOTNOYAIUXGXQS-NSHDSACASA-N -1 1 345.407 -0.024 20 0 EBADMM Cn1cc(Cl)c(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001018905229 728701560 /nfs/dbraw/zinc/70/15/60/728701560.db2.gz XOLBXXKOXHWZMH-ZETCQYMHSA-N -1 1 325.760 -0.099 20 0 EBADMM CC[C@H](C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1)N1CCCC1=O ZINC001019791784 728992309 /nfs/dbraw/zinc/99/23/09/728992309.db2.gz LNFWGUUVFIHEKO-WDEREUQCSA-N -1 1 336.396 -0.398 20 0 EBADMM CCOc1cnc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001019883813 729026041 /nfs/dbraw/zinc/02/60/41/729026041.db2.gz GYJBMVHBSMURAT-VIFPVBQESA-N -1 1 333.352 -0.297 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H](CNc2ncccn2)C1 ZINC001060891516 738408487 /nfs/dbraw/zinc/40/84/87/738408487.db2.gz CTBRDISBGWWWCG-LBPRGKRZSA-N -1 1 344.375 -0.323 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@@H]1CCN(C)C1=O ZINC001020493675 729185006 /nfs/dbraw/zinc/18/50/06/729185006.db2.gz ATMZAPNGJMXWEV-TUAOUCFPSA-N -1 1 346.387 -0.015 20 0 EBADMM Cn1ccc(CN[C@H]2C[C@H](NC(=O)c3c[n-]n4c3nccc4=O)C2)n1 ZINC001020696446 729219407 /nfs/dbraw/zinc/21/94/07/729219407.db2.gz LHXNMUNLZYAIQN-HAQNSBGRSA-N -1 1 341.375 -0.193 20 0 EBADMM C[C@H]1CN(C(=O)CC(N)=O)CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001020717531 729222233 /nfs/dbraw/zinc/22/22/33/729222233.db2.gz ZMZHNMKLLSZXBB-QWRGUYRKSA-N -1 1 334.376 -0.123 20 0 EBADMM O=C(Cn1nccn1)NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001021270892 729301888 /nfs/dbraw/zinc/30/18/88/729301888.db2.gz ZOBNFUWUWYJOIT-FGWVZKOKSA-N -1 1 332.368 -0.586 20 0 EBADMM CN1CC[C@@H](C(=O)NC2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[n-]2)C1=O ZINC001021352159 729307483 /nfs/dbraw/zinc/30/74/83/729307483.db2.gz JLRIQXVVMNMJSI-YATPEIPISA-N -1 1 348.407 -0.400 20 0 EBADMM O=C(c1ncccc1[O-])N1CC[C@H]2CN(C(=O)[C@H]3COC(=O)N3)C[C@H]21 ZINC001021442511 729314308 /nfs/dbraw/zinc/31/43/08/729314308.db2.gz OFDSBXOXAXCUFY-HBNTYKKESA-N -1 1 346.343 -0.432 20 0 EBADMM Cn1nncc1C(=O)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001021512062 729317521 /nfs/dbraw/zinc/31/75/21/729317521.db2.gz BMFICILCKPINNS-CMPLNLGQSA-N -1 1 342.359 -0.098 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@H](CCCN3C(=O)c3cnon3)C2)nc1=O ZINC001021694668 729331796 /nfs/dbraw/zinc/33/17/96/729331796.db2.gz XZFUPYQXZRCNSW-ZYHUDNBSSA-N -1 1 347.379 -0.382 20 0 EBADMM CC(C)n1cc(CN[C@H]2C[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)nn1 ZINC001022369671 729485958 /nfs/dbraw/zinc/48/59/58/729485958.db2.gz MIHKVRFSIIAWPH-DTORHVGOSA-N -1 1 348.367 -0.494 20 0 EBADMM O=C(C[C@H]1CCS(=O)(=O)C1)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001022386043 729487906 /nfs/dbraw/zinc/48/79/06/729487906.db2.gz UNOYSAOMYFLZGP-BBBLOLIVSA-N -1 1 343.409 -0.928 20 0 EBADMM O=C(C[C@H]1CCCS1(=O)=O)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001022494406 729500250 /nfs/dbraw/zinc/50/02/50/729500250.db2.gz KZWBSAYKPLJUHK-KXUCPTDWSA-N -1 1 343.409 -0.786 20 0 EBADMM Cc1conc1CN[C@H]1C[C@@H](NC(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001022530337 729504245 /nfs/dbraw/zinc/50/42/45/729504245.db2.gz WSNFBGYIDAVKCU-AOOOYVTPSA-N -1 1 333.348 -0.167 20 0 EBADMM CCn1ccc(CN[C@H]2C[C@@H](NC(=O)c3cnc([O-])n(C)c3=O)C2)n1 ZINC001022530308 729504312 /nfs/dbraw/zinc/50/43/12/729504312.db2.gz VRBORMHFGBFHQZ-TXEJJXNPSA-N -1 1 346.391 -0.247 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](CNC(=O)c3ccc(=O)[nH]n3)C2)nc1=O ZINC001023216549 729640786 /nfs/dbraw/zinc/64/07/86/729640786.db2.gz ZGDGSBFGHJDSQK-JTQLQIEISA-N -1 1 347.379 -0.754 20 0 EBADMM Cc1nn(C)cc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001023217930 729641605 /nfs/dbraw/zinc/64/16/05/729641605.db2.gz HOQVGGXAQDXMSX-DGCLKSJQSA-N -1 1 345.359 -0.556 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)c1cccc(=O)[nH]1 ZINC001023297068 729659966 /nfs/dbraw/zinc/65/99/66/729659966.db2.gz NOWNJOZWOPUODP-ZYHUDNBSSA-N -1 1 344.327 -0.497 20 0 EBADMM CCn1ccnc1C(=O)NC[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001023343203 729667658 /nfs/dbraw/zinc/66/76/58/729667658.db2.gz YEJJANWVVQLBOU-GFCCVEGCSA-N -1 1 347.423 -0.033 20 0 EBADMM Cn1cc(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)cn1 ZINC001023486042 729701744 /nfs/dbraw/zinc/70/17/44/729701744.db2.gz BEFAPGIWHQYKNO-ZYHUDNBSSA-N -1 1 331.332 -0.864 20 0 EBADMM Cc1cc(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)[nH]n1 ZINC001023488343 729702015 /nfs/dbraw/zinc/70/20/15/729702015.db2.gz DGBLBDGLYDJQOJ-ZYHUDNBSSA-N -1 1 331.332 -0.566 20 0 EBADMM Cc1cc(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)n[nH]1 ZINC001023488343 729702018 /nfs/dbraw/zinc/70/20/18/729702018.db2.gz DGBLBDGLYDJQOJ-ZYHUDNBSSA-N -1 1 331.332 -0.566 20 0 EBADMM Cc1ncncc1C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023488310 729702159 /nfs/dbraw/zinc/70/21/59/729702159.db2.gz BNDLJHKZRKLHHL-DGCLKSJQSA-N -1 1 343.343 -0.499 20 0 EBADMM CCn1ccc(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001023493374 729704485 /nfs/dbraw/zinc/70/44/85/729704485.db2.gz BMUZLYIGDSOEAA-DGCLKSJQSA-N -1 1 345.359 -0.381 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)[C@]2(F)CCOC2)C[C@H]1O)c1ncccc1[O-] ZINC001023493418 729704527 /nfs/dbraw/zinc/70/45/27/729704527.db2.gz CQBBVGONULBRPZ-OSQNNJELSA-N -1 1 339.323 -0.783 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2n[nH]cc2F)C[C@H]1O)c1ncccc1[O-] ZINC001023493452 729704549 /nfs/dbraw/zinc/70/45/49/729704549.db2.gz DVWSGEWOHAXEOA-PSASIEDQSA-N -1 1 335.295 -0.735 20 0 EBADMM CCOC1CC(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)C1 ZINC001023582148 729730237 /nfs/dbraw/zinc/73/02/37/729730237.db2.gz VIUCXQAZIFAXEI-UAKHECDHSA-N -1 1 349.387 -0.096 20 0 EBADMM C[C@H](C(N)=O)N1CCC[C@@H](CNC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001023635601 729743716 /nfs/dbraw/zinc/74/37/16/729743716.db2.gz FVFXKHCLHHAOQM-MNOVXSKESA-N -1 1 346.391 -0.662 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](CNC(=O)c3ccncn3)C2)nc1=O ZINC001023655474 729748616 /nfs/dbraw/zinc/74/86/16/729748616.db2.gz JDQSLQXQJHCXRC-NSHDSACASA-N -1 1 331.380 -0.460 20 0 EBADMM O=C(Cc1cscn1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001023749434 729792424 /nfs/dbraw/zinc/79/24/24/729792424.db2.gz GXZCTSBHILTRBJ-ZYHUDNBSSA-N -1 1 348.384 -0.212 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCC[C@H](CNCc2ncccn2)C1 ZINC001024053417 729835217 /nfs/dbraw/zinc/83/52/17/729835217.db2.gz DLOLFAYKEXEDJK-SNVBAGLBSA-N -1 1 345.363 -0.285 20 0 EBADMM Cc1ncn(C)c1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001024172243 729854987 /nfs/dbraw/zinc/85/49/87/729854987.db2.gz XIVVSZCFBODKCM-ZYHUDNBSSA-N -1 1 345.359 -0.556 20 0 EBADMM Cc1c(C(=O)NC[C@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)nnn1C ZINC001024373453 729879211 /nfs/dbraw/zinc/87/92/11/729879211.db2.gz MJDGPCAZDUANFP-LLVKDONJSA-N -1 1 348.411 -0.670 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H]2CNC(=O)c2cnc[nH]c2=O)nc1=O ZINC001024498753 729894064 /nfs/dbraw/zinc/89/40/64/729894064.db2.gz ANRMYQOIENKJDT-SNVBAGLBSA-N -1 1 347.379 -0.612 20 0 EBADMM O=C(NC[C@H]1CCCCN1CCn1cncn1)c1n[nH]c(=O)[n-]c1=O ZINC001024532473 729899157 /nfs/dbraw/zinc/89/91/57/729899157.db2.gz HEUGAQUTCQGANQ-SNVBAGLBSA-N -1 1 348.367 -0.841 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H]2CNC(=O)c2n[nH]cc2F)nc1=O ZINC001024635442 729914181 /nfs/dbraw/zinc/91/41/81/729914181.db2.gz BPJPELXHZYAAEF-SECBINFHSA-N -1 1 337.359 -0.245 20 0 EBADMM CCn1cnc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)c1 ZINC001024798814 729930705 /nfs/dbraw/zinc/93/07/05/729930705.db2.gz NRIGIANFEATOLZ-ZWNOBZJWSA-N -1 1 345.359 -0.381 20 0 EBADMM O=C(C[C@@H]1CCNC1=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024982480 729981714 /nfs/dbraw/zinc/98/17/14/729981714.db2.gz QBZNPBWCYIEOEU-WDEREUQCSA-N -1 1 336.396 -0.493 20 0 EBADMM C[C@H](NC(=O)c1c[n-]n2c1nccc2=O)[C@@H]1CCCN(CC(N)=O)C1 ZINC001025551989 730054151 /nfs/dbraw/zinc/05/41/51/730054151.db2.gz KEWCDJGSUFKQPG-WDEREUQCSA-N -1 1 346.391 -0.662 20 0 EBADMM C[C@@H]1OCCC[C@@H]1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001025754955 730084424 /nfs/dbraw/zinc/08/44/24/730084424.db2.gz VAXZQLROCHFTHV-ZXPJVPCYSA-N -1 1 335.408 -0.530 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H]2C[C@]23CCOC3)nc1=O ZINC001026138128 730123611 /nfs/dbraw/zinc/12/36/11/730123611.db2.gz YFTMRIWUUIGDIS-UZSKJHCISA-N -1 1 333.392 -0.919 20 0 EBADMM Cc1nc(CNC[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)co1 ZINC001026940388 730173590 /nfs/dbraw/zinc/17/35/90/730173590.db2.gz HOFKXXLSOREJGA-VIFPVBQESA-N -1 1 334.336 -0.169 20 0 EBADMM CCc1nnc(CNC[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC001026941655 730173938 /nfs/dbraw/zinc/17/39/38/730173938.db2.gz NUNPLCMCLHVWBL-MRVPVSSYSA-N -1 1 349.351 -0.520 20 0 EBADMM Cc1noc(CNC[C@@H]2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)n1 ZINC001027190875 730195835 /nfs/dbraw/zinc/19/58/35/730195835.db2.gz BGESDHSPBTZNJM-VIFPVBQESA-N -1 1 337.340 -0.981 20 0 EBADMM Cc1[nH]nc(C(=O)N2CCC[C@@H](N(C)[C@H]3CCC(=O)NC3=O)C2)c1[O-] ZINC001027370199 730209972 /nfs/dbraw/zinc/20/99/72/730209972.db2.gz ITPLDZCNGWDCGB-MNOVXSKESA-N -1 1 349.391 -0.235 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H]2CNC(=O)c2cccc(=O)[nH]2)nc1=O ZINC001027810698 730240707 /nfs/dbraw/zinc/24/07/07/730240707.db2.gz RKHNEZNSAPKHMB-JTQLQIEISA-N -1 1 332.364 -0.397 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H]2CNC(=O)c2cn3c(n2)CCC3)nc1=O ZINC001027890510 730246115 /nfs/dbraw/zinc/24/61/15/730246115.db2.gz BOFMVWUAOLUTFI-NSHDSACASA-N -1 1 345.407 -0.355 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H]2CNC(=O)c2cnn3c2CCC3)nc1=O ZINC001027924010 730248398 /nfs/dbraw/zinc/24/83/98/730248398.db2.gz LZJGUSSPLWREHC-NSHDSACASA-N -1 1 345.407 -0.355 20 0 EBADMM Cc1cnn(C)c1C(=O)NC[C@@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001028081890 730265218 /nfs/dbraw/zinc/26/52/18/730265218.db2.gz BJWRNKYITPXPNU-NSHDSACASA-N -1 1 333.396 -0.455 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)NC[C@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001028205115 730274519 /nfs/dbraw/zinc/27/45/19/730274519.db2.gz FEZAGIAETQSPAB-LLVKDONJSA-N -1 1 333.396 -0.157 20 0 EBADMM CCc1cc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)n(C)n1 ZINC001028224984 730276190 /nfs/dbraw/zinc/27/61/90/730276190.db2.gz QQXUPPYHYCUIKA-GFCCVEGCSA-N -1 1 347.423 -0.201 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H]2CNC(=O)c2cccn(C)c2=O)nc1=O ZINC001028272602 730279516 /nfs/dbraw/zinc/27/95/16/730279516.db2.gz VULCVMAGKQIMNE-NSHDSACASA-N -1 1 346.391 -0.799 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H]2CNC(=O)c2cnn3cc[nH]c23)nc1=O ZINC001028284638 730279604 /nfs/dbraw/zinc/27/96/04/730279604.db2.gz INEQPUVZRXSRCF-SNVBAGLBSA-N -1 1 344.379 -0.521 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)c3ccnc(F)c3)C2)nc1=O ZINC001028443124 730293331 /nfs/dbraw/zinc/29/33/31/730293331.db2.gz KISZOYGTUCBCCN-SNVBAGLBSA-N -1 1 334.355 -0.106 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)c3cn4c(n3)CCC4)C2)nc1=O ZINC001028547805 730312250 /nfs/dbraw/zinc/31/22/50/730312250.db2.gz CQYPXYGGLWDXMT-NSHDSACASA-N -1 1 345.407 -0.497 20 0 EBADMM CC(C)n1cc(C(=O)NC[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001028895121 730368323 /nfs/dbraw/zinc/36/83/23/730368323.db2.gz KZKZRJCFJYBXOZ-NSHDSACASA-N -1 1 348.411 -0.467 20 0 EBADMM Cc1nc(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)c[nH]1 ZINC001029242447 730394695 /nfs/dbraw/zinc/39/46/95/730394695.db2.gz CWAIOSPLPJAQQX-PHIMTYICSA-N -1 1 331.380 -0.371 20 0 EBADMM Cc1[nH]nc(C(=O)N2C[C@H]3CC[C@@H](C2)N3[C@@H]2CCCNC2=O)c1[O-] ZINC001029273128 730395928 /nfs/dbraw/zinc/39/59/28/730395928.db2.gz RAEADKPAUSDYRX-GRYCIOLGSA-N -1 1 333.392 -0.009 20 0 EBADMM CO[C@H](C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001029291671 730397122 /nfs/dbraw/zinc/39/71/22/730397122.db2.gz RRPPKXCPZJTGBE-SCRDCRAPSA-N -1 1 335.408 -0.291 20 0 EBADMM Cc1ccn(CC(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)n1 ZINC001029479389 730407527 /nfs/dbraw/zinc/40/75/27/730407527.db2.gz LNNZXJXRKCHBRR-BETUJISGSA-N -1 1 345.407 -0.511 20 0 EBADMM Cc1c[nH]c(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)n1 ZINC001029508360 730408359 /nfs/dbraw/zinc/40/83/59/730408359.db2.gz ZFJBXDNZOFIMBR-PHIMTYICSA-N -1 1 331.380 -0.371 20 0 EBADMM Cc1nccc(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)n1 ZINC001029589042 730412178 /nfs/dbraw/zinc/41/21/78/730412178.db2.gz VMMJBGBEYAGXHH-TXEJJXNPSA-N -1 1 343.391 -0.304 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)[C@@H]2CN(C)CCO2)C1 ZINC001029687134 730483987 /nfs/dbraw/zinc/48/39/87/730483987.db2.gz BBWIRFPTYIXIFY-RYUDHWBXSA-N -1 1 338.412 -0.879 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)CC2OCCCO2)C1 ZINC001029715871 730487436 /nfs/dbraw/zinc/48/74/36/730487436.db2.gz UOGLVDREJAYPND-LLVKDONJSA-N -1 1 339.396 -0.056 20 0 EBADMM Cc1nnc(CC(=O)N2CC[C@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)o1 ZINC001029729473 730490371 /nfs/dbraw/zinc/49/03/71/730490371.db2.gz OZQONQYMWSROHW-SNVBAGLBSA-N -1 1 335.368 -0.275 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N1CC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001029761797 730497128 /nfs/dbraw/zinc/49/71/28/730497128.db2.gz BOGXVTSJZFCRJW-SECBINFHSA-N -1 1 335.368 -0.158 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)c2ccn(C)c(=O)c2)C1 ZINC001029771937 730498539 /nfs/dbraw/zinc/49/85/39/730498539.db2.gz ZTGBWFVLMOEYHX-LLVKDONJSA-N -1 1 346.391 -0.197 20 0 EBADMM COc1nn(C)cc1C(=O)N1CC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001029799650 730502844 /nfs/dbraw/zinc/50/28/44/730502844.db2.gz BRTXYBIYTHGGFQ-JTQLQIEISA-N -1 1 349.395 -0.154 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)C(C)(C)C(N)=O)CC1 ZINC001029970957 730530185 /nfs/dbraw/zinc/53/01/85/730530185.db2.gz ZBXCTERNMQAFAE-UHFFFAOYSA-N -1 1 338.412 -0.308 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)[C@@H]2CCC(=O)N2)CC1 ZINC001030010793 730534862 /nfs/dbraw/zinc/53/48/62/730534862.db2.gz GSFKFKQKIIPXGK-NSHDSACASA-N -1 1 336.396 -0.541 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cnn(C4CCC4)c3)C2)nc1=O ZINC001030307405 730565313 /nfs/dbraw/zinc/56/53/13/730565313.db2.gz SHKWDXJUBNCEFP-UHFFFAOYSA-N -1 1 331.380 -0.356 20 0 EBADMM Cc1ccn2c(c1)ncc2C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030363597 730574280 /nfs/dbraw/zinc/57/42/80/730574280.db2.gz GOIFZBKICMVHGM-UHFFFAOYSA-N -1 1 341.375 -0.321 20 0 EBADMM CCn1ncc(Cl)c1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030368554 730574543 /nfs/dbraw/zinc/57/45/43/730574543.db2.gz PXHBXJAWRLTQIL-UHFFFAOYSA-N -1 1 339.787 -0.408 20 0 EBADMM COc1cnc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c(F)c1 ZINC001030411970 730582121 /nfs/dbraw/zinc/58/21/21/730582121.db2.gz SPVBQRKKBVGRHG-UHFFFAOYSA-N -1 1 336.327 -0.735 20 0 EBADMM COCc1csc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001030617785 730614622 /nfs/dbraw/zinc/61/46/22/730614622.db2.gz KUQRYHBOZVGGKF-UHFFFAOYSA-N -1 1 337.405 -0.070 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3nncc4ccccc43)C2)nc1=O ZINC001030659833 730621375 /nfs/dbraw/zinc/62/13/75/730621375.db2.gz LSDSRGTXVCJWBH-UHFFFAOYSA-N -1 1 339.359 -0.334 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ccn4ccnc4c3)C2)nc1=O ZINC001030670194 730621765 /nfs/dbraw/zinc/62/17/65/730621765.db2.gz IOALGRFABKXXIQ-UHFFFAOYSA-N -1 1 327.348 -0.630 20 0 EBADMM CC(C)Oc1cnccc1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030735682 730630219 /nfs/dbraw/zinc/63/02/19/730630219.db2.gz BFMJJGBKZOVZQQ-UHFFFAOYSA-N -1 1 346.391 -0.095 20 0 EBADMM Cc1cc(C)c(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c(=O)[nH]1 ZINC001030761664 730634339 /nfs/dbraw/zinc/63/43/39/730634339.db2.gz ZLGFQEVYJWKOQS-UHFFFAOYSA-N -1 1 332.364 -0.560 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cc4ccccc4nn3)C2)nc1=O ZINC001030770062 730635577 /nfs/dbraw/zinc/63/55/77/730635577.db2.gz JQEDRNZGBRJIGI-UHFFFAOYSA-N -1 1 339.359 -0.334 20 0 EBADMM CC(C)C(=O)NC[C@H]1COCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001061297284 738483660 /nfs/dbraw/zinc/48/36/60/738483660.db2.gz CRVDGPNZJMBCGM-JTQLQIEISA-N -1 1 348.363 -0.969 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ncn(C(C)(C)C)n3)C2)nc1=O ZINC001030952628 730657792 /nfs/dbraw/zinc/65/77/92/730657792.db2.gz RJEJAJMCKCNLNN-UHFFFAOYSA-N -1 1 334.384 -0.931 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)Cc3cccc(F)c3F)C2)nc1=O ZINC001031015923 730664822 /nfs/dbraw/zinc/66/48/22/730664822.db2.gz TYQYRWTWULWXEK-UHFFFAOYSA-N -1 1 337.330 -0.070 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ccc4[nH]c(=O)[nH]c4c3)C2)nc1=O ZINC001031022185 730665205 /nfs/dbraw/zinc/66/52/05/730665205.db2.gz XYSKQISAFGSQFO-UHFFFAOYSA-N -1 1 343.347 -0.696 20 0 EBADMM CN(C)c1cc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)ccn1 ZINC001031039557 730667365 /nfs/dbraw/zinc/66/73/65/730667365.db2.gz FEPHKCRMTWAKMX-UHFFFAOYSA-N -1 1 331.380 -0.816 20 0 EBADMM Cc1ncc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c(C(C)C)n1 ZINC001031046188 730668961 /nfs/dbraw/zinc/66/89/61/730668961.db2.gz TXDKQYBFVAHUEW-UHFFFAOYSA-N -1 1 345.407 -0.056 20 0 EBADMM C[C@H](c1nnnn1C)N1CC(NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001031061297 730669890 /nfs/dbraw/zinc/66/98/90/730669890.db2.gz VDNWSDRCFBBCCS-MRVPVSSYSA-N -1 1 344.379 -0.242 20 0 EBADMM CS(=O)(=O)CCN1CC(NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001031061434 730670323 /nfs/dbraw/zinc/67/03/23/730670323.db2.gz YOYINRDDWDXJQH-UHFFFAOYSA-N -1 1 340.405 -0.482 20 0 EBADMM Cc1ccnc(CN2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)n1 ZINC001031249634 730693411 /nfs/dbraw/zinc/69/34/11/730693411.db2.gz DFGMFJSYIVUKEK-UHFFFAOYSA-N -1 1 340.347 -0.870 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(Cc2ncc(C)o2)C1 ZINC001031250076 730693818 /nfs/dbraw/zinc/69/38/18/730693818.db2.gz RZNWTGNRMBNFRG-UHFFFAOYSA-N -1 1 335.320 -0.193 20 0 EBADMM Cc1nnsc1CN1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001031251134 730694854 /nfs/dbraw/zinc/69/48/54/730694854.db2.gz DTXPDPVTVAVQEW-UHFFFAOYSA-N -1 1 346.376 -0.808 20 0 EBADMM C[C@H](c1cnccn1)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001031251547 730695060 /nfs/dbraw/zinc/69/50/60/730695060.db2.gz OTGHJUHYFARWKQ-SECBINFHSA-N -1 1 340.347 -0.617 20 0 EBADMM Cc1cccc2c1C[C@H](C(=O)NC1CN(Cc3nc(=O)n(C)[n-]3)C1)O2 ZINC001031266229 730696731 /nfs/dbraw/zinc/69/67/31/730696731.db2.gz PCCYUUBQKRPWEZ-CQSZACIVSA-N -1 1 343.387 -0.279 20 0 EBADMM Cc1c(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)cnn1CC(C)C ZINC001031320649 730702329 /nfs/dbraw/zinc/70/23/29/730702329.db2.gz DIIUEUYCTXSJKW-UHFFFAOYSA-N -1 1 347.423 -0.116 20 0 EBADMM Cc1cc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1C(C)C ZINC001031496926 730730036 /nfs/dbraw/zinc/73/00/36/730730036.db2.gz HYFNEYFTZJARCV-UHFFFAOYSA-N -1 1 333.396 -0.192 20 0 EBADMM Cn1cc(Cl)c(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001031695541 730752502 /nfs/dbraw/zinc/75/25/02/730752502.db2.gz NIZCOJQDKZNHKF-UHFFFAOYSA-N -1 1 339.787 -0.643 20 0 EBADMM COc1nc(C)ncc1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031716766 730755895 /nfs/dbraw/zinc/75/58/95/730755895.db2.gz PUNUXOQWOBWIKH-UHFFFAOYSA-N -1 1 347.379 -0.923 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cccc(C(N)=O)c3)C2)nc1=O ZINC001031774994 730766413 /nfs/dbraw/zinc/76/64/13/730766413.db2.gz VQSFPXDOIDGJLL-UHFFFAOYSA-N -1 1 344.375 -0.931 20 0 EBADMM CN(C)Cc1cc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)no1 ZINC001031800240 730770777 /nfs/dbraw/zinc/77/07/77/730770777.db2.gz XRTSWCMZFIMOOV-UHFFFAOYSA-N -1 1 349.395 -0.980 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cnn(CCF)c3)C2)nc1=O ZINC001031802423 730771087 /nfs/dbraw/zinc/77/10/87/730771087.db2.gz QKCKTMOMDUKAQR-UHFFFAOYSA-N -1 1 337.359 -0.864 20 0 EBADMM Cc1noc(CCCN2CC(CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001031817502 730774469 /nfs/dbraw/zinc/77/44/69/730774469.db2.gz IXKLFFOOTNYXFR-UHFFFAOYSA-N -1 1 349.351 -0.731 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@@H]3C[C@H]3C3CCOCC3)C2)nc1=O ZINC001031838950 730778866 /nfs/dbraw/zinc/77/88/66/730778866.db2.gz XSDXRYISMRYYMC-UONOGXRCSA-N -1 1 349.435 -0.281 20 0 EBADMM CC(C)N1CCO[C@H](C(=O)NCC2CN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001031921082 730792289 /nfs/dbraw/zinc/79/22/89/730792289.db2.gz HLDRXQKIQWWDLL-LBPRGKRZSA-N -1 1 338.412 -0.833 20 0 EBADMM COc1ncccc1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031948514 730796389 /nfs/dbraw/zinc/79/63/89/730796389.db2.gz FIARGURALLAWPE-UHFFFAOYSA-N -1 1 332.364 -0.626 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3ocnc3C3CC3)C2)nc1=O ZINC001031972661 730798343 /nfs/dbraw/zinc/79/83/43/730798343.db2.gz YNRLKTWKVVCXQH-UHFFFAOYSA-N -1 1 332.364 -0.164 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@@H]3Cc4ccncc4C3)C2)nc1=O ZINC001031976338 730798971 /nfs/dbraw/zinc/79/89/71/730798971.db2.gz JJBVSSQRWJFNGO-CYBMUJFWSA-N -1 1 342.403 -0.534 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3ccc4c(c3)CCO4)C2)nc1=O ZINC001031998643 730801790 /nfs/dbraw/zinc/80/17/90/730801790.db2.gz MDGNYJHFPLKAHV-UHFFFAOYSA-N -1 1 343.387 -0.095 20 0 EBADMM CCCn1cc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)c(C)n1 ZINC001032071786 730810617 /nfs/dbraw/zinc/81/06/17/730810617.db2.gz PXKREOGIVXCVBV-UHFFFAOYSA-N -1 1 347.423 -0.115 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3ccc4nccn4c3)C2)nc1=O ZINC001032119866 730814376 /nfs/dbraw/zinc/81/43/76/730814376.db2.gz APQBCAMUEKCHNX-UHFFFAOYSA-N -1 1 341.375 -0.382 20 0 EBADMM O=C(NCC1CN(Cc2ccon2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001032128093 730816865 /nfs/dbraw/zinc/81/68/65/730816865.db2.gz QUZIRNNNPCCLDN-UHFFFAOYSA-N -1 1 329.320 -0.733 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cc(Cl)no3)C2)nc1=O ZINC001032144330 730818534 /nfs/dbraw/zinc/81/85/34/730818534.db2.gz RUOXSSHMMXFQDI-UHFFFAOYSA-N -1 1 326.744 -0.388 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cn4ccccc4n3)C2)nc1=O ZINC001032170375 730821300 /nfs/dbraw/zinc/82/13/00/730821300.db2.gz YRQBZGBYNPMCQC-UHFFFAOYSA-N -1 1 341.375 -0.382 20 0 EBADMM CC(C)c1ncncc1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032191921 730824456 /nfs/dbraw/zinc/82/44/56/730824456.db2.gz RLPPWYJNEANZGM-UHFFFAOYSA-N -1 1 345.407 -0.116 20 0 EBADMM CN(C)c1ccc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)nc1 ZINC001032214061 730831059 /nfs/dbraw/zinc/83/10/59/730831059.db2.gz NVUOHSVUGPLIPG-UHFFFAOYSA-N -1 1 345.407 -0.569 20 0 EBADMM Cc1cn(C)nc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032399545 730852326 /nfs/dbraw/zinc/85/23/26/730852326.db2.gz WPJHAMYZVXCHDT-QWRGUYRKSA-N -1 1 331.380 -0.751 20 0 EBADMM O=C(c1cccc2nn[nH]c21)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[n-]1 ZINC001032418389 730856172 /nfs/dbraw/zinc/85/61/72/730856172.db2.gz AIGJDAVZJMKGCD-IUCAKERBSA-N -1 1 340.347 -0.120 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)c2cnc3n2CCC3)nc1=O ZINC001032452702 730864283 /nfs/dbraw/zinc/86/42/83/730864283.db2.gz GUWBDJOLBCMPOS-QWRGUYRKSA-N -1 1 343.391 -0.650 20 0 EBADMM CCc1nn(C)cc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032464703 730867480 /nfs/dbraw/zinc/86/74/80/730867480.db2.gz UVUUYSHCUZYEGD-QWRGUYRKSA-N -1 1 345.407 -0.497 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)[C@@H]2CCC2(F)F)nc1=O ZINC001032467109 730868355 /nfs/dbraw/zinc/86/83/55/730868355.db2.gz BKUBZDOBCFAMMN-GUBZILKMSA-N -1 1 327.335 -0.061 20 0 EBADMM CC(C)n1cnc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)c1 ZINC001032540890 730885668 /nfs/dbraw/zinc/88/56/68/730885668.db2.gz UZBRQOCRINMHBJ-RYUDHWBXSA-N -1 1 345.407 -0.015 20 0 EBADMM COc1nc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)co1 ZINC001032542677 730886094 /nfs/dbraw/zinc/88/60/94/730886094.db2.gz VCMVCXUTMGGMNR-IUCAKERBSA-N -1 1 334.336 -0.796 20 0 EBADMM Cc1ncccc1CC(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032627324 730901124 /nfs/dbraw/zinc/90/11/24/730901124.db2.gz XAWYGMGNPILIBR-KBPBESRZSA-N -1 1 342.403 -0.160 20 0 EBADMM COc1cccnc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032750454 730923065 /nfs/dbraw/zinc/92/30/65/730923065.db2.gz FZBLUYVFEACWSH-QWRGUYRKSA-N -1 1 344.375 -0.389 20 0 EBADMM Cc1noc(C)c1CC(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032777359 730929562 /nfs/dbraw/zinc/92/95/62/730929562.db2.gz XTTQUOMDXQXRKL-RYUDHWBXSA-N -1 1 346.391 -0.259 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(Cc2nc(=O)n(C)[nH]2)C1 ZINC001033024230 730958917 /nfs/dbraw/zinc/95/89/17/730958917.db2.gz BNPHLPLJQVUDPG-JTQLQIEISA-N -1 1 332.364 -0.445 20 0 EBADMM Cc1ccc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001033080244 730967585 /nfs/dbraw/zinc/96/75/85/730967585.db2.gz CWWHWYOAGLEEFQ-LLVKDONJSA-N -1 1 331.380 -0.447 20 0 EBADMM COc1cc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)ncn1 ZINC001033080193 730967705 /nfs/dbraw/zinc/96/77/05/730967705.db2.gz FFAVZRFZKGGOKH-JTQLQIEISA-N -1 1 347.379 -0.747 20 0 EBADMM CN(C(=O)c1cnc2n1CCC2)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033158369 730980007 /nfs/dbraw/zinc/98/00/07/730980007.db2.gz YLSCBHYAWKZJMR-LLVKDONJSA-N -1 1 345.407 -0.402 20 0 EBADMM CC[C@@H]1CCO[C@@H]1C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033160570 730980273 /nfs/dbraw/zinc/98/02/73/730980273.db2.gz JRSGVIYBMOYBKS-BZPMIXESSA-N -1 1 337.424 -0.044 20 0 EBADMM CC[C@@H]1CCO[C@@H]1C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033160572 730980501 /nfs/dbraw/zinc/98/05/01/730980501.db2.gz JRSGVIYBMOYBKS-DYEKYZERSA-N -1 1 337.424 -0.044 20 0 EBADMM COc1cc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC001033251936 730996034 /nfs/dbraw/zinc/99/60/34/730996034.db2.gz ZIUNGZZWLDKXIP-JTQLQIEISA-N -1 1 349.395 -0.803 20 0 EBADMM Cc1ccn(CC(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001033437561 731023356 /nfs/dbraw/zinc/02/33/56/731023356.db2.gz JEIFEGWVWLGRAI-GFCCVEGCSA-N -1 1 333.396 -0.654 20 0 EBADMM CCn1cc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001033550010 731037848 /nfs/dbraw/zinc/03/78/48/731037848.db2.gz SBIBWNZFFVGNCC-SNVBAGLBSA-N -1 1 334.384 -0.934 20 0 EBADMM Cc1nc[nH]c1CC(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033619580 731048179 /nfs/dbraw/zinc/04/81/79/731048179.db2.gz LQEPNMWOZOLPNE-NSHDSACASA-N -1 1 333.396 -0.585 20 0 EBADMM CCN(C(=O)c1nccnc1N)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033782283 731073149 /nfs/dbraw/zinc/07/31/49/731073149.db2.gz TUOYMBVEKFQELX-SNVBAGLBSA-N -1 1 346.395 -0.783 20 0 EBADMM CCN(C(=O)c1cn(C)cn1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033793515 731074141 /nfs/dbraw/zinc/07/41/41/731074141.db2.gz BBLOZDONOCIXDW-NSHDSACASA-N -1 1 333.396 -0.422 20 0 EBADMM CCN(C(=O)c1cnc[nH]c1=O)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033871609 731082518 /nfs/dbraw/zinc/08/25/18/731082518.db2.gz NOUFVZAGWFXKGG-JTQLQIEISA-N -1 1 347.379 -0.659 20 0 EBADMM CCN(C(=O)c1nc(C)c[nH]1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033981124 731095206 /nfs/dbraw/zinc/09/52/06/731095206.db2.gz CIYPXBVCBPZYGW-NSHDSACASA-N -1 1 333.396 -0.123 20 0 EBADMM CCN(C(=O)c1[nH]cnc1C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034057865 731101632 /nfs/dbraw/zinc/10/16/32/731101632.db2.gz AGKTWWNBSWEPOW-NSHDSACASA-N -1 1 333.396 -0.123 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H](NC(=O)C3=CCOCC3)C2)nc1=O ZINC001034179170 731108381 /nfs/dbraw/zinc/10/83/81/731108381.db2.gz YMEIFFZAPHYGSU-ZDUSSCGKSA-N -1 1 335.408 -0.074 20 0 EBADMM CCn1nncc1C(=O)N[C@@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034197343 731110165 /nfs/dbraw/zinc/11/01/65/731110165.db2.gz RZKIYBTYPMRTNB-LLVKDONJSA-N -1 1 348.411 -0.496 20 0 EBADMM Cn1cncc1C(=O)N[C@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034296820 731118270 /nfs/dbraw/zinc/11/82/70/731118270.db2.gz NNQGCEILPMDCIY-NSHDSACASA-N -1 1 333.396 -0.374 20 0 EBADMM CC[C@H](C(N)=O)N1CCCC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001034292963 731118410 /nfs/dbraw/zinc/11/84/10/731118410.db2.gz URMSBVPPMBJABG-RKDXNWHRSA-N -1 1 338.368 -0.869 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H](NC(=O)c3csnn3)C2)nc1=O ZINC001034335580 731121720 /nfs/dbraw/zinc/12/17/20/731121720.db2.gz VWJIUUXKMGOIGX-SECBINFHSA-N -1 1 337.409 -0.256 20 0 EBADMM Cc1cnn(C)c1C(=O)N[C@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034345897 731123676 /nfs/dbraw/zinc/12/36/76/731123676.db2.gz BOUJFRDBBXQMID-LBPRGKRZSA-N -1 1 347.423 -0.065 20 0 EBADMM Cn1cc(C(=O)N[C@@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)[nH]c1=O ZINC001034377316 731126919 /nfs/dbraw/zinc/12/69/19/731126919.db2.gz RZOQHWDRZKRBGM-SNVBAGLBSA-N -1 1 349.395 -0.668 20 0 EBADMM Cc1nccc(C(=O)N[C@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001034531534 731139535 /nfs/dbraw/zinc/13/95/35/731139535.db2.gz KYFJYMZKJJAZEN-LBPRGKRZSA-N -1 1 345.407 -0.009 20 0 EBADMM O=C(c1ccnc2ccnn21)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034954776 731167166 /nfs/dbraw/zinc/16/71/66/731167166.db2.gz QHKUFAYRLDOWQU-SNVBAGLBSA-N -1 1 342.363 -0.053 20 0 EBADMM O=C(C[C@@H]1CCC(=O)NC1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034956779 731167731 /nfs/dbraw/zinc/16/77/31/731167731.db2.gz YHXWWFGIXIOWPD-WDEREUQCSA-N -1 1 336.396 -0.493 20 0 EBADMM O=C(c1cc2n(n1)CCO2)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034960434 731168674 /nfs/dbraw/zinc/16/86/74/731168674.db2.gz BFOACIPTTDCZNY-SECBINFHSA-N -1 1 333.352 -0.506 20 0 EBADMM NC(=O)Cc1occc1C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035029056 731178562 /nfs/dbraw/zinc/17/85/62/731178562.db2.gz UMKRSFSJDVKGIK-SECBINFHSA-N -1 1 348.363 -0.475 20 0 EBADMM O=C([C@H]1CCCc2nn[nH]c21)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035030257 731178790 /nfs/dbraw/zinc/17/87/90/731178790.db2.gz KWQIURYFKXYSBG-ZJUUUORDSA-N -1 1 346.395 -0.171 20 0 EBADMM O=C([C@@H]1CCCc2nn[nH]c21)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035030256 731178808 /nfs/dbraw/zinc/17/88/08/731178808.db2.gz KWQIURYFKXYSBG-NXEZZACHSA-N -1 1 346.395 -0.171 20 0 EBADMM NC(=O)[C@@H]1CC[C@H](C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)C1 ZINC001035051016 731180828 /nfs/dbraw/zinc/18/08/28/731180828.db2.gz HALCUTOZZMTCQG-OUAUKWLOSA-N -1 1 336.396 -0.508 20 0 EBADMM Cn1cncc1CCC(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035113989 731187007 /nfs/dbraw/zinc/18/70/07/731187007.db2.gz ILJQGDMZRZGOAB-GFCCVEGCSA-N -1 1 333.396 -0.043 20 0 EBADMM Cc1[nH]nc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)c1C ZINC001035325071 731215587 /nfs/dbraw/zinc/21/55/87/731215587.db2.gz WWWJFHYQOXGNHK-NSHDSACASA-N -1 1 349.395 -0.921 20 0 EBADMM O=C(NC[C@@H]1CN([C@H]2CCC(=O)NC2=O)CCO1)c1ncccc1[O-] ZINC001035343342 731221764 /nfs/dbraw/zinc/22/17/64/731221764.db2.gz HVZDTKCVZLPGNQ-MNOVXSKESA-N -1 1 348.359 -0.977 20 0 EBADMM C[C@H](c1nnnn1C)N1CCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001035344007 731221992 /nfs/dbraw/zinc/22/19/92/731221992.db2.gz NXYRHWAMVPCVIZ-GHMZBOCLSA-N -1 1 347.379 -0.498 20 0 EBADMM O=C(NC[C@H]1CN(CCn2cncn2)CCO1)c1ncccc1[O-] ZINC001035344316 731222461 /nfs/dbraw/zinc/22/24/61/731222461.db2.gz PQNXSBZRKXCLAH-LBPRGKRZSA-N -1 1 332.364 -0.491 20 0 EBADMM Cc1cc[nH]c1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035353797 731226288 /nfs/dbraw/zinc/22/62/88/731226288.db2.gz FUDMXVUSZYTCTB-LLVKDONJSA-N -1 1 334.380 -0.624 20 0 EBADMM C[C@@H]1CCCN(C(=O)Cn2nccn2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036553540 731262146 /nfs/dbraw/zinc/26/21/46/731262146.db2.gz RDSUXOJVCAAGKM-MNOVXSKESA-N -1 1 334.384 -0.481 20 0 EBADMM C[C@@H]1CCCN(C(=O)Cn2cncn2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036595599 731263227 /nfs/dbraw/zinc/26/32/27/731263227.db2.gz DAHXIDQRYMSMOW-GHMZBOCLSA-N -1 1 334.384 -0.481 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@H]2CCCc3c[nH]nc32)nc1=O ZINC001038262359 731300483 /nfs/dbraw/zinc/30/04/83/731300483.db2.gz MSJPSVAAFOGOBM-RYUDHWBXSA-N -1 1 345.407 -0.358 20 0 EBADMM Cn1nc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)cc1C1CC1 ZINC001038316769 731306135 /nfs/dbraw/zinc/30/61/35/731306135.db2.gz WZBHHMDWSCHLDH-LLVKDONJSA-N -1 1 345.407 -0.276 20 0 EBADMM COc1cc(C)cnc1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038339995 731309333 /nfs/dbraw/zinc/30/93/33/731309333.db2.gz CEKMKEBAGDOCEB-NSHDSACASA-N -1 1 346.391 -0.175 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2snnc2C2CC2)nc1=O ZINC001038372624 731314557 /nfs/dbraw/zinc/31/45/57/731314557.db2.gz ZFFOBIQATVLQCU-SECBINFHSA-N -1 1 349.420 -0.158 20 0 EBADMM COc1cncc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)c1C ZINC001038405315 731319533 /nfs/dbraw/zinc/31/95/33/731319533.db2.gz JBKIHEHZCICYBI-LLVKDONJSA-N -1 1 346.391 -0.175 20 0 EBADMM COCc1ccc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)o1 ZINC001038483802 731333899 /nfs/dbraw/zinc/33/38/99/731333899.db2.gz HLHRLHNNDBHFHY-JTQLQIEISA-N -1 1 335.364 -0.148 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2ccc3[nH]ccc3n2)nc1=O ZINC001038518311 731338667 /nfs/dbraw/zinc/33/86/67/731338667.db2.gz PIGKSMNQEIBZLK-JTQLQIEISA-N -1 1 341.375 -0.011 20 0 EBADMM CCc1cc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)cc(=O)[nH]1 ZINC001038519828 731339104 /nfs/dbraw/zinc/33/91/04/731339104.db2.gz ZESQLOIPZHURJD-GFCCVEGCSA-N -1 1 346.391 -0.224 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2occ3c2CCOC3)nc1=O ZINC001038558741 731343831 /nfs/dbraw/zinc/34/38/31/731343831.db2.gz OBLWJXWCSBVOQA-LLVKDONJSA-N -1 1 347.375 -0.222 20 0 EBADMM CCOc1cc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)ccn1 ZINC001038689032 731364490 /nfs/dbraw/zinc/36/44/90/731364490.db2.gz YIWZGATUUXAAHQ-GFCCVEGCSA-N -1 1 346.391 -0.094 20 0 EBADMM Cn1cc([C@@H]2C[C@H]2C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001038721066 731369422 /nfs/dbraw/zinc/36/94/22/731369422.db2.gz XCUYFBFCESJDDS-RWMBFGLXSA-N -1 1 345.407 -0.664 20 0 EBADMM CCn1nc(C)cc1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038729352 731370647 /nfs/dbraw/zinc/37/06/47/731370647.db2.gz HPZPECKTILJTFJ-LLVKDONJSA-N -1 1 333.396 -0.362 20 0 EBADMM Cn1nnnc1CN1CC[C@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001038783251 731376229 /nfs/dbraw/zinc/37/62/29/731376229.db2.gz ZZTGSIKXVILZRJ-JTQLQIEISA-N -1 1 344.379 -0.413 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cnn(C(F)F)c2)nc1=O ZINC001038845412 731384397 /nfs/dbraw/zinc/38/43/97/731384397.db2.gz KQHGDQNADSELQL-VIFPVBQESA-N -1 1 341.322 -0.296 20 0 EBADMM CCc1c[nH]c(=O)c(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)c1 ZINC001038868457 731386973 /nfs/dbraw/zinc/38/69/73/731386973.db2.gz NPDVJMQBKQIMPU-LLVKDONJSA-N -1 1 346.391 -0.224 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cnn(CC3CC3)c2)nc1=O ZINC001038896363 731388990 /nfs/dbraw/zinc/38/89/90/731388990.db2.gz AOIHEGJHCYQEKG-ZDUSSCGKSA-N -1 1 345.407 -0.281 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCN1Cc1cnnn1C ZINC001038916541 731391850 /nfs/dbraw/zinc/39/18/50/731391850.db2.gz FNNKKRWWPMDRFS-QMMMGPOBSA-N -1 1 349.351 -0.971 20 0 EBADMM Cc1oncc1CN1CC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001038919464 731392871 /nfs/dbraw/zinc/39/28/71/731392871.db2.gz CSUQBLRYDYDPSW-LLVKDONJSA-N -1 1 343.347 -0.282 20 0 EBADMM O=C(NC[C@H]1CCN1Cc1cccnc1)c1cc(=O)n2[n-]cnc2n1 ZINC001038921961 731393167 /nfs/dbraw/zinc/39/31/67/731393167.db2.gz NTTSABMXJHXGMA-GFCCVEGCSA-N -1 1 339.359 -0.183 20 0 EBADMM O=C(NC[C@H]1CCN1Cc1cnsn1)c1cc(=O)n2[n-]cnc2n1 ZINC001038924164 731393638 /nfs/dbraw/zinc/39/36/38/731393638.db2.gz XLPZXIYMRQPQIB-SECBINFHSA-N -1 1 346.376 -0.727 20 0 EBADMM Cn1nccc1CN1CC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001038922848 731393674 /nfs/dbraw/zinc/39/36/74/731393674.db2.gz QTVQCIUSPMWAMP-JTQLQIEISA-N -1 1 342.363 -0.845 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@H]2Cc3cccnc3C2)nc1=O ZINC001038968738 731397252 /nfs/dbraw/zinc/39/72/52/731397252.db2.gz MAKFBFSBZWGHIL-QWHCGFSZSA-N -1 1 342.403 -0.391 20 0 EBADMM CN(C)c1ccc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)nc1 ZINC001039064041 731414069 /nfs/dbraw/zinc/41/40/69/731414069.db2.gz VODJTGPCIONQQN-LBPRGKRZSA-N -1 1 345.407 -0.426 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CC[C@@H](C2)N3C(=O)Cc2ccon2)nc1=O ZINC001039348270 731428408 /nfs/dbraw/zinc/42/84/08/731428408.db2.gz HVYNUHQJYBPAEK-OLZOCXBDSA-N -1 1 346.391 -0.096 20 0 EBADMM Cn1ccc(C(=O)NC[C@@]2(O)CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001039760639 731523786 /nfs/dbraw/zinc/52/37/86/731523786.db2.gz QLSKXESKNOECIF-INIZCTEOSA-N -1 1 345.359 -0.472 20 0 EBADMM O=C(NC[C@]1(O)CCN(C(=O)c2ncccc2[O-])C1)[C@H]1CCOC1 ZINC001040048639 731575833 /nfs/dbraw/zinc/57/58/33/731575833.db2.gz IOXSVLCNJADRGS-MEDUHNTESA-N -1 1 335.360 -0.483 20 0 EBADMM COCC1(C(=O)NC[C@@]2(O)CCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001040297910 731689227 /nfs/dbraw/zinc/68/92/27/731689227.db2.gz RUXYCDKRINGZMX-KRWDZBQOSA-N -1 1 349.387 -0.093 20 0 EBADMM Cn1[n-]c(CN2CCC[C@]3(CCN(C(=O)c4cnon4)C3)C2)nc1=O ZINC001040457956 731742375 /nfs/dbraw/zinc/74/23/75/731742375.db2.gz BKZUTIHFOMCMJS-HNNXBMFYSA-N -1 1 347.379 -0.380 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(CCN(C(=O)c4ccon4)C3)C2)nc1=O ZINC001041095981 731989029 /nfs/dbraw/zinc/98/90/29/731989029.db2.gz AAPHUGPYJONXSD-OAHLLOKOSA-N -1 1 332.364 -0.165 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(CCN(C(=O)C[C@H]4CCOC4)C3)C2)nc1=O ZINC001041163777 732015132 /nfs/dbraw/zinc/01/51/32/732015132.db2.gz HXOMNWKDWZLJPE-DYVFJYSZSA-N -1 1 349.435 -0.041 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)c4cnccn4)C[C@@H]32)nc1=O ZINC001041909050 732252269 /nfs/dbraw/zinc/25/22/69/732252269.db2.gz HLBPZGAXXNXMOS-YPMHNXCESA-N -1 1 343.391 -0.365 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)c4cn[nH]c4)C[C@H]32)nc1=O ZINC001041954842 732263000 /nfs/dbraw/zinc/26/30/00/732263000.db2.gz RAZPVLFHQXAJPY-CMPLNLGQSA-N -1 1 331.380 -0.432 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)c4cn[nH]c4)C[C@@H]32)nc1=O ZINC001041954844 732263041 /nfs/dbraw/zinc/26/30/41/732263041.db2.gz RAZPVLFHQXAJPY-PWSUYJOCSA-N -1 1 331.380 -0.432 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CC[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C1 ZINC001042179209 732345714 /nfs/dbraw/zinc/34/57/14/732345714.db2.gz JJACGRMXAQATKN-WDEREUQCSA-N -1 1 346.395 -0.728 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CC[C@@H]2CCN(Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001042179041 732345728 /nfs/dbraw/zinc/34/57/28/732345728.db2.gz IPRJZIDIMLNPPG-UWVGGRQHSA-N -1 1 332.368 -0.327 20 0 EBADMM O=C(Cc1cc[nH]n1)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001042259327 732378715 /nfs/dbraw/zinc/37/87/15/732378715.db2.gz KQFVGFDMHPKLOJ-UHFFFAOYSA-N -1 1 331.332 -0.944 20 0 EBADMM O=C(Cc1cccnc1)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001042561321 732477826 /nfs/dbraw/zinc/47/78/26/732477826.db2.gz HZNLAYSQTFXAGW-UHFFFAOYSA-N -1 1 342.355 -0.272 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(CC(=O)Nc2cnccn2)C1 ZINC001042644613 732511791 /nfs/dbraw/zinc/51/17/91/732511791.db2.gz ADVGBGGTTCTSDK-UHFFFAOYSA-N -1 1 342.359 -0.028 20 0 EBADMM C[C@@H]1CN(C(=O)CN2CC(N(C)C(=O)c3ncccc3[O-])C2)CCO1 ZINC001042646622 732512678 /nfs/dbraw/zinc/51/26/78/732512678.db2.gz URRZFBQZCMNRGB-GFCCVEGCSA-N -1 1 348.403 -0.209 20 0 EBADMM CC(=O)CCCC(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042712512 732546000 /nfs/dbraw/zinc/54/60/00/732546000.db2.gz BEPZJKDMKXPETH-UHFFFAOYSA-N -1 1 335.360 -0.150 20 0 EBADMM CCO[C@H]1C[C@@H]1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042712541 732546045 /nfs/dbraw/zinc/54/60/45/732546045.db2.gz BXTJPFNHVQBBPU-JQWIXIFHSA-N -1 1 335.360 -0.485 20 0 EBADMM CO[C@@H](C)CCC(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042712741 732546123 /nfs/dbraw/zinc/54/61/23/732546123.db2.gz GYSPUBQIYKSIRJ-NSHDSACASA-N -1 1 337.376 -0.095 20 0 EBADMM Cc1cnn(CC(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)c1 ZINC001042712957 732546594 /nfs/dbraw/zinc/54/65/94/732546594.db2.gz LLQDVPYXDOFGEL-UHFFFAOYSA-N -1 1 345.359 -0.705 20 0 EBADMM O=C(COCC1CC1)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042713088 732546616 /nfs/dbraw/zinc/54/66/16/732546616.db2.gz OAHNFBBEPZBZAM-UHFFFAOYSA-N -1 1 335.360 -0.483 20 0 EBADMM O=C(NCC1(O)CN(C(=O)[C@@H]2CCCCO2)C1)c1ncccc1[O-] ZINC001042713107 732546677 /nfs/dbraw/zinc/54/66/77/732546677.db2.gz OJZIFHVJTRVRIZ-LBPRGKRZSA-N -1 1 335.360 -0.341 20 0 EBADMM Cc1nn(C)cc1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042713370 732547380 /nfs/dbraw/zinc/54/73/80/732547380.db2.gz VESLAPATECXPDC-UHFFFAOYSA-N -1 1 345.359 -0.554 20 0 EBADMM Cc1ccnc(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)n1 ZINC001042713381 732547397 /nfs/dbraw/zinc/54/73/97/732547397.db2.gz VZUAKXWWVQMGIB-UHFFFAOYSA-N -1 1 343.343 -0.497 20 0 EBADMM COC[C@@H](C)CC(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042716565 732548696 /nfs/dbraw/zinc/54/86/96/732548696.db2.gz SKVLNYBNCFWLCI-NSHDSACASA-N -1 1 337.376 -0.237 20 0 EBADMM Cc1nocc1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042716605 732548711 /nfs/dbraw/zinc/54/87/11/732548711.db2.gz ULQAHQNXYAECTK-UHFFFAOYSA-N -1 1 332.316 -0.299 20 0 EBADMM C[C@@H]1OCC[C@@]1(C)C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042719568 732550150 /nfs/dbraw/zinc/55/01/50/732550150.db2.gz GGRRVWCZACVQSZ-MEDUHNTESA-N -1 1 349.387 -0.095 20 0 EBADMM C[C@H]1OCCC[C@@H]1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001042808924 732596392 /nfs/dbraw/zinc/59/63/92/732596392.db2.gz FVVZMGCBPZZAJT-NEPJUHHUSA-N -1 1 349.387 -0.095 20 0 EBADMM CCOc1cc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC001043040432 732725699 /nfs/dbraw/zinc/72/56/99/732725699.db2.gz JTKPGTWDMWPUMH-UHFFFAOYSA-N -1 1 335.368 -0.813 20 0 EBADMM CN(C(=O)c1n[nH]c(=O)[n-]c1=O)C1CN(CCC2CCOCC2)C1 ZINC001043231062 732837370 /nfs/dbraw/zinc/83/73/70/732837370.db2.gz PJYHGAZWHRTCHY-UHFFFAOYSA-N -1 1 337.380 -0.144 20 0 EBADMM C[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1Nc1ncccn1 ZINC001043256872 732844894 /nfs/dbraw/zinc/84/48/94/732844894.db2.gz HMZFWQIIEWOSSW-MWLCHTKSSA-N -1 1 340.347 -0.220 20 0 EBADMM O=C(NC[C@@H]1CCN(c2ncccn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001061626366 738656889 /nfs/dbraw/zinc/65/68/89/738656889.db2.gz HLMILUCMTDWAHZ-JTQLQIEISA-N -1 1 340.347 -0.536 20 0 EBADMM CN(C(=O)c1cc(C2CC2)on1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043495884 732975371 /nfs/dbraw/zinc/97/53/71/732975371.db2.gz NDTMYFZWVCMUHY-UHFFFAOYSA-N -1 1 332.364 -0.070 20 0 EBADMM CCOCC1(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001043611541 733022951 /nfs/dbraw/zinc/02/29/51/733022951.db2.gz VWDIVPRFVPKIJU-UHFFFAOYSA-N -1 1 349.387 -0.093 20 0 EBADMM CN(C(=O)c1cc(C(F)F)n[nH]1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043836594 733142644 /nfs/dbraw/zinc/14/26/44/733142644.db2.gz CPNVBMBTXTZRSA-UHFFFAOYSA-N -1 1 341.322 -0.275 20 0 EBADMM C[C@@H]1OCC[C@@]1(C)C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001043943692 733212592 /nfs/dbraw/zinc/21/25/92/733212592.db2.gz DASVKMJKYZELLU-MEDUHNTESA-N -1 1 349.387 -0.095 20 0 EBADMM CN(C(=O)c1ccc2cccnn21)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044018858 733248912 /nfs/dbraw/zinc/24/89/12/733248912.db2.gz PNXRZKPVILBTTF-UHFFFAOYSA-N -1 1 341.375 -0.288 20 0 EBADMM Cc1conc1CN1CC(N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001044180608 733320193 /nfs/dbraw/zinc/32/01/93/733320193.db2.gz HRFYIIPGVMZCRD-UHFFFAOYSA-N -1 1 343.347 -0.330 20 0 EBADMM C[C@@H](C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1)c1cccnc1 ZINC001044199786 733325860 /nfs/dbraw/zinc/32/58/60/733325860.db2.gz VOGPPMNPXLEFKW-LLVKDONJSA-N -1 1 330.392 -0.050 20 0 EBADMM Cc1noc(C)c1CC(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044285064 733355719 /nfs/dbraw/zinc/35/57/19/733355719.db2.gz RCYKZOOGAUUVGI-UHFFFAOYSA-N -1 1 334.380 -0.401 20 0 EBADMM C[C@H](C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1)C1CCOCC1 ZINC001044382658 733408585 /nfs/dbraw/zinc/40/85/85/733408585.db2.gz AAVRGNCFTZJYFD-NSHDSACASA-N -1 1 337.424 -0.186 20 0 EBADMM C[C@H]1CO[C@H](C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001044564811 733453087 /nfs/dbraw/zinc/45/30/87/733453087.db2.gz QBMPABDDNCOOIN-PWSUYJOCSA-N -1 1 335.360 -0.485 20 0 EBADMM C[C@H]1C[C@@H](NCc2ncnn2C)CCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001044662862 733474618 /nfs/dbraw/zinc/47/46/18/733474618.db2.gz FMJMCWKJUGMGIW-IUCAKERBSA-N -1 1 348.367 -0.806 20 0 EBADMM C[C@@H]1C[C@H](NCc2nncn2C)CCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001044663488 733474861 /nfs/dbraw/zinc/47/48/61/733474861.db2.gz LJCQGOQHQFFUGB-RKDXNWHRSA-N -1 1 348.367 -0.806 20 0 EBADMM O=C(c1cnc2n1CCOC2)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045075545 733573914 /nfs/dbraw/zinc/57/39/14/733573914.db2.gz IYYJGIYFGUTKGG-JTQLQIEISA-N -1 1 347.379 -0.369 20 0 EBADMM O=C(CC1CS(=O)(=O)C1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045100434 733581781 /nfs/dbraw/zinc/58/17/81/733581781.db2.gz ZEFBKUVGNNGVPE-JTQLQIEISA-N -1 1 343.409 -0.974 20 0 EBADMM Cc1ncc(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)n1C ZINC001045148243 733601494 /nfs/dbraw/zinc/60/14/94/733601494.db2.gz NVFQQRDNWAMEKL-UHFFFAOYSA-N -1 1 345.359 -0.554 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045165969 733607518 /nfs/dbraw/zinc/60/75/18/733607518.db2.gz ZOOYWWYKUJXMQY-VIFPVBQESA-N -1 1 333.352 -0.291 20 0 EBADMM Cc1ccn(CC(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)c(=O)c1 ZINC001045222881 733627187 /nfs/dbraw/zinc/62/71/87/733627187.db2.gz HCBAOGKKYUOWRB-LBPRGKRZSA-N -1 1 346.391 -0.239 20 0 EBADMM CC(=O)N[C@@H]1CC[C@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@H]21 ZINC000979860413 805588393 /nfs/dbraw/zinc/58/83/93/805588393.db2.gz CYJCKBBFLJSJRL-YNEHKIRRSA-N -1 1 334.376 -0.700 20 0 EBADMM CC(=O)N1CCC[C@@H]1C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045326855 733669581 /nfs/dbraw/zinc/66/95/81/733669581.db2.gz FPGANEPPIRMVKP-NWDGAFQWSA-N -1 1 336.396 -0.398 20 0 EBADMM Cn1[n-]c(CN2CCC(C)(NC(=O)c3cnccn3)CC2)nc1=O ZINC001045353866 733674773 /nfs/dbraw/zinc/67/47/73/733674773.db2.gz TWINOYPVOBPRSY-UHFFFAOYSA-N -1 1 331.380 -0.317 20 0 EBADMM Cn1[n-]c(CN2CCC(C)(NC(=O)C3=CCOCC3)CC2)nc1=O ZINC001045415119 733691028 /nfs/dbraw/zinc/69/10/28/733691028.db2.gz UGALXKOWJCEZEP-UHFFFAOYSA-N -1 1 335.408 -0.074 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](N3CCN(C(=O)CC4CC4)CC3)C2)nc1=O ZINC001045993855 733789265 /nfs/dbraw/zinc/78/92/65/733789265.db2.gz BWMVHNXXQOJJII-CQSZACIVSA-N -1 1 348.451 -0.373 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](N3CCN(C(=O)C(F)F)CC3)C2)nc1=O ZINC001045993523 733789322 /nfs/dbraw/zinc/78/93/22/733789322.db2.gz WQBHTRRTRWFVQQ-JTQLQIEISA-N -1 1 344.366 -0.908 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCN([C@@H]2CCN(CCF)C2)CC1 ZINC001046041093 733825554 /nfs/dbraw/zinc/82/55/54/733825554.db2.gz CESXIJPZMGUXOP-SNVBAGLBSA-N -1 1 340.359 -0.916 20 0 EBADMM O=C(Cc1cnoc1)NC[C@@H]1COCCN1C(=O)c1ncccc1[O-] ZINC001061800484 738756391 /nfs/dbraw/zinc/75/63/91/738756391.db2.gz IDTQJTIZCXLPSC-GFCCVEGCSA-N -1 1 346.343 -0.025 20 0 EBADMM Cc1cc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001046178744 733872188 /nfs/dbraw/zinc/87/21/88/733872188.db2.gz WEPNYDCNTZIOCO-HNNXBMFYSA-N -1 1 333.396 -0.455 20 0 EBADMM CCn1cc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001046201279 733876440 /nfs/dbraw/zinc/87/64/40/733876440.db2.gz ZGTRDBONOUPYIW-OAHLLOKOSA-N -1 1 333.396 -0.281 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](C)(NC(=O)c3cccc(=O)[nH]3)C2)nc1=O ZINC001046215831 733883002 /nfs/dbraw/zinc/88/30/02/733883002.db2.gz IDBSHPPIRRRRQQ-OAHLLOKOSA-N -1 1 332.364 -0.397 20 0 EBADMM Cc1cc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)ncn1 ZINC001046235620 733889380 /nfs/dbraw/zinc/88/93/80/733889380.db2.gz IHMJDOBSIIGOEF-OAHLLOKOSA-N -1 1 331.380 -0.399 20 0 EBADMM C[C@H](C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCCO1 ZINC001046249396 733894807 /nfs/dbraw/zinc/89/48/07/733894807.db2.gz FGBVYTQXFPNNOC-AAEUAGOBSA-N -1 1 349.387 -0.095 20 0 EBADMM CCc1ncoc1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001046327560 733908997 /nfs/dbraw/zinc/90/89/97/733908997.db2.gz CMFHFWCKUHJVCP-UHFFFAOYSA-N -1 1 346.343 -0.046 20 0 EBADMM COc1cc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC001046473941 733944088 /nfs/dbraw/zinc/94/40/88/733944088.db2.gz RMSAWBORRFMIPD-HNNXBMFYSA-N -1 1 349.395 -0.755 20 0 EBADMM C[C@]1(NC(=O)[C@@H]2CCCc3n[nH]nc32)CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001046574689 733968571 /nfs/dbraw/zinc/96/85/71/733968571.db2.gz KXDOVRBFUVAHGO-PSLIRLAXSA-N -1 1 346.395 -0.171 20 0 EBADMM Cn1[n-]c(CN2CC[C@](C)(NC(=O)[C@@H]3C[C@H]4CC[C@@H]3O4)C2)nc1=O ZINC001046640165 733988510 /nfs/dbraw/zinc/98/85/10/733988510.db2.gz DGPDWRZUFGVGFA-YMEQNVIZSA-N -1 1 335.408 -0.244 20 0 EBADMM CC[C@@H](C(N)=O)N1CC[C@@](C)(NC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001046685425 734004503 /nfs/dbraw/zinc/00/45/03/734004503.db2.gz ZNBUJYWVCUYDGV-MEDUHNTESA-N -1 1 346.391 -0.519 20 0 EBADMM COc1ccc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001046757349 734020479 /nfs/dbraw/zinc/02/04/79/734020479.db2.gz HMPJOZSZZPJOMN-HNNXBMFYSA-N -1 1 347.379 -0.699 20 0 EBADMM Cn1[n-]c(CN2CC[C@](C)(NC(=O)c3ccc(=O)[nH]c3)C2)nc1=O ZINC001046816086 734033756 /nfs/dbraw/zinc/03/37/56/734033756.db2.gz HOSAFEWEEWIRJA-HNNXBMFYSA-N -1 1 332.364 -0.397 20 0 EBADMM O=C(Cc1cc[nH]n1)N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047045427 734085001 /nfs/dbraw/zinc/08/50/01/734085001.db2.gz RINNBVRZFFUDKS-WCQGTBRESA-N -1 1 331.380 -0.055 20 0 EBADMM Cn1nccc1CC(=O)N[C@H]1C[C@H]2C[C@@H](C1)[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001047061524 734087912 /nfs/dbraw/zinc/08/79/12/734087912.db2.gz QMZFOPBPIZAEQN-GVXVVHGQSA-N -1 1 345.407 -0.044 20 0 EBADMM Cn1nccc1CC(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047061524 734087916 /nfs/dbraw/zinc/08/79/16/734087916.db2.gz QMZFOPBPIZAEQN-GVXVVHGQSA-N -1 1 345.407 -0.044 20 0 EBADMM O=C(N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2)c1c[nH]c(=O)cn1 ZINC001047132626 734099054 /nfs/dbraw/zinc/09/90/54/734099054.db2.gz BHJCWQMYAWOPNO-AEJSXWLSSA-N -1 1 345.363 -0.624 20 0 EBADMM C[C@@H](C(=O)NC1CC1)N1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001047358482 734152121 /nfs/dbraw/zinc/15/21/21/734152121.db2.gz ZJKZXHQIMVHMRN-JKOKRWQUSA-N -1 1 348.403 -0.429 20 0 EBADMM Cc1coc(C)c1C(=O)N(C)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047371198 734159814 /nfs/dbraw/zinc/15/98/14/734159814.db2.gz YUNVMISEWZREQM-RYUDHWBXSA-N -1 1 349.391 -0.364 20 0 EBADMM CN(C(=O)[C@H]1C[C@H]2C[C@H]2C1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047496236 734225411 /nfs/dbraw/zinc/22/54/11/734225411.db2.gz VUFBEYSFWJQRHC-QWQWKMKNSA-N -1 1 335.408 -0.842 20 0 EBADMM C[C@H](C(=O)N(C)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O)c1ccco1 ZINC001047524905 734237416 /nfs/dbraw/zinc/23/74/16/734237416.db2.gz VGYKZZZZBSHPPL-SRVKXCTJSA-N -1 1 349.391 -0.491 20 0 EBADMM CN(C(=O)[C@@H]1C[C@H]1C1CC1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047557635 734253136 /nfs/dbraw/zinc/25/31/36/734253136.db2.gz FNRQKCNTKSYYOC-RNJOBUHISA-N -1 1 335.408 -0.842 20 0 EBADMM C[C@H](NCc1cnn(C)n1)[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001047893835 734319237 /nfs/dbraw/zinc/31/92/37/734319237.db2.gz HGANHBSVCWHRGX-DTWKUNHWSA-N -1 1 348.367 -0.948 20 0 EBADMM COC[C@@H](C)C(=O)N(C)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001048534798 734437568 /nfs/dbraw/zinc/43/75/68/734437568.db2.gz FBEFAOXFDAWROY-MDZLAQPJSA-N -1 1 337.376 -0.287 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4cncnc4)C[C@@H]3C2)nc1=O ZINC001048779296 734505909 /nfs/dbraw/zinc/50/59/09/734505909.db2.gz FZKVDVCDUFHVRY-TXEJJXNPSA-N -1 1 329.364 -0.898 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@@H]2CCCN3C(=O)c2cnccn2)nc1=O ZINC001049301685 734705149 /nfs/dbraw/zinc/70/51/49/734705149.db2.gz KNUXOXSVVSBQDF-STQMWFEESA-N -1 1 343.391 -0.223 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@H]2CCCN3C(=O)[C@H]2CCCO2)nc1=O ZINC001049309282 734706584 /nfs/dbraw/zinc/70/65/84/734706584.db2.gz VWWZRKIDGQLECQ-JHJVBQTASA-N -1 1 335.408 -0.147 20 0 EBADMM Cn1nncc1C(=O)N1CCC[C@H]2[C@H]1CCN2Cc1nc(=O)n(C)[n-]1 ZINC001049451733 734734068 /nfs/dbraw/zinc/73/40/68/734734068.db2.gz UPLAFHWRVQMTTQ-WDEREUQCSA-N -1 1 346.395 -0.884 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@@H]2CCCN3C(=O)c2ncc[nH]2)nc1=O ZINC001049472438 734738098 /nfs/dbraw/zinc/73/80/98/734738098.db2.gz UGBPDGBZTJJWJO-WDEREUQCSA-N -1 1 331.380 -0.289 20 0 EBADMM Cn1cncc1C(=O)N1CCC[C@H]2[C@@H]1CCN2Cc1nc(=O)n(C)[n-]1 ZINC001049576976 734758520 /nfs/dbraw/zinc/75/85/20/734758520.db2.gz NBRDRIIYHCICQJ-RYUDHWBXSA-N -1 1 345.407 -0.279 20 0 EBADMM CCC(=O)N1CC[C@@H]([C@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001049640780 734771326 /nfs/dbraw/zinc/77/13/26/734771326.db2.gz ZMJHYEILLFRRLR-NWDGAFQWSA-N -1 1 336.392 -0.310 20 0 EBADMM O=C(CCc1c[nH]nn1)N1CCC[C@@H]2[C@H]1CCN2Cc1n[nH]c(=O)[n-]1 ZINC001049741947 734785197 /nfs/dbraw/zinc/78/51/97/734785197.db2.gz RMQQJINCKSMBHM-VXGBXAGGSA-N -1 1 346.395 -0.174 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@@H]2CCCN3C(=O)c2ccnnc2)nc1=O ZINC001049746910 734785229 /nfs/dbraw/zinc/78/52/29/734785229.db2.gz HFYVXSQMKLDBHP-STQMWFEESA-N -1 1 343.391 -0.223 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@H]2CCCN3C(=O)c2ncccn2)nc1=O ZINC001049799626 734791257 /nfs/dbraw/zinc/79/12/57/734791257.db2.gz XVIWGTRCQVARTB-NEPJUHHUSA-N -1 1 343.391 -0.223 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@@H]2CCCN3C(=O)c2cccnn2)nc1=O ZINC001049806730 734792563 /nfs/dbraw/zinc/79/25/63/734792563.db2.gz KJKQQSYXSAWRHZ-STQMWFEESA-N -1 1 343.391 -0.223 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@@H]2CCCN3C(=O)Cn2ccnc2)nc1=O ZINC001049932531 734809702 /nfs/dbraw/zinc/80/97/02/734809702.db2.gz NKTQJSXSXRQXEP-STQMWFEESA-N -1 1 345.407 -0.430 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CCC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001050108163 734842965 /nfs/dbraw/zinc/84/29/65/734842965.db2.gz RXLWTCUTNFONOC-WDEREUQCSA-N -1 1 346.395 -0.728 20 0 EBADMM C[C@H](NC(N)=O)C(=O)NC[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001062150106 738866323 /nfs/dbraw/zinc/86/63/23/738866323.db2.gz VLHROXNVMAFTCE-WDEREUQCSA-N -1 1 349.391 -0.045 20 0 EBADMM Cc1occc1C(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001050812018 734965708 /nfs/dbraw/zinc/96/57/08/734965708.db2.gz HKTOHBYPLGCRTH-LLVKDONJSA-N -1 1 335.364 -0.359 20 0 EBADMM Cn1cccc1C(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001050820650 734966674 /nfs/dbraw/zinc/96/66/74/734966674.db2.gz FTZCQKCBEPUVMI-LLVKDONJSA-N -1 1 334.380 -0.922 20 0 EBADMM Cc1cocc1C(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001051064022 735051853 /nfs/dbraw/zinc/05/18/53/735051853.db2.gz LDDGMLGTLKXLIW-NSHDSACASA-N -1 1 335.364 -0.359 20 0 EBADMM Cc1c(C(=O)NC[C@@H]2COCCN2Cc2nc(=O)n(C)[n-]2)ccn1C ZINC001051101013 735066558 /nfs/dbraw/zinc/06/65/58/735066558.db2.gz INPBDYIFZMAYBC-GFCCVEGCSA-N -1 1 348.407 -0.614 20 0 EBADMM CC(C)=CCN1CCOC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001051209313 735100083 /nfs/dbraw/zinc/10/00/83/735100083.db2.gz IMBBPZYTTJSHKL-LBPRGKRZSA-N -1 1 346.391 -0.186 20 0 EBADMM Cc1c[nH]cc1C(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001051245856 735109769 /nfs/dbraw/zinc/10/97/69/735109769.db2.gz PZWMKNWIQCDEPN-NSHDSACASA-N -1 1 334.380 -0.624 20 0 EBADMM O=C(C[C@H]1CCCO1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051462461 735161978 /nfs/dbraw/zinc/16/19/78/735161978.db2.gz CXOUIQHZTNQCSP-MNOVXSKESA-N -1 1 325.369 -0.604 20 0 EBADMM Cc1ncc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)s1 ZINC001051483083 735170288 /nfs/dbraw/zinc/17/02/88/735170288.db2.gz OCVIFJLYLDWBIC-VIFPVBQESA-N -1 1 338.393 -0.094 20 0 EBADMM O=C(C[C@@H]1CCOC1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051507508 735215308 /nfs/dbraw/zinc/21/53/08/735215308.db2.gz RJQBSUACPOLKML-QWRGUYRKSA-N -1 1 325.369 -0.746 20 0 EBADMM O=C(c1cc[nH]c(=O)c1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051540624 735224453 /nfs/dbraw/zinc/22/44/53/735224453.db2.gz SBUIOBDGXVKKKQ-JTQLQIEISA-N -1 1 334.336 -0.758 20 0 EBADMM O=C(C=Cc1ccc[nH]1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051571957 735240099 /nfs/dbraw/zinc/24/00/99/735240099.db2.gz MKPMDJAHEXGBRV-MVZIDQBPSA-N -1 1 332.364 -0.131 20 0 EBADMM O=C([C@H]1CCCOCC1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051578767 735245930 /nfs/dbraw/zinc/24/59/30/735245930.db2.gz OXTFKTRLNNTXDS-RYUDHWBXSA-N -1 1 339.396 -0.356 20 0 EBADMM CCOC1CC(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001051593512 735253221 /nfs/dbraw/zinc/25/32/21/735253221.db2.gz YHMPGCBJWPWGRR-MCIGGMRASA-N -1 1 339.396 -0.358 20 0 EBADMM Cc1cnccc1CC(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051616570 735268118 /nfs/dbraw/zinc/26/81/18/735268118.db2.gz JUEYPBYFPQDHRK-CYBMUJFWSA-N -1 1 346.391 -0.227 20 0 EBADMM C[C@H](C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)c1ccnn1C ZINC001051618585 735269261 /nfs/dbraw/zinc/26/92/61/735269261.db2.gz FEUKLTPHDXLMRZ-QWRGUYRKSA-N -1 1 349.395 -0.636 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)c3cnns3)CC2)nc1=O ZINC001052232165 735572879 /nfs/dbraw/zinc/57/28/79/735572879.db2.gz AMOUWWWCXPNXOV-VIFPVBQESA-N -1 1 337.409 -0.256 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)Cn1nccn1 ZINC001052420888 735611168 /nfs/dbraw/zinc/61/11/68/735611168.db2.gz HKJNIMLEIWMHEK-NWDGAFQWSA-N -1 1 344.375 -0.097 20 0 EBADMM CNC(=O)CC(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001052421453 735611233 /nfs/dbraw/zinc/61/12/33/735611233.db2.gz KYQUQJWYLTUJCT-GHMZBOCLSA-N -1 1 334.376 -0.252 20 0 EBADMM C[C@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@H]1CNC(=O)C(N)=O ZINC001052619477 735653238 /nfs/dbraw/zinc/65/32/38/735653238.db2.gz SUAJAUPVNYRLOK-WCBMZHEXSA-N -1 1 347.375 -0.631 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)c3ccnnc3)CC2)nc1=O ZINC001052742046 735681435 /nfs/dbraw/zinc/68/14/35/735681435.db2.gz DYLBWQNCUXPCLL-GFCCVEGCSA-N -1 1 331.380 -0.317 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)C[C@@H]2C=CCC2)CCO3)nc1=O ZINC001053147788 735759318 /nfs/dbraw/zinc/75/93/18/735759318.db2.gz DBQYANBKYISCMD-CYBMUJFWSA-N -1 1 347.419 -0.122 20 0 EBADMM CN1CC[C@H](N2CC3(C2)CN(C(=O)c2ncccc2[O-])CCO3)C1=O ZINC001053232817 735786334 /nfs/dbraw/zinc/78/63/34/735786334.db2.gz ZVIVDXISSDDTMS-LBPRGKRZSA-N -1 1 346.387 -0.455 20 0 EBADMM C[C@H](C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1)C1CCC1 ZINC001053302739 735825207 /nfs/dbraw/zinc/82/52/07/735825207.db2.gz AJCRXIZCSLNRCZ-LBPRGKRZSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)[C@]2(C)C=CCC2)CCO3)nc1=O ZINC001053379424 735854863 /nfs/dbraw/zinc/85/48/63/735854863.db2.gz ALCGXFZHZXFCAO-MRXNPFEDSA-N -1 1 347.419 -0.122 20 0 EBADMM CN(C)C(=O)CN1CC2(C1)CC[C@@H](CNC(=O)c1ncccc1[O-])O2 ZINC001053593975 735936371 /nfs/dbraw/zinc/93/63/71/735936371.db2.gz AMWUNQCTKIKDHY-LBPRGKRZSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)NC[C@H]1CCC2(CN(Cc3nc(=O)n(C)[n-]3)C2)O1 ZINC001053642797 735953778 /nfs/dbraw/zinc/95/37/78/735953778.db2.gz LAFKOKCVBAGZGB-IJLUTSLNSA-N -1 1 335.408 -0.386 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)C2CCCC2)CO3)nc1=O ZINC001053716100 735977508 /nfs/dbraw/zinc/97/75/08/735977508.db2.gz MHRZRYYATWPPPC-GFCCVEGCSA-N -1 1 335.408 -0.242 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)c2ccccn2)CO3)nc1=O ZINC001053721881 735978935 /nfs/dbraw/zinc/97/89/35/735978935.db2.gz FWQAHAVFTOHWLQ-LLVKDONJSA-N -1 1 344.375 -0.723 20 0 EBADMM COCC(=O)NCC1(NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)CCC1 ZINC001062395783 738910967 /nfs/dbraw/zinc/91/09/67/738910967.db2.gz OFVVQBLWRYTZTN-UHFFFAOYSA-N -1 1 340.336 -0.689 20 0 EBADMM Cn1cnnc1CN1CC2(C1)C[C@@H](NC(=O)c1ncccc1[O-])CO2 ZINC001053783911 736013510 /nfs/dbraw/zinc/01/35/10/736013510.db2.gz AXUKAVMVCDWNDI-LLVKDONJSA-N -1 1 344.375 -0.311 20 0 EBADMM CNC(=O)[C@H](C)N1CC2(C1)C[C@H](NC(=O)c1ncccc1[O-])CO2 ZINC001053784475 736013977 /nfs/dbraw/zinc/01/39/77/736013977.db2.gz PDNUAOVGYWETJT-QWRGUYRKSA-N -1 1 334.376 -0.505 20 0 EBADMM Cc1cc[nH]c1C(=O)N[C@@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053793175 736022623 /nfs/dbraw/zinc/02/26/23/736022623.db2.gz KJMIDVGURXRVIC-NSHDSACASA-N -1 1 346.391 -0.482 20 0 EBADMM Cc1nocc1C(=O)N[C@@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053914192 736093497 /nfs/dbraw/zinc/09/34/97/736093497.db2.gz ISSGXEWAYBWHLH-JTQLQIEISA-N -1 1 348.363 -0.822 20 0 EBADMM O=C(CN1CCCC1)N[C@H]1COC2(CN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001054009582 736149289 /nfs/dbraw/zinc/14/92/89/736149289.db2.gz ADPKRHFQRZYVAD-LLVKDONJSA-N -1 1 336.396 -0.934 20 0 EBADMM C[C@H]1CN(C(=O)c2cn3c(n2)CCC3)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054658154 736227619 /nfs/dbraw/zinc/22/76/19/736227619.db2.gz TTYCKVNRHQFRPF-VHSXEESVSA-N -1 1 331.380 -0.097 20 0 EBADMM C[C@H]1CN(C(=O)c2nnn(C(C)(C)C)n2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054670760 736228491 /nfs/dbraw/zinc/22/84/91/736228491.db2.gz IZKXMEVXXIRKSK-IUCAKERBSA-N -1 1 349.399 -0.498 20 0 EBADMM C[C@@H]1CN(C(=O)CCc2ccn(C)n2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054712828 736234483 /nfs/dbraw/zinc/23/44/83/736234483.db2.gz MOCKJTBSKZRIIN-ZYHUDNBSSA-N -1 1 333.396 -0.187 20 0 EBADMM Cc1cc(OCC(=O)N2C[C@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)no1 ZINC001054767733 736243457 /nfs/dbraw/zinc/24/34/57/736243457.db2.gz WMFDMEPFUQLTLN-WCBMZHEXSA-N -1 1 336.352 -0.178 20 0 EBADMM C[C@H]1CN(C(=O)CN2CCCCC2=O)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054848272 736254635 /nfs/dbraw/zinc/25/46/35/736254635.db2.gz URBBHXVYLQWXCS-QWRGUYRKSA-N -1 1 336.396 -0.541 20 0 EBADMM C[C@@H]1CN(C(=O)CN2CCCCC2=O)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054848270 736254686 /nfs/dbraw/zinc/25/46/86/736254686.db2.gz URBBHXVYLQWXCS-GHMZBOCLSA-N -1 1 336.396 -0.541 20 0 EBADMM C[C@H]1CN(C(=O)c2cn3cccnc3n2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054854197 736256401 /nfs/dbraw/zinc/25/64/01/736256401.db2.gz QCXCRSOUBFAIPL-UWVGGRQHSA-N -1 1 342.363 -0.197 20 0 EBADMM Cc1cnc(CN[C@@H]2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@H]2C)cn1 ZINC001054858994 736257011 /nfs/dbraw/zinc/25/70/11/736257011.db2.gz FQOXYQMISHWJPH-LDYMZIIASA-N -1 1 345.363 -0.368 20 0 EBADMM Cc1cc(CN[C@H]2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@@H]2C)ncn1 ZINC001054859093 736257292 /nfs/dbraw/zinc/25/72/92/736257292.db2.gz HONFJNOMFQDYIW-KWQFWETISA-N -1 1 345.363 -0.368 20 0 EBADMM Cc1cc(CN[C@@H]2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@@H]2C)on1 ZINC001054862698 736257962 /nfs/dbraw/zinc/25/79/62/736257962.db2.gz JDHSIRBMRSUKQO-OIBJUYFYSA-N -1 1 334.336 -0.170 20 0 EBADMM C[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1NCc1nccn1C ZINC001054863702 736259071 /nfs/dbraw/zinc/25/90/71/736259071.db2.gz VVLBOQSPZIELKW-DTWKUNHWSA-N -1 1 333.352 -0.733 20 0 EBADMM CCc1cc(CC(=O)N2C[C@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)n(C)n1 ZINC001054924160 736268931 /nfs/dbraw/zinc/26/89/31/736268931.db2.gz ABAAKTHVIVQCDY-GXFFZTMASA-N -1 1 347.423 -0.015 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)N1C[C@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001054993539 736279440 /nfs/dbraw/zinc/27/94/40/736279440.db2.gz BEQZGLKLYHJZLI-IONNQARKSA-N -1 1 333.352 -0.435 20 0 EBADMM C[C@@H]1CN(C(=O)COc2cnn(C)c2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001055012265 736280664 /nfs/dbraw/zinc/28/06/64/736280664.db2.gz MBGXLMZTNZXDJB-KOLCDFICSA-N -1 1 335.368 -0.741 20 0 EBADMM CCOCC(=O)N[C@@]1(CO)CCCN(C(=O)c2ncccc2[O-])C1 ZINC001055731818 736450544 /nfs/dbraw/zinc/45/05/44/736450544.db2.gz KZVIEXFQKOKOSQ-INIZCTEOSA-N -1 1 337.376 -0.093 20 0 EBADMM CO[C@H](C)C(=O)N[C@@]1(CO)CCCN(C(=O)c2ncccc2[O-])C1 ZINC001055806233 736490683 /nfs/dbraw/zinc/49/06/83/736490683.db2.gz MONLUJWCTLJKTH-BZNIZROVSA-N -1 1 337.376 -0.095 20 0 EBADMM O=C(N[C@@]1(CO)CCCN(C(=O)c2ncccc2[O-])C1)[C@H]1CCOC1 ZINC001055815006 736496183 /nfs/dbraw/zinc/49/61/83/736496183.db2.gz FZNALCAZERYCJJ-SJCJKPOMSA-N -1 1 349.387 -0.093 20 0 EBADMM Cc1cc(N2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)CC2)ncn1 ZINC001055851330 736521032 /nfs/dbraw/zinc/52/10/32/736521032.db2.gz VZSPYNCDTOBEOI-UHFFFAOYSA-N -1 1 344.375 -0.626 20 0 EBADMM CO[C@H](C)C(=O)N1CCC[C@](CO)(NC(=O)c2ncccc2[O-])C1 ZINC001055901107 736541744 /nfs/dbraw/zinc/54/17/44/736541744.db2.gz ZQLJLDNIHTVKDJ-BZNIZROVSA-N -1 1 337.376 -0.095 20 0 EBADMM O=C(N[C@@]1(CO)CCCN(C(=O)c2cn[nH]c2)C1)c1ncccc1[O-] ZINC001055901060 736541803 /nfs/dbraw/zinc/54/18/03/736541803.db2.gz YRLZFSYXRIBIDD-INIZCTEOSA-N -1 1 345.359 -0.093 20 0 EBADMM O=C(N[C@@]1(CO)CCCN(C(=O)c2ncccc2[O-])C1)c1cnon1 ZINC001056069752 736624081 /nfs/dbraw/zinc/62/40/81/736624081.db2.gz DGNWPQDEPJXOQM-HNNXBMFYSA-N -1 1 347.331 -0.433 20 0 EBADMM Cc1cc(C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])on1 ZINC001056948472 736889718 /nfs/dbraw/zinc/88/97/18/736889718.db2.gz BYNCSDNOCMAPDD-UHFFFAOYSA-N -1 1 334.332 -0.052 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCN(CCO)C(=O)C(C)C ZINC001057007107 736916769 /nfs/dbraw/zinc/91/67/69/736916769.db2.gz LNDUAPXBFUPTTR-UHFFFAOYSA-N -1 1 342.352 -0.897 20 0 EBADMM Cn1ccc(C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])c1 ZINC001057343080 737172806 /nfs/dbraw/zinc/17/28/06/737172806.db2.gz YQDJYVULYGBNBH-UHFFFAOYSA-N -1 1 332.360 -0.010 20 0 EBADMM O=C(Cn1cccn1)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410185 737230193 /nfs/dbraw/zinc/23/01/93/737230193.db2.gz HGAWPISJCVCHOT-UHFFFAOYSA-N -1 1 333.348 -0.765 20 0 EBADMM CC(C)(C)C(=O)C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410376 737230769 /nfs/dbraw/zinc/23/07/69/737230769.db2.gz OQUYPBWFBQLZKX-UHFFFAOYSA-N -1 1 337.376 -0.047 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccc1[O-])[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001057413517 737233930 /nfs/dbraw/zinc/23/39/30/737233930.db2.gz YUXLAIRVZXKGAN-BZPMIXESSA-N -1 1 349.387 -0.095 20 0 EBADMM CS(=O)(=O)CC(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062655506 738958501 /nfs/dbraw/zinc/95/85/01/738958501.db2.gz HQIGDNXXQYPSQQ-UHFFFAOYSA-N -1 1 341.389 -0.400 20 0 EBADMM Cc1nonc1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001058003776 737587923 /nfs/dbraw/zinc/58/79/23/737587923.db2.gz ZIYSQJUKPXITPF-UHFFFAOYSA-N -1 1 335.320 -0.657 20 0 EBADMM Cc1nccc(N2CC[C@@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)n1 ZINC001058406165 737816525 /nfs/dbraw/zinc/81/65/25/737816525.db2.gz MCTHGEWUKZGOMP-SNVBAGLBSA-N -1 1 340.347 -0.475 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ccncn1)c1ncccc1[O-] ZINC001058501983 737863855 /nfs/dbraw/zinc/86/38/55/737863855.db2.gz MNFJZYBNTJDNCI-UHFFFAOYSA-N -1 1 331.332 -0.558 20 0 EBADMM CCn1cc(C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])nn1 ZINC001058666415 737944766 /nfs/dbraw/zinc/94/47/66/737944766.db2.gz OBRSSODILGHJGS-UHFFFAOYSA-N -1 1 348.363 -0.737 20 0 EBADMM O=C(CCc1cn[nH]n1)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001058870634 738044768 /nfs/dbraw/zinc/04/47/68/738044768.db2.gz IWEPGAPRXSMAPZ-UHFFFAOYSA-N -1 1 348.363 -0.911 20 0 EBADMM O=C(CCc1c[nH]nn1)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001058870634 738044770 /nfs/dbraw/zinc/04/47/70/738044770.db2.gz IWEPGAPRXSMAPZ-UHFFFAOYSA-N -1 1 348.363 -0.911 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H](Nc2cnc(F)cn2)C1 ZINC001058926847 738076689 /nfs/dbraw/zinc/07/66/89/738076689.db2.gz OIBWKQMALKJQQO-JTQLQIEISA-N -1 1 348.338 -0.431 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CC[C@H](Nc2ncccn2)C1 ZINC001058929936 738077859 /nfs/dbraw/zinc/07/78/59/738077859.db2.gz VYNWGOZLRNZQJB-VIFPVBQESA-N -1 1 326.320 -0.466 20 0 EBADMM O=C(Cn1cnnn1)NCC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059514752 738187011 /nfs/dbraw/zinc/18/70/11/738187011.db2.gz JHSIQAAKESZUDC-NSHDSACASA-N -1 1 345.363 -0.558 20 0 EBADMM CNC(=O)CC(=O)NCC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059516577 738187912 /nfs/dbraw/zinc/18/79/12/738187912.db2.gz PLMRTVHZNXBICZ-NSHDSACASA-N -1 1 334.376 -0.108 20 0 EBADMM Cc1ccnc(N[C@H]2C[C@@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)n1 ZINC001059681290 738226442 /nfs/dbraw/zinc/22/64/42/738226442.db2.gz TYLRSYWFHJMQKT-AOOOYVTPSA-N -1 1 340.347 -0.111 20 0 EBADMM Cn1cnc(C(=O)NCC2(NC(=O)c3ncccc3[O-])CCC2)n1 ZINC001062927742 739025537 /nfs/dbraw/zinc/02/55/37/739025537.db2.gz TVQDBOROTFFKQV-UHFFFAOYSA-N -1 1 330.348 -0.002 20 0 EBADMM CC1(C(=O)NCC2(NC(=O)c3cc(=O)n4[n-]cnc4n3)CCC2)CC1 ZINC001063309346 739088054 /nfs/dbraw/zinc/08/80/54/739088054.db2.gz FZZFRGHSJGXOHO-UHFFFAOYSA-N -1 1 344.375 -0.014 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NC1(CNC(=O)CCn2cc[n-]c(=O)c2=O)CCC1 ZINC001063650586 739172363 /nfs/dbraw/zinc/17/23/63/739172363.db2.gz CPZMBSBCXAZYQA-RYUDHWBXSA-N -1 1 348.403 -0.262 20 0 EBADMM CC(C)C(=O)NC1(CNC(=O)CCn2cc[n-]c(=O)c2=O)CCC1 ZINC001063651207 739172837 /nfs/dbraw/zinc/17/28/37/739172837.db2.gz OQQJWLOZIPZBNI-UHFFFAOYSA-N -1 1 336.392 -0.262 20 0 EBADMM CO[C@H](C)C(=O)NC1(CNC(=O)c2cc(=O)n3[n-]cnc3n2)CCC1 ZINC001063714715 739185427 /nfs/dbraw/zinc/18/54/27/739185427.db2.gz BPGWVBHGERJZSW-SECBINFHSA-N -1 1 348.363 -0.779 20 0 EBADMM O=C(CCn1cnnn1)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001064199754 739251683 /nfs/dbraw/zinc/25/16/83/739251683.db2.gz ISRWOVWBDKUKSU-UHFFFAOYSA-N -1 1 345.363 -0.367 20 0 EBADMM O=C(Cc1c[nH]cn1)NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001065073208 739510338 /nfs/dbraw/zinc/51/03/38/739510338.db2.gz KQAHCVFSTIRIAA-LBPRGKRZSA-N -1 1 345.359 -0.290 20 0 EBADMM C[C@H](NC(N)=O)C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001066084646 739909967 /nfs/dbraw/zinc/90/99/67/739909967.db2.gz CPEHFHREQBTDRB-QWRGUYRKSA-N -1 1 349.391 -0.045 20 0 EBADMM CN(CCCN(C)C(=O)c1ncccc1[O-])C(=O)CCn1cnnn1 ZINC001067254958 740113792 /nfs/dbraw/zinc/11/37/92/740113792.db2.gz XGEFVXRDNHEDBW-UHFFFAOYSA-N -1 1 347.379 -0.216 20 0 EBADMM COc1ccnc(N2C[C@@H](O)[C@@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC001067665120 740177589 /nfs/dbraw/zinc/17/75/89/740177589.db2.gz JTABPTBHWUBONE-CMPLNLGQSA-N -1 1 345.359 -0.187 20 0 EBADMM COCCC(=O)N[C@@H]1COC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001068647093 740391734 /nfs/dbraw/zinc/39/17/34/740391734.db2.gz QQKUPFWYHDPYHN-NSHDSACASA-N -1 1 335.360 -0.077 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1(NC(C)=O)CCOCC1 ZINC001069919782 740618279 /nfs/dbraw/zinc/61/82/79/740618279.db2.gz GSFSLWKUDDMZLR-UHFFFAOYSA-N -1 1 340.336 -0.689 20 0 EBADMM CC[C@H](C)C(=O)N1C[C@@H](NCc2nc(=O)n(C)[n-]2)[C@@H](n2ccnn2)C1 ZINC001070145718 740718519 /nfs/dbraw/zinc/71/85/19/740718519.db2.gz PLDVXIIFNJRURA-TUAOUCFPSA-N -1 1 348.411 -0.712 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCCN(C(=O)C(F)F)C1 ZINC001070340806 740790491 /nfs/dbraw/zinc/79/04/91/740790491.db2.gz IPQCWNZTNOLFHS-SECBINFHSA-N -1 1 344.318 -0.701 20 0 EBADMM CO[C@H]1C[C@@H](c2nc(CNC(C)=O)n[nH]2)N(Cc2n[nH]c(=O)[n-]2)C1 ZINC001070357267 740793798 /nfs/dbraw/zinc/79/37/98/740793798.db2.gz DQYHOCKXHISKRX-IUCAKERBSA-N -1 1 336.356 -0.774 20 0 EBADMM CO[C@H]1C[C@@H](c2nnc(CNC(C)=O)[nH]2)N(Cc2n[nH]c(=O)[n-]2)C1 ZINC001070357267 740793801 /nfs/dbraw/zinc/79/38/01/740793801.db2.gz DQYHOCKXHISKRX-IUCAKERBSA-N -1 1 336.356 -0.774 20 0 EBADMM CO[C@H]1C[C@@H](Cn2ccc(NC(C)=O)n2)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001070594691 740891726 /nfs/dbraw/zinc/89/17/26/740891726.db2.gz KNBUVPNPHWDJFH-RYUDHWBXSA-N -1 1 349.395 -0.447 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CCC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001071075268 741056539 /nfs/dbraw/zinc/05/65/39/741056539.db2.gz SJJYUPPSFQVIIZ-JHJVBQTASA-N -1 1 348.403 -0.310 20 0 EBADMM Cc1cnc(C(=O)N[C@@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001071325745 741121536 /nfs/dbraw/zinc/12/15/36/741121536.db2.gz XYVWWBMCHYUIFX-VXGBXAGGSA-N -1 1 345.407 -0.010 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2ccc(=O)[nH]n2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071326177 741121628 /nfs/dbraw/zinc/12/16/28/741121628.db2.gz CQLOXQCFZXPLCR-ZJUUUORDSA-N -1 1 347.379 -0.613 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2cnsn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071371534 741128243 /nfs/dbraw/zinc/12/82/43/741128243.db2.gz PDDANGAOVUMNIX-IUCAKERBSA-N -1 1 337.409 -0.257 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC001071376220 741129826 /nfs/dbraw/zinc/12/98/26/741129826.db2.gz QCCVYNMZKYXMEP-CMPLNLGQSA-N -1 1 347.423 -0.067 20 0 EBADMM Cc1nn(C)cc1C(=O)N[C@@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071386099 741130963 /nfs/dbraw/zinc/13/09/63/741130963.db2.gz KPZRDLNVBALMFH-CMPLNLGQSA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@@H]1CN(C(=O)C2CC2)C[C@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001071429162 741135587 /nfs/dbraw/zinc/13/55/87/741135587.db2.gz KSYDBCDUVWTMPC-ZYHUDNBSSA-N -1 1 334.376 -0.700 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2cn(C)nn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071466654 741142039 /nfs/dbraw/zinc/14/20/39/741142039.db2.gz FRAKPPUFTDMXBB-ZJUUUORDSA-N -1 1 334.384 -0.980 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)Cc2cncnc2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071469717 741142717 /nfs/dbraw/zinc/14/27/17/741142717.db2.gz AQCUXYDCGVJGNP-WCQYABFASA-N -1 1 345.407 -0.390 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2cnnn2C)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071481273 741144055 /nfs/dbraw/zinc/14/40/55/741144055.db2.gz GOZSNFFNHOSPKC-VHSXEESVSA-N -1 1 334.384 -0.980 20 0 EBADMM CC(=O)N(C)CC(=O)N1C[C@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001071657559 741184046 /nfs/dbraw/zinc/18/40/46/741184046.db2.gz GDLAESJPNKPWNY-CMPLNLGQSA-N -1 1 334.376 -0.158 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)C2=NC(=O)N(C)C2)CN1Cc1n[nH]c(=O)[n-]1 ZINC001071802780 741219502 /nfs/dbraw/zinc/21/95/02/741219502.db2.gz BWGBVTBEVJEEEH-DTWKUNHWSA-N -1 1 335.368 -0.268 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2cnn(C)n2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071890908 741239476 /nfs/dbraw/zinc/23/94/76/741239476.db2.gz UEXZFNPGYBQLFP-UWVGGRQHSA-N -1 1 334.384 -0.980 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2cccnn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071927389 741249315 /nfs/dbraw/zinc/24/93/15/741249315.db2.gz MUCQRTQHBKIQEA-QWRGUYRKSA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)CS(C)(=O)=O ZINC001071952012 741263204 /nfs/dbraw/zinc/26/32/04/741263204.db2.gz GTQWYPQSNAWVHA-UWVGGRQHSA-N -1 1 341.389 -0.592 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)CCNC(N)=O ZINC001071953186 741264141 /nfs/dbraw/zinc/26/41/41/741264141.db2.gz WGZRBMJHECBPLV-ZJUUUORDSA-N -1 1 335.364 -0.578 20 0 EBADMM C[C@H]1CN(C(=O)C2(C)CC2)C[C@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001072250502 741339474 /nfs/dbraw/zinc/33/94/74/741339474.db2.gz RJHTVYPXNWTZFB-NWDGAFQWSA-N -1 1 348.403 -0.310 20 0 EBADMM CS[C@H](C)C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072500240 741418311 /nfs/dbraw/zinc/41/83/11/741418311.db2.gz PQSRGVQNMWOGCT-SNVBAGLBSA-N -1 1 325.438 -0.106 20 0 EBADMM Cn1nccc1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072532983 741425411 /nfs/dbraw/zinc/42/54/11/741425411.db2.gz CTHQENQBECABRO-UHFFFAOYSA-N -1 1 331.380 -0.810 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)C[C@@H]4COC(=O)C4)C3)C2)nc1=O ZINC001072621223 741445130 /nfs/dbraw/zinc/44/51/30/741445130.db2.gz RBNKXZVBXSPENU-NSHDSACASA-N -1 1 349.391 -0.904 20 0 EBADMM C[C@@H]1OCCC[C@@H]1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072670284 741457503 /nfs/dbraw/zinc/45/75/03/741457503.db2.gz QLPDLQBQMHTHHP-STQMWFEESA-N -1 1 349.435 -0.042 20 0 EBADMM Cc1nocc1CN1CCC2(CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)C1 ZINC001072898262 741515621 /nfs/dbraw/zinc/51/56/21/741515621.db2.gz QDRYXOAQHFSKCB-UHFFFAOYSA-N -1 1 346.347 -0.073 20 0 EBADMM CC1(NC(=O)C(N)=O)CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC001073036336 741545275 /nfs/dbraw/zinc/54/52/75/741545275.db2.gz PGZPNTBGEFNRLF-UHFFFAOYSA-N -1 1 347.375 -0.344 20 0 EBADMM CCC(=O)NC1(C)CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001073155839 741572662 /nfs/dbraw/zinc/57/26/62/741572662.db2.gz VCEHSFFOUMCMIR-UHFFFAOYSA-N -1 1 336.392 -0.166 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@@H](CNC(=O)c3ccoc3)C2)nc1=O ZINC001073535639 741637310 /nfs/dbraw/zinc/63/73/10/741637310.db2.gz RXNKBGWOTVXPLK-LBPRGKRZSA-N -1 1 335.364 -0.278 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@H](CNC(=O)[C@@H]3CC3(F)F)C2)nc1=O ZINC001073597716 741678738 /nfs/dbraw/zinc/67/87/38/741678738.db2.gz GLMYGWADAITBLT-ZJUUUORDSA-N -1 1 345.350 -0.529 20 0 EBADMM CC(=O)NCC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001073656920 741699023 /nfs/dbraw/zinc/69/90/23/741699023.db2.gz WRRQKGAIKGKBFM-ZYHUDNBSSA-N -1 1 334.376 -0.110 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@H](CNC(=O)[C@@H]3CC34CC4)C2)nc1=O ZINC001073788701 741743451 /nfs/dbraw/zinc/74/34/51/741743451.db2.gz OXQOACMWKFQHDE-NEPJUHHUSA-N -1 1 335.408 -0.384 20 0 EBADMM CNC(=O)CC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001073910553 741786555 /nfs/dbraw/zinc/78/65/55/741786555.db2.gz WHXREMOCZYTDPQ-WDEREUQCSA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1NC(=O)CCNC(N)=O ZINC001073953903 741793634 /nfs/dbraw/zinc/79/36/34/741793634.db2.gz FVGCJMPSOPKOSU-MNOVXSKESA-N -1 1 349.391 -0.188 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H]3CCN(C(=O)C(F)F)C[C@@H]32)nc1=O ZINC001074129046 741837369 /nfs/dbraw/zinc/83/73/69/741837369.db2.gz ZGUPTVIYYMKPOO-DTWKUNHWSA-N -1 1 331.323 -0.825 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H]3CCN(C(=O)C4CCC4)C[C@H]32)nc1=O ZINC001074133582 741837931 /nfs/dbraw/zinc/83/79/31/741837931.db2.gz NAHPLBCXHBVXFE-OLZOCXBDSA-N -1 1 335.408 -0.290 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H]3CCN(C(=O)C4(C)CC4)C[C@@H]32)nc1=O ZINC001074253194 741874587 /nfs/dbraw/zinc/87/45/87/741874587.db2.gz TVMPYFVSUFLEHT-RYUDHWBXSA-N -1 1 335.408 -0.290 20 0 EBADMM CC(C)CN1CCO[C@@H]2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)C[C@@H]21 ZINC001074392964 741925667 /nfs/dbraw/zinc/92/56/67/741925667.db2.gz SSGSFUHOBXOYCA-NWDGAFQWSA-N -1 1 339.396 -0.353 20 0 EBADMM COCCC(=O)NCC1(O)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001074558391 741996502 /nfs/dbraw/zinc/99/65/02/741996502.db2.gz CZLLCASJNRKJRJ-UHFFFAOYSA-N -1 1 337.376 -0.093 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@@H]1CCS(=O)(=O)C1 ZINC001074734074 742093865 /nfs/dbraw/zinc/09/38/65/742093865.db2.gz ZZNTXINNWFYAML-AEJSXWLSSA-N -1 1 343.409 -0.976 20 0 EBADMM COCC(=O)N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H](C)C1 ZINC001074769272 742103250 /nfs/dbraw/zinc/10/32/50/742103250.db2.gz XYIVFPXNYYCYNA-UWVGGRQHSA-N -1 1 348.363 -0.827 20 0 EBADMM C[C@H]1C[C@H](NC(=O)CNC(N)=O)CCN1C(=O)c1ncccc1[O-] ZINC001074819877 742115450 /nfs/dbraw/zinc/11/54/50/742115450.db2.gz YULAISAGIQFRNX-VHSXEESVSA-N -1 1 335.364 -0.435 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CCNC(N)=O ZINC001074943081 742139951 /nfs/dbraw/zinc/13/99/51/742139951.db2.gz CXIZGNPVEHBZAD-MNOVXSKESA-N -1 1 349.391 -0.045 20 0 EBADMM CNC(=O)NCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001075027327 742160920 /nfs/dbraw/zinc/16/09/20/742160920.db2.gz NXACGVZNJHGMLU-QWRGUYRKSA-N -1 1 349.391 -0.175 20 0 EBADMM CC(=O)N1CC[C@H](C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)C1 ZINC001075073423 742173598 /nfs/dbraw/zinc/17/35/98/742173598.db2.gz OADXZEFDONJUFU-DLOVCJGASA-N -1 1 336.396 -0.542 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@H]1CCC(=O)N(C)C1 ZINC001075131196 742187220 /nfs/dbraw/zinc/18/72/20/742187220.db2.gz CHUUYWMRFAFWAA-DCAQKATOSA-N -1 1 336.396 -0.542 20 0 EBADMM C[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC[C@H]1CNCc1cnon1 ZINC001075301430 742210027 /nfs/dbraw/zinc/21/00/27/742210027.db2.gz OGAOPGLYPFGKKF-IUCAKERBSA-N -1 1 349.351 -0.446 20 0 EBADMM Cc1ncncc1C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001075579865 742257264 /nfs/dbraw/zinc/25/72/64/742257264.db2.gz UMQDHUMQEZIAIX-WCQYABFASA-N -1 1 343.391 -0.447 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)c4ccnc(F)c4)[C@@H]3C2)nc1=O ZINC001075604832 742259760 /nfs/dbraw/zinc/25/97/60/742259760.db2.gz DTFAMZLJKUPQBS-NWDGAFQWSA-N -1 1 346.366 -0.011 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)CC4CCOCC4)[C@@H]3C2)nc1=O ZINC001075632714 742263556 /nfs/dbraw/zinc/26/35/56/742263556.db2.gz IUZOAGUOHHTIEJ-UONOGXRCSA-N -1 1 349.435 -0.042 20 0 EBADMM O=C(CCc1nc[nH]n1)N1CC[C@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075661875 742268287 /nfs/dbraw/zinc/26/82/87/742268287.db2.gz HQHBSCFTCXUGNR-VHSXEESVSA-N -1 1 332.368 -0.706 20 0 EBADMM C[C@@H](CCNC(=O)[C@@H]1CCCN1C)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001075940962 742335116 /nfs/dbraw/zinc/33/51/16/742335116.db2.gz IDYLZQAWVPMWRM-IUCAKERBSA-N -1 1 338.368 -0.998 20 0 EBADMM C/C=C(/C)C(=O)NCC[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001076092022 742375654 /nfs/dbraw/zinc/37/56/54/742375654.db2.gz BRWQFROUYUAZQO-MCWZUMHDSA-N -1 1 336.392 -0.096 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)[C@H]4[C@@H]5COC[C@@H]54)[C@@H]3C2)nc1=O ZINC001076139413 742386308 /nfs/dbraw/zinc/38/63/08/742386308.db2.gz CZSQQYGXOPBYAC-YCGPCKTQSA-N -1 1 333.392 -0.967 20 0 EBADMM C[C@@H](CCNC(=O)C1(NC(N)=O)CC1)NC(=O)c1ncccc1[O-] ZINC001076204302 742403311 /nfs/dbraw/zinc/40/33/11/742403311.db2.gz CDXMSEDKKKBAQO-VIFPVBQESA-N -1 1 335.364 -0.387 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)CNC(=O)C1CC1 ZINC001076244463 742419022 /nfs/dbraw/zinc/41/90/22/742419022.db2.gz SPIYKODXDMTKLA-JTQLQIEISA-N -1 1 334.376 -0.062 20 0 EBADMM Cc1nc(CC(=O)NCC[C@H](C)NC(=O)c2[nH]nc(C)c2[O-])n[nH]1 ZINC001076435335 742464793 /nfs/dbraw/zinc/46/47/93/742464793.db2.gz NKLOXVDAGNBBHA-ZETCQYMHSA-N -1 1 335.368 -0.282 20 0 EBADMM Cc1cc(CN2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)ncn1 ZINC001076917361 742642876 /nfs/dbraw/zinc/64/28/76/742642876.db2.gz ZATCIERRAIBRMY-TZMCWYRMSA-N -1 1 329.360 -0.139 20 0 EBADMM C[C@@H](CCNC(=O)C1CC(=O)NC(=O)C1)NC(=O)c1ncccc1[O-] ZINC001077383283 742938624 /nfs/dbraw/zinc/93/86/24/742938624.db2.gz WIYZUJUJVDXCDI-VIFPVBQESA-N -1 1 348.359 -0.535 20 0 EBADMM C[C@@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)C(C)(C)F ZINC001077487385 743011853 /nfs/dbraw/zinc/01/18/53/743011853.db2.gz RMCNWJSRDAGTAZ-QMMMGPOBSA-N -1 1 338.343 -0.210 20 0 EBADMM C[C@@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)[C@H]1CCOC1 ZINC001077488452 743013161 /nfs/dbraw/zinc/01/31/61/743013161.db2.gz RILQBANNFVELGK-UWVGGRQHSA-N -1 1 348.363 -0.921 20 0 EBADMM C[C@@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)CCCF ZINC001077488226 743013276 /nfs/dbraw/zinc/01/32/76/743013276.db2.gz IBSAFRSGTTZGJK-VIFPVBQESA-N -1 1 338.343 -0.208 20 0 EBADMM C[C@@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)[C@@H]1C[C@H]1C ZINC001077488438 743013521 /nfs/dbraw/zinc/01/35/21/743013521.db2.gz QWQBNELNKHJUQT-KXUCPTDWSA-N -1 1 332.364 -0.302 20 0 EBADMM C[C@@H](CCNC(=O)c1n[nH]c(=O)[n-]c1=O)NC(=O)c1[nH]ncc1F ZINC001077578323 743093902 /nfs/dbraw/zinc/09/39/02/743093902.db2.gz XFDMZZRNAWRULY-YFKPBYRVSA-N -1 1 339.287 -0.917 20 0 EBADMM CC1=CC[C@@](C)(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)CC1 ZINC001078214976 743541981 /nfs/dbraw/zinc/54/19/81/743541981.db2.gz FOKBTLYTMHIWMR-PBFPGSCMSA-N -1 1 349.435 -0.094 20 0 EBADMM CC1=CC[C@](C)(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)CC1 ZINC001078214977 743542118 /nfs/dbraw/zinc/54/21/18/743542118.db2.gz FOKBTLYTMHIWMR-XNJGSVPQSA-N -1 1 349.435 -0.094 20 0 EBADMM O=C(Cn1cccn1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078335963 743590174 /nfs/dbraw/zinc/59/01/74/743590174.db2.gz IALKGHNWYTWQSS-NSHDSACASA-N -1 1 335.368 -0.886 20 0 EBADMM O=C(c1cscn1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078354825 743601113 /nfs/dbraw/zinc/60/11/13/743601113.db2.gz MXCCKDBOGKIYFP-VIFPVBQESA-N -1 1 338.393 -0.012 20 0 EBADMM O=C([C@H]1CCCCO1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078381734 743616334 /nfs/dbraw/zinc/61/63/34/743616334.db2.gz VVZJMKPQBDGJRL-VXGBXAGGSA-N -1 1 339.396 -0.214 20 0 EBADMM Cn1nnc(CNC[C@H]2CN(C(=O)c3ncccc3[O-])CCCO2)n1 ZINC001078383620 743616971 /nfs/dbraw/zinc/61/69/71/743616971.db2.gz AUZQQECKIKYTOP-NSHDSACASA-N -1 1 347.379 -0.668 20 0 EBADMM O=C(C1=CCOCC1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078385648 743619264 /nfs/dbraw/zinc/61/92/64/743619264.db2.gz LUICJLZWRPXLHA-GFCCVEGCSA-N -1 1 337.380 -0.436 20 0 EBADMM CCn1ccnc1C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078389773 743623693 /nfs/dbraw/zinc/62/36/93/743623693.db2.gz VOEMYGJGEMQZLQ-LLVKDONJSA-N -1 1 349.395 -0.252 20 0 EBADMM O=C([C@@H]1CC1(F)F)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078397303 743629383 /nfs/dbraw/zinc/62/93/83/743629383.db2.gz YSVBKMSRQXBRFL-BDAKNGLRSA-N -1 1 331.323 -0.127 20 0 EBADMM COC1CC(C(=O)N2CCCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001078416061 743639926 /nfs/dbraw/zinc/63/99/26/743639926.db2.gz QGKPBDBWOHLJMM-HTAVTVPLSA-N -1 1 339.396 -0.358 20 0 EBADMM O=C(N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1)[C@@]1(F)CCOC1 ZINC001078455619 743656893 /nfs/dbraw/zinc/65/68/93/743656893.db2.gz QSOMSJHVWMVEKI-QMTHXVAHSA-N -1 1 343.359 -0.654 20 0 EBADMM O=C(c1nccs1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078492207 743675643 /nfs/dbraw/zinc/67/56/43/743675643.db2.gz JKBNPESSCARVEJ-VIFPVBQESA-N -1 1 338.393 -0.012 20 0 EBADMM Cn1cncc1CC(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078499363 743680692 /nfs/dbraw/zinc/68/06/92/743680692.db2.gz WDFYUYRPYJOYCL-LBPRGKRZSA-N -1 1 349.395 -0.806 20 0 EBADMM O=C(N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2)c1cc[n+]([O-])cc1 ZINC001078546228 743690731 /nfs/dbraw/zinc/69/07/31/743690731.db2.gz VFUDPXMFWOVXLS-NWDGAFQWSA-N -1 1 344.375 -0.026 20 0 EBADMM Nc1nccnc1C(=O)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078580900 743698560 /nfs/dbraw/zinc/69/85/60/743698560.db2.gz NRAPWLHCQMEHRF-DTWKUNHWSA-N -1 1 344.379 -0.287 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N[C@H]1C[C@H](NCc2ccon2)C12CCC2 ZINC001078793063 743735309 /nfs/dbraw/zinc/73/53/09/743735309.db2.gz UBBVIRORKNCVAU-QWRGUYRKSA-N -1 1 348.363 -0.106 20 0 EBADMM O=C(NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)[C@H]1CCC(=O)NC1 ZINC000980019934 805690607 /nfs/dbraw/zinc/69/06/07/805690607.db2.gz QJZBZMCNTGHPMT-SRVKXCTJSA-N -1 1 346.387 -0.062 20 0 EBADMM COc1cccnc1C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000980161144 805773401 /nfs/dbraw/zinc/77/34/01/805773401.db2.gz XJKNUBUVOQTMGT-LLVKDONJSA-N -1 1 346.391 -0.142 20 0 EBADMM Cc1nn(C)cc1CN(C)[C@H]1CCN(C(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC000980264731 805822758 /nfs/dbraw/zinc/82/27/58/805822758.db2.gz QNRHLWSDAUPNHQ-LBPRGKRZSA-N -1 1 349.395 -0.536 20 0 EBADMM Cc1nn(C)cc1CN(C)[C@@H]1CCN(C(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC000980264728 805822957 /nfs/dbraw/zinc/82/29/57/805822957.db2.gz QNRHLWSDAUPNHQ-GFCCVEGCSA-N -1 1 349.395 -0.536 20 0 EBADMM C[C@H]1C[C@@H](CNCc2ncccn2)CN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000980641635 805972138 /nfs/dbraw/zinc/97/21/38/805972138.db2.gz HPJHXQQQEBCKLB-UWVGGRQHSA-N -1 1 345.363 -0.287 20 0 EBADMM C[C@@H]1C[C@@H](CNCc2ncnn2C)CN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000980642371 805973379 /nfs/dbraw/zinc/97/33/79/805973379.db2.gz QQEKYSUWEPZXBF-BDAKNGLRSA-N -1 1 348.367 -0.948 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)c3ccc(F)nc3)CC2)nc1=O ZINC000980827487 806028248 /nfs/dbraw/zinc/02/82/48/806028248.db2.gz FKBLLZLFLLJSPM-UHFFFAOYSA-N -1 1 334.355 -0.009 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CCC[C@@H](NCc3nnnn3C)C2)c1[O-] ZINC000981020612 806059904 /nfs/dbraw/zinc/05/99/04/806059904.db2.gz NUFCYGGEOPYJMF-NXEZZACHSA-N -1 1 334.384 -0.222 20 0 EBADMM C[C@H](C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1)c1ccnn1C ZINC000981186389 806103563 /nfs/dbraw/zinc/10/35/63/806103563.db2.gz QSWFRSJHOBVRQD-LBPRGKRZSA-N -1 1 347.423 -0.320 20 0 EBADMM Cn1ncnc1CN[C@H]1CCC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000981201517 806108330 /nfs/dbraw/zinc/10/83/30/806108330.db2.gz LXRLXRCIOWVTGQ-IUCAKERBSA-N -1 1 348.367 -0.758 20 0 EBADMM CC[C@@H](OC)C(=O)N1CC2(C1)CCCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC000981658176 806226665 /nfs/dbraw/zinc/22/66/65/806226665.db2.gz CSEJBAHMXLRTEC-GFCCVEGCSA-N -1 1 337.424 -0.042 20 0 EBADMM C[C@@H](C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1)[C@@H]1CCCO1 ZINC000981912177 806321433 /nfs/dbraw/zinc/32/14/33/806321433.db2.gz NYNINWLNNXQHMA-OLZOCXBDSA-N -1 1 337.424 -0.042 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)Cc3cscn3)CC2)nc1=O ZINC000982095921 806397550 /nfs/dbraw/zinc/39/75/50/806397550.db2.gz NODZRPFKDLXFFV-UHFFFAOYSA-N -1 1 336.421 -0.158 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)C2CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000982329866 806461425 /nfs/dbraw/zinc/46/14/25/806461425.db2.gz YUIJYOJXCXBZBV-VIFPVBQESA-N -1 1 330.348 -0.594 20 0 EBADMM O=C(CC1CC1)N1CC[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000982350632 806468424 /nfs/dbraw/zinc/46/84/24/806468424.db2.gz ZPHUOCSTFFJIRQ-LLVKDONJSA-N -1 1 344.375 -0.204 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1COCCO1 ZINC000982671181 806627584 /nfs/dbraw/zinc/62/75/84/806627584.db2.gz OIQMRHIQQMDIBB-WCQYABFASA-N -1 1 335.360 -0.219 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC000982717193 806662643 /nfs/dbraw/zinc/66/26/43/806662643.db2.gz DNAJDWZPWQYJBT-SNVBAGLBSA-N -1 1 330.348 -0.192 20 0 EBADMM O=C(Cn1ncnn1)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982789182 806704991 /nfs/dbraw/zinc/70/49/91/806704991.db2.gz CEWKYAOJOAQBNK-SNVBAGLBSA-N -1 1 331.336 -0.948 20 0 EBADMM C[C@]1(C(=O)N2CC[C@H](CNC(=O)c3ncccc3[O-])C2)CCNC1=O ZINC000983307962 806978923 /nfs/dbraw/zinc/97/89/23/806978923.db2.gz QQCGTNPZHWBXHZ-DIFFPNOSSA-N -1 1 346.387 -0.108 20 0 EBADMM CS(=O)(=O)CS(=O)(=O)[N-]Cc1cc(Br)no1 ZINC000719370183 803784579 /nfs/dbraw/zinc/78/45/79/803784579.db2.gz HYXTUFCIXKFIHQ-UHFFFAOYSA-N -1 1 333.185 -0.141 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1[C@H]2CN(C(=O)CC3CC3)C[C@H]21 ZINC000976862189 803915401 /nfs/dbraw/zinc/91/54/01/803915401.db2.gz QSHMRCBFLSMNFW-JZYVYDRUSA-N -1 1 348.359 -0.117 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1[C@H]2CN(C(=O)[C@@H]3C[C@H]3C)C[C@H]21 ZINC000976863636 803916307 /nfs/dbraw/zinc/91/63/07/803916307.db2.gz ULVXLDLWMYGEDX-VFCFLDTKSA-N -1 1 348.359 -0.261 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)[C@H]1CCNC1=O ZINC000976939083 803982862 /nfs/dbraw/zinc/98/28/62/803982862.db2.gz RLWRMKPZFPHXHV-UXCLJVHYSA-N -1 1 330.344 -0.890 20 0 EBADMM O=C(Cc1ncc[nH]1)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000977052870 804093328 /nfs/dbraw/zinc/09/33/28/804093328.db2.gz RXPVCDXXJNUHIU-MSRIBSCDSA-N -1 1 327.344 -0.060 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)c3ccncn3)C(C)(C)C2)nc1=O ZINC000977363429 804295859 /nfs/dbraw/zinc/29/58/59/804295859.db2.gz HSTZQRPLNHWZOS-NSHDSACASA-N -1 1 331.380 -0.461 20 0 EBADMM Cc1nonc1CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000977427316 804323068 /nfs/dbraw/zinc/32/30/68/804323068.db2.gz WCYBTSUYQKVMOH-LLVKDONJSA-N -1 1 349.395 -0.631 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1CN(C)CCO1 ZINC000977506878 804359875 /nfs/dbraw/zinc/35/98/75/804359875.db2.gz DFNDLOLHGRFGBX-GXTWGEPZSA-N -1 1 348.403 -0.209 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1CN(C)CCO1 ZINC000977506898 804360167 /nfs/dbraw/zinc/36/01/67/804360167.db2.gz DFNDLOLHGRFGBX-TZMCWYRMSA-N -1 1 348.403 -0.209 20 0 EBADMM CN(C[C@H]1CCN1C(=O)[C@H]1CC(=O)N(C)C1)C(=O)c1ncccc1[O-] ZINC000977599203 804406349 /nfs/dbraw/zinc/40/63/49/804406349.db2.gz KKUKAMTTXVUHOA-NWDGAFQWSA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)[C@H]1C[C@H]1C(N)=O)C(=O)c1ncccc1[O-] ZINC000977600197 804407920 /nfs/dbraw/zinc/40/79/20/804407920.db2.gz KVCJNFFEOMTJGG-AXFHLTTASA-N -1 1 332.360 -0.419 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1cn(C)nn1)C(=O)c1ncccc1[O-] ZINC000977617960 804415887 /nfs/dbraw/zinc/41/58/87/804415887.db2.gz MVAOHPGEKQFGBF-SNVBAGLBSA-N -1 1 330.348 -0.098 20 0 EBADMM CN(C[C@H]1CCN1C(=O)[C@H]1COCCN1C)C(=O)c1ncccc1[O-] ZINC000977617796 804416412 /nfs/dbraw/zinc/41/64/12/804416412.db2.gz LZAROSHNLQAKFQ-CHWSQXEVSA-N -1 1 348.403 -0.209 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)[C@H]1CCN(C)C1=O)C(=O)c1ncccc1[O-] ZINC000977616723 804416428 /nfs/dbraw/zinc/41/64/28/804416428.db2.gz ILVWEHLIKIYFRV-RYUDHWBXSA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C[C@H]1CCN1C(=O)[C@@H]1COC(=O)N1)C(=O)c1ncccc1[O-] ZINC000977619501 804417760 /nfs/dbraw/zinc/41/77/60/804417760.db2.gz RJKMOYNPZUDPFA-ZJUUUORDSA-N -1 1 334.332 -0.432 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ncn(C)n1)C(=O)c1ncccc1[O-] ZINC000977622080 804419153 /nfs/dbraw/zinc/41/91/53/804419153.db2.gz VPKJRYOKRKXMEO-SNVBAGLBSA-N -1 1 330.348 -0.098 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)C1CCC1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000978054450 804635502 /nfs/dbraw/zinc/63/55/02/804635502.db2.gz NJKPIOLZXXSNGM-NSHDSACASA-N -1 1 344.375 -0.109 20 0 EBADMM Cc1cc(C(=O)N2CC[C@@H]2CN(C)C(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC000978074214 804647804 /nfs/dbraw/zinc/64/78/04/804647804.db2.gz RUZWPWNAFLSARK-MRVPVSSYSA-N -1 1 347.335 -0.699 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N(C)C[C@@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000978185832 804709852 /nfs/dbraw/zinc/70/98/52/804709852.db2.gz CZSDONRCNPUMKI-DCAQKATOSA-N -1 1 344.375 -0.254 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@@H]1CCN(C)C1=O ZINC000978207048 804728429 /nfs/dbraw/zinc/72/84/29/804728429.db2.gz ATKKZAIIDIRLTB-VXGBXAGGSA-N -1 1 346.387 -0.062 20 0 EBADMM O=C(NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)[C@H]1CCNC(=O)C1 ZINC000978709354 804935683 /nfs/dbraw/zinc/93/56/83/804935683.db2.gz MJRAWOBSWCOIPC-SDDRHHMPSA-N -1 1 346.387 -0.062 20 0 EBADMM Cn1ncc(C(=O)N[C@H]2C[C@@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC000978738268 804951792 /nfs/dbraw/zinc/95/17/92/804951792.db2.gz DANKVBOGMJCKBY-AOOOYVTPSA-N -1 1 330.348 -0.146 20 0 EBADMM CN1CC[C@@H](C(=O)N[C@H]2C[C@@H](CNC(=O)c3ncccc3[O-])C2)C1=O ZINC000978755927 804961124 /nfs/dbraw/zinc/96/11/24/804961124.db2.gz WLSJJDSAFPARLO-TUAOUCFPSA-N -1 1 346.387 -0.110 20 0 EBADMM CNC(=O)CN1C[C@@H]2[C@@H](CNC(=O)c3ccc4oc(=O)nc-4[n-]3)[C@@H]2C1 ZINC000978944903 805057236 /nfs/dbraw/zinc/05/72/36/805057236.db2.gz ZRKVDGRICQNPMR-OWUUHHOZSA-N -1 1 345.359 -0.418 20 0 EBADMM C[C@H]1C[C@H]1C(=O)N[C@H]1C[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000979043281 805106568 /nfs/dbraw/zinc/10/65/68/805106568.db2.gz IFXSMLMBLKLKCA-UKKRHICBSA-N -1 1 344.375 -0.302 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2cc[n+]([O-])cc2)CCO1 ZINC000979170064 805187746 /nfs/dbraw/zinc/18/77/46/805187746.db2.gz BKWJYJMCHLGVIX-CMPLNLGQSA-N -1 1 348.363 -0.837 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2cnn(C)c2)CCO1 ZINC000979190764 805198147 /nfs/dbraw/zinc/19/81/47/805198147.db2.gz JQKYMOHNIWCELK-GXSJLCMTSA-N -1 1 335.368 -0.737 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)C2=COCCC2)CCO1 ZINC000979211588 805211807 /nfs/dbraw/zinc/21/18/07/805211807.db2.gz FJFLZLJUYUPGAH-JQWIXIFHSA-N -1 1 337.380 -0.090 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)C2=CCOCC2)CCO1 ZINC000979263432 805248584 /nfs/dbraw/zinc/24/85/84/805248584.db2.gz WDOCSLWRJCUYSS-PWSUYJOCSA-N -1 1 337.380 -0.438 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2cc[nH]c(=O)c2)CCO1 ZINC000979275955 805254802 /nfs/dbraw/zinc/25/48/02/805254802.db2.gz POKOEBULVHFFLH-GXSJLCMTSA-N -1 1 348.363 -0.370 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2cc[nH]c(=O)c2)CCO1 ZINC000979275965 805254813 /nfs/dbraw/zinc/25/48/13/805254813.db2.gz POKOEBULVHFFLH-MWLCHTKSSA-N -1 1 348.363 -0.370 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)cnn1 ZINC000979278610 805257433 /nfs/dbraw/zinc/25/74/33/805257433.db2.gz NAJPSALJBVRVIM-JQWIXIFHSA-N -1 1 347.379 -0.372 20 0 EBADMM C[C@H](NCc1nnnn1C)[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC000979277942 805257479 /nfs/dbraw/zinc/25/74/79/805257479.db2.gz QGXSYAPCYPEELS-CMPLNLGQSA-N -1 1 347.379 -0.670 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2cnc[nH]c2=O)CCO1 ZINC000979324659 805292857 /nfs/dbraw/zinc/29/28/57/805292857.db2.gz BUEQNWHZKLFHNN-PSASIEDQSA-N -1 1 349.351 -0.975 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2cnc[nH]c2=O)CCO1 ZINC000979324662 805293468 /nfs/dbraw/zinc/29/34/68/805293468.db2.gz BUEQNWHZKLFHNN-WCBMZHEXSA-N -1 1 349.351 -0.975 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2cncn2C)CCO1 ZINC000979344269 805308220 /nfs/dbraw/zinc/30/82/20/805308220.db2.gz NIMBBVUTVIDKAF-MWLCHTKSSA-N -1 1 335.368 -0.737 20 0 EBADMM CCn1ccc(C(=O)N2CCO[C@@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000979376493 805336839 /nfs/dbraw/zinc/33/68/39/805336839.db2.gz BDHVSTGHLZJPHB-ZYHUDNBSSA-N -1 1 349.395 -0.254 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)Cc2ncc[nH]2)CCO1 ZINC000979430189 805373318 /nfs/dbraw/zinc/37/33/18/805373318.db2.gz KRDYLIAZFQSCNP-ZJUUUORDSA-N -1 1 335.368 -0.818 20 0 EBADMM NC(=O)[C@@H]1CC[C@H]1C(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000979663599 805475246 /nfs/dbraw/zinc/47/52/46/805475246.db2.gz DGTGUCNZXAVYCY-DDHJBXDOSA-N -1 1 346.387 -0.077 20 0 EBADMM CN1CCOC[C@H]1C(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000979664521 805475466 /nfs/dbraw/zinc/47/54/66/805475466.db2.gz LNLOTCGETGKBSV-AVGNSLFASA-N -1 1 348.403 -0.258 20 0 EBADMM O=C(NC[C@@H]1C[C@@H](NC(=O)[C@H]2CNC(=O)N2)C1)c1ncccc1[O-] ZINC000979674671 805482292 /nfs/dbraw/zinc/48/22/92/805482292.db2.gz RHCOZZYHZBFUQE-OPRDCNLKSA-N -1 1 333.348 -0.907 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2CCN(C(=O)CCc3c[nH]nn3)C2)c1[O-] ZINC000983326576 806990344 /nfs/dbraw/zinc/99/03/44/806990344.db2.gz LXODOHRQSDZCRK-SNVBAGLBSA-N -1 1 347.379 -0.247 20 0 EBADMM CCC(=O)N[C@H]1CCC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000983376050 807009806 /nfs/dbraw/zinc/00/98/06/807009806.db2.gz MTAAZZIGZPOMRC-VHSXEESVSA-N -1 1 332.364 -0.015 20 0 EBADMM O=C(N[C@@H]1CCC[C@H](NC(=O)C2CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000983408354 807013288 /nfs/dbraw/zinc/01/32/88/807013288.db2.gz SXMLXYSVUYMFBE-WDEREUQCSA-N -1 1 344.375 -0.015 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2C[C@H](C[NH2+]Cc3nc(=O)n(C)[n-]3)C2)c1[O-] ZINC000983481507 807023070 /nfs/dbraw/zinc/02/30/70/807023070.db2.gz SNAVKCICPBDLGN-KYZUINATSA-N -1 1 335.368 -0.856 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCCN(C(=O)Cn2cnnn2)C1 ZINC000983862673 807107184 /nfs/dbraw/zinc/10/71/84/807107184.db2.gz SAZTTYSLXMNQQS-NSHDSACASA-N -1 1 345.363 -0.463 20 0 EBADMM CN(C(=O)[C@@H]1CNC(=O)N1)[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984113597 807167418 /nfs/dbraw/zinc/16/74/18/807167418.db2.gz LDFOMEWEJGHLCU-QWRGUYRKSA-N -1 1 347.375 -0.468 20 0 EBADMM CN(C(=O)Cn1ncnn1)[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984114212 807168574 /nfs/dbraw/zinc/16/85/74/807168574.db2.gz MZVVVIZGQLLGBB-LLVKDONJSA-N -1 1 345.363 -0.463 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCCN(C(=O)Cn2ncnn2)C1 ZINC000984227499 807224375 /nfs/dbraw/zinc/22/43/75/807224375.db2.gz MAKNBIHIAGYHOA-LLVKDONJSA-N -1 1 345.363 -0.463 20 0 EBADMM Cc1cc(=O)c(C(=O)N[C@H]2C[C@H](CNCc3n[nH]c(=O)[n-]3)C2)c[nH]1 ZINC000984995149 807423078 /nfs/dbraw/zinc/42/30/78/807423078.db2.gz ABZYXBAMPZLMCK-MGCOHNPYSA-N -1 1 332.364 -0.195 20 0 EBADMM O=C(N[C@H]1C[C@H](CNCc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCCS1(=O)=O ZINC000985137166 807452550 /nfs/dbraw/zinc/45/25/50/807452550.db2.gz FPJOYGSNCSFNGB-GUBZILKMSA-N -1 1 343.409 -0.928 20 0 EBADMM Cc1cnc(C(=O)N2CCC([N@H+](C)Cc3nc(=O)n(C)[n-]3)CC2)cn1 ZINC000985232236 807466468 /nfs/dbraw/zinc/46/64/68/807466468.db2.gz DDZQKSPCANCBOO-UHFFFAOYSA-N -1 1 345.407 -0.057 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)c2cnn(C)c2N)CC1 ZINC000985393907 807503983 /nfs/dbraw/zinc/50/39/83/807503983.db2.gz NSRGBYYLVJBYON-UHFFFAOYSA-N -1 1 334.384 -0.437 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnc(N(C)C)cn1 ZINC000986270470 807661865 /nfs/dbraw/zinc/66/18/65/807661865.db2.gz LOQKSVBTGLSWBR-NXEZZACHSA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1nnn(C(C)(C)C)n1 ZINC000986293433 807668684 /nfs/dbraw/zinc/66/86/84/807668684.db2.gz JKGDGHVMQPSARZ-RKDXNWHRSA-N -1 1 349.399 -0.355 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)Cn1cc(C2CC2)nn1 ZINC000986358026 807683723 /nfs/dbraw/zinc/68/37/23/807683723.db2.gz HLZCDESLCYZZJT-ONGXEEELSA-N -1 1 346.395 -0.242 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cn2c(n1)COCC2 ZINC000986414158 807697878 /nfs/dbraw/zinc/69/78/78/807697878.db2.gz JIVRKQSCSOZEGB-VHSXEESVSA-N -1 1 347.379 -0.370 20 0 EBADMM Cc1ncc(CN[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)[C@H]2C)o1 ZINC000986487416 807717240 /nfs/dbraw/zinc/71/72/40/807717240.db2.gz JYOPLQFXESRKTJ-OIBJUYFYSA-N -1 1 334.336 -0.028 20 0 EBADMM Cc1cc(CN[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)[C@@H]2C)ncn1 ZINC000986486515 807717811 /nfs/dbraw/zinc/71/78/11/807717811.db2.gz GYTZSHKKTVDWAR-MWLCHTKSSA-N -1 1 345.363 -0.226 20 0 EBADMM Cc1cnc(CN[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)[C@H]2C)cn1 ZINC000986490811 807719681 /nfs/dbraw/zinc/71/96/81/807719681.db2.gz YCMODTWRBVMPSJ-ONGXEEELSA-N -1 1 345.363 -0.226 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnn2cccnc12 ZINC000986635360 807753846 /nfs/dbraw/zinc/75/38/46/807753846.db2.gz CNMVPLNAQXMUJB-KOLCDFICSA-N -1 1 342.363 -0.054 20 0 EBADMM CC(=O)N1CCCCC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000986800715 807779034 /nfs/dbraw/zinc/77/90/34/807779034.db2.gz IFZKUUOGXZJHMN-LLVKDONJSA-N -1 1 332.364 -0.062 20 0 EBADMM Cc1ccn(CC(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)c(=O)c1 ZINC000987080681 807835676 /nfs/dbraw/zinc/83/56/76/807835676.db2.gz CEPQOHPIAFHMAY-NEPJUHHUSA-N -1 1 346.391 -0.240 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)Cn1ccccc1=O ZINC000987495915 807942499 /nfs/dbraw/zinc/94/24/99/807942499.db2.gz YDGINJBPRYEEEF-QWRGUYRKSA-N -1 1 332.364 -0.549 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc(C(N)=O)nc1 ZINC000987607556 807971440 /nfs/dbraw/zinc/97/14/40/807971440.db2.gz CCYIMAMXNSUSPG-WCBMZHEXSA-N -1 1 345.363 -0.603 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@@H]1COCCN1C ZINC000987669874 807987202 /nfs/dbraw/zinc/98/72/02/807987202.db2.gz BSBAKVJYISAJQX-AGIUHOORSA-N -1 1 348.403 -0.163 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)Cn1nccn1 ZINC000987683183 807990121 /nfs/dbraw/zinc/99/01/21/807990121.db2.gz HVBDPWAHYZTUCR-MNOVXSKESA-N -1 1 330.348 -0.202 20 0 EBADMM CN(C[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C(=O)[C@H]1CNC(=O)N1 ZINC000987934389 808062440 /nfs/dbraw/zinc/06/24/40/808062440.db2.gz ZGOKUWYLRFXKPR-GHMZBOCLSA-N -1 1 347.375 -0.611 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1)C(=O)C1CC1 ZINC000988137296 808126270 /nfs/dbraw/zinc/12/62/70/808126270.db2.gz WQXNMJUIJKMZRQ-LBPRGKRZSA-N -1 1 348.403 -0.356 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)[C@@H]2CC(=O)N(C)C2)CCN1C(=O)c1ncccc1[O-] ZINC000988499613 808230357 /nfs/dbraw/zinc/23/03/57/808230357.db2.gz SMVWXVCXPIBFAU-UTUOFQBUSA-N -1 1 346.387 -0.015 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCc1ccn(C)n1 ZINC000988915090 808326045 /nfs/dbraw/zinc/32/60/45/808326045.db2.gz BYYDAFPZOIVPSA-PWSUYJOCSA-N -1 1 333.396 -0.044 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnn2c1OCCC2 ZINC000989105759 808374856 /nfs/dbraw/zinc/37/48/56/808374856.db2.gz WSXJMVBJEQPUCN-UWVGGRQHSA-N -1 1 347.379 -0.118 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnn2c1OCCC2 ZINC000989105760 808375435 /nfs/dbraw/zinc/37/54/35/808375435.db2.gz WSXJMVBJEQPUCN-VHSXEESVSA-N -1 1 347.379 -0.118 20 0 EBADMM Cc1cc(CN[C@@H]2C[C@@H](C)N(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)ncn1 ZINC000989113051 808377120 /nfs/dbraw/zinc/37/71/20/808377120.db2.gz RJTSQZWCFHNJFJ-MWLCHTKSSA-N -1 1 345.363 -0.226 20 0 EBADMM Cc1ncoc1CN[C@@H]1C[C@H](C)N(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000989114003 808378349 /nfs/dbraw/zinc/37/83/49/808378349.db2.gz WJSPDIXHLWQVLL-IONNQARKSA-N -1 1 334.336 -0.028 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccnc2n[nH]nc21 ZINC000989160380 808391120 /nfs/dbraw/zinc/39/11/20/808391120.db2.gz OSCCGHSGVSCMBW-SFYZADRCSA-N -1 1 343.351 -0.431 20 0 EBADMM COc1cnc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)nc1 ZINC000989164981 808392357 /nfs/dbraw/zinc/39/23/57/808392357.db2.gz XLIRZLANUAIVLC-RKDXNWHRSA-N -1 1 333.352 -0.298 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnc2nccn2c1 ZINC000989180091 808395896 /nfs/dbraw/zinc/39/58/96/808395896.db2.gz MXOFYUGLPQSBEW-GXSJLCMTSA-N -1 1 342.363 -0.054 20 0 EBADMM COc1coc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)cc1=O ZINC000989189815 808398746 /nfs/dbraw/zinc/39/87/46/808398746.db2.gz ULRFSRFPFRFOGI-IUCAKERBSA-N -1 1 349.347 -0.135 20 0 EBADMM C[C@H]1C[C@@H](NCc2cnnn2C)CN1C(=O)c1cnc([O-])n(C)c1=O ZINC000989284076 808426901 /nfs/dbraw/zinc/42/69/01/808426901.db2.gz RRXDBRQURNNGRZ-VHSXEESVSA-N -1 1 347.379 -0.993 20 0 EBADMM C[C@@H]1C[C@@H](NCc2nc(=O)c3sccc3[n-]2)CN1C(=O)C(N)=O ZINC000989379517 808460834 /nfs/dbraw/zinc/46/08/34/808460834.db2.gz IFWQJEYKJVHQHF-HTQZYQBOSA-N -1 1 335.389 -0.039 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCc1cnn(C)n1 ZINC000989454502 808478869 /nfs/dbraw/zinc/47/88/69/808478869.db2.gz UGZFJRKLTNMZPN-KOLCDFICSA-N -1 1 334.384 -0.649 20 0 EBADMM CC(C)c1nnnn1CC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC000989537439 808498892 /nfs/dbraw/zinc/49/88/92/808498892.db2.gz UMFVHQXKLCMMEO-UWVGGRQHSA-N -1 1 349.399 -0.601 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1nc2ncccn2n1 ZINC000989580222 808521353 /nfs/dbraw/zinc/52/13/53/808521353.db2.gz LJVAPWDAXWDETL-DTWKUNHWSA-N -1 1 343.351 -0.659 20 0 EBADMM Cc1cc(=O)c(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)c[nH]1 ZINC000989639021 808543024 /nfs/dbraw/zinc/54/30/24/808543024.db2.gz ROTSGVCDCSUDNR-UWVGGRQHSA-N -1 1 332.364 -0.100 20 0 EBADMM Cc1cc(=O)c(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)c[nH]1 ZINC000989639020 808543593 /nfs/dbraw/zinc/54/35/93/808543593.db2.gz ROTSGVCDCSUDNR-NXEZZACHSA-N -1 1 332.364 -0.100 20 0 EBADMM C[C@@H]1C[C@@H](NCc2ccon2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000989671560 808551417 /nfs/dbraw/zinc/55/14/17/808551417.db2.gz BZSFSFZOCOQDQB-MWLCHTKSSA-N -1 1 343.347 -0.202 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc(N(C)C)nn1 ZINC000989690921 808560259 /nfs/dbraw/zinc/56/02/59/808560259.db2.gz PUGXAJTZXNCEKX-ZJUUUORDSA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@H]1C[C@@H](NCc2nccn2C)CN1C(=O)Cn1c(=O)[n-][nH]c1=O ZINC000989722761 808568341 /nfs/dbraw/zinc/56/83/41/808568341.db2.gz LPMUQSXVSRMOCL-VHSXEESVSA-N -1 1 335.368 -0.798 20 0 EBADMM CCn1ccnc1CN[C@H]1C[C@H](C)N(C(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC000989722475 808568681 /nfs/dbraw/zinc/56/86/81/808568681.db2.gz KPXVOEZJEVEMAI-QWRGUYRKSA-N -1 1 349.395 -0.315 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCc1cnn(C)c1 ZINC000989825499 808606060 /nfs/dbraw/zinc/60/60/60/808606060.db2.gz GRWZMJXXFZHYKW-JQWIXIFHSA-N -1 1 333.396 -0.044 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)Cc4cc[nH]n4)CCC[C@@H]23)nc1=O ZINC000990109072 808657014 /nfs/dbraw/zinc/65/70/14/808657014.db2.gz PSPCXVWSEFDJIQ-WBMJQRKESA-N -1 1 345.407 -0.313 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)c2ncoc2C)C1 ZINC000990397525 808772860 /nfs/dbraw/zinc/77/28/60/808772860.db2.gz WERVNBAEMPIPDL-UHFFFAOYSA-N -1 1 349.303 -0.553 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1cscn1 ZINC000990472144 808802548 /nfs/dbraw/zinc/80/25/48/808802548.db2.gz XQERGVFPURBQFW-UHFFFAOYSA-N -1 1 345.344 -0.872 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)c2cnc(C)[nH]2)C1 ZINC000990492494 808809368 /nfs/dbraw/zinc/80/93/68/808809368.db2.gz LHJFOHGTXBOXSS-UHFFFAOYSA-N -1 1 348.319 -0.818 20 0 EBADMM Cc1[nH]ccc1C(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000990733401 808898393 /nfs/dbraw/zinc/89/83/93/808898393.db2.gz OVPKXIYGWVAHNH-UHFFFAOYSA-N -1 1 345.359 -0.796 20 0 EBADMM O=C(C[C@H]1CCCC(=O)N1)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990967895 809017353 /nfs/dbraw/zinc/01/73/53/809017353.db2.gz DGCAWVMHESVVIM-SNVBAGLBSA-N -1 1 332.360 -0.213 20 0 EBADMM CN(C)c1cnc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)cn1 ZINC000990973205 809021276 /nfs/dbraw/zinc/02/12/76/809021276.db2.gz WRCSZLYGYVLAJS-UHFFFAOYSA-N -1 1 342.359 -0.102 20 0 EBADMM Cn1cc(CCC(=O)N2CC(NC(=O)c3ncccc3[O-])C2)nn1 ZINC000990974728 809021917 /nfs/dbraw/zinc/02/19/17/809021917.db2.gz KIPDJWLGLOFZFK-UHFFFAOYSA-N -1 1 330.348 -0.511 20 0 EBADMM CC[C@@]1(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)CCNC1=O ZINC000990975072 809022217 /nfs/dbraw/zinc/02/22/17/809022217.db2.gz MUFFYTMOZIXALG-MRXNPFEDSA-N -1 1 332.360 -0.356 20 0 EBADMM CCN1C[C@@H](C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000990977646 809024532 /nfs/dbraw/zinc/02/45/32/809024532.db2.gz JPDZXUCDLYZFCJ-JTQLQIEISA-N -1 1 332.360 -0.404 20 0 EBADMM CC(C)N1C[C@@H](C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000990980320 809027061 /nfs/dbraw/zinc/02/70/61/809027061.db2.gz ZZOOEFURVZBEDA-NSHDSACASA-N -1 1 346.387 -0.015 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)CC(=O)N1 ZINC000990979993 809027479 /nfs/dbraw/zinc/02/74/79/809027479.db2.gz XKPCSEDGKICQLF-NXEZZACHSA-N -1 1 332.360 -0.358 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)c1ccon1 ZINC000991301884 809259660 /nfs/dbraw/zinc/25/96/60/809259660.db2.gz FABBSIUCMNSXAL-BXKDBHETSA-N -1 1 332.316 -0.219 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)c1ccon1 ZINC000991301886 809259728 /nfs/dbraw/zinc/25/97/28/809259728.db2.gz FABBSIUCMNSXAL-JOYOIKCWSA-N -1 1 332.316 -0.219 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]2O)[nH]n1 ZINC000991320568 809289837 /nfs/dbraw/zinc/28/98/37/809289837.db2.gz IKEXKBWPIMNNIA-MFKMUULPSA-N -1 1 345.359 -0.176 20 0 EBADMM NC(=O)N1CCC(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)CC1 ZINC000991340330 809325323 /nfs/dbraw/zinc/32/53/23/809325323.db2.gz RVVRDJZKPGBLCS-UHFFFAOYSA-N -1 1 347.375 -0.482 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CCN(C(=O)c3ccn[nH]3)C[C@H]2O)c1[O-] ZINC000991391657 809399269 /nfs/dbraw/zinc/39/92/69/809399269.db2.gz QABOZTNRPVUPTI-WCBMZHEXSA-N -1 1 334.336 -0.848 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CCN(C(=O)Cc3ccn[nH]3)C[C@H]2O)c1[O-] ZINC000991398272 809409886 /nfs/dbraw/zinc/40/98/86/809409886.db2.gz YGHURBAPQSTSML-GHMZBOCLSA-N -1 1 348.363 -0.919 20 0 EBADMM CSCC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991402266 809415971 /nfs/dbraw/zinc/41/59/71/809415971.db2.gz ADAUOWFRILUIOE-GXSJLCMTSA-N -1 1 325.390 -0.158 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1cncnc1 ZINC000991412442 809430792 /nfs/dbraw/zinc/43/07/92/809430792.db2.gz XGFYFJNOOUVQFS-AAEUAGOBSA-N -1 1 343.343 -0.417 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cnon2)C[C@H]1O)c1ncccc1[O-] ZINC000991422743 809444824 /nfs/dbraw/zinc/44/48/24/809444824.db2.gz HRIOLADQRQLZEH-LDYMZIIASA-N -1 1 333.304 -0.824 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cccnn2)C[C@H]1O)c1ncccc1[O-] ZINC000991430085 809459247 /nfs/dbraw/zinc/45/92/47/809459247.db2.gz SMRNSINWVKPTGW-ZWNOBZJWSA-N -1 1 343.343 -0.417 20 0 EBADMM O=C(Cn1ccnc1)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991431766 809463557 /nfs/dbraw/zinc/46/35/57/809463557.db2.gz VQRDPGBTQKOANU-DGCLKSJQSA-N -1 1 345.359 -0.625 20 0 EBADMM Cc1cccn(CC(=O)NC2CN(C(=O)c3ncccc3[O-])C2)c1=O ZINC000991502016 809572910 /nfs/dbraw/zinc/57/29/10/809572910.db2.gz PUBMWJKNAFKMPT-UHFFFAOYSA-N -1 1 342.355 -0.102 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncccc1[O-])C2 ZINC001002201070 809687855 /nfs/dbraw/zinc/68/78/55/809687855.db2.gz ASPCEYJUYBMCGB-ZIQFBCGOSA-N -1 1 344.371 -0.230 20 0 EBADMM Cc1nn(C)cc1C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001002474703 809724611 /nfs/dbraw/zinc/72/46/11/809724611.db2.gz XNTFNLMVXAITMZ-UHFFFAOYSA-N -1 1 333.396 -0.455 20 0 EBADMM Cn1[nH]c(CN2CCC(NC(=O)c3ncccc3[O-])CC2)nc1=O ZINC001002659003 809760450 /nfs/dbraw/zinc/76/04/50/809760450.db2.gz IDSHFTIBULNTPY-UHFFFAOYSA-N -1 1 332.364 -0.397 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)Cc3cncnc3)CC2)nc1=O ZINC001002706213 809766686 /nfs/dbraw/zinc/76/66/86/809766686.db2.gz MPINSDSOKNCHRL-UHFFFAOYSA-N -1 1 331.380 -0.778 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)c1c[nH]c(=O)cn1 ZINC001004919683 809834224 /nfs/dbraw/zinc/83/42/24/809834224.db2.gz PTGRDGJBLRKMAD-MWLCHTKSSA-N -1 1 347.379 -0.233 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)Cc1nnc[nH]1 ZINC001004977780 809835415 /nfs/dbraw/zinc/83/54/15/809835415.db2.gz RCATZHDUBWBLGH-ZJUUUORDSA-N -1 1 334.384 -0.270 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)CCn1cnnn1 ZINC001004985660 809836922 /nfs/dbraw/zinc/83/69/22/809836922.db2.gz UJQOOYKAGZKPDY-GHMZBOCLSA-N -1 1 349.399 -0.554 20 0 EBADMM COCC(=O)N1CC[C@@H](C)[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001005060056 809838498 /nfs/dbraw/zinc/83/84/98/809838498.db2.gz ZQKRBGMZIODPCV-KOLCDFICSA-N -1 1 348.363 -0.969 20 0 EBADMM C[C@@H](NC(=O)[C@@H]1C[C@H]1C)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001006567914 809903998 /nfs/dbraw/zinc/90/39/98/809903998.db2.gz IGVHLVGFDDOBBE-FXPVBKGRSA-N -1 1 344.375 -0.350 20 0 EBADMM COc1cc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)ccn1 ZINC001007742269 810011580 /nfs/dbraw/zinc/01/15/80/810011580.db2.gz DLXDMZPHHFPSLM-LBPRGKRZSA-N -1 1 346.391 -0.094 20 0 EBADMM CC1(C)CN(C(=O)[C@H]2COC(=O)N2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001008086710 810074552 /nfs/dbraw/zinc/07/45/52/810074552.db2.gz YBBHJVKGHLXXGC-MWLCHTKSSA-N -1 1 348.359 -0.138 20 0 EBADMM COCC(=O)N1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C(C)(C)C1 ZINC001008350681 810142444 /nfs/dbraw/zinc/14/24/44/810142444.db2.gz GBXCDOVAHJRZLL-SNVBAGLBSA-N -1 1 348.363 -0.969 20 0 EBADMM CC(=O)N[C@@H]1CC[C@@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@@H]2C1 ZINC001009767218 810263167 /nfs/dbraw/zinc/26/31/67/810263167.db2.gz NDMIDTODCSYUFM-HZSPNIEDSA-N -1 1 348.403 -0.310 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])[C@H]2C)C1 ZINC001011674799 810344605 /nfs/dbraw/zinc/34/46/05/810344605.db2.gz WDEVFNUHIAQONW-GWCFXTLKSA-N -1 1 346.387 -0.015 20 0 EBADMM COCCCOCCC(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001216287776 810366562 /nfs/dbraw/zinc/36/65/62/810366562.db2.gz UBJOQLQEKKDARC-VXGBXAGGSA-N -1 1 341.412 -0.110 20 0 EBADMM O=C(c1ncccc1[O-])N1CCO[C@@H]2CN(CCOCCO)C[C@@H]21 ZINC001217673490 810450006 /nfs/dbraw/zinc/45/00/06/810450006.db2.gz AZCFQIGQPDLHFW-GXTWGEPZSA-N -1 1 337.376 -0.679 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCCN1C(=O)Cn1ncnn1 ZINC001014898339 810453722 /nfs/dbraw/zinc/45/37/22/810453722.db2.gz RFPJXOBAKXRXAE-MNOVXSKESA-N -1 1 345.363 -0.417 20 0 EBADMM CC(C)c1n[nH]cc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001015066793 810471651 /nfs/dbraw/zinc/47/16/51/810471651.db2.gz SRKZUENWUICXJK-JTQLQIEISA-N -1 1 333.396 -0.041 20 0 EBADMM CCCc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC001015471991 810486037 /nfs/dbraw/zinc/48/60/37/810486037.db2.gz WCJHDBFCBDQCOU-NSHDSACASA-N -1 1 333.396 -0.212 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cnc4n3CCCC4)C2)nc1=O ZINC001015603179 810536155 /nfs/dbraw/zinc/53/61/55/810536155.db2.gz OFDZJAMHDPHWFA-LLVKDONJSA-N -1 1 345.407 -0.355 20 0 EBADMM Cn1ccnc1CN1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001015989181 810644128 /nfs/dbraw/zinc/64/41/28/810644128.db2.gz NOJLHFVSGISMJS-JTQLQIEISA-N -1 1 342.363 -0.845 20 0 EBADMM O=C(N[C@@H]1CCN(Cc2nncs2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001015991748 810645618 /nfs/dbraw/zinc/64/56/18/810645618.db2.gz GMRQWAKPYBZFPQ-MRVPVSSYSA-N -1 1 346.376 -0.727 20 0 EBADMM O=C(N[C@H]1CCN(Cc2cscn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001015993666 810647778 /nfs/dbraw/zinc/64/77/78/810647778.db2.gz YZKDTNRBUDLXJI-VIFPVBQESA-N -1 1 345.388 -0.122 20 0 EBADMM C[C@@H](C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)c1cccnc1 ZINC001016015791 810653987 /nfs/dbraw/zinc/65/39/87/810653987.db2.gz HBTQRAURKCUKQG-YPMHNXCESA-N -1 1 330.392 -0.002 20 0 EBADMM CCC[C@@H](C)CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001219340597 810663907 /nfs/dbraw/zinc/66/39/07/810663907.db2.gz JEUDTNLQBMFACY-UTUOFQBUSA-N -1 1 325.413 -0.404 20 0 EBADMM CC(C)CC1(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)CC1 ZINC001219777078 810838466 /nfs/dbraw/zinc/83/84/66/810838466.db2.gz WYVZREPNSSKRMJ-NEPJUHHUSA-N -1 1 337.424 -0.404 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C(C)(C)CC(F)F)[C@@H](O)C2)nc1=O ZINC001219787092 810839674 /nfs/dbraw/zinc/83/96/74/810839674.db2.gz PGYHZGROHFNYCP-BDAKNGLRSA-N -1 1 347.366 -0.549 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)[C@@H]3C[C@H]3C3CCC3)C2)nc1=O ZINC001035545076 810889856 /nfs/dbraw/zinc/88/98/56/810889856.db2.gz NHRJSKISMWDSNC-MELADBBJSA-N -1 1 349.435 -0.138 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CN(CCF)CCO1 ZINC001035578152 810928096 /nfs/dbraw/zinc/92/80/96/810928096.db2.gz UZMFZDJBIZEKSA-MRVPVSSYSA-N -1 1 330.316 -0.704 20 0 EBADMM CC[C@@H](OC)C(=O)N1CCC2(CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001035664118 810981956 /nfs/dbraw/zinc/98/19/56/810981956.db2.gz LMVMDKPTIGTMGR-GFCCVEGCSA-N -1 1 337.424 -0.042 20 0 EBADMM C[C@@H]1CO[C@H](C(=O)N2CCC3(CN(Cc4nc(=O)n(C)[n-]4)C3)CC2)C1 ZINC001035757100 811012592 /nfs/dbraw/zinc/01/25/92/811012592.db2.gz GAQNWSKSOZXLMX-STQMWFEESA-N -1 1 349.435 -0.042 20 0 EBADMM C[C@H](NCc1cnon1)[C@H]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001036426005 811156863 /nfs/dbraw/zinc/15/68/63/811156863.db2.gz PHFNUDHCMCJYBH-IUCAKERBSA-N -1 1 349.351 -0.304 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)CCC(C)(C)C)[C@@H](O)C2)nc1=O ZINC001220576882 811163209 /nfs/dbraw/zinc/16/32/09/811163209.db2.gz UXOUGDAHMJQOPM-MNOVXSKESA-N -1 1 325.413 -0.404 20 0 EBADMM C[C@@H]1CCCN(C(=O)CCn2cncn2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036508986 811167061 /nfs/dbraw/zinc/16/70/61/811167061.db2.gz VJCXZYNCVZDCLG-NEPJUHHUSA-N -1 1 348.411 -0.091 20 0 EBADMM O=C(c1ccc(=O)[nH]n1)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036634977 811188586 /nfs/dbraw/zinc/18/85/86/811188586.db2.gz BRDZLUGYOCKGHL-MWLCHTKSSA-N -1 1 345.363 -0.258 20 0 EBADMM Cn1nccc1C(=O)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036663527 811197744 /nfs/dbraw/zinc/19/77/44/811197744.db2.gz MYPQJEMWWVRXPR-GHMZBOCLSA-N -1 1 331.380 -0.020 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036744055 811237810 /nfs/dbraw/zinc/23/78/10/811237810.db2.gz CVZCDNFMESHRQB-NXEZZACHSA-N -1 1 347.379 -0.016 20 0 EBADMM Cn1c(C(=O)N2CC[C@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)c[nH]c1=O ZINC001036791356 811256898 /nfs/dbraw/zinc/25/68/98/811256898.db2.gz YFLICRRMMDRWLU-UWVGGRQHSA-N -1 1 347.379 -0.314 20 0 EBADMM C[C@H](CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O)C(C)(C)C ZINC001220850751 811267453 /nfs/dbraw/zinc/26/74/53/811267453.db2.gz VUPOYHDZFUSQCL-UTUOFQBUSA-N -1 1 339.440 -0.158 20 0 EBADMM Cn1ncc(C(=O)N2CC[C@@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001036840421 811282947 /nfs/dbraw/zinc/28/29/47/811282947.db2.gz GXMSASNJVYQTFC-MWLCHTKSSA-N -1 1 332.368 -0.625 20 0 EBADMM O=C(Cc1ncc[nH]1)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036851753 811287982 /nfs/dbraw/zinc/28/79/82/811287982.db2.gz REKRXLSXXZBOIR-QWRGUYRKSA-N -1 1 331.380 -0.101 20 0 EBADMM Cn1cncc1CC(=O)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036866656 811296259 /nfs/dbraw/zinc/29/62/59/811296259.db2.gz IVJOGYKEWLIORF-DGCLKSJQSA-N -1 1 345.407 -0.091 20 0 EBADMM NC(=O)CN1CC[C@@H]2CN(C(=O)c3ccc4oc(=O)nc-4[n-]3)CC[C@H]21 ZINC001036870657 811298084 /nfs/dbraw/zinc/29/80/84/811298084.db2.gz YVLYGMLUSFAEQY-MWLCHTKSSA-N -1 1 345.359 -0.050 20 0 EBADMM O=C(Cn1ccnc1)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036910280 811311448 /nfs/dbraw/zinc/31/14/48/811311448.db2.gz RBIWSRKHPAJNNJ-NWDGAFQWSA-N -1 1 331.380 -0.170 20 0 EBADMM Cn1nnc(CNC[C@@H]2CCC[C@H]2NC(=O)c2ncccc2[O-])n1 ZINC001036991694 811327396 /nfs/dbraw/zinc/32/73/96/811327396.db2.gz YMZCSCCUYRSSSV-WDEREUQCSA-N -1 1 331.380 -0.001 20 0 EBADMM CC(C)OCCC(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001221288094 811434547 /nfs/dbraw/zinc/43/45/47/811434547.db2.gz IFRIBEXXRVZDGS-CHWSQXEVSA-N -1 1 337.424 -0.044 20 0 EBADMM COCCCCC(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001221419815 811454595 /nfs/dbraw/zinc/45/45/95/811454595.db2.gz IUAXVVBMQDOMSA-CHWSQXEVSA-N -1 1 337.424 -0.042 20 0 EBADMM CCN(C(=O)C(C)C)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001079323063 811495142 /nfs/dbraw/zinc/49/51/42/811495142.db2.gz AVQRWZGHJQBJIF-UHFFFAOYSA-N -1 1 332.364 -0.254 20 0 EBADMM Cc1cnn(CC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)c1 ZINC001079467443 811551742 /nfs/dbraw/zinc/55/17/42/811551742.db2.gz HLROJXPJQLXCEC-VXGBXAGGSA-N -1 1 333.396 -0.750 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cn2c(n1)CCC2 ZINC001079725077 811686352 /nfs/dbraw/zinc/68/63/52/811686352.db2.gz UZRPWZVSQMVYCE-GHMZBOCLSA-N -1 1 345.407 -0.499 20 0 EBADMM O=S(=O)([O-])C[C@H](CN1CCOCC1)Oc1cccc2[nH]nnc21 ZINC001225991238 811841285 /nfs/dbraw/zinc/84/12/85/811841285.db2.gz XVEICSCSOJZBQL-JTQLQIEISA-N -1 1 342.377 -0.075 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ccc(=O)n(C)c1 ZINC001080030781 811851739 /nfs/dbraw/zinc/85/17/39/811851739.db2.gz UUFQTAMBSWREFW-ZYHUDNBSSA-N -1 1 346.391 -0.943 20 0 EBADMM Cc1nnccc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001080049199 811865705 /nfs/dbraw/zinc/86/57/05/811865705.db2.gz BEJWUUCNQZXVRJ-BXKDBHETSA-N -1 1 331.380 -0.543 20 0 EBADMM Cc1nn(C)cc1CN1C[C@@H](C)[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001080051573 811867978 /nfs/dbraw/zinc/86/79/78/811867978.db2.gz WJKMGWQNLQNMNQ-LDYMZIIASA-N -1 1 347.379 -0.425 20 0 EBADMM CNC(=O)NC(=O)[C@@H](C)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC001226985542 811894744 /nfs/dbraw/zinc/89/47/44/811894744.db2.gz HAFKVNUDBMBZLN-SNVBAGLBSA-N -1 1 349.391 -0.175 20 0 EBADMM CNC(=O)CC(=O)NC1CCN(Cc2cc(=O)n3[n-]ccc3n2)CC1 ZINC001227024760 811898384 /nfs/dbraw/zinc/89/83/84/811898384.db2.gz WOLDFDYNSYJWBN-UHFFFAOYSA-N -1 1 346.391 -0.761 20 0 EBADMM CC1(C)O[C@H]2C(=O)O[C@H](COc3nc4c([n-]3)[nH]c(=O)[nH]c4=O)[C@H]2O1 ZINC001227431025 811921688 /nfs/dbraw/zinc/92/16/88/811921688.db2.gz QWYOLIQIRNXZGK-QPPQHZFASA-N -1 1 338.276 -0.412 20 0 EBADMM CC[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001080170317 811926650 /nfs/dbraw/zinc/92/66/50/811926650.db2.gz STHDSNLHFTXUCN-LPWJVIDDSA-N -1 1 337.424 -0.140 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cc1ncccc1F ZINC001080333373 811984550 /nfs/dbraw/zinc/98/45/50/811984550.db2.gz JYDRGTCLPFXFOK-ZWNOBZJWSA-N -1 1 348.382 -0.178 20 0 EBADMM COCCO[C@H](C)C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001229444319 812033538 /nfs/dbraw/zinc/03/35/38/812033538.db2.gz GNEJLMKXDXRLJL-LLVKDONJSA-N -1 1 341.412 -0.760 20 0 EBADMM Cc1nc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)c(C)o1 ZINC001080663309 812121634 /nfs/dbraw/zinc/12/16/34/812121634.db2.gz ZKJYNYBBCUJBQS-LDYMZIIASA-N -1 1 334.380 -0.036 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cccn(C)c1=O ZINC001080804470 812246081 /nfs/dbraw/zinc/24/60/81/812246081.db2.gz CQCCFIHOFYUUAG-ZYHUDNBSSA-N -1 1 346.391 -0.943 20 0 EBADMM CCc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)no1 ZINC001080805558 812246191 /nfs/dbraw/zinc/24/61/91/812246191.db2.gz YUADPDBELARTIM-BXKDBHETSA-N -1 1 334.380 -0.091 20 0 EBADMM CO[C@H](C)C(=O)N1CCC(CO)(NC(=O)c2ncccc2[O-])CC1 ZINC001080826145 812260755 /nfs/dbraw/zinc/26/07/55/812260755.db2.gz DSQFHTVZPNZOSV-LLVKDONJSA-N -1 1 337.376 -0.095 20 0 EBADMM C[C@H](OCC1CC1)C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001231084585 812272025 /nfs/dbraw/zinc/27/20/25/812272025.db2.gz CQMQJBBMLYMGGS-WCQYABFASA-N -1 1 337.424 -0.044 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)C[C@H]1COC(=O)C1 ZINC001233654126 812325516 /nfs/dbraw/zinc/32/55/16/812325516.db2.gz OTEPPUKOSWEXSN-MNOVXSKESA-N -1 1 337.380 -0.906 20 0 EBADMM C[C@H](C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)c1ccnn1C ZINC001234392904 812422103 /nfs/dbraw/zinc/42/21/03/812422103.db2.gz QVXCLPGZYHCUSS-NWDGAFQWSA-N -1 1 347.423 -0.322 20 0 EBADMM Cc1ncc(CC(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)o1 ZINC001235319173 812480942 /nfs/dbraw/zinc/48/09/42/812480942.db2.gz IOZMXWFUOIVFMA-LLVKDONJSA-N -1 1 334.380 -0.320 20 0 EBADMM O=C([O-])c1cccc(S(=O)(=O)NC[C@]2(O)CCNC[C@@H]2F)c1 ZINC001238063483 812523915 /nfs/dbraw/zinc/52/39/15/812523915.db2.gz HRROHBWSPNYZJM-WCQYABFASA-N -1 1 332.353 -0.274 20 0 EBADMM O=C(Cn1cccn1)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001081253252 812623881 /nfs/dbraw/zinc/62/38/81/812623881.db2.gz DLDYEBQTVVGTNP-WCQYABFASA-N -1 1 345.359 -0.767 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCc1nc(-c2ccncc2)no1 ZINC001251873546 812638237 /nfs/dbraw/zinc/63/82/37/812638237.db2.gz DUELXOYCBNNMQR-UHFFFAOYSA-N -1 1 342.315 -0.312 20 0 EBADMM COCCCC(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001081303375 812699593 /nfs/dbraw/zinc/69/95/93/812699593.db2.gz WPHLHIDPPPTMKX-DGCLKSJQSA-N -1 1 337.376 -0.237 20 0 EBADMM Cc1ccnc2nc(C(=O)N3CCSC[C@H]3c3nn[n-]n3)nn21 ZINC001255243481 812711925 /nfs/dbraw/zinc/71/19/25/812711925.db2.gz QPVRVDFNZKLHKP-QMMMGPOBSA-N -1 1 331.365 -0.124 20 0 EBADMM CC(C)(C)C(=O)C(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001081348967 812781409 /nfs/dbraw/zinc/78/14/09/812781409.db2.gz KIKYPUICEFCFDR-PWSUYJOCSA-N -1 1 349.387 -0.049 20 0 EBADMM CC1(C)[C@@H]2CC[C@@]1(CS(=O)(=O)[N-]C(CO)(CO)CO)C(=O)C2 ZINC001258988336 812799653 /nfs/dbraw/zinc/79/96/53/812799653.db2.gz DKLOTUJBEOOLCV-QMTHXVAHSA-N -1 1 335.422 -0.983 20 0 EBADMM NC(=O)[C@H](Cc1ccccc1)NC(=O)C[N-]S(=O)(=O)C(F)F ZINC001259965011 812818558 /nfs/dbraw/zinc/81/85/58/812818558.db2.gz RLTFGDFQZKLKEG-VIFPVBQESA-N -1 1 335.332 -0.659 20 0 EBADMM O=C1COc2ccc(S(=O)(=O)NCC[P@@](=O)([O-])O)cc2N1 ZINC001260675469 812845636 /nfs/dbraw/zinc/84/56/36/812845636.db2.gz JOZCKKRDGGMAPV-UHFFFAOYSA-N -1 1 336.262 -0.527 20 0 EBADMM CNC(=O)[C@H](O)C[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001261194313 812878901 /nfs/dbraw/zinc/87/89/01/812878901.db2.gz XHFXFAFBMKYVLR-ZCFIWIBFSA-N -1 1 328.177 -0.226 20 0 EBADMM CNC(=O)[C@@H](O)C[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001261194312 812879523 /nfs/dbraw/zinc/87/95/23/812879523.db2.gz XHFXFAFBMKYVLR-LURJTMIESA-N -1 1 328.177 -0.226 20 0 EBADMM COCCOCCN1CC[C@@H]1CN(C)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001263802696 813118222 /nfs/dbraw/zinc/11/82/22/813118222.db2.gz RGQZLDDSQKLFAZ-SNVBAGLBSA-N -1 1 341.368 -0.908 20 0 EBADMM CO[C@@H]1CN(CCc2ccnn2C)C[C@H]1NC(=O)c1[nH]nc(C)c1[O-] ZINC001081540475 813143964 /nfs/dbraw/zinc/14/39/64/813143964.db2.gz DEMXZFMLDFVCQC-CHWSQXEVSA-N -1 1 348.407 -0.171 20 0 EBADMM CN(CCOCCN(C)C(=O)c1cccnn1)Cc1nc(=O)n(C)[n-]1 ZINC001264110060 813153631 /nfs/dbraw/zinc/15/36/31/813153631.db2.gz LBDLDWCOPNMGEN-UHFFFAOYSA-N -1 1 349.395 -0.881 20 0 EBADMM CO[C@@H]1CN([C@H](C)C(=O)NC2CC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001081576308 813218197 /nfs/dbraw/zinc/21/81/97/813218197.db2.gz WVDXXIPAGPNADD-MPKXVKKWSA-N -1 1 348.403 -0.117 20 0 EBADMM CO[C@@H]1CN([C@H]2CCCN(C)C2=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001081578709 813227102 /nfs/dbraw/zinc/22/71/02/813227102.db2.gz RYPZCDIMWPSNIR-MBNYWOFBSA-N -1 1 348.403 -0.163 20 0 EBADMM O=C(Cn1ccnc1)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001081581118 813234845 /nfs/dbraw/zinc/23/48/45/813234845.db2.gz XMKPIHIAYROOGW-DGCLKSJQSA-N -1 1 345.359 -0.767 20 0 EBADMM CC(=O)NCCOCCN1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001265279123 813289088 /nfs/dbraw/zinc/28/90/88/813289088.db2.gz ORDFQTQBJFNUPO-LBPRGKRZSA-N -1 1 339.396 -0.619 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ccncc1C ZINC001081635442 813340883 /nfs/dbraw/zinc/34/08/83/813340883.db2.gz BWGKIXCRQPTUIA-CHWSQXEVSA-N -1 1 346.391 -0.559 20 0 EBADMM C[C@H](CNC(=O)c1cccc2c1oc(=O)n2C)NCc1n[nH]c(=O)[n-]1 ZINC001265783748 813370171 /nfs/dbraw/zinc/37/01/71/813370171.db2.gz PTCPYSJJBDOSKG-MRVPVSSYSA-N -1 1 346.347 -0.137 20 0 EBADMM Cc1nn(C)cc1CN(C)CCCNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001265884021 813404999 /nfs/dbraw/zinc/40/49/99/813404999.db2.gz IFCDIIMIOHAEFG-UHFFFAOYSA-N -1 1 335.368 -0.423 20 0 EBADMM CC[N@H+](CCCNC(=O)c1ncccc1O)CC(=O)NC(=O)NC ZINC001266035429 813442822 /nfs/dbraw/zinc/44/28/22/813442822.db2.gz IYUZXSXSBFBPLU-UHFFFAOYSA-N -1 1 337.380 -0.315 20 0 EBADMM Cn1[n-]c(CN2CCN(CCNC(=O)[C@]3(C)C=CCC3)CC2)nc1=O ZINC001266290092 813514915 /nfs/dbraw/zinc/51/49/15/813514915.db2.gz MZMNWZFROMNEEN-QGZVFWFLSA-N -1 1 348.451 -0.302 20 0 EBADMM CNC(=O)NCC(=O)N1CCCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001082121235 813775225 /nfs/dbraw/zinc/77/52/25/813775225.db2.gz LHLYFYAVNQKQRO-NSHDSACASA-N -1 1 349.391 -0.173 20 0 EBADMM CO[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CN1CCC[C@H]1C ZINC001082133509 813782862 /nfs/dbraw/zinc/78/28/62/813782862.db2.gz FSWVOIUNIDMMIS-IJLUTSLNSA-N -1 1 338.412 -0.690 20 0 EBADMM COC[C@H](C)N1C[C@@H](NC(=O)c2c[n-]n3c2nccc3=O)[C@H](OC)C1 ZINC001082211746 813824633 /nfs/dbraw/zinc/82/46/33/813824633.db2.gz GBNWIZYELLBJRA-CYZMBNFOSA-N -1 1 349.391 -0.514 20 0 EBADMM CCO[C@H](C(=O)N(C)CCNCc1n[nH]c(=O)[n-]1)[C@H]1CCOC1 ZINC001267409422 813881289 /nfs/dbraw/zinc/88/12/89/813881289.db2.gz WZKJIJMUEWFTSD-JQWIXIFHSA-N -1 1 327.385 -0.500 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2CCn3cncc3C2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082601228 813977669 /nfs/dbraw/zinc/97/76/69/813977669.db2.gz RAURWVVFZFLVDL-NQBHXWOUSA-N -1 1 345.407 -0.094 20 0 EBADMM CC[C@H](C(N)=O)N1C[C@H]2OCCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC001083059991 814097786 /nfs/dbraw/zinc/09/77/86/814097786.db2.gz GUXUJABNMKRFRZ-NTZNESFSSA-N -1 1 334.376 -0.424 20 0 EBADMM O=C(c1ncccc1[O-])N1CCO[C@@H]2CN(CCn3cncn3)C[C@@H]21 ZINC001083059947 814098558 /nfs/dbraw/zinc/09/85/58/814098558.db2.gz FCDFOAALRXVZOZ-GXTWGEPZSA-N -1 1 344.375 -0.396 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)[C@@H]4CCC4(C)C)[C@H]3C2)nc1=O ZINC001083170122 814178399 /nfs/dbraw/zinc/17/83/99/814178399.db2.gz REWLVQWDELWIKK-RWMBFGLXSA-N -1 1 349.435 -0.044 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1CN(Cc2ccccn2)C1 ZINC001268463773 814252703 /nfs/dbraw/zinc/25/27/03/814252703.db2.gz UVAKOXQHBAZYDJ-UHFFFAOYSA-N -1 1 329.360 -0.678 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCc2n[nH]cc2C1 ZINC001268491606 814264291 /nfs/dbraw/zinc/26/42/91/814264291.db2.gz QNPZIZOUVOJZRK-SNVBAGLBSA-N -1 1 333.396 -0.023 20 0 EBADMM Cn1cc(CNC/C=C/CNC(=O)CCc2n[nH]c(=O)[n-]c2=O)cn1 ZINC001268560595 814293943 /nfs/dbraw/zinc/29/39/43/814293943.db2.gz MEMFZBZSSKSVGT-NSCUHMNNSA-N -1 1 347.379 -0.589 20 0 EBADMM Cc1ccn2ncc(C(=O)N[C@@H](C)CNCc3n[nH]c(=O)[n-]3)c2n1 ZINC001268711401 814361090 /nfs/dbraw/zinc/36/10/90/814361090.db2.gz IBDRWGVOBOQFDU-VIFPVBQESA-N -1 1 330.352 -0.230 20 0 EBADMM CN(C(=O)CN1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1)C1CC1 ZINC001083422325 814401814 /nfs/dbraw/zinc/40/18/14/814401814.db2.gz QKTQULNWDZYTDN-YPMHNXCESA-N -1 1 334.376 -0.817 20 0 EBADMM CC(C)N1CC[C@@H](N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)C1=O ZINC001083422237 814402220 /nfs/dbraw/zinc/40/22/20/814402220.db2.gz NEDVRKYBTOBJBT-BZPMIXESSA-N -1 1 348.403 -0.429 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)c3sccc3F)[C@@H](O)C2)nc1=O ZINC001083444436 814432424 /nfs/dbraw/zinc/43/24/24/814432424.db2.gz CYITXYUZCANLTE-BDAKNGLRSA-N -1 1 341.368 -0.716 20 0 EBADMM CCN(C(=O)COCCOC)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001269314421 814630584 /nfs/dbraw/zinc/63/05/84/814630584.db2.gz ULJXJECAPLZJIE-LBPRGKRZSA-N -1 1 341.412 -0.806 20 0 EBADMM CC(=O)[C@@H](C)N1CC2(CN(C(=O)c3ccc([O-])cn3)C2)OCC1=O ZINC001269354576 814659724 /nfs/dbraw/zinc/65/97/24/814659724.db2.gz NJVAHOJJEOWXCK-SNVBAGLBSA-N -1 1 333.344 -0.182 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@@H]3CC[C@@H]4C[C@@H]4CC3)[C@@H](O)C2)nc1=O ZINC001083661765 814668676 /nfs/dbraw/zinc/66/86/76/814668676.db2.gz MOXUFCNRUGFUKL-RGDJUOJXSA-N -1 1 349.435 -0.404 20 0 EBADMM Cc1ncc(CO)c(C(=O)N2CC[C@]3(C2)CN(C)C(=O)CO3)c1[O-] ZINC001269420012 814683914 /nfs/dbraw/zinc/68/39/14/814683914.db2.gz SPVMLWKPFZPDKQ-MRXNPFEDSA-N -1 1 335.360 -0.339 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC[C@@]12CCN(CC(F)(F)F)C2=O ZINC001269575966 814732959 /nfs/dbraw/zinc/73/29/59/814732959.db2.gz CVJXEAOCTTYMPT-NSHDSACASA-N -1 1 332.286 -0.102 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCOC[C@]2(CC(=O)N(CC(F)F)C2)C1 ZINC001269577402 814735478 /nfs/dbraw/zinc/73/54/78/814735478.db2.gz HTNXQMBZJRKFIF-CYBMUJFWSA-N -1 1 344.322 -0.915 20 0 EBADMM Cc1noc(CN2CC[C@]3(CCN(C(=O)Cc4nn[n-]n4)C3)C2=O)n1 ZINC001269578259 814736197 /nfs/dbraw/zinc/73/61/97/814736197.db2.gz YKDWPDSLJJLBOR-CQSZACIVSA-N -1 1 346.351 -0.915 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC2(C[C@H]2C(=O)NCC(F)F)CC1 ZINC001269577422 814736342 /nfs/dbraw/zinc/73/63/42/814736342.db2.gz IQZDUXRKFMPJMI-QMMMGPOBSA-N -1 1 328.323 -0.248 20 0 EBADMM C=Cc1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)cc1 ZINC001083798143 815615110 /nfs/dbraw/zinc/61/51/10/815615110.db2.gz LIDUVZQCGRFEJL-KGLIPLIRSA-N -1 1 343.387 -0.274 20 0 EBADMM CN(C)S(=O)(=O)N1C[C@H]2C[C@@H](C1)N2C(=O)c1ccc([O-])cn1 ZINC001269895791 815630553 /nfs/dbraw/zinc/63/05/53/815630553.db2.gz RPUFFCSPIAUGHA-AOOOYVTPSA-N -1 1 326.378 -0.508 20 0 EBADMM CN(C)C(=O)CN1C[C@@H]2CN(C(=O)c3ccc([O-])cn3)C[C@H](C1)O2 ZINC001269895292 815630923 /nfs/dbraw/zinc/63/09/23/815630923.db2.gz JXIXHGKPDVQFLQ-BETUJISGSA-N -1 1 334.376 -0.599 20 0 EBADMM O=C(NCCn1ccnn1)[C@@]12C[C@@H]1CN(C(=O)c1ccc([O-])cn1)C2 ZINC001270138283 815697892 /nfs/dbraw/zinc/69/78/92/815697892.db2.gz BOLUCSFAQPOBAY-BDJLRTHQSA-N -1 1 342.359 -0.343 20 0 EBADMM CN(C)S(=O)(=O)N1CCC12CN(C(=O)c1ncccc1[O-])C2 ZINC001270257588 815736245 /nfs/dbraw/zinc/73/62/45/815736245.db2.gz BSSAKVOLOVFUFY-UHFFFAOYSA-N -1 1 326.378 -0.506 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)C4=COCCO4)[C@@H]3C2)nc1=O ZINC001084169718 815858431 /nfs/dbraw/zinc/85/84/31/815858431.db2.gz XQQLWLQUBNFZAX-GHMZBOCLSA-N -1 1 335.364 -0.971 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)[C@@H]4CCCOC4)[C@@H]3C2)nc1=O ZINC001084228984 815868718 /nfs/dbraw/zinc/86/87/18/815868718.db2.gz ZASZQOOUZPBMOB-JHJVBQTASA-N -1 1 335.408 -0.432 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4cscn4)[C@@H]3C2)nc1=O ZINC001084227866 815868899 /nfs/dbraw/zinc/86/88/99/815868899.db2.gz QMSLQSBTTMSZSD-MWLCHTKSSA-N -1 1 334.405 -0.089 20 0 EBADMM Cc1noc(NC(=O)[C@@]23C[C@@H]2CN(C(=O)Cc2nn[n-]n2)C3)c1C ZINC001270784178 815887526 /nfs/dbraw/zinc/88/75/26/815887526.db2.gz DODZFZFPAKSELY-YMTOWFKASA-N -1 1 331.336 -0.166 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@@]2(CCC[C@H]2C(=O)Nc2nnco2)C1 ZINC001270785482 815889092 /nfs/dbraw/zinc/88/90/92/815889092.db2.gz UPNUCFKXYCQFQR-XPTSAGLGSA-N -1 1 346.351 -0.217 20 0 EBADMM C[C@H](NC(=O)[C@@]12C[C@@H]1CN(C(=O)Cc1nn[n-]n1)C2)c1ncc[nH]1 ZINC001270788592 815890721 /nfs/dbraw/zinc/89/07/21/815890721.db2.gz GXQSCWXGYCZVRW-ATEUNZGCSA-N -1 1 330.352 -0.809 20 0 EBADMM C[C@H](NC(=O)[C@]12C[C@H]1CCN2C(=O)Cc1nn[n-]n1)c1ncc[nH]1 ZINC001270789198 815892445 /nfs/dbraw/zinc/89/24/45/815892445.db2.gz OXPMJWBEYZTLKM-QIBSRJKCSA-N -1 1 330.352 -0.666 20 0 EBADMM C[C@@H]1CN(C(=O)[C@]23C[C@H]2CCN3C(=O)Cc2nn[n-]n2)C[C@H](C)O1 ZINC001270789987 815894764 /nfs/dbraw/zinc/89/47/64/815894764.db2.gz YVWRKPPKNAJART-RSQPEXBXSA-N -1 1 334.380 -0.631 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)Cc4cnoc4)[C@@H]3C2)nc1=O ZINC001084600222 815946591 /nfs/dbraw/zinc/94/65/91/815946591.db2.gz PPQYAXYXNQOQOP-VXGBXAGGSA-N -1 1 332.364 -0.628 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1ccc(F)nc1 ZINC001085518064 816061077 /nfs/dbraw/zinc/06/10/77/816061077.db2.gz JKEMIQZJMGORRF-LLVKDONJSA-N -1 1 334.355 -0.011 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1cccc(=O)[nH]1 ZINC001085533710 816066605 /nfs/dbraw/zinc/06/66/05/816066605.db2.gz SHHSQJXKGVKRPM-JTQLQIEISA-N -1 1 332.364 -0.445 20 0 EBADMM CCn1ccnc1C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085570477 816075526 /nfs/dbraw/zinc/07/55/26/816075526.db2.gz JXKZBZLZUWIWFB-NSHDSACASA-N -1 1 333.396 -0.329 20 0 EBADMM CCOC1CC(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)C1 ZINC001085573728 816076177 /nfs/dbraw/zinc/07/61/77/816076177.db2.gz DRBRCVGPRNAXKK-CPCZMJQVSA-N -1 1 337.424 -0.044 20 0 EBADMM Cc1ccnc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001085573335 816076604 /nfs/dbraw/zinc/07/66/04/816076604.db2.gz TXMLFWVFLNDQSO-NSHDSACASA-N -1 1 331.380 -0.447 20 0 EBADMM CCNC(=O)CN1CC[C@@]2(CCN(C(=O)c3cncc([O-])c3)C2)C1=O ZINC001271386509 816094260 /nfs/dbraw/zinc/09/42/60/816094260.db2.gz MMHNAGLMKFQZLH-KRWDZBQOSA-N -1 1 346.387 -0.012 20 0 EBADMM CCc1c(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)cnn1C ZINC001085694910 816113064 /nfs/dbraw/zinc/11/30/64/816113064.db2.gz UTEUOQHRGCIDHH-NSHDSACASA-N -1 1 347.423 -0.249 20 0 EBADMM CN(C[C@@H]1CCN1C[C@@H]1CCCCO1)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001085699947 816115765 /nfs/dbraw/zinc/11/57/65/816115765.db2.gz ABZWZIMRHXEVQC-QWRGUYRKSA-N -1 1 337.380 -0.002 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1cn(C)ccc1=O ZINC001085716665 816123422 /nfs/dbraw/zinc/12/34/22/816123422.db2.gz QVTRBFBLNKHNLA-LLVKDONJSA-N -1 1 346.391 -0.846 20 0 EBADMM COc1nn(C)cc1C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085761511 816134849 /nfs/dbraw/zinc/13/48/49/816134849.db2.gz LYEFNJZIYJMUID-SNVBAGLBSA-N -1 1 349.395 -0.803 20 0 EBADMM CCn1ccc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001085855822 816163103 /nfs/dbraw/zinc/16/31/03/816163103.db2.gz KGCHJMGPDKUWJN-LLVKDONJSA-N -1 1 333.396 -0.329 20 0 EBADMM O=C(c1ccc(F)c(=O)[n-]1)N1CC2(C1)COCC(=O)N2C1COC1 ZINC001271743158 816198703 /nfs/dbraw/zinc/19/87/03/816198703.db2.gz UMZWJYSPJGYPLL-UHFFFAOYSA-N -1 1 337.307 -0.622 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)[C@@H]1CC12CCOCC2 ZINC001086006705 816210278 /nfs/dbraw/zinc/21/02/78/816210278.db2.gz MDSDOSPVEUKCFP-OLZOCXBDSA-N -1 1 349.435 -0.042 20 0 EBADMM O=C(NC[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCCS1(=O)=O ZINC001086275551 816287670 /nfs/dbraw/zinc/28/76/70/816287670.db2.gz GUSCAOGOHPNRSH-AEJSXWLSSA-N -1 1 343.409 -0.928 20 0 EBADMM CSc1ncc(C(=O)N2CC[C@@]3(C2)CN(C)C(=O)CO3)c(=O)[n-]1 ZINC001272234655 816362811 /nfs/dbraw/zinc/36/28/11/816362811.db2.gz RIYQOLBSFBGAOS-AWEZNQCLSA-N -1 1 338.389 -0.023 20 0 EBADMM Cc1nonc1CN[C@@H]1CC[C@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001086746878 816399454 /nfs/dbraw/zinc/39/94/54/816399454.db2.gz WEIMTZNRGMYOCX-DTWKUNHWSA-N -1 1 349.351 -0.337 20 0 EBADMM Cn1cc(CN[C@@H]2CC[C@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)nn1 ZINC001086746962 816399726 /nfs/dbraw/zinc/39/97/26/816399726.db2.gz ZWDQGCRBLXGFPJ-DTWKUNHWSA-N -1 1 348.367 -0.900 20 0 EBADMM CO[C@H](C)C(=O)N1C[C@@H](c2cnn(C)c2)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001086969759 816425677 /nfs/dbraw/zinc/42/56/77/816425677.db2.gz HOHPENBODIQRBP-ADEWGFFLSA-N -1 1 349.395 -0.637 20 0 EBADMM CN1C[C@@H](C(=O)N2CC[C@H](CCNCc3n[nH]c(=O)[n-]3)C2)CC1=O ZINC001272449808 816435883 /nfs/dbraw/zinc/43/58/83/816435883.db2.gz WALMGXDVQPKJLO-QWRGUYRKSA-N -1 1 336.396 -0.683 20 0 EBADMM COCCOCN1CCO[C@H]2CN(C(=O)c3cncc([O-])c3)C[C@H]21 ZINC001272672258 816504181 /nfs/dbraw/zinc/50/41/81/816504181.db2.gz GLWDSUOJPLAAID-CABCVRRESA-N -1 1 337.376 -0.067 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CCN1Cc1cnn(C)c1 ZINC001087642148 816542467 /nfs/dbraw/zinc/54/24/67/816542467.db2.gz XFXNDKZZMBYEBE-WDEREUQCSA-N -1 1 347.379 -0.201 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)Cn2nccc2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087745131 816555335 /nfs/dbraw/zinc/55/53/35/816555335.db2.gz FSNYRJSZLKHSJS-QWHCGFSZSA-N -1 1 347.423 -0.217 20 0 EBADMM Cc1ncoc1C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C1 ZINC001087922973 816581747 /nfs/dbraw/zinc/58/17/47/816581747.db2.gz IWCDGOQXDSQVFF-NEPJUHHUSA-N -1 1 346.391 -0.001 20 0 EBADMM Cn1cnnc1CN1CC2(CN(Cc3ccncc3[O-])C2)OCC1=O ZINC001273081380 816583310 /nfs/dbraw/zinc/58/33/10/816583310.db2.gz UDGAWCGFLOLKGU-UHFFFAOYSA-N -1 1 344.375 -0.471 20 0 EBADMM CCNC(=O)CN1C[C@]2(CC[N@@H+](Cc3ncccc3O)C2)OCC1=O ZINC001273326007 816645447 /nfs/dbraw/zinc/64/54/47/816645447.db2.gz VJSZUNSXZFVITK-QGZVFWFLSA-N -1 1 348.403 -0.273 20 0 EBADMM CCNC(=O)CN1C(=O)COCC12CN(Cc1ncccc1[O-])C2 ZINC001273326179 816645507 /nfs/dbraw/zinc/64/55/07/816645507.db2.gz WKPOFXPLFZGLOV-UHFFFAOYSA-N -1 1 334.376 -0.664 20 0 EBADMM CS(=O)(=O)CC(=O)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088272646 816657355 /nfs/dbraw/zinc/65/73/55/816657355.db2.gz MTAOBPQQWNSLPS-SNVBAGLBSA-N -1 1 345.425 -0.584 20 0 EBADMM O=C([C@H]1COC(=O)N1)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088307211 816661218 /nfs/dbraw/zinc/66/12/18/816661218.db2.gz QMGHJVHULYCCDZ-VHSXEESVSA-N -1 1 338.368 -0.521 20 0 EBADMM O=C([C@@H]1CCNC1=O)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088360323 816669594 /nfs/dbraw/zinc/66/95/94/816669594.db2.gz VYUFVFVEHWZDDQ-GHMZBOCLSA-N -1 1 336.396 -0.493 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)C[C@H]2COC(=O)C2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088522937 816694465 /nfs/dbraw/zinc/69/44/65/816694465.db2.gz KKQHBWPVOFELJV-MXWKQRLJSA-N -1 1 337.380 -0.859 20 0 EBADMM CN(C)S(=O)(=O)N1CCC12CN(C(=O)c1ccc(F)c(=O)[n-]1)C2 ZINC001273576370 816700282 /nfs/dbraw/zinc/70/02/82/816700282.db2.gz KQXJJVBVTNJWSU-UHFFFAOYSA-N -1 1 344.368 -0.367 20 0 EBADMM COc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)ccn1 ZINC001088694121 816726478 /nfs/dbraw/zinc/72/64/78/816726478.db2.gz VDYDRKFLHPGGPN-PWSUYJOCSA-N -1 1 346.391 -0.095 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)CCN1CCCO ZINC001088927395 816765340 /nfs/dbraw/zinc/76/53/40/816765340.db2.gz WPURZCSXFZRMRQ-PWSUYJOCSA-N -1 1 334.380 -0.967 20 0 EBADMM CCn1cc(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)cn1 ZINC001088972625 816772750 /nfs/dbraw/zinc/77/27/50/816772750.db2.gz SHWWJCMYZPNBEB-YPMHNXCESA-N -1 1 347.423 -0.354 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCCN1C(=O)Cn1nccn1 ZINC001089181683 816814575 /nfs/dbraw/zinc/81/45/75/816814575.db2.gz FWDCHODKFQOMKD-LBPRGKRZSA-N -1 1 348.411 -0.787 20 0 EBADMM NC(=O)CN1C[C@H](NC(=O)c2ncccc2[O-])CC2(CCOCC2)C1 ZINC001089934802 816943419 /nfs/dbraw/zinc/94/34/19/816943419.db2.gz HLKGWVHRBFICEQ-GFCCVEGCSA-N -1 1 348.403 -0.127 20 0 EBADMM Cc1ccccc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001090033434 816976269 /nfs/dbraw/zinc/97/62/69/816976269.db2.gz JCOHKDDFAPANNO-KBPBESRZSA-N -1 1 345.403 -0.218 20 0 EBADMM Cc1cc(=O)c(C(=O)NCC=CCNCc2nc(=O)n(C)[n-]2)c[nH]1 ZINC001274489200 816981972 /nfs/dbraw/zinc/98/19/72/816981972.db2.gz FVIHMUMPYACPNX-ARJAWSKDSA-N -1 1 332.364 -0.819 20 0 EBADMM Cc1nn(CC(=O)N[C@H](C)CNCc2n[nH]c(=O)[n-]2)c2ncccc12 ZINC001274858165 817097964 /nfs/dbraw/zinc/09/79/64/817097964.db2.gz JKXPTBWMBUSCFH-SECBINFHSA-N -1 1 344.379 -0.142 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)oc1C ZINC001090197677 817111384 /nfs/dbraw/zinc/11/13/84/817111384.db2.gz OEZRRKWVIUETEI-RYUDHWBXSA-N -1 1 349.391 -0.317 20 0 EBADMM Cn1cncc1CN1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001090212251 817128707 /nfs/dbraw/zinc/12/87/07/817128707.db2.gz AAIRUNUTNYUMJB-TZMCWYRMSA-N -1 1 331.376 -0.114 20 0 EBADMM Cn1nccc1CN1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001090215754 817133986 /nfs/dbraw/zinc/13/39/86/817133986.db2.gz JFAWCKMZCZFIFW-JSGCOSHPSA-N -1 1 331.376 -0.114 20 0 EBADMM CCn1ncnc1CN1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001090216590 817135560 /nfs/dbraw/zinc/13/55/60/817135560.db2.gz NPDFGZVZUNGMIT-WCQYABFASA-N -1 1 346.391 -0.236 20 0 EBADMM O=C(CN1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1)NC1CC1 ZINC001090218608 817139155 /nfs/dbraw/zinc/13/91/55/817139155.db2.gz VTLUTEIFUYIXMW-DGCLKSJQSA-N -1 1 334.376 -0.769 20 0 EBADMM Cn1cc(CN2CC[C@@H](NC(=O)c3ncccc3[O-])[C@H](O)C2)nn1 ZINC001090218674 817139671 /nfs/dbraw/zinc/13/96/71/817139671.db2.gz VXVQFRRZVUDMIP-DGCLKSJQSA-N -1 1 332.364 -0.719 20 0 EBADMM Cc1cc[nH]c1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001090235594 817164182 /nfs/dbraw/zinc/16/41/82/817164182.db2.gz ZCYRCKKQROOPOM-MNOVXSKESA-N -1 1 334.380 -0.890 20 0 EBADMM C[C@@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)c1cnc2n[nH]nc2c1 ZINC001275574150 817252994 /nfs/dbraw/zinc/25/29/94/817252994.db2.gz PCTFQFYPRDMISZ-QMMMGPOBSA-N -1 1 345.367 -0.975 20 0 EBADMM CN(C)C(=O)CNC(=O)[C@H]1CC12CN(C(=O)c1cncc([O-])c1)C2 ZINC001275623046 817263224 /nfs/dbraw/zinc/26/32/24/817263224.db2.gz HOSPMWPFXYUOEP-GFCCVEGCSA-N -1 1 332.360 -0.546 20 0 EBADMM O=C(NCc1cnc[nH]1)[C@@H]1CCC2(CN(C(=O)c3cc(=O)[nH][n-]3)C2)O1 ZINC001276022887 817342699 /nfs/dbraw/zinc/34/26/99/817342699.db2.gz NBSSCIPVIKKPMS-NSHDSACASA-N -1 1 346.347 -0.472 20 0 EBADMM Cn1[n-]c(CN2CC(CCO)(NC(=O)[C@@]3(C)CC=CCC3)C2)nc1=O ZINC001276050877 817348766 /nfs/dbraw/zinc/34/87/66/817348766.db2.gz QZKUMONFPRENSH-INIZCTEOSA-N -1 1 349.435 -0.092 20 0 EBADMM CCCC[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CCCNC1=O ZINC001276254889 817377164 /nfs/dbraw/zinc/37/71/64/817377164.db2.gz HZOORFMMLKJSGM-GHMZBOCLSA-N -1 1 338.412 -0.199 20 0 EBADMM CCCC[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CCN(C)C1=O ZINC001276262036 817377896 /nfs/dbraw/zinc/37/78/96/817377896.db2.gz SNAZRDBCQMLYRF-GHMZBOCLSA-N -1 1 338.412 -0.247 20 0 EBADMM C[C@@H](NC(=O)CCCCC(N)=O)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001276447877 817405970 /nfs/dbraw/zinc/40/59/70/817405970.db2.gz RTVYJQQAHGTAQA-SNVBAGLBSA-N -1 1 338.412 -0.909 20 0 EBADMM Cc1cocc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001090491863 817472421 /nfs/dbraw/zinc/47/24/21/817472421.db2.gz BIUYLFPLHXBEGO-RYUDHWBXSA-N -1 1 335.364 -0.625 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@H]3C[C@H]4C[C@H]4C3)[C@@H](O)C2)nc1=O ZINC001090505975 817490489 /nfs/dbraw/zinc/49/04/89/817490489.db2.gz HYPPGRQOBPLXJX-QWQWKMKNSA-N -1 1 335.408 -0.794 20 0 EBADMM CC[C@@H](F)CN1CC[C@@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001276941768 817499938 /nfs/dbraw/zinc/49/99/38/817499938.db2.gz QDAAQMPPXFFLSM-VXGBXAGGSA-N -1 1 326.372 -0.135 20 0 EBADMM C[C@@H]1C[C@@H]1CN1CCn2c(nnc2C(=O)N=c2nn[n-]n2C)C[C@H]1C ZINC001277134095 817522948 /nfs/dbraw/zinc/52/29/48/817522948.db2.gz MPNHJRJCROLXNJ-GMTAPVOTSA-N -1 1 345.411 -0.622 20 0 EBADMM C[C@@H]1C[C@@H]1CN1CCn2c(nnc2C(=O)N=c2nn[n-]n2C)C[C@@H]1C ZINC001277134097 817523036 /nfs/dbraw/zinc/52/30/36/817523036.db2.gz MPNHJRJCROLXNJ-OUAUKWLOSA-N -1 1 345.411 -0.622 20 0 EBADMM Cc1[nH]ncc1CN1CCc2onc(C(=O)N=c3nn[n-]n3C)c2C1 ZINC001277219637 817533746 /nfs/dbraw/zinc/53/37/46/817533746.db2.gz HCPXFOYQFYJRQX-UHFFFAOYSA-N -1 1 343.351 -0.538 20 0 EBADMM CC(C)CCN1CCn2c(nnc2C(=O)N=c2nn[n-]n2C)C[C@H]1C ZINC001278173188 817717376 /nfs/dbraw/zinc/71/73/76/817717376.db2.gz JCMPPJRIWLXUCT-LLVKDONJSA-N -1 1 347.427 -0.232 20 0 EBADMM CC(C)(C)[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CCCNC1=O ZINC001278972670 817897965 /nfs/dbraw/zinc/89/79/65/817897965.db2.gz GUJHJBYDUNWCCM-ZJUUUORDSA-N -1 1 338.412 -0.343 20 0 EBADMM Cn1ccc(CNC2CC(CNC(=O)c3cnc([O-])n(C)c3=O)C2)n1 ZINC001091177901 817923135 /nfs/dbraw/zinc/92/31/35/817923135.db2.gz MZUSYIKSWOOJTA-UHFFFAOYSA-N -1 1 346.391 -0.482 20 0 EBADMM O=C(N(CCO)CCNCc1n[nH]c(=O)[n-]1)C1(C(F)(F)F)CC1 ZINC001279837808 817992607 /nfs/dbraw/zinc/99/26/07/817992607.db2.gz DMTUQUCQXMJFOF-UHFFFAOYSA-N -1 1 337.302 -0.237 20 0 EBADMM C[C@H](C(=O)N1CC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1)S(C)(=O)=O ZINC001280094191 818019213 /nfs/dbraw/zinc/01/92/13/818019213.db2.gz JOIMHQFMZYVHIV-NXEZZACHSA-N -1 1 345.425 -0.728 20 0 EBADMM CC(C)CC(=O)N[C@@H](C)[C@@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001280149145 818021756 /nfs/dbraw/zinc/02/17/56/818021756.db2.gz NJCRRIVRZDJIIR-NEPJUHHUSA-N -1 1 338.408 -0.018 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)[C@]45C[C@H]4COC5)C[C@]3(C)C2)nc1=O ZINC001091685861 818031838 /nfs/dbraw/zinc/03/18/38/818031838.db2.gz JSVBPTYPNHNRMG-LZDSYCOUSA-N -1 1 347.419 -0.575 20 0 EBADMM C/C=C(/C)C(=O)N[C@@H]1C[C@@H](C)N(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001281177545 818067289 /nfs/dbraw/zinc/06/72/89/818067289.db2.gz OGRDCHSTUSDJLX-ZYFYVMIWSA-N -1 1 348.403 -0.002 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNc1ncncc1Cl ZINC001093737191 818091679 /nfs/dbraw/zinc/09/16/79/818091679.db2.gz SLTKVRJTQGMGCV-UHFFFAOYSA-N -1 1 338.755 -0.402 20 0 EBADMM O=C(NC[C@]12CCC[C@H]1N(Cc1n[nH]c(=O)[n-]1)CC2)[C@H]1CCC(=O)N1 ZINC001094378875 818259051 /nfs/dbraw/zinc/25/90/51/818259051.db2.gz VZPGGKFTIZNVQF-GLKRBJQHSA-N -1 1 348.407 -0.350 20 0 EBADMM COCCCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001282413693 818409475 /nfs/dbraw/zinc/40/94/75/818409475.db2.gz FSMBGWREMKURRB-AAEUAGOBSA-N -1 1 337.376 -0.095 20 0 EBADMM C[C@H](CNC(=O)c1cc2ncccn2n1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001282466459 818434994 /nfs/dbraw/zinc/43/49/94/818434994.db2.gz CGSCTTHALYLNJK-SNVBAGLBSA-N -1 1 344.379 -0.599 20 0 EBADMM Cc1cncc(C(=O)NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)c1 ZINC001282958594 818548255 /nfs/dbraw/zinc/54/82/55/818548255.db2.gz CUCGODZDJJFOBN-UHFFFAOYSA-N -1 1 341.331 -0.719 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)C2CCOCC2)C3)nc1=O ZINC001095084915 818559447 /nfs/dbraw/zinc/55/94/47/818559447.db2.gz GTXXRJHHOSVANM-AGIUHOORSA-N -1 1 335.408 -0.244 20 0 EBADMM O=C(NCCNC(=O)[C@H]1CCC(=O)NC1)c1cnc(C2CC2)[n-]c1=O ZINC001283022394 818588473 /nfs/dbraw/zinc/58/84/73/818588473.db2.gz RHLHSKNNHKUVQK-JTQLQIEISA-N -1 1 347.375 -0.568 20 0 EBADMM CCn1ncc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)n1 ZINC001095387319 818615779 /nfs/dbraw/zinc/61/57/79/818615779.db2.gz HEABSMWIRFPODM-SCVCMEIPSA-N -1 1 346.395 -0.745 20 0 EBADMM Cc1n[nH]cc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001095841165 818694252 /nfs/dbraw/zinc/69/42/52/818694252.db2.gz AUNMGIHGWPPBRM-USWWRNFRSA-N -1 1 331.380 -0.325 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@@]2(F)CCOC2)C3)nc1=O ZINC001095916973 818707060 /nfs/dbraw/zinc/70/70/60/818707060.db2.gz PLBOZXZFKDVZIS-DZHLUBAWSA-N -1 1 339.371 -0.542 20 0 EBADMM C[C@H]1C[C@@H](C(=O)NCCCNC(=O)c2cc(=O)n3[n-]cnc3n2)CO1 ZINC001283288839 818741817 /nfs/dbraw/zinc/74/18/17/818741817.db2.gz PYRUKBQXWFQLSS-VHSXEESVSA-N -1 1 348.363 -0.921 20 0 EBADMM C[C@H](c1nnnn1C)N(C)CCOCCNC(=O)c1ncccc1[O-] ZINC001283373917 818781500 /nfs/dbraw/zinc/78/15/00/818781500.db2.gz FBUJRZTUCZESFT-LLVKDONJSA-N -1 1 349.395 -0.250 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1)n1cccn1 ZINC001096834999 818892924 /nfs/dbraw/zinc/89/29/24/818892924.db2.gz WGRXERHVPHYBBQ-LOWDOPEQSA-N -1 1 345.407 -0.212 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)n(C)n1 ZINC001096854332 818898174 /nfs/dbraw/zinc/89/81/74/818898174.db2.gz OUNPWSVOMYIGJH-UTUOFQBUSA-N -1 1 345.407 -0.314 20 0 EBADMM Cn1cnc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)c1 ZINC001096952731 818912482 /nfs/dbraw/zinc/91/24/82/818912482.db2.gz IJFRQPHQXPWIRQ-FOGDFJRCSA-N -1 1 331.380 -0.623 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)C2=CCOCC2)C3)nc1=O ZINC001096991704 818921579 /nfs/dbraw/zinc/92/15/79/818921579.db2.gz HTZLPINZHGCOEV-UPJWGTAASA-N -1 1 333.392 -0.323 20 0 EBADMM Cn1nnc(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)c2ncccc2[O-])C3)n1 ZINC001096990784 818921711 /nfs/dbraw/zinc/92/17/11/818921711.db2.gz UMEJAFRUNYPZNS-MXWKQRLJSA-N -1 1 329.364 -0.154 20 0 EBADMM Cc1ccnc(N[C@H]2C[C@@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)n1 ZINC001097201221 818954319 /nfs/dbraw/zinc/95/43/19/818954319.db2.gz BVOAFNXVSIZASS-TXEJJXNPSA-N -1 1 344.375 -0.216 20 0 EBADMM CC1(CC(=O)N[C@@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)CC1 ZINC001283874946 819012060 /nfs/dbraw/zinc/01/20/60/819012060.db2.gz CCBFJZHDJPWYIG-GFCCVEGCSA-N -1 1 348.403 -0.166 20 0 EBADMM CSCC(=O)N1CC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001283874975 819012352 /nfs/dbraw/zinc/01/23/52/819012352.db2.gz COKQBWUJRSQDKP-SNVBAGLBSA-N -1 1 340.405 -0.993 20 0 EBADMM O=C(N[C@@H](CNC(=O)[C@@H]1CCNC1=O)C1CC1)c1ncccc1[O-] ZINC001283955171 819043995 /nfs/dbraw/zinc/04/39/95/819043995.db2.gz WBBROLRQUWPDTJ-MNOVXSKESA-N -1 1 332.360 -0.452 20 0 EBADMM Cc1ccc(N[C@@H](C)CNC(=O)c2cc(=O)n3[n-]cnc3n2)nn1 ZINC001097950798 819062028 /nfs/dbraw/zinc/06/20/28/819062028.db2.gz WHLCMFXDSSQJLG-VIFPVBQESA-N -1 1 328.336 -0.254 20 0 EBADMM Cc1nsc(N[C@H](C)CNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001097950094 819062260 /nfs/dbraw/zinc/06/22/60/819062260.db2.gz IUQFKFWWRBPOAZ-ZCFIWIBFSA-N -1 1 334.365 -0.192 20 0 EBADMM COc1ccnc(N[C@H](C)CNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001097954069 819064839 /nfs/dbraw/zinc/06/48/39/819064839.db2.gz PUHLTBNRRKJWNH-MRVPVSSYSA-N -1 1 344.335 -0.553 20 0 EBADMM CCc1nc[nH]c1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001098296414 819124090 /nfs/dbraw/zinc/12/40/90/819124090.db2.gz LNTCYAINIXEGEW-JLLWLGSASA-N -1 1 345.407 -0.071 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)c2ccc(=O)[nH]c2)C3)nc1=O ZINC001098322501 819128708 /nfs/dbraw/zinc/12/87/08/819128708.db2.gz YOYCCJQVJVZUEG-UTUOFQBUSA-N -1 1 344.375 -0.256 20 0 EBADMM CCC(CC)C(=O)NC[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001284202161 819131355 /nfs/dbraw/zinc/13/13/55/819131355.db2.gz XJXLFRFTHHWBSY-NSHDSACASA-N -1 1 338.408 -0.016 20 0 EBADMM C[C@H](CCNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)c1ccon1 ZINC001284441236 819234846 /nfs/dbraw/zinc/23/48/46/819234846.db2.gz RIUVUGKRCMPUTA-SNVBAGLBSA-N -1 1 349.347 -0.761 20 0 EBADMM CN(CCNC(=O)c1ccoc1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001284499898 819257572 /nfs/dbraw/zinc/25/75/72/819257572.db2.gz YQFSIAWDACELMN-UHFFFAOYSA-N -1 1 330.304 -0.487 20 0 EBADMM CCN(CCNC(=O)[C@@H]1C[C@H]1C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001284597454 819300578 /nfs/dbraw/zinc/30/05/78/819300578.db2.gz BSYLEWIXLLLDAE-VXGBXAGGSA-N -1 1 336.392 -0.453 20 0 EBADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)[C@H]1CCN(C)C1=O ZINC001284607547 819301842 /nfs/dbraw/zinc/30/18/42/819301842.db2.gz HAZBVPMSTHFXAX-NSHDSACASA-N -1 1 334.376 -0.156 20 0 EBADMM CCN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)/C=C/C1CC1 ZINC001284631250 819310391 /nfs/dbraw/zinc/31/03/91/819310391.db2.gz JCCWPETUJZKPPB-AATRIKPKSA-N -1 1 348.403 -0.142 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCC[C@]3(NC(=O)[C@H]3[C@@H]4COC[C@@H]43)C2)nc1=O ZINC001098982035 819400134 /nfs/dbraw/zinc/40/01/34/819400134.db2.gz RKSOBOIHYVUZHY-HGZBYPFFSA-N -1 1 347.419 -0.529 20 0 EBADMM CC(C)CC(=O)NCC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001284949005 819424509 /nfs/dbraw/zinc/42/45/09/819424509.db2.gz FZVITJMLWXAVNV-UHFFFAOYSA-N -1 1 336.392 -0.453 20 0 EBADMM COCC(=O)N1C[C@@H](C(F)(F)F)[C@H](NCc2nc(=O)n(C)[n-]2)C1 ZINC001099195375 819433879 /nfs/dbraw/zinc/43/38/79/819433879.db2.gz QXAIYMFANIRGMG-HTQZYQBOSA-N -1 1 337.302 -0.766 20 0 EBADMM O=C(/C=C\C1CC1)N1CC(CNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001284980398 819442693 /nfs/dbraw/zinc/44/26/93/819442693.db2.gz RESNKFOCMROYHT-ARJAWSKDSA-N -1 1 346.387 -0.533 20 0 EBADMM CC[C@H](CNC(=O)C(=O)NCC1CC1)NC(=O)c1ncccc1[O-] ZINC001285087336 819477650 /nfs/dbraw/zinc/47/76/50/819477650.db2.gz IENYYNFLXMVMIJ-LLVKDONJSA-N -1 1 334.376 -0.062 20 0 EBADMM C/C(=C/C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O)C1CC1 ZINC001099715212 819612977 /nfs/dbraw/zinc/61/29/77/819612977.db2.gz FAQZPYMAYWOJLD-ZBKFBRRISA-N -1 1 335.408 -0.484 20 0 EBADMM CC(C)(F)C(=O)NC/C=C/CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285453742 819614039 /nfs/dbraw/zinc/61/40/39/819614039.db2.gz OKTDINCDDMYRHA-ONEGZZNKSA-N -1 1 340.355 -0.537 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC/C=C/CNC(=O)c1ccc[nH]1 ZINC001285471616 819620276 /nfs/dbraw/zinc/62/02/76/819620276.db2.gz CJNQDYGWJVCFQK-OWOJBTEDSA-N -1 1 345.359 -0.643 20 0 EBADMM O=C(CN1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1)NCC1CC1 ZINC001099782621 819690949 /nfs/dbraw/zinc/69/09/49/819690949.db2.gz DIKBSDOFVMRFBJ-TZMCWYRMSA-N -1 1 348.403 -0.522 20 0 EBADMM C[C@H](CNC(=O)/C=C/C1CC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285669905 819717536 /nfs/dbraw/zinc/71/75/36/819717536.db2.gz BIVFIEZNJASMMA-SGUJLRQBSA-N -1 1 334.376 -0.486 20 0 EBADMM Cc1cc[nH]c1C(=O)N[C@@H](C)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285727234 819740598 /nfs/dbraw/zinc/74/05/98/819740598.db2.gz MPZQUIQTKKNXTP-NSHDSACASA-N -1 1 347.375 -0.502 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)N(C)C(=O)[C@@H]1CC12CC2 ZINC001285749807 819753273 /nfs/dbraw/zinc/75/32/73/819753273.db2.gz NARSWBMIDMHMDQ-RYUDHWBXSA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@@H](CNC(=O)[C@@H]1CC12CC2)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285754314 819757382 /nfs/dbraw/zinc/75/73/82/819757382.db2.gz DLTKIFOOEFCXOJ-QWRGUYRKSA-N -1 1 334.376 -0.652 20 0 EBADMM CC1(C(=O)NC[C@@H]2CCN2C(=O)CCn2cc[n-]c(=O)c2=O)CCC1 ZINC001285884262 819806868 /nfs/dbraw/zinc/80/68/68/819806868.db2.gz FRAHTMBIJWAGRQ-LBPRGKRZSA-N -1 1 348.403 -0.166 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)CCC(C)(F)F)[C@H](O)C2)nc1=O ZINC001099897194 819860623 /nfs/dbraw/zinc/86/06/23/819860623.db2.gz ROLFAFYYLGBAQR-NXEZZACHSA-N -1 1 347.366 -0.405 20 0 EBADMM C[C@@H](NC(=O)CC(N)=O)[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001286286690 819978346 /nfs/dbraw/zinc/97/83/46/819978346.db2.gz JNZDYLFAMMVXNH-KOLCDFICSA-N -1 1 336.348 -0.992 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C3(CF)CCC3)[C@@H](O)C2)nc1=O ZINC001100012871 820040677 /nfs/dbraw/zinc/04/06/77/820040677.db2.gz FGCLPOLSGFTVLR-QWRGUYRKSA-N -1 1 341.387 -0.700 20 0 EBADMM C[C@@H](CN(C)C(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)[C@@H]1CC12CC2 ZINC001287664104 820092321 /nfs/dbraw/zinc/09/23/21/820092321.db2.gz ZPDYQRHDQFWOAD-RYUDHWBXSA-N -1 1 348.403 -0.310 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)CCn3cccc3)[C@@H](O)C2)nc1=O ZINC001100050237 820126015 /nfs/dbraw/zinc/12/60/15/820126015.db2.gz FJKWVJRWSPCTHD-STQMWFEESA-N -1 1 348.407 -0.948 20 0 EBADMM CCC(=O)N1CCC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)[C@@H]1C ZINC001288011005 820211735 /nfs/dbraw/zinc/21/17/35/820211735.db2.gz SEFUXWKRTMHXDT-RYUDHWBXSA-N -1 1 336.392 -0.168 20 0 EBADMM CN(C(=O)c1cnc(C2CC2)[n-]c1=O)C1CC(NC(=O)CC(N)=O)C1 ZINC001288317376 820283404 /nfs/dbraw/zinc/28/34/04/820283404.db2.gz ZJLZYQWAZSJGRK-UHFFFAOYSA-N -1 1 347.375 -0.346 20 0 EBADMM NC(=O)CC(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C12CCC2 ZINC001289208406 820379731 /nfs/dbraw/zinc/37/97/31/820379731.db2.gz NAVCCJJRFGIFDR-WDEREUQCSA-N -1 1 332.360 -0.180 20 0 EBADMM CC[C@H](SC)C(=O)NCCNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001292645607 820575003 /nfs/dbraw/zinc/57/50/03/820575003.db2.gz WIYXFZPZNGXGCE-ZETCQYMHSA-N -1 1 344.393 -0.116 20 0 EBADMM O=C(NCCNC(=O)c1ncccc1[O-])c1ccc2nncn2c1 ZINC001292662164 820579599 /nfs/dbraw/zinc/57/95/99/820579599.db2.gz MGMCAABHLLHGQC-UHFFFAOYSA-N -1 1 326.316 -0.010 20 0 EBADMM CCNC(=O)CC(=O)N1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001293647346 820800336 /nfs/dbraw/zinc/80/03/36/820800336.db2.gz BSVREPSNSZJNGY-LLVKDONJSA-N -1 1 334.376 -0.014 20 0 EBADMM Cc1nsc(NCCN(C)C(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001101699037 820883231 /nfs/dbraw/zinc/88/32/31/820883231.db2.gz GOUJTLYGVMLMOU-UHFFFAOYSA-N -1 1 334.365 -0.238 20 0 EBADMM CCNC(=O)CCC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001101812830 820921808 /nfs/dbraw/zinc/92/18/08/820921808.db2.gz NAXPLGRBCGUNHK-GHMZBOCLSA-N -1 1 338.412 -0.389 20 0 EBADMM Cc1ccnn1CC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102415683 821097108 /nfs/dbraw/zinc/09/71/08/821097108.db2.gz ILJWXNTWYXUASG-ZYHUDNBSSA-N -1 1 333.396 -0.100 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CCNC1=O)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001295599190 821132946 /nfs/dbraw/zinc/13/29/46/821132946.db2.gz XOVICTFBMDPBNC-SCZZXKLOSA-N -1 1 347.375 -0.570 20 0 EBADMM CCOCCOCC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102602124 821170380 /nfs/dbraw/zinc/17/03/80/821170380.db2.gz DFBGOCMTKNJLFB-VXGBXAGGSA-N -1 1 341.412 -0.253 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102650247 821180358 /nfs/dbraw/zinc/18/03/58/821180358.db2.gz KVVDQLQEQDUSJR-JFGNBEQYSA-N -1 1 338.412 -0.391 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102650246 821180878 /nfs/dbraw/zinc/18/08/78/821180878.db2.gz KVVDQLQEQDUSJR-CKYFFXLPSA-N -1 1 338.412 -0.391 20 0 EBADMM C[C@H](CCNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)C1(C)CC1 ZINC001295885088 821182915 /nfs/dbraw/zinc/18/29/15/821182915.db2.gz NAZOEYXIYSUFBC-LLVKDONJSA-N -1 1 336.392 -0.262 20 0 EBADMM CC/C=C(/C)C(=O)NCCN(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001296014748 821193936 /nfs/dbraw/zinc/19/39/36/821193936.db2.gz CVLPJGBQQOCUFA-XGICHPGQSA-N -1 1 336.392 -0.142 20 0 EBADMM CN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)[C@@]1(C)C=CCC1 ZINC001296153474 821218370 /nfs/dbraw/zinc/21/83/70/821218370.db2.gz RWHNUZGQFGEWEX-KRWDZBQOSA-N -1 1 348.403 -0.142 20 0 EBADMM CC[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)Nc1cnc(F)cn1 ZINC001103136019 821264905 /nfs/dbraw/zinc/26/49/05/821264905.db2.gz QRNANSCQIGHCRV-MRVPVSSYSA-N -1 1 346.326 -0.033 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)c1c[nH]c(=O)n1C ZINC001103318358 821290344 /nfs/dbraw/zinc/29/03/44/821290344.db2.gz VWIAKYJTYAGLER-NXEZZACHSA-N -1 1 349.395 -0.163 20 0 EBADMM CC[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)C(C)(C)F ZINC001297445126 821375290 /nfs/dbraw/zinc/37/52/90/821375290.db2.gz QEECYMOSYZMGGR-SNVBAGLBSA-N -1 1 342.371 -0.314 20 0 EBADMM Cc1ccnc(NC[C@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001104017347 821386798 /nfs/dbraw/zinc/38/67/98/821386798.db2.gz HNOLIXDFWIFMBL-LLVKDONJSA-N -1 1 346.391 -0.110 20 0 EBADMM Cc1c(C(=O)N2C[C@@H](C)[C@H](CCNCc3n[nH]c(=O)[n-]3)C2)nnn1C ZINC001104028720 821390660 /nfs/dbraw/zinc/39/06/60/821390660.db2.gz JUTITHVXMAJJOB-MWLCHTKSSA-N -1 1 348.411 -0.165 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC/C=C/CNC(=O)C1CCC1 ZINC001298209146 821488209 /nfs/dbraw/zinc/48/82/09/821488209.db2.gz KADVBNPPTSQTFU-OWOJBTEDSA-N -1 1 334.376 -0.485 20 0 EBADMM O=C(NCC=CCNC(=O)c1ncccc1[O-])c1ccc(=O)[nH]n1 ZINC001298240077 821491863 /nfs/dbraw/zinc/49/18/63/821491863.db2.gz PLYQOJGIJOXBSS-OWOJBTEDSA-N -1 1 329.316 -0.001 20 0 EBADMM O=C(C=C1CCC1)NC/C=C\CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001298342912 821512448 /nfs/dbraw/zinc/51/24/48/821512448.db2.gz LECRVQMXRYMGDJ-UPHRSURJSA-N -1 1 346.387 -0.174 20 0 EBADMM C[C@H](CNc1ncccn1)N(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001104616382 821523250 /nfs/dbraw/zinc/52/32/50/821523250.db2.gz AZPZGMWLGWYWSN-SECBINFHSA-N -1 1 328.336 -0.220 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)[C@@]1(C)C=CCC1 ZINC001298677773 821585208 /nfs/dbraw/zinc/58/52/08/821585208.db2.gz DVOFRXZCUBKLIA-PXAZEXFGSA-N -1 1 348.403 -0.096 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC(n3cc(CNC[C@H](C)O)nn3)C2)c1[O-] ZINC001105213642 821623316 /nfs/dbraw/zinc/62/33/16/821623316.db2.gz DWKJKZCKHQJGJP-QMMMGPOBSA-N -1 1 335.368 -0.817 20 0 EBADMM C/C=C(\C)C(=O)N1CC[C@@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001299063766 821640029 /nfs/dbraw/zinc/64/00/29/821640029.db2.gz ANACXICYYDHCFB-NDZKXSSTSA-N -1 1 334.376 -0.390 20 0 EBADMM C[C@]1(C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])CCNC1=O ZINC001299104135 821647592 /nfs/dbraw/zinc/64/75/92/821647592.db2.gz IISLFVZYRVLSMK-QFYYESIMSA-N -1 1 332.360 -0.356 20 0 EBADMM CC(C)CC(=O)NCC1(NC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001299109818 821649522 /nfs/dbraw/zinc/64/95/22/821649522.db2.gz PRNFADORMXPXFL-UHFFFAOYSA-N -1 1 336.392 -0.262 20 0 EBADMM CC[C@H](F)C(=O)NCC1(NC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001299219743 821667733 /nfs/dbraw/zinc/66/77/33/821667733.db2.gz NWRGJVYVQRWQOZ-JTQLQIEISA-N -1 1 340.355 -0.560 20 0 EBADMM C[C@H]1C[C@@H]1CC(=O)N1CC(N(C)C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001299381436 821687970 /nfs/dbraw/zinc/68/79/70/821687970.db2.gz YJKXZNFMOGDAAL-NWDGAFQWSA-N -1 1 348.403 -0.358 20 0 EBADMM CCOCCC(=O)N1CC[C@@](O)(CNC(=O)c2ncccc2[O-])C1 ZINC001299747467 821739436 /nfs/dbraw/zinc/73/94/36/821739436.db2.gz SFYYITNRKFLTQB-MRXNPFEDSA-N -1 1 337.376 -0.093 20 0 EBADMM COc1cnc([C@H]2CCCN2C(=O)[C@H]2OCCN(C)C2=O)[n-]c1=O ZINC001304659765 821764290 /nfs/dbraw/zinc/76/42/90/821764290.db2.gz IBDXRNRHMUNEFL-KOLCDFICSA-N -1 1 336.348 -0.289 20 0 EBADMM C[C@@H](CNC(=O)Cn1c2ccccc2oc1=O)NCc1n[nH]c(=O)[n-]1 ZINC001317289643 822066121 /nfs/dbraw/zinc/06/61/21/822066121.db2.gz MXJDEIAOXGDVRG-VIFPVBQESA-N -1 1 346.347 -0.287 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@H]1CCCN1Cc1cnon1 ZINC001317506877 822136188 /nfs/dbraw/zinc/13/61/88/822136188.db2.gz AEJWWARCJJGXNQ-GFCCVEGCSA-N -1 1 348.363 -0.910 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1CN(C/C=C/Cl)C1 ZINC001318162157 822266876 /nfs/dbraw/zinc/26/68/76/822266876.db2.gz ZPBRCWRJEJXEEH-HNQUOIGGSA-N -1 1 326.784 -0.273 20 0 EBADMM O=C(Cn1c(=O)oc2ccccc21)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001318375828 822302055 /nfs/dbraw/zinc/30/20/55/822302055.db2.gz FXJCTAMAQZAXSR-NSHDSACASA-N -1 1 330.304 -0.292 20 0 EBADMM CN(C(=O)CCn1cc[n-]c(=O)c1=O)[C@@H]1CCN(Cc2ccon2)C1 ZINC001318436635 822314778 /nfs/dbraw/zinc/31/47/78/822314778.db2.gz JNIHPUYTKXJVCC-CYBMUJFWSA-N -1 1 347.375 -0.352 20 0 EBADMM CCN(CCNc1ncnc2[nH]cnc21)C(=O)Cn1c(=O)[n-][nH]c1=O ZINC001106810344 822335286 /nfs/dbraw/zinc/33/52/86/822335286.db2.gz CZBDMTAXSVHTAU-UHFFFAOYSA-N -1 1 347.339 -0.732 20 0 EBADMM C/C=C(/C)C(=O)NCc1cnn2c1CN(Cc1nc(=O)n(C)[n-]1)CC2 ZINC001128295645 828440895 /nfs/dbraw/zinc/44/08/95/828440895.db2.gz TWHKVSLZLFGYFS-WCIBSUBMSA-N -1 1 345.407 -0.097 20 0 EBADMM C/C=C(\C)C(=O)NCc1cn(C2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001107156140 823759083 /nfs/dbraw/zinc/75/90/83/823759083.db2.gz RGTBKQGUKNZPRD-ONNFQVAWSA-N -1 1 346.395 -0.661 20 0 EBADMM Cn1ccc(-c2cc(C(=O)NCCNCc3n[nH]c(=O)[n-]3)[nH]n2)c1 ZINC001129109352 828570732 /nfs/dbraw/zinc/57/07/32/828570732.db2.gz SHUXXIGBIXRHOA-UHFFFAOYSA-N -1 1 330.352 -0.242 20 0 EBADMM CC[C@H](OC)C(=O)NC[C@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107798040 823969597 /nfs/dbraw/zinc/96/95/97/823969597.db2.gz KLLMQAUBPVEYBD-XHDPSFHLSA-N -1 1 341.412 -0.760 20 0 EBADMM C[C@@H](F)CCN1CCO[C@](C)(CNC(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC001108368431 824241042 /nfs/dbraw/zinc/24/10/42/824241042.db2.gz XQJHTLQJROTKLM-QMTHXVAHSA-N -1 1 345.375 -0.356 20 0 EBADMM C[C@@H](CNc1cc(F)ncn1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001108461365 824295626 /nfs/dbraw/zinc/29/56/26/824295626.db2.gz MDINKQHETPIGLG-ZETCQYMHSA-N -1 1 332.299 -0.423 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cccc2c1NC(=O)CO2 ZINC001129620552 828646338 /nfs/dbraw/zinc/64/63/38/828646338.db2.gz YRHYYPUVDUFCFZ-UHFFFAOYSA-N -1 1 332.320 -0.639 20 0 EBADMM Cc1ccnc(N(C)C[C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001109039535 824475925 /nfs/dbraw/zinc/47/59/25/824475925.db2.gz PCHGKDIRIYIWJY-JTQLQIEISA-N -1 1 342.363 -0.229 20 0 EBADMM O=C(CCc1nc[nH]n1)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[n-]1 ZINC001109127616 824488432 /nfs/dbraw/zinc/48/84/32/824488432.db2.gz OMHKUBYKLHWHNH-UTLUCORTSA-N -1 1 332.368 -0.517 20 0 EBADMM C[C@@H](C(=O)NC(N)=O)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncccc1[O-])C2 ZINC001109185796 824499616 /nfs/dbraw/zinc/49/96/16/824499616.db2.gz LDBVGSIPOPYPOY-VLEAKVRGSA-N -1 1 347.375 -0.294 20 0 EBADMM O=C(NCC1(Nc2nccnc2F)CC1)c1cc(=O)n2[n-]cnc2n1 ZINC001110182751 824672968 /nfs/dbraw/zinc/67/29/68/824672968.db2.gz YGEQQJBKKYDHGB-UHFFFAOYSA-N -1 1 344.310 -0.279 20 0 EBADMM C[C@@H](C(=O)NC(N)=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncccc1[O-])C2 ZINC001110475615 824737572 /nfs/dbraw/zinc/73/75/72/824737572.db2.gz LDBVGSIPOPYPOY-ZDCRXTMVSA-N -1 1 347.375 -0.294 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)CCc2ncc[nH]2)C3)nc1=O ZINC001110510123 824744293 /nfs/dbraw/zinc/74/42/93/824744293.db2.gz AAGVNLCGZWHECL-UTUOFQBUSA-N -1 1 345.407 -0.314 20 0 EBADMM COc1ccnc(N2CC[C@](O)(CNC(=O)c3ncccc3[O-])C2)n1 ZINC001111066548 824894541 /nfs/dbraw/zinc/89/45/41/824894541.db2.gz UZOHJAMYRCBNBE-INIZCTEOSA-N -1 1 345.359 -0.043 20 0 EBADMM CC(=O)NCCOCCN1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001112782150 825636127 /nfs/dbraw/zinc/63/61/27/825636127.db2.gz GLICZTIMADLGMF-UHFFFAOYSA-N -1 1 336.392 -0.302 20 0 EBADMM CCO[C@H](C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1)C(C)C ZINC001112839599 825657196 /nfs/dbraw/zinc/65/71/96/825657196.db2.gz OSSODQNXIMXESL-ZDUSSCGKSA-N -1 1 325.413 -0.186 20 0 EBADMM O=C([N-]CCCN1CCN(C(=O)c2cn[nH]n2)CC1)C(F)(F)F ZINC001113062191 825743198 /nfs/dbraw/zinc/74/31/98/825743198.db2.gz NHHMXMYVTPZNBI-UHFFFAOYSA-N -1 1 334.302 -0.369 20 0 EBADMM COCCCCN1CCN(C(=O)CCc2n[nH]c(=O)[n-]c2=O)CC1 ZINC001113062038 825743238 /nfs/dbraw/zinc/74/32/38/825743238.db2.gz FFTUQMFKCFATDC-UHFFFAOYSA-N -1 1 339.396 -0.214 20 0 EBADMM C[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)[C@H](C)Nc1ncccn1 ZINC001113090922 825754957 /nfs/dbraw/zinc/75/49/57/825754957.db2.gz AKQSDGKMIJWFFM-QWRGUYRKSA-N -1 1 332.364 -0.278 20 0 EBADMM C[C@@H](NC(=O)c1n[nH]c(=O)[n-]c1=O)[C@H](C)Nc1ncnc2[nH]cnc21 ZINC001113363760 825844720 /nfs/dbraw/zinc/84/47/20/825844720.db2.gz DHQDELCLFHRQHS-NTSWFWBYSA-N -1 1 345.323 -0.432 20 0 EBADMM Cc1nccc(N(C)[C@@H](C)CNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001113657838 825968019 /nfs/dbraw/zinc/96/80/19/825968019.db2.gz YCCLYSWGPQOUFZ-VIFPVBQESA-N -1 1 342.363 -0.229 20 0 EBADMM O=C(C=C1CCC1)N1CC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001352890081 832378801 /nfs/dbraw/zinc/37/88/01/832378801.db2.gz BWQXUVOBCQKPHD-ZDUSSCGKSA-N -1 1 346.387 -0.246 20 0 EBADMM COC[C@H](C)C(=O)N[C@@H]1[C@H]2CN(Cc3cc(=O)n4[n-]ccc4n3)C[C@H]21 ZINC001114038942 826120467 /nfs/dbraw/zinc/12/04/67/826120467.db2.gz ZMOACCSEZGSDKR-CNXAATOLSA-N -1 1 345.403 -0.149 20 0 EBADMM CO[C@@H](CC(C)C)C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001114803624 826343540 /nfs/dbraw/zinc/34/35/40/826343540.db2.gz MATINXRBASDTRU-KZVDOYCCSA-N -1 1 337.424 -0.284 20 0 EBADMM CCC1(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)CCOCC1 ZINC001115038394 826406129 /nfs/dbraw/zinc/40/61/29/826406129.db2.gz LDTLQQOFPGDPIA-IMRBUKKESA-N -1 1 349.435 -0.138 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C2=NNC(=O)CC2)c1 ZINC001180258618 833064971 /nfs/dbraw/zinc/06/49/71/833064971.db2.gz KRSGSHGFAYXCLW-UHFFFAOYSA-N -1 1 326.334 -0.495 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)CN1C(=O)CNC1=O ZINC001116065549 826597908 /nfs/dbraw/zinc/59/79/08/826597908.db2.gz SXLLSQJNEVOMNK-UHFFFAOYSA-N -1 1 332.320 -0.780 20 0 EBADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)NCCn1ncnn1 ZINC001116275685 826609242 /nfs/dbraw/zinc/60/92/42/826609242.db2.gz VLAKDQGEQPFYJJ-UHFFFAOYSA-N -1 1 340.347 -0.102 20 0 EBADMM O=C([N-]OCC(F)F)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001116600839 826628262 /nfs/dbraw/zinc/62/82/62/826628262.db2.gz PVSLLFZAKINWJW-SFYZADRCSA-N -1 1 326.321 -0.665 20 0 EBADMM C[C@@H](O)[C@@H]1CCCN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC001117384942 826736948 /nfs/dbraw/zinc/73/69/48/826736948.db2.gz IBSUQXLJMLKLIF-GHMZBOCLSA-N -1 1 331.376 -0.100 20 0 EBADMM CCC(=O)Nc1ccc(C)c(NC(=O)C(=O)NN2CC(=O)[N-]C2=O)c1 ZINC001117438407 826749055 /nfs/dbraw/zinc/74/90/55/826749055.db2.gz JGXXJFCECOANCC-UHFFFAOYSA-N -1 1 347.331 -0.135 20 0 EBADMM CCOc1cc(NC(=O)C(=O)NN2CC(=O)[N-]C2=O)ccc1OC ZINC001117438318 826749354 /nfs/dbraw/zinc/74/93/54/826749354.db2.gz HRPDFJRQHNGVFG-UHFFFAOYSA-N -1 1 336.304 -0.385 20 0 EBADMM Cc1cc2cc(NC(=O)C(=O)NCc3nn[n-]n3)ccc2n(C)c1=O ZINC001117708714 826800508 /nfs/dbraw/zinc/80/05/08/826800508.db2.gz KNBYFXQVRAAMHL-UHFFFAOYSA-N -1 1 341.331 -0.385 20 0 EBADMM O=C([O-])c1ccc(CN2C(=O)C[C@H](NCCn3ncnn3)C2=O)cc1 ZINC001118277131 826895887 /nfs/dbraw/zinc/89/58/87/826895887.db2.gz GEUHBUMHLQZZTA-LBPRGKRZSA-N -1 1 344.331 -0.711 20 0 EBADMM C[C@H](NC(=O)OC(C)(C)C)C(=O)N[C@@H](C)C(=O)NCc1nn[n-]n1 ZINC001181414432 833157507 /nfs/dbraw/zinc/15/75/07/833157507.db2.gz XJUALMUPBLKXLA-YUMQZZPRSA-N -1 1 341.372 -0.766 20 0 EBADMM COCc1cc(N[C@@H](CO)CNC(=O)c2ncccc2[O-])ncn1 ZINC001121339250 827346944 /nfs/dbraw/zinc/34/69/44/827346944.db2.gz GZKOPZLTCHUXET-LLVKDONJSA-N -1 1 333.348 -0.074 20 0 EBADMM O=C(Cc1cn2cccnc2n1)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001182749986 833255298 /nfs/dbraw/zinc/25/52/98/833255298.db2.gz DPRXDOMTHNRZIE-UHFFFAOYSA-N -1 1 326.276 -0.060 20 0 EBADMM CC1(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)CCS(=O)(=O)CC1 ZINC001183664088 833299415 /nfs/dbraw/zinc/29/94/15/833299415.db2.gz MMEMAZMJHQHOCW-UHFFFAOYSA-N -1 1 341.349 -0.131 20 0 EBADMM Cn1ccc(CN[C@@H]2CCN(C(=O)CCCn3c(=O)[n-][nH]c3=O)C2)n1 ZINC001183818378 833307510 /nfs/dbraw/zinc/30/75/10/833307510.db2.gz YXBHKRUXXJEFPA-GFCCVEGCSA-N -1 1 349.395 -0.406 20 0 EBADMM Cc1nn([C@H]2CCOC2)cc1C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001127299268 828275487 /nfs/dbraw/zinc/27/54/87/828275487.db2.gz NUVJCHZYICAVEA-JTQLQIEISA-N -1 1 335.368 -0.504 20 0 EBADMM CC[C@](C)(O)CC(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001184269753 833325335 /nfs/dbraw/zinc/32/53/35/833325335.db2.gz SLBLAACOQFXIGZ-NHYWBVRUSA-N -1 1 340.380 -0.421 20 0 EBADMM CC(=O)NCc1ccc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)cc1 ZINC001130931980 828997557 /nfs/dbraw/zinc/99/75/57/828997557.db2.gz YIVDGUNETVIULN-UHFFFAOYSA-N -1 1 332.364 -0.334 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCOc2ccc(F)cc2)c(=O)[nH]c1=O ZINC001185309502 833355465 /nfs/dbraw/zinc/35/54/65/833355465.db2.gz UQEXHXYQFGYDSH-UHFFFAOYSA-N -1 1 343.336 -0.018 20 0 EBADMM C[C@@]1(O)C[C@@H](C(=O)NCCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])C1 ZINC001185478518 833359546 /nfs/dbraw/zinc/35/95/46/833359546.db2.gz RNXZJGJJORIKQX-ZSGNIPIJSA-N -1 1 338.364 -0.811 20 0 EBADMM CCC(=O)NCC(=O)N[C@@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001131911120 829271572 /nfs/dbraw/zinc/27/15/72/829271572.db2.gz CWVXMXYQEDRFFU-WDEREUQCSA-N -1 1 338.412 -0.896 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)CC(C)(C)O)CN1Cc1nc(=O)n(C)[n-]1 ZINC001132008979 829300295 /nfs/dbraw/zinc/30/02/95/829300295.db2.gz DSCQBDSOKMDNBQ-WDEREUQCSA-N -1 1 325.413 -0.262 20 0 EBADMM Cc1nn(C)c2ncc(C(=O)NCCNCc3n[nH]c(=O)[n-]3)cc12 ZINC001132112520 829337331 /nfs/dbraw/zinc/33/73/31/829337331.db2.gz NFFIPVXOXMJMOL-UHFFFAOYSA-N -1 1 330.352 -0.380 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)CC(=O)N(C)C)CN1Cc1nc(=O)n(C)[n-]1 ZINC001132342810 829418989 /nfs/dbraw/zinc/41/89/89/829418989.db2.gz DWQZODULBWPBEN-MNOVXSKESA-N -1 1 338.412 -0.944 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)CCc2cn[nH]c2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001132348070 829421023 /nfs/dbraw/zinc/42/10/23/829421023.db2.gz HKNPEQKAUVYTHF-AAEUAGOBSA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)CCc2cn[nH]c2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001132348072 829421095 /nfs/dbraw/zinc/42/10/95/829421095.db2.gz HKNPEQKAUVYTHF-WCQYABFASA-N -1 1 347.423 -0.067 20 0 EBADMM Cc1nonc1CN[C@@H](C)C[C@H](C)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001134864050 829860305 /nfs/dbraw/zinc/86/03/05/829860305.db2.gz QMDFEZZQJMUKCA-BQBZGAKWSA-N -1 1 337.340 -0.339 20 0 EBADMM COc1cncc(CNCCNC(=O)c2c[n-]n3c2nccc3=O)n1 ZINC001134941652 829875178 /nfs/dbraw/zinc/87/51/78/829875178.db2.gz LYTCFEIFDKVCRI-UHFFFAOYSA-N -1 1 343.347 -0.659 20 0 EBADMM CCc1nc([C@@H](C)NCCNC(=O)c2c[n-]n3c2nccc3=O)n[nH]1 ZINC001134942103 829876370 /nfs/dbraw/zinc/87/63/70/829876370.db2.gz ZJSPZKODEOVASH-SECBINFHSA-N -1 1 344.379 -0.216 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)[C@@H]1OCC[C@@H]1Cn1cccn1 ZINC001135138509 829905712 /nfs/dbraw/zinc/90/57/12/829905712.db2.gz IVLIQOZWNSZEME-ZYHUDNBSSA-N -1 1 335.368 -0.982 20 0 EBADMM C[C@H](C[C@@H](C)NC(=O)c1cnc([O-])n(C)c1=O)NCc1ncnn1C ZINC001135175994 829915257 /nfs/dbraw/zinc/91/52/57/829915257.db2.gz LWTBBUUXMFZBIT-NXEZZACHSA-N -1 1 349.395 -0.699 20 0 EBADMM C[C@H](C[C@H](C)NCc1n[nH]c(=O)[n-]1)NC(=O)C(C)(C)S(C)(=O)=O ZINC001135596316 829992203 /nfs/dbraw/zinc/99/22/03/829992203.db2.gz MTDSZUXKXCUAGI-DTWKUNHWSA-N -1 1 347.441 -0.294 20 0 EBADMM C[C@H](C[C@H](C)NC(=O)C(C)(C)S(C)(=O)=O)NCc1n[nH]c(=O)[n-]1 ZINC001135596309 829992512 /nfs/dbraw/zinc/99/25/12/829992512.db2.gz MTDSZUXKXCUAGI-BDAKNGLRSA-N -1 1 347.441 -0.294 20 0 EBADMM NS(=O)(=O)C1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC001136620243 830087756 /nfs/dbraw/zinc/08/77/56/830087756.db2.gz FJVNISUDDGVCGW-UHFFFAOYSA-N -1 1 341.418 -0.089 20 0 EBADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCCN1C(=O)CNC1=O ZINC001136728200 830088861 /nfs/dbraw/zinc/08/88/61/830088861.db2.gz RAWAMXUPVXWISW-UHFFFAOYSA-N -1 1 325.350 -0.506 20 0 EBADMM Cn1c(=O)oc2c1cccc2C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001138987231 830101092 /nfs/dbraw/zinc/10/10/92/830101092.db2.gz NBALUJKXQUWNPR-UHFFFAOYSA-N -1 1 344.331 -0.232 20 0 EBADMM O=C([N-]CCNC(=O)[C@H]1CCC(=O)N1)C(F)(F)Br ZINC001143134348 830144876 /nfs/dbraw/zinc/14/48/76/830144876.db2.gz HXNHPCRKMIJGDA-RXMQYKEDSA-N -1 1 328.113 -0.515 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)c1ncccn1 ZINC001147419122 830340163 /nfs/dbraw/zinc/34/01/63/830340163.db2.gz KZUFWDPELWWIQV-JTQLQIEISA-N -1 1 332.320 -0.868 20 0 EBADMM Cc1nn[nH]c1C(=O)NCC[N-]C(=O)C(F)(F)Br ZINC001147577895 830366200 /nfs/dbraw/zinc/36/62/00/830366200.db2.gz YRLIESRCXUBGGV-UHFFFAOYSA-N -1 1 326.101 -0.053 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCN[C@H](C)c1noc(C)n1 ZINC001147700386 830396942 /nfs/dbraw/zinc/39/69/42/830396942.db2.gz WPICCCDAKIEIFR-ZCFIWIBFSA-N -1 1 338.324 -0.332 20 0 EBADMM CC(=O)Nc1cccc(CC(=O)NCCNCc2n[nH]c(=O)[n-]2)c1 ZINC001148585661 830514501 /nfs/dbraw/zinc/51/45/01/830514501.db2.gz HBRUGKGATKCNSC-UHFFFAOYSA-N -1 1 332.364 -0.083 20 0 EBADMM CCO[C@H](C)C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149235727 830621332 /nfs/dbraw/zinc/62/13/32/830621332.db2.gz CCPVNCNCJXKPPA-VXGBXAGGSA-N -1 1 341.412 -0.760 20 0 EBADMM CC[C@H](OC)C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149349910 830646322 /nfs/dbraw/zinc/64/63/22/830646322.db2.gz UAKZBLFSAYJCFL-RYUDHWBXSA-N -1 1 341.412 -0.760 20 0 EBADMM CO[C@@H](C)CC(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149414932 830660082 /nfs/dbraw/zinc/66/00/82/830660082.db2.gz HTAXEURINRUXFR-NWDGAFQWSA-N -1 1 341.412 -0.760 20 0 EBADMM CCNC(=O)CN1CCCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001149574410 830701167 /nfs/dbraw/zinc/70/11/67/830701167.db2.gz XWQDGEGRIHGAAT-GFCCVEGCSA-N -1 1 336.392 -0.256 20 0 EBADMM CC(C)[C@H](F)C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001150116398 830820930 /nfs/dbraw/zinc/82/09/30/830820930.db2.gz RZNITODCTYWEAV-YPMHNXCESA-N -1 1 343.403 -0.190 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)[C@@H]1CC(=O)N(C2CCCC2)C1 ZINC001150646358 830949420 /nfs/dbraw/zinc/94/94/20/830949420.db2.gz CCFYYWCUHHSYSQ-SNVBAGLBSA-N -1 1 336.396 -0.493 20 0 EBADMM COCCC1(NC(=O)C(F)F)CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001150869079 830998959 /nfs/dbraw/zinc/99/89/59/830998959.db2.gz KPGMISLJRDPEPQ-UHFFFAOYSA-N -1 1 347.366 -0.139 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)/C=C(\C)C1CC1 ZINC001211951512 837503882 /nfs/dbraw/zinc/50/38/82/837503882.db2.gz HNTQGXZXKWXHMV-SFGZWBMOSA-N -1 1 335.408 -0.220 20 0 EBADMM CCN(Cc1cc(=O)n2[n-]ccc2n1)[C@H](C)CNC(=O)CNC(C)=O ZINC001151591173 831092873 /nfs/dbraw/zinc/09/28/73/831092873.db2.gz DUSRBPFNEDGATO-LLVKDONJSA-N -1 1 348.407 -0.515 20 0 EBADMM Cc1sc(=O)n(CC(=O)NCCNCc2n[nH]c(=O)[n-]2)c1C ZINC001152404852 831159190 /nfs/dbraw/zinc/15/91/90/831159190.db2.gz NRXJAMWCGYUKGB-UHFFFAOYSA-N -1 1 326.382 -0.744 20 0 EBADMM CNS(=O)(=O)CCNC(=O)c1cc(=O)c2cccc(O)c2[n-]1 ZINC001152679940 831182556 /nfs/dbraw/zinc/18/25/56/831182556.db2.gz GNNYEAMKMBBVIY-UHFFFAOYSA-N -1 1 325.346 -0.075 20 0 EBADMM Cn1nnc2c(C(=O)Nc3ccc4[nH][n-]c(=O)c4c3)ncn2c1=O ZINC001152753595 831187255 /nfs/dbraw/zinc/18/72/55/831187255.db2.gz BMIYLFXQHDNYCL-UHFFFAOYSA-N -1 1 326.276 -0.343 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@H](C)CSC ZINC001212033610 837523685 /nfs/dbraw/zinc/52/36/85/837523685.db2.gz KUGVCEINDOIOBT-GMTAPVOTSA-N -1 1 343.453 -0.577 20 0 EBADMM Cc1ccc2ccc(C(=O)N3CN[C@H](N)[C@H]4NCN[C@H]43)c([O-])c2n1 ZINC001155661347 831420423 /nfs/dbraw/zinc/42/04/23/831420423.db2.gz RIARPJRQGMLMTO-SNPRPXQTSA-N -1 1 328.376 -0.618 20 0 EBADMM CCN(Cc1ccon1)[C@H](C)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001155851326 831434665 /nfs/dbraw/zinc/43/46/65/831434665.db2.gz WFFFPCGYBZJPPP-GFCCVEGCSA-N -1 1 349.391 -0.059 20 0 EBADMM Cc1cc2c(c(NCc3noc(C(=O)N(C)C)n3)n1)C(=O)[N-]C2=O ZINC001156211104 831462528 /nfs/dbraw/zinc/46/25/28/831462528.db2.gz RWXMWMOPCCHTHL-UHFFFAOYSA-N -1 1 330.304 -0.029 20 0 EBADMM CC[C@H](C)OCC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1OC ZINC001212131532 837550422 /nfs/dbraw/zinc/55/04/22/837550422.db2.gz PANVENHBIVKUNK-QJPTWQEYSA-N -1 1 341.412 -0.761 20 0 EBADMM COC(=O)c1ncnc(Nc2c(O)[nH]c(=O)[n-]c2=S)c1OC ZINC001160857140 831897032 /nfs/dbraw/zinc/89/70/32/831897032.db2.gz ALVFDAQTPHEVGG-RXMQYKEDSA-N -1 1 325.306 -0.781 20 0 EBADMM Cn1ncc(C(=O)NCCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC001161458180 831960356 /nfs/dbraw/zinc/96/03/56/831960356.db2.gz SKFWCYGKFQFTRZ-UHFFFAOYSA-N -1 1 346.395 -0.811 20 0 EBADMM O=C(NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)c1cc[n+]([O-])cc1 ZINC001163264609 832095032 /nfs/dbraw/zinc/09/50/32/832095032.db2.gz LKWDRYUBPQOZBI-UHFFFAOYSA-N -1 1 332.364 -0.166 20 0 EBADMM CCCn1ncnc1CNCCCNC(=O)c1cnc([O-])n(C)c1=O ZINC001163781874 832138489 /nfs/dbraw/zinc/13/84/89/832138489.db2.gz UZBPIXIAOQKORO-UHFFFAOYSA-N -1 1 349.395 -0.603 20 0 EBADMM O=C(Cc1cncnc1)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001164321465 832183830 /nfs/dbraw/zinc/18/38/30/832183830.db2.gz XMZFILCWMYGTLQ-UHFFFAOYSA-N -1 1 331.380 -0.081 20 0 EBADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)C[C@@H]1CCNC1=O ZINC001351406130 832234401 /nfs/dbraw/zinc/23/44/01/832234401.db2.gz GTKNFBKKBJKDDQ-NSHDSACASA-N -1 1 334.376 -0.108 20 0 EBADMM C[C@H](C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)S(C)(=O)=O ZINC001165767446 832236911 /nfs/dbraw/zinc/23/69/11/832236911.db2.gz KXANTWNJXQFXJJ-SECBINFHSA-N -1 1 345.425 -0.681 20 0 EBADMM Cn1cc(CNCC2(CCNC(=O)c3n[nH]c(=O)[n-]c3=O)CC2)nn1 ZINC001165992777 832245383 /nfs/dbraw/zinc/24/53/83/832245383.db2.gz HGDVYNUZHHQZPT-UHFFFAOYSA-N -1 1 348.367 -0.899 20 0 EBADMM CO[N-]C(=O)CNC(=O)C(=O)N(C)[C@@H]1CCN(Cc2ccccc2)C1 ZINC001351644070 832265015 /nfs/dbraw/zinc/26/50/15/832265015.db2.gz BGPHUIMAKKTAOV-CQSZACIVSA-N -1 1 348.403 -0.487 20 0 EBADMM NC(=O)[C@H]1CC[C@@H]1C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001166567052 832271934 /nfs/dbraw/zinc/27/19/34/832271934.db2.gz YVZKNHQCYKEAOH-UWVGGRQHSA-N -1 1 336.396 -0.602 20 0 EBADMM COc1cncc(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)n1 ZINC001167394321 832334604 /nfs/dbraw/zinc/33/46/04/832334604.db2.gz CVCRGCYHZIWGLZ-UHFFFAOYSA-N -1 1 347.379 -0.001 20 0 EBADMM CC1(CC(=O)N[C@H]2C[C@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)CC1 ZINC001353640397 832438532 /nfs/dbraw/zinc/43/85/32/832438532.db2.gz AREKOLJXSIYXKP-HAQNSBGRSA-N -1 1 348.403 -0.120 20 0 EBADMM CCOC(=O)[C@H]1CC[C@H](N[C@@H]2C(=O)N(S(=O)(=O)[O-])[C@H]2C)CO1 ZINC001169320201 832458518 /nfs/dbraw/zinc/45/85/18/832458518.db2.gz JBXPEVFOJCMNRA-QEYWKRMJSA-N -1 1 336.366 -0.911 20 0 EBADMM C[C@]1(C(F)(F)F)CN(C(=O)[C@]2(C(=O)[O-])CNCCO2)CCO1 ZINC001354513272 832496170 /nfs/dbraw/zinc/49/61/70/832496170.db2.gz ZNPJGIUAPMUINO-MNOVXSKESA-N -1 1 326.271 -0.391 20 0 EBADMM CCc1nc(CNCCCNC(=O)c2cc(=O)n3[n-]cnc3n2)no1 ZINC001171079082 832542147 /nfs/dbraw/zinc/54/21/47/832542147.db2.gz CQBWWFJVPSGWMY-UHFFFAOYSA-N -1 1 346.351 -0.727 20 0 EBADMM Cc1nc(C(=O)NCCN(C)C(=O)CCn2cc[n-]c(=O)c2=O)co1 ZINC001355560668 832565522 /nfs/dbraw/zinc/56/55/22/832565522.db2.gz AOQOVSAXWAOANP-UHFFFAOYSA-N -1 1 349.347 -0.888 20 0 EBADMM Cc1nocc1C(=O)NCCN(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001355868862 832588638 /nfs/dbraw/zinc/58/86/38/832588638.db2.gz CTZRVSMRAKKYAN-UHFFFAOYSA-N -1 1 349.347 -0.888 20 0 EBADMM Nc1cnc(NC(=O)Cc2nn[n-]n2)c(I)c1 ZINC001176838101 832693541 /nfs/dbraw/zinc/69/35/41/832693541.db2.gz PUZRCLPLSRUIEC-UHFFFAOYSA-N -1 1 345.104 -0.037 20 0 EBADMM CC(=O)NC[C@@H]1c2ncn(C(C)C)c2CCN1C(=O)Cc1nn[n-]n1 ZINC001176840793 832694102 /nfs/dbraw/zinc/69/41/02/832694102.db2.gz WUYRTWIRGDFPPR-GFCCVEGCSA-N -1 1 346.395 -0.218 20 0 EBADMM Cc1noc(C)c1CN1CCO[C@H]2CN(C(=O)Cc3nn[n-]n3)C[C@H]21 ZINC001176841307 832694526 /nfs/dbraw/zinc/69/45/26/832694526.db2.gz VUWGVOJOFMTOND-OLZOCXBDSA-N -1 1 347.379 -0.541 20 0 EBADMM O=C(Cc1nn[n-]n1)N1C[C@H](Oc2cccnc2)[C@H]2OCCC[C@@H]21 ZINC001176840269 832694596 /nfs/dbraw/zinc/69/45/96/832694596.db2.gz NOMKUSNOVKPDKO-HUBLWGQQSA-N -1 1 330.348 -0.025 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H]3CCN(C(=O)CCCF)C[C@H]32)nc1=O ZINC001177072549 832747068 /nfs/dbraw/zinc/74/70/68/832747068.db2.gz HZQMALCAMCXANZ-VXGBXAGGSA-N -1 1 341.387 -0.340 20 0 EBADMM COC[C@@H](NC(=O)Cn1cnc(-c2ccccc2)n1)c1nn[n-]n1 ZINC001177827779 832803615 /nfs/dbraw/zinc/80/36/15/832803615.db2.gz IWIXHGBUKSCQHA-LLVKDONJSA-N -1 1 328.336 -0.038 20 0 EBADMM CC(C)(C)OC(=O)N1CC[C@H](O)[C@@H](C(=O)NCc2nn[n-]n2)C1 ZINC001178751461 832908561 /nfs/dbraw/zinc/90/85/61/832908561.db2.gz YMNJBIUXONKNCR-IUCAKERBSA-N -1 1 326.357 -0.566 20 0 EBADMM CN1C(=O)C(=O)N(CC(=O)NCCc2c(F)cc([O-])cc2F)C1=O ZINC001178784653 832913336 /nfs/dbraw/zinc/91/33/36/832913336.db2.gz AKMSZVPHBMJXEQ-UHFFFAOYSA-N -1 1 341.270 -0.250 20 0 EBADMM CC(C)(C)OC(=O)N1CC[C@@](C)(C(=O)NCc2nn[n-]n2)[C@@H](O)C1 ZINC001179065499 832948867 /nfs/dbraw/zinc/94/88/67/832948867.db2.gz VFGNQQWTGVBHIO-LKFCYVNXSA-N -1 1 340.384 -0.176 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC(CN2CCNC2=O)CC1 ZINC001179393768 832972809 /nfs/dbraw/zinc/97/28/09/832972809.db2.gz JDLJGLCOUKPAKT-UHFFFAOYSA-N -1 1 349.391 -0.810 20 0 EBADMM C[C@@H](OCC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)c1nccn1C ZINC001187459925 833931083 /nfs/dbraw/zinc/93/10/83/833931083.db2.gz IZEHCOLLBPBWRU-GHMZBOCLSA-N -1 1 349.395 -0.288 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@H](C)CC(F)F ZINC001213187803 837719464 /nfs/dbraw/zinc/71/94/64/837719464.db2.gz GSTDOPBKNZSXEB-OPRDCNLKSA-N -1 1 347.366 -0.285 20 0 EBADMM COc1cc(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c(OC)nn1 ZINC001189078699 834121726 /nfs/dbraw/zinc/12/17/26/834121726.db2.gz PDENCNFFNRYYTJ-UHFFFAOYSA-N -1 1 333.264 -0.225 20 0 EBADMM COC[C@H](C)CC(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001189605600 834172525 /nfs/dbraw/zinc/17/25/25/834172525.db2.gz QWQUBMWCXJWVRU-FRRDWIJNSA-N -1 1 337.424 -0.186 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)CCOCC2CC2)C1 ZINC001190145412 834234707 /nfs/dbraw/zinc/23/47/07/834234707.db2.gz DUHGVSUKKMDQBN-CYBMUJFWSA-N -1 1 337.424 -0.042 20 0 EBADMM COC[C@@H](C)CC(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001193157248 834807751 /nfs/dbraw/zinc/80/77/51/834807751.db2.gz WFFMYOBECVQEQO-NWDGAFQWSA-N -1 1 325.413 -0.186 20 0 EBADMM COC[C@H](C)CC(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001193157250 834808577 /nfs/dbraw/zinc/80/85/77/834808577.db2.gz WFFMYOBECVQEQO-VXGBXAGGSA-N -1 1 325.413 -0.186 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O)C(C)(C)C ZINC001194137287 835034031 /nfs/dbraw/zinc/03/40/31/835034031.db2.gz RFKWMUPPTYVFAD-GMTAPVOTSA-N -1 1 325.413 -0.548 20 0 EBADMM CC(C)=CCN1C[C@@H](O)[C@H](NC(=O)CCc2n[nH]c(=O)[n-]c2=O)C1 ZINC001194391425 835095661 /nfs/dbraw/zinc/09/56/61/835095661.db2.gz PQVZXFUQOUWATP-VXGBXAGGSA-N -1 1 337.380 -0.657 20 0 EBADMM CCO[C@@H](CC)C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001195112794 835187805 /nfs/dbraw/zinc/18/78/05/835187805.db2.gz BNZMVVPKANJODH-LBPRGKRZSA-N -1 1 325.413 -0.042 20 0 EBADMM COCCCCC(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001195182313 835195400 /nfs/dbraw/zinc/19/54/00/835195400.db2.gz MLLCAWFQPKYOOP-UHFFFAOYSA-N -1 1 325.413 -0.041 20 0 EBADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1ccncc1N1CCOCC1 ZINC001198198986 835652433 /nfs/dbraw/zinc/65/24/33/835652433.db2.gz CAOPIGMANQZTKO-UHFFFAOYSA-N -1 1 332.320 -0.046 20 0 EBADMM COCC1(C(=O)N2CCCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001200975449 836076812 /nfs/dbraw/zinc/07/68/12/836076812.db2.gz IAXDCXGEBBCEGU-LLVKDONJSA-N -1 1 339.396 -0.356 20 0 EBADMM COC[C@H](C)CC(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001201748004 836186425 /nfs/dbraw/zinc/18/64/25/836186425.db2.gz CBSOOUDEBKLTEZ-VXGBXAGGSA-N -1 1 341.412 -0.110 20 0 EBADMM CS(=O)(=O)CC(=O)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202574619 836320515 /nfs/dbraw/zinc/32/05/15/836320515.db2.gz QXYHKUNHCDHCBX-DTWKUNHWSA-N -1 1 343.409 -0.928 20 0 EBADMM COCCOCC(=O)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202655810 836331013 /nfs/dbraw/zinc/33/10/13/836331013.db2.gz PJHVFTQOXDVSSI-WDEREUQCSA-N -1 1 339.396 -0.310 20 0 EBADMM CS(=O)(=O)CCCC(=O)N1CCC(NCc2n[nH]c(=O)[n-]2)CC1 ZINC001202913323 836368071 /nfs/dbraw/zinc/36/80/71/836368071.db2.gz YKBMYFDTEXJHHU-UHFFFAOYSA-N -1 1 345.425 -0.584 20 0 EBADMM COCCCCC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001206495264 836651710 /nfs/dbraw/zinc/65/17/10/836651710.db2.gz GVQLBWFWEGUHLY-VXGBXAGGSA-N -1 1 325.413 -0.138 20 0 EBADMM CO[C@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C)C1CCC1 ZINC001208219088 836959732 /nfs/dbraw/zinc/95/97/32/836959732.db2.gz CJORPTSTUQEVPQ-QKCSRTOESA-N -1 1 337.424 -0.140 20 0 EBADMM Cc1cc(CCC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)no1 ZINC001208565039 837085448 /nfs/dbraw/zinc/08/54/48/837085448.db2.gz QBHOXYCMUXRMPN-ZWNOBZJWSA-N -1 1 348.407 -0.026 20 0 EBADMM O=C(CNC(=O)c1ccco1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210937062 837354244 /nfs/dbraw/zinc/35/42/44/837354244.db2.gz HHAUPWXEMWRXEX-JTQLQIEISA-N -1 1 348.363 -0.386 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)c1cccc2ncnn21 ZINC001319493012 838139584 /nfs/dbraw/zinc/13/95/84/838139584.db2.gz KFPGADIWPORONC-VIFPVBQESA-N -1 1 330.352 -0.197 20 0 EBADMM Cn1cc(-c2nn(C)cc2C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cn1 ZINC001320514880 838783507 /nfs/dbraw/zinc/78/35/07/838783507.db2.gz CEMQCTLEUNREBP-LLVKDONJSA-N -1 1 343.351 -0.453 20 0 EBADMM C[C@H](CCNCc1nncn1C)NC(=O)c1c[n-]n2c1nccc2=O ZINC001320569241 838791152 /nfs/dbraw/zinc/79/11/52/838791152.db2.gz HLRNRHFJGSDEEI-SNVBAGLBSA-N -1 1 344.379 -0.551 20 0 EBADMM Cc1cc(NC(=O)C(=O)NCc2nn[n-]n2)ncc1Br ZINC001321263915 838946217 /nfs/dbraw/zinc/94/62/17/838946217.db2.gz DIMQBLJPPQTAIU-UHFFFAOYSA-N -1 1 340.141 -0.079 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1ccc(-n2cnnc2)cc1 ZINC001321680758 839053036 /nfs/dbraw/zinc/05/30/36/839053036.db2.gz LEWZZWYQYGOEEQ-SNVBAGLBSA-N -1 1 342.363 -0.001 20 0 EBADMM Cn1ccnc1-c1cccc(NC(=O)C(=O)NCc2nn[n-]n2)c1 ZINC001322341007 839172973 /nfs/dbraw/zinc/17/29/73/839172973.db2.gz ZZLUJYKTEHXNEQ-UHFFFAOYSA-N -1 1 326.320 -0.145 20 0 EBADMM Cc1cnc(CNCCCN(C)C(=O)Cn2c(=O)[n-][nH]c2=O)nc1 ZINC001322807370 839270390 /nfs/dbraw/zinc/27/03/90/839270390.db2.gz QBPOMWLVUBRGBX-UHFFFAOYSA-N -1 1 335.368 -0.574 20 0 EBADMM CCc1nnc([C@H](C)NC(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)[nH]1 ZINC001322942302 839302122 /nfs/dbraw/zinc/30/21/22/839302122.db2.gz UQCAZRIEGYAZNV-QMMMGPOBSA-N -1 1 342.363 -0.162 20 0 EBADMM O=C(N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)c1cnn2cccnc12 ZINC001323049031 839335675 /nfs/dbraw/zinc/33/56/75/839335675.db2.gz CDXMQKZDHQKCBL-NSHDSACASA-N -1 1 342.363 -0.149 20 0 EBADMM CC(=O)N1CCCN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1 ZINC001323186320 839368832 /nfs/dbraw/zinc/36/88/32/839368832.db2.gz BTGKHRCLHFYHQM-UHFFFAOYSA-N -1 1 344.375 -0.639 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@@H]1CCN1Cc1ccccn1 ZINC001323317676 839411719 /nfs/dbraw/zinc/41/17/19/839411719.db2.gz IGLXUUJJQCJFFL-AWEZNQCLSA-N -1 1 343.387 -0.288 20 0 EBADMM C[C@H](NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)c1cnn(C)c1 ZINC001323659651 839494959 /nfs/dbraw/zinc/49/49/59/839494959.db2.gz PVENLERBOYNNLT-VIFPVBQESA-N -1 1 327.348 -0.109 20 0 EBADMM C[C@H](CO[C@H]1CCOC1)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001323664722 839496111 /nfs/dbraw/zinc/49/61/11/839496111.db2.gz MGMODOVCORPFSH-MNOVXSKESA-N -1 1 347.375 -0.410 20 0 EBADMM O=C(c1cc(Cn2cccn2)on1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001324285313 839622403 /nfs/dbraw/zinc/62/24/03/839622403.db2.gz CJWLYRMFNWKONB-LLVKDONJSA-N -1 1 330.308 -0.354 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1(O)CN(CC=C(C)C)C1 ZINC001325299595 839841770 /nfs/dbraw/zinc/84/17/70/839841770.db2.gz ZNVRGGULMDYPAJ-UHFFFAOYSA-N -1 1 338.364 -0.361 20 0 EBADMM Cn1nc(C(=O)N2CC[C@H](CCNCc3n[nH]c(=O)[n-]3)C2)ccc1=O ZINC001326423553 840103502 /nfs/dbraw/zinc/10/35/02/840103502.db2.gz GTOGZJICWPAWMI-JTQLQIEISA-N -1 1 347.379 -0.754 20 0 EBADMM CCS(=O)(=O)NCCCN1CCC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001326579113 840139914 /nfs/dbraw/zinc/13/99/14/840139914.db2.gz ZUSZZTJUYDDZLG-NSHDSACASA-N -1 1 345.425 -0.057 20 0 EBADMM O=C(Nc1ccccc1OCC(F)F)C(=O)NN1CC(=O)[N-]C1=O ZINC001326807776 840205727 /nfs/dbraw/zinc/20/57/27/840205727.db2.gz UJPDZGOYXZFGLR-UHFFFAOYSA-N -1 1 342.258 -0.148 20 0 EBADMM COC(=O)c1c(F)cc(NC(=O)C(=O)NCc2nn[n-]n2)cc1F ZINC001327188159 840322243 /nfs/dbraw/zinc/32/22/43/840322243.db2.gz KYQMVCYSTNJTSG-UHFFFAOYSA-N -1 1 340.246 -0.481 20 0 EBADMM CC/C=C(\C)C(=O)N1CCN(C2CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001328112685 840590227 /nfs/dbraw/zinc/59/02/27/840590227.db2.gz GSUQAAUATGHNNT-WLRTZDKTSA-N -1 1 348.451 -0.207 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)c1ccnc2ccnn21 ZINC001329057267 840828538 /nfs/dbraw/zinc/82/85/38/840828538.db2.gz PXVTUGYRMNANTJ-IUCAKERBSA-N -1 1 330.352 -0.150 20 0 EBADMM CCc1cc(CNC(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)[nH]n1 ZINC001329063706 840831816 /nfs/dbraw/zinc/83/18/16/840831816.db2.gz RSEUXBQKUGYKRH-UHFFFAOYSA-N -1 1 327.348 -0.118 20 0 EBADMM CNC(=O)[C@H]1CCC[C@@H]1NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001329080318 840835688 /nfs/dbraw/zinc/83/56/88/840835688.db2.gz JQMOWMBRFZRBGE-ONGXEEELSA-N -1 1 344.375 -0.689 20 0 EBADMM COCCN1CC[C@@H](NC(=O)C(=O)NC[C@H](CC(C)C)C(=O)[O-])C1 ZINC001329129043 840851159 /nfs/dbraw/zinc/85/11/59/840851159.db2.gz ZCHLGFWZKXMIBZ-QWHCGFSZSA-N -1 1 343.424 -0.314 20 0 EBADMM Cc1conc1CN(C)[C@H](C)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001329576543 840957382 /nfs/dbraw/zinc/95/73/82/840957382.db2.gz LULKNPQUIOHOGC-GFCCVEGCSA-N -1 1 349.391 -0.140 20 0 EBADMM CSCC(=O)N(C)[C@@H](C)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001334397628 842048614 /nfs/dbraw/zinc/04/86/14/842048614.db2.gz AUBBIQDNHAQCKZ-JTQLQIEISA-N -1 1 342.421 -0.747 20 0 EBADMM CCCc1cc(=O)n2[n-]c(NC(=O)CCn3cnccc3=O)nc2n1 ZINC001334842004 842138199 /nfs/dbraw/zinc/13/81/99/842138199.db2.gz UWEXNEXZHPYJFI-UHFFFAOYSA-N -1 1 343.347 -0.044 20 0 EBADMM COCC[C@@H]1CNCCN1C(=O)[C@H]1CCCN(CC(=O)[O-])C1=O ZINC001335198316 842220663 /nfs/dbraw/zinc/22/06/63/842220663.db2.gz IQADXWUYTJWADR-NEPJUHHUSA-N -1 1 327.381 -0.854 20 0 EBADMM COCC(=O)N[C@@H](C)CCCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001335812983 842359048 /nfs/dbraw/zinc/35/90/48/842359048.db2.gz CYXVKBCCRJJAQL-VIFPVBQESA-N -1 1 336.352 -0.921 20 0 EBADMM CN(CCOCCNC(=O)Cc1c[nH]cn1)C(=O)c1ncccc1[O-] ZINC001336680860 842534353 /nfs/dbraw/zinc/53/43/53/842534353.db2.gz RIQFJMJFLOXJCU-UHFFFAOYSA-N -1 1 347.375 -0.042 20 0 EBADMM CCS(=O)(=O)c1ccc(CC(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC001338498678 842814635 /nfs/dbraw/zinc/81/46/35/842814635.db2.gz GCQXTLOFIHRUNT-UHFFFAOYSA-N -1 1 325.346 -0.394 20 0 EBADMM C[C@@H](CNC(=O)[C@]1(C(=O)[O-])CNCCO1)N1CCc2ccccc21 ZINC001340044614 842965619 /nfs/dbraw/zinc/96/56/19/842965619.db2.gz KYZMBWVIXMBPBZ-SJCJKPOMSA-N -1 1 333.388 -0.003 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2CCO[C@H](C(F)(F)F)CC2)CNCCO1 ZINC001340941111 843045180 /nfs/dbraw/zinc/04/51/80/843045180.db2.gz POYOYDNNDSQYAO-KWQFWETISA-N -1 1 326.271 -0.391 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC(Cn2ccnn2)CC1 ZINC001341066929 843052539 /nfs/dbraw/zinc/05/25/39/843052539.db2.gz DVRQZSOJGCZAQQ-UHFFFAOYSA-N -1 1 332.364 -0.543 20 0 EBADMM CC(C)(C)c1cc2n(n1)CCN(C(=O)[C@]1(C(=O)[O-])CNCCO1)C2 ZINC001341087694 843055616 /nfs/dbraw/zinc/05/56/16/843055616.db2.gz KWLIZVOJPIMRSI-INIZCTEOSA-N -1 1 336.392 -0.034 20 0 EBADMM CN(CCCNC(=O)[C@@]1(C(=O)[O-])CNCCO1)CC(F)(F)F ZINC001341663331 843103998 /nfs/dbraw/zinc/10/39/98/843103998.db2.gz ROSPNNKXMFDLFG-LLVKDONJSA-N -1 1 327.303 -0.570 20 0 EBADMM C[C@@H](CN1CCCC1=O)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001342365713 843158424 /nfs/dbraw/zinc/15/84/24/843158424.db2.gz VLGPMVXIIVGFJB-JTQLQIEISA-N -1 1 344.375 -0.593 20 0 EBADMM C[C@H](CN1CCCC1=O)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001342365722 843158523 /nfs/dbraw/zinc/15/85/23/843158523.db2.gz VLGPMVXIIVGFJB-SNVBAGLBSA-N -1 1 344.375 -0.593 20 0 EBADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)CCN1C(=O)CCC1=O ZINC001344029181 843271062 /nfs/dbraw/zinc/27/10/62/843271062.db2.gz INFPNAKIUSEAON-UHFFFAOYSA-N -1 1 347.331 -0.649 20 0 EBADMM COCC(=O)N1CCN(C(=O)c2c(C)[nH]c(=O)[n-]c2=S)CC1 ZINC001345633706 843412992 /nfs/dbraw/zinc/41/29/92/843412992.db2.gz VIUAWJACHHTSJE-UHFFFAOYSA-N -1 1 326.378 -0.290 20 0 EBADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCN2CCOC[C@]2(CO)C1 ZINC001348442869 843697799 /nfs/dbraw/zinc/69/77/99/843697799.db2.gz VPQAHKGZTPFSSO-OAHLLOKOSA-N -1 1 349.416 -0.463 20 0 EBADMM O=C(NCCNC(=O)[C@H]1CCCc2nn[nH]c21)c1ncccc1[O-] ZINC001348839148 843756452 /nfs/dbraw/zinc/75/64/52/843756452.db2.gz RMNFXHRMSBFYRR-VIFPVBQESA-N -1 1 330.348 -0.129 20 0 EBADMM O=C(NCCNC(=O)[C@H]1CCCc2n[nH]nc21)c1ncccc1[O-] ZINC001348839148 843756459 /nfs/dbraw/zinc/75/64/59/843756459.db2.gz RMNFXHRMSBFYRR-VIFPVBQESA-N -1 1 330.348 -0.129 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCNC(=O)c1cnn(C)n1 ZINC001349328509 843886578 /nfs/dbraw/zinc/88/65/78/843886578.db2.gz QEHSTLNXVUIPOK-UHFFFAOYSA-N -1 1 347.379 -0.098 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNC(=O)[C@@H]1CC12CCCC2 ZINC001349340548 843888705 /nfs/dbraw/zinc/88/87/05/843888705.db2.gz XTZASFONJCPIJL-LBPRGKRZSA-N -1 1 348.403 -0.261 20 0 EBADMM O=C([O-])c1cnc(C(=O)N[C@H]2CCN(CCN3CCOCC3)C2)cn1 ZINC001610861397 970771300 /nfs/dbraw/zinc/77/13/00/970771300.db2.gz ZMCVYWAGAQIKJM-LBPRGKRZSA-N -1 1 349.391 -0.689 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)CCNc1nc(C)cc(-c2nnn[n-]2)n1 ZINC001573467950 945989615 /nfs/dbraw/zinc/98/96/15/945989615.db2.gz JNZXMKWIXCJDKP-VIFPVBQESA-N -1 1 347.383 -0.640 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)CCNc1nc(C)cc(-c2nn[n-]n2)n1 ZINC001573467950 945989621 /nfs/dbraw/zinc/98/96/21/945989621.db2.gz JNZXMKWIXCJDKP-VIFPVBQESA-N -1 1 347.383 -0.640 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)CCN(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001573468541 945994972 /nfs/dbraw/zinc/99/49/72/945994972.db2.gz OXQPQHGJPBOVHH-VIFPVBQESA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)CCN(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001573468541 945994981 /nfs/dbraw/zinc/99/49/81/945994981.db2.gz OXQPQHGJPBOVHH-VIFPVBQESA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)CCNc1ccc(-c2nnn[n-]2)nn1 ZINC001573468579 945997055 /nfs/dbraw/zinc/99/70/55/945997055.db2.gz PNQIZVCCXZCSQE-QMMMGPOBSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)CCNc1ccc(-c2nn[n-]n2)nn1 ZINC001573468579 945997067 /nfs/dbraw/zinc/99/70/67/945997067.db2.gz PNQIZVCCXZCSQE-QMMMGPOBSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)[C@H](C)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001573469392 946005335 /nfs/dbraw/zinc/00/53/35/946005335.db2.gz UZZKOVAZPNJXSI-BDAKNGLRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)[C@H](C)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001573469392 946005351 /nfs/dbraw/zinc/00/53/51/946005351.db2.gz UZZKOVAZPNJXSI-BDAKNGLRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@H](C)CCNc1cncc(-c2nnn[n-]2)n1 ZINC001573474852 946094964 /nfs/dbraw/zinc/09/49/64/946094964.db2.gz OMGUCHPWSCAXMX-BDAKNGLRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@H](C)CCNc1cncc(-c2nn[n-]n2)n1 ZINC001573474852 946094971 /nfs/dbraw/zinc/09/49/71/946094971.db2.gz OMGUCHPWSCAXMX-BDAKNGLRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@@H](C)CCNc1cnc(-c2nnn[n-]2)cn1 ZINC001573475440 946103042 /nfs/dbraw/zinc/10/30/42/946103042.db2.gz UDMBCILZZOWQIL-IUCAKERBSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@@H](C)CCNc1cnc(-c2nn[n-]n2)cn1 ZINC001573475440 946103049 /nfs/dbraw/zinc/10/30/49/946103049.db2.gz UDMBCILZZOWQIL-IUCAKERBSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@H](C)CCNc1ccc(-c2nnn[n-]2)nn1 ZINC001573476092 946112456 /nfs/dbraw/zinc/11/24/56/946112456.db2.gz YUOSMFHIIYSFJU-RKDXNWHRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@H](C)CCNc1ccc(-c2nn[n-]n2)nn1 ZINC001573476092 946112457 /nfs/dbraw/zinc/11/24/57/946112457.db2.gz YUOSMFHIIYSFJU-RKDXNWHRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@H](C)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001573477108 946129558 /nfs/dbraw/zinc/12/95/58/946129558.db2.gz MTOHFJWETBGUEN-RKDXNWHRSA-N -1 1 347.383 -0.655 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@H](C)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001573477108 946129566 /nfs/dbraw/zinc/12/95/66/946129566.db2.gz MTOHFJWETBGUEN-RKDXNWHRSA-N -1 1 347.383 -0.655 20 0 EBADMM CC(=O)NCC(=O)N(C)C[C@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001573501421 946379049 /nfs/dbraw/zinc/37/90/49/946379049.db2.gz OPIXTXPEGPYQEO-QMMMGPOBSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)NCC(=O)N(C)C[C@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001573501421 946379063 /nfs/dbraw/zinc/37/90/63/946379063.db2.gz OPIXTXPEGPYQEO-QMMMGPOBSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)NCC(=O)N1C[C@@H](C)[C@H](Nc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001573502450 946388636 /nfs/dbraw/zinc/38/86/36/946388636.db2.gz WCEXTNKGJPWJKB-LDYMZIIASA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)NCC(=O)N1C[C@@H](C)[C@H](Nc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001573502450 946388648 /nfs/dbraw/zinc/38/86/48/946388648.db2.gz WCEXTNKGJPWJKB-LDYMZIIASA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@@H](Nc2nc(C)cc(-c3nnn[n-]3)n2)C1 ZINC001573504086 946406450 /nfs/dbraw/zinc/40/64/50/946406450.db2.gz DUZYOPPALHPDKJ-SNVBAGLBSA-N -1 1 345.367 -0.886 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@@H](Nc2nc(C)cc(-c3nn[n-]n3)n2)C1 ZINC001573504086 946406456 /nfs/dbraw/zinc/40/64/56/946406456.db2.gz DUZYOPPALHPDKJ-SNVBAGLBSA-N -1 1 345.367 -0.886 20 0 EBADMM CC(=O)NCC(=O)NC[C@H](C)Nc1snc(C)c1-c1nn[n-]n1 ZINC001573516023 946557719 /nfs/dbraw/zinc/55/77/19/946557719.db2.gz UOFSAGVLJBYQJQ-LURJTMIESA-N -1 1 338.397 -0.316 20 0 EBADMM CC(=O)c1cncc(C(=O)NCCn2cnc(-c3nn[n-]n3)n2)c1 ZINC001573558864 946942889 /nfs/dbraw/zinc/94/28/89/946942889.db2.gz QZLBCGGEPVLFNM-UHFFFAOYSA-N -1 1 327.308 -0.514 20 0 EBADMM C[C@@H](C(=O)N(C)C)[N@@H+]1CC[C@H](NC(=O)c2c[nH]c(-c3nn[nH]n3)c2)C1 ZINC001573593617 947373421 /nfs/dbraw/zinc/37/34/21/947373421.db2.gz LAOBJAHEQJBLCR-ONGXEEELSA-N -1 1 346.395 -0.524 20 0 EBADMM C[C@H](C(=O)N(C)C)[N@H+](C)CCNC(=O)c1ccc(-c2nn[nH]n2)[nH]1 ZINC001573595022 947413210 /nfs/dbraw/zinc/41/32/10/947413210.db2.gz WRJNNQYMESYADZ-SECBINFHSA-N -1 1 334.384 -0.667 20 0 EBADMM C[C@@H](C(=O)N(C)C)[N@H+](C)CCNC(=O)c1ccc(-c2nn[nH]n2)[nH]1 ZINC001573595023 947413229 /nfs/dbraw/zinc/41/32/29/947413229.db2.gz WRJNNQYMESYADZ-VIFPVBQESA-N -1 1 334.384 -0.667 20 0 EBADMM C[C@@H](C(=O)N(C)C[C@@H](O)CNc1cncc(-c2nnn[n-]2)n1)C1CC1 ZINC001573595649 947425330 /nfs/dbraw/zinc/42/53/30/947425330.db2.gz HEZBGUJBEWAAIF-KOLCDFICSA-N -1 1 346.395 -0.066 20 0 EBADMM C[C@@H](C(=O)N(C)C[C@@H](O)CNc1cncc(-c2nn[n-]n2)n1)C1CC1 ZINC001573595649 947425337 /nfs/dbraw/zinc/42/53/37/947425337.db2.gz HEZBGUJBEWAAIF-KOLCDFICSA-N -1 1 346.395 -0.066 20 0 EBADMM C[C@H](C(=O)N(C)CCNc1cncc(-c2nnn[n-]2)n1)n1cncn1 ZINC001573604579 947513497 /nfs/dbraw/zinc/51/34/97/947513497.db2.gz PIMJTKYSZXZKKT-SECBINFHSA-N -1 1 343.355 -0.620 20 0 EBADMM C[C@H](C(=O)N(C)CCNc1cncc(-c2nn[n-]n2)n1)n1cncn1 ZINC001573604579 947513508 /nfs/dbraw/zinc/51/35/08/947513508.db2.gz PIMJTKYSZXZKKT-SECBINFHSA-N -1 1 343.355 -0.620 20 0 EBADMM C[C@H](C(=O)N1CC(C)(C)O[C@@H]2COC[C@H]21)n1cnc(-c2nn[n-]n2)n1 ZINC001573606888 947534531 /nfs/dbraw/zinc/53/45/31/947534531.db2.gz ABOKKLZFCDJROT-OPRDCNLKSA-N -1 1 348.367 -0.576 20 0 EBADMM C[C@H](C(=O)N(CCO)CCNc1cnc(-c2nnn[n-]2)cn1)C1CC1 ZINC001573607313 947544881 /nfs/dbraw/zinc/54/48/81/947544881.db2.gz JKQKSTZAWWSJRD-JTQLQIEISA-N -1 1 346.395 -0.064 20 0 EBADMM C[C@H](C(=O)N(CCO)CCNc1cnc(-c2nn[n-]n2)cn1)C1CC1 ZINC001573607313 947544888 /nfs/dbraw/zinc/54/48/88/947544888.db2.gz JKQKSTZAWWSJRD-JTQLQIEISA-N -1 1 346.395 -0.064 20 0 EBADMM C[C@H](C(=O)N1CC[C@H](n2cncn2)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573622558 947680803 /nfs/dbraw/zinc/68/08/03/947680803.db2.gz BAPDXGLYGHHSPK-BDAKNGLRSA-N -1 1 329.328 -0.916 20 0 EBADMM C[C@H](C(=O)N1CC[C@H](OC(=O)N(C)C)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573622592 947681173 /nfs/dbraw/zinc/68/11/73/947681173.db2.gz BDLOTFPJKGTACD-BDAKNGLRSA-N -1 1 349.355 -0.682 20 0 EBADMM C[C@H](C(=O)N1CC[C@@H](c2cc(=O)[nH][nH]2)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573623396 947686494 /nfs/dbraw/zinc/68/64/94/947686494.db2.gz FHNZLNZRVNEXNY-HTQZYQBOSA-N -1 1 344.339 -0.536 20 0 EBADMM C[C@@H](C(=O)N1CCc2n[nH]c(=O)cc2C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573647537 947897525 /nfs/dbraw/zinc/89/75/25/947897525.db2.gz NZQXAYZRVCPDMH-ZETCQYMHSA-N -1 1 342.323 -0.900 20 0 EBADMM CC(=O)N(C)CC(=O)N1CC[C@@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001573392093 947942364 /nfs/dbraw/zinc/94/23/64/947942364.db2.gz RRXPIRHWKQXKJD-SNVBAGLBSA-N -1 1 345.367 -0.852 20 0 EBADMM CC(=O)N(C)CC(=O)N1CC[C@@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001573392093 947942368 /nfs/dbraw/zinc/94/23/68/947942368.db2.gz RRXPIRHWKQXKJD-SNVBAGLBSA-N -1 1 345.367 -0.852 20 0 EBADMM CC(=O)N(C)CC(=O)NCCCN(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001573405769 948047114 /nfs/dbraw/zinc/04/71/14/948047114.db2.gz WMZOBEHAVWYXJZ-UHFFFAOYSA-N -1 1 347.383 -0.923 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)CNc1nc(C)cc(-c2nnn[n-]2)n1 ZINC001573406368 948051277 /nfs/dbraw/zinc/05/12/77/948051277.db2.gz BPQCCLROWCDMRR-SECBINFHSA-N -1 1 347.383 -0.640 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)CNc1nc(C)cc(-c2nn[n-]n2)n1 ZINC001573406368 948051285 /nfs/dbraw/zinc/05/12/85/948051285.db2.gz BPQCCLROWCDMRR-SECBINFHSA-N -1 1 347.383 -0.640 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)CNc1cncc(-c2nnn[n-]2)n1 ZINC001573406818 948059028 /nfs/dbraw/zinc/05/90/28/948059028.db2.gz VSAGALVSDHLCCI-QMMMGPOBSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)CNc1cncc(-c2nn[n-]n2)n1 ZINC001573406818 948059035 /nfs/dbraw/zinc/05/90/35/948059035.db2.gz VSAGALVSDHLCCI-QMMMGPOBSA-N -1 1 333.356 -0.948 20 0 EBADMM C[C@@H](C(=O)NC[C@H](CO)Nc1nccnc1-c1nnn[n-]1)C1CC1 ZINC001573678555 948151098 /nfs/dbraw/zinc/15/10/98/948151098.db2.gz FCSLJXDUEVDYLI-PSASIEDQSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@@H](C(=O)NC[C@H](CO)Nc1nccnc1-c1nn[n-]n1)C1CC1 ZINC001573678555 948151103 /nfs/dbraw/zinc/15/11/03/948151103.db2.gz FCSLJXDUEVDYLI-PSASIEDQSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@H](C(=O)NC[C@@H](CO)Nc1ccc(-c2nnn[n-]2)nn1)C1CC1 ZINC001573681557 948207391 /nfs/dbraw/zinc/20/73/91/948207391.db2.gz WJCHIOMGYJNDQV-WPRPVWTQSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@H](C(=O)NC[C@@H](CO)Nc1ccc(-c2nn[n-]n2)nn1)C1CC1 ZINC001573681557 948207402 /nfs/dbraw/zinc/20/74/02/948207402.db2.gz WJCHIOMGYJNDQV-WPRPVWTQSA-N -1 1 332.368 -0.408 20 0 EBADMM CC(=O)N1CC[C@@]2(C1)CN(c1ccc(-c3nnn[n-]3)nn1)CCO2 ZINC001573439253 948245034 /nfs/dbraw/zinc/24/50/34/948245034.db2.gz KGHRRTHSERQKCM-CQSZACIVSA-N -1 1 330.352 -0.516 20 0 EBADMM CC(=O)N1CC[C@@]2(C1)CN(c1ccc(-c3nn[n-]n3)nn1)CCO2 ZINC001573439253 948245042 /nfs/dbraw/zinc/24/50/42/948245042.db2.gz KGHRRTHSERQKCM-CQSZACIVSA-N -1 1 330.352 -0.516 20 0 EBADMM CC(=O)N1CCC2(CC1)CN(c1ccc(-c3nnn[n-]3)nn1)CCO2 ZINC001573440131 948259932 /nfs/dbraw/zinc/25/99/32/948259932.db2.gz ZZGBSCJVZPOKRE-UHFFFAOYSA-N -1 1 344.379 -0.126 20 0 EBADMM CC(=O)N1CCC2(CC1)CN(c1ccc(-c3nn[n-]n3)nn1)CCO2 ZINC001573440131 948259939 /nfs/dbraw/zinc/25/99/39/948259939.db2.gz ZZGBSCJVZPOKRE-UHFFFAOYSA-N -1 1 344.379 -0.126 20 0 EBADMM CC(=O)N1CCC[C@@H](CNc2[nH]c(=O)n(C)c(=O)c2-c2nn[n-]n2)C1 ZINC001573443820 948308673 /nfs/dbraw/zinc/30/86/73/948308673.db2.gz PRXVRXQXHROPIM-VIFPVBQESA-N -1 1 348.367 -0.664 20 0 EBADMM C[C@@H](C(=O)NCc1cn(C2CCC2)nn1)n1cnc(-c2nn[n-]n2)n1 ZINC001573728308 948561057 /nfs/dbraw/zinc/56/10/57/948561057.db2.gz CZEKMYURQUMAGN-QMMMGPOBSA-N -1 1 343.355 -0.348 20 0 EBADMM C[C@H](C(=O)NCc1cc(C2CC2)n(C)n1)n1cnc(-c2nn[n-]n2)n1 ZINC001573730528 948581092 /nfs/dbraw/zinc/58/10/92/948581092.db2.gz QLYPTHCGNBOWRK-MRVPVSSYSA-N -1 1 342.367 -0.054 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CN(c2ccc(-c3nnn[n-]3)nn2)C[C@@H]1O)C1CC1 ZINC001573740080 948627925 /nfs/dbraw/zinc/62/79/25/948627925.db2.gz DGSOAIRMFAIOEC-FXAINCCUSA-N -1 1 344.379 -0.632 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CN(c2ccc(-c3nn[n-]n3)nn2)C[C@@H]1O)C1CC1 ZINC001573740080 948627930 /nfs/dbraw/zinc/62/79/30/948627930.db2.gz DGSOAIRMFAIOEC-FXAINCCUSA-N -1 1 344.379 -0.632 20 0 EBADMM O=C(c1cn[nH]c1)N1CC[C@H](Nc2cncc(-c3nnn[n-]3)n2)C1 ZINC001570925368 948667246 /nfs/dbraw/zinc/66/72/46/948667246.db2.gz IEGDCDFAWCOVCW-VIFPVBQESA-N -1 1 326.324 -0.294 20 0 EBADMM O=C(c1cn[nH]c1)N1CC[C@H](Nc2cncc(-c3nn[n-]n3)n2)C1 ZINC001570925368 948667248 /nfs/dbraw/zinc/66/72/48/948667248.db2.gz IEGDCDFAWCOVCW-VIFPVBQESA-N -1 1 326.324 -0.294 20 0 EBADMM CS(=O)(=O)N[C@@H]1CCN(C(=O)c2coc(-c3nnn[n-]3)c2)C1 ZINC001570925307 948667306 /nfs/dbraw/zinc/66/73/06/948667306.db2.gz FZXQAQQQPOLWAY-MRVPVSSYSA-N -1 1 326.338 -0.777 20 0 EBADMM CS(=O)(=O)N[C@@H]1CCN(C(=O)c2coc(-c3nn[n-]n3)c2)C1 ZINC001570925307 948667307 /nfs/dbraw/zinc/66/73/07/948667307.db2.gz FZXQAQQQPOLWAY-MRVPVSSYSA-N -1 1 326.338 -0.777 20 0 EBADMM Cc1c[nH]c(=O)c(CNC(=O)[C@@H](C)n2cnc(-c3nn[n-]n3)n2)c1 ZINC001570926427 948690141 /nfs/dbraw/zinc/69/01/41/948690141.db2.gz YFOQEGGACKPHSC-MRVPVSSYSA-N -1 1 329.324 -0.255 20 0 EBADMM CNC(=O)c1ccc(Cn2c(=O)[nH]cc(-c3nn[n-]n3)c2=O)cc1 ZINC001570926577 948692699 /nfs/dbraw/zinc/69/26/99/948692699.db2.gz AHQJKNJEQGGZPQ-UHFFFAOYSA-N -1 1 327.304 -0.463 20 0 EBADMM Cn1cnnc1S(=O)(=O)NCc1ccc(-c2nn[n-]n2)s1 ZINC001570926630 948693917 /nfs/dbraw/zinc/69/39/17/948693917.db2.gz CMEFJGHOYUZPNC-UHFFFAOYSA-N -1 1 326.367 -0.465 20 0 EBADMM O=C(c1cocn1)N1CC[C@H](Nc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001570926789 948696972 /nfs/dbraw/zinc/69/69/72/948696972.db2.gz FETXCSGSSNSWTO-QMMMGPOBSA-N -1 1 327.308 -0.029 20 0 EBADMM O=C(c1cocn1)N1CC[C@H](Nc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001570926789 948696976 /nfs/dbraw/zinc/69/69/76/948696976.db2.gz FETXCSGSSNSWTO-QMMMGPOBSA-N -1 1 327.308 -0.029 20 0 EBADMM Cc1ccnc([C@@H](C)NC(=O)[C@@H](C)n2cnc(-c3nn[n-]n3)n2)n1 ZINC001570926992 948702365 /nfs/dbraw/zinc/70/23/65/948702365.db2.gz MENZOPLSLRXUNE-RKDXNWHRSA-N -1 1 328.340 -0.005 20 0 EBADMM O=C(N[C@H]1CCN(c2nccnc2-c2nnn[n-]2)C1)c1cnco1 ZINC001570927842 948719551 /nfs/dbraw/zinc/71/95/51/948719551.db2.gz SEQAYGFWNPBWHZ-QMMMGPOBSA-N -1 1 327.308 -0.347 20 0 EBADMM O=C(N[C@H]1CCN(c2nccnc2-c2nn[n-]n2)C1)c1cnco1 ZINC001570927842 948719556 /nfs/dbraw/zinc/71/95/56/948719556.db2.gz SEQAYGFWNPBWHZ-QMMMGPOBSA-N -1 1 327.308 -0.347 20 0 EBADMM O=C(N[C@H]1C[C@H](Nc2cncc(-c3nnn[n-]3)n2)C1)[C@H]1CCOC1 ZINC001570928357 948731850 /nfs/dbraw/zinc/73/18/50/948731850.db2.gz PGOIFIHHGQOZNH-GUBZILKMSA-N -1 1 330.352 -0.248 20 0 EBADMM O=C(N[C@H]1C[C@H](Nc2cncc(-c3nn[n-]n3)n2)C1)[C@H]1CCOC1 ZINC001570928357 948731855 /nfs/dbraw/zinc/73/18/55/948731855.db2.gz PGOIFIHHGQOZNH-GUBZILKMSA-N -1 1 330.352 -0.248 20 0 EBADMM CN(C)C(=O)Cn1ccc(NC(=O)c2coc(-c3nnn[n-]3)c2)n1 ZINC001570928723 948739895 /nfs/dbraw/zinc/73/98/95/948739895.db2.gz UZZGBNPEGFHZRJ-UHFFFAOYSA-N -1 1 330.308 -0.003 20 0 EBADMM CN(C)C(=O)Cn1ccc(NC(=O)c2coc(-c3nn[n-]n3)c2)n1 ZINC001570928723 948739899 /nfs/dbraw/zinc/73/98/99/948739899.db2.gz UZZGBNPEGFHZRJ-UHFFFAOYSA-N -1 1 330.308 -0.003 20 0 EBADMM C[C@@H](CCNc1cnc(-c2nnn[n-]2)cn1)NC(=O)[C@@H]1CCC(=O)N1 ZINC001574678642 948755355 /nfs/dbraw/zinc/75/53/55/948755355.db2.gz HVIJSNOSSNCXAG-IUCAKERBSA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@@H](CCNc1cnc(-c2nn[n-]n2)cn1)NC(=O)[C@@H]1CCC(=O)N1 ZINC001574678642 948755365 /nfs/dbraw/zinc/75/53/65/948755365.db2.gz HVIJSNOSSNCXAG-IUCAKERBSA-N -1 1 345.367 -0.758 20 0 EBADMM CC(C)[C@@H](F)C(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001574079690 948773346 /nfs/dbraw/zinc/77/33/46/948773346.db2.gz FGSZSNXQJFVAPJ-MRVPVSSYSA-N -1 1 325.304 -0.761 20 0 EBADMM C[C@@H](CCNc1cnc(-c2nnn[n-]2)cn1)NC(=O)c1ncn(C)n1 ZINC001574679349 948776945 /nfs/dbraw/zinc/77/69/45/948776945.db2.gz TUJNGNZBRJOREA-QMMMGPOBSA-N -1 1 343.355 -0.594 20 0 EBADMM C[C@@H](CCNc1cnc(-c2nn[n-]n2)cn1)NC(=O)c1ncn(C)n1 ZINC001574679349 948776954 /nfs/dbraw/zinc/77/69/54/948776954.db2.gz TUJNGNZBRJOREA-QMMMGPOBSA-N -1 1 343.355 -0.594 20 0 EBADMM C[C@H](CNC(=O)CCc1cn[nH]c1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574916545 948778062 /nfs/dbraw/zinc/77/80/62/948778062.db2.gz DCVWPQZZHNNKOK-SECBINFHSA-N -1 1 342.367 -0.071 20 0 EBADMM C[C@H](CNC(=O)CCc1cn[nH]c1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574916545 948778072 /nfs/dbraw/zinc/77/80/72/948778072.db2.gz DCVWPQZZHNNKOK-SECBINFHSA-N -1 1 342.367 -0.071 20 0 EBADMM C[C@H](C(=O)Nc1nnn(C)c1C(F)F)n1cnc(-c2nn[n-]n2)n1 ZINC001573755935 948789397 /nfs/dbraw/zinc/78/93/97/948789397.db2.gz VHQDQTFUOSFVSF-SCSAIBSYSA-N -1 1 339.270 -0.276 20 0 EBADMM CNC(=O)Cn1cnc(NC(=O)c2ccc(-c3nn[n-]n3)s2)n1 ZINC001570930716 948825093 /nfs/dbraw/zinc/82/50/93/948825093.db2.gz ORALNDUYXHBLHA-UHFFFAOYSA-N -1 1 333.337 -0.482 20 0 EBADMM O=C(Nc1ccnc(-c2nnn[n-]2)c1)N1C[C@@H](CO)[C@H](CCO)C1 ZINC001570931236 948842210 /nfs/dbraw/zinc/84/22/10/948842210.db2.gz URRDQIRPOBSQPO-ZJUUUORDSA-N -1 1 333.352 -0.284 20 0 EBADMM O=C(Nc1ccnc(-c2nn[n-]n2)c1)N1C[C@@H](CO)[C@H](CCO)C1 ZINC001570931236 948842227 /nfs/dbraw/zinc/84/22/27/948842227.db2.gz URRDQIRPOBSQPO-ZJUUUORDSA-N -1 1 333.352 -0.284 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)[C@@H]1CCC(=O)N1 ZINC001574683096 948843473 /nfs/dbraw/zinc/84/34/73/948843473.db2.gz ULGYFTLFBWOAIN-IUCAKERBSA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)[C@@H]1CCC(=O)N1 ZINC001574683096 948843484 /nfs/dbraw/zinc/84/34/84/948843484.db2.gz ULGYFTLFBWOAIN-IUCAKERBSA-N -1 1 345.367 -0.758 20 0 EBADMM COC(=O)CCNC(=O)CCNC(=O)c1coc(-c2nnn[n-]2)c1 ZINC001570933291 948888100 /nfs/dbraw/zinc/88/81/00/948888100.db2.gz LRMAVGTWCFHQKI-UHFFFAOYSA-N -1 1 336.308 -0.741 20 0 EBADMM COC(=O)CCNC(=O)CCNC(=O)c1coc(-c2nn[n-]n2)c1 ZINC001570933291 948888111 /nfs/dbraw/zinc/88/81/11/948888111.db2.gz LRMAVGTWCFHQKI-UHFFFAOYSA-N -1 1 336.308 -0.741 20 0 EBADMM CC[C@](C)(OC)C(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570933745 948905877 /nfs/dbraw/zinc/90/58/77/948905877.db2.gz DJZSCQYUNSFIGX-ZDUSSCGKSA-N -1 1 337.340 -0.940 20 0 EBADMM O=C(Cc1ccc(-c2nnn[n-]2)nc1)NC1CCS(=O)(=O)CC1 ZINC001570933957 948918813 /nfs/dbraw/zinc/91/88/13/948918813.db2.gz GLGUUGYKVMNCHD-UHFFFAOYSA-N -1 1 336.377 -0.502 20 0 EBADMM O=C(Cc1ccc(-c2nn[n-]n2)nc1)NC1CCS(=O)(=O)CC1 ZINC001570933957 948918833 /nfs/dbraw/zinc/91/88/33/948918833.db2.gz GLGUUGYKVMNCHD-UHFFFAOYSA-N -1 1 336.377 -0.502 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(c3nccnc3-c3nnn[n-]3)C[C@H]21)c1ccon1 ZINC001570934246 948932530 /nfs/dbraw/zinc/93/25/30/948932530.db2.gz BXHVOQLHQWCYOT-MBTKJCJQSA-N -1 1 339.319 -0.491 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(c3nccnc3-c3nn[n-]n3)C[C@H]21)c1ccon1 ZINC001570934246 948932540 /nfs/dbraw/zinc/93/25/40/948932540.db2.gz BXHVOQLHQWCYOT-MBTKJCJQSA-N -1 1 339.319 -0.491 20 0 EBADMM COC(=O)[C@]1(C)CCCN(C(=O)Cn2cnc(-c3nn[n-]n3)n2)C1 ZINC001570934565 948946227 /nfs/dbraw/zinc/94/62/27/948946227.db2.gz LLURYMRSAUNQHB-CYBMUJFWSA-N -1 1 334.340 -0.740 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)N(C)C1CS(=O)(=O)C1 ZINC001570934937 948957843 /nfs/dbraw/zinc/95/78/43/948957843.db2.gz KFDOXXOISMJUOU-UHFFFAOYSA-N -1 1 338.349 -0.855 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CCCC12OCCO2)n1cnc(-c2nn[n-]n2)n1 ZINC001570935069 948966217 /nfs/dbraw/zinc/96/62/17/948966217.db2.gz POFDCXMZEQEDRM-RKDXNWHRSA-N -1 1 334.340 -0.569 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(c3cnc(-c4nnn[n-]4)cn3)C[C@H]21)c1cc[nH]c1 ZINC001570935692 948992594 /nfs/dbraw/zinc/99/25/94/948992594.db2.gz UGHZQMPVPJJLFA-IWIIMEHWSA-N -1 1 337.347 -0.151 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(c3cnc(-c4nn[n-]n4)cn3)C[C@H]21)c1cc[nH]c1 ZINC001570935692 948992607 /nfs/dbraw/zinc/99/26/07/948992607.db2.gz UGHZQMPVPJJLFA-IWIIMEHWSA-N -1 1 337.347 -0.151 20 0 EBADMM CC(C)(C(=O)NC[C@H](O)CNc1cnc(-c2nnn[n-]2)cn1)C1CC1 ZINC001573773966 948992715 /nfs/dbraw/zinc/99/27/15/948992715.db2.gz HCEGMZZZAGJNKQ-SNVBAGLBSA-N -1 1 346.395 -0.018 20 0 EBADMM CC(C)(C(=O)NC[C@H](O)CNc1cnc(-c2nn[n-]n2)cn1)C1CC1 ZINC001573773966 948992727 /nfs/dbraw/zinc/99/27/27/948992727.db2.gz HCEGMZZZAGJNKQ-SNVBAGLBSA-N -1 1 346.395 -0.018 20 0 EBADMM CC(C)(C(=O)NC[C@H](CO)Nc1cncc(-c2nnn[n-]2)n1)C1CC1 ZINC001573775519 949043581 /nfs/dbraw/zinc/04/35/81/949043581.db2.gz YNHXXQFCXQELQH-SNVBAGLBSA-N -1 1 346.395 -0.018 20 0 EBADMM CC(C)(C(=O)NC[C@H](CO)Nc1cncc(-c2nn[n-]n2)n1)C1CC1 ZINC001573775519 949043605 /nfs/dbraw/zinc/04/36/05/949043605.db2.gz YNHXXQFCXQELQH-SNVBAGLBSA-N -1 1 346.395 -0.018 20 0 EBADMM Cn1ccc(C(=O)N2CC[C@H](Nc3nccnc3-c3nnn[n-]3)C2)n1 ZINC001570937537 949077615 /nfs/dbraw/zinc/07/76/15/949077615.db2.gz FZGGBJGWQULUQM-VIFPVBQESA-N -1 1 340.351 -0.283 20 0 EBADMM Cn1ccc(C(=O)N2CC[C@H](Nc3nccnc3-c3nn[n-]n3)C2)n1 ZINC001570937537 949077631 /nfs/dbraw/zinc/07/76/31/949077631.db2.gz FZGGBJGWQULUQM-VIFPVBQESA-N -1 1 340.351 -0.283 20 0 EBADMM Cc1n[nH]cc1C(=O)N[C@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C1 ZINC001570937516 949077728 /nfs/dbraw/zinc/07/77/28/949077728.db2.gz FIRUDBBZPKOLNR-VIFPVBQESA-N -1 1 340.351 -0.303 20 0 EBADMM Cc1n[nH]cc1C(=O)N[C@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C1 ZINC001570937516 949077748 /nfs/dbraw/zinc/07/77/48/949077748.db2.gz FIRUDBBZPKOLNR-VIFPVBQESA-N -1 1 340.351 -0.303 20 0 EBADMM Cc1nonc1C(=O)N[C@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C1 ZINC001570938236 949098497 /nfs/dbraw/zinc/09/84/97/949098497.db2.gz CGYKXDQOUKWLMB-QMMMGPOBSA-N -1 1 342.323 -0.643 20 0 EBADMM Cc1nonc1C(=O)N[C@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C1 ZINC001570938236 949098518 /nfs/dbraw/zinc/09/85/18/949098518.db2.gz CGYKXDQOUKWLMB-QMMMGPOBSA-N -1 1 342.323 -0.643 20 0 EBADMM Cc1nc[nH]c1C(=O)N[C@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C1 ZINC001570938455 949104166 /nfs/dbraw/zinc/10/41/66/949104166.db2.gz TUAGGFFNCKEHGC-VIFPVBQESA-N -1 1 340.351 -0.303 20 0 EBADMM Cc1nc[nH]c1C(=O)N[C@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C1 ZINC001570938455 949104178 /nfs/dbraw/zinc/10/41/78/949104178.db2.gz TUAGGFFNCKEHGC-VIFPVBQESA-N -1 1 340.351 -0.303 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@H](Nc3cnc(-c4nnn[n-]4)cn3)C2)c1 ZINC001570938599 949109761 /nfs/dbraw/zinc/10/97/61/949109761.db2.gz VRGVIUJFBWJXRB-VIFPVBQESA-N -1 1 340.351 -0.283 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@H](Nc3cnc(-c4nn[n-]n4)cn3)C2)c1 ZINC001570938599 949109773 /nfs/dbraw/zinc/10/97/73/949109773.db2.gz VRGVIUJFBWJXRB-VIFPVBQESA-N -1 1 340.351 -0.283 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@@H](Nc3cnc(-c4nnn[n-]4)cn3)C2)c1 ZINC001570938598 949110790 /nfs/dbraw/zinc/11/07/90/949110790.db2.gz VRGVIUJFBWJXRB-SECBINFHSA-N -1 1 340.351 -0.283 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@@H](Nc3cnc(-c4nn[n-]n4)cn3)C2)c1 ZINC001570938598 949110804 /nfs/dbraw/zinc/11/08/04/949110804.db2.gz VRGVIUJFBWJXRB-SECBINFHSA-N -1 1 340.351 -0.283 20 0 EBADMM C[C@H](CNC(=O)COCC(F)F)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574931759 949126447 /nfs/dbraw/zinc/12/64/47/949126447.db2.gz RWBHHBXNVIOIJZ-SSDOTTSWSA-N -1 1 342.310 -0.145 20 0 EBADMM C[C@H](CNC(=O)COCC(F)F)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574931759 949126459 /nfs/dbraw/zinc/12/64/59/949126459.db2.gz RWBHHBXNVIOIJZ-SSDOTTSWSA-N -1 1 342.310 -0.145 20 0 EBADMM CN(C(=O)c1nc[nH]n1)C1CC(Nc2nccnc2-c2nnn[n-]2)C1 ZINC001570939067 949128029 /nfs/dbraw/zinc/12/80/29/949128029.db2.gz ALVQGXBZWVYXOW-UHFFFAOYSA-N -1 1 341.339 -0.510 20 0 EBADMM CN(C(=O)c1nc[nH]n1)C1CC(Nc2nccnc2-c2nn[n-]n2)C1 ZINC001570939067 949128038 /nfs/dbraw/zinc/12/80/38/949128038.db2.gz ALVQGXBZWVYXOW-UHFFFAOYSA-N -1 1 341.339 -0.510 20 0 EBADMM O=C(c1nc[nH]n1)N1CCCN(c2cnc(-c3nn[n-]n3)cn2)CC1 ZINC001570940111 949169416 /nfs/dbraw/zinc/16/94/16/949169416.db2.gz KANKFRLDUZFSEP-UHFFFAOYSA-N -1 1 341.339 -0.873 20 0 EBADMM O=C1OC[C@@H]2CN(S(=O)(=O)c3ccc(-c4nnn[n-]4)s3)C[C@H]12 ZINC001570940396 949180096 /nfs/dbraw/zinc/18/00/96/949180096.db2.gz KXDNKUSKNLONTA-BQBZGAKWSA-N -1 1 341.374 -0.278 20 0 EBADMM O=C1OC[C@@H]2CN(S(=O)(=O)c3ccc(-c4nn[n-]n4)s3)C[C@H]12 ZINC001570940396 949180108 /nfs/dbraw/zinc/18/01/08/949180108.db2.gz KXDNKUSKNLONTA-BQBZGAKWSA-N -1 1 341.374 -0.278 20 0 EBADMM CC(C)CC(=O)N(C)C[C@@H](O)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001574099725 949182821 /nfs/dbraw/zinc/18/28/21/949182821.db2.gz OGQPVZQSYKNHSF-JTQLQIEISA-N -1 1 334.384 -0.066 20 0 EBADMM CC(C)CC(=O)N(C)C[C@@H](O)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001574099725 949182835 /nfs/dbraw/zinc/18/28/35/949182835.db2.gz OGQPVZQSYKNHSF-JTQLQIEISA-N -1 1 334.384 -0.066 20 0 EBADMM C[C@H]1CN(C(=O)c2cn[nH]n2)C[C@H]1Nc1nccnc1-c1nnn[n-]1 ZINC001570940943 949201766 /nfs/dbraw/zinc/20/17/66/949201766.db2.gz ONHNJAXZGSTQCU-IONNQARKSA-N -1 1 341.339 -0.653 20 0 EBADMM C[C@H]1CN(C(=O)c2cn[nH]n2)C[C@H]1Nc1nccnc1-c1nn[n-]n1 ZINC001570940943 949201777 /nfs/dbraw/zinc/20/17/77/949201777.db2.gz ONHNJAXZGSTQCU-IONNQARKSA-N -1 1 341.339 -0.653 20 0 EBADMM CC[C@@](C)(NS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1)C(N)=O ZINC001570941096 949205070 /nfs/dbraw/zinc/20/50/70/949205070.db2.gz UEHXWGBORNVJLX-GFCCVEGCSA-N -1 1 342.356 -0.062 20 0 EBADMM O=C(Cc1cnoc1)N[C@H]1C[C@H](Nc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001570941249 949216866 /nfs/dbraw/zinc/21/68/66/949216866.db2.gz RKUOEOZAYGORIS-MGCOHNPYSA-N -1 1 341.335 -0.054 20 0 EBADMM O=C(Cc1cnoc1)N[C@H]1C[C@H](Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001570941249 949216886 /nfs/dbraw/zinc/21/68/86/949216886.db2.gz RKUOEOZAYGORIS-MGCOHNPYSA-N -1 1 341.335 -0.054 20 0 EBADMM Cc1cnoc1C(=O)N1CCN(c2ccc(-c3nnn[n-]3)nn2)CC1 ZINC001570941582 949232893 /nfs/dbraw/zinc/23/28/93/949232893.db2.gz XNAPPRNPHRBQOB-UHFFFAOYSA-N -1 1 341.335 -0.084 20 0 EBADMM Cc1cnoc1C(=O)N1CCN(c2ccc(-c3nn[n-]n3)nn2)CC1 ZINC001570941582 949232907 /nfs/dbraw/zinc/23/29/07/949232907.db2.gz XNAPPRNPHRBQOB-UHFFFAOYSA-N -1 1 341.335 -0.084 20 0 EBADMM O=S(=O)(CCn1cccn1)NCc1nc(-c2nnn[n-]2)cs1 ZINC001570942013 949257954 /nfs/dbraw/zinc/25/79/54/949257954.db2.gz JZNZJKPJDPFKJY-UHFFFAOYSA-N -1 1 340.394 -0.361 20 0 EBADMM O=S(=O)(CCn1cccn1)NCc1nc(-c2nn[n-]n2)cs1 ZINC001570942013 949257972 /nfs/dbraw/zinc/25/79/72/949257972.db2.gz JZNZJKPJDPFKJY-UHFFFAOYSA-N -1 1 340.394 -0.361 20 0 EBADMM CCO[C@@H]1COC[C@H]1NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC001570942209 949273563 /nfs/dbraw/zinc/27/35/63/949273563.db2.gz MVPAVAUFTSCTAP-GHMZBOCLSA-N -1 1 340.365 -0.656 20 0 EBADMM CCO[C@@H]1COC[C@H]1NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC001570942209 949273583 /nfs/dbraw/zinc/27/35/83/949273583.db2.gz MVPAVAUFTSCTAP-GHMZBOCLSA-N -1 1 340.365 -0.656 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@]1(C)CCOC1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574702117 949280759 /nfs/dbraw/zinc/28/07/59/949280759.db2.gz HBNWWXSGLVAZAF-ZUZCIYMTSA-N -1 1 346.395 -0.236 20 0 EBADMM C[C@@H](NC(=O)C1=COCCO1)[C@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575258254 949292980 /nfs/dbraw/zinc/29/29/80/949292980.db2.gz GEZXCFVPWYCDGF-DTWKUNHWSA-N -1 1 346.351 -0.150 20 0 EBADMM C[C@@H](NC(=O)C1=COCCO1)[C@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575258254 949293003 /nfs/dbraw/zinc/29/30/03/949293003.db2.gz GEZXCFVPWYCDGF-DTWKUNHWSA-N -1 1 346.351 -0.150 20 0 EBADMM C[C@@H](CNC(=O)Cc1ccon1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001574941170 949293328 /nfs/dbraw/zinc/29/33/28/949293328.db2.gz BHRHYBVJYMZLGW-VIFPVBQESA-N -1 1 343.351 -0.172 20 0 EBADMM C[C@@H](CNC(=O)Cc1ccon1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001574941170 949293354 /nfs/dbraw/zinc/29/33/54/949293354.db2.gz BHRHYBVJYMZLGW-VIFPVBQESA-N -1 1 343.351 -0.172 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)N[C@@H](C)CS(C)(=O)=O ZINC001570942608 949297203 /nfs/dbraw/zinc/29/72/03/949297203.db2.gz RLRHGMJYABRCRP-ZETCQYMHSA-N -1 1 340.365 -0.561 20 0 EBADMM C[C@H]1CCC[C@]1(O)CNC(=O)NCCn1cnc(-c2nn[n-]n2)n1 ZINC001576154243 949326286 /nfs/dbraw/zinc/32/62/86/949326286.db2.gz OHTJJDVLSQGNBE-ZANVPECISA-N -1 1 335.372 -0.692 20 0 EBADMM C[C@@H](CNC(=O)Cc1cccnc1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574942080 949334366 /nfs/dbraw/zinc/33/43/66/949334366.db2.gz VLDCITGNLCQIKS-JTQLQIEISA-N -1 1 339.363 -0.367 20 0 EBADMM C[C@H]1[C@@H](CO)CCCN1C(=O)NCCn1cnc(-c2nn[n-]n2)n1 ZINC001575865425 949373383 /nfs/dbraw/zinc/37/33/83/949373383.db2.gz ALCXIDOCFPHTOX-VHSXEESVSA-N -1 1 335.372 -0.739 20 0 EBADMM C[C@@H](CNC(=O)Cc1ccn(C)n1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574943356 949374655 /nfs/dbraw/zinc/37/46/55/949374655.db2.gz WQJUWDBQRKCINW-VIFPVBQESA-N -1 1 342.367 -0.451 20 0 EBADMM C[C@@H](CNC(=O)Cc1ccn(C)n1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574943356 949374675 /nfs/dbraw/zinc/37/46/75/949374675.db2.gz WQJUWDBQRKCINW-VIFPVBQESA-N -1 1 342.367 -0.451 20 0 EBADMM CC(C)(C)C(=O)N[C@H]1CCN(c2nccnc2-c2nnn[n-]2)C[C@@H]1O ZINC001573799945 949382526 /nfs/dbraw/zinc/38/25/26/949382526.db2.gz RQQMUBZYZFHBST-UWVGGRQHSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)(C)C(=O)N[C@H]1CCN(c2nccnc2-c2nn[n-]n2)C[C@@H]1O ZINC001573799945 949382550 /nfs/dbraw/zinc/38/25/50/949382550.db2.gz RQQMUBZYZFHBST-UWVGGRQHSA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@H]1CCC[C@H]1CNC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001576155146 949382591 /nfs/dbraw/zinc/38/25/91/949382591.db2.gz GTBUVHQHZPJZAG-IUCAKERBSA-N -1 1 333.352 -0.319 20 0 EBADMM CC(C)(C)C(=O)N[C@H]1CCN(c2nccnc2-c2nnn[n-]2)C[C@H]1O ZINC001573799946 949383355 /nfs/dbraw/zinc/38/33/55/949383355.db2.gz RQQMUBZYZFHBST-VHSXEESVSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)(C)C(=O)N[C@H]1CCN(c2nccnc2-c2nn[n-]n2)C[C@H]1O ZINC001573799946 949383376 /nfs/dbraw/zinc/38/33/76/949383376.db2.gz RQQMUBZYZFHBST-VHSXEESVSA-N -1 1 346.395 -0.241 20 0 EBADMM Cc1ccoc1CNC(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570944269 949415114 /nfs/dbraw/zinc/41/51/14/949415114.db2.gz LJXDQMMAHRNJHT-UHFFFAOYSA-N -1 1 345.319 -0.263 20 0 EBADMM C[C@H](CN(C)C(=O)C1=COCCO1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574705214 949415312 /nfs/dbraw/zinc/41/53/12/949415312.db2.gz SEXBJYHTFRBTHK-SECBINFHSA-N -1 1 346.351 -0.196 20 0 EBADMM C[C@H](CN(C)C(=O)C1=COCCO1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574705214 949415325 /nfs/dbraw/zinc/41/53/25/949415325.db2.gz SEXBJYHTFRBTHK-SECBINFHSA-N -1 1 346.351 -0.196 20 0 EBADMM C[C@@H](CNC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)CC(F)F ZINC001574947149 949442860 /nfs/dbraw/zinc/44/28/60/949442860.db2.gz ZVPKWCUPINWMJW-ZCFIWIBFSA-N -1 1 343.294 -0.464 20 0 EBADMM C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)NCc1ccnc(-c2nnn[n-]2)c1 ZINC001570944903 949450021 /nfs/dbraw/zinc/45/00/21/949450021.db2.gz ZXQGZIODBUKGJT-UFBFGSQYSA-N -1 1 345.363 -0.847 20 0 EBADMM C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)NCc1ccnc(-c2nn[n-]n2)c1 ZINC001570944903 949450031 /nfs/dbraw/zinc/45/00/31/949450031.db2.gz ZXQGZIODBUKGJT-UFBFGSQYSA-N -1 1 345.363 -0.847 20 0 EBADMM C[C@@H](CNC(=O)Cn1cccn1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001574947940 949457968 /nfs/dbraw/zinc/45/79/68/949457968.db2.gz GHVGMWCUKAHCTA-JTQLQIEISA-N -1 1 342.367 -0.506 20 0 EBADMM CC(C)(C)C(=O)NC[C@]1(O)CCN(c2cnc(-c3nnn[n-]3)cn2)C1 ZINC001573804288 949465984 /nfs/dbraw/zinc/46/59/84/949465984.db2.gz UGRILCFEKUSBRY-OAHLLOKOSA-N -1 1 346.395 -0.240 20 0 EBADMM CC(C)(C)C(=O)NC[C@]1(O)CCN(c2cnc(-c3nn[n-]n3)cn2)C1 ZINC001573804288 949465995 /nfs/dbraw/zinc/46/59/95/949465995.db2.gz UGRILCFEKUSBRY-OAHLLOKOSA-N -1 1 346.395 -0.240 20 0 EBADMM C[C@@H](CNC(=O)Cn1ccnc1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001574948857 949477112 /nfs/dbraw/zinc/47/71/12/949477112.db2.gz NWIQJDRCPSYNBE-JTQLQIEISA-N -1 1 342.367 -0.506 20 0 EBADMM C[C@H](CNC(=O)Cn1cccn1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001574948910 949478127 /nfs/dbraw/zinc/47/81/27/949478127.db2.gz OESUCJGLGWWVSR-JTQLQIEISA-N -1 1 342.367 -0.282 20 0 EBADMM C[C@H](CNC(=O)Cn1cccn1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001574948910 949478135 /nfs/dbraw/zinc/47/81/35/949478135.db2.gz OESUCJGLGWWVSR-JTQLQIEISA-N -1 1 342.367 -0.282 20 0 EBADMM COCC(=O)N1CC[C@@H](CN(C)c2nc(C)cc(-c3nnn[n-]3)n2)C1 ZINC001570945734 949499810 /nfs/dbraw/zinc/49/98/10/949499810.db2.gz BTDHWPRRZYJWEY-NSHDSACASA-N -1 1 346.395 -0.104 20 0 EBADMM COCC(=O)N1CC[C@@H](CN(C)c2nc(C)cc(-c3nn[n-]n3)n2)C1 ZINC001570945734 949499825 /nfs/dbraw/zinc/49/98/25/949499825.db2.gz BTDHWPRRZYJWEY-NSHDSACASA-N -1 1 346.395 -0.104 20 0 EBADMM O=C(N[C@H]1CCN(c2cncc(-c3nnn[n-]3)n2)C1)C1CCOCC1 ZINC001570946147 949522253 /nfs/dbraw/zinc/52/22/53/949522253.db2.gz NTUMZZBCQNXYEX-NSHDSACASA-N -1 1 344.379 -0.222 20 0 EBADMM O=C(N[C@H]1CCN(c2cncc(-c3nn[n-]n3)n2)C1)C1CCOCC1 ZINC001570946147 949522260 /nfs/dbraw/zinc/52/22/60/949522260.db2.gz NTUMZZBCQNXYEX-NSHDSACASA-N -1 1 344.379 -0.222 20 0 EBADMM CO[C@@H](C)C(=O)N1CCCC[C@@H]1CNc1ccnc(-c2nn[n-]n2)n1 ZINC001570946268 949525682 /nfs/dbraw/zinc/52/56/82/949525682.db2.gz JXDUJPPWVCXKPO-WDEREUQCSA-N -1 1 346.395 -0.094 20 0 EBADMM COCC(=O)N1CCC[C@@H]2[C@@H]1CCN2c1ccc(-c2nnn[n-]2)nn1 ZINC001570946596 949538512 /nfs/dbraw/zinc/53/85/12/949538512.db2.gz QZJGHTBPJVRKIT-NEPJUHHUSA-N -1 1 344.379 -0.127 20 0 EBADMM COCC(=O)N1CCC[C@@H]2[C@@H]1CCN2c1ccc(-c2nn[n-]n2)nn1 ZINC001570946596 949538534 /nfs/dbraw/zinc/53/85/34/949538534.db2.gz QZJGHTBPJVRKIT-NEPJUHHUSA-N -1 1 344.379 -0.127 20 0 EBADMM O=C([C@@H]1COCCO1)N1CC[C@H](Nc2cncc(-c3nnn[n-]3)n2)C1 ZINC001570946641 949544297 /nfs/dbraw/zinc/54/42/97/949544297.db2.gz OHVYXQRSIJGPJB-ONGXEEELSA-N -1 1 346.351 -0.915 20 0 EBADMM O=C([C@@H]1COCCO1)N1CC[C@H](Nc2cncc(-c3nn[n-]n3)n2)C1 ZINC001570946641 949544313 /nfs/dbraw/zinc/54/43/13/949544313.db2.gz OHVYXQRSIJGPJB-ONGXEEELSA-N -1 1 346.351 -0.915 20 0 EBADMM CC[C@@H](OC)C(=O)N1CCC[C@@H]1CNc1ccnc(-c2nn[n-]n2)n1 ZINC001570946853 949547226 /nfs/dbraw/zinc/54/72/26/949547226.db2.gz RGKHRKUKUDRQGR-GHMZBOCLSA-N -1 1 346.395 -0.094 20 0 EBADMM Cn1c(=O)[nH]c(N2CC[C@H](O)[C@H]3CCCC[C@H]32)c(-c2nn[n-]n2)c1=O ZINC001570947402 949570670 /nfs/dbraw/zinc/57/06/70/949570670.db2.gz AOUBUEHWYUZHCS-AEJSXWLSSA-N -1 1 347.379 -0.617 20 0 EBADMM C[C@@H](Nc1nccnc1-c1nnn[n-]1)[C@H](C)NC(=O)CCCC(N)=O ZINC001575270769 949600227 /nfs/dbraw/zinc/60/02/27/949600227.db2.gz GCEAPUIKGUCSOJ-DTWKUNHWSA-N -1 1 347.383 -0.383 20 0 EBADMM C[C@@H](Nc1nccnc1-c1nn[n-]n1)[C@H](C)NC(=O)CCCC(N)=O ZINC001575270769 949600237 /nfs/dbraw/zinc/60/02/37/949600237.db2.gz GCEAPUIKGUCSOJ-DTWKUNHWSA-N -1 1 347.383 -0.383 20 0 EBADMM CCO[C@H]1C[C@H]1C(=O)Nc1[nH]nc(N2CCOCC2)c1-c1nnn[n-]1 ZINC001570948363 949605715 /nfs/dbraw/zinc/60/57/15/949605715.db2.gz FDNHQIAFTLUBSJ-BDAKNGLRSA-N -1 1 348.367 -0.210 20 0 EBADMM CCO[C@H]1C[C@H]1C(=O)Nc1[nH]nc(N2CCOCC2)c1-c1nn[n-]n1 ZINC001570948363 949605731 /nfs/dbraw/zinc/60/57/31/949605731.db2.gz FDNHQIAFTLUBSJ-BDAKNGLRSA-N -1 1 348.367 -0.210 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1COCCO1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574713886 949613512 /nfs/dbraw/zinc/61/35/12/949613512.db2.gz YUPAPRFUAHJZBL-KOLCDFICSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1COCCO1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574713886 949613520 /nfs/dbraw/zinc/61/35/20/949613520.db2.gz YUPAPRFUAHJZBL-KOLCDFICSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@@H](NC(=O)CCCC(N)=O)[C@@H](C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575271268 949615690 /nfs/dbraw/zinc/61/56/90/949615690.db2.gz JBYFOHYRMKJEJR-RKDXNWHRSA-N -1 1 347.383 -0.383 20 0 EBADMM C[C@@H](NC(=O)CCCC(N)=O)[C@@H](C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575271268 949615705 /nfs/dbraw/zinc/61/57/05/949615705.db2.gz JBYFOHYRMKJEJR-RKDXNWHRSA-N -1 1 347.383 -0.383 20 0 EBADMM C[C@@H]1[C@H](NC(=O)CC(N)=O)CCCN1c1cnc(-c2nnn[n-]2)cn1 ZINC001575875104 949622917 /nfs/dbraw/zinc/62/29/17/949622917.db2.gz UVSVSFPTWNCOBL-RKDXNWHRSA-N -1 1 345.367 -0.994 20 0 EBADMM C[C@@H]1[C@H](NC(=O)CC(N)=O)CCCN1c1cnc(-c2nn[n-]n2)cn1 ZINC001575875104 949622929 /nfs/dbraw/zinc/62/29/29/949622929.db2.gz UVSVSFPTWNCOBL-RKDXNWHRSA-N -1 1 345.367 -0.994 20 0 EBADMM CC1CC(CNC(=O)NCCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)C1 ZINC001570950162 949671154 /nfs/dbraw/zinc/67/11/54/949671154.db2.gz WKKNSQCZMUODQO-UHFFFAOYSA-N -1 1 348.367 -0.526 20 0 EBADMM NS(=O)(=O)C1CN(c2cc(C(F)(F)F)cc(-c3nnn[n-]3)n2)C1 ZINC001570950227 949673776 /nfs/dbraw/zinc/67/37/76/949673776.db2.gz GECCUXYBSGVGFZ-UHFFFAOYSA-N -1 1 349.298 -0.242 20 0 EBADMM NS(=O)(=O)C1CN(c2cc(C(F)(F)F)cc(-c3nn[n-]n3)n2)C1 ZINC001570950227 949673790 /nfs/dbraw/zinc/67/37/90/949673790.db2.gz GECCUXYBSGVGFZ-UHFFFAOYSA-N -1 1 349.298 -0.242 20 0 EBADMM C[C@H](CN(C)C(=O)C[C@H]1CCOC1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574716332 949689838 /nfs/dbraw/zinc/68/98/38/949689838.db2.gz JNCDFBHBZHSZQV-GHMZBOCLSA-N -1 1 346.395 -0.236 20 0 EBADMM C[C@H](NC(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)[C@H]1CCOC1 ZINC001575278187 949766217 /nfs/dbraw/zinc/76/62/17/949766217.db2.gz UEPLHFMCOWSABB-IUCAKERBSA-N -1 1 349.351 -0.940 20 0 EBADMM C[C@@]1(C(=O)N[C@@H]2CCN(c3cncc(-c4nnn[n-]4)n3)C2)CCOC1 ZINC001575570898 949949627 /nfs/dbraw/zinc/94/96/27/949949627.db2.gz WEUMSJVUHOVIJB-MEBBXXQBSA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@@]1(C(=O)N[C@@H]2CCN(c3cncc(-c4nn[n-]n4)n3)C2)CCOC1 ZINC001575570898 949949643 /nfs/dbraw/zinc/94/96/43/949949643.db2.gz WEUMSJVUHOVIJB-MEBBXXQBSA-N -1 1 344.379 -0.222 20 0 EBADMM CC1(C(=O)NC[C@@H](CO)Nc2cncc(-c3nnn[n-]3)n2)CCC1 ZINC001575573965 950008677 /nfs/dbraw/zinc/00/86/77/950008677.db2.gz QNVVNKCGWAARLQ-VIFPVBQESA-N -1 1 332.368 -0.264 20 0 EBADMM CC1(C(=O)NC[C@@H](CO)Nc2cncc(-c3nn[n-]n3)n2)CCC1 ZINC001575573965 950008697 /nfs/dbraw/zinc/00/86/97/950008697.db2.gz QNVVNKCGWAARLQ-VIFPVBQESA-N -1 1 332.368 -0.264 20 0 EBADMM CC1(C(=O)NC[C@H](CO)Nc2cncc(-c3nnn[n-]3)n2)CCC1 ZINC001575573963 950009053 /nfs/dbraw/zinc/00/90/53/950009053.db2.gz QNVVNKCGWAARLQ-SECBINFHSA-N -1 1 332.368 -0.264 20 0 EBADMM CC1(C(=O)NC[C@H](CO)Nc2cncc(-c3nn[n-]n3)n2)CCC1 ZINC001575573963 950009073 /nfs/dbraw/zinc/00/90/73/950009073.db2.gz QNVVNKCGWAARLQ-SECBINFHSA-N -1 1 332.368 -0.264 20 0 EBADMM C[C@@H](CN(C)C(=O)Cn1ccnc1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574732924 950084135 /nfs/dbraw/zinc/08/41/35/950084135.db2.gz MWZLHLIXJPCPDX-JTQLQIEISA-N -1 1 342.367 -0.188 20 0 EBADMM CC1(C(=O)NC[C@H](O)CNc2ccnc(-c3nn[n-]n3)n2)CCC1 ZINC001575577484 950085524 /nfs/dbraw/zinc/08/55/24/950085524.db2.gz VVMJUACJFJITMV-SECBINFHSA-N -1 1 332.368 -0.842 20 0 EBADMM C[C@@H](CN(C)C(=O)Cn1cncn1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574733093 950095532 /nfs/dbraw/zinc/09/55/32/950095532.db2.gz RTHBPSZSSZOPCM-VIFPVBQESA-N -1 1 343.355 -0.793 20 0 EBADMM C[C@@H](CN(C)C(=O)Cn1cncn1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574733093 950095556 /nfs/dbraw/zinc/09/55/56/950095556.db2.gz RTHBPSZSSZOPCM-VIFPVBQESA-N -1 1 343.355 -0.793 20 0 EBADMM CC(C)(C)CC(=O)N[C@@H]1CN(c2ccc(-c3nnn[n-]3)nn2)C[C@@H]1O ZINC001573839687 950136254 /nfs/dbraw/zinc/13/62/54/950136254.db2.gz VLZDVVUUNWXJRK-MNOVXSKESA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)(C)CC(=O)N[C@@H]1CN(c2ccc(-c3nn[n-]n3)nn2)C[C@@H]1O ZINC001573839687 950136266 /nfs/dbraw/zinc/13/62/66/950136266.db2.gz VLZDVVUUNWXJRK-MNOVXSKESA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1C[C@H]1C(N)=O)Nc1nccnc1-c1nnn[n-]1 ZINC001574741244 950239331 /nfs/dbraw/zinc/23/93/31/950239331.db2.gz IQLFDDZPDJHJPR-HLTSFMKQSA-N -1 1 345.367 -0.963 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1C[C@H]1C(N)=O)Nc1nccnc1-c1nn[n-]n1 ZINC001574741244 950239341 /nfs/dbraw/zinc/23/93/41/950239341.db2.gz IQLFDDZPDJHJPR-HLTSFMKQSA-N -1 1 345.367 -0.963 20 0 EBADMM C[C@H](CC(=O)NC[C@@H](O)CNc1cnc(-c2nnn[n-]2)cn1)C1CC1 ZINC001574498492 950280846 /nfs/dbraw/zinc/28/08/46/950280846.db2.gz OGHFNNVUTLKOQO-KOLCDFICSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](CC(=O)NC[C@@H](O)CNc1cnc(-c2nn[n-]n2)cn1)C1CC1 ZINC001574498492 950280861 /nfs/dbraw/zinc/28/08/61/950280861.db2.gz OGHFNNVUTLKOQO-KOLCDFICSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@]1(C(=O)NCCNc2ccnc(-c3nn[n-]n3)n2)CCCOC1 ZINC001575593393 950311650 /nfs/dbraw/zinc/31/16/50/950311650.db2.gz NRFPOQHTVDVIPZ-CQSZACIVSA-N -1 1 332.368 -0.577 20 0 EBADMM CC1(C(=O)NC[C@H]2CN(c3nccnc3-c3nnn[n-]3)CCO2)CC1 ZINC001575594527 950335893 /nfs/dbraw/zinc/33/58/93/950335893.db2.gz KQKIOKUCTVQIEJ-JTQLQIEISA-N -1 1 344.379 -0.222 20 0 EBADMM CC1(C(=O)NC[C@H]2CN(c3nccnc3-c3nn[n-]n3)CCO2)CC1 ZINC001575594527 950335915 /nfs/dbraw/zinc/33/59/15/950335915.db2.gz KQKIOKUCTVQIEJ-JTQLQIEISA-N -1 1 344.379 -0.222 20 0 EBADMM CC(C)(C)OCC(=O)N1CC[C@@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001573866734 950509961 /nfs/dbraw/zinc/50/99/61/950509961.db2.gz KMCZVQARKSHFSM-SNVBAGLBSA-N -1 1 346.395 -0.094 20 0 EBADMM CCN1CC[C@@H](CNC(=O)C2(C(=O)[O-])CCS(=O)(=O)CC2)C1 ZINC001589742279 950622163 /nfs/dbraw/zinc/62/21/63/950622163.db2.gz MZBKNPKFOZCWIW-NSHDSACASA-N -1 1 332.422 -0.276 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cnn(C)c1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574763977 950739392 /nfs/dbraw/zinc/73/93/92/950739392.db2.gz OFJDYUNHRPGNNS-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cnn(C)c1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574763977 950739404 /nfs/dbraw/zinc/73/94/04/950739404.db2.gz OFJDYUNHRPGNNS-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM CC(C)CCC(=O)N[C@@H]1CN(c2nccnc2-c2nnn[n-]2)C[C@H]1O ZINC001574184470 950783188 /nfs/dbraw/zinc/78/31/88/950783188.db2.gz LGNDTZMFHQTFCE-GHMZBOCLSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)CCC(=O)N[C@@H]1CN(c2nccnc2-c2nn[n-]n2)C[C@H]1O ZINC001574184470 950783204 /nfs/dbraw/zinc/78/32/04/950783204.db2.gz LGNDTZMFHQTFCE-GHMZBOCLSA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cocn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574766149 950805770 /nfs/dbraw/zinc/80/57/70/950805770.db2.gz KRDSXMZYVXTETA-QMMMGPOBSA-N -1 1 329.324 -0.361 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ncn(C)n1)Nc1nccnc1-c1nnn[n-]1 ZINC001574768730 950898329 /nfs/dbraw/zinc/89/83/29/950898329.db2.gz WEEZEYBYQYKSEP-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ncn(C)n1)Nc1nccnc1-c1nn[n-]n1 ZINC001574768730 950898347 /nfs/dbraw/zinc/89/83/47/950898347.db2.gz WEEZEYBYQYKSEP-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM CCOC(=O)C[C@@H](O)CN1CCC(N2C[C@H](C(=O)[O-])CC2=O)CC1 ZINC001590377724 950936401 /nfs/dbraw/zinc/93/64/01/950936401.db2.gz ODUHBSIKWOKNAR-DGCLKSJQSA-N -1 1 342.392 -0.302 20 0 EBADMM C[C@@H](CNC(=O)c1ccc(=O)[nH]n1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575017646 951004298 /nfs/dbraw/zinc/00/42/98/951004298.db2.gz IKHRBASWSNPKPN-ZETCQYMHSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@@H](CNC(=O)c1ccc(=O)[nH]n1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575017646 951004321 /nfs/dbraw/zinc/00/43/21/951004321.db2.gz IKHRBASWSNPKPN-ZETCQYMHSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@H](CNC(=O)c1ccc(=O)[nH]c1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575018643 951022230 /nfs/dbraw/zinc/02/22/30/951022230.db2.gz NTKHZZPCJLLGNJ-MRVPVSSYSA-N -1 1 341.335 -0.590 20 0 EBADMM C[C@@H](CNC(=O)c1cccnn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575032521 951227653 /nfs/dbraw/zinc/22/76/53/951227653.db2.gz ZKHYKPAMPPBEKQ-QMMMGPOBSA-N -1 1 326.324 -0.901 20 0 EBADMM C[C@@H](CNC(=O)c1ccnnc1)Nc1nccnc1-c1nnn[n-]1 ZINC001575033967 951236151 /nfs/dbraw/zinc/23/61/51/951236151.db2.gz FQTPAAGCWZKFDO-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@@H](CNC(=O)c1ccnnc1)Nc1nccnc1-c1nn[n-]n1 ZINC001575033967 951236166 /nfs/dbraw/zinc/23/61/66/951236166.db2.gz FQTPAAGCWZKFDO-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1ccn(C)n1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575034404 951247099 /nfs/dbraw/zinc/24/70/99/951247099.db2.gz BEJLPNWERAMCFY-VIFPVBQESA-N -1 1 342.367 -0.710 20 0 EBADMM C[C@H](CNC(=O)c1ccn(C)c1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575035504 951275685 /nfs/dbraw/zinc/27/56/85/951275685.db2.gz JIFUWXDZDXZROC-JTQLQIEISA-N -1 1 341.379 -0.105 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)cn1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575042638 951365587 /nfs/dbraw/zinc/36/55/87/951365587.db2.gz LJNWFPGUJSDZHU-QMMMGPOBSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)cn1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575042638 951365599 /nfs/dbraw/zinc/36/55/99/951365599.db2.gz LJNWFPGUJSDZHU-QMMMGPOBSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)c(=O)[nH]1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575043111 951380505 /nfs/dbraw/zinc/38/05/05/951380505.db2.gz PBZKOLDPWAYZBM-ZETCQYMHSA-N -1 1 344.339 -0.674 20 0 EBADMM C[C@@H](CNC(=O)c1cn[nH]c1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575043908 951405422 /nfs/dbraw/zinc/40/54/22/951405422.db2.gz MWCKICICCBVHHK-MRVPVSSYSA-N -1 1 328.340 -0.142 20 0 EBADMM C[C@@H](CNC(=O)c1cn[nH]c1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575043908 951405429 /nfs/dbraw/zinc/40/54/29/951405429.db2.gz MWCKICICCBVHHK-MRVPVSSYSA-N -1 1 328.340 -0.142 20 0 EBADMM C[C@@H](CNC(=O)c1cncn1C)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001575045567 951428155 /nfs/dbraw/zinc/42/81/55/951428155.db2.gz DLGJSWKPPLYOQP-SECBINFHSA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@@H](CNC(=O)c1cncn1C)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001575045567 951428164 /nfs/dbraw/zinc/42/81/64/951428164.db2.gz DLGJSWKPPLYOQP-SECBINFHSA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@H](CNC(=O)c1cncnc1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575045589 951430830 /nfs/dbraw/zinc/43/08/30/951430830.db2.gz DXWHUTSXNVXGCD-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1cncnc1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575045589 951430838 /nfs/dbraw/zinc/43/08/38/951430838.db2.gz DXWHUTSXNVXGCD-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1cnccn1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575046699 951445737 /nfs/dbraw/zinc/44/57/37/951445737.db2.gz REIUAGXDPKSLLC-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@H](CNC(=O)c1cnccn1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575046699 951445746 /nfs/dbraw/zinc/44/57/46/951445746.db2.gz REIUAGXDPKSLLC-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)c1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001575051970 951457615 /nfs/dbraw/zinc/45/76/15/951457615.db2.gz CIMVWQLIGMHZDS-SECBINFHSA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)c1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575051970 951457620 /nfs/dbraw/zinc/45/76/20/951457620.db2.gz CIMVWQLIGMHZDS-SECBINFHSA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)c1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001575051971 951457702 /nfs/dbraw/zinc/45/77/02/951457702.db2.gz CIMVWQLIGMHZDS-VIFPVBQESA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)c1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575051971 951457710 /nfs/dbraw/zinc/45/77/10/951457710.db2.gz CIMVWQLIGMHZDS-VIFPVBQESA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)c1)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001575052734 951471847 /nfs/dbraw/zinc/47/18/47/951471847.db2.gz NIPHDMZOPFBSNZ-SECBINFHSA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)c1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001575052734 951471857 /nfs/dbraw/zinc/47/18/57/951471857.db2.gz NIPHDMZOPFBSNZ-SECBINFHSA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)n1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001575053230 951477544 /nfs/dbraw/zinc/47/75/44/951477544.db2.gz RQGJWZAXVHAWQM-ZETCQYMHSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)n1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575053230 951477551 /nfs/dbraw/zinc/47/75/51/951477551.db2.gz RQGJWZAXVHAWQM-ZETCQYMHSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@H](CNC(=O)c1cnsn1)CNc1nccnc1-c1nnn[n-]1 ZINC001575054767 951498483 /nfs/dbraw/zinc/49/84/83/951498483.db2.gz KVJSOXWPHCRKCA-ZETCQYMHSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@H](CNC(=O)c1cnsn1)CNc1nccnc1-c1nn[n-]n1 ZINC001575054767 951498489 /nfs/dbraw/zinc/49/84/89/951498489.db2.gz KVJSOXWPHCRKCA-ZETCQYMHSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@H](CNC(=O)c1csnn1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575062464 951569248 /nfs/dbraw/zinc/56/92/48/951569248.db2.gz WJDCUSGSPDFRCW-ZCFIWIBFSA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@H](CNC(=O)c1csnn1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575062464 951569262 /nfs/dbraw/zinc/56/92/62/951569262.db2.gz WJDCUSGSPDFRCW-ZCFIWIBFSA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@@H](CNC(=O)c1ncn(C)n1)CNc1nccnc1-c1nnn[n-]1 ZINC001575066044 951592154 /nfs/dbraw/zinc/59/21/54/951592154.db2.gz DFTQCIICTPHOLE-MRVPVSSYSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@@H](CNC(=O)c1ncn(C)n1)CNc1nccnc1-c1nn[n-]n1 ZINC001575066044 951592164 /nfs/dbraw/zinc/59/21/64/951592164.db2.gz DFTQCIICTPHOLE-MRVPVSSYSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@H](CNC(=O)c1nccs1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575067475 951613317 /nfs/dbraw/zinc/61/33/17/951613317.db2.gz MKGVEBWYPMGRQW-SSDOTTSWSA-N -1 1 331.365 -0.235 20 0 EBADMM C[C@@H](CNC(=O)c1ncccn1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575068293 951634340 /nfs/dbraw/zinc/63/43/40/951634340.db2.gz ZMQRUTSHZFQOFT-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1ncccn1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575068293 951634347 /nfs/dbraw/zinc/63/43/47/951634347.db2.gz ZMQRUTSHZFQOFT-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)CCCC(N)=O ZINC001575133363 952104312 /nfs/dbraw/zinc/10/43/12/952104312.db2.gz KIYDTACJXSDZED-SECBINFHSA-N -1 1 347.383 -0.429 20 0 EBADMM C[C@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)CCCC(N)=O ZINC001575133363 952104315 /nfs/dbraw/zinc/10/43/15/952104315.db2.gz KIYDTACJXSDZED-SECBINFHSA-N -1 1 347.383 -0.429 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)C1=CCOCC1 ZINC001575140574 952142103 /nfs/dbraw/zinc/14/21/03/952142103.db2.gz DUXNGZMYARUNGU-JTQLQIEISA-N -1 1 344.379 -0.316 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1cncn1C ZINC001575143625 952154881 /nfs/dbraw/zinc/15/48/81/952154881.db2.gz BRJMBTJYLNAPBS-VIFPVBQESA-N -1 1 342.367 -0.615 20 0 EBADMM C[C@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)[C@]12C[C@H]1COC2 ZINC001575154181 952218025 /nfs/dbraw/zinc/21/80/25/952218025.db2.gz PRKVMNOYHUKCAG-FTGAXOIBSA-N -1 1 344.379 -0.048 20 0 EBADMM C[C@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)[C@]12C[C@H]1COC2 ZINC001575154181 952218035 /nfs/dbraw/zinc/21/80/35/952218035.db2.gz PRKVMNOYHUKCAG-FTGAXOIBSA-N -1 1 344.379 -0.048 20 0 EBADMM C[C@@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)Cn1cccn1 ZINC001575154677 952230419 /nfs/dbraw/zinc/23/04/19/952230419.db2.gz UJLDCRSSILADCV-JTQLQIEISA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)Cn1cccn1 ZINC001575154677 952230425 /nfs/dbraw/zinc/23/04/25/952230425.db2.gz UJLDCRSSILADCV-JTQLQIEISA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)[C@@H]1CCOC1 ZINC001575156097 952249151 /nfs/dbraw/zinc/24/91/51/952249151.db2.gz CHYOANOKCBXWRV-NXEZZACHSA-N -1 1 332.368 -0.048 20 0 EBADMM C[C@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)[C@@H]1CCOC1 ZINC001575156097 952249158 /nfs/dbraw/zinc/24/91/58/952249158.db2.gz CHYOANOKCBXWRV-NXEZZACHSA-N -1 1 332.368 -0.048 20 0 EBADMM C[C@@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)c1cn(C)nn1 ZINC001575156260 952250191 /nfs/dbraw/zinc/25/01/91/952250191.db2.gz RNKXLSJNLQJTKP-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)c1cn(C)nn1 ZINC001575156260 952250195 /nfs/dbraw/zinc/25/01/95/952250195.db2.gz RNKXLSJNLQJTKP-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)c1cn(C)nn1 ZINC001575156988 952261086 /nfs/dbraw/zinc/26/10/86/952261086.db2.gz KHWSDQULIFBPPK-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)c1cn(C)nn1 ZINC001575156988 952261089 /nfs/dbraw/zinc/26/10/89/952261089.db2.gz KHWSDQULIFBPPK-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)Cc1cc[nH]n1 ZINC001575176368 952391807 /nfs/dbraw/zinc/39/18/07/952391807.db2.gz DAWHWNPOOADTKG-SECBINFHSA-N -1 1 342.367 -0.119 20 0 EBADMM C[C@@H](CO)C1CCN(c2[nH]c(=O)n(C)c(=O)c2-c2nn[n-]n2)CC1 ZINC001575180063 952414361 /nfs/dbraw/zinc/41/43/61/952414361.db2.gz XNNHZSBOTUCIEZ-QMMMGPOBSA-N -1 1 335.368 -0.902 20 0 EBADMM C[C@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)c1cncn1C ZINC001575180939 952419805 /nfs/dbraw/zinc/41/98/05/952419805.db2.gz YHIQBTBQCPHYBH-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)c1cncn1C ZINC001575180939 952419811 /nfs/dbraw/zinc/41/98/11/952419811.db2.gz YHIQBTBQCPHYBH-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](Cn1cncn1)NC(=O)NCc1nc(-c2nnn[n-]2)cs1 ZINC001575207831 952534925 /nfs/dbraw/zinc/53/49/25/952534925.db2.gz SWVMTNNTENHRKS-ZETCQYMHSA-N -1 1 334.369 -0.197 20 0 EBADMM C[C@@H](Cn1cncn1)NC(=O)NCc1nc(-c2nn[n-]n2)cs1 ZINC001575207831 952534932 /nfs/dbraw/zinc/53/49/32/952534932.db2.gz SWVMTNNTENHRKS-ZETCQYMHSA-N -1 1 334.369 -0.197 20 0 EBADMM O=C([O-])[C@@H]1CC[C@H](NC(=O)C(=O)NCCCCN2CCOCC2)C1 ZINC001594757293 953474850 /nfs/dbraw/zinc/47/48/50/953474850.db2.gz SMMVOFUNWWSFBL-OLZOCXBDSA-N -1 1 341.408 -0.416 20 0 EBADMM C[C@@H]1CCN(C(=O)NC2CCN(CC(=O)[O-])CC2)CCS1(=O)=O ZINC001589344599 954043704 /nfs/dbraw/zinc/04/37/04/954043704.db2.gz FIXLACXFZGAXIQ-LLVKDONJSA-N -1 1 347.437 -0.246 20 0 EBADMM CN(C)CC(=O)N1CCN(C(=O)c2ccc(OCC(=O)[O-])cc2)CC1 ZINC001593692172 954049141 /nfs/dbraw/zinc/04/91/41/954049141.db2.gz RVACSNLFUXOGCK-UHFFFAOYSA-N -1 1 349.387 -0.004 20 0 EBADMM C[C@@H]1CCN(CN2CC3(CCOCC3)[C@H](O)C2=O)C[C@H]1C(=O)[O-] ZINC001589354984 954120489 /nfs/dbraw/zinc/12/04/89/954120489.db2.gz KHNJQGMEVRPQPZ-JHJVBQTASA-N -1 1 326.393 -0.014 20 0 EBADMM CN(C)Cc1ccc(C(N)=O)cc1NC(=O)NC[C@@](C)(O)C(=O)[O-] ZINC001593702152 954128848 /nfs/dbraw/zinc/12/88/48/954128848.db2.gz JXQMUBAPKQNFIO-OAHLLOKOSA-N -1 1 338.364 -0.196 20 0 EBADMM C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)N1CCS(=O)(=O)C[C@H]1C(=O)[O-] ZINC001589364430 954178674 /nfs/dbraw/zinc/17/86/74/954178674.db2.gz SOAIGWLFPCSSGQ-JFUSQASVSA-N -1 1 341.389 -0.214 20 0 EBADMM O=C([O-])C1(C(=O)N[C@@H]2CCN(CCN3CCOCC3)C2)CC=CC1 ZINC001594857203 954249244 /nfs/dbraw/zinc/24/92/44/954249244.db2.gz VXPQXPKZIOMXNY-CQSZACIVSA-N -1 1 337.420 -0.070 20 0 EBADMM CN(CCN1CC2(C1)CCOCC2)C(=O)[C@@H]1CC(C(=O)[O-])=NO1 ZINC001593751409 954418036 /nfs/dbraw/zinc/41/80/36/954418036.db2.gz FXMCAXGNBYPEPH-LBPRGKRZSA-N -1 1 325.365 -0.213 20 0 EBADMM C[C@H]1CN2CCN1C[C@H]2C(=O)Nc1nc2n(c1C(=O)[O-])CCOC2 ZINC001589406087 954463303 /nfs/dbraw/zinc/46/33/03/954463303.db2.gz GGPFLDKZLKIWMT-UWVGGRQHSA-N -1 1 335.364 -0.562 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NC2CC[NH+](CC(=O)[O-])CC2)co1 ZINC001593799065 954845216 /nfs/dbraw/zinc/84/52/16/954845216.db2.gz ODQGICWXUTUUJR-UHFFFAOYSA-N -1 1 345.377 -0.534 20 0 EBADMM O=C([O-])C1CCN(S(=O)(=O)NC2(c3nnc[nH]3)CCC2)CC1 ZINC001594935039 954850355 /nfs/dbraw/zinc/85/03/55/954850355.db2.gz HSCWNGVFWDFOJK-UHFFFAOYSA-N -1 1 329.382 -0.185 20 0 EBADMM COC(=O)C1CC2(C1)CN(C(=O)NC[C@@H]1CCN1C)C[C@@H]2C(=O)[O-] ZINC001593820185 955027201 /nfs/dbraw/zinc/02/72/01/955027201.db2.gz AAUUGSWHEZFIEG-LZUBYRBGSA-N -1 1 339.392 -0.014 20 0 EBADMM Cc1oc(C(N)=O)cc1S(=O)(=O)N(CCN(C)C)CC(=O)[O-] ZINC001594505754 955354934 /nfs/dbraw/zinc/35/49/34/955354934.db2.gz CADKAABGILMXAO-UHFFFAOYSA-N -1 1 333.366 -0.676 20 0 EBADMM COC(=O)[C@@H](Cc1cnc[nH]1)NC(=O)[C@@H]1CO[C@H](CCC(=O)[O-])C1 ZINC001593860249 955529049 /nfs/dbraw/zinc/52/90/49/955529049.db2.gz JOFOPUGGCLWRDL-MVWJERBFSA-N -1 1 339.348 -0.120 20 0 EBADMM Cn1cc([C@H](O)C[C@H]2CCCN2[C@H]2CCN(CC(=O)[O-])C2=O)cn1 ZINC001594531303 955535751 /nfs/dbraw/zinc/53/57/51/955535751.db2.gz JABYKTMBCGKQEZ-HZSPNIEDSA-N -1 1 336.392 -0.007 20 0 EBADMM COC(=O)[C@H](Cc1cnc[nH]1)NC(=O)C1(CC(=O)[O-])CCOCC1 ZINC001593863958 955566875 /nfs/dbraw/zinc/56/68/75/955566875.db2.gz SQGTVIVSJWIVMM-NSHDSACASA-N -1 1 339.348 -0.119 20 0 EBADMM C[C@H](CNC(=O)C(=O)N1CCC(C)(N2CCOCC2)CC1)C(=O)[O-] ZINC001589038858 955575019 /nfs/dbraw/zinc/57/50/19/955575019.db2.gz IRVYPNBHZBGQQF-GFCCVEGCSA-N -1 1 341.408 -0.463 20 0 EBADMM C[C@H](CNC(=O)N[C@H]1CCCN(CCN2CCOCC2)C1)C(=O)[O-] ZINC001589052126 955673589 /nfs/dbraw/zinc/67/35/89/955673589.db2.gz QGIZCJFAYKSYKR-KGLIPLIRSA-N -1 1 342.440 -0.197 20 0 EBADMM C[C@@H](CS(C)(=O)=O)N(C)C(=O)NC1CCN(CC(=O)[O-])CC1 ZINC001589075917 955810451 /nfs/dbraw/zinc/81/04/51/955810451.db2.gz ATVVSPVLRGCTJD-JTQLQIEISA-N -1 1 335.426 -0.390 20 0 EBADMM C[C@@H](NC(=O)c1cccn(CC(=O)[O-])c1=O)[C@H]1CN(C)CCN1C ZINC001589117257 956100539 /nfs/dbraw/zinc/10/05/39/956100539.db2.gz YBZHLZZSFXCLAX-DGCLKSJQSA-N -1 1 336.392 -0.703 20 0 EBADMM NC(=O)[C@@H]1CCCN1CCCNC(=O)[C@H]1CO[C@@H](CCC(=O)[O-])C1 ZINC001594647592 956445486 /nfs/dbraw/zinc/44/54/86/956445486.db2.gz WOUZNMQPTFYJHE-AGIUHOORSA-N -1 1 341.408 -0.288 20 0 EBADMM COCCO[C@H]1C[C@H](CO)CN(Cc2c(C(=O)[O-])cnn2C)C1 ZINC001594019300 957070916 /nfs/dbraw/zinc/07/09/16/957070916.db2.gz YVSFHGQXTRMQBF-RYUDHWBXSA-N -1 1 327.381 -0.036 20 0 EBADMM O=C([O-])Cn1cccc(C(=O)N[C@H]2CCC[N@H+](CCCO)C2)c1=O ZINC001574745111 957700967 /nfs/dbraw/zinc/70/09/67/957700967.db2.gz JRKMEJZHWMOIJY-LBPRGKRZSA-N -1 1 337.376 -0.491 20 0 EBADMM CC(C)CN(Cc1nn[nH]n1)C(=O)[C@@H]1CCCN(CC(=O)[O-])C1=O ZINC001573523349 959135463 /nfs/dbraw/zinc/13/54/63/959135463.db2.gz YEJLALYVTQRIGB-SNVBAGLBSA-N -1 1 338.368 -0.493 20 0 EBADMM CC(C)Cn1[nH]c(CC(=O)N2C[C@H]3COC[C@@]3(C(=O)[O-])C2)cc1=O ZINC001573543691 959196125 /nfs/dbraw/zinc/19/61/25/959196125.db2.gz KLZVCVUTPKIXKI-ZBEGNZNMSA-N -1 1 337.376 -0.066 20 0 EBADMM C[C@@H](O)CN1CCN(C(=O)NCCOCCOCC(=O)[O-])[C@@H](C)C1 ZINC001603268625 972468164 /nfs/dbraw/zinc/46/81/64/972468164.db2.gz LFPICQQYJQGWLC-QWHCGFSZSA-N -1 1 347.412 -0.799 20 0 EBADMM CC(C)(C)NC(=O)CNC(=O)CN1C[C@@H]2COC[C@]2(C(=O)[O-])C1 ZINC001588661210 960441746 /nfs/dbraw/zinc/44/17/46/960441746.db2.gz PXXMMQMXDGSFPI-MEBBXXQBSA-N -1 1 327.381 -0.950 20 0 EBADMM C[C@@H]1CN(C(=O)NCCOCCOCC(=O)[O-])[C@@H](C)CN1CCO ZINC001573750130 960552579 /nfs/dbraw/zinc/55/25/79/960552579.db2.gz VKOHNDJWTUITBJ-OLZOCXBDSA-N -1 1 347.412 -0.799 20 0 EBADMM CC(C)(CO)N1CCN(C(=O)c2cccn(CC(=O)[O-])c2=O)CC1 ZINC001588783723 961324897 /nfs/dbraw/zinc/32/48/97/961324897.db2.gz BFMDTRPAUDBREB-UHFFFAOYSA-N -1 1 337.376 -0.538 20 0 EBADMM CCOC(=O)c1c[nH]c(NC(=O)[C@H]2CCCN(CC(=O)[O-])C2=O)n1 ZINC001573905758 961453859 /nfs/dbraw/zinc/45/38/59/961453859.db2.gz OEPASKRBSROIKD-MRVPVSSYSA-N -1 1 338.320 -0.152 20 0 EBADMM COC[C@](C)(CC(=O)[O-])NS(=O)(=O)N=S1(=O)CCCC1 ZINC001571180735 962154190 /nfs/dbraw/zinc/15/41/90/962154190.db2.gz DHFCIQQWFSZBPT-JTQLQIEISA-N -1 1 328.412 -0.038 20 0 EBADMM CO[C@@H](C(=O)[O-])C(=O)NCCC(=O)NCCCc1cn[nH]c1C ZINC001574101074 962392245 /nfs/dbraw/zinc/39/22/45/962392245.db2.gz HUGZRPGGCXGIPQ-GFCCVEGCSA-N -1 1 326.353 -0.627 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CCC(CNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001571223916 962565327 /nfs/dbraw/zinc/56/53/27/962565327.db2.gz LPMJLBZPSNTNQW-MNOVXSKESA-N -1 1 335.364 -0.198 20 0 EBADMM O=C([O-])[C@@H](Cc1cnc[nH]1)NC(=O)CS[C@@H]1CCS(=O)(=O)C1 ZINC000314066456 963707200 /nfs/dbraw/zinc/70/72/00/963707200.db2.gz KHIQQORLZUKARD-NXEZZACHSA-N -1 1 347.418 -0.558 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)C(=O)N2CC[C@H](C(=O)[O-])C2)C1 ZINC001609805693 970537401 /nfs/dbraw/zinc/53/74/01/970537401.db2.gz MVUMGRNVEJFGNI-QWHCGFSZSA-N -1 1 341.408 -0.608 20 0 EBADMM Cc1[n-]nc(S(=O)(=O)N2CCC[N@H+](CCO)CC2)c1C(=O)[O-] ZINC000320170474 970624082 /nfs/dbraw/zinc/62/40/82/970624082.db2.gz JNOMHMOXHYEPIN-UHFFFAOYSA-N -1 1 332.382 -0.895 20 0 EBADMM Cc1[n-]nc(S(=O)(=O)N2CCC[N@@H+](CCO)CC2)c1C(=O)[O-] ZINC000320170474 970624085 /nfs/dbraw/zinc/62/40/85/970624085.db2.gz JNOMHMOXHYEPIN-UHFFFAOYSA-N -1 1 332.382 -0.895 20 0 EBADMM O=C([O-])C1(C(=O)NC[C@H]2CCN(C3CC3)C2)CCS(=O)(=O)CC1 ZINC001605924718 972833289 /nfs/dbraw/zinc/83/32/89/972833289.db2.gz XMJDRKNYSDJLLY-LLVKDONJSA-N -1 1 344.433 -0.134 20 0 EBADMM O=C([O-])C1(C(=O)NC[C@@H]2CCN(C3CC3)C2)CCS(=O)(=O)CC1 ZINC001605924719 972833975 /nfs/dbraw/zinc/83/39/75/972833975.db2.gz XMJDRKNYSDJLLY-NSHDSACASA-N -1 1 344.433 -0.134 20 0 EBADMM COC(=O)C1CC2(C1)CN(C(=O)CCc1nn[nH]n1)C[C@H]2C(=O)[O-] ZINC001604280091 972854728 /nfs/dbraw/zinc/85/47/28/972854728.db2.gz KOSNYCLJKXAIJN-PUUHTONNSA-N -1 1 337.336 -0.755 20 0 EBADMM O=C([O-])C1=NO[C@@H](C(=O)N2CCC[C@H](N3CCOCC3)CC2)C1 ZINC001605963611 972940122 /nfs/dbraw/zinc/94/01/22/972940122.db2.gz GIQICFUQWVNGKL-WCQYABFASA-N -1 1 325.365 -0.071 20 0 EBADMM O=C([O-])[C@H]1CC(=O)N(C2CCN(C(=O)CCc3nc[nH]n3)CC2)C1 ZINC001605974872 972998065 /nfs/dbraw/zinc/99/80/65/972998065.db2.gz ZZIRNRUOLWMKBO-JTQLQIEISA-N -1 1 335.364 -0.339 20 0 EBADMM O=C([O-])CN1CCC[C@H](C(=O)NCCN2CCCOCC2)C1=O ZINC001606249012 973546296 /nfs/dbraw/zinc/54/62/96/973546296.db2.gz DGLMVUDYODRZJQ-GFCCVEGCSA-N -1 1 327.381 -0.852 20 0 EBADMM Cn1c2[nH]c(CN(CC(=O)[O-])CC(C)(C)C)nc2c(=O)n(C)c1=O ZINC001605467342 973573501 /nfs/dbraw/zinc/57/35/01/973573501.db2.gz IZHUQBMOCUKCJB-UHFFFAOYSA-N -1 1 337.380 -0.107 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)N2C[C@H](C)C[C@H](C(=O)[O-])C2)C1 ZINC001604612358 973728994 /nfs/dbraw/zinc/72/89/94/973728994.db2.gz DKMMJAIEXZWZPL-AGIUHOORSA-N -1 1 341.408 -0.608 20 0 EBADMM O=C([O-])c1cc(C(=O)N2CC[C@@H](OCC[NH+]3CCOCC3)C2)[n-]n1 ZINC001606460797 973917390 /nfs/dbraw/zinc/91/73/90/973917390.db2.gz WZFUDXZBAWOCLP-LLVKDONJSA-N -1 1 338.364 -0.329 20 0 EBADMM CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)N1C[C@H](O)C[C@H]1C(=O)[O-] ZINC001591858965 976152676 /nfs/dbraw/zinc/15/26/76/976152676.db2.gz LXQIEIHBMJMTFS-CSMHCCOUSA-N -1 1 326.271 -0.264 20 0 EBADMM C[C@@H](CN1CCN(C)CC1)NS(=O)(=O)c1cc(C(=O)[O-])ccn1 ZINC001592614955 978573410 /nfs/dbraw/zinc/57/34/10/978573410.db2.gz CSZVHKTXUJSMAX-NSHDSACASA-N -1 1 342.421 -0.306 20 0 EBADMM C[C@@H](CNC(=O)CN1CCC[C@H](C(=O)[O-])C1=O)N1CCN(C)CC1 ZINC001592651366 978632988 /nfs/dbraw/zinc/63/29/88/978632988.db2.gz WLWHNYXBVOKHKW-STQMWFEESA-N -1 1 340.424 -0.938 20 0 EBADMM C[C@@H](CNS(=O)(=O)[C@H]1CC[C@@H](C(=O)[O-])C1)N1CCN(C)CC1 ZINC001592715700 979213609 /nfs/dbraw/zinc/21/36/09/979213609.db2.gz FSRXFRIPCSGWOH-XQQFMLRXSA-N -1 1 333.454 -0.205 20 0 EBADMM C[C@@](CO)(NC(=O)CNC(=O)c1c[nH]c2ccccc2c1=O)C(=O)[O-] ZINC001592744442 979288467 /nfs/dbraw/zinc/28/84/67/979288467.db2.gz ZAJOBRJNMPZWIQ-INIZCTEOSA-N -1 1 347.327 -0.790 20 0 EBADMM CC[C@@H](CO)N1CCN(C(=O)CN2CCC[C@H](C(=O)[O-])C2=O)CC1 ZINC001595174666 979757014 /nfs/dbraw/zinc/75/70/14/979757014.db2.gz SXDYJRGHNPFLFI-STQMWFEESA-N -1 1 341.408 -0.775 20 0 EBADMM CC[C@H](O)Cn1cc(C(=O)N2CCN(CCC(=O)[O-])C[C@@H]2C)nn1 ZINC001595332608 980580339 /nfs/dbraw/zinc/58/03/39/980580339.db2.gz XVOZRLGVJOQCPK-RYUDHWBXSA-N -1 1 339.396 -0.330 20 0 EBADMM CC[C@H](O)Cn1cc(C(=O)N2CCN(CCC(=O)[O-])C[C@H]2C)nn1 ZINC001595332605 980580424 /nfs/dbraw/zinc/58/04/24/980580424.db2.gz XVOZRLGVJOQCPK-NEPJUHHUSA-N -1 1 339.396 -0.330 20 0 EBADMM CCCC(=O)N1CSC[C@@H]1C(=O)N1CCN(CC(=O)[O-])CC1 ZINC001595525971 981352281 /nfs/dbraw/zinc/35/22/81/981352281.db2.gz DVBIZYSJLQYCKS-LLVKDONJSA-N -1 1 329.422 -0.083 20 0 EBADMM CCC[C@H]1CNCCN1C(=O)C(=O)N1C[C@@H](C)O[C@H](C(=O)[O-])C1 ZINC001595624283 981730550 /nfs/dbraw/zinc/73/05/50/981730550.db2.gz RNWNFDPUMFUABS-WOPDTQHZSA-N -1 1 327.381 -0.713 20 0 EBADMM CC[C@](C)(NCC(=O)N1CCN(CC(=O)N(C)C)CC1)C(=O)[O-] ZINC001594848345 982077830 /nfs/dbraw/zinc/07/78/30/982077830.db2.gz ABSGIMDCGUIANP-HNNXBMFYSA-N -1 1 328.413 -0.938 20 0 EBADMM CC[C@@H](C)[C@H](NC(=O)CN1CCN(CCO)C(C)(C)C1)C(=O)[O-] ZINC001594894074 982315678 /nfs/dbraw/zinc/31/56/78/982315678.db2.gz MDUCUVKIYZYBMC-OCCSQVGLSA-N -1 1 329.441 -0.010 20 0 EBADMM CCCn1cc(NC(=O)C(=O)N2CCN(C)C[C@H](C(=O)[O-])C2)cn1 ZINC001596116769 983448974 /nfs/dbraw/zinc/44/89/74/983448974.db2.gz RYMUPAMZKBASBK-NSHDSACASA-N -1 1 337.380 -0.294 20 0 EBADMM CCN(C(=O)C(=O)N1CC[C@](OC)(C(=O)[O-])C1)[C@@H]1CCN(C)C1 ZINC001596129143 983476095 /nfs/dbraw/zinc/47/60/95/983476095.db2.gz ZTKZVJCCBXSIKJ-IAQYHMDHSA-N -1 1 327.381 -0.759 20 0 EBADMM CCN(CC)CCS(=O)(=O)N1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001596270511 983688042 /nfs/dbraw/zinc/68/80/42/983688042.db2.gz BZGVESZMEPOPAT-LLVKDONJSA-N -1 1 345.425 -0.105 20 0 EBADMM CCNC(=O)CCCC(=O)N(CCN1CCN(C)CC1)CC(=O)[O-] ZINC001596457150 984167209 /nfs/dbraw/zinc/16/72/09/984167209.db2.gz OSOXPYJUZGEXFM-UHFFFAOYSA-N -1 1 342.440 -0.547 20 0 EBADMM CCOC(=O)CN(C)C(=O)CN1CCN(CCC(=O)[O-])C[C@H]1C ZINC001596616216 984584678 /nfs/dbraw/zinc/58/46/78/984584678.db2.gz UAIRNJPFNKBIII-GFCCVEGCSA-N -1 1 329.397 -0.511 20 0 EBADMM CCOC(=O)[C@@H]1C[C@H]1C(=O)N(CCN1CCN(C)CC1)CC(=O)[O-] ZINC001596683025 984772101 /nfs/dbraw/zinc/77/21/01/984772101.db2.gz CHMQIBOZFGCLGX-CHWSQXEVSA-N -1 1 341.408 -0.654 20 0 EBADMM CC(=O)N1CCC[C@@H]1C(=O)N(CCN1CCN(C)CC1)CC(=O)[O-] ZINC001589074864 985225388 /nfs/dbraw/zinc/22/53/88/985225388.db2.gz AITDODWJEAHQEM-CQSZACIVSA-N -1 1 340.424 -0.842 20 0 EBADMM C[C@H]1C[C@@H](C(=O)N2CCS(=O)(=O)C[C@H]2CC(=O)[O-])CC[N@H+]1C ZINC001599934753 985376288 /nfs/dbraw/zinc/37/62/88/985376288.db2.gz ZIUAWQMCSCMJOR-SDDRHHMPSA-N -1 1 332.422 -0.183 20 0 EBADMM C[C@H]1C[C@@H](C(=O)N2CCS(=O)(=O)C[C@H]2CC(=O)[O-])CCN1C ZINC001599934753 985376300 /nfs/dbraw/zinc/37/63/00/985376300.db2.gz ZIUAWQMCSCMJOR-SDDRHHMPSA-N -1 1 332.422 -0.183 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[N@@H+](C)C[C@H](C(=O)[O-])C1 ZINC001599941454 985460138 /nfs/dbraw/zinc/46/01/38/985460138.db2.gz LFKGNFJSMISGMS-QMMMGPOBSA-N -1 1 326.378 -0.132 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC[N@H+](C)C[C@H](C(=O)[O-])C1 ZINC001599941454 985460143 /nfs/dbraw/zinc/46/01/43/985460143.db2.gz LFKGNFJSMISGMS-QMMMGPOBSA-N -1 1 326.378 -0.132 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@@H]1CCC2N=NC(=O)N2C1 ZINC001594514649 985848119 /nfs/dbraw/zinc/84/81/19/985848119.db2.gz IJCGMJBXKLRYLW-GHMZBOCLSA-N -1 1 337.380 -0.447 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@@H]1CC(c2cnn(C)c2)=NO1 ZINC001594515419 985875462 /nfs/dbraw/zinc/87/54/62/985875462.db2.gz OEECNKDWEQOWLJ-FZMZJTMJSA-N -1 1 349.391 -0.080 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NCC[C@@]1(O)CCOC1 ZINC001594516671 985910623 /nfs/dbraw/zinc/91/06/23/985910623.db2.gz QHUADUGKPAURBM-SWLSCSKDSA-N -1 1 329.397 -0.282 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)Cc1nnn(C(C)(C)C)n1 ZINC001594516736 985913424 /nfs/dbraw/zinc/91/34/24/985913424.db2.gz RMKHHRZWVVQTTJ-NSHDSACASA-N -1 1 338.412 -0.022 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NCC(=O)N1CCOCC1 ZINC001594517085 985927463 /nfs/dbraw/zinc/92/74/63/985927463.db2.gz ZHFKHPUDCNWRMW-GFCCVEGCSA-N -1 1 342.396 -0.964 20 0 EBADMM CCOCCN1CCN(CCN(C)C(=O)[C@@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001596928722 986005201 /nfs/dbraw/zinc/00/52/01/986005201.db2.gz ADNFQXSFHNXINQ-KGLIPLIRSA-N -1 1 327.425 -0.180 20 0 EBADMM C[C@H]1CN(CCNC(=O)N2CCN(CCC(=O)[O-])C[C@@H]2C)CCO1 ZINC001594527847 986087544 /nfs/dbraw/zinc/08/75/44/986087544.db2.gz PAMRNZZUIMBDGP-KBPBESRZSA-N -1 1 342.440 -0.103 20 0 EBADMM C[C@@H]1SC[C@H](C(=O)NCCC(=O)[O-])N1C(=O)CCc1nn[nH]n1 ZINC001594656241 986903900 /nfs/dbraw/zinc/90/39/00/986903900.db2.gz KNISTOPFSGEIIY-JGVFFNPUSA-N -1 1 342.381 -0.987 20 0 EBADMM C[C@H]1SC[C@@H](C(=O)NCCC(=O)[O-])N1C(=O)C1=NC(=O)N(C)C1 ZINC001594660890 986938745 /nfs/dbraw/zinc/93/87/45/986938745.db2.gz VRDQOEVNKQXNJO-APPZFPTMSA-N -1 1 342.377 -0.380 20 0 EBADMM CCS(=O)(=O)N[C@H](C)C(=O)N1CCN(CCC(=O)[O-])[C@H](C)C1 ZINC001597224414 987165195 /nfs/dbraw/zinc/16/51/95/987165195.db2.gz WECPGZFOTYLQKD-GHMZBOCLSA-N -1 1 335.426 -0.678 20 0 EBADMM CS[C@H]1CCN(C(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)C1 ZINC001599790542 987999334 /nfs/dbraw/zinc/99/93/34/987999334.db2.gz ISBFNGILSYZQHE-NSHDSACASA-N -1 1 343.449 -0.042 20 0 EBADMM C[C@@H]1CN(C(=O)CCc2c[nH]nn2)C[C@H]1Nc1ccnc(C(=O)[O-])n1 ZINC001599853272 989046659 /nfs/dbraw/zinc/04/66/59/989046659.db2.gz FYMRUNPDAOZSAF-MWLCHTKSSA-N -1 1 345.363 -0.394 20 0 EBADMM C[C@@H]1CN(C(=O)CCc2cnn[nH]2)C[C@H]1Nc1ccnc(C(=O)[O-])n1 ZINC001599853272 989046671 /nfs/dbraw/zinc/04/66/71/989046671.db2.gz FYMRUNPDAOZSAF-MWLCHTKSSA-N -1 1 345.363 -0.394 20 0 EBADMM CC(C)(CCN1CCN(C(=O)C(=O)N2CCOCC2)CC1)C(=O)[O-] ZINC001590671119 990380215 /nfs/dbraw/zinc/38/02/15/990380215.db2.gz OXISOBWTKXEMSD-UHFFFAOYSA-N -1 1 341.408 -0.510 20 0 EBADMM CN(C)Cc1ccc(C(N)=O)cc1NC(=O)NC[C@@H](O)CC(=O)[O-] ZINC001598252513 991033296 /nfs/dbraw/zinc/03/32/96/991033296.db2.gz JSIOPKMQNNMHFX-NSHDSACASA-N -1 1 338.364 -0.196 20 0 EBADMM COc1cncc(C(=O)N(CCN2CCN(C)CC2)CC(=O)[O-])n1 ZINC001599725209 991609357 /nfs/dbraw/zinc/60/93/57/991609357.db2.gz AVAWCDGQAPROHF-UHFFFAOYSA-N -1 1 337.380 -0.741 20 0 EBADMM COc1nc(C(=O)N(CCN2CCN(C)CC2)CC(=O)[O-])co1 ZINC001599728731 991674662 /nfs/dbraw/zinc/67/46/62/991674662.db2.gz KTEHKRBZWCIJLE-UHFFFAOYSA-N -1 1 326.353 -0.543 20 0 EBADMM CS(=O)(=O)Nc1ccc(C(=O)NC[C@H]2CCO[C@H]2C(=O)[O-])cn1 ZINC001599760404 992114263 /nfs/dbraw/zinc/11/42/63/992114263.db2.gz OAPZQMWROSVYRT-LDYMZIIASA-N -1 1 343.361 -0.327 20 0 EBADMM CN(CCCN(C)c1ccnc(C(=O)[O-])n1)C(=O)Cc1ncn[nH]1 ZINC001598479292 992122892 /nfs/dbraw/zinc/12/28/92/992122892.db2.gz XCFCYOJMFDFBPZ-UHFFFAOYSA-N -1 1 333.352 -0.180 20 0 EBADMM CN(CCN(C)C(=O)[C@@H]1C[C@@H]1C(=O)[O-])CCN1C(=O)CCC1=O ZINC001598486971 992218519 /nfs/dbraw/zinc/21/85/19/992218519.db2.gz YBZJAIUIFJKEEU-MNOVXSKESA-N -1 1 325.365 -0.754 20 0 EBADMM CN(CCNC(=O)C(=O)N1CCO[C@H](C(=O)[O-])C1)Cc1ccccc1 ZINC001598488625 992248980 /nfs/dbraw/zinc/24/89/80/992248980.db2.gz HVDXNUVWKKDNJW-AWEZNQCLSA-N -1 1 349.387 -0.453 20 0 EBADMM C[N@@H+](CCNC(=O)c1ccc([N-]S(C)(=O)=O)nc1)CC(=O)[O-] ZINC001598492593 992319168 /nfs/dbraw/zinc/31/91/68/992319168.db2.gz PHBUOIFGTFFIJK-UHFFFAOYSA-N -1 1 330.366 -0.801 20 0 EBADMM CC(C)CNC(=O)CNC(=O)N1CCN(CCC(=O)[O-])C[C@H]1C ZINC001591371114 992407211 /nfs/dbraw/zinc/40/72/11/992407211.db2.gz ZJZGZRPVFVQYSM-GFCCVEGCSA-N -1 1 328.413 -0.051 20 0 EBADMM CC(C)N(C)S(=O)(=O)N(CCN1CCN(C)CC1)CC(=O)[O-] ZINC001591556753 992756182 /nfs/dbraw/zinc/75/61/82/992756182.db2.gz SHMHSZHPJQWMKE-UHFFFAOYSA-N -1 1 336.458 -0.795 20 0 EBADMM COc1ccc(NC(=O)C(=O)NC[C@H]2COCCN2)c(C(=O)[O-])c1 ZINC001599587569 993634036 /nfs/dbraw/zinc/63/40/36/993634036.db2.gz JOWSHKROLVXKBI-VIFPVBQESA-N -1 1 337.332 -0.564 20 0 EBADMM CN1CCN(C(=O)c2ccn(CCn3cccn3)n2)C[C@@H](C(=O)[O-])C1 ZINC001598612974 993816453 /nfs/dbraw/zinc/81/64/53/993816453.db2.gz FJKQPCVDUAAEIW-ZDUSSCGKSA-N -1 1 346.391 -0.132 20 0 EBADMM CN(CCCN1CCN(C)CC1)S(=O)(=O)CC(C)(C)C(=O)[O-] ZINC001598615276 993866704 /nfs/dbraw/zinc/86/67/04/993866704.db2.gz BRAYICUINDQPKD-UHFFFAOYSA-N -1 1 335.470 -0.004 20 0 EBADMM CN1CCN(C2CN(C(=O)[C@H]3CC[C@@H](CCC(=O)[O-])O3)C2)CC1 ZINC001598616783 993897751 /nfs/dbraw/zinc/89/77/51/993897751.db2.gz XTPQIAILBAFMGZ-UONOGXRCSA-N -1 1 325.409 -0.143 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])Cn2cc3[nH]cccc-3c2=O)CC1 ZINC001598617134 993907136 /nfs/dbraw/zinc/90/71/36/993907136.db2.gz OSLYPRHJWSHRHW-UHFFFAOYSA-N -1 1 347.419 -0.371 20 0 EBADMM Cn1cc(Cl)c(C(=O)N(CCN2CCN(C)CC2)CC(=O)[O-])n1 ZINC001598617375 993914644 /nfs/dbraw/zinc/91/46/44/993914644.db2.gz RUYSEUONUYLDQY-UHFFFAOYSA-N -1 1 343.815 -0.152 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)[C@@H]2CC23CCOCC3)CC1 ZINC001598618031 993930182 /nfs/dbraw/zinc/93/01/82/993930182.db2.gz QBAQBNGFIXRKSM-AWEZNQCLSA-N -1 1 339.436 -0.036 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])S(=O)(=O)c2ccccn2)CC1 ZINC001598618866 993954724 /nfs/dbraw/zinc/95/47/24/993954724.db2.gz YIHBRAWVVAOXAB-UHFFFAOYSA-N -1 1 342.421 -0.596 20 0 EBADMM CN1CCN(S(=O)(=O)c2cnn3ccccc23)C[C@@H](C(=O)[O-])C1 ZINC001598620303 993994728 /nfs/dbraw/zinc/99/47/28/993994728.db2.gz WOPLHELBPXNXCG-NSHDSACASA-N -1 1 338.389 -0.029 20 0 EBADMM CN1CCN(S(=O)(=O)c2cnn3ccccc23)C[C@H](C(=O)[O-])C1 ZINC001598620302 993995079 /nfs/dbraw/zinc/99/50/79/993995079.db2.gz WOPLHELBPXNXCG-LLVKDONJSA-N -1 1 338.389 -0.029 20 0 EBADMM C[C@H](N[C@H](C(=O)[O-])C1CC1)C(=O)N1CCN(c2ncccn2)CC1 ZINC001593190035 994117676 /nfs/dbraw/zinc/11/76/76/994117676.db2.gz KETLEIYILUKYIL-AAEUAGOBSA-N -1 1 333.392 -0.034 20 0 EBADMM C[C@H](O)CN1CCN(CC(=O)N2CCC(C(=O)[O-])CC2)[C@H](C)C1 ZINC001593462441 995385543 /nfs/dbraw/zinc/38/55/43/995385543.db2.gz JRBBMUMMISYMAE-OLZOCXBDSA-N -1 1 327.425 -0.304 20 0 EBADMM COC(=O)[C@@H]1C[C@@H](C(=O)[O-])CCN1C(=O)C1CN([C@H]2CCOC2)C1 ZINC001598752161 995668646 /nfs/dbraw/zinc/66/86/46/995668646.db2.gz WQDLBXBXAPMBBR-DRZSPHRISA-N -1 1 340.376 -0.428 20 0 EBADMM COc1cc(C(=O)[O-])ccc1OC[C@@H](O)CN(C)[C@@H]1CCNC1=O ZINC001599381213 996852253 /nfs/dbraw/zinc/85/22/53/996852253.db2.gz UHPRJARQBBQNDB-NWDGAFQWSA-N -1 1 338.360 -0.047 20 0 EBADMM CO[C@]1(C(=O)[O-])CCN(CC(=O)NCC(C)(C)N2CCOCC2)C1 ZINC001599076524 996882613 /nfs/dbraw/zinc/88/26/13/996882613.db2.gz ORIXPLPLUOYSCD-MRXNPFEDSA-N -1 1 343.424 -0.611 20 0 EBADMM COCC[C@@H]1CNCCN1C(=O)C(=O)N1C[C@@H](C)[C@](C)(C(=O)[O-])C1 ZINC001599221134 997670672 /nfs/dbraw/zinc/67/06/72/997670672.db2.gz KWAMEDPEUHUTAI-XHBSWPGZSA-N -1 1 341.408 -0.608 20 0 EBADMM COCC[C@H]1CNCCN1C(=O)C(=O)N1C[C@@H](C)[C@](C)(C(=O)[O-])C1 ZINC001599221133 997670746 /nfs/dbraw/zinc/67/07/46/997670746.db2.gz KWAMEDPEUHUTAI-BFQNTYOBSA-N -1 1 341.408 -0.608 20 0 EBADMM CC1(NC(=O)[C@@H]2C[C@H]2C(=O)[O-])CCN(C(=O)Cc2ncn[nH]2)CC1 ZINC001594053322 997769716 /nfs/dbraw/zinc/76/97/16/997769716.db2.gz SJYMXIDLDXNUIP-NXEZZACHSA-N -1 1 335.364 -0.435 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)N2CCC[C@H]2CC(=O)[O-])C1 ZINC001599240947 998040409 /nfs/dbraw/zinc/04/04/09/998040409.db2.gz VENMCRIVFISONO-RYUDHWBXSA-N -1 1 327.381 -0.711 20 0 EBADMM COCCN1CC[C@H](NC(=O)N2C[C@H]3COCC[C@@]3(C(=O)[O-])C2)C1 ZINC001599243771 998098719 /nfs/dbraw/zinc/09/87/19/998098719.db2.gz MXDLCSQBBUPUSN-HEHGZKQESA-N -1 1 341.408 -0.160 20 0 EBADMM COCCNCC(=O)N[C@@H]1CCN(c2sccc2C(=O)[O-])C1=O ZINC001599244895 998122624 /nfs/dbraw/zinc/12/26/24/998122624.db2.gz MISHGPZXODNIIO-SNVBAGLBSA-N -1 1 341.389 -0.096 20 0 EBADMM COC(=O)c1ccc(NC(=O)C(=O)N2CCNC[C@@H]2C)cc1C(=O)[O-] ZINC001598977525 998617838 /nfs/dbraw/zinc/61/78/38/998617838.db2.gz HYUHZGSDZYOBJQ-VIFPVBQESA-N -1 1 349.343 -0.070 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)CCO1 ZINC001594249868 998956943 /nfs/dbraw/zinc/95/69/43/998956943.db2.gz PVVFXCKFTUBBBX-VXGBXAGGSA-N -1 1 341.408 -0.323 20 0 EBADMM COC(CN(CCC(=O)[O-])C(=O)CN(C)[C@@H]1CCC[C@H]1O)OC ZINC001599045392 999147176 /nfs/dbraw/zinc/14/71/76/999147176.db2.gz KQQSZTQTMXIVTG-VXGBXAGGSA-N -1 1 332.397 -0.246 20 0 EBADMM C[C@@H]1CN[C@@H](C)CN1C(=O)C(=O)Nc1cnn(C(C)(C)C(=O)[O-])c1 ZINC001594458451 999665071 /nfs/dbraw/zinc/66/50/71/999665071.db2.gz HDBRDXBWLQMKOW-VHSXEESVSA-N -1 1 337.380 -0.150 20 0 EBADMM C[C@H]1CN(C(=O)[C@H]2COCCN2CC(F)F)CCN1CCC(=O)[O-] ZINC001594465815 999685375 /nfs/dbraw/zinc/68/53/75/999685375.db2.gz CADIWSLTVDOYOH-NWDGAFQWSA-N -1 1 349.378 -0.040 20 0 EBADMM C[C@H]1CN(C(=O)[C@@H]2CCCN2S(C)(=O)=O)CCN1CCC(=O)[O-] ZINC001594466332 999687802 /nfs/dbraw/zinc/68/78/02/999687802.db2.gz HAWUFILFQKQRIZ-RYUDHWBXSA-N -1 1 347.437 -0.582 20 0 EBADMM Cc1nc([C@@H](C)N(C)CCNC(=O)c2c[n-]n3c2nccc3=O)n[nH]1 ZINC001690981673 1125796183 /nfs/dbraw/zinc/79/61/83/1125796183.db2.gz ABUYJVNGSXIYRB-SECBINFHSA-N -1 1 344.379 -0.128 20 0 EBADMM COCCn1cc(C(=O)NCCN(C)C(=O)c2ncccc2[O-])nn1 ZINC001408569810 1125868052 /nfs/dbraw/zinc/86/80/52/1125868052.db2.gz QIKUTTITNRYGIU-UHFFFAOYSA-N -1 1 348.363 -0.473 20 0 EBADMM CN(CCNC(=O)Cn1c(=O)[n-][nH]c1=O)Cc1ccnc(N(C)C)c1 ZINC001480940558 1125886439 /nfs/dbraw/zinc/88/64/39/1125886439.db2.gz MCWCOABJPPQREQ-UHFFFAOYSA-N -1 1 349.395 -0.602 20 0 EBADMM Cc1nonc1CC(=O)NC[C@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001481063555 1125915919 /nfs/dbraw/zinc/91/59/19/1125915919.db2.gz SWLAKJPNHMWNPW-SNVBAGLBSA-N -1 1 335.368 -0.877 20 0 EBADMM Cc1ncoc1CN1CCC[C@H]1CNC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001481067426 1125916526 /nfs/dbraw/zinc/91/65/26/1125916526.db2.gz REYSCQPMULQUOP-JTQLQIEISA-N -1 1 336.352 -0.233 20 0 EBADMM COCC(C)(C)C(=O)NC[C@@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001481048248 1125913111 /nfs/dbraw/zinc/91/31/11/1125913111.db2.gz SOTRNPQNKWRJPZ-NSHDSACASA-N -1 1 325.413 -0.138 20 0 EBADMM CCCN(CCNC(=O)c1[nH]nc(C)c1[O-])[C@H]1CCC(=O)NC1=O ZINC001481134562 1125929766 /nfs/dbraw/zinc/92/97/66/1125929766.db2.gz OJFMCLMLBWSZSF-JTQLQIEISA-N -1 1 337.380 -0.329 20 0 EBADMM CCCN(C(=O)c1cncn1C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001481402226 1125982521 /nfs/dbraw/zinc/98/25/21/1125982521.db2.gz UCRROCLVHQJPHN-GFCCVEGCSA-N -1 1 347.423 -0.031 20 0 EBADMM CC(=O)N(C)CC(=O)N(CCNC(=O)c1ncccc1[O-])C1CC1 ZINC001408910291 1126019959 /nfs/dbraw/zinc/01/99/59/1126019959.db2.gz REBXVFYOFNWIRK-UHFFFAOYSA-N -1 1 334.376 -0.014 20 0 EBADMM CNC(=O)NC(=O)CN1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1[O-] ZINC001481668746 1126034833 /nfs/dbraw/zinc/03/48/33/1126034833.db2.gz OZJZPMOWLDXYLE-UWVGGRQHSA-N -1 1 333.348 -0.859 20 0 EBADMM CN(C(=O)c1n[nH]c(=O)[n-]c1=O)[C@H]1CCN(CCOCC(F)F)C1 ZINC001481732547 1126047463 /nfs/dbraw/zinc/04/74/63/1126047463.db2.gz QQWYNMNWXCNJLB-QMMMGPOBSA-N -1 1 347.322 -0.289 20 0 EBADMM C[C@@H](C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1)C1CCC1 ZINC001482190821 1126095815 /nfs/dbraw/zinc/09/58/15/1126095815.db2.gz PQLMKIJUQVQVNL-YPMHNXCESA-N -1 1 337.424 -0.138 20 0 EBADMM CC(F)(F)C(=O)N1CC[C@@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001358622525 1131234291 /nfs/dbraw/zinc/23/42/91/1131234291.db2.gz LJLDPMLPGSHBDR-SECBINFHSA-N -1 1 344.318 -0.701 20 0 EBADMM CS(=O)(=O)CC(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001409127724 1126208718 /nfs/dbraw/zinc/20/87/18/1126208718.db2.gz PSIDSGVRNCVMJA-UHFFFAOYSA-N -1 1 327.362 -0.980 20 0 EBADMM CC[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)c1noc(C)n1 ZINC001414228800 1126218568 /nfs/dbraw/zinc/21/85/68/1126218568.db2.gz WUPBEYCMHHMUTD-ZETCQYMHSA-N -1 1 329.338 -0.393 20 0 EBADMM Cc1nn(C)cc1CC(=O)N(C)CCN(C)Cc1nc(=O)n(C)[n-]1 ZINC001482602844 1126233540 /nfs/dbraw/zinc/23/35/40/1126233540.db2.gz IWYJOFKIQCCZNR-UHFFFAOYSA-N -1 1 335.412 -0.717 20 0 EBADMM CNC(=O)[C@H](C)[N-]S(=O)(=O)N=[S@](=O)(c1ccccc1)N(C)C ZINC001414232424 1126237916 /nfs/dbraw/zinc/23/79/16/1126237916.db2.gz POIIDFBRNKRWIY-CHNSCGDPSA-N -1 1 348.450 -0.041 20 0 EBADMM Cc1cnn(CCC(=O)N(C)CCN(C)Cc2nc(=O)n(C)[n-]2)c1 ZINC001482649170 1126244793 /nfs/dbraw/zinc/24/47/93/1126244793.db2.gz BFAZRMLDJIFLOD-UHFFFAOYSA-N -1 1 335.412 -0.406 20 0 EBADMM O=C(NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1)c1ccnc2ccccc21 ZINC001482748450 1126269621 /nfs/dbraw/zinc/26/96/21/1126269621.db2.gz WURXZEACECZSRH-JTQLQIEISA-N -1 1 342.359 -0.061 20 0 EBADMM O=C(NC[C@H](O)CNCc1n[nH]c(=O)[n-]1)[C@@H]1CCCc2cccnc21 ZINC001482761137 1126279765 /nfs/dbraw/zinc/27/97/65/1126279765.db2.gz HDYOTSQQYROAQT-VXGBXAGGSA-N -1 1 346.391 -0.408 20 0 EBADMM C[C@H](CCNCc1cn(C)nn1)NC(=O)c1c[n-]n2c1nccc2=O ZINC001482876783 1126299666 /nfs/dbraw/zinc/29/96/66/1126299666.db2.gz DHIKTBQPKOOMFE-SNVBAGLBSA-N -1 1 344.379 -0.551 20 0 EBADMM C[C@@H](NCc1cc2n(n1)CCC2)[C@@H](C)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001409387073 1126362274 /nfs/dbraw/zinc/36/22/74/1126362274.db2.gz OUYUCRQYQKHOKQ-RKDXNWHRSA-N -1 1 347.379 -0.278 20 0 EBADMM C[C@@H](NC(=O)c1n[nH]c(=O)[n-]c1=O)[C@H](C)NCc1cc2n(n1)CCC2 ZINC001409387023 1126362395 /nfs/dbraw/zinc/36/23/95/1126362395.db2.gz OUYUCRQYQKHOKQ-DTWKUNHWSA-N -1 1 347.379 -0.278 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)COCc1ccnn1C ZINC001409391716 1126362710 /nfs/dbraw/zinc/36/27/10/1126362710.db2.gz UACHQFOEHDYMHD-UWVGGRQHSA-N -1 1 337.384 -0.557 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)ccc1=O)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001409589007 1126380654 /nfs/dbraw/zinc/38/06/54/1126380654.db2.gz QROLFJQXUPMSLH-JTQLQIEISA-N -1 1 334.380 -0.943 20 0 EBADMM Cc1nn(C)c(C)c1C(=O)NC[C@H](C)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001409603704 1126382845 /nfs/dbraw/zinc/38/28/45/1126382845.db2.gz XWCKWNHOBSCYER-VIFPVBQESA-N -1 1 335.412 -0.291 20 0 EBADMM C[C@H](CNC(=O)[C@@H]1Cc2cccnc2C1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001409656998 1126389164 /nfs/dbraw/zinc/38/91/64/1126389164.db2.gz QJGSLYADTACRDX-DGCLKSJQSA-N -1 1 344.419 -0.145 20 0 EBADMM C[C@@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)CCc1cnccn1 ZINC001483402385 1126424288 /nfs/dbraw/zinc/42/42/88/1126424288.db2.gz FTFNOSSTUSYGIG-NSHDSACASA-N -1 1 333.396 -0.532 20 0 EBADMM CC(=O)N[C@@H](C(=O)N[C@@H](C)CN(C)Cc1nc(=O)n(C)[n-]1)C(C)C ZINC001483409527 1126424685 /nfs/dbraw/zinc/42/46/85/1126424685.db2.gz RHCUXBRVQQQOIC-GXFFZTMASA-N -1 1 340.428 -0.794 20 0 EBADMM COCCCNC(=O)CN1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001483645389 1126449380 /nfs/dbraw/zinc/44/93/80/1126449380.db2.gz JDVGYHDRHLDZFC-GFCCVEGCSA-N -1 1 336.392 -0.256 20 0 EBADMM CNC(=O)CCCCC(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001483705234 1126461997 /nfs/dbraw/zinc/46/19/97/1126461997.db2.gz GVSUPQUDSRLXFS-LLVKDONJSA-N -1 1 338.412 -0.895 20 0 EBADMM O=S(=O)([N-]C[C@@H](O)[C@@H]1CCCO1)c1nc[nH]c1Br ZINC001365721426 1126471360 /nfs/dbraw/zinc/47/13/60/1126471360.db2.gz GAHHDQUNNHFTSC-RQJHMYQMSA-N -1 1 340.199 -0.010 20 0 EBADMM CC1(NC(=O)c2ncccc2[O-])CCN(CC(=O)NC(N)=O)CC1 ZINC001483965158 1126493829 /nfs/dbraw/zinc/49/38/29/1126493829.db2.gz YMOMQVXAFSBEHW-UHFFFAOYSA-N -1 1 335.364 -0.434 20 0 EBADMM C[C@H](C(=O)NCC1(O)CN(Cc2nc(=O)n(C)[n-]2)C1)C(C)(F)F ZINC001484296940 1126556066 /nfs/dbraw/zinc/55/60/66/1126556066.db2.gz WWRWADDCUBIRMP-MRVPVSSYSA-N -1 1 333.339 -0.937 20 0 EBADMM C[C@H]1CCC[C@H](C(=O)NCC2(O)CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001484291715 1126557408 /nfs/dbraw/zinc/55/74/08/1126557408.db2.gz FUGMUDAZPOBUML-RYUDHWBXSA-N -1 1 337.424 -0.402 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCCN(C(=O)COCC4CC4)[C@@H]3C2)nc1=O ZINC001484469720 1126588044 /nfs/dbraw/zinc/58/80/44/1126588044.db2.gz SCLJYLAIDYPDEI-UONOGXRCSA-N -1 1 349.435 -0.042 20 0 EBADMM CCc1nnc(C)cc1C(=O)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001484487562 1126596402 /nfs/dbraw/zinc/59/64/02/1126596402.db2.gz MHRUWHGRLNXPDO-UHFFFAOYSA-N -1 1 349.395 -0.605 20 0 EBADMM CCC[C@H](C)C(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001484588520 1126606094 /nfs/dbraw/zinc/60/60/94/1126606094.db2.gz FOABTDJJWBXSPG-NWDGAFQWSA-N -1 1 325.413 -0.138 20 0 EBADMM C[C@H](C(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1)C1CCC1 ZINC001484596748 1126609672 /nfs/dbraw/zinc/60/96/72/1126609672.db2.gz IMLIWAJQHNYQKQ-WCQYABFASA-N -1 1 337.424 -0.138 20 0 EBADMM O=C(NCC1(NCc2n[nH]c(=O)[n-]2)CCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001484642849 1126612954 /nfs/dbraw/zinc/61/29/54/1126612954.db2.gz IKUROPMQUKEXRY-SECBINFHSA-N -1 1 343.409 -0.927 20 0 EBADMM O=C(COC[C@@H]1CCCO1)NCC1(NCc2n[nH]c(=O)[n-]2)CCC1 ZINC001484667081 1126615817 /nfs/dbraw/zinc/61/58/17/1126615817.db2.gz INYUCNRFWCTLGZ-NSHDSACASA-N -1 1 339.396 -0.166 20 0 EBADMM C[C@H]1CN(C(=O)CN(C)C(=O)C2CC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001484890298 1126649391 /nfs/dbraw/zinc/64/93/91/1126649391.db2.gz RVFIEQYHIDKZDA-GXSJLCMTSA-N -1 1 336.396 -0.685 20 0 EBADMM C[C@@H](CCNC(=O)c1c[n-]n2c1nccc2=O)NCc1cnn(C)n1 ZINC001485000062 1126661940 /nfs/dbraw/zinc/66/19/40/1126661940.db2.gz XBXKNKSKHYYPML-JTQLQIEISA-N -1 1 344.379 -0.551 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)CC(=O)N1CCOCC1 ZINC001485293835 1126686485 /nfs/dbraw/zinc/68/64/85/1126686485.db2.gz RLBRHRQDDURWQN-GFCCVEGCSA-N -1 1 336.392 -0.304 20 0 EBADMM Cc1nccc(CCC(=O)NC[C@H](C)N(C)Cc2nc(=O)n(C)[n-]2)n1 ZINC001485332550 1126689487 /nfs/dbraw/zinc/68/94/87/1126689487.db2.gz QQINAPZLEMJEAU-NSHDSACASA-N -1 1 347.423 -0.224 20 0 EBADMM CC[C@H](C)CN1CC(CCO)(NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001485408380 1126703321 /nfs/dbraw/zinc/70/33/21/1126703321.db2.gz FZYDISHCZXJNTM-VIFPVBQESA-N -1 1 325.369 -0.505 20 0 EBADMM Cc1cnccc1C(=O)NCCOCCN(C)Cc1nc(=O)n(C)[n-]1 ZINC001485773211 1126832012 /nfs/dbraw/zinc/83/20/12/1126832012.db2.gz RGSQAFHKUWWCMM-UHFFFAOYSA-N -1 1 348.407 -0.310 20 0 EBADMM COc1coc(C(=O)N(C)C[C@@H](C)NCc2n[nH]c(=O)[n-]2)cc1=O ZINC001485901649 1126910966 /nfs/dbraw/zinc/91/09/66/1126910966.db2.gz YOLBUYVRPNHPTJ-MRVPVSSYSA-N -1 1 337.336 -0.278 20 0 EBADMM O=C(C[C@@H]1CCCO1)NC[C@@H](CO)NCc1cc(=O)n2[n-]ccc2n1 ZINC001485976044 1126934555 /nfs/dbraw/zinc/93/45/55/1126934555.db2.gz JAPGEBJGSZHQOH-STQMWFEESA-N -1 1 349.391 -0.842 20 0 EBADMM CC[C@@H](C(=O)N(C)C[C@H](C)NCc1n[nH]c(=O)[n-]1)N1CCCC1=O ZINC001485958377 1126938795 /nfs/dbraw/zinc/93/87/95/1126938795.db2.gz IQGWXKAOSBXULJ-QWRGUYRKSA-N -1 1 338.412 -0.152 20 0 EBADMM Cn1[n-]c(CN[C@@H](CO)CNC(=O)c2ccccc2Cl)nc1=O ZINC001486054311 1127023834 /nfs/dbraw/zinc/02/38/34/1127023834.db2.gz UGMSPMPYYAXXMS-SECBINFHSA-N -1 1 339.783 -0.358 20 0 EBADMM CN(C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)c1cncc(Cl)c1 ZINC001486305301 1127110726 /nfs/dbraw/zinc/11/07/26/1127110726.db2.gz CMKROMRTTOKXFD-SNVBAGLBSA-N -1 1 340.771 -0.219 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H](O)CN(C)C(=O)/C=C\C(C)(C)C ZINC001486328638 1127131313 /nfs/dbraw/zinc/13/13/13/1127131313.db2.gz LEUFADMHAHWRIB-KRZKBDHCSA-N -1 1 339.440 -0.038 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H](O)CN(C)C(=O)c1cc(F)c[nH]1 ZINC001486344282 1127156273 /nfs/dbraw/zinc/15/62/73/1127156273.db2.gz VOVFSRMWKCGPRF-JTQLQIEISA-N -1 1 340.359 -0.860 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N(C)C[C@H](O)CN(C)Cc1cc(=O)n2[n-]ccc2n1 ZINC001486345723 1127157667 /nfs/dbraw/zinc/15/76/67/1127157667.db2.gz WZTQFQQTAHGQBT-YUTCNCBUSA-N -1 1 347.419 -0.070 20 0 EBADMM COCCN(CCNC(=O)C1CC(C)C1)Cc1nc(=O)n(C)[n-]1 ZINC001486427452 1127171219 /nfs/dbraw/zinc/17/12/19/1127171219.db2.gz QFWFTYGPWCFDQM-UHFFFAOYSA-N -1 1 325.413 -0.281 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)CCc3ccon3)CC2)nc1=O ZINC001486511461 1127195870 /nfs/dbraw/zinc/19/58/70/1127195870.db2.gz RYCSEXYDFPWNAB-UHFFFAOYSA-N -1 1 346.391 -0.023 20 0 EBADMM C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)[C@H](C)NC(=O)c1ccoc1 ZINC001486654550 1127228917 /nfs/dbraw/zinc/22/89/17/1127228917.db2.gz WZPUOPFJRCJAOH-MNOVXSKESA-N -1 1 348.359 -0.157 20 0 EBADMM CN(CCN(C)C(=O)[C@H]1CN(C)CCO1)C(=O)c1ncccc1[O-] ZINC001409957420 1127270644 /nfs/dbraw/zinc/27/06/44/1127270644.db2.gz AWUNARKRFVBVTO-CYBMUJFWSA-N -1 1 336.392 -0.352 20 0 EBADMM CCC(=O)N[C@H]1CCC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001487359861 1127483583 /nfs/dbraw/zinc/48/35/83/1127483583.db2.gz FWJYFLCKJPZEJQ-RYUDHWBXSA-N -1 1 336.392 -0.120 20 0 EBADMM CNC(=O)NCC(=O)N[C@H]1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001487374338 1127485355 /nfs/dbraw/zinc/48/53/55/1127485355.db2.gz FEZCPSSBOXFXLG-WDEREUQCSA-N -1 1 349.391 -0.127 20 0 EBADMM C[C@@]1(C(=O)NC[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)CCNC1=O ZINC001487418035 1127495803 /nfs/dbraw/zinc/49/58/03/1127495803.db2.gz MSOGZRGZGXHRLE-CZIZLABSSA-N -1 1 346.387 -0.062 20 0 EBADMM CCN(C)C(=O)CN1C[C@@H]2[C@@H](CNC(=O)c3[nH]nc(C)c3[O-])[C@@H]2C1 ZINC001410093899 1127555680 /nfs/dbraw/zinc/55/56/80/1127555680.db2.gz XGQVMASLDUPYQF-CNDDSTCGSA-N -1 1 335.408 -0.190 20 0 EBADMM O=C(COc1cccnc1)NC[C@H](O)CNC(=O)c1ncccc1[O-] ZINC001410104857 1127567281 /nfs/dbraw/zinc/56/72/81/1127567281.db2.gz NHJGQMNAKBWIBW-NSHDSACASA-N -1 1 346.343 -0.532 20 0 EBADMM Cc1cnn(C)c1C(=O)NC[C@@H](O)CNC(=O)c1ncccc1[O-] ZINC001410110480 1127573692 /nfs/dbraw/zinc/57/36/92/1127573692.db2.gz SWUQLTCLPIRLML-JTQLQIEISA-N -1 1 333.348 -0.650 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001410208852 1127644341 /nfs/dbraw/zinc/64/43/41/1127644341.db2.gz MVXMEAYRERDQHW-SECBINFHSA-N -1 1 349.391 -0.228 20 0 EBADMM CC(C)S(=O)(=O)CC(=O)N[C@H]1C[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001410235163 1127652419 /nfs/dbraw/zinc/65/24/19/1127652419.db2.gz YWQAIAZCAFRCLC-MGCOHNPYSA-N -1 1 345.425 -0.682 20 0 EBADMM CC[C@H](OC)C(=O)N[C@@H](C)CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001410338079 1127682650 /nfs/dbraw/zinc/68/26/50/1127682650.db2.gz YTFHOUJTATUPNV-WPRPVWTQSA-N -1 1 336.352 -0.923 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@]1(C)CCNC(=O)C1 ZINC001410405995 1127705077 /nfs/dbraw/zinc/70/50/77/1127705077.db2.gz NNBFKAYTMHFJIC-MGPLVRAMSA-N -1 1 334.376 -0.062 20 0 EBADMM Cc1ccc(C(=O)NC[C@@H](CO)NCc2nc(=O)n(C)[n-]2)c(F)c1 ZINC001410728325 1127846546 /nfs/dbraw/zinc/84/65/46/1127846546.db2.gz REBWZFAUVCFVNN-JTQLQIEISA-N -1 1 337.355 -0.564 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCCN1CC(=O)NC(N)=O ZINC001410905244 1127938067 /nfs/dbraw/zinc/93/80/67/1127938067.db2.gz AQMIFOVPTVASTQ-UWVGGRQHSA-N -1 1 335.364 -0.435 20 0 EBADMM CC(C)[C@@H](C)C(=O)N(C)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001410939664 1127949449 /nfs/dbraw/zinc/94/94/49/1127949449.db2.gz SKPICWSFYFGHEZ-SNVBAGLBSA-N -1 1 346.391 -0.008 20 0 EBADMM CN(C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)COC1CCCCC1 ZINC001411051050 1127988048 /nfs/dbraw/zinc/98/80/48/1127988048.db2.gz CLWLRBBVPOZRSI-LLVKDONJSA-N -1 1 341.412 -0.232 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H](O)CN(C)C(=O)C1(C)CCC1 ZINC001411166308 1128059995 /nfs/dbraw/zinc/05/99/95/1128059995.db2.gz BIQYUAOCLLHVSZ-LLVKDONJSA-N -1 1 325.413 -0.450 20 0 EBADMM CS[C@H](C)CC(=O)N(C)C[C@@H](O)CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001411163307 1128061177 /nfs/dbraw/zinc/06/11/77/1128061177.db2.gz PQLNDNOFVNDYKD-MNOVXSKESA-N -1 1 345.469 -0.499 20 0 EBADMM C[C@@H](C(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C)S(C)(=O)=O ZINC001411205849 1128091749 /nfs/dbraw/zinc/09/17/49/1128091749.db2.gz BGXFTTYPSZRVME-DTWKUNHWSA-N -1 1 347.441 -0.436 20 0 EBADMM C[C@@H](CC(N)=O)C(=O)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001411326002 1128173098 /nfs/dbraw/zinc/17/30/98/1128173098.db2.gz GMUGITOQTSVSBQ-WHXUTIOJSA-N -1 1 334.376 -0.077 20 0 EBADMM CC(C)N1CC2(C1)C[C@@H](NC(=O)c1n[nH]c(=O)[n-]c1=O)c1nccn12 ZINC001092379987 1128232562 /nfs/dbraw/zinc/23/25/62/1128232562.db2.gz NECTWMAAMDFLAG-SECBINFHSA-N -1 1 345.363 -0.227 20 0 EBADMM C[C@@H](NC(=O)C1CCC1)[C@H](C)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001411340703 1128334920 /nfs/dbraw/zinc/33/49/20/1128334920.db2.gz LNOCEOAVLJJENI-BDAKNGLRSA-N -1 1 332.364 -0.159 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)[C@@]1(C)CNC(=O)C1 ZINC001487755268 1128418266 /nfs/dbraw/zinc/41/82/66/1128418266.db2.gz HBVVSLNWKNRESO-MGPLVRAMSA-N -1 1 334.376 -0.110 20 0 EBADMM NC(=O)C(=O)N1CCC([C@@H]2N(Cc3n[nH]c(=O)[n-]3)CC23CCC3)CC1 ZINC001093378920 1128338305 /nfs/dbraw/zinc/33/83/05/1128338305.db2.gz VYBSLJRZENCLMA-LBPRGKRZSA-N -1 1 348.407 -0.411 20 0 EBADMM CN(CCOCCNC(=O)c1nnc[nH]1)C(=O)c1ncccc1[O-] ZINC001487587811 1128379106 /nfs/dbraw/zinc/37/91/06/1128379106.db2.gz ROPFZURKTKJCMF-UHFFFAOYSA-N -1 1 334.336 -0.576 20 0 EBADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)[C@H]1CN(C)CCO1 ZINC001487756007 1128418206 /nfs/dbraw/zinc/41/82/06/1128418206.db2.gz OYWAMRPBDCZFAX-DGCLKSJQSA-N -1 1 336.392 -0.306 20 0 EBADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)c1cn(C)c(=O)cn1 ZINC001487756005 1128418262 /nfs/dbraw/zinc/41/82/62/1128418262.db2.gz OVEHSYGXVZAZKG-SNVBAGLBSA-N -1 1 345.359 -0.229 20 0 EBADMM C[C@H](CN(C)C(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)C(C)(C)F ZINC001487838751 1128430630 /nfs/dbraw/zinc/43/06/30/1128430630.db2.gz OGUVDYNJUXZLOT-SNVBAGLBSA-N -1 1 342.371 -0.362 20 0 EBADMM O=C(CC1OCCCO1)N[C@@H](CO)CNC(=O)c1ncccc1[O-] ZINC001487975291 1128471245 /nfs/dbraw/zinc/47/12/45/1128471245.db2.gz COZCVQOMVUJPGD-SNVBAGLBSA-N -1 1 339.348 -0.853 20 0 EBADMM O=C([N-][C@@H](CO)CNC(=O)c1[nH]ncc1C(F)(F)F)C(F)F ZINC001488011945 1128508152 /nfs/dbraw/zinc/50/81/52/1128508152.db2.gz DLVJXONSQSMNGM-SCSAIBSYSA-N -1 1 330.213 -0.100 20 0 EBADMM O=C(N[C@@H](CO)CNC(=O)[C@@H]1CCCOCC1)c1ncccc1[O-] ZINC001487985509 1128521529 /nfs/dbraw/zinc/52/15/29/1128521529.db2.gz KUTKAHZZHLSVAQ-VXGBXAGGSA-N -1 1 337.376 -0.189 20 0 EBADMM Cn1nc(C(=O)NC[C@@H](CO)[N-]C(=O)C(F)F)cc1C(F)(F)F ZINC001488003201 1128540101 /nfs/dbraw/zinc/54/01/01/1128540101.db2.gz BHWAOHFZFFXRII-YFKPBYRVSA-N -1 1 344.240 -0.089 20 0 EBADMM CN(C[C@@H](O)CNC(=O)c1ccn(C)n1)C(=O)c1ncccc1[O-] ZINC001488350148 1128687754 /nfs/dbraw/zinc/68/77/54/1128687754.db2.gz BEVLEGNZIWPFBI-JTQLQIEISA-N -1 1 333.348 -0.616 20 0 EBADMM C/C=C(\C)C(=O)N1CC[C@H]1CN(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001489763485 1129062668 /nfs/dbraw/zinc/06/26/68/1129062668.db2.gz XZCRQSBTWKBTKG-VFJIKVCNSA-N -1 1 348.403 -0.048 20 0 EBADMM COCC1(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)CC1 ZINC001490178911 1129135143 /nfs/dbraw/zinc/13/51/43/1129135143.db2.gz NXHFTGSCVOBCNK-YPMHNXCESA-N -1 1 349.387 -0.095 20 0 EBADMM CCN(CCCNC(=O)[C@]1(C)CCC(=O)NC1)Cc1n[nH]c(=O)[n-]1 ZINC001490342238 1129166982 /nfs/dbraw/zinc/16/69/82/1129166982.db2.gz IHYFTLYCNXDJRQ-OAHLLOKOSA-N -1 1 338.412 -0.245 20 0 EBADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)CN1CCCNC1=O ZINC001416208801 1131309927 /nfs/dbraw/zinc/30/99/27/1131309927.db2.gz NOULBWBNQRPNEB-NSHDSACASA-N -1 1 349.391 -0.173 20 0 EBADMM C[C@](CNC(=O)[C@@H]1COC(=O)N1)(NC(=O)c1ncccc1[O-])C1CC1 ZINC001416352597 1131331650 /nfs/dbraw/zinc/33/16/50/1131331650.db2.gz ZXIRIODSHCRUGV-MGPLVRAMSA-N -1 1 348.359 -0.090 20 0 EBADMM C[C@](CNC(=O)[C@H]1COC(=O)N1)(NC(=O)c1ncccc1[O-])C1CC1 ZINC001416352599 1131331872 /nfs/dbraw/zinc/33/18/72/1131331872.db2.gz ZXIRIODSHCRUGV-QLJPJBMISA-N -1 1 348.359 -0.090 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCN(Cc2nccs2)C1 ZINC001490566770 1129226545 /nfs/dbraw/zinc/22/65/45/1129226545.db2.gz CSCCYNDKVUDGPP-LLVKDONJSA-N -1 1 349.416 -0.226 20 0 EBADMM C[C@@H](NC(=O)CNC(=O)C1CC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001411948609 1129410349 /nfs/dbraw/zinc/41/03/49/1129410349.db2.gz XORJGBJHKQRUPH-SNVBAGLBSA-N -1 1 346.387 -0.110 20 0 EBADMM O=C(CCCc1nn[n-]n1)N[C@@H](CO)C(=O)OCc1ccccc1 ZINC001411987138 1129420490 /nfs/dbraw/zinc/42/04/90/1129420490.db2.gz PIJHDNRUYQSYKX-LBPRGKRZSA-N -1 1 333.348 -0.257 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1C[C@@H](O)CN1c1ccncn1)c1nn[n-]n1 ZINC001411991044 1129421453 /nfs/dbraw/zinc/42/14/53/1129421453.db2.gz NMRLZPFXVUBWBR-GMTAPVOTSA-N -1 1 332.368 -0.809 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCN(CC(N)=O)C(=O)C1 ZINC001412211160 1129477195 /nfs/dbraw/zinc/47/71/95/1129477195.db2.gz FQYKLPFZTYLFHE-UHFFFAOYSA-N -1 1 335.364 -0.616 20 0 EBADMM O=C(CS(=O)(=O)C1CCCC1)N1CCOC[C@H]1c1nn[n-]n1 ZINC001412429404 1129527066 /nfs/dbraw/zinc/52/70/66/1129527066.db2.gz DJNGKZDYNVZVSJ-JTQLQIEISA-N -1 1 329.382 -0.543 20 0 EBADMM NC(=O)c1ccc(CCC(=O)N2CCOC[C@H]2c2nn[n-]n2)cc1 ZINC001412434599 1129528226 /nfs/dbraw/zinc/52/82/26/1129528226.db2.gz WVMHTGNHTBGHGE-LBPRGKRZSA-N -1 1 330.348 -0.169 20 0 EBADMM NS(=O)(=O)c1ccc(C(=O)N2CCC(c3nn[n-]n3)CC2)cc1 ZINC001412475694 1129536915 /nfs/dbraw/zinc/53/69/15/1129536915.db2.gz PAPDNDWZRCCJOX-UHFFFAOYSA-N -1 1 336.377 -0.133 20 0 EBADMM NS(=O)(=O)c1cc(C(=O)N2CCC(c3nn[n-]n3)CC2)cs1 ZINC001412474985 1129536968 /nfs/dbraw/zinc/53/69/68/1129536968.db2.gz DKVQTHZORHZCET-UHFFFAOYSA-N -1 1 342.406 -0.072 20 0 EBADMM O=C(NCCN1CCCS1(=O)=O)c1cnc(C2CC2)[n-]c1=O ZINC001412472084 1129537072 /nfs/dbraw/zinc/53/70/72/1129537072.db2.gz PFDXTWWKJLTNRI-UHFFFAOYSA-N -1 1 326.378 -0.175 20 0 EBADMM CS(=O)(=O)N1CCCC[C@H]1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001412476651 1129538105 /nfs/dbraw/zinc/53/81/05/1129538105.db2.gz ZLJUKSGVWVPVON-NSHDSACASA-N -1 1 342.425 -0.280 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)c1cnn(C)c1N ZINC001412498824 1129542832 /nfs/dbraw/zinc/54/28/32/1129542832.db2.gz SWQFCQBJVJZBOO-VIFPVBQESA-N -1 1 332.364 -0.007 20 0 EBADMM CCS(=O)(=O)N(C)C1CCN(C(=O)CCc2nn[n-]n2)CC1 ZINC001412541615 1129555306 /nfs/dbraw/zinc/55/53/06/1129555306.db2.gz LMIGNNUWTSNWCM-UHFFFAOYSA-N -1 1 330.414 -0.595 20 0 EBADMM COC[C@H](NC(=O)c1ccc(CS(C)(=O)=O)cc1)c1nn[n-]n1 ZINC001412588628 1129569381 /nfs/dbraw/zinc/56/93/81/1129569381.db2.gz GCLBWYBEHVTJNS-NSHDSACASA-N -1 1 339.377 -0.138 20 0 EBADMM NC(=O)[C@@H]1CC[C@H](CCC(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)O1 ZINC001412603050 1129573102 /nfs/dbraw/zinc/57/31/02/1129573102.db2.gz AZAXOIHEIKOTOU-UTUOFQBUSA-N -1 1 336.396 -0.206 20 0 EBADMM O=C(c1cn(C[C@@H]2CCOC2)nn1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001412607781 1129575001 /nfs/dbraw/zinc/57/50/01/1129575001.db2.gz ISXLIYMZZRPAPS-RYUDHWBXSA-N -1 1 346.395 -0.077 20 0 EBADMM CNC(=O)C1(NC(=O)c2cnc(SC)[n-]c2=O)CCOCC1 ZINC001412621345 1129578689 /nfs/dbraw/zinc/57/86/89/1129578689.db2.gz HGSWZPZXPRGTBG-UHFFFAOYSA-N -1 1 326.378 -0.071 20 0 EBADMM CCOC(=O)c1cc2n(n1)C[C@@H](C)N(C(=O)CCc1nn[n-]n1)C2 ZINC001412643491 1129584009 /nfs/dbraw/zinc/58/40/09/1129584009.db2.gz UWTNODUOSSGBJD-SECBINFHSA-N -1 1 333.352 -0.064 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1CCC(=O)N1C)NC(=O)c1ncccc1[O-] ZINC001412668041 1129588671 /nfs/dbraw/zinc/58/86/71/1129588671.db2.gz NVDJAIKXJLZNLV-QWRGUYRKSA-N -1 1 334.376 -0.015 20 0 EBADMM COC1(CC(=O)NC[C@@H](CO)NC(=O)c2ncccc2[O-])CCC1 ZINC001412815914 1129667877 /nfs/dbraw/zinc/66/78/77/1129667877.db2.gz KXKVQFSLFUOSSY-NSHDSACASA-N -1 1 337.376 -0.047 20 0 EBADMM CC(=O)N[C@@H](CCC(C)C)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001412893431 1129763092 /nfs/dbraw/zinc/76/30/92/1129763092.db2.gz BFTNXIKRNOHDDH-LBPRGKRZSA-N -1 1 338.412 -0.049 20 0 EBADMM COc1cc2[n-]cc(C(=O)N3C[C@H](CO)[C@H](O)C3)c(=O)c2c(OC)c1 ZINC001413067328 1129912846 /nfs/dbraw/zinc/91/28/46/1129912846.db2.gz HPTUHUONMRZGLF-NOZJJQNGSA-N -1 1 348.355 -0.030 20 0 EBADMM Cc1cn(C)nc1C(=O)N(C)C[C@H](O)CNC(=O)c1ncccc1[O-] ZINC001413250262 1129971517 /nfs/dbraw/zinc/97/15/17/1129971517.db2.gz BXALXTGZBBNAPU-LLVKDONJSA-N -1 1 347.375 -0.308 20 0 EBADMM Cc1cn(C)nc1C(=O)NC[C@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001413252168 1129972206 /nfs/dbraw/zinc/97/22/06/1129972206.db2.gz SUJGBGXGYCDOLC-NSHDSACASA-N -1 1 347.375 -0.308 20 0 EBADMM Cc1conc1CS(=O)(=O)[N-][C@H]1CCN(c2cnn(C)c2)C1=O ZINC001413301484 1129999242 /nfs/dbraw/zinc/99/92/42/1129999242.db2.gz IASPVWOJUFQCRT-NSHDSACASA-N -1 1 339.377 -0.059 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC(=O)N[C@H]3CCCC[C@H]32)[n-]n1 ZINC001413308116 1130004468 /nfs/dbraw/zinc/00/44/68/1130004468.db2.gz OTOFRRSGIMJIOE-WCBMZHEXSA-N -1 1 342.377 -0.372 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC(=O)N[C@H]3CCCC[C@H]32)n[n-]1 ZINC001413308116 1130004471 /nfs/dbraw/zinc/00/44/71/1130004471.db2.gz OTOFRRSGIMJIOE-WCBMZHEXSA-N -1 1 342.377 -0.372 20 0 EBADMM CN(C[C@@H](O)CNC(=O)c1ncccc1[O-])C(=O)Cc1ccc[nH]1 ZINC001413330609 1130026060 /nfs/dbraw/zinc/02/60/60/1130026060.db2.gz MBALHCVXGTWKNK-LBPRGKRZSA-N -1 1 332.360 -0.093 20 0 EBADMM Cc1cc(C)nc(-n2[n-]c(C(=O)NCCN3CC[C@@H](O)C3)cc2=O)n1 ZINC001413387468 1130067986 /nfs/dbraw/zinc/06/79/86/1130067986.db2.gz CHALXPOBOUUHON-GFCCVEGCSA-N -1 1 346.391 -0.631 20 0 EBADMM CCO[C@@H]1COCC[C@H]1CC(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001413390381 1130069063 /nfs/dbraw/zinc/06/90/63/1130069063.db2.gz WWOFBFYIQVTHBN-QJPTWQEYSA-N -1 1 325.369 -0.069 20 0 EBADMM C[C@H]1OCC[C@@H]1S(=O)(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001413422126 1130081078 /nfs/dbraw/zinc/08/10/78/1130081078.db2.gz ZRVCNBXMTSSRLT-KCJUWKMLSA-N -1 1 329.378 -0.148 20 0 EBADMM C[C@H]1OCC[C@H]1S(=O)(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001413422145 1130081242 /nfs/dbraw/zinc/08/12/42/1130081242.db2.gz ZRVCNBXMTSSRLT-LDYMZIIASA-N -1 1 329.378 -0.148 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC3(CC2)COCCO3)[n-]n1 ZINC001413425126 1130083357 /nfs/dbraw/zinc/08/33/57/1130083357.db2.gz ZOXMXIQKFCJQMK-UHFFFAOYSA-N -1 1 345.377 -0.234 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC3(CC2)COCCO3)n[n-]1 ZINC001413425126 1130083362 /nfs/dbraw/zinc/08/33/62/1130083362.db2.gz ZOXMXIQKFCJQMK-UHFFFAOYSA-N -1 1 345.377 -0.234 20 0 EBADMM CNS(=O)(=O)c1cc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)c(C)o1 ZINC001413464316 1130097305 /nfs/dbraw/zinc/09/73/05/1130097305.db2.gz ADINRZWHJHGWIH-MRVPVSSYSA-N -1 1 340.365 -0.361 20 0 EBADMM CCCNC(=O)[C@H](C)[N-]S(=O)(=O)c1n[nH]c(C)c1C(=O)OC ZINC001413475824 1130101315 /nfs/dbraw/zinc/10/13/15/1130101315.db2.gz IWDIWNNBXWKZMF-QMMMGPOBSA-N -1 1 332.382 -0.302 20 0 EBADMM COCCN(CCNC(=O)c1ncccc1[O-])C(=O)Cc1cnc[nH]1 ZINC001413502907 1130113519 /nfs/dbraw/zinc/11/35/19/1130113519.db2.gz RFOIBMYRHNRZQF-UHFFFAOYSA-N -1 1 347.375 -0.042 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1C[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC001413728871 1130245128 /nfs/dbraw/zinc/24/51/28/1130245128.db2.gz DOLAUEIIEREKAK-AOOOYVTPSA-N -1 1 339.373 -0.980 20 0 EBADMM C[C@@H](C(=O)NC[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1)n1cncn1 ZINC001491097814 1130323542 /nfs/dbraw/zinc/32/35/42/1130323542.db2.gz IJHORXHRONDXFL-RYUDHWBXSA-N -1 1 348.411 -0.711 20 0 EBADMM C[C@@H](O)CN1CCCC[C@H]1CNC(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC001491226746 1130365761 /nfs/dbraw/zinc/36/57/61/1130365761.db2.gz WRULCNTXASLXTR-NEPJUHHUSA-N -1 1 348.407 -0.577 20 0 EBADMM O=C(NCCNC(=O)C1CCS(=O)(=O)CC1)c1ncccc1[O-] ZINC001491245023 1130371099 /nfs/dbraw/zinc/37/10/99/1130371099.db2.gz HUENPDLNRWTIFC-UHFFFAOYSA-N -1 1 341.389 -0.542 20 0 EBADMM CN(CCNC(=O)c1ncnc2sccc21)Cc1nc(=O)n(C)[n-]1 ZINC001492256954 1130610519 /nfs/dbraw/zinc/61/05/19/1130610519.db2.gz LXWQDEFWENWIQB-UHFFFAOYSA-N -1 1 347.404 -0.025 20 0 EBADMM C/C=C(\C)C(=O)NCCCN(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001492314675 1130624357 /nfs/dbraw/zinc/62/43/57/1130624357.db2.gz IIFKVADLOARRAZ-UUILKARUSA-N -1 1 336.392 -0.142 20 0 EBADMM CC[C@@H]1C[C@H](C(=O)NCCN(C)Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001492490355 1130696576 /nfs/dbraw/zinc/69/65/76/1130696576.db2.gz LXHWAIHRXJVRGW-VXGBXAGGSA-N -1 1 325.413 -0.138 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[S@](=O)[C@@H](C)[C@H]2C)[n-]n1 ZINC001475247127 1130704954 /nfs/dbraw/zinc/70/49/54/1130704954.db2.gz LFBHNYYOLLNYPD-RDLWGGRYSA-N -1 1 335.407 -0.274 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[S@](=O)[C@@H](C)[C@H]2C)n[n-]1 ZINC001475247127 1130704961 /nfs/dbraw/zinc/70/49/61/1130704961.db2.gz LFBHNYYOLLNYPD-RDLWGGRYSA-N -1 1 335.407 -0.274 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)[C@@]1(F)CCOC1 ZINC001479240530 1130732684 /nfs/dbraw/zinc/73/26/84/1130732684.db2.gz GXFXGPYCHDPDOY-IINYFYTJSA-N -1 1 327.360 -0.730 20 0 EBADMM C[C@H](CNC(=O)Cn1nnc2c1CCCC2)NCc1n[nH]c(=O)[n-]1 ZINC001480538663 1130827153 /nfs/dbraw/zinc/82/71/53/1130827153.db2.gz NJIYYEBCZCVGJC-SECBINFHSA-N -1 1 334.384 -0.725 20 0 EBADMM CC(C)(F)C(=O)NCC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001416062320 1130840411 /nfs/dbraw/zinc/84/04/11/1130840411.db2.gz SGAQKZIKJPUFNM-UHFFFAOYSA-N -1 1 336.327 -0.646 20 0 EBADMM CCC[C@@H](NC(N)=O)C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001416083129 1130848982 /nfs/dbraw/zinc/84/89/82/1130848982.db2.gz RQRYBPKHJZREFY-LLVKDONJSA-N -1 1 349.391 -0.188 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1CN(C(=O)c2ccns2)C1 ZINC001356776893 1130934523 /nfs/dbraw/zinc/93/45/23/1130934523.db2.gz HVEZFCBHWSVJHA-UHFFFAOYSA-N -1 1 349.372 -0.976 20 0 EBADMM CN(C)C(=O)C1(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)CC1 ZINC001356808177 1130948578 /nfs/dbraw/zinc/94/85/78/1130948578.db2.gz NPUCALNQWHDZRS-UHFFFAOYSA-N -1 1 346.387 -0.156 20 0 EBADMM CC1(C(=O)N2CC(CNC(=O)CCn3cc[n-]c(=O)c3=O)C2)CC1 ZINC001356823225 1130961467 /nfs/dbraw/zinc/96/14/67/1130961467.db2.gz ULMLXHPFFUGOCP-UHFFFAOYSA-N -1 1 334.376 -0.699 20 0 EBADMM C[C@@]1(C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)CCNC1=O ZINC001356834358 1130966453 /nfs/dbraw/zinc/96/64/53/1130966453.db2.gz CJWQMRUKEOBTJU-MRXNPFEDSA-N -1 1 332.360 -0.498 20 0 EBADMM C[C@H]1CN(C(=O)[C@]2(C(=O)[O-])CNCCO2)CCN1CC(F)(F)F ZINC001357589099 1131104773 /nfs/dbraw/zinc/10/47/73/1131104773.db2.gz YIOPXSPTXAVQRY-CABZTGNLSA-N -1 1 339.314 -0.475 20 0 EBADMM CSCCC(=O)NC[C@@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001358270942 1131176823 /nfs/dbraw/zinc/17/68/23/1131176823.db2.gz WYBPCZYKNXHPTP-SNVBAGLBSA-N -1 1 342.421 -0.699 20 0 EBADMM COC[C@@H](C)CC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001413976779 1131184692 /nfs/dbraw/zinc/18/46/92/1131184692.db2.gz OJMJEIMSGBJTIQ-DMDPSCGWSA-N -1 1 337.376 -0.239 20 0 EBADMM CN(C)c1ccnc(CNC(=O)Cn2[n-]cc3c(=O)ncnc2-3)c1 ZINC001361633045 1131431364 /nfs/dbraw/zinc/43/13/64/1131431364.db2.gz WLEKGGLHMPOGSR-UHFFFAOYSA-N -1 1 327.348 -0.151 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCCN1CCNC1=O ZINC001361658325 1131436352 /nfs/dbraw/zinc/43/63/52/1131436352.db2.gz RTUHBIVNKMWMQB-UHFFFAOYSA-N -1 1 332.364 -0.264 20 0 EBADMM O=C(NCCS(=O)(=O)N1CCOCC1)c1ccc([O-])cc1F ZINC001361692690 1131441117 /nfs/dbraw/zinc/44/11/17/1131441117.db2.gz VXFXPCGRSDQZFD-UHFFFAOYSA-N -1 1 332.353 -0.077 20 0 EBADMM CNS(=O)(=O)c1ccc([C@@H](C)NC(=O)CCc2nn[n-]n2)cc1 ZINC001361751792 1131449332 /nfs/dbraw/zinc/44/93/32/1131449332.db2.gz DNIJYRBLOCRNRM-SECBINFHSA-N -1 1 338.393 -0.082 20 0 EBADMM Cn1ncc(Br)c1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001361781675 1131454217 /nfs/dbraw/zinc/45/42/17/1131454217.db2.gz GOTFOVQAXGWZCJ-ZETCQYMHSA-N -1 1 342.157 -0.091 20 0 EBADMM CSc1ncc(C(=O)N2CCN(CC(=O)N(C)C)CC2)c(=O)[n-]1 ZINC001361872079 1131470444 /nfs/dbraw/zinc/47/04/44/1131470444.db2.gz UJJUCRWAXSPYDP-UHFFFAOYSA-N -1 1 339.421 -0.250 20 0 EBADMM O=C(c1cnncc1[O-])N(C[C@@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001361926035 1131481020 /nfs/dbraw/zinc/48/10/20/1131481020.db2.gz LIRSXJJACFZPCA-MNOVXSKESA-N -1 1 341.389 -0.010 20 0 EBADMM COC(=O)CC[C@@H]1CC[C@H](C(=O)N2CCO[C@H](c3nn[n-]n3)C2)O1 ZINC001361925867 1131481087 /nfs/dbraw/zinc/48/10/87/1131481087.db2.gz PDTCFJNKDKFAJO-AXFHLTTASA-N -1 1 339.352 -0.400 20 0 EBADMM NC(=O)c1ccccc1NCC(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001361926409 1131481611 /nfs/dbraw/zinc/48/16/11/1131481611.db2.gz QXQAONWEEJJILH-LLVKDONJSA-N -1 1 331.336 -0.689 20 0 EBADMM C[C@@H](NC(=O)c1cccc(-n2[n-]nnc2=N)c1)[C@@H]1CN(C)CCN1C ZINC001361981921 1131491918 /nfs/dbraw/zinc/49/19/18/1131491918.db2.gz RCTYUWMHYKILOE-RISCZKNCSA-N -1 1 344.423 -0.561 20 0 EBADMM O=C([C@H]1CC12CCS(=O)(=O)CC2)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001362065434 1131508277 /nfs/dbraw/zinc/50/82/77/1131508277.db2.gz UOVNVWZNTGDTNW-ZJUUUORDSA-N -1 1 341.393 -0.686 20 0 EBADMM CS(=O)(=O)Nc1cccc(F)c1CNC(=O)CCc1nn[n-]n1 ZINC001362085864 1131512685 /nfs/dbraw/zinc/51/26/85/1131512685.db2.gz VWKDVSNVVXBSNA-UHFFFAOYSA-N -1 1 342.356 -0.041 20 0 EBADMM O=C(C[C@H]1NC(=O)c2ccccc21)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001362123545 1131519903 /nfs/dbraw/zinc/51/99/03/1131519903.db2.gz JZXZQNLKNRJOKQ-NEPJUHHUSA-N -1 1 328.332 -0.026 20 0 EBADMM C[C@@H](NC(=O)c1c[nH]c2nc(=O)[n-]c(=O)c-2c1)[C@H]1CN(C)CCN1C ZINC001362324300 1131561036 /nfs/dbraw/zinc/56/10/36/1131561036.db2.gz ZCDDHFLWUQCOHI-BXKDBHETSA-N -1 1 346.391 -0.200 20 0 EBADMM CS(=O)(=O)N1CCC(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)CC1 ZINC001362377584 1131571913 /nfs/dbraw/zinc/57/19/13/1131571913.db2.gz SUECPUIDSURRPO-LLVKDONJSA-N -1 1 342.425 -0.423 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCO[C@@]2(CCOC2)C1 ZINC001362394730 1131574884 /nfs/dbraw/zinc/57/48/84/1131574884.db2.gz MDMOWDJICVTJDU-CLAHSXSESA-N -1 1 325.321 -0.036 20 0 EBADMM COC(=O)[C@@H]1O[C@@H](C(=O)N2CCSC[C@H]2c2nn[n-]n2)C[C@H]1C ZINC001362409043 1131577462 /nfs/dbraw/zinc/57/74/62/1131577462.db2.gz PLSLLCQLRXCLKS-UTINFBMNSA-N -1 1 341.393 -0.217 20 0 EBADMM CN(C[C@@H]1CCCN(C(=O)CCCc2nn[n-]n2)C1)S(C)(=O)=O ZINC001362427796 1131580687 /nfs/dbraw/zinc/58/06/87/1131580687.db2.gz BGTXUNPFSMSAIT-NSHDSACASA-N -1 1 344.441 -0.348 20 0 EBADMM C[C@H](CN(C)C(=O)c1ccsc1S(N)(=O)=O)c1nn[n-]n1 ZINC001362457096 1131587486 /nfs/dbraw/zinc/58/74/86/1131587486.db2.gz VFVUECYEYNDBEY-ZCFIWIBFSA-N -1 1 330.395 -0.216 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@](CO)(COC)C2)co1 ZINC001362473018 1131590261 /nfs/dbraw/zinc/59/02/61/1131590261.db2.gz YQCGTCZXRCUDFG-ZDUSSCGKSA-N -1 1 332.378 -0.341 20 0 EBADMM COc1ccccc1CCNC(=O)CNC(=O)CCc1nn[n-]n1 ZINC001362484487 1131593207 /nfs/dbraw/zinc/59/32/07/1131593207.db2.gz PFSAOFNEYPAONA-UHFFFAOYSA-N -1 1 332.364 -0.384 20 0 EBADMM Cc1cc(C)n(CC(=O)N2CCSC[C@@H]2c2nn[n-]n2)c(=O)n1 ZINC001362520593 1131600711 /nfs/dbraw/zinc/60/07/11/1131600711.db2.gz PKLCZIMGCNBBPT-SNVBAGLBSA-N -1 1 335.393 -0.310 20 0 EBADMM NC(=O)c1ccccc1CCC(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362558987 1131610877 /nfs/dbraw/zinc/61/08/77/1131610877.db2.gz DJJOECJGNWICLJ-UHFFFAOYSA-N -1 1 344.375 -0.259 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H]2CCN(C(N)=O)C2)o1 ZINC001362641701 1131630551 /nfs/dbraw/zinc/63/05/51/1131630551.db2.gz WLZFTVCQZUUCRK-QMMMGPOBSA-N -1 1 330.366 -0.682 20 0 EBADMM Cc1nc([C@H]2COCCN2C(=O)CNC(=O)c2ncccc2[O-])no1 ZINC001362644510 1131631865 /nfs/dbraw/zinc/63/18/65/1131631865.db2.gz APKDNPZZWXRPBM-SNVBAGLBSA-N -1 1 347.331 -0.191 20 0 EBADMM NC(=O)COc1cccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)c1 ZINC001362664871 1131636708 /nfs/dbraw/zinc/63/67/08/1131636708.db2.gz CLKGJZMWJXPDKC-LLVKDONJSA-N -1 1 348.388 -0.006 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H](C(=O)N2CCCC2)C1 ZINC001362664986 1131636792 /nfs/dbraw/zinc/63/67/92/1131636792.db2.gz BJMJBMQPYOVMOC-SECBINFHSA-N -1 1 336.348 -0.019 20 0 EBADMM O=C(NC[C@]1(O)CCS(=O)(=O)C1)c1c[nH]c2ccccc2c1=O ZINC001362697204 1131644161 /nfs/dbraw/zinc/64/41/61/1131644161.db2.gz WCVASOOCHBRMHA-OAHLLOKOSA-N -1 1 336.369 -0.193 20 0 EBADMM CSc1ncc(C(=O)NC2CCN(S(N)(=O)=O)CC2)c(=O)[n-]1 ZINC001362716040 1131650699 /nfs/dbraw/zinc/65/06/99/1131650699.db2.gz YLMLSKIHRGSCMX-UHFFFAOYSA-N -1 1 347.422 -0.698 20 0 EBADMM CNC(=O)c1ccc(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)cn1 ZINC001362732556 1131657854 /nfs/dbraw/zinc/65/78/54/1131657854.db2.gz SGKCCENCJOZULJ-UHFFFAOYSA-N -1 1 331.336 -0.922 20 0 EBADMM O=C([C@H]1COc2ccc(O)cc2O1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362732759 1131658018 /nfs/dbraw/zinc/65/80/18/1131658018.db2.gz SZAHEBRNNKIFSE-GFCCVEGCSA-N -1 1 347.331 -0.445 20 0 EBADMM CSc1ncc(C(=O)NC2CN(S(=O)(=O)N(C)C)C2)c(=O)[n-]1 ZINC001362754878 1131663657 /nfs/dbraw/zinc/66/36/57/1131663657.db2.gz XQBDTSQGVJJCLX-UHFFFAOYSA-N -1 1 347.422 -0.876 20 0 EBADMM COC(=O)[C@@H](C[C@H]1CCCOC1)NC(=O)CCCc1nn[n-]n1 ZINC001362759059 1131665125 /nfs/dbraw/zinc/66/51/25/1131665125.db2.gz SNAAEARQNHLHLE-GHMZBOCLSA-N -1 1 325.369 -0.003 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@H]1CCc2nnnn2CC1 ZINC001362780236 1131671075 /nfs/dbraw/zinc/67/10/75/1131671075.db2.gz QQLGANXPQJHMCD-VIFPVBQESA-N -1 1 331.336 -0.975 20 0 EBADMM O=C(CCCc1nn[n-]n1)NC[C@H]1CCCN(Cc2ncon2)C1 ZINC001362896942 1131707563 /nfs/dbraw/zinc/70/75/63/1131707563.db2.gz WBLJWSVOGPRBRO-LLVKDONJSA-N -1 1 334.384 -0.066 20 0 EBADMM COC[C@H](NC(=O)c1ccc(S(C)(=O)=O)nc1)c1nn[n-]n1 ZINC001362901091 1131708038 /nfs/dbraw/zinc/70/80/38/1131708038.db2.gz PYIOKRCXQUDISP-QMMMGPOBSA-N -1 1 326.338 -0.884 20 0 EBADMM CN(C)Cc1ccc(C(=O)NCCC(=O)[N-]S(C)(=O)=O)cn1 ZINC001362903015 1131708566 /nfs/dbraw/zinc/70/85/66/1131708566.db2.gz UHQCJIWBZWHLPL-UHFFFAOYSA-N -1 1 328.394 -0.661 20 0 EBADMM CSc1nc(CNC(=O)C2=NN(CCO)C(=O)CC2)cc(=O)[n-]1 ZINC001362962934 1131725781 /nfs/dbraw/zinc/72/57/81/1131725781.db2.gz VLJMVTCOFVVHOA-UHFFFAOYSA-N -1 1 339.377 -0.509 20 0 EBADMM COCCN1C[C@@H](C(=O)N[C@@H](CCSC)c2nn[n-]n2)CC1=O ZINC001363005384 1131742340 /nfs/dbraw/zinc/74/23/40/1131742340.db2.gz YVWVKYDNUAYSFP-UWVGGRQHSA-N -1 1 342.425 -0.395 20 0 EBADMM CC(C)(C)S(=O)(=O)CC(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001363029461 1131754003 /nfs/dbraw/zinc/75/40/03/1131754003.db2.gz QQKJTIRKENFQPS-UHFFFAOYSA-N -1 1 331.398 -0.777 20 0 EBADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2CSCC(=O)N2)n[n-]1 ZINC001363044947 1131760581 /nfs/dbraw/zinc/76/05/81/1131760581.db2.gz ASAOLRZXTNPHJX-BQBZGAKWSA-N -1 1 327.366 -0.610 20 0 EBADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@@H]2CSCC(=O)N2)[n-]1 ZINC001363044947 1131760587 /nfs/dbraw/zinc/76/05/87/1131760587.db2.gz ASAOLRZXTNPHJX-BQBZGAKWSA-N -1 1 327.366 -0.610 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2CSCC(=O)N2)n1 ZINC001363044947 1131760593 /nfs/dbraw/zinc/76/05/93/1131760593.db2.gz ASAOLRZXTNPHJX-BQBZGAKWSA-N -1 1 327.366 -0.610 20 0 EBADMM CCC(CC)(NC(=O)C[C@@]1(C)CCS(=O)(=O)N1)c1nn[n-]n1 ZINC001363138479 1131798284 /nfs/dbraw/zinc/79/82/84/1131798284.db2.gz UQORVZCPNITYMA-LLVKDONJSA-N -1 1 330.414 -0.197 20 0 EBADMM COC(=O)c1cc(NC(=O)CNC(=O)c2ncccc2[O-])nn1C ZINC001363170367 1131813163 /nfs/dbraw/zinc/81/31/63/1131813163.db2.gz GQMFCILXSBNPTM-UHFFFAOYSA-N -1 1 333.304 -0.324 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)N[C@@H](CO)CN1CCCCC1 ZINC001363175282 1131816004 /nfs/dbraw/zinc/81/60/04/1131816004.db2.gz LKJZBAMSWZGXIW-LLVKDONJSA-N -1 1 334.380 -0.966 20 0 EBADMM O=C([C@H]1CNC(=O)c2ccccc21)N1CCOC[C@H]1c1nn[n-]n1 ZINC001363204135 1131830028 /nfs/dbraw/zinc/83/00/28/1131830028.db2.gz OIZOIXGGXVZMGC-RYUDHWBXSA-N -1 1 328.332 -0.373 20 0 EBADMM CCS(=O)(=O)N[C@H](C)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001363205505 1131830869 /nfs/dbraw/zinc/83/08/69/1131830869.db2.gz DIOSPAYLGGWDRL-MRVPVSSYSA-N -1 1 344.393 -0.801 20 0 EBADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N1C[C@H](CO)[C@H](O)C1 ZINC001363242994 1131846565 /nfs/dbraw/zinc/84/65/65/1131846565.db2.gz APVOWMJGFGMBSK-TZMCWYRMSA-N -1 1 344.371 -0.506 20 0 EBADMM CCOC(=O)[C@@]1(C)CN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)CCO1 ZINC001363266068 1131859489 /nfs/dbraw/zinc/85/94/89/1131859489.db2.gz HFELPYIORDPMET-CQSZACIVSA-N -1 1 341.320 -0.309 20 0 EBADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@H]1C[C@@H](O)CN1c1ccncn1 ZINC001363275523 1131865664 /nfs/dbraw/zinc/86/56/64/1131865664.db2.gz HYRDPAASSGQQFU-VXGBXAGGSA-N -1 1 346.395 -0.386 20 0 EBADMM Cn1cc(N2C[C@@H](C(=O)NC3(c4nn[n-]n4)CCC3)CC2=O)cn1 ZINC001363277518 1131866064 /nfs/dbraw/zinc/86/60/64/1131866064.db2.gz MGIVJVLBSZCQHO-VIFPVBQESA-N -1 1 330.352 -0.518 20 0 EBADMM C[C@@]1(NC(=O)C(F)(F)F)CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001363281044 1131868609 /nfs/dbraw/zinc/86/86/09/1131868609.db2.gz DHBBGJHDLJZVDX-SNVBAGLBSA-N -1 1 335.242 -0.434 20 0 EBADMM CSc1nc(C)c(CCC(=O)N2CC(CO)(CO)C2)c(=O)[n-]1 ZINC001363342756 1131908676 /nfs/dbraw/zinc/90/86/76/1131908676.db2.gz DDHKSQYDGXSGLY-UHFFFAOYSA-N -1 1 327.406 -0.042 20 0 EBADMM COC(=O)[C@@]1(CNC(=O)c2ncc(C)cc2[O-])C[C@@H]1S(C)(=O)=O ZINC001363378784 1131922147 /nfs/dbraw/zinc/92/21/47/1131922147.db2.gz VEEFDXRHFFTBOW-IINYFYTJSA-N -1 1 342.373 -0.198 20 0 EBADMM CC(C)CN1N=C(C(=O)N2CCOC[C@H]2c2nn[n-]n2)CCC1=O ZINC001363411921 1131938314 /nfs/dbraw/zinc/93/83/14/1131938314.db2.gz SFCSAXNYHVYYFW-NSHDSACASA-N -1 1 335.368 -0.266 20 0 EBADMM O=C(c1ccc(N2CCOCC2)nc1)N1CCOC[C@H]1c1nn[n-]n1 ZINC001363413077 1131939597 /nfs/dbraw/zinc/93/95/97/1131939597.db2.gz YKZOAOGJRWICHR-LBPRGKRZSA-N -1 1 345.363 -0.355 20 0 EBADMM CCOC(=O)[C@@H]1CCCN(CC(=O)N[C@H](COC)c2nn[n-]n2)C1 ZINC001363415699 1131940779 /nfs/dbraw/zinc/94/07/79/1131940779.db2.gz OAWVDXSBPYWQHR-GHMZBOCLSA-N -1 1 340.384 -0.722 20 0 EBADMM COC[C@H](NC(=O)[C@@H]1CS[C@@]2(C)CCC(=O)N12)c1nn[n-]n1 ZINC001363437240 1131949211 /nfs/dbraw/zinc/94/92/11/1131949211.db2.gz PWYNHENPLZMWOC-UBJUHAIHSA-N -1 1 326.382 -0.543 20 0 EBADMM COC[C@@H](NC(=O)CNC(=O)Cc1cccc(F)c1)c1nn[n-]n1 ZINC001363437085 1131949704 /nfs/dbraw/zinc/94/97/04/1131949704.db2.gz PINHNEOGJCNDJG-LLVKDONJSA-N -1 1 336.327 -0.499 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H](CO)C[C@@H]2CCCO2)o1 ZINC001363435390 1131949812 /nfs/dbraw/zinc/94/98/12/1131949812.db2.gz DTIMGWZAWCNFIT-ZJUUUORDSA-N -1 1 332.378 -0.153 20 0 EBADMM CCOC(=O)c1nc([C@H](C)NC(=O)CS(=O)(=O)C2CC2)n[n-]1 ZINC001363476119 1131964515 /nfs/dbraw/zinc/96/45/15/1131964515.db2.gz PLUQMCBXPLFNMY-ZETCQYMHSA-N -1 1 330.366 -0.264 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CS(=O)(=O)C2CC2)n1 ZINC001363476119 1131964520 /nfs/dbraw/zinc/96/45/20/1131964520.db2.gz PLUQMCBXPLFNMY-ZETCQYMHSA-N -1 1 330.366 -0.264 20 0 EBADMM CN(C(=O)[C@H](O)[C@](O)(c1ccccc1)C(F)(F)F)c1nn[n-]n1 ZINC001363486932 1131968700 /nfs/dbraw/zinc/96/87/00/1131968700.db2.gz BUPROFXKHSGGBZ-GZMMTYOYSA-N -1 1 331.254 -0.027 20 0 EBADMM O=C(CS[C@@H]1CCS(=O)(=O)C1)N1CCOC[C@H]1c1nn[n-]n1 ZINC001363509828 1131982547 /nfs/dbraw/zinc/98/25/47/1131982547.db2.gz CKFMBTKAQZVVAE-BDAKNGLRSA-N -1 1 347.422 -0.980 20 0 EBADMM O=C([C@H]1CNC(=O)C[C@@H]1C(F)(F)F)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001363521788 1131989906 /nfs/dbraw/zinc/98/99/06/1131989906.db2.gz AQSQSDROEWJOGV-CSMHCCOUSA-N -1 1 332.286 -0.170 20 0 EBADMM CC(C)[C@@H](NS(=O)(=O)C1CC1)C(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001363522748 1131990433 /nfs/dbraw/zinc/99/04/33/1131990433.db2.gz FXEOCCDLVPNYPP-GXSJLCMTSA-N -1 1 342.425 -0.378 20 0 EBADMM O=C(COCC(=O)N1CC[C@H](c2nn[n-]n2)C1)NCc1cccnc1 ZINC001363523678 1131991894 /nfs/dbraw/zinc/99/18/94/1131991894.db2.gz KLTGFJLXFMAFNM-LBPRGKRZSA-N -1 1 345.363 -0.756 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@@H]3CCNC(=O)[C@H]32)o1 ZINC001363529509 1131996491 /nfs/dbraw/zinc/99/64/91/1131996491.db2.gz NOKXNESDYGLSGU-SKDRFNHKSA-N -1 1 341.389 -0.072 20 0 EBADMM CS(=O)(=O)NC[C@@H]1COCCN1C(=O)c1cccc([O-])c1F ZINC001363571995 1132019066 /nfs/dbraw/zinc/01/90/66/1132019066.db2.gz FKRPKNREWDPWOX-SECBINFHSA-N -1 1 332.353 -0.079 20 0 EBADMM O=C(Cn1nc2n(c1=O)CCCC2)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001363582807 1132022654 /nfs/dbraw/zinc/02/26/54/1132022654.db2.gz LJLKBMIAMSRRRP-LLVKDONJSA-N -1 1 346.395 -0.625 20 0 EBADMM COC[C@H](NC(=O)c1cnc2c(c1)NC(=O)CN2C)c1nn[n-]n1 ZINC001363595162 1132027319 /nfs/dbraw/zinc/02/73/19/1132027319.db2.gz ZKOYKFXJRMATEN-VIFPVBQESA-N -1 1 332.324 -0.900 20 0 EBADMM C[C@H](NC(=O)CNC(=O)c1ncccc1[O-])c1nnnn1C1CC1 ZINC001363632227 1132038978 /nfs/dbraw/zinc/03/89/78/1132038978.db2.gz ULAQWOFLVSXCTF-QMMMGPOBSA-N -1 1 331.336 -0.284 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@@]3(CCOC3=O)C2)co1 ZINC001363694925 1132059528 /nfs/dbraw/zinc/05/95/28/1132059528.db2.gz TYTNAWIHZRSYGF-CYBMUJFWSA-N -1 1 328.346 -0.033 20 0 EBADMM CN(C)S(=O)(=O)c1c[nH]c(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)c1 ZINC001363714922 1132066577 /nfs/dbraw/zinc/06/65/77/1132066577.db2.gz AVSJBOSJOMMVJC-MRVPVSSYSA-N -1 1 339.381 -0.592 20 0 EBADMM COc1nscc1S(=O)(=O)[N-]CCC(=O)N1CCOCC1 ZINC001363752449 1132075951 /nfs/dbraw/zinc/07/59/51/1132075951.db2.gz AIMIMPSTMYJLGB-UHFFFAOYSA-N -1 1 335.407 -0.321 20 0 EBADMM CCOC(=O)[C@@H]1CCCN(CC(=O)NC2(c3nn[n-]n3)CCC2)C1 ZINC001363821871 1132101121 /nfs/dbraw/zinc/10/11/21/1132101121.db2.gz PVICUYPQQGSXDR-LLVKDONJSA-N -1 1 336.396 -0.030 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2CCO[C@@]3(CCOC3)C2)c(=O)[nH]c1=O ZINC001363844783 1132109781 /nfs/dbraw/zinc/10/97/81/1132109781.db2.gz ZIRKKRODWRFQJG-ZANVPECISA-N -1 1 345.377 -0.898 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H](S(C)(=O)=O)C2)[n-]n1 ZINC001363869333 1132116427 /nfs/dbraw/zinc/11/64/27/1132116427.db2.gz YRVDHBAHRCNRQF-SSDOTTSWSA-N -1 1 337.379 -0.996 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H](S(C)(=O)=O)C2)n[n-]1 ZINC001363869333 1132116438 /nfs/dbraw/zinc/11/64/38/1132116438.db2.gz YRVDHBAHRCNRQF-SSDOTTSWSA-N -1 1 337.379 -0.996 20 0 EBADMM C[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)[C@@H](O)c1ccccc1 ZINC001363924112 1132130181 /nfs/dbraw/zinc/13/01/81/1132130181.db2.gz CVZFPSLQJPAGEE-BXKDBHETSA-N -1 1 339.373 -0.114 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H]1CN(C2CC2)C(=O)O1 ZINC001363938845 1132136853 /nfs/dbraw/zinc/13/68/53/1132136853.db2.gz YUJIUMJRZOVCSO-MRVPVSSYSA-N -1 1 344.349 -0.542 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC(N(C)C(C)=O)CC2)[n-]n1 ZINC001363944371 1132138513 /nfs/dbraw/zinc/13/85/13/1132138513.db2.gz RLUAVQGQSUGQQF-UHFFFAOYSA-N -1 1 344.393 -0.172 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC(N(C)C(C)=O)CC2)n[n-]1 ZINC001363944371 1132138516 /nfs/dbraw/zinc/13/85/16/1132138516.db2.gz RLUAVQGQSUGQQF-UHFFFAOYSA-N -1 1 344.393 -0.172 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NC2(C(=O)OC)CC(CO)C2)co1 ZINC001363948198 1132139619 /nfs/dbraw/zinc/13/96/19/1132139619.db2.gz REJBWQDOTHCAKS-UHFFFAOYSA-N -1 1 346.361 -0.768 20 0 EBADMM CCC[C@H](C(=O)OCC)S(=O)(=O)[N-]C[C@](C)(O)C(=O)OCC ZINC001363963848 1132144908 /nfs/dbraw/zinc/14/49/08/1132144908.db2.gz JSDZTPNGKJLPFX-MFKMUULPSA-N -1 1 339.410 -0.048 20 0 EBADMM COC(=O)[C@@H](C[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)CC(C)C ZINC001363977866 1132151837 /nfs/dbraw/zinc/15/18/37/1132151837.db2.gz JFGDNRCDVGDEOH-SECBINFHSA-N -1 1 347.393 -0.401 20 0 EBADMM CC(CO)(CO)C[N-]S(=O)(=O)c1nc[nH]c1Br ZINC001363980246 1132152452 /nfs/dbraw/zinc/15/24/52/1132152452.db2.gz IIOKSUOAGCLSLX-UHFFFAOYSA-N -1 1 328.188 -0.559 20 0 EBADMM CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@H]1CCN(C)C1=O ZINC001363988216 1132155386 /nfs/dbraw/zinc/15/53/86/1132155386.db2.gz LEQCKYSNIHVOFN-QMMMGPOBSA-N -1 1 330.366 -0.596 20 0 EBADMM CCOC(=O)c1nnc([C@H](C)NS(=O)(=O)c2cn(C)cn2)[n-]1 ZINC001363994190 1132158162 /nfs/dbraw/zinc/15/81/62/1132158162.db2.gz AWOUGIBFOBDAHW-ZETCQYMHSA-N -1 1 328.354 -0.246 20 0 EBADMM CCOC(=O)c1nc([C@H](C)NS(=O)(=O)c2cn(C)cn2)n[n-]1 ZINC001363994190 1132158168 /nfs/dbraw/zinc/15/81/68/1132158168.db2.gz AWOUGIBFOBDAHW-ZETCQYMHSA-N -1 1 328.354 -0.246 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](C)NS(=O)(=O)c2cn(C)cn2)n1 ZINC001363994190 1132158174 /nfs/dbraw/zinc/15/81/74/1132158174.db2.gz AWOUGIBFOBDAHW-ZETCQYMHSA-N -1 1 328.354 -0.246 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](CO)[C@H]1CCCOC1 ZINC001364005762 1132162527 /nfs/dbraw/zinc/16/25/27/1132162527.db2.gz PUNUBWLSXZEJDO-WCBMZHEXSA-N -1 1 333.366 -0.738 20 0 EBADMM COc1cc(S(=O)(=O)[N-]C[C@]23COC(=O)N2CCOC3)sn1 ZINC001364024830 1132170987 /nfs/dbraw/zinc/17/09/87/1132170987.db2.gz JZCXAKIDFHRBBA-NSHDSACASA-N -1 1 349.390 -0.349 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2[C@H]3C[C@H]4CO[C@H]2[C@H]4C3)c(=O)[nH]c1=O ZINC001364024393 1132171409 /nfs/dbraw/zinc/17/14/09/1132171409.db2.gz MULPKYXCMHCNGL-ASJMHXKMSA-N -1 1 327.362 -0.812 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)[N-][C@@H](C)[C@H](O)C(F)(F)F)n[nH]1 ZINC001364028728 1132172872 /nfs/dbraw/zinc/17/28/72/1132172872.db2.gz PNVKHWNIYHIBPU-FFWSUHOLSA-N -1 1 331.272 -0.214 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](CO)CN2CCOCC2)o1 ZINC001364034248 1132175767 /nfs/dbraw/zinc/17/57/67/1132175767.db2.gz QAGBXIJSQBSTIX-JTQLQIEISA-N -1 1 348.377 -0.962 20 0 EBADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)c2ncnn21 ZINC001364036426 1132176805 /nfs/dbraw/zinc/17/68/05/1132176805.db2.gz ZTWQKOQVEYMIQV-RNFRBKRXSA-N -1 1 326.338 -0.938 20 0 EBADMM Cc1cc(C)n(CC(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)c(=O)n1 ZINC001364038189 1132177722 /nfs/dbraw/zinc/17/77/22/1132177722.db2.gz VDDRHHXTMKELSL-GFCCVEGCSA-N -1 1 331.380 -0.145 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[S@](=O)C[C@H](C)C2)[n-]n1 ZINC001364043668 1132179458 /nfs/dbraw/zinc/17/94/58/1132179458.db2.gz CSXGPTSSHDASLU-SQFXPLBJSA-N -1 1 335.407 -0.415 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[S@](=O)C[C@H](C)C2)n[n-]1 ZINC001364043668 1132179462 /nfs/dbraw/zinc/17/94/62/1132179462.db2.gz CSXGPTSSHDASLU-SQFXPLBJSA-N -1 1 335.407 -0.415 20 0 EBADMM CS(=O)(=O)N[C@@H]1CCCC[C@H]1NC(=O)CCCc1nn[n-]n1 ZINC001364043172 1132180314 /nfs/dbraw/zinc/18/03/14/1132180314.db2.gz BNKGVYSEIKIIHW-NXEZZACHSA-N -1 1 330.414 -0.501 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]CCN1CCC2(C1)OCCO2 ZINC001364044771 1132181456 /nfs/dbraw/zinc/18/14/56/1132181456.db2.gz GWJNBSGOUZEBSB-UHFFFAOYSA-N -1 1 332.382 -0.708 20 0 EBADMM O=C(C1CC2(C1)CS(=O)(=O)C2)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001364050524 1132184766 /nfs/dbraw/zinc/18/47/66/1132184766.db2.gz LXLGEBWLHIJIQM-SNVBAGLBSA-N -1 1 339.421 -0.194 20 0 EBADMM CCOC(=O)c1cc(CS(=O)(=O)[N-][C@@H]2COCC[C@H]2O)on1 ZINC001364072172 1132195878 /nfs/dbraw/zinc/19/58/78/1132195878.db2.gz DHKLQFXNYLJCGE-GHMZBOCLSA-N -1 1 334.350 -0.580 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)N[C@H]3C(=O)NCC34CCOCC4)ccnc1-2 ZINC001364116824 1132212676 /nfs/dbraw/zinc/21/26/76/1132212676.db2.gz SCIWUVHWYHQKDD-LBPRGKRZSA-N -1 1 344.375 -0.242 20 0 EBADMM CN(C(=O)C1=NOC2(CN(C(=O)OC(C)(C)C)C2)C1)c1nn[n-]n1 ZINC001364189171 1132230088 /nfs/dbraw/zinc/23/00/88/1132230088.db2.gz ALIIPAFDNBYWIM-UHFFFAOYSA-N -1 1 337.340 -0.072 20 0 EBADMM CN(C(=O)[C@](C)(O)Cn1cc(C(F)(F)F)ccc1=O)c1nn[n-]n1 ZINC001364200769 1132233516 /nfs/dbraw/zinc/23/35/16/1132233516.db2.gz RZMRFQXBBGEWJQ-LLVKDONJSA-N -1 1 346.269 -0.206 20 0 EBADMM O=C(c1ncc2ccccc2c1[O-])N1CCN2[C@H](CNS2(=O)=O)C1 ZINC001364239496 1132249682 /nfs/dbraw/zinc/24/96/82/1132249682.db2.gz AWQBCTQKKDNEEI-LLVKDONJSA-N -1 1 348.384 -0.085 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)NCC1(O)CCOCC1 ZINC001364336412 1132281171 /nfs/dbraw/zinc/28/11/71/1132281171.db2.gz WPDSBIDWQMYXOV-UHFFFAOYSA-N -1 1 338.364 -0.404 20 0 EBADMM O=C(CNC(=O)c1cccc(O)c1)N1CCOC[C@H]1c1nn[n-]n1 ZINC001364383673 1132300163 /nfs/dbraw/zinc/30/01/63/1132300163.db2.gz RAQASDTUJLQPIA-NSHDSACASA-N -1 1 332.320 -0.765 20 0 EBADMM COc1cnc([C@@H]2CCCN2C(=O)Cn2ccc(=O)[nH]c2=O)[n-]c1=O ZINC001364417293 1132309252 /nfs/dbraw/zinc/30/92/52/1132309252.db2.gz SWOPMWZYILDBED-VIFPVBQESA-N -1 1 347.331 -0.183 20 0 EBADMM Cn1cc(N2CC[C@H]([NH+]3CCC(Cc4nn[nH]n4)CC3)C2=O)cn1 ZINC001364475446 1132331216 /nfs/dbraw/zinc/33/12/16/1132331216.db2.gz GJNGOAITVXCJHR-ZDUSSCGKSA-N -1 1 330.396 -0.007 20 0 EBADMM C[C@@H](O)[C@@H](CO)NC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC001364510893 1132345340 /nfs/dbraw/zinc/34/53/40/1132345340.db2.gz BHOGXSCLWQMGEF-RKDXNWHRSA-N -1 1 334.394 -0.172 20 0 EBADMM Nc1nc2nc(CN3CCC(CN4CCNC4=O)CC3)cc(=O)n2[n-]1 ZINC001364528376 1132350660 /nfs/dbraw/zinc/35/06/60/1132350660.db2.gz HPFUQGDJEFPPED-UHFFFAOYSA-N -1 1 346.395 -0.763 20 0 EBADMM COC(=O)[C@H]1CN(C)C(=O)CN1Cc1nc2c(c(=O)[n-]1)COCC2 ZINC001364545473 1132358038 /nfs/dbraw/zinc/35/80/38/1132358038.db2.gz MXDHUBLTKJDTFZ-LLVKDONJSA-N -1 1 336.348 -0.930 20 0 EBADMM CS(=O)(=O)N1CCC([N-]S(=O)(=O)c2c[nH]nc2Cl)CC1 ZINC001364760275 1132417666 /nfs/dbraw/zinc/41/76/66/1132417666.db2.gz LHADMBWMRUEARH-UHFFFAOYSA-N -1 1 342.830 -0.235 20 0 EBADMM CCO[C@H]1COCC[C@H]1NS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001364818556 1132438425 /nfs/dbraw/zinc/43/84/25/1132438425.db2.gz LOPCDDSIQWZQAK-SCZZXKLOSA-N -1 1 333.366 -0.331 20 0 EBADMM CCO[C@H]1COCC[C@H]1NS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001364818556 1132438430 /nfs/dbraw/zinc/43/84/30/1132438430.db2.gz LOPCDDSIQWZQAK-SCZZXKLOSA-N -1 1 333.366 -0.331 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCN1CCO[C@@H](C)C1 ZINC001364819144 1132438586 /nfs/dbraw/zinc/43/85/86/1132438586.db2.gz FKNLASGDMXKQGM-VIFPVBQESA-N -1 1 332.382 -0.805 20 0 EBADMM COC(=O)CN(C)CC[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC001364826410 1132443710 /nfs/dbraw/zinc/44/37/10/1132443710.db2.gz AZVGEZBBQGJZLZ-UHFFFAOYSA-N -1 1 344.315 -0.188 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C[C@@H]2CCC(=O)N2)C(C)C)[n-]n1 ZINC001364879990 1132466200 /nfs/dbraw/zinc/46/62/00/1132466200.db2.gz BOKKGSRFCZOJCD-VIFPVBQESA-N -1 1 344.393 -0.126 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C[C@@H]2CCC(=O)N2)C(C)C)n[n-]1 ZINC001364879990 1132466209 /nfs/dbraw/zinc/46/62/09/1132466209.db2.gz BOKKGSRFCZOJCD-VIFPVBQESA-N -1 1 344.393 -0.126 20 0 EBADMM COc1nscc1S(=O)(=O)[N-][C@H](CO)CN1CCOCC1 ZINC001364902438 1132475965 /nfs/dbraw/zinc/47/59/65/1132475965.db2.gz JFVJYQWNRBAZHE-VIFPVBQESA-N -1 1 337.423 -0.877 20 0 EBADMM CO[C@H](CS(=O)(=O)[N-][C@@H]1CS(=O)(=O)C[C@H]1O)C1CCCC1 ZINC001364902120 1132476192 /nfs/dbraw/zinc/47/61/92/1132476192.db2.gz GEPGLQAZYSSCJO-IJLUTSLNSA-N -1 1 341.451 -0.731 20 0 EBADMM C[C@H]1CS(=O)(=O)CCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC001364919569 1132486607 /nfs/dbraw/zinc/48/66/07/1132486607.db2.gz OHATWHJWKMAITD-JTQLQIEISA-N -1 1 341.389 -0.447 20 0 EBADMM CC(=O)N[C@@H](CC(=O)NCCc1c(F)cc([O-])cc1F)C(N)=O ZINC001364950158 1132499386 /nfs/dbraw/zinc/49/93/86/1132499386.db2.gz LXSDXFNRFKIDNA-LBPRGKRZSA-N -1 1 329.303 -0.291 20 0 EBADMM COC(=O)CCCS(=O)(=O)[N-][C@](C)(Cn1cccn1)C(=O)OC ZINC001364970352 1132507762 /nfs/dbraw/zinc/50/77/62/1132507762.db2.gz MLJRIFSJTRREMZ-CYBMUJFWSA-N -1 1 347.393 -0.313 20 0 EBADMM CCC1(CC)CNC(=O)[C@H]1[N-]S(=O)(=O)c1n[nH]cc1C(=O)OC ZINC001364974494 1132508382 /nfs/dbraw/zinc/50/83/82/1132508382.db2.gz DRQBHNWKBINUMG-SECBINFHSA-N -1 1 344.393 -0.221 20 0 EBADMM COc1nn(C)cc1C[N-]S(=O)(=O)N=[S@](C)(=O)N(C)C ZINC001364996455 1132514048 /nfs/dbraw/zinc/51/40/48/1132514048.db2.gz HZTYDUBCNZDTTD-IBGZPJMESA-N -1 1 325.416 -0.663 20 0 EBADMM COc1ccc(C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)cn1 ZINC001365016016 1132520264 /nfs/dbraw/zinc/52/02/64/1132520264.db2.gz MYHUVHWJDCJAAE-UHFFFAOYSA-N -1 1 326.334 -0.632 20 0 EBADMM COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)c1cccc([O-])c1F ZINC001365126518 1132551892 /nfs/dbraw/zinc/55/18/92/1132551892.db2.gz KDWHNQJUIUNZHZ-SECBINFHSA-N -1 1 331.321 -0.057 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N1CC[C@]2(CNC(=O)C2)C1 ZINC001365237135 1132588173 /nfs/dbraw/zinc/58/81/73/1132588173.db2.gz CKWRIPURHFHUGO-INIZCTEOSA-N -1 1 347.375 -0.324 20 0 EBADMM C[C@@H]1C(=O)N(C)S(=O)(=O)N1CC(=O)CC[N-]C(=O)C(F)(F)F ZINC001365260470 1132599112 /nfs/dbraw/zinc/59/91/12/1132599112.db2.gz TZDGNDDSFDZSEP-ZCFIWIBFSA-N -1 1 345.299 -0.969 20 0 EBADMM CS(=O)(=O)CCNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001365322126 1132621159 /nfs/dbraw/zinc/62/11/59/1132621159.db2.gz PQATYHCEZVEZDC-UHFFFAOYSA-N -1 1 330.366 -0.901 20 0 EBADMM CC[S@](=O)CCNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001365343246 1132631197 /nfs/dbraw/zinc/63/11/97/1132631197.db2.gz ZIZAGKPLDLKRRM-QFIPXVFZSA-N -1 1 328.394 -0.177 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H](C)C[C@@H]2C(N)=O)[n-]n1 ZINC001365381634 1132643739 /nfs/dbraw/zinc/64/37/39/1132643739.db2.gz SFDCMEGVLQRCTB-VXNVDRBHSA-N -1 1 330.366 -0.529 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H](C)C[C@@H]2C(N)=O)n[n-]1 ZINC001365381634 1132643743 /nfs/dbraw/zinc/64/37/43/1132643743.db2.gz SFDCMEGVLQRCTB-VXNVDRBHSA-N -1 1 330.366 -0.529 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H](C)C[C@@H]2C(N)=O)[n-]n1 ZINC001365381622 1132643859 /nfs/dbraw/zinc/64/38/59/1132643859.db2.gz SFDCMEGVLQRCTB-IONNQARKSA-N -1 1 330.366 -0.529 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H](C)C[C@@H]2C(N)=O)n[n-]1 ZINC001365381622 1132643864 /nfs/dbraw/zinc/64/38/64/1132643864.db2.gz SFDCMEGVLQRCTB-IONNQARKSA-N -1 1 330.366 -0.529 20 0 EBADMM CCCN1CCCN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)CC1=O ZINC001365383227 1132644712 /nfs/dbraw/zinc/64/47/12/1132644712.db2.gz ZQUQUBLZGHVSMU-UHFFFAOYSA-N -1 1 344.393 -0.171 20 0 EBADMM CCCN1CCCN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)CC1=O ZINC001365383227 1132644718 /nfs/dbraw/zinc/64/47/18/1132644718.db2.gz ZQUQUBLZGHVSMU-UHFFFAOYSA-N -1 1 344.393 -0.171 20 0 EBADMM CNC(=O)[C@@]1(C)CCN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)C1 ZINC001365394010 1132649792 /nfs/dbraw/zinc/64/97/92/1132649792.db2.gz DLRWURRIWJYLIN-LBPRGKRZSA-N -1 1 330.366 -0.657 20 0 EBADMM CNC(=O)[C@@]1(C)CCN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)C1 ZINC001365394010 1132649795 /nfs/dbraw/zinc/64/97/95/1132649795.db2.gz DLRWURRIWJYLIN-LBPRGKRZSA-N -1 1 330.366 -0.657 20 0 EBADMM NC(=O)[C@H](CO)[N-]S(=O)(=O)Cc1ccsc1Br ZINC001365400328 1132653090 /nfs/dbraw/zinc/65/30/90/1132653090.db2.gz RUKYIEYAFLZWFY-LURJTMIESA-N -1 1 343.224 -0.224 20 0 EBADMM CCCN1C[C@H](C[N-]S(=O)(=O)c2n[nH]cc2C(=O)OC)CC1=O ZINC001365422580 1132663811 /nfs/dbraw/zinc/66/38/11/1132663811.db2.gz LKUABFDNBZGPBZ-VIFPVBQESA-N -1 1 344.393 -0.267 20 0 EBADMM NC(=O)[C@@]1([N-]S(=O)(=O)C[C@H]2COc3ccccc3O2)CCOC1 ZINC001365426724 1132666625 /nfs/dbraw/zinc/66/66/25/1132666625.db2.gz ANHCCEHHNJELBZ-QMTHXVAHSA-N -1 1 342.373 -0.610 20 0 EBADMM C[C@@]1(C(N)=O)CCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC001365426732 1132666811 /nfs/dbraw/zinc/66/68/11/1132666811.db2.gz AFCMFPTXNGYQRM-OAHLLOKOSA-N -1 1 335.364 -0.338 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCc3c(=O)[nH]cnc3C2)[n-]n1 ZINC001365432420 1132670444 /nfs/dbraw/zinc/67/04/44/1132670444.db2.gz YNKFZJONYBXJQJ-UHFFFAOYSA-N -1 1 339.333 -0.561 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCc3c(=O)[nH]cnc3C2)n[n-]1 ZINC001365432420 1132670448 /nfs/dbraw/zinc/67/04/48/1132670448.db2.gz YNKFZJONYBXJQJ-UHFFFAOYSA-N -1 1 339.333 -0.561 20 0 EBADMM CCOC[C@H]1CN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)CCO1 ZINC001365450119 1132683683 /nfs/dbraw/zinc/68/36/83/1132683683.db2.gz AGMMLJKVYJGSFH-SECBINFHSA-N -1 1 333.366 -0.378 20 0 EBADMM CCOC[C@H]1CN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)CCO1 ZINC001365450119 1132683689 /nfs/dbraw/zinc/68/36/89/1132683689.db2.gz AGMMLJKVYJGSFH-SECBINFHSA-N -1 1 333.366 -0.378 20 0 EBADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CC(C)(C)CS(N)(=O)=O ZINC001365453182 1132685507 /nfs/dbraw/zinc/68/55/07/1132685507.db2.gz YFZAZEKKEUFOGM-UHFFFAOYSA-N -1 1 349.500 -0.357 20 0 EBADMM COC(=O)[C@H](CCC1OCCO1)[N-]S(=O)(=O)Cc1ccon1 ZINC001365453647 1132686166 /nfs/dbraw/zinc/68/61/66/1132686166.db2.gz DPBNTYZWMXYMHA-JTQLQIEISA-N -1 1 334.350 -0.211 20 0 EBADMM CCOC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001365455525 1132687285 /nfs/dbraw/zinc/68/72/85/1132687285.db2.gz FSPRYCSOEIFINT-SECBINFHSA-N -1 1 345.377 -0.504 20 0 EBADMM Cn1ncc(S(=O)(=O)[N-]CC2N=NC(=O)N2C)c1C(F)(F)F ZINC001365455924 1132687340 /nfs/dbraw/zinc/68/73/40/1132687340.db2.gz UBGOUHBFTZWVIF-UHFFFAOYSA-N -1 1 340.287 -0.249 20 0 EBADMM CNC(=O)C1(NS(=O)(=O)c2cc(OC)ccc2[O-])CCOCC1 ZINC001365461695 1132693566 /nfs/dbraw/zinc/69/35/66/1132693566.db2.gz NTXAPGGUXBVDRR-UHFFFAOYSA-N -1 1 344.389 -0.026 20 0 EBADMM CS(=O)(=O)C[C@H](O)C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC001365468066 1132697522 /nfs/dbraw/zinc/69/75/22/1132697522.db2.gz ODDPYPDQXZFGBS-MRVPVSSYSA-N -1 1 329.346 -0.351 20 0 EBADMM NS(=O)(=O)C[C@H]1CC[C@@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)C1 ZINC001365477266 1132700855 /nfs/dbraw/zinc/70/08/55/1132700855.db2.gz FKOREYLIHBGHEV-NKWVEPMBSA-N -1 1 342.830 -0.201 20 0 EBADMM O=C([C@@H]1CCCc2[nH]ncc21)N1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001365631744 1132739458 /nfs/dbraw/zinc/73/94/58/1132739458.db2.gz ZADSYPRNJVFVSR-SNVBAGLBSA-N -1 1 331.380 -0.002 20 0 EBADMM CN1C[C@H](CCNC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CC1=O ZINC001365663569 1132752654 /nfs/dbraw/zinc/75/26/54/1132752654.db2.gz HQAVJTLCHQZRJI-SNVBAGLBSA-N -1 1 349.391 -0.078 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCC2(S(C)(=O)=O)CC2)[n-]n1 ZINC001365704331 1132769393 /nfs/dbraw/zinc/76/93/93/1132769393.db2.gz JETOWRMWKCPTMK-UHFFFAOYSA-N -1 1 337.379 -0.948 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCC2(S(C)(=O)=O)CC2)n[n-]1 ZINC001365704331 1132769399 /nfs/dbraw/zinc/76/93/99/1132769399.db2.gz JETOWRMWKCPTMK-UHFFFAOYSA-N -1 1 337.379 -0.948 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCc2cn(C)nc2OC)[n-]n1 ZINC001365747171 1132789075 /nfs/dbraw/zinc/78/90/75/1132789075.db2.gz IIMBKDNEYSGOND-UHFFFAOYSA-N -1 1 329.338 -0.583 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCc2cn(C)nc2OC)n[n-]1 ZINC001365747171 1132789083 /nfs/dbraw/zinc/78/90/83/1132789083.db2.gz IIMBKDNEYSGOND-UHFFFAOYSA-N -1 1 329.338 -0.583 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@]3(CCOC3=O)C2)[n-]n1 ZINC001365751236 1132790913 /nfs/dbraw/zinc/79/09/13/1132790913.db2.gz MFBWYUJSUYHLKU-LBPRGKRZSA-N -1 1 329.334 -0.476 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@]3(CCOC3=O)C2)n[n-]1 ZINC001365751236 1132790918 /nfs/dbraw/zinc/79/09/18/1132790918.db2.gz MFBWYUJSUYHLKU-LBPRGKRZSA-N -1 1 329.334 -0.476 20 0 EBADMM Cn1[n-]c(CN2CCN(CCNC(=O)Cc3ccco3)CC2)nc1=O ZINC001365963908 1132926042 /nfs/dbraw/zinc/92/60/42/1132926042.db2.gz WHTJUHHUIYZGGU-UHFFFAOYSA-N -1 1 348.407 -0.822 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)COCC(F)F)nc1=O ZINC001366079108 1132992101 /nfs/dbraw/zinc/99/21/01/1132992101.db2.gz NXECIGXYEWOXRG-AOOOYVTPSA-N -1 1 345.350 -0.435 20 0 EBADMM C[C@H](CCNC(=O)[C@]1(C)CCCS1(=O)=O)NCc1n[nH]c(=O)[n-]1 ZINC001366493262 1133158152 /nfs/dbraw/zinc/15/81/52/1133158152.db2.gz VGAJZFLICDUSIN-RNCFNFMXSA-N -1 1 345.425 -0.538 20 0 EBADMM CN(CCNC(=O)c1cc(C2CC2)n(C)n1)Cc1nc(=O)n(C)[n-]1 ZINC001366535543 1133173794 /nfs/dbraw/zinc/17/37/94/1133173794.db2.gz JXTKAGGLQBHAOQ-UHFFFAOYSA-N -1 1 333.396 -0.419 20 0 EBADMM CN(CCNC(=O)c1cc(C(N)=O)cs1)Cc1nc(=O)n(C)[n-]1 ZINC001366596034 1133205088 /nfs/dbraw/zinc/20/50/88/1133205088.db2.gz OLNUBVSTFVZOGS-UHFFFAOYSA-N -1 1 338.393 -0.869 20 0 EBADMM Cn1nccc1CCC(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001366900407 1133351683 /nfs/dbraw/zinc/35/16/83/1133351683.db2.gz BMAZMXZSPWVAQA-LBPRGKRZSA-N -1 1 347.423 -0.445 20 0 EBADMM Cc1cccc(OCC(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001366953704 1133383162 /nfs/dbraw/zinc/38/31/62/1133383162.db2.gz YTKNGVBXBUOHMC-UHFFFAOYSA-N -1 1 331.376 -0.204 20 0 EBADMM CNC(=O)NC(=O)[C@H](C)N1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1[O-] ZINC001366994884 1133408805 /nfs/dbraw/zinc/40/88/05/1133408805.db2.gz ODVVPOLHMCLXEU-DCAQKATOSA-N -1 1 347.375 -0.470 20 0 EBADMM COCC(C)(C)CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001367014159 1133425968 /nfs/dbraw/zinc/42/59/68/1133425968.db2.gz SFPLQJPDNXWGKQ-NSHDSACASA-N -1 1 325.413 -0.138 20 0 EBADMM Cn1ccc(CCC(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001367021608 1133431721 /nfs/dbraw/zinc/43/17/21/1133431721.db2.gz CXAZYNVWNQACFP-GFCCVEGCSA-N -1 1 333.396 -0.835 20 0 EBADMM C[C@@H](Cc1cnn(C)c1)C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001367035796 1133442978 /nfs/dbraw/zinc/44/29/78/1133442978.db2.gz GWTGNFCQYLIXQD-WCQYABFASA-N -1 1 347.423 -0.589 20 0 EBADMM C[C@@H](C(=O)NC(N)=O)N1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001367050646 1133455114 /nfs/dbraw/zinc/45/51/14/1133455114.db2.gz GWDQCTJLFDZZAQ-UWVGGRQHSA-N -1 1 335.364 -0.483 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)CC(C)(F)F)C2)nc1=O ZINC001367309712 1133542562 /nfs/dbraw/zinc/54/25/62/1133542562.db2.gz RFTZNEHFKJVURG-SECBINFHSA-N -1 1 333.339 -0.529 20 0 EBADMM CC(C)[C@H](CNC(=O)[C@H](C)S(C)(=O)=O)NCc1n[nH]c(=O)[n-]1 ZINC001367470857 1133596867 /nfs/dbraw/zinc/59/68/67/1133596867.db2.gz CCKJNXPADAVSNF-IUCAKERBSA-N -1 1 333.414 -0.826 20 0 EBADMM COc1cccnc1C(=O)N(C)CCN(C)Cc1nc(=O)n(C)[n-]1 ZINC001367548938 1133623618 /nfs/dbraw/zinc/62/36/18/1133623618.db2.gz ZLZQSZRRQOZSCV-UHFFFAOYSA-N -1 1 334.380 -0.284 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H](O)CNCc2cc3n(n2)CCC3)c1[O-] ZINC001367587657 1133658807 /nfs/dbraw/zinc/65/88/07/1133658807.db2.gz UMRKINAIJKQMTQ-GFCCVEGCSA-N -1 1 334.380 -0.553 20 0 EBADMM COC1CCC(C(=O)NC[C@H](O)CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001367597437 1133672665 /nfs/dbraw/zinc/67/26/65/1133672665.db2.gz AWTHPANISXNBNS-HSOILSAZSA-N -1 1 327.385 -0.718 20 0 EBADMM O=C(NC[C@@H](O)CNC/C(Cl)=C\Cl)c1n[nH]c(=O)[n-]c1=O ZINC001367599843 1133676229 /nfs/dbraw/zinc/67/62/29/1133676229.db2.gz BOZBBAUTOZTEAN-SDMOAQIZSA-N -1 1 338.151 -0.718 20 0 EBADMM O=C(NC[C@H](O)CNCc1n[nH]c(=O)[n-]1)c1coc(C(F)F)c1 ZINC001367604750 1133682530 /nfs/dbraw/zinc/68/25/30/1133682530.db2.gz SUVRSXDOJDPDSM-SSDOTTSWSA-N -1 1 331.279 -0.079 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)CCc2ccncn2)nc1=O ZINC001367952726 1133859535 /nfs/dbraw/zinc/85/95/35/1133859535.db2.gz QHHVDSQJLAEZLG-LBPRGKRZSA-N -1 1 331.380 -0.778 20 0 EBADMM O=C(NCC1(NCc2cc(=O)n3[n-]ccc3n2)CC1)[C@@]1(F)CCOC1 ZINC001368016670 1133897948 /nfs/dbraw/zinc/89/79/48/1133897948.db2.gz UGPMRPCXUQPBQX-MRXNPFEDSA-N -1 1 349.366 -0.110 20 0 EBADMM CCO[C@H]1C[C@@H]1C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCOCC1 ZINC001368222513 1133995969 /nfs/dbraw/zinc/99/59/69/1133995969.db2.gz JXWOSRSANIFJIR-QWRGUYRKSA-N -1 1 339.396 -0.310 20 0 EBADMM Cc1nc(C(=O)NCC2(NCc3n[nH]c(=O)[n-]3)CCOCC2)co1 ZINC001368222931 1133996995 /nfs/dbraw/zinc/99/69/95/1133996995.db2.gz MQXGVLAKAWHQAE-UHFFFAOYSA-N -1 1 336.352 -0.124 20 0 EBADMM CC(C)CCCC(=O)NC[C@]1(O)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001368254442 1134038296 /nfs/dbraw/zinc/03/82/96/1134038296.db2.gz AEFIJXAFEYPZKX-MRXNPFEDSA-N -1 1 339.440 -0.012 20 0 EBADMM COCCN1CCC[C@@](CO)(NC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001368371028 1134175056 /nfs/dbraw/zinc/17/50/56/1134175056.db2.gz DKUWLNBAPWEAFB-MRXNPFEDSA-N -1 1 349.391 -0.774 20 0 EBADMM Cn1ncc(CN2CCC[C@](CO)(NC(=O)c3ncccc3[O-])C2)n1 ZINC001368374824 1134179928 /nfs/dbraw/zinc/17/99/28/1134179928.db2.gz QKUDVKWJTRESOU-INIZCTEOSA-N -1 1 346.391 -0.327 20 0 EBADMM CC(=O)N[C@@H](C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCC1)C(C)C ZINC001368493617 1134251601 /nfs/dbraw/zinc/25/16/01/1134251601.db2.gz PGGZOQXZBISLOK-GFCCVEGCSA-N -1 1 338.412 -0.201 20 0 EBADMM CC[C@H](F)C(=O)N[C@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001368589882 1134310620 /nfs/dbraw/zinc/31/06/20/1134310620.db2.gz AWUKCLNRIDLSKV-ZJUUUORDSA-N -1 1 327.360 -0.684 20 0 EBADMM Cc1cnccc1C(=O)N1CCC(O)(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001368688005 1134387791 /nfs/dbraw/zinc/38/77/91/1134387791.db2.gz MQFLNHDNOJCBMY-UHFFFAOYSA-N -1 1 346.391 -0.029 20 0 EBADMM O=C(C[C@H]1CCOC1)N1CCC(O)(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001368695313 1134396601 /nfs/dbraw/zinc/39/66/01/1134396601.db2.gz SOIMXYNKQIEXKQ-LLVKDONJSA-N -1 1 339.396 -0.620 20 0 EBADMM COc1c(C(=O)N[C@H](C)[C@@H](C)NCc2n[nH]c(=O)[n-]2)c(C)nn1C ZINC001368863137 1134468706 /nfs/dbraw/zinc/46/87/06/1134468706.db2.gz AFBHYDBILMHGKB-HTQZYQBOSA-N -1 1 337.384 -0.143 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)CCn1cnccc1=O ZINC001368885339 1134474252 /nfs/dbraw/zinc/47/42/52/1134474252.db2.gz FBHUKNHHXGIKSU-UWVGGRQHSA-N -1 1 335.368 -0.860 20 0 EBADMM Cn1[n-]c(CN[C@H](CO)CNC(=O)C(C)(C)C2CCCC2)nc1=O ZINC001369321861 1134662756 /nfs/dbraw/zinc/66/27/56/1134662756.db2.gz GDNKJDIGSGEPOW-LBPRGKRZSA-N -1 1 339.440 -0.109 20 0 EBADMM COC[C@H](CNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)OC ZINC001369399876 1134759785 /nfs/dbraw/zinc/75/97/85/1134759785.db2.gz LLAGNBMUTKFDHP-VIFPVBQESA-N -1 1 326.353 -0.285 20 0 EBADMM CN(C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)c1sccc1F ZINC001369459678 1134799880 /nfs/dbraw/zinc/79/98/80/1134799880.db2.gz HIGXOGCKYGUZRW-ZETCQYMHSA-N -1 1 329.357 -0.066 20 0 EBADMM C[C@@H](NC(=O)CN1CCOCC1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001369682866 1134986605 /nfs/dbraw/zinc/98/66/05/1134986605.db2.gz HJGGXBSRIREDHB-NEPJUHHUSA-N -1 1 336.392 -0.258 20 0 EBADMM C[C@H](CNC(=O)[C@@H]1CCCO1)N(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001369773384 1135012666 /nfs/dbraw/zinc/01/26/66/1135012666.db2.gz WBDSTQGTYYDNGY-KOLCDFICSA-N -1 1 348.363 -0.827 20 0 EBADMM C[C@@H](CNC(=O)[C@H](C)S(C)(=O)=O)N(C)C(=O)c1ncccc1[O-] ZINC001369891164 1135075817 /nfs/dbraw/zinc/07/58/17/1135075817.db2.gz LYZDEROAYHHWGS-UWVGGRQHSA-N -1 1 343.405 -0.203 20 0 EBADMM C[C@@H]1CO[C@H](C(=O)NC2(CCO)CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001369994776 1135152683 /nfs/dbraw/zinc/15/26/83/1135152683.db2.gz FWWOZDKEYFNCQS-AAEUAGOBSA-N -1 1 349.387 -0.095 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1C[C@@H]1C)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001370572718 1135414739 /nfs/dbraw/zinc/41/47/39/1135414739.db2.gz FXAXROHUDGCDTH-GUBZILKMSA-N -1 1 332.364 -0.350 20 0 EBADMM C[C@@H](C(=O)NC[C@@H](CO)NC(=O)c1ncccc1[O-])n1cccn1 ZINC001370656232 1135459371 /nfs/dbraw/zinc/45/93/71/1135459371.db2.gz QPATYYYTBKLSON-QWRGUYRKSA-N -1 1 333.348 -0.548 20 0 EBADMM Cc1cc(C(=O)N[C@H](CO)CNC(=O)c2ncccc2[O-])ncn1 ZINC001370684516 1135494731 /nfs/dbraw/zinc/49/47/31/1135494731.db2.gz XIRWNTCPZRWDEW-JTQLQIEISA-N -1 1 331.332 -0.594 20 0 EBADMM CCO[C@H](C(=O)NC[C@H](CO)NC(=O)c1ncccc1[O-])C1CC1 ZINC001370707899 1135528221 /nfs/dbraw/zinc/52/82/21/1135528221.db2.gz KDEZCNHTERSOFH-RISCZKNCSA-N -1 1 337.376 -0.191 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)CCNC(N)=O)C1 ZINC001371177092 1135856639 /nfs/dbraw/zinc/85/66/39/1135856639.db2.gz KZDOUXVYLWMHMB-UHFFFAOYSA-N -1 1 335.364 -0.435 20 0 EBADMM CCC(=O)NCC(=O)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001371282996 1135878076 /nfs/dbraw/zinc/87/80/76/1135878076.db2.gz PRXLHKLKLJYAGW-UHFFFAOYSA-N -1 1 346.387 -0.012 20 0 EBADMM Cc1nnc2n1C[C@H](C(=O)NC[C@H](C)NCc1n[nH]c(=O)[n-]1)CC2 ZINC001371464930 1135925390 /nfs/dbraw/zinc/92/53/90/1135925390.db2.gz LHJUQUXHUMSSRA-WCBMZHEXSA-N -1 1 334.384 -0.733 20 0 EBADMM CCN(CCNC(=O)c1cc(OC)ccn1)Cc1nc(=O)n(C)[n-]1 ZINC001372399131 1136191788 /nfs/dbraw/zinc/19/17/88/1136191788.db2.gz VMKKTPBGODRSBM-UHFFFAOYSA-N -1 1 334.380 -0.236 20 0 EBADMM Cc1cc(CC(=O)NC[C@H]2CC[N@@H+](Cc3nc(=O)n(C)[nH]3)C2)no1 ZINC001372594011 1136240294 /nfs/dbraw/zinc/24/02/94/1136240294.db2.gz KUABQNAWIUDNNS-LLVKDONJSA-N -1 1 334.380 -0.414 20 0 EBADMM O=C(CCn1cncn1)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001372598690 1136241765 /nfs/dbraw/zinc/24/17/65/1136241765.db2.gz QAGAGRZLMFTXOG-PHIMTYICSA-N -1 1 330.348 -0.154 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)COCc1ccnn1C ZINC001372839841 1136301470 /nfs/dbraw/zinc/30/14/70/1136301470.db2.gz ZINRGIQDUSBICV-LLVKDONJSA-N -1 1 347.375 -0.028 20 0 EBADMM C[C@H](C(=O)NC[C@H](C)NC(=O)c1ncccc1[O-])S(C)(=O)=O ZINC001372957889 1136338433 /nfs/dbraw/zinc/33/84/33/1136338433.db2.gz FOMQBFIGTAALAD-DTWKUNHWSA-N -1 1 329.378 -0.545 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)CCc3ccncn3)C2)nc1=O ZINC001373517362 1136482311 /nfs/dbraw/zinc/48/23/11/1136482311.db2.gz ZNSSBJLJZMHASI-UHFFFAOYSA-N -1 1 331.380 -0.921 20 0 EBADMM CCO[C@@H](C)C(=O)N(CC)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001373699484 1136540764 /nfs/dbraw/zinc/54/07/64/1136540764.db2.gz MHTYGHOJJXBVFP-NWDGAFQWSA-N -1 1 325.413 -0.044 20 0 EBADMM CC[C@H](CNC(=O)[C@H]1CCn2ccnc2C1)NCc1n[nH]c(=O)[n-]1 ZINC001373769832 1136556864 /nfs/dbraw/zinc/55/68/64/1136556864.db2.gz JQGUIMPSYCBNHG-WDEREUQCSA-N -1 1 333.396 -0.046 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cn(C4CCC4)nn3)CC2)nc1=O ZINC001374075960 1136618181 /nfs/dbraw/zinc/61/81/81/1136618181.db2.gz ONNJXIPSMIKLJF-UHFFFAOYSA-N -1 1 346.395 -0.617 20 0 EBADMM COC(=O)NCC(=O)N(CCNC(=O)c1ncccc1[O-])C1CC1 ZINC001374223281 1136652135 /nfs/dbraw/zinc/65/21/35/1136652135.db2.gz VLPNXOXOQDWVCW-UHFFFAOYSA-N -1 1 336.348 -0.136 20 0 EBADMM C[C@@H](CNCc1cc2n(n1)CCC2)N(C)C(=O)Cn1c(=O)[n-][nH]c1=O ZINC001374315338 1136672137 /nfs/dbraw/zinc/67/21/37/1136672137.db2.gz OPICJKOOKHEONF-JTQLQIEISA-N -1 1 349.395 -0.531 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)CN1CCCCCC1=O ZINC001374323525 1136673687 /nfs/dbraw/zinc/67/36/87/1136673687.db2.gz WHSMCLRLJZTPMJ-LLVKDONJSA-N -1 1 338.412 -0.151 20 0 EBADMM CC[C@H]1CC[C@@H](C(=O)N(C)CCN(C)Cc2nc(=O)n(C)[n-]2)O1 ZINC001374499763 1136702728 /nfs/dbraw/zinc/70/27/28/1136702728.db2.gz GDWOSFZUWRCOHO-RYUDHWBXSA-N -1 1 325.413 -0.044 20 0 EBADMM CC(C)(C)n1nnnc1CNC[C@H](O)CNC(=O)c1ncccc1[O-] ZINC001374594836 1136734599 /nfs/dbraw/zinc/73/45/99/1136734599.db2.gz LRETZUADCKBLFB-JTQLQIEISA-N -1 1 349.395 -0.591 20 0 EBADMM Cc1cc(C)cc(CC(=O)NC[C@H](O)CNCc2n[nH]c(=O)[n-]2)c1 ZINC001374644761 1136771003 /nfs/dbraw/zinc/77/10/03/1136771003.db2.gz YIGFHFLAAWFSMT-CYBMUJFWSA-N -1 1 333.392 -0.063 20 0 EBADMM CC(C)[C@H](C)C(=O)NCC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001374918302 1136874076 /nfs/dbraw/zinc/87/40/76/1136874076.db2.gz YVCBWDKMBUPJIU-JTQLQIEISA-N -1 1 346.391 -0.102 20 0 EBADMM CCOCC(=O)NC[C@H](CC)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001374988572 1136890152 /nfs/dbraw/zinc/89/01/52/1136890152.db2.gz QLSOGNCVHVQSBF-VIFPVBQESA-N -1 1 336.352 -0.921 20 0 EBADMM CCO[C@H](CS(=O)(=O)[N-][C@H]1CS(=O)(=O)C[C@H]1OC)C1CC1 ZINC000625276980 376037235 /nfs/dbraw/zinc/03/72/35/376037235.db2.gz HBCACLSPDZESON-QJPTWQEYSA-N -1 1 341.451 -0.467 20 0 EBADMM CNC(=O)c1ccc(CS(=O)(=O)[N-][C@@H]2COCCC2=O)cc1 ZINC000625491644 376241507 /nfs/dbraw/zinc/24/15/07/376241507.db2.gz GQPVGRKQGVWRTH-GFCCVEGCSA-N -1 1 326.374 -0.176 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC(=O)C2(C)CCCC2)c(=O)n(C)c1=O ZINC000625547229 376266846 /nfs/dbraw/zinc/26/68/46/376266846.db2.gz ZTBGEIGSUFYBFI-UHFFFAOYSA-N -1 1 343.405 -0.488 20 0 EBADMM O=C(NCc1nn[n-]n1)c1cnc2c(c1)c(=O)[nH]c(=O)n2C1CC1 ZINC000600497345 376421600 /nfs/dbraw/zinc/42/16/00/376421600.db2.gz LJWRSKMDPLDSAY-UHFFFAOYSA-N -1 1 328.292 -0.725 20 0 EBADMM O=C(CNC(=O)c1cc(-c2ccccc2)on1)NCc1nn[n-]n1 ZINC000600496308 376421903 /nfs/dbraw/zinc/42/19/03/376421903.db2.gz BEJLXRZUCGCHFP-UHFFFAOYSA-N -1 1 327.304 -0.099 20 0 EBADMM CN(CC(=O)N1CCO[C@H](c2nn[n-]n2)C1)C(=O)OC(C)(C)C ZINC000370858152 376588216 /nfs/dbraw/zinc/58/82/16/376588216.db2.gz BNNGNTFSWWQWLY-VIFPVBQESA-N -1 1 326.357 -0.034 20 0 EBADMM O=C(CNC(=O)c1ccc(F)cc1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370862671 376592478 /nfs/dbraw/zinc/59/24/78/376592478.db2.gz RMJQOVBQCFGYQY-LLVKDONJSA-N -1 1 334.311 -0.331 20 0 EBADMM CC1(O)CN(S(=O)(=O)c2ccc([N-]S(C)(=O)=O)cc2F)C1 ZINC000408419790 376729244 /nfs/dbraw/zinc/72/92/44/376729244.db2.gz ONLGVAUCOQTZFP-UHFFFAOYSA-N -1 1 338.382 -0.048 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-]CC2(S(C)(=O)=O)CC2)c1Cl ZINC000280108146 377212567 /nfs/dbraw/zinc/21/25/67/377212567.db2.gz BLSMNZMPJDJSRQ-UHFFFAOYSA-N -1 1 327.815 -0.071 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2cnn(C)c2N)c1 ZINC000642989964 377439078 /nfs/dbraw/zinc/43/90/78/377439078.db2.gz MZOBALKTXUUBSD-UHFFFAOYSA-N -1 1 325.350 -0.132 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCN(C)C2=O)c1 ZINC000642990893 377441676 /nfs/dbraw/zinc/44/16/76/377441676.db2.gz WWOLJQUSKSQRLP-VIFPVBQESA-N -1 1 327.362 -0.283 20 0 EBADMM COCC[C@H]([N-]S(=O)(=O)CC(C)(C)C(=O)OC)C(=O)OC ZINC000589307234 377409914 /nfs/dbraw/zinc/40/99/14/377409914.db2.gz QVWGXIBXKUXPLA-VIFPVBQESA-N -1 1 325.383 -0.317 20 0 EBADMM O=C(CN1C(=O)[C@@H]2CCCC[C@H]2C1=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000364527905 377620078 /nfs/dbraw/zinc/62/00/78/377620078.db2.gz CGMOEDRJRRCDOC-GMTAPVOTSA-N -1 1 348.363 -0.725 20 0 EBADMM CC(C)(C)OC(=O)N1CC(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)C1 ZINC000364646679 377628417 /nfs/dbraw/zinc/62/84/17/377628417.db2.gz NNXIXPCHQVWTBE-SNVBAGLBSA-N -1 1 338.368 -0.034 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H]2CCO[C@H]2C(=O)OC)cc1C ZINC000595342628 378001674 /nfs/dbraw/zinc/00/16/74/378001674.db2.gz NVEMJRDJNVAFKP-GZMMTYOYSA-N -1 1 347.345 -0.017 20 0 EBADMM CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1cncc([O-])c1 ZINC000597627972 378118976 /nfs/dbraw/zinc/11/89/76/378118976.db2.gz QUVKTZQWEWJHPU-LBPRGKRZSA-N -1 1 337.332 -0.693 20 0 EBADMM COCCS(=O)(=O)c1ccc(C(=O)NCc2nn[n-]n2)cc1 ZINC000599377694 378233137 /nfs/dbraw/zinc/23/31/37/378233137.db2.gz YPCDOLFFMBTQEZ-UHFFFAOYSA-N -1 1 325.350 -0.450 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCN(c2cnccn2)CC1 ZINC000338269266 378751464 /nfs/dbraw/zinc/75/14/64/378751464.db2.gz YDHVNQZTOVISFP-UHFFFAOYSA-N -1 1 342.359 -0.344 20 0 EBADMM CN(C)C(=O)C1CCN(C(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000337944271 378714776 /nfs/dbraw/zinc/71/47/76/378714776.db2.gz GAFOUMZAVNKGBI-UHFFFAOYSA-N -1 1 334.376 -0.156 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCN(c2ncccn2)CC1 ZINC000337939928 378714859 /nfs/dbraw/zinc/71/48/59/378714859.db2.gz AIFWPPGKPZSDNJ-UHFFFAOYSA-N -1 1 342.359 -0.344 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NCC(=O)NCc1ccco1 ZINC000337945250 378716361 /nfs/dbraw/zinc/71/63/61/378716361.db2.gz IQSOONHPPNWLNC-UHFFFAOYSA-N -1 1 332.316 -0.457 20 0 EBADMM C[C@@H](NC(=O)CNC(=O)c1ncccc1[O-])C(=O)NCc1ccco1 ZINC000337948837 378718872 /nfs/dbraw/zinc/71/88/72/378718872.db2.gz WFPOTRKXTZHOIC-SNVBAGLBSA-N -1 1 346.343 -0.069 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2CCC(=O)NC2)c(C(F)(F)F)n1 ZINC000338909563 378829297 /nfs/dbraw/zinc/82/92/97/378829297.db2.gz UDKDFWSJZFZRID-LURJTMIESA-N -1 1 326.300 -0.004 20 0 EBADMM COC(=O)[C@@H](CO)[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000338943175 378833404 /nfs/dbraw/zinc/83/34/04/378833404.db2.gz UNAMXJXGQFWPMC-RXMQYKEDSA-N -1 1 331.272 -0.749 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H]1CCC(=O)NC12CCC2 ZINC000338703829 378806202 /nfs/dbraw/zinc/80/62/02/378806202.db2.gz UBIMIGXFLUDRCO-LLVKDONJSA-N -1 1 332.360 -0.166 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@@H](C(N)=O)CC[C@@H]2C)co1 ZINC000339201354 378860659 /nfs/dbraw/zinc/86/06/59/378860659.db2.gz GBWJLJKNUYFYOV-IUCAKERBSA-N -1 1 329.378 -0.086 20 0 EBADMM CC[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)[C@H]1CCCO1 ZINC000339455345 378923415 /nfs/dbraw/zinc/92/34/15/378923415.db2.gz KDHUXCFJIUNKTM-NXEZZACHSA-N -1 1 331.394 -0.680 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCCN2C(=O)CCC2=O)co1 ZINC000339351572 378907363 /nfs/dbraw/zinc/90/73/63/378907363.db2.gz BEDHZTJZKWDNDA-UHFFFAOYSA-N -1 1 343.361 -0.543 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCCC[C@@H]2O)o1 ZINC000339803324 379038495 /nfs/dbraw/zinc/03/84/95/379038495.db2.gz JTSXMAMYNMBZJA-BDAKNGLRSA-N -1 1 345.377 -0.924 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)c2nc3nc(C)cc(C)n3n2)n[n-]1 ZINC000615920344 379051757 /nfs/dbraw/zinc/05/17/57/379051757.db2.gz XGUUXXPZVZRLIT-UHFFFAOYSA-N -1 1 344.335 -0.034 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)c2nc3nc(C)cc(C)n3n2)n1 ZINC000615920344 379051771 /nfs/dbraw/zinc/05/17/71/379051771.db2.gz XGUUXXPZVZRLIT-UHFFFAOYSA-N -1 1 344.335 -0.034 20 0 EBADMM O=S(=O)(CCCS(=O)(=O)NCC1COC1)[N-]c1ccccn1 ZINC000629630856 379085869 /nfs/dbraw/zinc/08/58/69/379085869.db2.gz KQQDLDYXIYQGEQ-UHFFFAOYSA-N -1 1 349.434 -0.221 20 0 EBADMM CCS(=O)(=O)C1CN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000456288268 379165967 /nfs/dbraw/zinc/16/59/67/379165967.db2.gz IXNRMAMBFQZLOC-UHFFFAOYSA-N -1 1 327.362 -0.838 20 0 EBADMM COCCNC(=O)C1(NC(=O)c2c[n-]c3c(cnn3C)c2=O)CC1 ZINC000458363748 379220690 /nfs/dbraw/zinc/22/06/90/379220690.db2.gz NSRDLORFCKDPAL-UHFFFAOYSA-N -1 1 333.348 -0.301 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@]2(C)CCCS2)c(=O)n(C)c1=O ZINC000268231678 379288607 /nfs/dbraw/zinc/28/86/07/379288607.db2.gz VJBVMTVXTUOTSG-GFCCVEGCSA-N -1 1 333.435 -0.352 20 0 EBADMM CC1(C)C[C@@]1(C)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000620080005 379252394 /nfs/dbraw/zinc/25/23/94/379252394.db2.gz XOVOQXWTSOKLCG-CYBMUJFWSA-N -1 1 329.378 -0.038 20 0 EBADMM CCCN(C)S(=O)(=O)[N-]c1ccn(CC(=O)N2CCOCC2)n1 ZINC000268804456 379328436 /nfs/dbraw/zinc/32/84/36/379328436.db2.gz ZZQMSJKAPRNAMG-UHFFFAOYSA-N -1 1 345.425 -0.260 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CC[C@@H](C(N)=O)O2)o1 ZINC000268347096 379294839 /nfs/dbraw/zinc/29/48/39/379294839.db2.gz MELWBQHMLLUDOU-SFYZADRCSA-N -1 1 332.334 -0.623 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@@H]2CC(=O)N[C@H]3CCCC[C@@H]23)n1 ZINC000621792366 379351789 /nfs/dbraw/zinc/35/17/89/379351789.db2.gz BZLKZAYJGJEHRT-YIZRAAEISA-N -1 1 341.393 -0.158 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)[C@@H]2CC(=O)N[C@H]3CCCC[C@@H]23)[n-]1 ZINC000621792366 379351797 /nfs/dbraw/zinc/35/17/97/379351797.db2.gz BZLKZAYJGJEHRT-YIZRAAEISA-N -1 1 341.393 -0.158 20 0 EBADMM COCCCS(=O)(=O)NCC(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000269428298 379369367 /nfs/dbraw/zinc/36/93/67/379369367.db2.gz GGGALIMCTXFQEF-UHFFFAOYSA-N -1 1 345.303 -0.282 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@@](F)(C(=O)OC)C2)co1 ZINC000270252149 379441506 /nfs/dbraw/zinc/44/15/06/379441506.db2.gz YHUVDDFIIUPUEC-LBPRGKRZSA-N -1 1 334.325 -0.085 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CC(=O)N(C(C)C)C2)co1 ZINC000270023693 379427874 /nfs/dbraw/zinc/42/78/74/379427874.db2.gz FVNQVYMAXRKXCR-SNVBAGLBSA-N -1 1 329.378 -0.073 20 0 EBADMM COCC(COC)S(=O)(=O)[N-]C[C@@H](n1cncn1)C(F)(F)F ZINC000641639458 379457604 /nfs/dbraw/zinc/45/76/04/379457604.db2.gz AQAMJPZJJYUKNI-SECBINFHSA-N -1 1 346.331 -0.038 20 0 EBADMM C[C@@H]1C[C@@H](C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCO1 ZINC000271310009 379516712 /nfs/dbraw/zinc/51/67/12/379516712.db2.gz YMIAPOQEFLHMIW-ZJUUUORDSA-N -1 1 331.394 -0.823 20 0 EBADMM CN(CCCC(=O)[N-]OCC(N)=O)S(=O)(=O)c1ccc(F)cc1 ZINC000271340969 379519006 /nfs/dbraw/zinc/51/90/06/379519006.db2.gz CNCUTIXYKUPFDF-UHFFFAOYSA-N -1 1 347.368 -0.241 20 0 EBADMM C[C@@H](CN(C)C(=O)CN1C(=O)N[C@@](C)(C2CC2)C1=O)c1nn[n-]n1 ZINC000273554804 379650895 /nfs/dbraw/zinc/65/08/95/379650895.db2.gz WHDWKWFGHVRADI-RTHLEPHNSA-N -1 1 335.368 -0.518 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCNC(=O)c2ccn[nH]2)co1 ZINC000272886040 379612026 /nfs/dbraw/zinc/61/20/26/379612026.db2.gz ZVQVFYHSNAFZMA-UHFFFAOYSA-N -1 1 341.349 -0.929 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@]2(C)CCO[C@H]2C2CC2)c(=O)n(C)c1=O ZINC000273074809 379627277 /nfs/dbraw/zinc/62/72/77/379627277.db2.gz JZQGENCIVLOIFA-SMDDNHRTSA-N -1 1 343.405 -0.680 20 0 EBADMM CCC[C@@H](NC(=O)c1ccc(S(=O)(=O)NC)nc1)c1nn[n-]n1 ZINC000274485411 379695806 /nfs/dbraw/zinc/69/58/06/379695806.db2.gz CEJOVCZWEXDBOK-SECBINFHSA-N -1 1 339.381 -0.226 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCOC[C@H]2C[C@H](C)O)o1 ZINC000274285718 379680703 /nfs/dbraw/zinc/68/07/03/379680703.db2.gz CWYGJAMRHHYJEQ-VHSXEESVSA-N -1 1 332.378 -0.200 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H](Cc2cnc[nH]2)C(=O)[O-])c[nH]1 ZINC000274908986 379723532 /nfs/dbraw/zinc/72/35/32/379723532.db2.gz KAKFCDDPPIQYFT-SECBINFHSA-N -1 1 342.333 -0.501 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)OC)CC2)o1 ZINC000054610868 379854791 /nfs/dbraw/zinc/85/47/91/379854791.db2.gz RAGNRLHMVCGBCR-UHFFFAOYSA-N -1 1 331.350 -0.288 20 0 EBADMM CCN1CCCN(C(=O)c2coc(S(=O)(=O)[N-]C)c2)CC1=O ZINC000276299881 379826455 /nfs/dbraw/zinc/82/64/55/379826455.db2.gz VNMWRXMUXPTQHL-UHFFFAOYSA-N -1 1 329.378 -0.118 20 0 EBADMM CN1NN=C2C1=NCC[C@@H]2C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614390078 379925055 /nfs/dbraw/zinc/92/50/55/379925055.db2.gz QXFPUCPPESZJFB-BDAKNGLRSA-N -1 1 333.352 -0.294 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@H]1[N-]S(=O)(=O)CC1(OC)CCC1 ZINC000632552670 379879813 /nfs/dbraw/zinc/87/98/13/379879813.db2.gz XDWCNCJJIPODOJ-NXEZZACHSA-N -1 1 327.424 -0.713 20 0 EBADMM NS(=O)(=O)c1ccc([O-])c(C(=O)NC2(c3nnc[nH]3)CCC2)c1 ZINC000613853836 379885860 /nfs/dbraw/zinc/88/58/60/379885860.db2.gz QZPLOYAXCWQBCG-UHFFFAOYSA-N -1 1 337.361 -0.033 20 0 EBADMM NS(=O)(=O)CCCS(=O)(=O)c1nc(Cc2ccccc2)n[n-]1 ZINC000280117861 379970806 /nfs/dbraw/zinc/97/08/06/379970806.db2.gz ZHWZDZHCTRYXJI-UHFFFAOYSA-N -1 1 344.418 -0.152 20 0 EBADMM NS(=O)(=O)CCCS(=O)(=O)c1n[n-]c(Cc2ccccc2)n1 ZINC000280117861 379970808 /nfs/dbraw/zinc/97/08/08/379970808.db2.gz ZHWZDZHCTRYXJI-UHFFFAOYSA-N -1 1 344.418 -0.152 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCC(=O)NCC(F)F ZINC000280188445 379973983 /nfs/dbraw/zinc/97/39/83/379973983.db2.gz HWELDNCHAQDCRH-UHFFFAOYSA-N -1 1 341.318 -0.321 20 0 EBADMM COC(=O)c1c[n-]c(S(=O)(=O)CC(=O)NC[C@H]2CCCO2)n1 ZINC000279543987 379956212 /nfs/dbraw/zinc/95/62/12/379956212.db2.gz ISVWMAMBEFGZRA-MRVPVSSYSA-N -1 1 331.350 -0.735 20 0 EBADMM COc1ccc(OC)c(C2=NO[C@H](C(=O)NN3CC(=O)[N-]C3=O)C2)c1 ZINC000280817454 379993270 /nfs/dbraw/zinc/99/32/70/379993270.db2.gz NAJXYUFGMLITMA-LBPRGKRZSA-N -1 1 348.315 -0.220 20 0 EBADMM CCCCS(=O)(=O)NCC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000539324534 379995130 /nfs/dbraw/zinc/99/51/30/379995130.db2.gz PBAKAKAUVKFPKU-JTQLQIEISA-N -1 1 330.414 -0.375 20 0 EBADMM CC(C)(NS(C)(=O)=O)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630226387 380011973 /nfs/dbraw/zinc/01/19/73/380011973.db2.gz OTCQKJFMUPWRQB-UHFFFAOYSA-N -1 1 335.426 -0.678 20 0 EBADMM CC(C)(C)[C@H](NS(C)(=O)=O)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000540425382 380012818 /nfs/dbraw/zinc/01/28/18/380012818.db2.gz QCPCZLBETKXLHV-VHSXEESVSA-N -1 1 344.441 -0.130 20 0 EBADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CC(=O)N(C3CC3)C2)c1 ZINC000540805701 380013603 /nfs/dbraw/zinc/01/36/03/380013603.db2.gz PTVZDSOYNHXTDH-QMMMGPOBSA-N -1 1 339.373 -0.011 20 0 EBADMM Cn1cnn(CC(=O)N2CCC(C[N-]C(=O)C(F)(F)F)CC2)c1=O ZINC000281794278 380042197 /nfs/dbraw/zinc/04/21/97/380042197.db2.gz SJLNIHUIRUIUIV-UHFFFAOYSA-N -1 1 349.313 -0.501 20 0 EBADMM CC(C)(C)OC(=O)NC[C@H]1CC[C@@H](C(=O)NN2CC(=O)[N-]C2=O)O1 ZINC000282942725 380094019 /nfs/dbraw/zinc/09/40/19/380094019.db2.gz SXKUQGMVNASMBY-BDAKNGLRSA-N -1 1 342.352 -0.358 20 0 EBADMM C[C@H](NS(=O)(=O)c1ccccc1)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000354761181 380094038 /nfs/dbraw/zinc/09/40/38/380094038.db2.gz BBRUGHKFQUZJHQ-VIFPVBQESA-N -1 1 338.393 -0.082 20 0 EBADMM Cc1nc([C@H]2CN(C(=O)CNC(=O)c3ncccc3[O-])CCO2)no1 ZINC000355026785 380131149 /nfs/dbraw/zinc/13/11/49/380131149.db2.gz OCMHYOFLQXIUCO-LLVKDONJSA-N -1 1 347.331 -0.191 20 0 EBADMM CCC[C@@H](NC(=O)Cn1nnc2ccccc2c1=O)c1nn[n-]n1 ZINC000136681992 380211822 /nfs/dbraw/zinc/21/18/22/380211822.db2.gz TVNRTTSGTNMQSW-LLVKDONJSA-N -1 1 328.336 -0.038 20 0 EBADMM COC(=O)CCCS(=O)(=O)N[C@H](CN1CCCCC1)C(=O)[O-] ZINC000548555296 380214998 /nfs/dbraw/zinc/21/49/98/380214998.db2.gz PFSKTYDKYPAXOB-LLVKDONJSA-N -1 1 336.410 -0.202 20 0 EBADMM CCOCCCNC(=O)[C@@H](C)S(=O)(=O)c1nc(C(=O)OC)c[n-]1 ZINC000285167620 380227608 /nfs/dbraw/zinc/22/76/08/380227608.db2.gz RJRVZCOHKOAPCP-SECBINFHSA-N -1 1 347.393 -0.099 20 0 EBADMM NS(=O)(=O)c1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)s1 ZINC000553394506 380325364 /nfs/dbraw/zinc/32/53/64/380325364.db2.gz PHUCSDUEZVHWRJ-ZETCQYMHSA-N -1 1 342.406 -0.072 20 0 EBADMM CCC[C@@H]1C(=O)NCCN1C(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287879564 380380109 /nfs/dbraw/zinc/38/01/09/380380109.db2.gz SNGGQFNFFNYKIX-WXYNYTDUSA-N -1 1 344.375 -0.593 20 0 EBADMM COC(=O)C(C)(C)CNC(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000288927834 380424263 /nfs/dbraw/zinc/42/42/63/380424263.db2.gz VKLVUGBJTHRHQH-GRSHGNNSSA-N -1 1 333.348 -0.404 20 0 EBADMM COCC[C@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)C(=O)OC ZINC000289973146 380454707 /nfs/dbraw/zinc/45/47/07/380454707.db2.gz REUXWHKRABXFGE-ZETCQYMHSA-N -1 1 325.774 -0.070 20 0 EBADMM CS[C@@H](CO)[C@H](C)NC(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000288714373 380415188 /nfs/dbraw/zinc/41/51/88/380415188.db2.gz IRJXKANEDPINKL-MVCREQHPSA-N -1 1 337.405 -0.491 20 0 EBADMM CS[C@@H](CO)[C@@H](C)NC(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000288714364 380415990 /nfs/dbraw/zinc/41/59/90/380415990.db2.gz IRJXKANEDPINKL-JFMMMGMISA-N -1 1 337.405 -0.491 20 0 EBADMM C[C@@H]1CN(C(=O)C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C[C@H](C)N1C ZINC000293439987 380496458 /nfs/dbraw/zinc/49/64/58/380496458.db2.gz IWNHWASTFODVQZ-FEMVOSORSA-N -1 1 330.392 -0.169 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@H](O)c2ccsc2)c(=O)n(C)c1=O ZINC000272164795 380536175 /nfs/dbraw/zinc/53/61/75/380536175.db2.gz ZXAWINDAEHKQAM-VIFPVBQESA-N -1 1 345.402 -0.843 20 0 EBADMM NC(=O)C1(CNC(=O)c2nc3ccccc3c(=O)[n-]2)CCOCC1 ZINC000340610066 380568497 /nfs/dbraw/zinc/56/84/97/380568497.db2.gz QYXNOTOIVYFPQT-UHFFFAOYSA-N -1 1 330.344 -0.065 20 0 EBADMM CO[C@@H]1CCC[C@@H]1C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000341196723 380682099 /nfs/dbraw/zinc/68/20/99/380682099.db2.gz QVEWRFYXIAUJSM-NXEZZACHSA-N -1 1 331.394 -0.823 20 0 EBADMM CC(C)(C)[C@H](NS(C)(=O)=O)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000372070629 380687895 /nfs/dbraw/zinc/68/78/95/380687895.db2.gz DNMRLZMKAJTDDD-DTWKUNHWSA-N -1 1 346.413 -0.937 20 0 EBADMM COc1cc(NC(=O)N(C)C[C@H](O)CO)ccc1[N-]S(C)(=O)=O ZINC000341952832 380748136 /nfs/dbraw/zinc/74/81/36/380748136.db2.gz UEWIHOABXXNSAS-JTQLQIEISA-N -1 1 347.393 -0.116 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1C[C@@H](C(=O)OC)C1 ZINC000416616175 380790807 /nfs/dbraw/zinc/79/08/07/380790807.db2.gz LPEZNYBXAFPFMD-OCAPTIKFSA-N -1 1 331.350 -0.184 20 0 EBADMM COC(=O)N[C@@H](CC(C)C)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000375790516 380871043 /nfs/dbraw/zinc/87/10/43/380871043.db2.gz DKDGOXMJWJXKKV-VHSXEESVSA-N -1 1 326.357 -0.130 20 0 EBADMM COc1ccccc1C(=O)NCC(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000375232997 380834729 /nfs/dbraw/zinc/83/47/29/380834729.db2.gz GXGXUIURDSUZSC-GFCCVEGCSA-N -1 1 346.347 -0.462 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)[C@@H]2CCCCNC2=O)co1 ZINC000366816463 380972337 /nfs/dbraw/zinc/97/23/37/380972337.db2.gz SZHWUZNBESMOJC-SNVBAGLBSA-N -1 1 329.378 -0.072 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CC=CCC2)o1 ZINC000346815608 381128143 /nfs/dbraw/zinc/12/81/43/381128143.db2.gz CVQOOLUJWMFPGH-VIFPVBQESA-N -1 1 327.362 -0.118 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@](CO)(OC)C2)co1 ZINC000617800775 381181936 /nfs/dbraw/zinc/18/19/36/381181936.db2.gz ZXSNOSCEVPPUPX-ZDUSSCGKSA-N -1 1 332.378 -0.199 20 0 EBADMM CO[C@H](CNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)C(C)C ZINC000347307845 381206753 /nfs/dbraw/zinc/20/67/53/381206753.db2.gz UIOGJSDHHVONSJ-SNVBAGLBSA-N -1 1 347.393 -0.556 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]C2(C(N)=O)CCOCC2)c1 ZINC000611083049 381299875 /nfs/dbraw/zinc/29/98/75/381299875.db2.gz BFGDXMBMJDEXOA-UHFFFAOYSA-N -1 1 332.334 -0.621 20 0 EBADMM CS(=O)(=O)N1CC[C@H](CN2CCC[C@H](c3n[nH]c(=O)[n-]3)C2)C1 ZINC000564092089 381323296 /nfs/dbraw/zinc/32/32/96/381323296.db2.gz GAETUVWJSZEZGZ-MNOVXSKESA-N -1 1 329.426 -0.029 20 0 EBADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccc(C[C@@H]2CC(=O)NC2=O)cc1 ZINC000348295882 381358382 /nfs/dbraw/zinc/35/83/82/381358382.db2.gz ZUHZMRRKECTNQF-LLVKDONJSA-N -1 1 340.343 -0.176 20 0 EBADMM COCCS(=O)(=O)[N-]c1cc(C(=O)NCCC(N)=O)ccc1F ZINC000348742434 381398647 /nfs/dbraw/zinc/39/86/47/381398647.db2.gz VOOOFQATXKLAMM-UHFFFAOYSA-N -1 1 347.368 -0.181 20 0 EBADMM COC(=O)CC[C@H]([N-]S(=O)(=O)CC(C)(C)OC)C(=O)OC ZINC000349468052 381461939 /nfs/dbraw/zinc/46/19/39/381461939.db2.gz XXIFZKFAKRHUFQ-VIFPVBQESA-N -1 1 325.383 -0.174 20 0 EBADMM C[C@H](C(=O)N1CCOCC1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000332570369 381814781 /nfs/dbraw/zinc/81/47/81/381814781.db2.gz WTTFTWHYBXSGJW-CYBMUJFWSA-N -1 1 348.403 -0.208 20 0 EBADMM Cn1ncc2c1[n-]cc(C(=O)NCC1CN(S(C)(=O)=O)C1)c2=O ZINC000428890883 381971059 /nfs/dbraw/zinc/97/10/59/381971059.db2.gz WDAGFGRXHFJXLI-UHFFFAOYSA-N -1 1 339.377 -0.705 20 0 EBADMM COC[C@@](C)(CC(=O)OC)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000352564737 382002073 /nfs/dbraw/zinc/00/20/73/382002073.db2.gz WNUCBZAVZGEPTO-OAHLLOKOSA-N -1 1 339.348 -0.399 20 0 EBADMM CS(=O)(=O)N[C@H]1CCCC[C@H]1NCc1cc(=O)n2[n-]ccc2n1 ZINC000352412170 381980501 /nfs/dbraw/zinc/98/05/01/381980501.db2.gz CQCYXMVQPHISBA-NEPJUHHUSA-N -1 1 339.421 -0.027 20 0 EBADMM O=C(N[C@H]1CCN(c2ccccc2)C1=O)c1cc(=O)n2[n-]cnc2n1 ZINC000352432688 381984512 /nfs/dbraw/zinc/98/45/12/381984512.db2.gz RMKSGJDETWQPIF-NSHDSACASA-N -1 1 338.327 -0.047 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H](NS(C)(=O)=O)C(C)(C)C)c1nn[n-]n1 ZINC000352455083 381987847 /nfs/dbraw/zinc/98/78/47/381987847.db2.gz XDZSKPRZKZVZBF-DTWKUNHWSA-N -1 1 332.430 -0.275 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCOC[C@@H]1c1ncon1 ZINC000352667324 382018215 /nfs/dbraw/zinc/01/82/15/382018215.db2.gz ZMQURPRPGQDTGY-SECBINFHSA-N -1 1 333.304 -0.500 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1CC(=O)N(c2cnn(C)c2)C1)c1nn[n-]n1 ZINC000626443490 382121313 /nfs/dbraw/zinc/12/13/13/382121313.db2.gz HFECALNOXQBXAB-UWVGGRQHSA-N -1 1 332.368 -0.452 20 0 EBADMM CCO[C@@H]1C[C@](CO)(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1(C)C ZINC000444586192 382151069 /nfs/dbraw/zinc/15/10/69/382151069.db2.gz LZZDZYXBUJDWMF-MEBBXXQBSA-N -1 1 335.364 -0.287 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@]2(C(=O)OC)CCOC2)o1 ZINC000446631168 382185473 /nfs/dbraw/zinc/18/54/73/382185473.db2.gz KCBYBMHDIISBAD-LBPRGKRZSA-N -1 1 332.334 -0.750 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3ccc4c(c3)OCO4)CC2)nc1=O ZINC000329289650 155218268 /nfs/dbraw/zinc/21/82/68/155218268.db2.gz QGAWGDZPRANXGY-UHFFFAOYSA-N -1 1 345.359 -0.205 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@H]2CCCCS2)c(=O)n(C)c1=O ZINC000329665148 155235635 /nfs/dbraw/zinc/23/56/35/155235635.db2.gz QPPWRRZROPFOPI-SECBINFHSA-N -1 1 333.435 -0.352 20 0 EBADMM CN(C)c1ccc([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)nc1 ZINC000330680455 155300296 /nfs/dbraw/zinc/30/02/96/155300296.db2.gz NYBKDHFLPQNRAG-UHFFFAOYSA-N -1 1 339.377 -0.654 20 0 EBADMM C[C@H](CN(C)C(=O)Cn1c(=O)[nH]c2ccccc2c1=O)c1nn[n-]n1 ZINC000183427898 154134610 /nfs/dbraw/zinc/13/46/10/154134610.db2.gz JHXQTZDRWQTKPH-SECBINFHSA-N -1 1 343.347 -0.535 20 0 EBADMM O=C(C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1)N1CCCC1 ZINC000263640474 154258993 /nfs/dbraw/zinc/25/89/93/154258993.db2.gz ABFIGTGEXRYUBO-UHFFFAOYSA-N -1 1 332.360 -0.306 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCCN2CCOC2=O)o1 ZINC000264110052 154273249 /nfs/dbraw/zinc/27/32/49/154273249.db2.gz YAPAHNCZDYEFRK-UHFFFAOYSA-N -1 1 331.350 -0.240 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H](C)Cn1cncn1 ZINC000264185140 154275051 /nfs/dbraw/zinc/27/50/51/154275051.db2.gz APKOPKONUNCSKT-SECBINFHSA-N -1 1 329.364 -0.022 20 0 EBADMM COC(C)(C)C[C@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000266169556 154338247 /nfs/dbraw/zinc/33/82/47/154338247.db2.gz JBEMEPGRNDTUFM-VIFPVBQESA-N -1 1 333.410 -0.434 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2C[C@H]2Cc2ccccc2)c(=O)n(C)c1=O ZINC000266186842 154340234 /nfs/dbraw/zinc/34/02/34/154340234.db2.gz MEHFFJKKEJGBQC-CHWSQXEVSA-N -1 1 349.412 -0.007 20 0 EBADMM C[C@H](C(=O)NN1CC(=O)[N-]C1=O)N1CCN(c2ccc(F)cc2)CC1 ZINC000266579681 154347949 /nfs/dbraw/zinc/34/79/49/154347949.db2.gz MKKKKULPRHGBCS-LLVKDONJSA-N -1 1 349.366 -0.081 20 0 EBADMM CCC[C@H](NC(=O)C=Cc1cn(C)c(=O)n(C)c1=O)c1nn[n-]n1 ZINC000267554480 154380824 /nfs/dbraw/zinc/38/08/24/154380824.db2.gz DVLQXRQEZBRZFT-FGEFZZPRSA-N -1 1 333.352 -0.732 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCO[C@H](CC(=O)OC)C2)o1 ZINC000267758675 154389440 /nfs/dbraw/zinc/38/94/40/154389440.db2.gz SGRICNVTQQCKSZ-SECBINFHSA-N -1 1 346.361 -0.408 20 0 EBADMM Cn1cnn(CC(=O)N2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)c1=O ZINC000291258821 155017172 /nfs/dbraw/zinc/01/71/72/155017172.db2.gz YQTFKOVLIVWALN-VIFPVBQESA-N -1 1 349.313 -0.501 20 0 EBADMM COC(=O)[C@@H](C[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F)OC ZINC000292693802 155035897 /nfs/dbraw/zinc/03/58/97/155035897.db2.gz AACKZHXAGFRAFN-ZCFIWIBFSA-N -1 1 345.299 -0.095 20 0 EBADMM COC(=O)[C@@H](F)C(NCCC(C)C)=C1C(=O)[N-]C(=S)NC1=O ZINC000293014196 155041017 /nfs/dbraw/zinc/04/10/17/155041017.db2.gz KEEQDVVGFLLCNC-QMMMGPOBSA-N -1 1 331.369 -0.082 20 0 EBADMM CC[C@H](C)N1CCN(C(=O)CNS(=O)(=O)c2cn(C)cn2)CC1 ZINC000296949250 155079920 /nfs/dbraw/zinc/07/99/20/155079920.db2.gz NGWBLDMBXMWBOG-LBPRGKRZSA-N -1 1 343.453 -0.359 20 0 EBADMM COCC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1[O-] ZINC000298063402 155100624 /nfs/dbraw/zinc/10/06/24/155100624.db2.gz HTMOGKHBXPIYMM-UHFFFAOYSA-N -1 1 330.362 -0.002 20 0 EBADMM CCc1nc2n(n1)C[C@H](NC(=O)CNC(=O)c1ncccc1[O-])CC2 ZINC000343398546 156133758 /nfs/dbraw/zinc/13/37/58/156133758.db2.gz KDEWGBFCURELER-SNVBAGLBSA-N -1 1 344.375 -0.198 20 0 EBADMM Cc1nnc2n1C[C@H](CNC(=O)CNC(=O)c1ncccc1[O-])CC2 ZINC000343408474 156135307 /nfs/dbraw/zinc/13/53/07/156135307.db2.gz YCHKVZAAAGEREE-NSHDSACASA-N -1 1 344.375 -0.204 20 0 EBADMM CCC[C@H](NC(=O)CNS(=O)(=O)CCOCC)c1nn[n-]n1 ZINC000343743433 156162977 /nfs/dbraw/zinc/16/29/77/156162977.db2.gz LWLYYMZGWLHQDI-VIFPVBQESA-N -1 1 334.402 -0.887 20 0 EBADMM CCC[C@H](NC(=O)CNS(=O)(=O)CCOC(C)C)c1nn[n-]n1 ZINC000343764329 156166234 /nfs/dbraw/zinc/16/62/34/156166234.db2.gz LVDJSSURXQGUET-JTQLQIEISA-N -1 1 348.429 -0.499 20 0 EBADMM NC(=O)CNC(=O)c1ccc([N-]S(=O)(=O)C[C@@H]2CCCO2)cc1 ZINC000345028841 156295911 /nfs/dbraw/zinc/29/59/11/156295911.db2.gz HIPHHVWLSNIQNE-LBPRGKRZSA-N -1 1 341.389 -0.178 20 0 EBADMM COC[C@@H](C)C(=O)NCC[N-]S(=O)(=O)c1sc(=O)[nH]c1C ZINC000345444528 156334110 /nfs/dbraw/zinc/33/41/10/156334110.db2.gz IKZKXXFISGAUNJ-SSDOTTSWSA-N -1 1 337.423 -0.166 20 0 EBADMM CN1C[C@H]2[C@H](CCCN2C(=O)CNC(=O)c2ncccc2[O-])C1=O ZINC000345558943 156345737 /nfs/dbraw/zinc/34/57/37/156345737.db2.gz RHFVCAWMQRBIOR-QWRGUYRKSA-N -1 1 332.360 -0.404 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC(C)(C)CS(C)(=O)=O)o1 ZINC000352574130 156786474 /nfs/dbraw/zinc/78/64/74/156786474.db2.gz CMOYZYUWRIDJBP-UHFFFAOYSA-N -1 1 338.407 -0.259 20 0 EBADMM O=C(N[C@H]1CC(=O)N(c2ccccc2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000353672813 156853840 /nfs/dbraw/zinc/85/38/40/156853840.db2.gz GUALZRADWCTEER-JTQLQIEISA-N -1 1 338.327 -0.047 20 0 EBADMM COCC(=O)N1CCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CC1 ZINC000355701184 157019569 /nfs/dbraw/zinc/01/95/69/157019569.db2.gz KCQMEGZZOPNZFI-UHFFFAOYSA-N -1 1 333.348 -0.395 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H]1CC[C@H](C(N)=O)O1 ZINC000356115744 157048381 /nfs/dbraw/zinc/04/83/81/157048381.db2.gz LDMIEXOOPNCCDZ-GHMZBOCLSA-N -1 1 333.348 -0.299 20 0 EBADMM CNS(=O)(=O)c1cccc([N-]S(=O)(=O)CCC(=O)OC)c1 ZINC000356632447 157083840 /nfs/dbraw/zinc/08/38/40/157083840.db2.gz SMJRRFXBGGDBIG-UHFFFAOYSA-N -1 1 336.391 -0.101 20 0 EBADMM CCO[C@@H]1C[C@@](O)(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1(C)C ZINC000356900954 157099779 /nfs/dbraw/zinc/09/97/79/157099779.db2.gz HDJHMYICIHCYFB-MEBBXXQBSA-N -1 1 335.364 -0.287 20 0 EBADMM C[C@@H](C(=O)NC1(c2nn[n-]n2)CC1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000357068229 157116107 /nfs/dbraw/zinc/11/61/07/157116107.db2.gz GJXQQMMTLHRMPQ-LPEHRKFASA-N -1 1 332.364 -0.131 20 0 EBADMM CCn1cc(CN(CCO)C(=O)CNC(=O)c2ncccc2[O-])cn1 ZINC000357448077 157146706 /nfs/dbraw/zinc/14/67/06/157146706.db2.gz AWNUENHOULNKEU-UHFFFAOYSA-N -1 1 347.375 -0.246 20 0 EBADMM NC(=O)[C@@H]1CCCN(C(=O)CS(=O)(=O)c2n[n-]c(C3CC3)n2)C1 ZINC000357956514 157189586 /nfs/dbraw/zinc/18/95/86/157189586.db2.gz ZGXPWMLIRCEZHU-SECBINFHSA-N -1 1 341.393 -0.820 20 0 EBADMM COc1cc(NC(=O)NC[C@@H](O)CO)ccc1[N-]S(C)(=O)=O ZINC000358886563 157285532 /nfs/dbraw/zinc/28/55/32/157285532.db2.gz TYJQLILIPAKILQ-SECBINFHSA-N -1 1 333.366 -0.459 20 0 EBADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)CN1CC(=O)NC1=O ZINC000358916391 157288901 /nfs/dbraw/zinc/28/89/01/157288901.db2.gz ANDXCEDBDFDWTB-UHFFFAOYSA-N -1 1 344.324 -0.313 20 0 EBADMM COc1ccc(C(=O)NC(C)(C)c2nn[n-]n2)cc1-n1cnnn1 ZINC000359438975 157322546 /nfs/dbraw/zinc/32/25/46/157322546.db2.gz LYZAPBKIWZDYTA-UHFFFAOYSA-N -1 1 329.324 -0.151 20 0 EBADMM C[C@@H](NS(=O)(=O)c1cccs1)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000359780229 157341641 /nfs/dbraw/zinc/34/16/41/157341641.db2.gz AHPATOWJHZFYKV-SSDOTTSWSA-N -1 1 344.422 -0.021 20 0 EBADMM COc1cc(C(=O)NC(C)(C)c2nn[n-]n2)ccc1OCC(N)=O ZINC000359781183 157341714 /nfs/dbraw/zinc/34/17/14/157341714.db2.gz FABGRGGAFTULJE-UHFFFAOYSA-N -1 1 334.336 -0.263 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H]1CCS(=O)(=O)N1 ZINC000651342561 419188232 /nfs/dbraw/zinc/18/82/32/419188232.db2.gz FJEDYPSEPFGDLL-SNVBAGLBSA-N -1 1 339.377 -0.640 20 0 EBADMM CC(C)([N-]C(=O)C(F)(F)F)C(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000365269740 419247611 /nfs/dbraw/zinc/24/76/11/419247611.db2.gz WTUSBCVULOXWAI-ZCFIWIBFSA-N -1 1 336.274 -0.443 20 0 EBADMM CSC1(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCC1 ZINC000278608616 419326807 /nfs/dbraw/zinc/32/68/07/419326807.db2.gz BMKVMJFEPRWENW-UHFFFAOYSA-N -1 1 333.435 -0.352 20 0 EBADMM C[C@@H](O)C(=O)N1CCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CC1 ZINC000287708725 419341372 /nfs/dbraw/zinc/34/13/72/419341372.db2.gz RXPSKKBVQVBKAW-RTEFUZQYSA-N -1 1 332.364 -0.601 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@H](C(=O)NC)C2)o1 ZINC000330702702 419442865 /nfs/dbraw/zinc/44/28/65/419442865.db2.gz PGXUTZGGAGDJMW-VIFPVBQESA-N -1 1 329.378 -0.214 20 0 EBADMM CC(C)(C)n1nnc(C[N-]S(=O)(=O)c2cnn3c2OCCC3)n1 ZINC000655636733 419462515 /nfs/dbraw/zinc/46/25/15/419462515.db2.gz AAHBPGUFSYIIST-UHFFFAOYSA-N -1 1 341.397 -0.114 20 0 EBADMM Cc1cccc(=O)n1C[C@@](C)(O)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000526919008 419625604 /nfs/dbraw/zinc/62/56/04/419625604.db2.gz HMBNJXPFVDTPJC-BLLLJJGKSA-N -1 1 346.391 -0.173 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCn2ccccc2=O)o1 ZINC000353988136 419650491 /nfs/dbraw/zinc/65/04/91/419650491.db2.gz QQDIJZRSVZSBAI-UHFFFAOYSA-N -1 1 325.346 -0.221 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H](C)CCS(C)(=O)=O)o1 ZINC000354001847 419651699 /nfs/dbraw/zinc/65/16/99/419651699.db2.gz QCJZYWCFOAMBCK-MRVPVSSYSA-N -1 1 338.407 -0.259 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)CN2CCOC[C@@H]2C(=O)[O-])C1 ZINC000648425165 419734805 /nfs/dbraw/zinc/73/48/05/419734805.db2.gz UXEMXTIYGMNQQC-ZIAGYGMSSA-N -1 1 343.424 -0.755 20 0 EBADMM CNC(=O)[C@H]1CN(C(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)CCO1 ZINC000378620599 419762450 /nfs/dbraw/zinc/76/24/50/419762450.db2.gz HLILWPXWPUWRQM-GFCCVEGCSA-N -1 1 347.375 -0.432 20 0 EBADMM Cc1nc(S(=O)(=O)[N-][C@H](C)C(N)=O)c(Br)n1C ZINC000286546758 419862599 /nfs/dbraw/zinc/86/25/99/419862599.db2.gz HJMGPBJENIMABQ-SCSAIBSYSA-N -1 1 325.188 -0.357 20 0 EBADMM Cn1ncc2c1[n-]cc(C(=O)N[C@H]1CCc3nnnn3CC1)c2=O ZINC000422076514 419863959 /nfs/dbraw/zinc/86/39/59/419863959.db2.gz ZDJNJGLOGOUIDI-QMMMGPOBSA-N -1 1 328.336 -0.205 20 0 EBADMM CCOC(=O)Cn1cnc([N-]C(=O)c2nc3nccc(C)n3n2)n1 ZINC000425102173 420517842 /nfs/dbraw/zinc/51/78/42/420517842.db2.gz DOANTOLWSSPRQS-UHFFFAOYSA-N -1 1 330.308 -0.160 20 0 EBADMM CNC(=O)[C@@H](CO)[N-]S(=O)(=O)CC1(C(=O)OC)CCCCC1 ZINC000489631819 420549452 /nfs/dbraw/zinc/54/94/52/420549452.db2.gz UTSSSFZMVUMDBE-SNVBAGLBSA-N -1 1 336.410 -0.864 20 0 EBADMM CCOC(=O)N1CCC(S(=O)(=O)[N-][C@@H](CO)C(=O)OC)CC1 ZINC000495306396 420597304 /nfs/dbraw/zinc/59/73/04/420597304.db2.gz DYHYGDDOWNJCGS-JTQLQIEISA-N -1 1 338.382 -0.939 20 0 EBADMM CO[C@H](CNC(=O)OC(C)(C)C)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000496671057 420642124 /nfs/dbraw/zinc/64/21/24/420642124.db2.gz FFAVEWOIQREFHW-MRVPVSSYSA-N -1 1 330.341 -0.309 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1ccccc1S(N)(=O)=O ZINC000349871791 420660306 /nfs/dbraw/zinc/66/03/06/420660306.db2.gz KXIWEJJAOYUGRG-UHFFFAOYSA-N -1 1 346.390 -0.309 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCO[C@H]1c1nccn1C ZINC000349516392 420660344 /nfs/dbraw/zinc/66/03/44/420660344.db2.gz MZRPYWCXXRZLMI-DTWKUNHWSA-N -1 1 327.366 0.107 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H](C(=O)N1CCOCC1)C(C)C ZINC000572287295 420661935 /nfs/dbraw/zinc/66/19/35/420661935.db2.gz KWZZAFSRUZEODS-SNVBAGLBSA-N -1 1 346.409 -0.273 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1C[C@H](O)C[C@H]1C(N)=O ZINC000529781927 420775153 /nfs/dbraw/zinc/77/51/53/420775153.db2.gz WSNDJVKESACCLL-KOLCDFICSA-N -1 1 333.348 -0.959 20 0 EBADMM CC(C)(C(=O)[O-])N1CCN(S(=O)(=O)N2CCOC(C)(C)C2)CC1 ZINC000530140320 420779769 /nfs/dbraw/zinc/77/97/69/420779769.db2.gz AIPQWVRHDWPGRL-UHFFFAOYSA-N -1 1 349.453 -0.177 20 0 EBADMM C[C@H]1SCC[C@H]1NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000649175704 420790144 /nfs/dbraw/zinc/79/01/44/420790144.db2.gz XZVWFZVVZZRUET-HTQZYQBOSA-N -1 1 347.418 -0.333 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](CCOC)COC ZINC000336863757 420823026 /nfs/dbraw/zinc/82/30/26/420823026.db2.gz CSSBMGBBOYHTPP-VIFPVBQESA-N -1 1 335.382 -0.084 20 0 EBADMM CNC(=O)[C@H](CO)[N-]S(=O)(=O)c1ncccc1Br ZINC000656960100 420906870 /nfs/dbraw/zinc/90/68/70/420906870.db2.gz FWCAEAMOCWZLNM-ZETCQYMHSA-N -1 1 338.183 -0.771 20 0 EBADMM C[C@H](C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1ccccn1 ZINC000657039496 420912112 /nfs/dbraw/zinc/91/21/12/420912112.db2.gz LHAOLZKMTAUDAW-SNVBAGLBSA-N -1 1 338.389 -0.439 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCCOC(C)(C)C)c(=O)n(C)c1=O ZINC000657135827 420918806 /nfs/dbraw/zinc/91/88/06/420918806.db2.gz XNVFKYAYNUQKEQ-UHFFFAOYSA-N -1 1 333.410 -0.433 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1CC(=O)N(C)C1=O ZINC000655060461 420850770 /nfs/dbraw/zinc/85/07/70/420850770.db2.gz IGUOACMNJIKKPB-SNVBAGLBSA-N -1 1 331.332 -0.532 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C[C@H](C)NC(N)=O)c1 ZINC000655091286 420852745 /nfs/dbraw/zinc/85/27/45/420852745.db2.gz FMFKYAKZKAEEBQ-ZETCQYMHSA-N -1 1 330.366 -0.314 20 0 EBADMM C[C@@H]1CCC[C@@H](C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)O1 ZINC000657154569 420920446 /nfs/dbraw/zinc/92/04/46/420920446.db2.gz AHSDNVCRVJLOEI-ZJUUUORDSA-N -1 1 331.394 -0.680 20 0 EBADMM CC(C)O[C@@]1(CS(=O)(=O)[N-]Cc2cc(C(N)=O)no2)CCOC1 ZINC000659927536 421035491 /nfs/dbraw/zinc/03/54/91/421035491.db2.gz QUVITCSSQGJXGX-ZDUSSCGKSA-N -1 1 347.393 -0.223 20 0 EBADMM COc1ccc(-c2n[n-]c(S(=O)(=O)Cc3nnn(C)n3)n2)cc1 ZINC000663247839 421128222 /nfs/dbraw/zinc/12/82/22/421128222.db2.gz IPHMEGCTHVYUJY-UHFFFAOYSA-N -1 1 335.349 -0.022 20 0 EBADMM COc1ccc(-c2nc(S(=O)(=O)Cc3nnn(C)n3)n[n-]2)cc1 ZINC000663247839 421128225 /nfs/dbraw/zinc/12/82/25/421128225.db2.gz IPHMEGCTHVYUJY-UHFFFAOYSA-N -1 1 335.349 -0.022 20 0 EBADMM Cn1ccnc1-c1cc(NC(=O)CNC(=O)c2ncccc2[O-])n[nH]1 ZINC000344352555 421184968 /nfs/dbraw/zinc/18/49/68/421184968.db2.gz ZJZUXALBHSMEMC-UHFFFAOYSA-N -1 1 341.331 0.279 20 0 EBADMM Cn1ccnc1-c1cc(NC(=O)CNC(=O)c2ncccc2[O-])[nH]n1 ZINC000344352555 421184970 /nfs/dbraw/zinc/18/49/70/421184970.db2.gz ZJZUXALBHSMEMC-UHFFFAOYSA-N -1 1 341.331 0.279 20 0 EBADMM CNS(=O)(=O)c1ccc(C(=O)[N-]c2nncn2-c2cccnc2)o1 ZINC000377146513 421220214 /nfs/dbraw/zinc/22/02/14/421220214.db2.gz LFSHUSDFTYZWOX-UHFFFAOYSA-N -1 1 348.344 0.416 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2nncn2-c2cccnc2)o1 ZINC000377146513 421220216 /nfs/dbraw/zinc/22/02/16/421220216.db2.gz LFSHUSDFTYZWOX-UHFFFAOYSA-N -1 1 348.344 0.416 20 0 EBADMM COC(=O)c1coc(C(=O)Nc2nnc([N-]S(C)(=O)=O)s2)c1 ZINC000353753452 421251876 /nfs/dbraw/zinc/25/18/76/421251876.db2.gz LFJGAWBUSVEHRC-UHFFFAOYSA-N -1 1 346.346 0.542 20 0 EBADMM CCN1CCN(C(=O)c2ccc(S(=O)(=O)[N-]C)o2)[C@@H](C)C1=O ZINC000428166008 265119144 /nfs/dbraw/zinc/11/91/44/265119144.db2.gz PVNPXYQAAUEZPX-VIFPVBQESA-N -1 1 329.378 -0.120 20 0 EBADMM COCCS(=O)(=O)[N-]c1ccc(C(=O)NCCC(N)=O)cc1 ZINC000431151271 265160130 /nfs/dbraw/zinc/16/01/30/265160130.db2.gz IVHOSUPKDDEULU-UHFFFAOYSA-N -1 1 329.378 -0.320 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCc1cccc(C(N)=O)c1 ZINC000431776260 265169163 /nfs/dbraw/zinc/16/91/63/265169163.db2.gz OEGRBQRELRKXGQ-UHFFFAOYSA-N -1 1 332.316 -0.032 20 0 EBADMM C[C@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])CC[S@](=O)C1 ZINC000432581767 265176492 /nfs/dbraw/zinc/17/64/92/265176492.db2.gz YQEXLSISXCZLOQ-MGUXCZDKSA-N -1 1 325.390 -0.256 20 0 EBADMM COCCCS(=O)(=O)NCC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000433148661 265186396 /nfs/dbraw/zinc/18/63/96/265186396.db2.gz BGYORHXFLUDYPD-UHFFFAOYSA-N -1 1 346.413 -0.959 20 0 EBADMM Cc1nc(S(=O)(=O)[N-]CCCN2C(=O)CNC2=O)sc1C ZINC000435208986 265223245 /nfs/dbraw/zinc/22/32/45/265223245.db2.gz ADTDVLYAERNTQY-UHFFFAOYSA-N -1 1 332.407 -0.020 20 0 EBADMM COC(=O)CCN1CC[C@@H](NC(=O)C(=O)c2ccc([O-])cc2)C1=O ZINC000436979378 265243431 /nfs/dbraw/zinc/24/34/31/265243431.db2.gz KRQOUJCGKOPMQV-GFCCVEGCSA-N -1 1 334.328 -0.145 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H](O)COCC1CC1 ZINC000443220142 265341670 /nfs/dbraw/zinc/34/16/70/265341670.db2.gz HYNUHZXAYRDPCV-SNVBAGLBSA-N -1 1 347.393 -0.348 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)CN1CCN(C)C(=O)C1 ZINC000444027031 265357985 /nfs/dbraw/zinc/35/79/85/265357985.db2.gz BHMBPBRPXWHFRA-UHFFFAOYSA-N -1 1 346.391 -0.558 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](CO)[C@H]1CCCO1 ZINC000447930524 265385290 /nfs/dbraw/zinc/38/52/90/265385290.db2.gz LYVHPQWVIMEMKT-VHSXEESVSA-N -1 1 333.366 -0.595 20 0 EBADMM CN1C[C@@H](NC(=O)c2ccc(S(=O)(=O)[N-]C(C)(C)C)o2)C(=O)N1 ZINC000450609281 265398061 /nfs/dbraw/zinc/39/80/61/265398061.db2.gz DNELSPRWUHHEOS-MRVPVSSYSA-N -1 1 344.393 -0.569 20 0 EBADMM CO[C@@H]([C@@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C1CC1 ZINC000451998957 265411784 /nfs/dbraw/zinc/41/17/84/265411784.db2.gz VJZCINKQVVGLRX-KCJUWKMLSA-N -1 1 331.394 -0.824 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@]2(C(=O)OC)CCOC2)o1 ZINC000446631167 272849579 /nfs/dbraw/zinc/84/95/79/272849579.db2.gz KCBYBMHDIISBAD-GFCCVEGCSA-N -1 1 332.334 -0.750 20 0 EBADMM CCCn1nccc1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051641992 735282773 /nfs/dbraw/zinc/28/27/73/735282773.db2.gz MOWQZBPFYVQOTH-NSHDSACASA-N -1 1 349.395 -0.252 20 0 EBADMM Cn1ncc(NC(=O)C(=O)NCc2nn[n-]n2)c1-c1ccccc1 ZINC000672918043 546123338 /nfs/dbraw/zinc/12/33/38/546123338.db2.gz XNYPWHHZVSIABQ-UHFFFAOYSA-N -1 1 326.320 -0.145 20 0 EBADMM C[C@H](NC(=O)c1n[nH]c2ccccc21)C(=O)N1CCO[C@@H](C(=O)[O-])C1 ZINC000676215925 546198462 /nfs/dbraw/zinc/19/84/62/546198462.db2.gz RJPKBKAAZZTCJW-JOYOIKCWSA-N -1 1 346.343 -0.007 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCOC[C@@H]2CCOC2)co1 ZINC000677306977 546228649 /nfs/dbraw/zinc/22/86/49/546228649.db2.gz RRAHUBYVUUHLJQ-SNVBAGLBSA-N -1 1 332.378 -0.029 20 0 EBADMM CC(C)(C)NS(=O)(=O)CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000677926482 546241095 /nfs/dbraw/zinc/24/10/95/546241095.db2.gz JOVMPFYCGJCESW-SECBINFHSA-N -1 1 330.414 -0.376 20 0 EBADMM Cc1ccncc1[C@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000678357519 546252540 /nfs/dbraw/zinc/25/25/40/546252540.db2.gz MIACDCIWSJXHTN-JTQLQIEISA-N -1 1 338.389 -0.173 20 0 EBADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)CCN2CCCC2=O)c1 ZINC000678470746 546253257 /nfs/dbraw/zinc/25/32/57/546253257.db2.gz LYPOALGHYDRQCQ-UHFFFAOYSA-N -1 1 327.362 -0.009 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCCc1nnnn1C ZINC000678544969 546258253 /nfs/dbraw/zinc/25/82/53/546258253.db2.gz HTQSBVUPONYZDM-UHFFFAOYSA-N -1 1 330.352 -0.523 20 0 EBADMM Cn1cc([C@@H]2[C@H](C(=O)NCc3nn[n-]n3)CC(=O)N2C(C)(C)C)cn1 ZINC000684736437 546376532 /nfs/dbraw/zinc/37/65/32/546376532.db2.gz RXWWUCYLFRBZDW-ZWNOBZJWSA-N -1 1 346.395 -0.062 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]CCCN1CCCS1(=O)=O ZINC000685495568 546405652 /nfs/dbraw/zinc/40/56/52/546405652.db2.gz WWVFPBOOUGQLQD-UHFFFAOYSA-N -1 1 338.411 -0.731 20 0 EBADMM COCc1nc(N2CCN(C(=O)C(=O)N3CCCC3)CC2)cc(=O)[n-]1 ZINC000891886410 582562754 /nfs/dbraw/zinc/56/27/54/582562754.db2.gz KZRDGAGTMOCUJK-UHFFFAOYSA-N -1 1 349.391 -0.400 20 0 EBADMM Cc1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)cc1-n1cnnn1 ZINC000726865812 582623147 /nfs/dbraw/zinc/62/31/47/582623147.db2.gz PBQRILHDLBEWQT-UHFFFAOYSA-N -1 1 341.331 -0.150 20 0 EBADMM CCOC(=O)N1CCC(NC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000726866400 582623236 /nfs/dbraw/zinc/62/32/36/582623236.db2.gz SGFBDMFGXJTDAT-UHFFFAOYSA-N -1 1 338.364 -0.336 20 0 EBADMM CNC(=O)c1cccc(CNC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000726871928 582623538 /nfs/dbraw/zinc/62/35/38/582623538.db2.gz SGOYLQAQXFYZEE-UHFFFAOYSA-N -1 1 330.344 -0.397 20 0 EBADMM CCNC(=O)c1cccc(CNC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000726871691 582623669 /nfs/dbraw/zinc/62/36/69/582623669.db2.gz QGEBIINZGOWAAU-UHFFFAOYSA-N -1 1 344.371 -0.007 20 0 EBADMM C[C@@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)Nc2nnn[n-]2)C1=O ZINC000728208608 582671787 /nfs/dbraw/zinc/67/17/87/582671787.db2.gz ORYPPHCEOPEXSO-ZDUSSCGKSA-N -1 1 333.283 -0.256 20 0 EBADMM C[C@@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)Nc2nn[n-]n2)C1=O ZINC000728208608 582671788 /nfs/dbraw/zinc/67/17/88/582671788.db2.gz ORYPPHCEOPEXSO-ZDUSSCGKSA-N -1 1 333.283 -0.256 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCC2N=NC(=S)N2C)o1 ZINC000729254787 582687541 /nfs/dbraw/zinc/68/75/41/582687541.db2.gz MOEVFADSBNWQEX-UHFFFAOYSA-N -1 1 345.406 -0.423 20 0 EBADMM CN1CCN(C)[C@H](CNC(=O)C(=O)Nc2cccc(CC(=O)[O-])c2)C1 ZINC000738012490 582695635 /nfs/dbraw/zinc/69/56/35/582695635.db2.gz BWLWPQMTZKRLIS-CQSZACIVSA-N -1 1 348.403 -0.386 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)CN2CCN(CC(N)=O)CC2)cc1 ZINC000730805777 582832371 /nfs/dbraw/zinc/83/23/71/582832371.db2.gz JCBIHIMIMHEMPH-UHFFFAOYSA-N -1 1 334.376 -0.946 20 0 EBADMM O=C(CN1Cc2ccccc2C1=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000732088959 582844108 /nfs/dbraw/zinc/84/41/08/582844108.db2.gz JAKQAODZJBIEAU-GFCCVEGCSA-N -1 1 328.332 -0.244 20 0 EBADMM O=C([C@@H]1CC[C@@H](C(F)(F)F)NC1=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000732090706 582844171 /nfs/dbraw/zinc/84/41/71/582844171.db2.gz MGIWRRKIGSBJOM-CSMHCCOUSA-N -1 1 348.285 -0.443 20 0 EBADMM O=C(Cn1cnc2sccc2c1=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000732090337 582844336 /nfs/dbraw/zinc/84/43/36/582844336.db2.gz HIIJSUTZWMKZFC-VIFPVBQESA-N -1 1 347.360 -0.429 20 0 EBADMM O=C(C[C@@H]1Oc2ccccc2NC1=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000732091490 582844571 /nfs/dbraw/zinc/84/45/71/582844571.db2.gz GMMNCBCOVFEJMY-NWDGAFQWSA-N -1 1 344.331 -0.111 20 0 EBADMM Cc1nnnn1C(=Cc1ccc(F)cc1)C(=O)NCc1nn[n-]n1 ZINC000732158138 582845396 /nfs/dbraw/zinc/84/53/96/582845396.db2.gz UNNCBOPJORTLQK-IZZDOVSWSA-N -1 1 329.299 -0.052 20 0 EBADMM CN1CCN(C[C@@H](NC(=O)c2cc(=O)[nH][n-]2)c2ccccc2)CC1 ZINC000732284915 582847421 /nfs/dbraw/zinc/84/74/21/582847421.db2.gz IRMDBHSEHBYJIP-OAHLLOKOSA-N -1 1 329.404 -0.033 20 0 EBADMM CCN(C)C(=O)[C@@H]1CSCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000733146308 582856297 /nfs/dbraw/zinc/85/62/97/582856297.db2.gz ZXUXSFOZZYGOPH-JTQLQIEISA-N -1 1 340.405 -0.694 20 0 EBADMM CC(C)C(=O)NCCNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735684465 582904443 /nfs/dbraw/zinc/90/44/43/582904443.db2.gz DERIGGZOGJBWFK-UHFFFAOYSA-N -1 1 339.381 -0.688 20 0 EBADMM CC(C)C(=O)NCCNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735684465 582904444 /nfs/dbraw/zinc/90/44/44/582904444.db2.gz DERIGGZOGJBWFK-UHFFFAOYSA-N -1 1 339.381 -0.688 20 0 EBADMM CC(=O)N1CCN(C(=O)CN(CCC(=O)[O-])C[C@H]2CCCO2)CC1 ZINC000736134862 582920135 /nfs/dbraw/zinc/92/01/35/582920135.db2.gz RYLHKOAGQSYKPN-CQSZACIVSA-N -1 1 341.408 -0.367 20 0 EBADMM C[C@@H]1SCCN(c2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)[C@H]1C ZINC000736300648 582929156 /nfs/dbraw/zinc/92/91/56/582929156.db2.gz CMYOBPHXRGSSQZ-YUMQZZPRSA-N -1 1 337.409 -0.406 20 0 EBADMM CCC(CC)[C@@H](O)CNc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000736338552 582930743 /nfs/dbraw/zinc/93/07/43/582930743.db2.gz XDKYGXOYKXCAKC-VIFPVBQESA-N -1 1 337.384 -0.527 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)CN2CCO[C@H](C(=O)[O-])C2)C1 ZINC000736443018 582934350 /nfs/dbraw/zinc/93/43/50/582934350.db2.gz KIEOQUDCPZIJNA-KGLIPLIRSA-N -1 1 343.424 -0.755 20 0 EBADMM CCN(CC(=O)OC)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736512553 582938727 /nfs/dbraw/zinc/93/87/27/582938727.db2.gz KKKVQNYGNVLQIR-UHFFFAOYSA-N -1 1 326.338 -0.555 20 0 EBADMM CCN(CC(=O)OC)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736512553 582938729 /nfs/dbraw/zinc/93/87/29/582938729.db2.gz KKKVQNYGNVLQIR-UHFFFAOYSA-N -1 1 326.338 -0.555 20 0 EBADMM CCN(CC(=O)NC)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736510688 582938945 /nfs/dbraw/zinc/93/89/45/582938945.db2.gz FWOCQGUFTDQJQM-UHFFFAOYSA-N -1 1 325.354 -0.982 20 0 EBADMM CCN(CC(=O)NC)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736510688 582938947 /nfs/dbraw/zinc/93/89/47/582938947.db2.gz FWOCQGUFTDQJQM-UHFFFAOYSA-N -1 1 325.354 -0.982 20 0 EBADMM C[C@@](O)(CN1CCN(CC(=O)NCc2ccccc2)CC1)C(=O)[O-] ZINC000736669590 582949715 /nfs/dbraw/zinc/94/97/15/582949715.db2.gz FHQKGQFNNJUUEB-QGZVFWFLSA-N -1 1 335.404 -0.244 20 0 EBADMM CCc1nc(CNc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)cs1 ZINC000736704520 582950447 /nfs/dbraw/zinc/95/04/47/582950447.db2.gz QJOKIXMJNZQFPA-UHFFFAOYSA-N -1 1 348.392 -0.105 20 0 EBADMM CN(CC(=O)N1CCOCC1)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736781623 582955961 /nfs/dbraw/zinc/95/59/61/582955961.db2.gz ACDIMDNUINSRLF-UHFFFAOYSA-N -1 1 331.336 -0.808 20 0 EBADMM CN(CC(=O)N1CCOCC1)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736781623 582955962 /nfs/dbraw/zinc/95/59/62/582955962.db2.gz ACDIMDNUINSRLF-UHFFFAOYSA-N -1 1 331.336 -0.808 20 0 EBADMM C[C@H]1CCCCN1C(=O)CN1CCN(C[C@@](C)(O)C(=O)[O-])CC1 ZINC000736783323 582956297 /nfs/dbraw/zinc/95/62/97/582956297.db2.gz GXLUEHNQPTVZIY-XJKSGUPXSA-N -1 1 327.425 -0.159 20 0 EBADMM CNC(=O)CN1CCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)CC1 ZINC000736875120 582966295 /nfs/dbraw/zinc/96/62/95/582966295.db2.gz TXJLCDOZGRAPHO-UHFFFAOYSA-N -1 1 336.787 -0.217 20 0 EBADMM CNC(=O)CN1CCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)CC1 ZINC000736875120 582966299 /nfs/dbraw/zinc/96/62/99/582966299.db2.gz TXJLCDOZGRAPHO-UHFFFAOYSA-N -1 1 336.787 -0.217 20 0 EBADMM CCCc1nnc([C@@H]2CN(CCC(=O)NCC(=O)[O-])CCO2)[nH]1 ZINC000737049741 582985938 /nfs/dbraw/zinc/98/59/38/582985938.db2.gz CDDADJKZDAIWDC-JTQLQIEISA-N -1 1 325.369 -0.279 20 0 EBADMM CCCc1n[nH]c([C@@H]2CN(CCC(=O)NCC(=O)[O-])CCO2)n1 ZINC000737049741 582985940 /nfs/dbraw/zinc/98/59/40/582985940.db2.gz CDDADJKZDAIWDC-JTQLQIEISA-N -1 1 325.369 -0.279 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H](C)C(=O)NCCCOC)co1 ZINC000756401245 582986205 /nfs/dbraw/zinc/98/62/05/582986205.db2.gz LEYXEYHIDIWUDH-VIFPVBQESA-N -1 1 348.377 -0.114 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@@H](C)C(=O)NCC(=O)OC)co1 ZINC000756404147 582987140 /nfs/dbraw/zinc/98/71/40/582987140.db2.gz KNCQIEJDIJIOKC-ZETCQYMHSA-N -1 1 348.333 -0.978 20 0 EBADMM CS(=O)(=O)Cc1ccc(C(=O)n2ncc(-c3nn[n-]n3)c2N)o1 ZINC000737161629 582994208 /nfs/dbraw/zinc/99/42/08/582994208.db2.gz BAVKRJXQGUCFHX-UHFFFAOYSA-N -1 1 337.321 -0.529 20 0 EBADMM CSc1[nH]c(=O)c(C(=O)N2CCN(C)C(=O)C2)cc1-c1nn[n-]n1 ZINC000737188073 582995731 /nfs/dbraw/zinc/99/57/31/582995731.db2.gz XUNSCHSPNBJYOW-UHFFFAOYSA-N -1 1 349.376 -0.397 20 0 EBADMM Cn1nc(Br)c2c1ncnc2-n1cnc(-c2nn[n-]n2)n1 ZINC000737618743 583022851 /nfs/dbraw/zinc/02/28/51/583022851.db2.gz LOLHKBHAQGQFGM-UHFFFAOYSA-N -1 1 348.128 -0.113 20 0 EBADMM NC(=O)[C@@H]1CCCCN1S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737746910 583025768 /nfs/dbraw/zinc/02/57/68/583025768.db2.gz UEWVSHLEWNCEFT-JTQLQIEISA-N -1 1 337.365 -0.710 20 0 EBADMM NC(=O)[C@@H]1CCCCN1S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737746910 583025769 /nfs/dbraw/zinc/02/57/69/583025769.db2.gz UEWVSHLEWNCEFT-JTQLQIEISA-N -1 1 337.365 -0.710 20 0 EBADMM NS(=O)(=O)[C@@H]1CCN(c2c3ccccc3nnc2-c2nnn[n-]2)C1 ZINC000737764253 583026523 /nfs/dbraw/zinc/02/65/23/583026523.db2.gz JDDKZDMQDJZGAK-MRVPVSSYSA-N -1 1 346.376 -0.323 20 0 EBADMM NS(=O)(=O)[C@@H]1CCN(c2c3ccccc3nnc2-c2nn[n-]n2)C1 ZINC000737764253 583026525 /nfs/dbraw/zinc/02/65/25/583026525.db2.gz JDDKZDMQDJZGAK-MRVPVSSYSA-N -1 1 346.376 -0.323 20 0 EBADMM O=C(NCCNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)C1CC1 ZINC000738074161 583041265 /nfs/dbraw/zinc/04/12/65/583041265.db2.gz HIEUCGSTAGJCSN-UHFFFAOYSA-N -1 1 337.365 -0.934 20 0 EBADMM O=C(NCCNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)C1CC1 ZINC000738074161 583041269 /nfs/dbraw/zinc/04/12/69/583041269.db2.gz HIEUCGSTAGJCSN-UHFFFAOYSA-N -1 1 337.365 -0.934 20 0 EBADMM O=C(c1ccc(-c2nn[nH]n2)cc1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000738262073 583046441 /nfs/dbraw/zinc/04/64/41/583046441.db2.gz ZPORPNMBMRBTRJ-JTQLQIEISA-N -1 1 327.308 -0.407 20 0 EBADMM COC(=O)C[C@@H]([N-]S(=O)(=O)N(C)C1CCOCC1)C(=O)OC ZINC000739938865 583059999 /nfs/dbraw/zinc/05/99/99/583059999.db2.gz YLIAEPAOTQLTSZ-SNVBAGLBSA-N -1 1 338.382 -0.964 20 0 EBADMM CS(=O)(=O)CCOCCNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000743810645 583088124 /nfs/dbraw/zinc/08/81/24/583088124.db2.gz GESSFBPCXSFTJK-UHFFFAOYSA-N -1 1 339.373 -0.286 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCCS(N)(=O)=O)o1 ZINC000744718725 583092953 /nfs/dbraw/zinc/09/29/53/583092953.db2.gz LIXXBVPAXDRRIR-UHFFFAOYSA-N -1 1 326.352 -0.977 20 0 EBADMM O=C(Cn1c(=O)c2ccccc2[nH]c1=S)NCc1n[nH]c(=O)[n-]1 ZINC000748417246 583119842 /nfs/dbraw/zinc/11/98/42/583119842.db2.gz DROMVKFINODUCU-UHFFFAOYSA-N -1 1 332.345 -0.175 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCC2N=NC(=S)N2C)co1 ZINC000755861171 583177952 /nfs/dbraw/zinc/17/79/52/583177952.db2.gz BGIUUMNMVBVJHE-UHFFFAOYSA-N -1 1 345.406 -0.423 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC(=O)c2cnn(C)c2)co1 ZINC000756404939 583183123 /nfs/dbraw/zinc/18/31/23/583183123.db2.gz OGMQJQYENXECOP-UHFFFAOYSA-N -1 1 327.318 -0.039 20 0 EBADMM C[C@H](NS(C)(=O)=O)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000758489515 583204170 /nfs/dbraw/zinc/20/41/70/583204170.db2.gz RPLYYFDQQSQHKE-VIFPVBQESA-N -1 1 328.346 -0.576 20 0 EBADMM Cn1[n-]c(COC(=O)c2cccc(NS(C)(=O)=O)c2)nc1=O ZINC000765385617 583280816 /nfs/dbraw/zinc/28/08/16/583280816.db2.gz DBEJBHYXHBQWSA-UHFFFAOYSA-N -1 1 326.334 -0.163 20 0 EBADMM CC(=O)N1C[C@@H](C(=O)OCc2nc(=O)n(C)[n-]2)Oc2ccccc21 ZINC000765409426 583281395 /nfs/dbraw/zinc/28/13/95/583281395.db2.gz QYMPROVZCZQPAL-LBPRGKRZSA-N -1 1 332.316 -0.034 20 0 EBADMM Cn1[n-]c(COC(=O)CN2c3ccccc3OCCC2=O)nc1=O ZINC000765422532 583281848 /nfs/dbraw/zinc/28/18/48/583281848.db2.gz ZELQJSIXWUXQON-UHFFFAOYSA-N -1 1 332.316 -0.033 20 0 EBADMM C[C@@H]1Oc2ccccc2N(CC(=O)OCc2nc(=O)n(C)[n-]2)C1=O ZINC000765431233 583282348 /nfs/dbraw/zinc/28/23/48/583282348.db2.gz KKPKVTPXPUKVSZ-VIFPVBQESA-N -1 1 332.316 -0.034 20 0 EBADMM CCS(=O)(=O)N1CCC[C@@H](C(=O)OCc2nc(=O)n(C)[n-]2)C1 ZINC000765470617 583282729 /nfs/dbraw/zinc/28/27/29/583282729.db2.gz VSNJBRGCAPPMHB-SECBINFHSA-N -1 1 332.382 -0.787 20 0 EBADMM CCS(=O)(=O)N1CCC[C@H](C(=O)OCc2nc(=O)n(C)[n-]2)C1 ZINC000765470618 583282851 /nfs/dbraw/zinc/28/28/51/583282851.db2.gz VSNJBRGCAPPMHB-VIFPVBQESA-N -1 1 332.382 -0.787 20 0 EBADMM Cc1nc2c(c(=O)[nH]n2C)c(C)c1CC(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765469524 583282941 /nfs/dbraw/zinc/28/29/41/583282941.db2.gz ZEVUBXBUBYBVAE-UHFFFAOYSA-N -1 1 346.347 -0.002 20 0 EBADMM CCCS(=O)(=O)N1CCCC[C@H]1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765459597 583282995 /nfs/dbraw/zinc/28/29/95/583282995.db2.gz HIZULIVUGTZMHQ-JTQLQIEISA-N -1 1 346.409 -0.254 20 0 EBADMM Cn1[n-]c(COC(=O)CCNC(=O)c2[nH]nc3ccccc32)nc1=O ZINC000765463344 583283024 /nfs/dbraw/zinc/28/30/24/583283024.db2.gz JQYKBPRRKFEGGZ-UHFFFAOYSA-N -1 1 344.331 -0.152 20 0 EBADMM CN(C)S(=O)(=O)c1cc(C(=O)OCc2nc(=O)n(C)[n-]2)n(C)c1 ZINC000765510306 583284078 /nfs/dbraw/zinc/28/40/78/583284078.db2.gz AMEKGERMDYPZTF-UHFFFAOYSA-N -1 1 343.365 -0.946 20 0 EBADMM COC(=O)[C@@H](COC(C)C)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000766806994 583297201 /nfs/dbraw/zinc/29/72/01/583297201.db2.gz DFEHPNGEWPSRSP-LLVKDONJSA-N -1 1 341.364 -0.648 20 0 EBADMM Cc1ccc(S(C)(=O)=O)cc1NC(=O)NN1CC(=O)[N-]C1=O ZINC000770114699 583332931 /nfs/dbraw/zinc/33/29/31/583332931.db2.gz KNDAWOJCSHFJPI-UHFFFAOYSA-N -1 1 326.334 -0.013 20 0 EBADMM CCOCCO[N-]C(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000772699900 583364242 /nfs/dbraw/zinc/36/42/42/583364242.db2.gz XPEWJFVDUAEOKY-WDEREUQCSA-N -1 1 334.394 -0.894 20 0 EBADMM C/C=C\C[C@H]([N-]S(=O)(=O)CCS(C)(=O)=O)C(=O)OCC ZINC000773290744 583371524 /nfs/dbraw/zinc/37/15/24/583371524.db2.gz NXJNEZTYGARWSF-OAQKJQOQSA-N -1 1 327.424 -0.152 20 0 EBADMM COC(=O)/C=C1\SCC(=O)N1CCCOC(=O)c1cn[n-]n1 ZINC000805602954 583418664 /nfs/dbraw/zinc/41/86/64/583418664.db2.gz ASWBXVJZIDEZBV-YHYXMXQVSA-N -1 1 326.334 -0.059 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H]2CCC=CO2)o1 ZINC000781489283 583457700 /nfs/dbraw/zinc/45/77/00/583457700.db2.gz KSBYZRUXZGUBCD-VIFPVBQESA-N -1 1 343.361 -0.534 20 0 EBADMM COc1cc2c(cc1OC)CN(CC(=O)NN1CC(=O)[N-]C1=O)CC2 ZINC000783568697 583479775 /nfs/dbraw/zinc/47/97/75/583479775.db2.gz CMEBJCGRDAUHDQ-UHFFFAOYSA-N -1 1 348.359 -0.355 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)OCCn2ccnc2)o1 ZINC000786201341 583506950 /nfs/dbraw/zinc/50/69/50/583506950.db2.gz BXYGBAMLYHEEIU-UHFFFAOYSA-N -1 1 342.333 -0.903 20 0 EBADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-]Cc1nc(N(C)C)no1 ZINC000788675771 583532527 /nfs/dbraw/zinc/53/25/27/583532527.db2.gz POLKZVJMAXGLGP-UHFFFAOYSA-N -1 1 344.353 -0.568 20 0 EBADMM CS(=O)(=O)CC[C@H](C(=O)NN1CC(=O)[N-]C1=O)c1ccccc1 ZINC000789899461 583539925 /nfs/dbraw/zinc/53/99/25/583539925.db2.gz UFVAPZQDUQOGTH-NSHDSACASA-N -1 1 339.373 -0.212 20 0 EBADMM O=C([C@H]1CC(=O)N(C2CCCC2)C1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000798146023 583602201 /nfs/dbraw/zinc/60/22/01/583602201.db2.gz UOJZIMYWZPVTQX-NSHDSACASA-N -1 1 348.407 -0.199 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)OCc2ccncc2)o1 ZINC000803502422 583630138 /nfs/dbraw/zinc/63/01/38/583630138.db2.gz QGFVWQDFMXPGDT-UHFFFAOYSA-N -1 1 339.329 -0.205 20 0 EBADMM CCNC(=O)C[C@@H]1CCCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000803645791 583631191 /nfs/dbraw/zinc/63/11/91/583631191.db2.gz QNKHOZQVVHSPIF-LBPRGKRZSA-N -1 1 336.392 -0.166 20 0 EBADMM COC(=O)c1cn(CCNC(=O)N=c2ccnc3n(C)[n-]cc2-3)nn1 ZINC000806486778 583650379 /nfs/dbraw/zinc/65/03/79/583650379.db2.gz GPUQJFOLRZNKMN-UHFFFAOYSA-N -1 1 344.335 -0.458 20 0 EBADMM COC(=O)[C@H]1CCN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000806854646 583655169 /nfs/dbraw/zinc/65/51/69/583655169.db2.gz MDPCVKYYMKZQBX-VIFPVBQESA-N -1 1 331.332 -0.698 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCCC(=O)NC(C)(C)C)ccnc1-2 ZINC000806883973 583655235 /nfs/dbraw/zinc/65/52/35/583655235.db2.gz DPEPYMOQCCSFPT-UHFFFAOYSA-N -1 1 346.391 -0.299 20 0 EBADMM CCN1CCN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)C[C@@H]1C ZINC000806949265 583656221 /nfs/dbraw/zinc/65/62/21/583656221.db2.gz DNQLPQGHHZRGOZ-NSHDSACASA-N -1 1 330.392 -0.167 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCC3(CO)CCCC3)ccnc1-2 ZINC000806983255 583656457 /nfs/dbraw/zinc/65/64/57/583656457.db2.gz UIBDFSLXOIHBAM-UHFFFAOYSA-N -1 1 331.376 -0.051 20 0 EBADMM CN(CCN1CCOCC1)C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000807007020 583656746 /nfs/dbraw/zinc/65/67/46/583656746.db2.gz XYQIZOFDQYWROI-UHFFFAOYSA-N -1 1 346.391 -0.929 20 0 EBADMM C[C@@H]1[C@H](C)N(C)CCN1C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000807025721 583656968 /nfs/dbraw/zinc/65/69/68/583656968.db2.gz XXOZAGCJXQBVRI-WDEREUQCSA-N -1 1 330.392 -0.169 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CC(F)(F)C[C@@H]3CO)ccnc1-2 ZINC000807024841 583656984 /nfs/dbraw/zinc/65/69/84/583656984.db2.gz OKDVMRIYACONKW-MRVPVSSYSA-N -1 1 339.302 -0.491 20 0 EBADMM CC1(C)Cc2cccc(NC(=O)C(=O)NN3CC(=O)[N-]C3=O)c2O1 ZINC000807062400 583657647 /nfs/dbraw/zinc/65/76/47/583657647.db2.gz WQAYTZATVXALJM-UHFFFAOYSA-N -1 1 332.316 -0.078 20 0 EBADMM CNC(=O)OC[C@@H]1CCCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000813729568 583701009 /nfs/dbraw/zinc/70/10/09/583701009.db2.gz YGLVVEABZMDBTJ-NSHDSACASA-N -1 1 338.364 -0.336 20 0 EBADMM Cn1[nH]cc2c(=NC(=O)C(=O)N3CC[C@H]4C[N@@H+](C)C[C@H]43)ccnc1-2 ZINC000815704710 583711872 /nfs/dbraw/zinc/71/18/72/583711872.db2.gz NJGHKYWPTBSJCA-GXFFZTMASA-N -1 1 328.376 -0.557 20 0 EBADMM Cc1nc(N2CCOCC2)[n-]c(=O)c1CCC(=O)O[C@H]1CNOC1 ZINC000816573563 583718441 /nfs/dbraw/zinc/71/84/41/583718441.db2.gz CXAQMSVGGOEZTJ-NSHDSACASA-N -1 1 338.364 -0.294 20 0 EBADMM Cn1cc(S(=O)(=O)NCCN2CCC[C@@H](CC(=O)[O-])C2)cn1 ZINC000819072346 583754785 /nfs/dbraw/zinc/75/47/85/583754785.db2.gz NOYQFPAYPDIDCH-NSHDSACASA-N -1 1 330.410 -0.115 20 0 EBADMM COCCS(=O)(=O)CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000819627369 583759289 /nfs/dbraw/zinc/75/92/89/583759289.db2.gz ARYCYISNEGERBC-VIFPVBQESA-N -1 1 333.366 -0.458 20 0 EBADMM CC(=O)NCCN(Cc1cnc2c(C(N)=O)cnn2c1)[C@H](C)C(=O)[O-] ZINC000819779979 583761066 /nfs/dbraw/zinc/76/10/66/583761066.db2.gz BMLVNZJRLJQFQO-SECBINFHSA-N -1 1 348.363 -0.761 20 0 EBADMM CC(C)[C@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)C(=O)N1CCCC1 ZINC000820184248 583768109 /nfs/dbraw/zinc/76/81/09/583768109.db2.gz YIDUJKIEZABMQR-NSHDSACASA-N -1 1 347.383 -0.779 20 0 EBADMM C[C@H](CCNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)[S@@](C)=O ZINC000820242739 583768943 /nfs/dbraw/zinc/76/89/43/583768943.db2.gz QHTUSLNFCCBWSQ-IJSAXESFSA-N -1 1 344.422 -0.303 20 0 EBADMM C[C@H](CCNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)[S@@](C)=O ZINC000820242739 583768944 /nfs/dbraw/zinc/76/89/44/583768944.db2.gz QHTUSLNFCCBWSQ-IJSAXESFSA-N -1 1 344.422 -0.303 20 0 EBADMM C[C@H](Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C)c1cnn(C)c1 ZINC000820451961 583773120 /nfs/dbraw/zinc/77/31/20/583773120.db2.gz YUYICZPVFRYWCT-ZETCQYMHSA-N -1 1 331.340 -0.829 20 0 EBADMM C[C@H]1CN(C(=O)Cn2cnc(-c3nn[n-]n3)n2)C[C@@H](C(F)(F)F)O1 ZINC000820735439 583778658 /nfs/dbraw/zinc/77/86/58/583778658.db2.gz SNNGLQKDLFDIGY-BQBZGAKWSA-N -1 1 346.273 -0.364 20 0 EBADMM C[C@H]1CN(C(=O)Cn2cnc(-c3nn[n-]n3)n2)C[C@H](C(F)(F)F)O1 ZINC000820735513 583778768 /nfs/dbraw/zinc/77/87/68/583778768.db2.gz SNNGLQKDLFDIGY-NKWVEPMBSA-N -1 1 346.273 -0.364 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nnn[n-]2)CCN1Cc1nncn1C ZINC000820804059 583780939 /nfs/dbraw/zinc/78/09/39/583780939.db2.gz MCXBUEUCVCGSHS-SNVBAGLBSA-N -1 1 341.383 -0.504 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1Cc1nncn1C ZINC000820804059 583780941 /nfs/dbraw/zinc/78/09/41/583780941.db2.gz MCXBUEUCVCGSHS-SNVBAGLBSA-N -1 1 341.383 -0.504 20 0 EBADMM CCNC(=O)CNC(=O)CCc1c(C)[nH]c(=O)c(-c2nn[n-]n2)c1C ZINC000821285305 583788009 /nfs/dbraw/zinc/78/80/09/583788009.db2.gz IZUAJFZXSQDQGB-UHFFFAOYSA-N -1 1 347.379 -0.231 20 0 EBADMM CCS(=O)(=O)C[C@H](C)NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000821441026 583791237 /nfs/dbraw/zinc/79/12/37/583791237.db2.gz IAHJPQSBCXXWIG-ZETCQYMHSA-N -1 1 342.385 -0.455 20 0 EBADMM CCS(=O)(=O)C[C@H](C)NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000821441026 583791239 /nfs/dbraw/zinc/79/12/39/583791239.db2.gz IAHJPQSBCXXWIG-ZETCQYMHSA-N -1 1 342.385 -0.455 20 0 EBADMM CN(CCNC(=O)Cn1cnc(-c2nn[n-]n2)n1)CC(F)(F)F ZINC000821602579 583795143 /nfs/dbraw/zinc/79/51/43/583795143.db2.gz JEACBRBUBDBGHN-UHFFFAOYSA-N -1 1 333.278 -0.932 20 0 EBADMM CN1CCN(C(=O)Cn2cnc(-c3nn[n-]n3)n2)c2ccccc21 ZINC000821627048 583796483 /nfs/dbraw/zinc/79/64/83/583796483.db2.gz KPGKJFVYKJFYGC-UHFFFAOYSA-N -1 1 325.336 -0.059 20 0 EBADMM COC(=O)CNC(=O)[C@H](C)OC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821662867 583800178 /nfs/dbraw/zinc/80/01/78/583800178.db2.gz WYZAYVTYUDTRIM-LURJTMIESA-N -1 1 339.333 -0.237 20 0 EBADMM COC(=O)CNC(=O)[C@H](C)OC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821662867 583800179 /nfs/dbraw/zinc/80/01/79/583800179.db2.gz WYZAYVTYUDTRIM-LURJTMIESA-N -1 1 339.333 -0.237 20 0 EBADMM COCCC(=O)N1CCN(c2nccnc2-c2nnn[n-]2)C[C@H]1C ZINC000821685488 583801568 /nfs/dbraw/zinc/80/15/68/583801568.db2.gz FPUGTGWFKKVSBM-SNVBAGLBSA-N -1 1 332.368 -0.270 20 0 EBADMM COCCC(=O)N1CCN(c2nccnc2-c2nn[n-]n2)C[C@H]1C ZINC000821685488 583801571 /nfs/dbraw/zinc/80/15/71/583801571.db2.gz FPUGTGWFKKVSBM-SNVBAGLBSA-N -1 1 332.368 -0.270 20 0 EBADMM CS(=O)(=O)CC1(CC(=O)n2ncc(-c3nn[n-]n3)c2N)CC1 ZINC000821920281 583805755 /nfs/dbraw/zinc/80/57/55/583805755.db2.gz PERJQQZWIRGXPB-UHFFFAOYSA-N -1 1 325.354 -0.500 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N[C@H](CO)C2CCCCC2)n(C)c1=O ZINC000822600296 583813357 /nfs/dbraw/zinc/81/33/57/583813357.db2.gz KYJCUDGBYJHOKT-SNVBAGLBSA-N -1 1 349.395 -0.383 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N2CCOC3(CCCC3)C2)n(C)c1=O ZINC000822600287 583813392 /nfs/dbraw/zinc/81/33/92/583813392.db2.gz KYHLRRJWTARDCA-UHFFFAOYSA-N -1 1 347.379 -0.587 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(Nc2cnn(CC3CC3)c2)n(C)c1=O ZINC000822599142 583813409 /nfs/dbraw/zinc/81/34/09/583813409.db2.gz AQXBLXRZXATWBK-UHFFFAOYSA-N -1 1 343.351 -0.386 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N[C@@H](CO)C2CCCCC2)n(C)c1=O ZINC000822600294 583813435 /nfs/dbraw/zinc/81/34/35/583813435.db2.gz KYJCUDGBYJHOKT-JTQLQIEISA-N -1 1 349.395 -0.383 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N2CCO[C@H]3CCCC[C@H]32)n(C)c1=O ZINC000822601419 583813881 /nfs/dbraw/zinc/81/38/81/583813881.db2.gz ZJGROLPXMVXJHF-ZJUUUORDSA-N -1 1 347.379 -0.588 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NC[C@]2(CCO)CCOC2)n1 ZINC000822613591 583814380 /nfs/dbraw/zinc/81/43/80/583814380.db2.gz DLBHSBJWGQETPD-ZDUSSCGKSA-N -1 1 336.356 -0.489 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NC[C@]2(CCO)CCOC2)n1 ZINC000822613591 583814382 /nfs/dbraw/zinc/81/43/82/583814382.db2.gz DLBHSBJWGQETPD-ZDUSSCGKSA-N -1 1 336.356 -0.489 20 0 EBADMM NC(=O)CN1C[C@H](OC(=O)c2ccc(-c3nnn[n-]3)s2)CC1=O ZINC000822869146 583820174 /nfs/dbraw/zinc/82/01/74/583820174.db2.gz PDIUJOKVRPMFOY-ZCFIWIBFSA-N -1 1 336.333 -0.829 20 0 EBADMM NC(=O)CN1C[C@H](OC(=O)c2ccc(-c3nn[n-]n3)s2)CC1=O ZINC000822869146 583820175 /nfs/dbraw/zinc/82/01/75/583820175.db2.gz PDIUJOKVRPMFOY-ZCFIWIBFSA-N -1 1 336.333 -0.829 20 0 EBADMM NS(=O)(=O)C[C@@H]1CCCN1C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000822895873 583821187 /nfs/dbraw/zinc/82/11/87/583821187.db2.gz ZPQDMHNCZSIWCT-ZETCQYMHSA-N -1 1 342.406 -0.179 20 0 EBADMM NS(=O)(=O)C[C@@H]1CCCN1C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000822895873 583821188 /nfs/dbraw/zinc/82/11/88/583821188.db2.gz ZPQDMHNCZSIWCT-ZETCQYMHSA-N -1 1 342.406 -0.179 20 0 EBADMM O=C(Cc1cccc(-c2nn[nH]n2)c1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000823092429 583823989 /nfs/dbraw/zinc/82/39/89/583823989.db2.gz FHMQVUDKJAZBDS-NSHDSACASA-N -1 1 341.335 -0.478 20 0 EBADMM O=C(Cn1ccc(=O)[nH]c1=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000823122982 583824235 /nfs/dbraw/zinc/82/42/35/583824235.db2.gz JUFGDFMFIFEPJP-UHFFFAOYSA-N -1 1 329.276 -0.527 20 0 EBADMM O=C(CCNC(=O)Cn1cnc(-c2nn[n-]n2)n1)NC1CCCCC1 ZINC000823125972 583824837 /nfs/dbraw/zinc/82/48/37/583824837.db2.gz DHKNURUDKSSJKR-UHFFFAOYSA-N -1 1 347.383 -0.587 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCO[C@@H](c2ccco2)C1 ZINC000823127136 583824997 /nfs/dbraw/zinc/82/49/97/583824997.db2.gz UKKAVBKWQRJODD-SNVBAGLBSA-N -1 1 330.308 -0.349 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NC[C@H]1OCCc2sccc21 ZINC000823127074 583825028 /nfs/dbraw/zinc/82/50/28/583825028.db2.gz RVDREDUTJBWILO-SECBINFHSA-N -1 1 346.376 -0.050 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCCC[C@@H]1C1OCCO1 ZINC000823127200 583825226 /nfs/dbraw/zinc/82/52/26/583825226.db2.gz WLACDXYDWOHPFY-SECBINFHSA-N -1 1 334.340 -0.788 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)Nc1ccccc1-n1cncn1 ZINC000823136258 583826038 /nfs/dbraw/zinc/82/60/38/583826038.db2.gz QWEUKAXTLMDACJ-UHFFFAOYSA-N -1 1 337.307 -0.322 20 0 EBADMM O=C(CN1CCC(=O)NC1=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000823530327 583831098 /nfs/dbraw/zinc/83/10/98/583831098.db2.gz JIRHHSYHHPYHPN-UHFFFAOYSA-N -1 1 331.292 -0.547 20 0 EBADMM O=C1CN(S(=O)(=O)c2cccc(F)c2-c2nnn[n-]2)CCCN1 ZINC000823535775 583831226 /nfs/dbraw/zinc/83/12/26/583831226.db2.gz HKICSGXOBUASLD-UHFFFAOYSA-N -1 1 340.340 -0.484 20 0 EBADMM O=C1CN(S(=O)(=O)c2cccc(F)c2-c2nn[n-]n2)CCCN1 ZINC000823535775 583831227 /nfs/dbraw/zinc/83/12/27/583831227.db2.gz HKICSGXOBUASLD-UHFFFAOYSA-N -1 1 340.340 -0.484 20 0 EBADMM O=C1NC(=O)[C@@]2(CCC[C@@H]2CNc2nccnc2-c2nnn[n-]2)N1 ZINC000823540416 583831782 /nfs/dbraw/zinc/83/17/82/583831782.db2.gz CZKRJWKZENNPDZ-UHLUBPPHSA-N -1 1 329.324 -0.553 20 0 EBADMM O=C1NC(=O)[C@@]2(CCC[C@@H]2CNc2nccnc2-c2nn[n-]n2)N1 ZINC000823540416 583831783 /nfs/dbraw/zinc/83/17/83/583831783.db2.gz CZKRJWKZENNPDZ-UHLUBPPHSA-N -1 1 329.324 -0.553 20 0 EBADMM CC(=O)N1CCCN([C@H]2CN(C(=O)CN(C)C(=O)[O-])C[C@@H]2C)CC1 ZINC000823555141 583832899 /nfs/dbraw/zinc/83/28/99/583832899.db2.gz ARHYDGHEAXCDQU-JSGCOSHPSA-N -1 1 340.424 -0.003 20 0 EBADMM CC(=O)N1CCN(C(=O)[C@H](C)N2CC[C@H](N(C)C(=O)[O-])C2)CC1 ZINC000823555767 583832985 /nfs/dbraw/zinc/83/29/85/583832985.db2.gz FVBGTAPYYDJAPV-AAEUAGOBSA-N -1 1 326.397 -0.250 20 0 EBADMM CC(=O)Nc1ccc(OC[C@@H](O)Cn2cnc(-c3nn[n-]n3)n2)cc1 ZINC000824000114 583839738 /nfs/dbraw/zinc/83/97/38/583839738.db2.gz VAEXOWGUGAENBU-NSHDSACASA-N -1 1 344.335 -0.144 20 0 EBADMM C[C@H](C(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1)n1cncn1 ZINC000824039745 583840380 /nfs/dbraw/zinc/84/03/80/583840380.db2.gz HANMFFDMBCCMHN-MRVPVSSYSA-N -1 1 345.371 -0.584 20 0 EBADMM C[C@H](C(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1)n1cncn1 ZINC000824039745 583840383 /nfs/dbraw/zinc/84/03/83/583840383.db2.gz HANMFFDMBCCMHN-MRVPVSSYSA-N -1 1 345.371 -0.584 20 0 EBADMM CC(C)N1C(=O)N[C@@]2(CCN(c3nccnc3-c3nnn[n-]3)C2)C1=O ZINC000824297102 583843365 /nfs/dbraw/zinc/84/33/65/583843365.db2.gz QDLURGIQOBICKZ-CQSZACIVSA-N -1 1 343.351 -0.434 20 0 EBADMM CC(C)N1C(=O)N[C@@]2(CCN(c3nccnc3-c3nn[n-]n3)C2)C1=O ZINC000824297102 583843366 /nfs/dbraw/zinc/84/33/66/583843366.db2.gz QDLURGIQOBICKZ-CQSZACIVSA-N -1 1 343.351 -0.434 20 0 EBADMM CC(C)NC(=O)CN1CCN(Cc2ccnc(-c3nn[n-]n3)c2)CC1 ZINC000824301217 583843688 /nfs/dbraw/zinc/84/36/88/583843688.db2.gz SWWMYVUQGITATL-UHFFFAOYSA-N -1 1 344.423 -0.096 20 0 EBADMM C[C@@H](C[C@@H](O)c1ccco1)NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000824390581 583844697 /nfs/dbraw/zinc/84/46/97/583844697.db2.gz BOVQWOJSLHUUER-DTWKUNHWSA-N -1 1 332.324 -0.320 20 0 EBADMM C[C@H](CC(=O)N[C@H]1CCN(CCN2CCOCC2)C1)NC(=O)[O-] ZINC000824624121 583848033 /nfs/dbraw/zinc/84/80/33/583848033.db2.gz XIGFFOWCDSRCSZ-OLZOCXBDSA-N -1 1 328.413 -0.445 20 0 EBADMM C[C@H](O)C[C@@H]1CCCN1c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000824698661 583848924 /nfs/dbraw/zinc/84/89/24/583848924.db2.gz MURYGNMSQVEJFY-IUCAKERBSA-N -1 1 335.368 -0.996 20 0 EBADMM C[C@@H](CN(C)C(=O)[O-])C(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC000824849495 583851062 /nfs/dbraw/zinc/85/10/62/583851062.db2.gz SSYXPLLMEPPGPD-UONOGXRCSA-N -1 1 342.440 -0.245 20 0 EBADMM C[C@@H]1C(=O)NCCN1S(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000824860839 583851376 /nfs/dbraw/zinc/85/13/76/583851376.db2.gz YCHJKHHBRCHBKO-SSDOTTSWSA-N -1 1 340.340 -0.485 20 0 EBADMM C[C@@H]1C[C@@H](CCNc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)CCO1 ZINC000824886328 583851909 /nfs/dbraw/zinc/85/19/09/583851909.db2.gz XCTHBBYHRYPLOS-ZJUUUORDSA-N -1 1 349.395 -0.119 20 0 EBADMM C[C@H](CN1CCC[C@H](C(=O)NCCNC(=O)[O-])C1)CS(C)(=O)=O ZINC000824901161 583852164 /nfs/dbraw/zinc/85/21/64/583852164.db2.gz FLSXOLVWDONHLK-NEPJUHHUSA-N -1 1 349.453 -0.237 20 0 EBADMM CCN1C(=O)[C@@H]2CN(c3ccc(-c4nnn[n-]4)nn3)CCN2C1=O ZINC000825444977 583860779 /nfs/dbraw/zinc/86/07/79/583860779.db2.gz UFHKQXPJDCTVFQ-VIFPVBQESA-N -1 1 329.324 -0.871 20 0 EBADMM CCN1C(=O)[C@@H]2CN(c3ccc(-c4nn[n-]n4)nn3)CCN2C1=O ZINC000825444977 583860782 /nfs/dbraw/zinc/86/07/82/583860782.db2.gz UFHKQXPJDCTVFQ-VIFPVBQESA-N -1 1 329.324 -0.871 20 0 EBADMM CCN1CCN(S(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)C[C@H]1C ZINC000825464538 583861345 /nfs/dbraw/zinc/86/13/45/583861345.db2.gz DHQMIACAYCVXOX-SNVBAGLBSA-N -1 1 337.409 -0.024 20 0 EBADMM CCN1CCN(S(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)C[C@H]1C ZINC000825464538 583861347 /nfs/dbraw/zinc/86/13/47/583861347.db2.gz DHQMIACAYCVXOX-SNVBAGLBSA-N -1 1 337.409 -0.024 20 0 EBADMM CCNC(=O)CN1CCN(Cc2ccnc(-c3nn[n-]n3)c2)CC1 ZINC000825471150 583861490 /nfs/dbraw/zinc/86/14/90/583861490.db2.gz OGXHVQAUKDHEPT-UHFFFAOYSA-N -1 1 330.396 -0.485 20 0 EBADMM CCNC(=O)CCC(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000825471057 583861502 /nfs/dbraw/zinc/86/15/02/583861502.db2.gz NAAXMFPKNOQNEI-UHFFFAOYSA-N -1 1 349.399 -0.521 20 0 EBADMM CCNC(=O)CCC(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000825471057 583861503 /nfs/dbraw/zinc/86/15/03/583861503.db2.gz NAAXMFPKNOQNEI-UHFFFAOYSA-N -1 1 349.399 -0.521 20 0 EBADMM CCOC(=O)[C@@H]1CCCN(C(=O)Cn2ccnc2-c2nnn[n-]2)C1 ZINC000825499617 583862065 /nfs/dbraw/zinc/86/20/65/583862065.db2.gz OZIGXTVOUOMGDH-SNVBAGLBSA-N -1 1 333.352 -0.135 20 0 EBADMM CCOC(=O)[C@@H]1CCCN(C(=O)Cn2ccnc2-c2nn[n-]n2)C1 ZINC000825499617 583862066 /nfs/dbraw/zinc/86/20/66/583862066.db2.gz OZIGXTVOUOMGDH-SNVBAGLBSA-N -1 1 333.352 -0.135 20 0 EBADMM CCS(=O)(=O)N1CCC(Nc2ccc(-c3nnn[n-]3)nn2)CC1 ZINC000825663722 583865157 /nfs/dbraw/zinc/86/51/57/583865157.db2.gz VAGIXSBXRLGUCC-UHFFFAOYSA-N -1 1 338.397 -0.117 20 0 EBADMM CCS(=O)(=O)N1CCC(Nc2ccc(-c3nn[n-]n3)nn2)CC1 ZINC000825663722 583865159 /nfs/dbraw/zinc/86/51/59/583865159.db2.gz VAGIXSBXRLGUCC-UHFFFAOYSA-N -1 1 338.397 -0.117 20 0 EBADMM CCS(=O)(=O)NCCCn1nc(C)c(C)c(-c2nn[n-]n2)c1=O ZINC000825663788 583865433 /nfs/dbraw/zinc/86/54/33/583865433.db2.gz WCALSXAPCWCZNE-UHFFFAOYSA-N -1 1 341.397 -0.630 20 0 EBADMM CN(C[C@H]1CCCC[C@@H]1O)c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000825908370 583870719 /nfs/dbraw/zinc/87/07/19/583870719.db2.gz HBZTUOIBAHNMSI-ZJUUUORDSA-N -1 1 349.395 -0.749 20 0 EBADMM CN(C[C@H]1CCCC[C@H]1O)c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000825908367 583870780 /nfs/dbraw/zinc/87/07/80/583870780.db2.gz HBZTUOIBAHNMSI-NXEZZACHSA-N -1 1 349.395 -0.749 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nnn[n-]1)C(=O)N[C@@H]1CCCOC1 ZINC000825929888 583870898 /nfs/dbraw/zinc/87/08/98/583870898.db2.gz NTGSYILENNPCSS-SECBINFHSA-N -1 1 349.399 -0.075 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nn[n-]n1)C(=O)N[C@@H]1CCCOC1 ZINC000825929888 583870899 /nfs/dbraw/zinc/87/08/99/583870899.db2.gz NTGSYILENNPCSS-SECBINFHSA-N -1 1 349.399 -0.075 20 0 EBADMM CN(CCN1CCCS1(=O)=O)Cc1ccc(-c2nnn[n-]2)o1 ZINC000825937688 583871592 /nfs/dbraw/zinc/87/15/92/583871592.db2.gz HVGIHHSMXVAJRK-UHFFFAOYSA-N -1 1 326.382 -0.073 20 0 EBADMM CN(CCN1CCCS1(=O)=O)Cc1ccc(-c2nn[n-]n2)o1 ZINC000825937688 583871594 /nfs/dbraw/zinc/87/15/94/583871594.db2.gz HVGIHHSMXVAJRK-UHFFFAOYSA-N -1 1 326.382 -0.073 20 0 EBADMM CN(CCc1nccs1)c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000825950014 583871893 /nfs/dbraw/zinc/87/18/93/583871893.db2.gz HMMSDSMPZUCFFS-UHFFFAOYSA-N -1 1 348.392 -0.601 20 0 EBADMM CNC(=O)C(=O)Nc1ccn(-c2cccc(F)c2-c2nn[n-]n2)n1 ZINC000826053719 583875786 /nfs/dbraw/zinc/87/57/86/583875786.db2.gz YKXZDBGZKVABEC-UHFFFAOYSA-N -1 1 330.283 -0.124 20 0 EBADMM CC(C)(C(=O)[O-])c1ccc(NC(=O)C(=O)NCc2nn[nH]n2)cc1 ZINC000826112885 583879187 /nfs/dbraw/zinc/87/91/87/583879187.db2.gz ACVILHMXDVMRPI-UHFFFAOYSA-N -1 1 332.320 -0.183 20 0 EBADMM COC(=O)[C@@H](O)C1CCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000826112115 583879293 /nfs/dbraw/zinc/87/92/93/583879293.db2.gz SKTOLWLPXPDNTJ-LBPRGKRZSA-N -1 1 346.347 -0.352 20 0 EBADMM COC(=O)[C@@H](O)C1CCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)CC1 ZINC000826112115 583879295 /nfs/dbraw/zinc/87/92/95/583879295.db2.gz SKTOLWLPXPDNTJ-LBPRGKRZSA-N -1 1 346.347 -0.352 20 0 EBADMM COC[C@H](C)NC(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000826148467 583883545 /nfs/dbraw/zinc/88/35/45/583883545.db2.gz JFXGABAYBPDLGR-QMMMGPOBSA-N -1 1 337.388 -0.219 20 0 EBADMM COC[C@H](C)NC(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000826148467 583883547 /nfs/dbraw/zinc/88/35/47/583883547.db2.gz JFXGABAYBPDLGR-QMMMGPOBSA-N -1 1 337.388 -0.219 20 0 EBADMM COC[C@](C)(O)CNS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000826148808 583883602 /nfs/dbraw/zinc/88/36/02/583883602.db2.gz RGCLAQRYOAVHJN-GFCCVEGCSA-N -1 1 345.356 -0.319 20 0 EBADMM COCc1nc(Cn2c(=O)c(-c3nn[n-]n3)cn(C)c2=O)cs1 ZINC000826165829 583886375 /nfs/dbraw/zinc/88/63/75/583886375.db2.gz LOPZQXKBJXLVTC-UHFFFAOYSA-N -1 1 335.349 -0.622 20 0 EBADMM COCCNC(=O)Cn1cc(Nc2cccc(-c3nnn[n-]3)n2)cn1 ZINC000826159984 583886381 /nfs/dbraw/zinc/88/63/81/583886381.db2.gz AFQCAINSWQLKCO-UHFFFAOYSA-N -1 1 343.351 -0.036 20 0 EBADMM COCCNC(=O)Cn1cc(Nc2cccc(-c3nn[n-]n3)n2)cn1 ZINC000826159984 583886382 /nfs/dbraw/zinc/88/63/82/583886382.db2.gz AFQCAINSWQLKCO-UHFFFAOYSA-N -1 1 343.351 -0.036 20 0 EBADMM CSC[C@](C)(O)CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000826227626 583888938 /nfs/dbraw/zinc/88/89/38/583888938.db2.gz UQXMTYWWWZDSSN-LLVKDONJSA-N -1 1 344.422 -0.346 20 0 EBADMM CSC[C@](C)(O)CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000826227626 583888940 /nfs/dbraw/zinc/88/89/40/583888940.db2.gz UQXMTYWWWZDSSN-LLVKDONJSA-N -1 1 344.422 -0.346 20 0 EBADMM CS[C@@H](CO)[C@H](C)NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000826226208 583889086 /nfs/dbraw/zinc/88/90/86/583889086.db2.gz URKDOJFMAUXERD-RCOVLWMOSA-N -1 1 326.382 -0.066 20 0 EBADMM CS[C@@H](CO)[C@H](C)NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000826226208 583889088 /nfs/dbraw/zinc/88/90/88/583889088.db2.gz URKDOJFMAUXERD-RCOVLWMOSA-N -1 1 326.382 -0.066 20 0 EBADMM Cc1cc(CC(=O)N(C)CCCc2[nH]nc(N)c2-c2nnn[n-]2)[nH]n1 ZINC000826266104 583889866 /nfs/dbraw/zinc/88/98/66/583889866.db2.gz CFKLLEGERCJEQW-UHFFFAOYSA-N -1 1 344.383 -0.163 20 0 EBADMM Cc1cc(CC(=O)N(C)CCCc2[nH]nc(N)c2-c2nn[n-]n2)[nH]n1 ZINC000826266104 583889868 /nfs/dbraw/zinc/88/98/68/583889868.db2.gz CFKLLEGERCJEQW-UHFFFAOYSA-N -1 1 344.383 -0.163 20 0 EBADMM Cc1nc(C)c(C(=O)Cn2c(=O)c(-c3nn[n-]n3)cn(C)c2=O)o1 ZINC000826324160 583891299 /nfs/dbraw/zinc/89/12/99/583891299.db2.gz GPRHWCVEHQTVES-UHFFFAOYSA-N -1 1 331.292 -0.785 20 0 EBADMM Cc1nnc(CNc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)s1 ZINC000826335554 583891886 /nfs/dbraw/zinc/89/18/86/583891886.db2.gz ROYRXNBHELZEML-UHFFFAOYSA-N -1 1 335.353 -0.964 20 0 EBADMM Cc1oc(NC(=O)CN2CCOC[C@@H]2C(N)=O)c(-c2nn[n-]n2)c1C ZINC000826341712 583892113 /nfs/dbraw/zinc/89/21/13/583892113.db2.gz OLMIGFZPRROVOU-SECBINFHSA-N -1 1 349.351 -0.799 20 0 EBADMM Cc1onc(CC(=O)N[C@H]2CCCC[C@H]2C(N)=O)c1-c1nnn[n-]1 ZINC000826346507 583892840 /nfs/dbraw/zinc/89/28/40/583892840.db2.gz OAOAYQFHSLNUQN-BDAKNGLRSA-N -1 1 333.352 -0.134 20 0 EBADMM Cc1onc(CC(=O)N[C@H]2CCCC[C@H]2C(N)=O)c1-c1nn[n-]n1 ZINC000826346507 583892841 /nfs/dbraw/zinc/89/28/41/583892841.db2.gz OAOAYQFHSLNUQN-BDAKNGLRSA-N -1 1 333.352 -0.134 20 0 EBADMM Cc1onc(CC(=O)NCCCC(=O)NC2CC2)c1-c1nnn[n-]1 ZINC000826347444 583892843 /nfs/dbraw/zinc/89/28/43/583892843.db2.gz RGFQYQPDTPNLFS-UHFFFAOYSA-N -1 1 333.352 -0.119 20 0 EBADMM Cc1onc(CC(=O)NCCCC(=O)NC2CC2)c1-c1nn[n-]n1 ZINC000826347444 583892844 /nfs/dbraw/zinc/89/28/44/583892844.db2.gz RGFQYQPDTPNLFS-UHFFFAOYSA-N -1 1 333.352 -0.119 20 0 EBADMM Cc1onc(CC(=O)N[C@@H]2CCC[C@H](C(N)=O)C2)c1-c1nnn[n-]1 ZINC000826346659 583892867 /nfs/dbraw/zinc/89/28/67/583892867.db2.gz SILRUMAIEQVOCH-DTWKUNHWSA-N -1 1 333.352 -0.134 20 0 EBADMM Cc1onc(CC(=O)N[C@@H]2CCC[C@H](C(N)=O)C2)c1-c1nn[n-]n1 ZINC000826346659 583892868 /nfs/dbraw/zinc/89/28/68/583892868.db2.gz SILRUMAIEQVOCH-DTWKUNHWSA-N -1 1 333.352 -0.134 20 0 EBADMM Cc1onc(CC(=O)NCC(C)(C)N2CCOCC2)c1-c1nn[n-]n1 ZINC000826346230 583892915 /nfs/dbraw/zinc/89/29/15/583892915.db2.gz HBYVZGYDTXKXJW-UHFFFAOYSA-N -1 1 349.395 -0.067 20 0 EBADMM Cc1onc(CC(=O)NC[C@H](C)N2CCOCC2)c1-c1nn[n-]n1 ZINC000826346512 583892922 /nfs/dbraw/zinc/89/29/22/583892922.db2.gz OBWBMWNEOIOSPW-VIFPVBQESA-N -1 1 335.368 -0.457 20 0 EBADMM Cc1onc(CC(=O)NCCN2CCO[C@@H](C)C2)c1-c1nn[n-]n1 ZINC000826347406 583892935 /nfs/dbraw/zinc/89/29/35/583892935.db2.gz PYDLNUSDINPCBR-VIFPVBQESA-N -1 1 335.368 -0.457 20 0 EBADMM Cn1cc([C@H](CO)NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)cn1 ZINC000826359095 583894046 /nfs/dbraw/zinc/89/40/46/583894046.db2.gz VMTZNBAPBGGBCV-VIFPVBQESA-N -1 1 332.328 -0.811 20 0 EBADMM Cn1cc([C@H](CO)NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)cn1 ZINC000826359095 583894049 /nfs/dbraw/zinc/89/40/49/583894049.db2.gz VMTZNBAPBGGBCV-VIFPVBQESA-N -1 1 332.328 -0.811 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NC[C@@]1(O)CCc2ccccc21 ZINC000826425745 583901148 /nfs/dbraw/zinc/90/11/48/583901148.db2.gz RDLGNWWGXMYXLA-HNNXBMFYSA-N -1 1 340.347 -0.592 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NN1Cc2ccccc2C1=O ZINC000826425749 583901178 /nfs/dbraw/zinc/90/11/78/583901178.db2.gz RKHODPOEHZQVDD-UHFFFAOYSA-N -1 1 325.292 -0.855 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NC[C@H]1COC2(CCCCC2)O1 ZINC000826425369 583901321 /nfs/dbraw/zinc/90/13/21/583901321.db2.gz AIAOAOXHBOSEPU-JTQLQIEISA-N -1 1 348.367 -0.350 20 0 EBADMM O=C1NCCC[C@@H]1NS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000826482874 583904799 /nfs/dbraw/zinc/90/47/99/583904799.db2.gz SXGNEEWKJUXZPE-VIFPVBQESA-N -1 1 340.340 -0.437 20 0 EBADMM CCCN(C)CC(=O)Nc1nc(N2CCN(C(=O)[O-])CC2)n[nH]1 ZINC000826893305 583911957 /nfs/dbraw/zinc/91/19/57/583911957.db2.gz RDTGWCUUCQLJSP-UHFFFAOYSA-N -1 1 325.373 -0.115 20 0 EBADMM CC(C)NC(=O)CNC(=S)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000826970810 583912981 /nfs/dbraw/zinc/91/29/81/583912981.db2.gz CXMQXGDHTSMIPW-LLVKDONJSA-N -1 1 330.454 -0.134 20 0 EBADMM CC(C)NC(=O)CN(C)CC(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC000826971770 583913002 /nfs/dbraw/zinc/91/30/02/583913002.db2.gz OVNIMXPEGHPAML-ZDUSSCGKSA-N -1 1 342.440 -0.550 20 0 EBADMM CC(C)NC(=O)CN(C)CC(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000826971765 583913114 /nfs/dbraw/zinc/91/31/14/583913114.db2.gz OVNIMXPEGHPAML-CYBMUJFWSA-N -1 1 342.440 -0.550 20 0 EBADMM CCN1CCN(CC(=O)N2CCO[C@H]([C@H](C)NC(=O)[O-])C2)CC1 ZINC000827223235 583916948 /nfs/dbraw/zinc/91/69/48/583916948.db2.gz QNIMFBNERZXOFI-STQMWFEESA-N -1 1 328.413 -0.493 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)CCC(=O)N2CCOCC2)CC1 ZINC000827363132 583919959 /nfs/dbraw/zinc/91/99/59/583919959.db2.gz ZNXVWZROGZUOHE-CYBMUJFWSA-N -1 1 341.408 -0.367 20 0 EBADMM C[C@@H](CN1CCN(C)CC1)NC(=O)N1CCO[C@@H](CC(=O)[O-])C1 ZINC000827460900 583921548 /nfs/dbraw/zinc/92/15/48/583921548.db2.gz FUCKOJRTMHFZFZ-STQMWFEESA-N -1 1 328.413 -0.493 20 0 EBADMM CCc1nc([C@@H]2CN(C(=O)COC3CN(C(=O)[O-])C3)CCO2)n[nH]1 ZINC000827621630 583925072 /nfs/dbraw/zinc/92/50/72/583925072.db2.gz TWKKKRXEYUCGFL-JTQLQIEISA-N -1 1 339.352 -0.354 20 0 EBADMM CC[C@H](C)C[C@@H]([N-]S(=O)(=O)CCS(C)(=O)=O)C(=O)OC ZINC000827815485 583928174 /nfs/dbraw/zinc/92/81/74/583928174.db2.gz WCENAGSUENIYMP-VHSXEESVSA-N -1 1 329.440 -0.072 20 0 EBADMM C[C@]1(C(=O)[O-])CCN(CC(=O)c2c(N)n(C3CC3)c(=O)[nH]c2=O)C1 ZINC000828024131 583932075 /nfs/dbraw/zinc/93/20/75/583932075.db2.gz HZCUWEPXESUBNK-HNNXBMFYSA-N -1 1 336.348 -0.155 20 0 EBADMM CC(C)N1C[C@H](NS(=O)(=O)c2cnn(CC(=O)[O-])c2)[C@@H](C)C1 ZINC000828424939 583938854 /nfs/dbraw/zinc/93/88/54/583938854.db2.gz VCQWOFDZBLMVCW-JQWIXIFHSA-N -1 1 330.410 -0.025 20 0 EBADMM COC(=O)[C@@H]1CN(CN2CC3(CN(C(=O)[O-])C3)CC2=O)C[C@H]1C ZINC000828638358 583943935 /nfs/dbraw/zinc/94/39/35/583943935.db2.gz ACRHQFWTDWKMCG-GHMZBOCLSA-N -1 1 325.365 -0.103 20 0 EBADMM COC(=O)C[C@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)C(=O)OC ZINC000829871991 583959365 /nfs/dbraw/zinc/95/93/65/583959365.db2.gz GSCGAZATJLQXDG-YFKPBYRVSA-N -1 1 325.730 -0.554 20 0 EBADMM Cc1nnc([C@H]2CN(C(=O)[C@H]3CN(C(=O)[O-])CCO3)CCO2)[nH]1 ZINC000830679960 583969515 /nfs/dbraw/zinc/96/95/15/583969515.db2.gz YJIIUYCPFYFXCJ-NXEZZACHSA-N -1 1 325.325 -0.608 20 0 EBADMM CN1CCN(c2cc(C(=O)N3CCOC[C@H]3C(=O)[O-])ccn2)CC1 ZINC000830960044 583972737 /nfs/dbraw/zinc/97/27/37/583972737.db2.gz FFNZTFXDPGMSRA-ZDUSSCGKSA-N -1 1 334.376 -0.241 20 0 EBADMM CN1CCN(C)[C@H](CNC(=O)C(=O)Nc2sccc2C(=O)[O-])C1 ZINC000830949285 583972816 /nfs/dbraw/zinc/97/28/16/583972816.db2.gz CVWCJZLZVQJQNX-SECBINFHSA-N -1 1 340.405 -0.253 20 0 EBADMM CN1CCO[C@@H]2CN(c3ccc(S(N)(=O)=O)cc3C(=O)[O-])C[C@H]21 ZINC000830964226 583973019 /nfs/dbraw/zinc/97/30/19/583973019.db2.gz IJADRROVICBOIO-CHWSQXEVSA-N -1 1 341.389 -0.449 20 0 EBADMM O=C([O-])N1C[C@H](O)C[C@H]1C(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000831275049 583981329 /nfs/dbraw/zinc/98/13/29/583981329.db2.gz YYPRNAKWWDRQMS-UPJWGTAASA-N -1 1 327.381 -0.720 20 0 EBADMM CS(=O)(=O)NC1CC(NC(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000831377433 583982080 /nfs/dbraw/zinc/98/20/80/583982080.db2.gz XZHMFOJAPBSAKF-UHFFFAOYSA-N -1 1 341.418 -0.172 20 0 EBADMM O=C([O-])N1CCN(c2n[nH]c(NC(=O)CCc3nc[nH]n3)n2)CC1 ZINC000831442340 583982819 /nfs/dbraw/zinc/98/28/19/583982819.db2.gz YUXURNAKFKJUAA-UHFFFAOYSA-N -1 1 335.328 -0.706 20 0 EBADMM COCC(=O)N[C@H](C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1)C(C)C ZINC000831541459 583984382 /nfs/dbraw/zinc/98/43/82/583984382.db2.gz WHIJESWXRCPCGQ-WFASDCNBSA-N -1 1 343.424 -0.219 20 0 EBADMM O=C([O-])N1CCO[C@H](C(=O)N[C@H](c2nn[nH]n2)c2ccccc2)C1 ZINC000831574173 583986214 /nfs/dbraw/zinc/98/62/14/583986214.db2.gz RPWYIOOQZLGRJU-QWRGUYRKSA-N -1 1 332.320 -0.216 20 0 EBADMM O=C([O-])N1CCC[C@H](OCC(=O)N2CCO[C@@H](c3nn[nH]n3)C2)C1 ZINC000831998764 583994337 /nfs/dbraw/zinc/99/43/37/583994337.db2.gz WBBGDDJNRAKJKS-VHSXEESVSA-N -1 1 340.340 -0.741 20 0 EBADMM O=C([O-])N1CCO[C@@H](CC(=O)N2CC[C@@H](CN3CCOCC3)C2)C1 ZINC000832060172 583995497 /nfs/dbraw/zinc/99/54/97/583995497.db2.gz HLVPBYSJHLXBEM-KBPBESRZSA-N -1 1 341.408 -0.064 20 0 EBADMM O=C([O-])NC1(C(=O)N[C@@H]2CCN(CCN3CCOCC3)C2)CC1 ZINC000832155899 583996117 /nfs/dbraw/zinc/99/61/17/583996117.db2.gz SKSFMSWJNSMBTQ-GFCCVEGCSA-N -1 1 326.397 -0.691 20 0 EBADMM O=C([O-])C[C@@H]1CN(C(=O)NCCCCN2CCOCC2)CCO1 ZINC000833217169 584007232 /nfs/dbraw/zinc/00/72/32/584007232.db2.gz HASQXRUYBPULLR-CYBMUJFWSA-N -1 1 329.397 -0.016 20 0 EBADMM O=C([O-])CNC(=O)Cc1ccc(NC(=O)NCCc2nc[nH]n2)cc1 ZINC000833252629 584007810 /nfs/dbraw/zinc/00/78/10/584007810.db2.gz SGJLCJSNQMQFCD-UHFFFAOYSA-N -1 1 346.347 -0.088 20 0 EBADMM CC(=O)N[C@H]1CCCN(C(=O)CN2CCC([C@H](O)C(=O)[O-])CC2)C1 ZINC000833395723 584009903 /nfs/dbraw/zinc/00/99/03/584009903.db2.gz KDKGYWRLCTYALH-ZFWWWQNUSA-N -1 1 341.408 -0.729 20 0 EBADMM CC(C)CN1CCO[C@@H](CNC(=O)CN[C@]2(C(=O)[O-])CCOC2)C1 ZINC000833488091 584010924 /nfs/dbraw/zinc/01/09/24/584010924.db2.gz PBCRVBFUNJWYIA-XJKSGUPXSA-N -1 1 343.424 -0.707 20 0 EBADMM C[C@H](CS(=O)(=O)N1CC[C@H](N2CCN(C)CC2)[C@H](C)C1)C(=O)[O-] ZINC000833519313 584011573 /nfs/dbraw/zinc/01/15/73/584011573.db2.gz NRZOAVWFWVGPRL-MCIONIFRSA-N -1 1 347.481 -0.005 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@@H]1CCCN(C(N)=O)C1 ZINC000833618969 584012321 /nfs/dbraw/zinc/01/23/21/584012321.db2.gz HQWDHJXJYHCPLO-VXGBXAGGSA-N -1 1 326.397 -0.216 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NC[C@H]1CN(C)CCO1 ZINC000833626435 584012773 /nfs/dbraw/zinc/01/27/73/584012773.db2.gz QYEPUWPMUDQZKR-OLZOCXBDSA-N -1 1 328.413 -0.493 20 0 EBADMM CS(=O)(=O)CC[C@H](NC(=O)[O-])C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000833893866 584019239 /nfs/dbraw/zinc/01/92/39/584019239.db2.gz FRCHZQYUFWXSOR-UWVGGRQHSA-N -1 1 344.393 -0.026 20 0 EBADMM NC(=O)c1n[nH]c2ccc(NC(=O)C(=O)NC3CN(C(=O)[O-])C3)cc21 ZINC000833986280 584022962 /nfs/dbraw/zinc/02/29/62/584022962.db2.gz MXTNCYIUCRMFFY-UHFFFAOYSA-N -1 1 346.303 -0.921 20 0 EBADMM O=C([O-])N1CCN(C(=O)[C@@H]2CCCN(CC3OCCO3)C2)CC1 ZINC000834115468 584026581 /nfs/dbraw/zinc/02/65/81/584026581.db2.gz KCXDBSNLNOPQMV-GFCCVEGCSA-N -1 1 327.381 -0.107 20 0 EBADMM O=C([O-])N1CCC[C@@H]1C(=O)NC[C@H]1CCC[N@H+]([C@H]2CCNC2=O)C1 ZINC000834290969 584030955 /nfs/dbraw/zinc/03/09/55/584030955.db2.gz VDGGPNSGEJKHML-FRRDWIJNSA-N -1 1 338.408 -0.155 20 0 EBADMM O=C([O-])N1CCC[C@@H]1C(=O)NC[C@H]1CCCN([C@H]2CCNC2=O)C1 ZINC000834290969 584030957 /nfs/dbraw/zinc/03/09/57/584030957.db2.gz VDGGPNSGEJKHML-FRRDWIJNSA-N -1 1 338.408 -0.155 20 0 EBADMM C[C@@H]1CN(CC[N-]S(=O)(=O)N=S2(=O)CCCC2)CCO1 ZINC000867146033 584042033 /nfs/dbraw/zinc/04/20/33/584042033.db2.gz MGYRSUZOAZMQKX-LLVKDONJSA-N -1 1 325.456 -0.197 20 0 EBADMM CC(C)[C@H](CO)O[N-]C(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000836900927 584046071 /nfs/dbraw/zinc/04/60/71/584046071.db2.gz YPDATGNKIRDOHJ-KGLIPLIRSA-N -1 1 343.424 -0.768 20 0 EBADMM Cc1ccc(C)c(S(=O)(=O)NCC(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000843387950 584077411 /nfs/dbraw/zinc/07/74/11/584077411.db2.gz WFYIQVOIBWKYOL-UHFFFAOYSA-N -1 1 340.361 -0.835 20 0 EBADMM CC(=O)N[C@@H](Cc1c[nH]c2ccccc12)C(=O)NN1CC(=O)[N-]C1=O ZINC000843386803 584077474 /nfs/dbraw/zinc/07/74/74/584077474.db2.gz NOCDSHTTZQQDIZ-ZDUSSCGKSA-N -1 1 343.343 -0.202 20 0 EBADMM COC(=O)[C@@H](F)C[N-]S(=O)(=O)c1cn(C)nc1Br ZINC000867385405 584108249 /nfs/dbraw/zinc/10/82/49/584108249.db2.gz GRNKRQMJIFLCPE-YFKPBYRVSA-N -1 1 344.162 -0.028 20 0 EBADMM CC1=C(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)[C@@H](C)n2nnnc2N1C ZINC000847473577 584140059 /nfs/dbraw/zinc/14/00/59/584140059.db2.gz ZXOMDQZSRQWLMV-BDAKNGLRSA-N -1 1 346.355 -0.929 20 0 EBADMM COC(=O)[C@@H](Cc1ccncc1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000848117902 584151576 /nfs/dbraw/zinc/15/15/76/584151576.db2.gz HSIRZNIYSMTWLZ-GFCCVEGCSA-N -1 1 346.343 -0.778 20 0 EBADMM CCOC(=O)Cn1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC000848346950 584156046 /nfs/dbraw/zinc/15/60/46/584156046.db2.gz KCZPOOVVVZOLOV-UHFFFAOYSA-N -1 1 335.320 -0.675 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC(=O)c2ccnn2C)co1 ZINC000848735686 584161197 /nfs/dbraw/zinc/16/11/97/584161197.db2.gz QUDWIUPYZBBWBC-UHFFFAOYSA-N -1 1 327.318 -0.039 20 0 EBADMM Cc1nn(CC(=O)OCC(=O)[N-]C(=O)c2ccccc2)c(=O)n1C ZINC000848845858 584162376 /nfs/dbraw/zinc/16/23/76/584162376.db2.gz DCCOEPYYEWZPAB-UHFFFAOYSA-N -1 1 332.316 -0.610 20 0 EBADMM CCS(=O)(=O)N1CCC[C@H](NC(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC000849230287 584167203 /nfs/dbraw/zinc/16/72/03/584167203.db2.gz AYDPWHGVSONZMF-BQBZGAKWSA-N -1 1 332.300 -0.068 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-]C[C@]2(OCCO)CCOC2)c1Cl ZINC000849428631 584170411 /nfs/dbraw/zinc/17/04/11/584170411.db2.gz ZVMXXLOWFCHZQR-LLVKDONJSA-N -1 1 339.801 -0.480 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCn2cc(C(N)=O)cn2)co1 ZINC000851495913 584194667 /nfs/dbraw/zinc/19/46/67/584194667.db2.gz FVCYMDLGSNVOHH-UHFFFAOYSA-N -1 1 342.333 -0.660 20 0 EBADMM O=C([O-])[C@H]1[C@H](NC(=O)C(F)(F)F)CCCN1C(=O)c1nc[nH]n1 ZINC000851704101 584200734 /nfs/dbraw/zinc/20/07/34/584200734.db2.gz SIMPXUJCXYBNKF-PHDIDXHHSA-N -1 1 335.242 -0.459 20 0 EBADMM Cn1cc(C(=O)N2CCC[C@H](NC(=O)C(F)(F)F)[C@H]2C(=O)[O-])nn1 ZINC000851704075 584200835 /nfs/dbraw/zinc/20/08/35/584200835.db2.gz SARDXDGBDKLBFX-XPUUQOCRSA-N -1 1 349.269 -0.449 20 0 EBADMM Cc1cnc(C(=O)N2CCS(=O)(=NS(C)(=O)=O)CC2)c([O-])c1 ZINC000854592791 584244196 /nfs/dbraw/zinc/24/41/96/584244196.db2.gz SUILQLGUCWKJFH-UHFFFAOYSA-N -1 1 347.418 -0.021 20 0 EBADMM CN1c2ccccc2C[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1=O ZINC000855345086 584257799 /nfs/dbraw/zinc/25/77/99/584257799.db2.gz MINXXJOHKKJCGB-LBPRGKRZSA-N -1 1 342.355 -0.369 20 0 EBADMM C[C@@H]1CCN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)C[C@@H]1CO ZINC000857003284 584273190 /nfs/dbraw/zinc/27/31/90/584273190.db2.gz UXHJAYFULWHZHJ-GHMZBOCLSA-N -1 1 331.376 -0.243 20 0 EBADMM O=C(CN1CCN(c2cc(Cl)[n-]c(=O)n2)CC1)N1CCOCC1 ZINC000858322010 584286605 /nfs/dbraw/zinc/28/66/05/584286605.db2.gz KFWWRUQHHALWFU-UHFFFAOYSA-N -1 1 341.799 -0.184 20 0 EBADMM CCOC(=O)C[C@H](O)COC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000859497462 584303950 /nfs/dbraw/zinc/30/39/50/584303950.db2.gz LNPKFHBSMAOVIY-QMMMGPOBSA-N -1 1 335.334 -0.341 20 0 EBADMM CCON(CC)C(=O)CNC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000862620966 584352772 /nfs/dbraw/zinc/35/27/72/584352772.db2.gz YEFIAZZJTWUGFJ-UHFFFAOYSA-N -1 1 333.366 -0.283 20 0 EBADMM CN1CC[C@H]([N-]S(=O)(=O)c2cnn(C)c2C(F)(F)F)C1=O ZINC000866825917 584407834 /nfs/dbraw/zinc/40/78/34/584407834.db2.gz UOMKXGVNYNOUBH-LURJTMIESA-N -1 1 326.300 -0.052 20 0 EBADMM CS(C)(=O)=NS(=O)(=O)[N-]CCN1C[C@@H]2CCCCN2C1=O ZINC000867530043 584410126 /nfs/dbraw/zinc/41/01/26/584410126.db2.gz RUFJCTSIDMLJEB-JTQLQIEISA-N -1 1 338.455 -0.162 20 0 EBADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(Cn2nnn(C3CC3)c2=O)C1 ZINC000872754021 584466449 /nfs/dbraw/zinc/46/64/49/584466449.db2.gz UEOZLUAJYYXWEI-LLVKDONJSA-N -1 1 334.302 -0.125 20 0 EBADMM Cn1ccnc(NC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)c1=O ZINC000879111529 584548352 /nfs/dbraw/zinc/54/83/52/584548352.db2.gz SCDLGDXMSXWLPP-UHFFFAOYSA-N -1 1 333.270 -0.078 20 0 EBADMM O=C([N-]CC1CN(S(=O)(=O)CCn2ccnc2)C1)C(F)(F)F ZINC000882506018 584591055 /nfs/dbraw/zinc/59/10/55/584591055.db2.gz SFSXAULQQJGJPE-UHFFFAOYSA-N -1 1 340.327 -0.177 20 0 EBADMM CN(C(=O)[C@@H]1CC(=O)N(c2ccc3c(c2)OCCO3)C1)c1nn[n-]n1 ZINC000827891529 584695766 /nfs/dbraw/zinc/69/57/66/584695766.db2.gz HUYLWTVPRHFGQE-SECBINFHSA-N -1 1 344.331 -0.013 20 0 EBADMM O=S(=O)(N[C@@H]1CCc2ncnn2C1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738341800 598836827 /nfs/dbraw/zinc/83/68/27/598836827.db2.gz FXOWQVLGGAYZTM-MRVPVSSYSA-N -1 1 347.364 -0.854 20 0 EBADMM O=S(=O)(N[C@@H]1CCc2ncnn2C1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738341800 598836828 /nfs/dbraw/zinc/83/68/28/598836828.db2.gz FXOWQVLGGAYZTM-MRVPVSSYSA-N -1 1 347.364 -0.854 20 0 EBADMM O=S(=O)(c1ccc(-c2nnn[n-]2)nc1)N1CCC2(C1)OCCO2 ZINC000738355261 598541490 /nfs/dbraw/zinc/54/14/90/598541490.db2.gz MFJLHYXDFDGPPP-UHFFFAOYSA-N -1 1 338.349 -0.601 20 0 EBADMM O=S(=O)(c1ccc(-c2nn[n-]n2)nc1)N1CCC2(C1)OCCO2 ZINC000738355261 598541492 /nfs/dbraw/zinc/54/14/92/598541492.db2.gz MFJLHYXDFDGPPP-UHFFFAOYSA-N -1 1 338.349 -0.601 20 0 EBADMM O=S(=O)(c1ccc(-c2nnn[n-]2)nc1)N1CCc2cncnc2C1 ZINC000738355199 598799731 /nfs/dbraw/zinc/79/97/31/598799731.db2.gz LHMDTUXADNTOLQ-UHFFFAOYSA-N -1 1 344.360 -0.201 20 0 EBADMM O=S(=O)(c1ccc(-c2nn[n-]n2)nc1)N1CCc2cncnc2C1 ZINC000738355199 598799733 /nfs/dbraw/zinc/79/97/33/598799733.db2.gz LHMDTUXADNTOLQ-UHFFFAOYSA-N -1 1 344.360 -0.201 20 0 EBADMM O=C([O-])c1ccc(C(=O)N[C@@H]2CCN(CCN3CCOCC3)C2)cn1 ZINC000739380684 600622772 /nfs/dbraw/zinc/62/27/72/600622772.db2.gz GASZFJGARRKHSC-CQSZACIVSA-N -1 1 348.403 -0.084 20 0 EBADMM CC(=O)N1CCC(NC(=O)CN2CC[C@@H](CNC(=O)[O-])C2)CC1 ZINC000738520879 601953776 /nfs/dbraw/zinc/95/37/76/601953776.db2.gz IEMHLPQRVUYYAR-LBPRGKRZSA-N -1 1 326.397 -0.297 20 0 EBADMM Cc1c(C(=O)NCc2nnc[nH]2)nnn1C1CCN(C(=O)[O-])CC1 ZINC000740039504 602126660 /nfs/dbraw/zinc/12/66/60/602126660.db2.gz ZISHTEQAHIHTJJ-UHFFFAOYSA-N -1 1 334.340 -0.050 20 0 EBADMM COC1(C(=O)NC[C@@H]2CN(C)CCN2C)CCN(C(=O)[O-])CC1 ZINC000739797357 602540139 /nfs/dbraw/zinc/54/01/39/602540139.db2.gz NMXFQYGFRVYUBL-GFCCVEGCSA-N -1 1 328.413 -0.493 20 0 EBADMM CN1CCN(C)[C@H](CNC(=O)NCC2CCN(C(=O)[O-])CC2)C1 ZINC000739734817 602588150 /nfs/dbraw/zinc/58/81/50/602588150.db2.gz NOEUXOZOXQSJDF-CYBMUJFWSA-N -1 1 327.429 -0.079 20 0 EBADMM O=C([O-])NCCOCC(=O)N1CCC(CN2CCOCC2)CC1 ZINC000740663060 602661253 /nfs/dbraw/zinc/66/12/53/602661253.db2.gz CLVXENNLBGABRS-UHFFFAOYSA-N -1 1 329.397 -0.159 20 0 EBADMM C[C@H](CNC(=O)CN(C[C@H]1CCCO1)C(=O)[O-])N1CCN(C)CC1 ZINC000738915881 602904945 /nfs/dbraw/zinc/90/49/45/602904945.db2.gz JDVWDTCORNXBSL-ZIAGYGMSSA-N -1 1 342.440 -0.103 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)[C@@H]2C[C@@H](O)CN2C(=O)[O-])C1 ZINC000738825857 603063306 /nfs/dbraw/zinc/06/33/06/603063306.db2.gz RNDHPKRUFZVFTQ-UPJWGTAASA-N -1 1 329.397 -0.427 20 0 EBADMM C[C@@H]1OC(C)(C)N(C(=O)[O-])[C@H]1C(=O)NCCN1CCN(C)CC1 ZINC000739203693 603132368 /nfs/dbraw/zinc/13/23/68/603132368.db2.gz SRIXERYRKYRBME-NWDGAFQWSA-N -1 1 328.413 -0.147 20 0 EBADMM O=C([O-])N1CC[C@H](C(=O)N[C@@H]2CCN(CCN3CCOCC3)C2)C1 ZINC000740400587 603315986 /nfs/dbraw/zinc/31/59/86/603315986.db2.gz QIQIDXCNUXXRML-UONOGXRCSA-N -1 1 340.424 -0.491 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H](C)C(=O)N2CCNC2=O)o1 ZINC000046315386 649946529 /nfs/dbraw/zinc/94/65/29/649946529.db2.gz WRVZRQCYOSMHLW-ZETCQYMHSA-N -1 1 345.333 -0.715 20 0 EBADMM CS(=O)(=O)N1CCN(CC(=O)N[N-]C(=O)c2cccs2)CC1 ZINC000052797674 649955177 /nfs/dbraw/zinc/95/51/77/649955177.db2.gz DVQDZTWLZAKPNE-UHFFFAOYSA-N -1 1 346.434 -0.914 20 0 EBADMM COC(=O)C1CCN(S(=O)(=O)[N-]C(=O)[C@@H]2CC2[N+](=O)[O-])CC1 ZINC000052766293 649955240 /nfs/dbraw/zinc/95/52/40/649955240.db2.gz XYHYYCOTVJZMGV-RKDXNWHRSA-N -1 1 335.338 -0.262 20 0 EBADMM CN1c2ccccc2CN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1=O ZINC000857887548 650041637 /nfs/dbraw/zinc/04/16/37/650041637.db2.gz MCRJZVIMEDWWPA-UHFFFAOYSA-N -1 1 342.355 -0.068 20 0 EBADMM CN1C(=O)CS/C1=C\C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907481967 650083367 /nfs/dbraw/zinc/08/33/67/650083367.db2.gz ZYCPTBZQRLNICL-GDRUQYFBSA-N -1 1 326.407 -0.137 20 0 EBADMM Cn1ncc(S(=O)(=O)[N-][C@]2(C)CCNC2=O)c1C(F)(F)F ZINC000907809565 650090773 /nfs/dbraw/zinc/09/07/73/650090773.db2.gz CIQSXPLNDSLVDA-SECBINFHSA-N -1 1 326.300 -0.004 20 0 EBADMM COCCN(CCC(=O)[O-])C(=O)C(=O)N[C@@H]1C[C@@H](C)N(C2CC2)C1 ZINC000910490090 650110674 /nfs/dbraw/zinc/11/06/74/650110674.db2.gz PZNDQSAUHCTGNY-VXGBXAGGSA-N -1 1 341.408 -0.323 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCC(=O)N1CCCCC1 ZINC000912541398 650207454 /nfs/dbraw/zinc/20/74/54/650207454.db2.gz IOELDWMVYRNWLV-UHFFFAOYSA-N -1 1 344.393 -0.123 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1ccc(C(N)=O)o1 ZINC000912543899 650207488 /nfs/dbraw/zinc/20/74/88/650207488.db2.gz UEODZHJMKNNYRX-UHFFFAOYSA-N -1 1 328.306 -0.633 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1cn(C2CCC2)nn1 ZINC000912555831 650208369 /nfs/dbraw/zinc/20/83/69/650208369.db2.gz GEYAUBDHDINPMM-UHFFFAOYSA-N -1 1 340.365 -0.009 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCCOc1ncccn1 ZINC000912554269 650208607 /nfs/dbraw/zinc/20/86/07/650208607.db2.gz QVYQIFNAJIHHPT-UHFFFAOYSA-N -1 1 341.349 -0.266 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1cc2n(n1)CCCO2 ZINC000912557146 650208995 /nfs/dbraw/zinc/20/89/95/650208995.db2.gz TZHJDOHJUZMINI-UHFFFAOYSA-N -1 1 341.349 -0.346 20 0 EBADMM O=C(CN1CSCCSC1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000913499406 650235751 /nfs/dbraw/zinc/23/57/51/650235751.db2.gz PIGLBDOVQNBUSG-VIFPVBQESA-N -1 1 330.439 -0.203 20 0 EBADMM CC[C@@H](C(=O)N1CCOC[C@H]1c1nn[n-]n1)N1CCO[C@H](CC)C1 ZINC000913497909 650235993 /nfs/dbraw/zinc/23/59/93/650235993.db2.gz MMIFGYPEGGZWRQ-AGIUHOORSA-N -1 1 338.412 -0.011 20 0 EBADMM O=C([C@@H]1COCCN1CC(F)F)N1CCC(c2nn[n-]n2)CC1 ZINC000913744563 650245005 /nfs/dbraw/zinc/24/50/05/650245005.db2.gz UHEZQVSJJRMXES-JTQLQIEISA-N -1 1 330.339 -0.128 20 0 EBADMM CO[N-]C(=O)CNC(=O)NCC1(N2CCOCC2)CCCCC1 ZINC000913871642 650247699 /nfs/dbraw/zinc/24/76/99/650247699.db2.gz KGCPGDHWBQRWPE-UHFFFAOYSA-N -1 1 328.413 -0.002 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCc2nnnn2C2CC2)o1 ZINC000915241177 650266949 /nfs/dbraw/zinc/26/69/49/650266949.db2.gz FDDVZYXVDYFWGH-UHFFFAOYSA-N -1 1 327.322 -0.134 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2CN3CCN2CCC3)c1 ZINC000917056437 650278968 /nfs/dbraw/zinc/27/89/68/650278968.db2.gz SSURMWMPIZQQFB-GFCCVEGCSA-N -1 1 343.405 -0.266 20 0 EBADMM COC[C@H](O)C[N@H+]1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC000923255469 650336827 /nfs/dbraw/zinc/33/68/27/650336827.db2.gz NAQUQVWPOXNNNU-HLTSFMKQSA-N -1 1 328.287 -0.410 20 0 EBADMM CCOC[C@H](O)C[N@H+]1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC000923255895 650336898 /nfs/dbraw/zinc/33/68/98/650336898.db2.gz TVCIWLJKJPETFJ-UTLUCORTSA-N -1 1 342.314 -0.020 20 0 EBADMM CN(C(=O)[C@H]1C[C@H]1C(N)=O)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216856 651684648 /nfs/dbraw/zinc/68/46/48/651684648.db2.gz NQDQPVYFJWHGHH-MXWKQRLJSA-N -1 1 332.360 -0.419 20 0 EBADMM CC(=O)N1CC(C(=O)N(C)[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000937220594 651686800 /nfs/dbraw/zinc/68/68/00/651686800.db2.gz RGFAUDDYGORLQW-CYBMUJFWSA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C(=O)c1cnn(C)n1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937221128 651686901 /nfs/dbraw/zinc/68/69/01/651686901.db2.gz YLMCSHNDNXLDAZ-JTQLQIEISA-N -1 1 330.348 -0.098 20 0 EBADMM CS(=O)(=O)C[C@@H]1CN(CCC[N-]C(=O)C(F)(F)F)CCO1 ZINC000933640971 651725071 /nfs/dbraw/zinc/72/50/71/651725071.db2.gz MBTUAUUCKWZJOH-VIFPVBQESA-N -1 1 332.344 -0.200 20 0 EBADMM CC(F)(F)C(=O)N[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000937606499 651854235 /nfs/dbraw/zinc/85/42/35/651854235.db2.gz UIFYSXCYOXZHFP-VIFPVBQESA-N -1 1 344.318 -0.701 20 0 EBADMM O=C(CN1CCCC1=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937659104 651869243 /nfs/dbraw/zinc/86/92/43/651869243.db2.gz OAENAUMSPRWQJQ-NSHDSACASA-N -1 1 332.360 -0.260 20 0 EBADMM O=C(C[C@@H]1CCC(=O)N1)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937860492 651957207 /nfs/dbraw/zinc/95/72/07/651957207.db2.gz GWVUNPLHXBVYGH-QWRGUYRKSA-N -1 1 332.360 -0.213 20 0 EBADMM NC(=O)N1CCC[C@H]1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937863051 651958696 /nfs/dbraw/zinc/95/86/96/651958696.db2.gz BOHNDGULBUOQML-QWRGUYRKSA-N -1 1 347.375 -0.339 20 0 EBADMM Cn1c(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)c[nH]c1=O ZINC000937864306 651959503 /nfs/dbraw/zinc/95/95/03/651959503.db2.gz OKCGCPCOPRTBJS-VIFPVBQESA-N -1 1 331.332 -0.129 20 0 EBADMM C[C@@]1(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)CCNC1=O ZINC000937864360 651960066 /nfs/dbraw/zinc/96/00/66/651960066.db2.gz PBDHOIOSQHMFFO-MGPLVRAMSA-N -1 1 332.360 -0.356 20 0 EBADMM CC1(C(=O)N[C@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)CC1 ZINC000938078045 652069282 /nfs/dbraw/zinc/06/92/82/652069282.db2.gz ANBVBMKRIXOYFA-VIFPVBQESA-N -1 1 330.348 -0.452 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1CCCNC1=O ZINC000938109596 652084920 /nfs/dbraw/zinc/08/49/20/652084920.db2.gz GXNUFYJATUZDAW-QWRGUYRKSA-N -1 1 332.360 -0.356 20 0 EBADMM CC1(C(=O)N2CC[C@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)CC1 ZINC000938315078 652192521 /nfs/dbraw/zinc/19/25/21/652192521.db2.gz ZINWEDSLGFYNAU-VIFPVBQESA-N -1 1 330.348 -0.452 20 0 EBADMM CC1(C)C[C@@H]1C(=O)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000938314882 652192541 /nfs/dbraw/zinc/19/25/41/652192541.db2.gz SXEIURRROPOFEK-VHSXEESVSA-N -1 1 344.375 -0.206 20 0 EBADMM CN1CC[C@@H](C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)C1=O ZINC000938468095 652275339 /nfs/dbraw/zinc/27/53/39/652275339.db2.gz MDMFAXMZMMKGGQ-QWRGUYRKSA-N -1 1 332.360 -0.404 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)NC[C@H]1CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000944146588 652694183 /nfs/dbraw/zinc/69/41/83/652694183.db2.gz YVKHRQAJNCJIKD-GMTAPVOTSA-N -1 1 344.375 -0.206 20 0 EBADMM CC(=O)N1CCC2(CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)CC1 ZINC000944331545 652746482 /nfs/dbraw/zinc/74/64/82/652746482.db2.gz CMBOMSQOZWBPCQ-UHFFFAOYSA-N -1 1 348.403 -0.212 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N(C)[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000938872330 652751063 /nfs/dbraw/zinc/75/10/63/652751063.db2.gz VWOYXMSKCYHZDD-FRRDWIJNSA-N -1 1 348.403 -0.358 20 0 EBADMM CC(=O)N1CCC2(C1)CCN(C(=O)CCn1cc[n-]c(=O)c1=O)CC2 ZINC000944396810 652754098 /nfs/dbraw/zinc/75/40/98/652754098.db2.gz HMJZZXROKDSJSB-UHFFFAOYSA-N -1 1 348.403 -0.212 20 0 EBADMM CN(C(=O)C1(C)CC1)[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000938901854 652766312 /nfs/dbraw/zinc/76/63/12/652766312.db2.gz ROURBGHYFQPBMB-JTQLQIEISA-N -1 1 344.375 -0.109 20 0 EBADMM CO[C@@H]1CN(C(=O)c2cnnn2C)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941600873 652826247 /nfs/dbraw/zinc/82/62/47/652826247.db2.gz JIBXOBCQPAVGFE-BXKDBHETSA-N -1 1 346.347 -0.815 20 0 EBADMM CO[C@@H]1CN(C(=O)[C@@H]2CCOC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941601548 652826291 /nfs/dbraw/zinc/82/62/91/652826291.db2.gz XAQDSUYIVWBGSC-NQBHXWOUSA-N -1 1 335.360 -0.221 20 0 EBADMM CO[C@@H]1CN(C(=O)c2n[nH]cc2F)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941604829 652827985 /nfs/dbraw/zinc/82/79/85/652827985.db2.gz MSOJSLRGKHYPLV-MWLCHTKSSA-N -1 1 349.322 -0.081 20 0 EBADMM Cn1cnc(C(=O)NC[C@@H]2CN(C(=O)c3ncccc3[O-])CCO2)c1 ZINC000944546064 652846197 /nfs/dbraw/zinc/84/61/97/652846197.db2.gz FEJCQYJHTPDRFV-LLVKDONJSA-N -1 1 345.359 -0.208 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2n[nH]cc2F)CCO1)c1ncccc1[O-] ZINC000944560958 652860952 /nfs/dbraw/zinc/86/09/52/652860952.db2.gz ACKHBFMNQYRDQS-SECBINFHSA-N -1 1 349.322 -0.080 20 0 EBADMM CC(C)[C@@H](O)C(=O)N1CCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944561882 652861417 /nfs/dbraw/zinc/86/14/17/652861417.db2.gz VZMRNFYAWFRORJ-SMDDNHRTSA-N -1 1 337.376 -0.239 20 0 EBADMM Cn1cc(C(=O)N2CCO[C@H](CNC(=O)c3ncccc3[O-])C2)cn1 ZINC000944561873 652861913 /nfs/dbraw/zinc/86/19/13/652861913.db2.gz VXHXURVWPANSJW-GFCCVEGCSA-N -1 1 345.359 -0.208 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCN(C(=O)[C@@H](C)OC)C1 ZINC000941663079 652864634 /nfs/dbraw/zinc/86/46/34/652864634.db2.gz JVSVOAFVPYZBCQ-HTQZYQBOSA-N -1 1 340.336 -0.738 20 0 EBADMM O=C(CC1CCC1)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000941663865 652865211 /nfs/dbraw/zinc/86/52/11/652865211.db2.gz HXBYYMXXJLOWSS-LLVKDONJSA-N -1 1 344.375 -0.062 20 0 EBADMM CC1(CC(=O)N2CC[C@@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)CC1 ZINC000941664135 652866712 /nfs/dbraw/zinc/86/67/12/652866712.db2.gz OPLQQLUGIZEZLJ-SNVBAGLBSA-N -1 1 344.375 -0.062 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)c2c[nH]c(=O)cn2)C1 ZINC000939334476 652898948 /nfs/dbraw/zinc/89/89/48/652898948.db2.gz RZPZIYRDGMSXCX-SNVBAGLBSA-N -1 1 343.343 -0.143 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1cnccn1 ZINC000941763753 652903321 /nfs/dbraw/zinc/90/33/21/652903321.db2.gz HRYCHEMZCLXWHJ-MNOVXSKESA-N -1 1 331.380 -0.461 20 0 EBADMM CCc1cc(C(=O)N2CC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC000941792122 652911470 /nfs/dbraw/zinc/91/14/70/652911470.db2.gz LHRABCDASTXZFQ-MRVPVSSYSA-N -1 1 347.335 -0.787 20 0 EBADMM CN(C(=O)c1cnc(C2CC2)[n-]c1=O)[C@@H]1CCN(C(=O)C(N)=O)C1 ZINC000939382680 652919935 /nfs/dbraw/zinc/91/99/35/652919935.db2.gz CEVGVACAURZFNB-SECBINFHSA-N -1 1 333.348 -0.782 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N(C)[C@@H]1CCN(C(=O)C(N)=O)C1 ZINC000939390119 652923113 /nfs/dbraw/zinc/92/31/13/652923113.db2.gz QOPOXJJBRGEADH-SNVBAGLBSA-N -1 1 349.391 -0.228 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1nccnc1N ZINC000941903948 652946338 /nfs/dbraw/zinc/94/63/38/652946338.db2.gz HYNZPMNHODEZQG-VHSXEESVSA-N -1 1 346.395 -0.879 20 0 EBADMM CCn1nccc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1C ZINC000941989054 653014023 /nfs/dbraw/zinc/01/40/23/653014023.db2.gz JYUPESYJLCVDSS-RYUDHWBXSA-N -1 1 347.423 -0.035 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)Cc1ccn(C)n1 ZINC000942039537 653025682 /nfs/dbraw/zinc/02/56/82/653025682.db2.gz CCXBIEUYJSBELM-AAEUAGOBSA-N -1 1 347.423 -0.589 20 0 EBADMM Nc1nccnc1C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000939781592 653045034 /nfs/dbraw/zinc/04/50/34/653045034.db2.gz VJTYQSSEVJFURT-SECBINFHSA-N -1 1 328.332 -0.196 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC000942155674 653046727 /nfs/dbraw/zinc/04/67/27/653046727.db2.gz JURHCCPIWZZZRE-PSASIEDQSA-N -1 1 349.395 -0.514 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CCN(C(=O)CCc3nc[nH]n3)C2)c1[O-] ZINC000940038874 653076193 /nfs/dbraw/zinc/07/61/93/653076193.db2.gz QGDXMRVWHJLZGD-SECBINFHSA-N -1 1 333.352 -0.495 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)[C@H]2CCCC(=O)N2)C1)c1ncccc1[O-] ZINC000940139006 653085302 /nfs/dbraw/zinc/08/53/02/653085302.db2.gz MCEJSWJVBNEJRW-GHMZBOCLSA-N -1 1 332.360 -0.213 20 0 EBADMM CN1C[C@@H](C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000940135857 653085352 /nfs/dbraw/zinc/08/53/52/653085352.db2.gz JRHBAKIDPNEFGA-WDEREUQCSA-N -1 1 332.360 -0.404 20 0 EBADMM C[C@]1(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)CCNC1=O ZINC000940139059 653085417 /nfs/dbraw/zinc/08/54/17/653085417.db2.gz PBDHOIOSQHMFFO-HWPZZCPQSA-N -1 1 332.360 -0.356 20 0 EBADMM CN(C)C(=O)[C@@H]1C[C@@H]1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940139249 653085443 /nfs/dbraw/zinc/08/54/43/653085443.db2.gz XPMFXNYXCUGMHD-UTUOFQBUSA-N -1 1 346.387 -0.158 20 0 EBADMM NC(=O)N1CCC[C@H]1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940135506 653085466 /nfs/dbraw/zinc/08/54/66/653085466.db2.gz BOHNDGULBUOQML-MNOVXSKESA-N -1 1 347.375 -0.339 20 0 EBADMM CN1CCOC[C@@H]1C(=O)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000946533241 653144472 /nfs/dbraw/zinc/14/44/72/653144472.db2.gz NYERXKRAQMAOKC-CYBMUJFWSA-N -1 1 348.403 -0.161 20 0 EBADMM O=C(CN1CCOCC1)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000946533538 653144724 /nfs/dbraw/zinc/14/47/24/653144724.db2.gz WYUYJRGQGIFGEL-UHFFFAOYSA-N -1 1 348.403 -0.160 20 0 EBADMM O=C(Cc1nc[nH]n1)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000946535553 653144756 /nfs/dbraw/zinc/14/47/56/653144756.db2.gz BOIWSUAERVSIFK-UHFFFAOYSA-N -1 1 330.348 -0.131 20 0 EBADMM O=C(Cc1nnc[nH]1)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000946535553 653144766 /nfs/dbraw/zinc/14/47/66/653144766.db2.gz BOIWSUAERVSIFK-UHFFFAOYSA-N -1 1 330.348 -0.131 20 0 EBADMM Cc1cnn(C)c1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1C ZINC000942567048 653150119 /nfs/dbraw/zinc/15/01/19/653150119.db2.gz DIGCYMANBDRAND-NWDGAFQWSA-N -1 1 347.423 -0.209 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1n[nH]cc1F ZINC000946600961 653163747 /nfs/dbraw/zinc/16/37/47/653163747.db2.gz CYTQZBDTFVMMFE-MWLCHTKSSA-N -1 1 349.322 -0.081 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@@H]2CNC(=O)N2)C1 ZINC000942759684 653198183 /nfs/dbraw/zinc/19/81/83/653198183.db2.gz ZUNLVQWSNUYCBE-QWRGUYRKSA-N -1 1 347.375 -0.468 20 0 EBADMM CN1CCO[C@H](C(=O)N2CCCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC000940594792 653239345 /nfs/dbraw/zinc/23/93/45/653239345.db2.gz RSJPFGFTOQMQQK-AWEZNQCLSA-N -1 1 348.403 -0.208 20 0 EBADMM CCC(=O)N1CC[C@H](N(CC)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000942877299 653247947 /nfs/dbraw/zinc/24/79/47/653247947.db2.gz SMONEBADSBTGRO-JTQLQIEISA-N -1 1 332.364 -0.109 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1)c1n[nH]cc1F ZINC000944762681 653250739 /nfs/dbraw/zinc/25/07/39/653250739.db2.gz STRGDYYZMGZSRT-SECBINFHSA-N -1 1 349.322 -0.080 20 0 EBADMM CCC(=O)N[C@H]1CCCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000943007604 653279033 /nfs/dbraw/zinc/27/90/33/653279033.db2.gz ULNJJBQGORDMSF-JTQLQIEISA-N -1 1 332.364 -0.062 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2cnccn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947010023 653291000 /nfs/dbraw/zinc/29/10/00/653291000.db2.gz QRMXNDXOSBGFBR-WDEREUQCSA-N -1 1 331.380 -0.319 20 0 EBADMM O=C(C[C@H]1CCNC1=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940832381 653298586 /nfs/dbraw/zinc/29/85/86/653298586.db2.gz FIXPWGXRGDAWAX-GFCCVEGCSA-N -1 1 346.387 -0.012 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2cnsn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947080386 653306921 /nfs/dbraw/zinc/30/69/21/653306921.db2.gz JPYNADYKYBKWKC-IUCAKERBSA-N -1 1 337.409 -0.257 20 0 EBADMM O=C(Cn1ncnn1)N1CCCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000943211489 653311819 /nfs/dbraw/zinc/31/18/19/653311819.db2.gz YGHGHLAXDHSUGC-NSHDSACASA-N -1 1 345.363 -0.415 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H](C)C2)n(C)n1 ZINC000947103022 653314115 /nfs/dbraw/zinc/31/41/15/653314115.db2.gz GXVQHCXYBDRDPK-RYUDHWBXSA-N -1 1 347.423 -0.067 20 0 EBADMM Cc1nn(C)cc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@H](C)C1 ZINC000947126712 653320522 /nfs/dbraw/zinc/32/05/22/653320522.db2.gz OULSPWPRLMLPMP-PWSUYJOCSA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2ccnn2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947127369 653320860 /nfs/dbraw/zinc/32/08/60/653320860.db2.gz YBMUOGFAPCXTGA-WDEREUQCSA-N -1 1 333.396 -0.375 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@H]3[C@@H]4COC[C@@H]43)C(C)(C)C2)nc1=O ZINC000940945496 653345732 /nfs/dbraw/zinc/34/57/32/653345732.db2.gz CSEBDTRITQGKDL-CZXHOFHRSA-N -1 1 349.435 -0.283 20 0 EBADMM Cc1nnc(CC(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H](C)C2)o1 ZINC000947276557 653353738 /nfs/dbraw/zinc/35/37/38/653353738.db2.gz JWULSPNDJSRVSP-MWLCHTKSSA-N -1 1 349.395 -0.488 20 0 EBADMM CCn1nncc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H](C)C1 ZINC000947287049 653356602 /nfs/dbraw/zinc/35/66/02/653356602.db2.gz ONPAHNQRIBONNW-WDEREUQCSA-N -1 1 348.411 -0.497 20 0 EBADMM C[C@H]1CN(C(=O)c2ccn[nH]2)CC[C@@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC000945194333 653362941 /nfs/dbraw/zinc/36/29/41/653362941.db2.gz GAYHTXLUKGDXRL-YUMQZZPRSA-N -1 1 347.335 -0.714 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2cncn2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947512171 653420348 /nfs/dbraw/zinc/42/03/48/653420348.db2.gz UMTZRXVXOOXAJP-MNOVXSKESA-N -1 1 333.396 -0.375 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2csnn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947571225 653430420 /nfs/dbraw/zinc/43/04/20/653430420.db2.gz CUHPQCUFEGQNMI-DTWKUNHWSA-N -1 1 337.409 -0.257 20 0 EBADMM C[C@H]1CN(CCF)CC[C@@H]1NC(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC000943690280 653450711 /nfs/dbraw/zinc/45/07/11/653450711.db2.gz RGKWUUPGHWQAMK-JQWIXIFHSA-N -1 1 336.371 -0.133 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2n[nH]cc2F)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947697962 653463148 /nfs/dbraw/zinc/46/31/48/653463148.db2.gz JSSXFGZYPYIHRW-DTWKUNHWSA-N -1 1 337.359 -0.247 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1ncccn1 ZINC000943738850 653464693 /nfs/dbraw/zinc/46/46/93/653464693.db2.gz SVPAJALVWWUHKR-WDEREUQCSA-N -1 1 331.380 -0.461 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2cnn(C)n2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947805267 653486029 /nfs/dbraw/zinc/48/60/29/653486029.db2.gz GPTHTHRTUSVPKW-VHSXEESVSA-N -1 1 334.384 -0.980 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2cnn(C)n2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947805265 653486449 /nfs/dbraw/zinc/48/64/49/653486449.db2.gz GPTHTHRTUSVPKW-NXEZZACHSA-N -1 1 334.384 -0.980 20 0 EBADMM CC(=O)N[C@H](C)[C@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000945653737 653494857 /nfs/dbraw/zinc/49/48/57/653494857.db2.gz YITYHQFQCWFOMG-KOLCDFICSA-N -1 1 332.364 -0.206 20 0 EBADMM Cn1cc(C(=O)NC[C@H]2CCCN2C(=O)c2ncccc2[O-])nn1 ZINC000943976158 653500730 /nfs/dbraw/zinc/50/07/30/653500730.db2.gz DAYVKXIDSNGTEI-SNVBAGLBSA-N -1 1 330.348 -0.050 20 0 EBADMM CN1C[C@@H](C(=O)NC[C@H]2CCCN2C(=O)c2ncccc2[O-])CC1=O ZINC000943980254 653501052 /nfs/dbraw/zinc/50/10/52/653501052.db2.gz QVFKKPPCMVSHGL-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1nnc(C(=O)NC[C@H]2CCCN2C(=O)c2ncccc2[O-])n1 ZINC000943979011 653501311 /nfs/dbraw/zinc/50/13/11/653501311.db2.gz JPXIITBOMQHSKY-SECBINFHSA-N -1 1 331.336 -0.655 20 0 EBADMM O=C(NC[C@H]1CCCN1C(=O)[C@H]1COC(=O)N1)c1ncccc1[O-] ZINC000943995766 653504576 /nfs/dbraw/zinc/50/45/76/653504576.db2.gz RQGQSEQGZWPIIR-NXEZZACHSA-N -1 1 334.332 -0.384 20 0 EBADMM O=C(NC1CCN(C(=O)c2c[nH]c(=O)cn2)CC1)c1ncccc1[O-] ZINC000948037440 653514670 /nfs/dbraw/zinc/51/46/70/653514670.db2.gz CKZHZYUDTXTCQG-UHFFFAOYSA-N -1 1 343.343 -0.095 20 0 EBADMM NC(=O)CC(=O)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])CC[C@@H]21 ZINC000945934053 653519883 /nfs/dbraw/zinc/51/98/83/653519883.db2.gz XZXKGNVBHVZFHE-QWRGUYRKSA-N -1 1 332.360 -0.274 20 0 EBADMM CCC(=O)N1CC[C@@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)CC[C@H]21 ZINC000945990567 653529110 /nfs/dbraw/zinc/52/91/10/653529110.db2.gz UNEOHZITFDUBCU-CHWSQXEVSA-N -1 1 348.403 -0.214 20 0 EBADMM O=C(Cn1cnnn1)N[C@H]1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000946113769 653542473 /nfs/dbraw/zinc/54/24/73/653542473.db2.gz KDBISERVVDFWIA-MNOVXSKESA-N -1 1 345.363 -0.511 20 0 EBADMM Cn1nnc(C(=O)NC[C@@H]2CCC[C@H]2NC(=O)c2ncccc2[O-])n1 ZINC000946191555 653548137 /nfs/dbraw/zinc/54/81/37/653548137.db2.gz FHMIHLMDXVLJIB-VHSXEESVSA-N -1 1 345.363 -0.361 20 0 EBADMM Cn1cc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c(C2CC2)n1 ZINC000948558501 653558208 /nfs/dbraw/zinc/55/82/08/653558208.db2.gz SRNATMWSBSIIGH-UHFFFAOYSA-N -1 1 345.407 -0.323 20 0 EBADMM Cn1ccc(CCC(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC000948587516 653561311 /nfs/dbraw/zinc/56/13/11/653561311.db2.gz HHYJDKGEBMCZRP-UHFFFAOYSA-N -1 1 333.396 -0.881 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@@H]1CN(C)CCO1 ZINC000948618702 653562869 /nfs/dbraw/zinc/56/28/69/653562869.db2.gz BYQYXBLCMRNGTD-BZPMIXESSA-N -1 1 348.403 -0.306 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@]1(C)CNC(=O)C1 ZINC000948626613 653563974 /nfs/dbraw/zinc/56/39/74/653563974.db2.gz PWQPSWIQTPHDRS-AGKHESDQSA-N -1 1 346.387 -0.110 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H]1CNC(=O)c1ccon1 ZINC000948966712 653585393 /nfs/dbraw/zinc/58/53/93/653585393.db2.gz FCPVMVGTZBCKRT-ZETCQYMHSA-N -1 1 349.303 -0.471 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H]1CNC(=O)c1ccon1 ZINC000948966711 653585450 /nfs/dbraw/zinc/58/54/50/653585450.db2.gz FCPVMVGTZBCKRT-SSDOTTSWSA-N -1 1 349.303 -0.471 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3n[nH]c4c3CCCC4)CC2)nc1=O ZINC000949070003 653592708 /nfs/dbraw/zinc/59/27/08/653592708.db2.gz YGHXPGYISJIVLD-UHFFFAOYSA-N -1 1 345.407 -0.332 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cncc4[nH]ccc43)CC2)nc1=O ZINC000949099179 653594658 /nfs/dbraw/zinc/59/46/58/653594658.db2.gz AMIRXVHHWNQOQN-UHFFFAOYSA-N -1 1 341.375 -0.057 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H]1CNC(=O)c1cocn1 ZINC000949104296 653594878 /nfs/dbraw/zinc/59/48/78/653594878.db2.gz GKEFVDNHYFMICU-ZETCQYMHSA-N -1 1 349.303 -0.471 20 0 EBADMM Cn1ncc(C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])c1N ZINC000949521378 653917055 /nfs/dbraw/zinc/91/70/55/653917055.db2.gz JOXATNDQXPLOOL-VIFPVBQESA-N -1 1 330.348 -0.253 20 0 EBADMM CC(=O)N1CC[C@@H](C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])C1 ZINC000949537812 653922831 /nfs/dbraw/zinc/92/28/31/653922831.db2.gz CCSUFFZDVWXXPZ-OLZOCXBDSA-N -1 1 346.387 -0.014 20 0 EBADMM NC(=O)c1cc(C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])c[nH]1 ZINC000949538151 653922872 /nfs/dbraw/zinc/92/28/72/653922872.db2.gz GIWLLXAWPZOQIS-JTQLQIEISA-N -1 1 343.343 -0.141 20 0 EBADMM C[C@@]1(C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])CCC(=O)N1 ZINC000949539119 653923721 /nfs/dbraw/zinc/92/37/21/653923721.db2.gz YICIIYCJRDFEFK-QFYYESIMSA-N -1 1 332.360 -0.213 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN([C@@H]2CCC(=O)NC2=O)C1 ZINC000949952154 654008582 /nfs/dbraw/zinc/00/85/82/654008582.db2.gz JCJVAJCKVQBTHZ-LLVKDONJSA-N -1 1 332.360 -0.261 20 0 EBADMM Cc1cc(C(=O)N2CCC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC000950157208 654054906 /nfs/dbraw/zinc/05/49/06/654054906.db2.gz XHGHXKRUMGPUEX-QMMMGPOBSA-N -1 1 347.335 -0.651 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N[C@@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000951408226 654117527 /nfs/dbraw/zinc/11/75/27/654117527.db2.gz HKJSFKJRAQFRKZ-AXFHLTTASA-N -1 1 344.375 -0.206 20 0 EBADMM CCOC1CC2(C[C@H]2C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)C1 ZINC000950481238 654144998 /nfs/dbraw/zinc/14/49/98/654144998.db2.gz KKSAKMRJXIICOX-JZLYGMAVSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)C3(CF)CCOCC3)CC2)nc1=O ZINC000950505553 654154469 /nfs/dbraw/zinc/15/44/69/654154469.db2.gz DJWNUGDYBJOOTH-UHFFFAOYSA-N -1 1 341.387 -0.481 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)CCc3cncs3)CC2)nc1=O ZINC000950521492 654161886 /nfs/dbraw/zinc/16/18/86/654161886.db2.gz NEQIJZMDSVTEES-UHFFFAOYSA-N -1 1 336.421 -0.158 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3ocnc3C(F)F)CC2)nc1=O ZINC000950533876 654169726 /nfs/dbraw/zinc/16/97/26/654169726.db2.gz RSCVTHGCHXMDCT-UHFFFAOYSA-N -1 1 342.306 -0.008 20 0 EBADMM O=C(Cn1ncnn1)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950542367 654172708 /nfs/dbraw/zinc/17/27/08/654172708.db2.gz ADXKJXAVOIGCOI-JTQLQIEISA-N -1 1 331.336 -0.805 20 0 EBADMM Cn1nnc(C(=O)N[C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)n1 ZINC000950547206 654174867 /nfs/dbraw/zinc/17/48/67/654174867.db2.gz ZNOHTVPAEGRKBK-SECBINFHSA-N -1 1 331.336 -0.655 20 0 EBADMM O=C(N[C@H]1CCCN(C(=O)[C@@H]2CCNC2=O)C1)c1ncccc1[O-] ZINC000951534725 654179114 /nfs/dbraw/zinc/17/91/14/654179114.db2.gz PGODSDCWYMNSJT-WDEREUQCSA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1ccc2oc(=O)nc-2[n-]1)c1ncn[nH]1 ZINC000951582908 654197689 /nfs/dbraw/zinc/19/76/89/654197689.db2.gz NWADSTDGQPOWAB-SSDOTTSWSA-N -1 1 343.303 -0.309 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1ccc2oc(=O)nc-2[n-]1)c1nc[nH]n1 ZINC000951582908 654197694 /nfs/dbraw/zinc/19/76/94/654197694.db2.gz NWADSTDGQPOWAB-SSDOTTSWSA-N -1 1 343.303 -0.309 20 0 EBADMM CN1C(=O)CC[C@H]1C(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC000951602593 654208882 /nfs/dbraw/zinc/20/88/82/654208882.db2.gz OKYHJURHRJAKCF-QWRGUYRKSA-N -1 1 332.360 -0.261 20 0 EBADMM O=C(N[C@H]1CCCN(C(=O)[C@H]2COC(=O)N2)C1)c1ncccc1[O-] ZINC000950656946 654212814 /nfs/dbraw/zinc/21/28/14/654212814.db2.gz PCMRATBZDOKZOH-VHSXEESVSA-N -1 1 334.332 -0.384 20 0 EBADMM CCN(C(=O)c1n[nH]c(=O)[n-]c1=O)C1CN(C[C@H]2CCCOC2)C1 ZINC000950773658 654259172 /nfs/dbraw/zinc/25/91/72/654259172.db2.gz PPZPHUBRANXLHP-SNVBAGLBSA-N -1 1 337.380 -0.144 20 0 EBADMM NC(=O)[C@@H]1CC[C@H](C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])O1 ZINC000952143078 654403674 /nfs/dbraw/zinc/40/36/74/654403674.db2.gz GQYBJEFYZKFJNS-ADEWGFFLSA-N -1 1 348.359 -0.849 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1cnco1)c1cc(=O)n2[n-]cnc2n1 ZINC000951224310 654425602 /nfs/dbraw/zinc/42/56/02/654425602.db2.gz BQWMXCNQNVRACG-QMMMGPOBSA-N -1 1 343.303 -0.950 20 0 EBADMM C[C@@H](C(=O)N1CC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1)C1CC1 ZINC000951225218 654426327 /nfs/dbraw/zinc/42/63/27/654426327.db2.gz XCHVQMMUHDYMJG-KOLCDFICSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H]1CNC(=O)[C@@H]1CC12CC2 ZINC000952248302 654429710 /nfs/dbraw/zinc/42/97/10/654429710.db2.gz KAJAGNUSPRSTFD-NEPJUHHUSA-N -1 1 346.387 -0.556 20 0 EBADMM CCC(=O)N1CC[C@@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)[C@@H]2C1 ZINC000953227504 654545093 /nfs/dbraw/zinc/54/50/93/654545093.db2.gz JCPXRXZMNRBIFI-CHWSQXEVSA-N -1 1 348.403 -0.214 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H]2CCN(C(C)=O)C[C@H]21 ZINC000953245407 654546433 /nfs/dbraw/zinc/54/64/33/654546433.db2.gz YDYZSIHJIDRXJG-NXEZZACHSA-N -1 1 336.348 -0.021 20 0 EBADMM CCC(=O)N1CC[C@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@@H]21 ZINC000953383884 654570736 /nfs/dbraw/zinc/57/07/36/654570736.db2.gz RCGAHEHLGLYKDC-PWSUYJOCSA-N -1 1 344.375 -0.109 20 0 EBADMM CN(C(=O)C(C)(C)F)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000953512878 654592619 /nfs/dbraw/zinc/59/26/19/654592619.db2.gz JLQRGMVHVVLGIG-UHFFFAOYSA-N -1 1 340.355 -0.656 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N(C)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000953625330 654599912 /nfs/dbraw/zinc/59/99/12/654599912.db2.gz WXZMFCAXKZDXES-JQWIXIFHSA-N -1 1 334.376 -0.748 20 0 EBADMM CC(=O)N[C@@H](C)[C@H]1CCCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000953629639 654600254 /nfs/dbraw/zinc/60/02/54/654600254.db2.gz BDLOYIDUNFNMCF-JOYOIKCWSA-N -1 1 332.364 -0.063 20 0 EBADMM CC(=O)N[C@@H](C)[C@@H]1CCCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000953629773 654600300 /nfs/dbraw/zinc/60/03/00/654600300.db2.gz CSHMEQVQMPDVLY-AAEUAGOBSA-N -1 1 336.392 -0.168 20 0 EBADMM C[C@@H](C(=O)N(C)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1)C1CC1 ZINC000953981265 654666864 /nfs/dbraw/zinc/66/68/64/654666864.db2.gz PTOIAZVPTLXFGO-LLVKDONJSA-N -1 1 348.403 -0.358 20 0 EBADMM Cc1c(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)nnn1C ZINC000965829391 724527049 /nfs/dbraw/zinc/52/70/49/724527049.db2.gz OVOIXCFWQQTPJA-MWLCHTKSSA-N -1 1 348.411 -0.814 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cn(C)cn1 ZINC000965904942 724538004 /nfs/dbraw/zinc/53/80/04/724538004.db2.gz DVBDKYGBQMEXAV-GHMZBOCLSA-N -1 1 333.396 -0.518 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)ncn1 ZINC000965916582 724539479 /nfs/dbraw/zinc/53/94/79/724539479.db2.gz INIGRYUGMZGEKX-GXFFZTMASA-N -1 1 345.407 -0.153 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cnn(C)c1N ZINC000965925405 724541762 /nfs/dbraw/zinc/54/17/62/724541762.db2.gz JXYAOBKMDKGYBY-GXSJLCMTSA-N -1 1 348.411 -0.936 20 0 EBADMM Cc1nnc(CC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)o1 ZINC000965989890 724556055 /nfs/dbraw/zinc/55/60/55/724556055.db2.gz KCXAHBODIINNLT-GXSJLCMTSA-N -1 1 349.395 -0.631 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CN(C(=O)C(F)F)C[C@H]1C ZINC000966016449 724559938 /nfs/dbraw/zinc/55/99/38/724559938.db2.gz DWPKIVJLIISSCR-RITPCOANSA-N -1 1 346.290 -0.262 20 0 EBADMM CC(=O)[N-]S(=O)(=O)c1ccc(N2C[C@@H]3[C@H](C2)OCCN3C)nc1 ZINC001164669427 719465931 /nfs/dbraw/zinc/46/59/31/719465931.db2.gz URJAZZNMXLNJNW-OLZOCXBDSA-N -1 1 340.405 -0.574 20 0 EBADMM Cc1ncc(C(=O)N2C[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)[C@@H](C)C2)[nH]1 ZINC000966236312 724594359 /nfs/dbraw/zinc/59/43/59/724594359.db2.gz BCUOEBULZRLNKN-RCOVLWMOSA-N -1 1 347.335 -0.795 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H](NC(=O)[C@H]2C[C@@H]2C)C1 ZINC000938451851 719530504 /nfs/dbraw/zinc/53/05/04/719530504.db2.gz ASZMECIURRHMIU-CIUDSAMLSA-N -1 1 336.348 -0.117 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)c2ccc(=O)[nH]n2)C1 ZINC000954124120 719569435 /nfs/dbraw/zinc/56/94/35/719569435.db2.gz MDEHSNSUTHNDOW-UHFFFAOYSA-N -1 1 329.316 -0.121 20 0 EBADMM CC(=O)N1CC(C(=O)N2CC(N(C)C(=O)c3ncccc3[O-])C2)C1 ZINC000954124224 719569524 /nfs/dbraw/zinc/56/95/24/719569524.db2.gz RGZIICNAAAYPQB-UHFFFAOYSA-N -1 1 332.360 -0.452 20 0 EBADMM C[C@@H](C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1)S(C)(=O)=O ZINC000954125181 719570101 /nfs/dbraw/zinc/57/01/01/719570101.db2.gz FSXQIEDEKRUDAR-VIFPVBQESA-N -1 1 341.389 -0.497 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)CO[C@H]2CCOC2)C1 ZINC000954125294 719570208 /nfs/dbraw/zinc/57/02/08/719570208.db2.gz JQKQXTUEGLKOJH-LBPRGKRZSA-N -1 1 335.360 -0.125 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CC[C@H]2C(N)=O)C1 ZINC000954128244 719571295 /nfs/dbraw/zinc/57/12/95/719571295.db2.gz YLWUPKZHZCVIBA-GHMZBOCLSA-N -1 1 332.360 -0.419 20 0 EBADMM C[C@H]1CN(C(=O)CN2CN=NC2=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966333691 724615647 /nfs/dbraw/zinc/61/56/47/724615647.db2.gz GMKIMLLSQJKDCC-VHSXEESVSA-N -1 1 346.347 -0.639 20 0 EBADMM CN(C(=O)[C@@H]1CCCNC1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954519096 719757720 /nfs/dbraw/zinc/75/77/20/719757720.db2.gz SLLYNXCUZLNVLJ-LLVKDONJSA-N -1 1 332.360 -0.404 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)CN1CCOCC1 ZINC000966341641 724618174 /nfs/dbraw/zinc/61/81/74/724618174.db2.gz ATAGJFQEXFFMOF-OLZOCXBDSA-N -1 1 348.403 -0.304 20 0 EBADMM CC[C@@H](OC)C(=O)N1CC(N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000954829885 719925158 /nfs/dbraw/zinc/92/51/58/719925158.db2.gz BSYWRBGLPXFHHJ-LLVKDONJSA-N -1 1 348.363 -0.875 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C1CN(C(=O)[C@H]2C[C@@H]2C)C1 ZINC000954829753 719925216 /nfs/dbraw/zinc/92/52/16/719925216.db2.gz ZQDGFSKJLNMCHV-CBAPKCEASA-N -1 1 336.348 -0.165 20 0 EBADMM CN(C(=O)[C@@H]1CC[C@H]1C(N)=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954957401 719985126 /nfs/dbraw/zinc/98/51/26/719985126.db2.gz KJPAKYVPCDKRTB-GHMZBOCLSA-N -1 1 332.360 -0.419 20 0 EBADMM CN(C(=O)c1cn(C)c(=O)cn1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954978430 719999843 /nfs/dbraw/zinc/99/98/43/719999843.db2.gz CMSCAAGWEKUZDR-UHFFFAOYSA-N -1 1 343.343 -0.523 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)Cn2c(=O)[n-][nH]c2=O)CCN1C(=O)c1ccn[nH]1 ZINC000955571579 720193332 /nfs/dbraw/zinc/19/33/32/720193332.db2.gz NMGZKKGTPKWXNA-BDAKNGLRSA-N -1 1 349.351 -0.778 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2nnn(C)n2)CCN1C(=O)c1ncccc1[O-] ZINC000955641345 720208068 /nfs/dbraw/zinc/20/80/68/720208068.db2.gz GCWBIGBGLMZDOQ-UWVGGRQHSA-N -1 1 345.363 -0.266 20 0 EBADMM CCC(=O)N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H](C)C1 ZINC000955744716 720243045 /nfs/dbraw/zinc/24/30/45/720243045.db2.gz DKEKFMLVWBMEJV-UWVGGRQHSA-N -1 1 332.364 -0.063 20 0 EBADMM O=C(NC[C@@H]1CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1)C1CCC1 ZINC000956107550 720363776 /nfs/dbraw/zinc/36/37/76/720363776.db2.gz CZRJVFAOEFMJHL-NSHDSACASA-N -1 1 344.375 -0.062 20 0 EBADMM O=C(NC[C@@H]1CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1)C1CC1 ZINC000956108390 720363926 /nfs/dbraw/zinc/36/39/26/720363926.db2.gz NYZBDCIDLILKEX-JTQLQIEISA-N -1 1 330.348 -0.452 20 0 EBADMM O=C(NC[C@@H]1CCCN1C(=O)[C@@H]1CCC(=O)N1)c1ncccc1[O-] ZINC000956126991 720369443 /nfs/dbraw/zinc/36/94/43/720369443.db2.gz KVFPOHDYDJTIPX-QWRGUYRKSA-N -1 1 332.360 -0.213 20 0 EBADMM NC(=O)C(=O)N1CCC[C@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000956189346 720395471 /nfs/dbraw/zinc/39/54/71/720395471.db2.gz ZAPXHXLISBXYEW-VIFPVBQESA-N -1 1 333.348 -0.734 20 0 EBADMM CC1(NC(=O)c2ncccc2[O-])CCN(C(=O)[C@@H]2CNC(=O)N2)CC1 ZINC000956343394 720414569 /nfs/dbraw/zinc/41/45/69/720414569.db2.gz IFWKACALGQWIFV-JTQLQIEISA-N -1 1 347.375 -0.421 20 0 EBADMM Cn1nnc(C(=O)N2CCC(C)(NC(=O)c3ncccc3[O-])CC2)n1 ZINC000956348014 720414915 /nfs/dbraw/zinc/41/49/15/720414915.db2.gz VETZUCAFGWDECW-UHFFFAOYSA-N -1 1 345.363 -0.265 20 0 EBADMM CC1(NC(=O)c2ncccc2[O-])CCN(C(=O)Cn2ncnn2)CC1 ZINC000956344144 720414940 /nfs/dbraw/zinc/41/49/40/720414940.db2.gz WYSIVVFNGBWIGF-UHFFFAOYSA-N -1 1 345.363 -0.415 20 0 EBADMM CC1(NC(=O)[C@H]2CNC(=O)N2)CCN(C(=O)c2ncccc2[O-])CC1 ZINC000956473762 720435674 /nfs/dbraw/zinc/43/56/74/720435674.db2.gz RSLUBYZKJNBQDJ-SNVBAGLBSA-N -1 1 347.375 -0.421 20 0 EBADMM C[C@@]1(NC(=O)C2CC2)CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000956613908 720467312 /nfs/dbraw/zinc/46/73/12/720467312.db2.gz KVIFQRWWOJUJBC-OAHLLOKOSA-N -1 1 330.348 -0.452 20 0 EBADMM C[C@]1(NC(=O)[C@H]2COCCO2)CCN(C(=O)c2ncccc2[O-])C1 ZINC000956717288 720477205 /nfs/dbraw/zinc/47/72/05/720477205.db2.gz IFHDYUOPVMDZAL-WBMJQRKESA-N -1 1 335.360 -0.077 20 0 EBADMM C[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H]1NC(=O)C1CC1 ZINC000966588481 724690944 /nfs/dbraw/zinc/69/09/44/724690944.db2.gz ALWDPNDWPNJWMB-PWSUYJOCSA-N -1 1 334.376 -0.700 20 0 EBADMM Cc1nn[nH]c1C(=O)N1C[C@@H](C)[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000966657662 724708645 /nfs/dbraw/zinc/70/86/45/724708645.db2.gz OGLUQQLCDSOHEP-MUWHJKNJSA-N -1 1 333.352 -0.259 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1cnc[nH]c1=O ZINC000966896588 724778744 /nfs/dbraw/zinc/77/87/44/724778744.db2.gz UGPSXDDAQUSBJM-ONGXEEELSA-N -1 1 347.379 -0.756 20 0 EBADMM CC(=O)N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1(C)C ZINC000966915162 724781133 /nfs/dbraw/zinc/78/11/33/724781133.db2.gz RHBXRKWPMNJKBZ-LLVKDONJSA-N -1 1 332.364 -0.206 20 0 EBADMM Cn1nncc1C(=O)N1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956848862 722119099 /nfs/dbraw/zinc/11/90/99/722119099.db2.gz GUBNZPDIPVWNEM-HNNXBMFYSA-N -1 1 330.348 -0.050 20 0 EBADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)CCn2cnnn2)C1 ZINC000956850422 722119845 /nfs/dbraw/zinc/11/98/45/722119845.db2.gz MAULBYJMCVENQY-OAHLLOKOSA-N -1 1 345.363 -0.415 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@]2(C)CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000956862032 722121006 /nfs/dbraw/zinc/12/10/06/722121006.db2.gz MLPZAAPZIYJWEY-QGZVFWFLSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1cnc(C(=O)N[C@@]2(C)CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC000956890464 722129464 /nfs/dbraw/zinc/12/94/64/722129464.db2.gz VEHIWJGYFHZZCP-HNNXBMFYSA-N -1 1 330.348 -0.050 20 0 EBADMM CCN(C(=O)c1c(C)n[nH]c1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000956966992 722140391 /nfs/dbraw/zinc/14/03/91/722140391.db2.gz VEHFXGUIGPZMTE-UHFFFAOYSA-N -1 1 333.396 -0.205 20 0 EBADMM CCN(C(=O)c1cccc(OC)n1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000957077115 722152407 /nfs/dbraw/zinc/15/24/07/722152407.db2.gz PXMGSNAMAUQEBL-UHFFFAOYSA-N -1 1 346.391 -0.142 20 0 EBADMM Cn1ncc(C(=O)N[C@@]2(C)CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC000957203234 722174592 /nfs/dbraw/zinc/17/45/92/722174592.db2.gz AEQGMLWAZRKZQF-HNNXBMFYSA-N -1 1 330.348 -0.050 20 0 EBADMM C[C@@]1(NC(=O)c2n[nH]c(=O)[n-]c2=O)CCN(C(=O)c2ccn[nH]2)C1 ZINC000957236112 722178310 /nfs/dbraw/zinc/17/83/10/722178310.db2.gz SPJDTEUNVFXACM-CYBMUJFWSA-N -1 1 333.308 -0.960 20 0 EBADMM Cc1nocc1CN1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000957314346 722192795 /nfs/dbraw/zinc/19/27/95/722192795.db2.gz APZPHWLNZVANFN-UHFFFAOYSA-N -1 1 343.347 -0.328 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)C3CC4(C3)CCOCC4)CC2)nc1=O ZINC000957319551 722195429 /nfs/dbraw/zinc/19/54/29/722195429.db2.gz RRRYVMBBHLSDRD-UHFFFAOYSA-N -1 1 349.435 -0.041 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCN(Cc2ccns2)CC1 ZINC000957319610 722195450 /nfs/dbraw/zinc/19/54/50/722195450.db2.gz VYTUFQIDLSQDNU-UHFFFAOYSA-N -1 1 345.388 -0.168 20 0 EBADMM CCN(C(=O)c1cnn2cc[nH]c12)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000957322739 722196405 /nfs/dbraw/zinc/19/64/05/722196405.db2.gz XAZRFXRJFTVOMP-UHFFFAOYSA-N -1 1 344.379 -0.569 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)[C@@H]3Cc4cccnc4C3)CC2)nc1=O ZINC000957464908 722214824 /nfs/dbraw/zinc/21/48/24/722214824.db2.gz TYDZQKHQHIDZGM-CYBMUJFWSA-N -1 1 342.403 -0.437 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3ccc4n[nH]nc4c3)CC2)nc1=O ZINC000957617972 722228457 /nfs/dbraw/zinc/22/84/57/722228457.db2.gz XFACMJOFKJTDPQ-UHFFFAOYSA-N -1 1 342.363 -0.662 20 0 EBADMM C[C@@H](CN1CCOCC1)C(=O)N1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC000957667477 722232152 /nfs/dbraw/zinc/23/21/52/722232152.db2.gz DATMUBTVNNAHOA-LBPRGKRZSA-N -1 1 338.412 -0.877 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](CNC(=O)c3cccc(F)c3)[C@H](O)C2)nc1=O ZINC000957825292 722245621 /nfs/dbraw/zinc/24/56/21/722245621.db2.gz BZWHSDCFSFYMEN-DGCLKSJQSA-N -1 1 349.366 -0.530 20 0 EBADMM Cc1cccc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c1 ZINC000957830082 722246131 /nfs/dbraw/zinc/24/61/31/722246131.db2.gz FUIBDIIKVIUOTI-KGLIPLIRSA-N -1 1 345.403 -0.360 20 0 EBADMM Cc1ccc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)o1 ZINC000957850410 722252186 /nfs/dbraw/zinc/25/21/86/722252186.db2.gz BTZSMJATJVVGGW-GHMZBOCLSA-N -1 1 335.364 -0.767 20 0 EBADMM Cc1ccoc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC000957855356 722252802 /nfs/dbraw/zinc/25/28/02/722252802.db2.gz LZZATUAZTRHDQW-WDEREUQCSA-N -1 1 335.364 -0.767 20 0 EBADMM Cc1cc(C)c(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)o1 ZINC000957946668 722274968 /nfs/dbraw/zinc/27/49/68/722274968.db2.gz AIOJIXUJDNIYTE-NEPJUHHUSA-N -1 1 349.391 -0.459 20 0 EBADMM Cn1nccc1C[N@@H+]1C[C@@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957992320 722284344 /nfs/dbraw/zinc/28/43/44/722284344.db2.gz WRCJCHUIAUUJEJ-SMDDNHRTSA-N -1 1 331.376 -0.257 20 0 EBADMM Cn1nccc1C[N@H+]1C[C@@H](CNC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000957992316 722284374 /nfs/dbraw/zinc/28/43/74/722284374.db2.gz WRCJCHUIAUUJEJ-BXUZGUMPSA-N -1 1 331.376 -0.257 20 0 EBADMM CCc1noc(CN2C[C@@H](O)[C@@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC000957994520 722284922 /nfs/dbraw/zinc/28/49/22/722284922.db2.gz DCECLZCGPHPYRB-CMPLNLGQSA-N -1 1 347.375 -0.045 20 0 EBADMM CCc1[nH]ccc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC000958047103 722296705 /nfs/dbraw/zinc/29/67/05/722296705.db2.gz PLHRRNWNIAOJLJ-GXFFZTMASA-N -1 1 348.407 -0.778 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)n1C ZINC000958225160 722330379 /nfs/dbraw/zinc/33/03/79/722330379.db2.gz FSYLSLKPLKILHL-YABSGUDNSA-N -1 1 330.392 -0.384 20 0 EBADMM Cc1coc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c1 ZINC000958323407 722346037 /nfs/dbraw/zinc/34/60/37/722346037.db2.gz BEDFMRREZAHOOR-MNOVXSKESA-N -1 1 335.364 -0.767 20 0 EBADMM Cc1cccnc1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000958486971 722376912 /nfs/dbraw/zinc/37/69/12/722376912.db2.gz LFOKSXWWRFHQLU-YABSGUDNSA-N -1 1 328.376 -0.328 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)Cc2cccnc2)nc1=O ZINC000958577851 722394076 /nfs/dbraw/zinc/39/40/76/722394076.db2.gz XEWLKAVOIHXLGT-JYAVWHMHSA-N -1 1 328.376 -0.708 20 0 EBADMM CN(C(=O)C[C@H]1CCCO1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958636681 722406930 /nfs/dbraw/zinc/40/69/30/722406930.db2.gz GZFZXDYOJCFDKL-DYEKYZERSA-N -1 1 349.387 0.000 20 0 EBADMM Cc1ccn(C)c1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000958659055 722411543 /nfs/dbraw/zinc/41/15/43/722411543.db2.gz CVHZPGGJTQPGQE-PJXYFTJBSA-N -1 1 330.392 -0.384 20 0 EBADMM CN(C(=O)[C@@H]1CCCOC1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958730916 722421518 /nfs/dbraw/zinc/42/15/18/722421518.db2.gz NNASXBTVKBUGGR-DYEKYZERSA-N -1 1 349.387 -0.143 20 0 EBADMM C[C@@H](NC(=O)c1nnn(C)n1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000959128910 722688842 /nfs/dbraw/zinc/68/88/42/722688842.db2.gz FSHQFUZPRKQGMG-NXEZZACHSA-N -1 1 345.363 -0.409 20 0 EBADMM C[C@@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)[C@H]1CCN(C(=O)C(N)=O)C1 ZINC000959268417 722707100 /nfs/dbraw/zinc/70/71/00/722707100.db2.gz QSUGRTIIFMGSQS-SCZZXKLOSA-N -1 1 347.375 -0.488 20 0 EBADMM Cn1nncc1C(=O)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001018962212 728756580 /nfs/dbraw/zinc/75/65/80/728756580.db2.gz KBMZXZJSBZFYDM-UHFFFAOYSA-N -1 1 342.359 -0.096 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@@H]1CNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC000959383935 722714641 /nfs/dbraw/zinc/71/46/41/722714641.db2.gz BSNNNJZDDFCFBK-SFYZADRCSA-N -1 1 347.335 -0.856 20 0 EBADMM C[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1CNC(=O)C1CC1 ZINC000959523419 722735162 /nfs/dbraw/zinc/73/51/62/722735162.db2.gz PDVPFFNFVQYNAJ-MWLCHTKSSA-N -1 1 344.375 -0.348 20 0 EBADMM C[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1CNC(=O)C1CC1 ZINC000959523421 722735246 /nfs/dbraw/zinc/73/52/46/722735246.db2.gz PDVPFFNFVQYNAJ-ONGXEEELSA-N -1 1 344.375 -0.348 20 0 EBADMM Cc1nn[nH]c1C(=O)N1C[C@@H](C)[C@@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000959540190 722737778 /nfs/dbraw/zinc/73/77/78/722737778.db2.gz XNYAJEQFUYNVIV-XCBNKYQSSA-N -1 1 347.379 -0.012 20 0 EBADMM C[C@@H]1CN(C(=O)c2nnn(C)n2)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000959551319 722739976 /nfs/dbraw/zinc/73/99/76/722739976.db2.gz NHGSTOFVJTWTKJ-NXEZZACHSA-N -1 1 345.363 -0.551 20 0 EBADMM O=C(CN1CCCC1=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959873317 722770160 /nfs/dbraw/zinc/77/01/60/722770160.db2.gz JLCCVTWOVBRWCQ-NSHDSACASA-N -1 1 336.396 -0.397 20 0 EBADMM C[C@H](C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)c1ccnn1C ZINC000959948709 722777333 /nfs/dbraw/zinc/77/73/33/722777333.db2.gz CVXJCRQVLBCWEF-KZWBYHQPSA-N -1 1 345.407 -0.808 20 0 EBADMM CCn1cc(CC(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)nn1 ZINC000960069957 722788341 /nfs/dbraw/zinc/78/83/41/722788341.db2.gz IJQHXAPDPYICLB-LBPRGKRZSA-N -1 1 348.411 -0.165 20 0 EBADMM NC(=O)c1c[nH]c(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)c1 ZINC000960175861 722803556 /nfs/dbraw/zinc/80/35/56/722803556.db2.gz DHNLNDGFFUGRIG-JTQLQIEISA-N -1 1 347.379 -0.278 20 0 EBADMM Cn1cc(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)n(C)c1=O ZINC000960228742 722808079 /nfs/dbraw/zinc/80/80/79/722808079.db2.gz SEMOZLHWYMPRKB-JTQLQIEISA-N -1 1 349.395 -0.668 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2cnc(C3CC3)o2)nc1=O ZINC000960328416 722819138 /nfs/dbraw/zinc/81/91/38/722819138.db2.gz MLRVLOFQKUXPOI-IWIIMEHWSA-N -1 1 344.375 -0.166 20 0 EBADMM Cc1ncncc1C(=O)N1CCC[C@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC000960463356 722831344 /nfs/dbraw/zinc/83/13/44/722831344.db2.gz DOJGQWMHVBFMHW-LBPRGKRZSA-N -1 1 345.407 -0.057 20 0 EBADMM Cc1cn(C)nc1C(=O)N1CCC[C@@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC000960631113 722846677 /nfs/dbraw/zinc/84/66/77/722846677.db2.gz ZZVDRVHIYZBRFM-GFCCVEGCSA-N -1 1 347.423 -0.113 20 0 EBADMM Cc1nc(C)c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2n[nH]c(=O)[n-]c2=O)o1 ZINC000960806642 722867074 /nfs/dbraw/zinc/86/70/74/722867074.db2.gz GINWAPSYNGQKRC-JZYVYDRUSA-N -1 1 346.347 -0.252 20 0 EBADMM Cc1cc(CN[C@H]2CCN(C(=O)CCc3n[nH]c(=O)[n-]c3=O)C2)on1 ZINC001019081953 728790930 /nfs/dbraw/zinc/79/09/30/728790930.db2.gz CRNLHDLGYJTWNI-JTQLQIEISA-N -1 1 348.363 -0.097 20 0 EBADMM C[C@@H](NCC1CC(NC(=O)c2n[nH]c(=O)[n-]c2=O)C1)c1ncccn1 ZINC000962037941 723155447 /nfs/dbraw/zinc/15/54/47/723155447.db2.gz DSUXWAJHFWLCOE-XNWIYYODSA-N -1 1 345.363 -0.068 20 0 EBADMM CCC(=O)N[C@@H]1CC12CCN(C(=O)c1cc(=O)n3[n-]cnc3n1)CC2 ZINC000962224017 723199034 /nfs/dbraw/zinc/19/90/34/723199034.db2.gz PENZRSYDAVHDFE-LLVKDONJSA-N -1 1 344.375 -0.062 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(Cc3ccon3)C[C@H]21)c1cc(=O)n2[n-]cnc2n1 ZINC000962289603 723217590 /nfs/dbraw/zinc/21/75/90/723217590.db2.gz RLLMZTSORCJXAY-IWIIMEHWSA-N -1 1 341.331 -0.734 20 0 EBADMM CC(=O)N[C@H]1CC12CCN(C(=O)c1cc(=O)n3[n-]cnc3n1)CC2 ZINC000962296016 723219977 /nfs/dbraw/zinc/21/99/77/723219977.db2.gz AETZKJWKWZECBP-NSHDSACASA-N -1 1 330.348 -0.452 20 0 EBADMM CCc1cc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)no1 ZINC000962343235 723231105 /nfs/dbraw/zinc/23/11/05/723231105.db2.gz OAUQTDSLAAWKNL-IWIIMEHWSA-N -1 1 332.364 -0.481 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)[C@H]2CCCOCC2)C[C@@H]1O)c1ncccc1[O-] ZINC000962434282 723251527 /nfs/dbraw/zinc/25/15/27/723251527.db2.gz GJGGQDONQZAEFK-SCRDCRAPSA-N -1 1 349.387 -0.095 20 0 EBADMM CCc1cc(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)[nH]n1 ZINC000962434982 723251744 /nfs/dbraw/zinc/25/17/44/723251744.db2.gz NBLRNEFDWVGVMA-YPMHNXCESA-N -1 1 345.359 -0.312 20 0 EBADMM CCc1cc(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n[nH]1 ZINC000962434982 723251750 /nfs/dbraw/zinc/25/17/50/723251750.db2.gz NBLRNEFDWVGVMA-YPMHNXCESA-N -1 1 345.359 -0.312 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)[C@H]2CCCCO2)C[C@@H]1O)c1ncccc1[O-] ZINC000962435726 723252545 /nfs/dbraw/zinc/25/25/45/723252545.db2.gz SNVOGECNMVZQJC-KGYLQXTDSA-N -1 1 335.360 -0.342 20 0 EBADMM Cc1ocnc1C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962435774 723252601 /nfs/dbraw/zinc/25/26/01/723252601.db2.gz UGICDMZXUTWEJP-KOLCDFICSA-N -1 1 332.316 -0.301 20 0 EBADMM Cc1nocc1C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962437153 723252997 /nfs/dbraw/zinc/25/29/97/723252997.db2.gz OJVHRJOEZNAXJB-PWSUYJOCSA-N -1 1 332.316 -0.301 20 0 EBADMM COc1nc(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)co1 ZINC000962440279 723254091 /nfs/dbraw/zinc/25/40/91/723254091.db2.gz ILKBOSLWCALXLW-KCJUWKMLSA-N -1 1 348.315 -0.601 20 0 EBADMM CCC(=O)N1CCC[C@H]2[C@H]1CCN2C(=O)CCn1cc[n-]c(=O)c1=O ZINC000962519643 723274564 /nfs/dbraw/zinc/27/45/64/723274564.db2.gz QKTTYJFCFTZUTP-QWHCGFSZSA-N -1 1 348.403 -0.071 20 0 EBADMM Cc1noc(C)c1CC(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000962531852 723277642 /nfs/dbraw/zinc/27/76/42/723277642.db2.gz DJZIDKWDTDRYPP-JYAVWHMHSA-N -1 1 346.391 -0.498 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)NC1CC(CNCc2nncs2)C1 ZINC000962603913 723293938 /nfs/dbraw/zinc/29/39/38/723293938.db2.gz VVBWUMNXNWLDKB-UHFFFAOYSA-N -1 1 339.381 -0.775 20 0 EBADMM CCc1ncc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)s1 ZINC000962964395 723357887 /nfs/dbraw/zinc/35/78/87/723357887.db2.gz QTOSJJMMJAXFDO-WOFXILAISA-N -1 1 348.432 -0.013 20 0 EBADMM Cn1nccc1[C@@H]1C[C@H]1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019179041 728818443 /nfs/dbraw/zinc/81/84/43/728818443.db2.gz MXAOFHFVFBTKOH-HBNTYKKESA-N -1 1 331.380 -0.262 20 0 EBADMM CC(=O)N1CC[C@@H]2[C@H]1CCCN2C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000963395542 723417166 /nfs/dbraw/zinc/41/71/66/723417166.db2.gz IJYVZSOIDLYQNJ-VXGBXAGGSA-N -1 1 330.348 -0.357 20 0 EBADMM Cc1nccnc1CN[C@H]1CCN(C(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001019227714 728830818 /nfs/dbraw/zinc/83/08/18/728830818.db2.gz PBEUVUCKEBSUBZ-NSHDSACASA-N -1 1 344.375 -0.411 20 0 EBADMM Cn1cc(C(=O)NC[C@@H]2COCCN2C(=O)c2ncccc2[O-])cn1 ZINC000964073336 723784441 /nfs/dbraw/zinc/78/44/41/723784441.db2.gz UFOXWNPCHYHQMP-GFCCVEGCSA-N -1 1 345.359 -0.208 20 0 EBADMM O=C(CCc1ccc(=O)[nH]c1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019285614 728848357 /nfs/dbraw/zinc/84/83/57/728848357.db2.gz RIILZNHEZOHMLZ-NSHDSACASA-N -1 1 332.364 -0.066 20 0 EBADMM Cn1cnc(C(=O)N2CCOC[C@H]2CNC(=O)c2ncccc2[O-])c1 ZINC000964154132 723840487 /nfs/dbraw/zinc/84/04/87/723840487.db2.gz JNUYGNQIOKAUJP-LLVKDONJSA-N -1 1 345.359 -0.208 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)[C@]12C[C@H]1COC2)c1ncccc1[O-] ZINC000964154911 723841184 /nfs/dbraw/zinc/84/11/84/723841184.db2.gz NNLLCWGUUIOYGC-JKDFXYPNSA-N -1 1 347.371 -0.219 20 0 EBADMM Cn1cncc1C(=O)N1CCOC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000964155868 723841693 /nfs/dbraw/zinc/84/16/93/723841693.db2.gz SHNBZIGELCRDPH-LLVKDONJSA-N -1 1 345.359 -0.208 20 0 EBADMM Cn1nncc1C(=O)NC[C@H]1COCCN1C(=O)c1ncccc1[O-] ZINC000964175208 723857974 /nfs/dbraw/zinc/85/79/74/723857974.db2.gz BTKREZRYIAJJOC-JTQLQIEISA-N -1 1 346.347 -0.813 20 0 EBADMM Cn1nccc1CCC(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051644969 735285597 /nfs/dbraw/zinc/28/55/97/735285597.db2.gz YYPFRWYIIRCOPQ-GFCCVEGCSA-N -1 1 349.395 -0.806 20 0 EBADMM Cc1nonc1C(=O)NC[C@@H]1COCCN1C(=O)c1ncccc1[O-] ZINC000964238418 723903382 /nfs/dbraw/zinc/90/33/82/723903382.db2.gz QWTLWKYDSKKRGT-SNVBAGLBSA-N -1 1 347.331 -0.250 20 0 EBADMM Cc1c(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)cnn1C ZINC000965145837 724135819 /nfs/dbraw/zinc/13/58/19/724135819.db2.gz AYCUAIPYIXOWST-MFKMUULPSA-N -1 1 347.423 -0.209 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)[nH]n1 ZINC000965374049 724206789 /nfs/dbraw/zinc/20/67/89/724206789.db2.gz RLNNAGRHKKALDT-BXKDBHETSA-N -1 1 333.396 -0.220 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)n(C)n1 ZINC000965421857 724231810 /nfs/dbraw/zinc/23/18/10/724231810.db2.gz YWRMISMCGGPFQP-CMPLNLGQSA-N -1 1 347.423 -0.209 20 0 EBADMM CC(=O)N(C)[C@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000967427636 724875243 /nfs/dbraw/zinc/87/52/43/724875243.db2.gz IAYQVQSESUGWFV-NSHDSACASA-N -1 1 332.364 -0.109 20 0 EBADMM CC(=O)N(C)[C@@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000967426807 724875253 /nfs/dbraw/zinc/87/52/53/724875253.db2.gz CFIBEDJKPZWDCA-CYBMUJFWSA-N -1 1 336.392 -0.214 20 0 EBADMM CCN(C(=O)[C@@H]1COCCO1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000967811705 724910749 /nfs/dbraw/zinc/91/07/49/724910749.db2.gz WNTBHGVXDHTNPO-ZDUSSCGKSA-N -1 1 335.360 -0.125 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)CN2CCOCC2)C1 ZINC000967898351 724927423 /nfs/dbraw/zinc/92/74/23/724927423.db2.gz FTWPYNKFDGLQKJ-UHFFFAOYSA-N -1 1 348.403 -0.208 20 0 EBADMM CCN(C(=O)c1n[nH]c(=O)[n-]c1=O)C1CN(C(=O)c2cnc(C)[nH]2)C1 ZINC000968172162 724973016 /nfs/dbraw/zinc/97/30/16/724973016.db2.gz UAJYCSAWBZREJY-UHFFFAOYSA-N -1 1 347.335 -0.699 20 0 EBADMM Cc1ccnn1CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1C ZINC000968369561 725056101 /nfs/dbraw/zinc/05/61/01/725056101.db2.gz MPBVBWWBKPRGGA-WCQYABFASA-N -1 1 347.423 -0.360 20 0 EBADMM C[C@H]1CCN(CCCO)C[C@H]1NC(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC000968431966 725063009 /nfs/dbraw/zinc/06/30/09/725063009.db2.gz SQASXOYWRSDFLC-WCQYABFASA-N -1 1 348.407 -0.720 20 0 EBADMM Cc1nonc1CC(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1C ZINC000968493761 725073604 /nfs/dbraw/zinc/07/36/04/725073604.db2.gz XCJJNASRTOQEGN-SKDRFNHKSA-N -1 1 349.395 -0.631 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1ccn(C)n1 ZINC000968646660 725095357 /nfs/dbraw/zinc/09/53/57/725095357.db2.gz FWOAPZMPCAUPJC-PWSUYJOCSA-N -1 1 333.396 -0.518 20 0 EBADMM Cc1nccc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)n1 ZINC000968664304 725098290 /nfs/dbraw/zinc/09/82/90/725098290.db2.gz FJGUZJGXZUXPRJ-GWCFXTLKSA-N -1 1 345.407 -0.153 20 0 EBADMM Cn1cncc1CC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000969073430 725147817 /nfs/dbraw/zinc/14/78/17/725147817.db2.gz LHZIXSNULJZYIJ-YPMHNXCESA-N -1 1 345.359 -0.935 20 0 EBADMM Cc1nc[nH]c(=O)c1CCC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969187304 725159681 /nfs/dbraw/zinc/15/96/81/725159681.db2.gz KVKWPEYDAFJVGP-SNVBAGLBSA-N -1 1 347.379 -0.362 20 0 EBADMM COc1ncccc1CN[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000969211568 725162042 /nfs/dbraw/zinc/16/20/42/725162042.db2.gz GQWOLNFDYZFTRK-SNVBAGLBSA-N -1 1 346.347 -0.309 20 0 EBADMM O=C(Cc1ncn[nH]1)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc[nH]c1=O ZINC000969254459 725166354 /nfs/dbraw/zinc/16/63/54/725166354.db2.gz RESKSVRDLUMPAR-AOOOYVTPSA-N -1 1 343.347 -0.642 20 0 EBADMM COCCn1ccc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000969293050 725168655 /nfs/dbraw/zinc/16/86/55/725168655.db2.gz VCNFWAXGDXNKCP-SNVBAGLBSA-N -1 1 335.368 -0.643 20 0 EBADMM COc1cc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)on1 ZINC000969521714 725188011 /nfs/dbraw/zinc/18/80/11/725188011.db2.gz KROHKPYNLVMTDR-QMMMGPOBSA-N -1 1 336.352 -0.645 20 0 EBADMM Cn1cc(C(C)(C)C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001019586659 728931846 /nfs/dbraw/zinc/93/18/46/728931846.db2.gz XIVBFQLMYJVDSM-NSHDSACASA-N -1 1 333.396 -0.088 20 0 EBADMM Cc1cc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cnn1 ZINC000969568439 725193470 /nfs/dbraw/zinc/19/34/70/725193470.db2.gz INZHXSZLKAWVKW-SNVBAGLBSA-N -1 1 331.380 -0.543 20 0 EBADMM CCOc1cc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC000969939245 725230243 /nfs/dbraw/zinc/23/02/43/725230243.db2.gz IGSVWIYBZMUAAP-SECBINFHSA-N -1 1 349.395 -0.520 20 0 EBADMM Cn1cc(CC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c(Cl)n1 ZINC000969946724 725230967 /nfs/dbraw/zinc/23/09/67/725230967.db2.gz TXNCSHYQCHTEAS-SECBINFHSA-N -1 1 339.787 -0.170 20 0 EBADMM O=C(Cn1nnc2c1CCCC2)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969988287 725235369 /nfs/dbraw/zinc/23/53/69/725235369.db2.gz CHNUVWKTWBINJB-SNVBAGLBSA-N -1 1 346.395 -0.629 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1cccnn1 ZINC000970041658 725242663 /nfs/dbraw/zinc/24/26/63/725242663.db2.gz ZJYNFKLXDALQDL-PWSUYJOCSA-N -1 1 329.316 -0.808 20 0 EBADMM O=C(Cc1nnc[nH]1)N1[C@@H]2CC[C@H]1CN(C(=O)c1ncccc1[O-])C2 ZINC000970067540 725246853 /nfs/dbraw/zinc/24/68/53/725246853.db2.gz MZSULTDIAMGKPU-PHIMTYICSA-N -1 1 342.359 -0.037 20 0 EBADMM COc1cnc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)nc1 ZINC000970117765 725252423 /nfs/dbraw/zinc/25/24/23/725252423.db2.gz QTWISOLWWINEME-VIFPVBQESA-N -1 1 347.379 -0.843 20 0 EBADMM C[C@@H](NC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970176311 725259074 /nfs/dbraw/zinc/25/90/74/725259074.db2.gz FGXFFKBOOYQOAX-REJLFOLJSA-N -1 1 349.435 -0.140 20 0 EBADMM C[C@H](NC(=O)c1coc(C(N)=O)c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970210007 725263320 /nfs/dbraw/zinc/26/33/20/725263320.db2.gz KPCGGDTYCOGXAO-QMMMGPOBSA-N -1 1 348.363 -0.949 20 0 EBADMM Cc1cnn(C)c1C(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970298229 725275592 /nfs/dbraw/zinc/27/55/92/725275592.db2.gz QLQQVNVLIZYYSD-SNVBAGLBSA-N -1 1 333.396 -0.599 20 0 EBADMM Cc1ncc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n1C ZINC000970330060 725278686 /nfs/dbraw/zinc/27/86/86/725278686.db2.gz YXHAWCDZGGJFCJ-VIFPVBQESA-N -1 1 333.396 -0.599 20 0 EBADMM C[C@@H](Cc1cnn(C)c1)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970365112 725284456 /nfs/dbraw/zinc/28/44/56/725284456.db2.gz BOBOIQSNOLGGFT-CMPLNLGQSA-N -1 1 333.396 -0.187 20 0 EBADMM CCc1nocc1C(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970387339 725285844 /nfs/dbraw/zinc/28/58/44/725285844.db2.gz FPOLTIXWYGWEKH-VIFPVBQESA-N -1 1 334.380 -0.091 20 0 EBADMM COc1ccc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)o1 ZINC000970643592 725310224 /nfs/dbraw/zinc/31/02/24/725310224.db2.gz STSNTFPUPIQLRC-SECBINFHSA-N -1 1 335.364 -0.040 20 0 EBADMM Cn1nc(C2CC2)nc1CC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970758827 725325187 /nfs/dbraw/zinc/32/51/87/725325187.db2.gz FSIKIODHQAIXAK-SNVBAGLBSA-N -1 1 346.395 -0.551 20 0 EBADMM Cc1nnc2n1C[C@H](C(=O)N1CC[C@@H](NCc3n[nH]c(=O)[n-]3)C1)CC2 ZINC000970781062 725327112 /nfs/dbraw/zinc/32/71/12/725327112.db2.gz XREFUNXWFSSSET-GHMZBOCLSA-N -1 1 346.395 -0.637 20 0 EBADMM O=C([C@@H]1CCc2nncn2C1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970782419 725327501 /nfs/dbraw/zinc/32/75/01/725327501.db2.gz GWIPTKMZDNZWEM-NXEZZACHSA-N -1 1 332.368 -0.945 20 0 EBADMM COc1c(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC000970810397 725331039 /nfs/dbraw/zinc/33/10/39/725331039.db2.gz NQTNRBFDKTZOCN-VIFPVBQESA-N -1 1 349.395 -0.899 20 0 EBADMM C[C@H](NC(=O)[C@H]1CC12CCOCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970996838 725347441 /nfs/dbraw/zinc/34/74/41/725347441.db2.gz OMEDEOJKXSGRSE-WCQYABFASA-N -1 1 349.435 -0.138 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H]2CN(C(=O)C3CC3)[C@@H]2C1 ZINC000971004705 725347751 /nfs/dbraw/zinc/34/77/51/725347751.db2.gz IWEIFNHSJDRKGG-NXEZZACHSA-N -1 1 348.359 -0.021 20 0 EBADMM Cc1c(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC000971081639 725350677 /nfs/dbraw/zinc/35/06/77/725350677.db2.gz WJXGSBLYXXMPMM-NSHDSACASA-N -1 1 333.396 -0.503 20 0 EBADMM CCn1cc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC000971227718 725354970 /nfs/dbraw/zinc/35/49/70/725354970.db2.gz WEMSPZWJOQBOFD-LBPRGKRZSA-N -1 1 333.396 -0.329 20 0 EBADMM Cc1ccnc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000971437066 725365952 /nfs/dbraw/zinc/36/59/52/725365952.db2.gz YUXGSXZONTVKJI-LLVKDONJSA-N -1 1 331.380 -0.447 20 0 EBADMM COc1cc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)ncn1 ZINC000971543539 725419478 /nfs/dbraw/zinc/41/94/78/725419478.db2.gz ROTSJVZYQBINJT-JTQLQIEISA-N -1 1 347.379 -0.747 20 0 EBADMM CCc1nn(C)cc1C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000971742857 725434674 /nfs/dbraw/zinc/43/46/74/725434674.db2.gz MXJGNGHVYAJGHP-NSHDSACASA-N -1 1 347.423 -0.249 20 0 EBADMM Cc1nc(CN(C)[C@H]2CCN(C(=O)c3cnc([O-])n(C)c3=O)C2)n[nH]1 ZINC000972064797 725460688 /nfs/dbraw/zinc/46/06/88/725460688.db2.gz UORDWSVOLBLIEL-JTQLQIEISA-N -1 1 347.379 -0.741 20 0 EBADMM Cn1[n-]c(CN2CCO[C@]3(CCN(C(=O)C(F)F)C3)C2)nc1=O ZINC000972203331 725478319 /nfs/dbraw/zinc/47/83/19/725478319.db2.gz DKUFEAFXHVVOJK-CYBMUJFWSA-N -1 1 331.323 -0.823 20 0 EBADMM CC[C@@H](C)C(=O)N1CC[C@]2(C1)CN(Cc1nc(=O)n(C)[n-]1)CCO2 ZINC000972269701 725487862 /nfs/dbraw/zinc/48/78/62/725487862.db2.gz QMEPPKMAXJBWEW-MLGOLLRUSA-N -1 1 337.424 -0.042 20 0 EBADMM C/C=C(/C)C(=O)N1CC[C@@]2(C1)CN(Cc1nc(=O)n(C)[n-]1)CCO2 ZINC000972329392 725500034 /nfs/dbraw/zinc/50/00/34/725500034.db2.gz VYWGQQXOXRVQFM-COTSEEIQSA-N -1 1 335.408 -0.122 20 0 EBADMM O=C(NC1CN(C(=O)[C@H]2CCC(=O)N2)C1)c1cnc(C2CC2)[n-]c1=O ZINC000991654266 725515930 /nfs/dbraw/zinc/51/59/30/725515930.db2.gz PWUDCAKEGBMCID-LLVKDONJSA-N -1 1 345.359 -0.721 20 0 EBADMM CCCCN1CCO[C@@]2(CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)C1 ZINC000972450348 725526011 /nfs/dbraw/zinc/52/60/11/725526011.db2.gz SMFBLDHPGSBZGE-HNNXBMFYSA-N -1 1 337.380 0.000 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)CCc2cn[nH]c2)C1 ZINC000972511330 725539138 /nfs/dbraw/zinc/53/91/38/725539138.db2.gz VLPYCVVHVOAJFF-GFCCVEGCSA-N -1 1 333.396 -0.503 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)C2(C)CCOCC2)C1 ZINC000972557309 725548985 /nfs/dbraw/zinc/54/89/85/725548985.db2.gz FDDYIYRWYVLUFA-GFCCVEGCSA-N -1 1 337.424 -0.042 20 0 EBADMM CN(Cc1cnon1)[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000972555508 725549103 /nfs/dbraw/zinc/54/91/03/725549103.db2.gz PBGBQYAZFBIEOX-LBPRGKRZSA-N -1 1 348.363 -0.957 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000972559401 725549594 /nfs/dbraw/zinc/54/95/94/725549594.db2.gz BHYAWOCQSQZNBF-LLVKDONJSA-N -1 1 333.396 -0.205 20 0 EBADMM CC(C)n1cc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC000972631477 725565957 /nfs/dbraw/zinc/56/59/57/725565957.db2.gz IQQIWIJGCFVCDS-LLVKDONJSA-N -1 1 348.411 -0.373 20 0 EBADMM O=C(Cc1ncc[nH]1)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC000991717062 725569797 /nfs/dbraw/zinc/56/97/97/725569797.db2.gz GCWIQZSYQKQMKI-CMPLNLGQSA-N -1 1 345.359 -0.555 20 0 EBADMM C[C@@H](C(N)=O)N(C)[C@H]1CCN(C(=O)c2ccc3oc(=O)nc-3[n-]2)C1 ZINC000972720581 725588023 /nfs/dbraw/zinc/58/80/23/725588023.db2.gz LHDFSWVZVHUETI-IUCAKERBSA-N -1 1 333.348 -0.052 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)nn1C ZINC000972844150 725597323 /nfs/dbraw/zinc/59/73/23/725597323.db2.gz LQKYEYMNJQIYMO-GFCCVEGCSA-N -1 1 347.423 -0.209 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@H](NC(=O)[C@H]2C[C@H]2C)C1 ZINC000972921952 725602894 /nfs/dbraw/zinc/60/28/94/725602894.db2.gz LVELCVAWDCFPLS-BGZDPUMWSA-N -1 1 336.348 -0.071 20 0 EBADMM Cc1cc(CC(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)no1 ZINC000972972697 725613759 /nfs/dbraw/zinc/61/37/59/725613759.db2.gz JBFCBYLWUAIVNI-LBPRGKRZSA-N -1 1 348.407 -0.026 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)c3cccc(=O)[nH]3)C(C)(C)C2)nc1=O ZINC000973005616 725618155 /nfs/dbraw/zinc/61/81/55/725618155.db2.gz KNCGJRALOKAJMT-NSHDSACASA-N -1 1 346.391 -0.151 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)ncn1 ZINC000973053380 725625130 /nfs/dbraw/zinc/62/51/30/725625130.db2.gz YUOOGSSZDIASSL-GFCCVEGCSA-N -1 1 345.407 -0.153 20 0 EBADMM O=C(N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)[C@@H]1CCNC(=O)C1 ZINC000973156466 725653263 /nfs/dbraw/zinc/65/32/63/725653263.db2.gz NGWMAHMAPFKQNU-GMTAPVOTSA-N -1 1 332.360 -0.310 20 0 EBADMM CN1C[C@H](C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)NC1=O ZINC000973197300 725667626 /nfs/dbraw/zinc/66/76/26/725667626.db2.gz BMQUWMBYBLGLKZ-OPRDCNLKSA-N -1 1 333.348 -0.812 20 0 EBADMM Cn1cc(C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)n(C)c1=O ZINC000973200180 725668192 /nfs/dbraw/zinc/66/81/92/725668192.db2.gz ZLYJUDRKZFGMEZ-MGCOHNPYSA-N -1 1 345.359 -0.485 20 0 EBADMM O=C(NC1CC(CNC(=O)[C@@H]2COCCO2)C1)c1ncccc1[O-] ZINC000991934424 725679324 /nfs/dbraw/zinc/67/93/24/725679324.db2.gz RTLWBCBMBVSXPK-XIVSLSHWSA-N -1 1 335.360 -0.173 20 0 EBADMM C[C@]1(C(=O)NC2CC(CNC(=O)c3ncccc3[O-])C2)CCNC1=O ZINC000992055843 725711009 /nfs/dbraw/zinc/71/10/09/725711009.db2.gz MWXUSIKRZDJZSL-IGCAFJPESA-N -1 1 346.387 -0.062 20 0 EBADMM COC1CC(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001051673633 735312859 /nfs/dbraw/zinc/31/28/59/735312859.db2.gz VVQYHGXCWMYTNY-ILDUYXDCSA-N -1 1 325.369 -0.748 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)c4ccnnc4)CCC[C@@H]23)nc1=O ZINC000992262490 725762573 /nfs/dbraw/zinc/76/25/73/725762573.db2.gz RUAHOAZWSDDQGX-MLGOLLRUSA-N -1 1 343.391 -0.175 20 0 EBADMM CC(=O)N1CC[C@@H]2[C@@H](CCCN2C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000973747108 725797251 /nfs/dbraw/zinc/79/72/51/725797251.db2.gz IXVQGICUZALENT-UONOGXRCSA-N -1 1 348.403 -0.214 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@@H](NC(=O)c2ccn[nH]2)C1 ZINC000973895260 725817146 /nfs/dbraw/zinc/81/71/46/725817146.db2.gz JDYNVFIYPAPKGU-KNVOCYPGSA-N -1 1 348.319 -0.690 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)C2=COCCO2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993002508 725849769 /nfs/dbraw/zinc/84/97/69/725849769.db2.gz AEKBTNHCWKRTCV-MNOVXSKESA-N -1 1 337.380 -0.534 20 0 EBADMM Cc1cnc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)cn1 ZINC000993024836 725851582 /nfs/dbraw/zinc/85/15/82/725851582.db2.gz WIHBYYPERMMBOC-NEPJUHHUSA-N -1 1 345.407 -0.010 20 0 EBADMM CCC(=O)N1C[C@@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@]2(C)C1 ZINC000993131530 725857048 /nfs/dbraw/zinc/85/70/48/725857048.db2.gz OGQLELHBYQRYGW-PXAZEXFGSA-N -1 1 348.403 -0.356 20 0 EBADMM Cn1nc(C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)ccc1=O ZINC000974059064 725860156 /nfs/dbraw/zinc/86/01/56/725860156.db2.gz XXFLYVPICQDKDF-AOOOYVTPSA-N -1 1 343.343 -0.428 20 0 EBADMM CCn1ncc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)n1 ZINC000993287344 725869351 /nfs/dbraw/zinc/86/93/51/725869351.db2.gz XKOWCMLJYOLFMH-WDEREUQCSA-N -1 1 348.411 -0.497 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncc[nH]2)C1 ZINC000974109102 725875620 /nfs/dbraw/zinc/87/56/20/725875620.db2.gz QXBBSWDLNRSRKS-KNVOCYPGSA-N -1 1 348.319 -0.690 20 0 EBADMM Cc1nn[nH]c1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1C ZINC000993664175 725925337 /nfs/dbraw/zinc/92/53/37/725925337.db2.gz DKAOSUNDLLTEQH-UWVGGRQHSA-N -1 1 334.384 -0.682 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000974324558 725945404 /nfs/dbraw/zinc/94/54/04/725945404.db2.gz JTZIIULMPMZASM-CHWFTXMASA-N -1 1 344.375 -0.159 20 0 EBADMM Cc1c[nH]c(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)n1 ZINC000993962478 725967686 /nfs/dbraw/zinc/96/76/86/725967686.db2.gz LVOGJULQOZXHGA-MNOVXSKESA-N -1 1 333.396 -0.077 20 0 EBADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)c1cc2n(n1)CCO2 ZINC000994208308 725987678 /nfs/dbraw/zinc/98/76/78/725987678.db2.gz DRZRHPBXUZJBRF-UHFFFAOYSA-N -1 1 329.316 -0.370 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2ccn(C)n2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000994431092 726001985 /nfs/dbraw/zinc/00/19/85/726001985.db2.gz OENXHUBEUBRYIG-GHMZBOCLSA-N -1 1 333.396 -0.375 20 0 EBADMM Cc1nc[nH]c1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1C ZINC000994553527 726031637 /nfs/dbraw/zinc/03/16/37/726031637.db2.gz ZWMAARAGRXPWCA-QWRGUYRKSA-N -1 1 333.396 -0.077 20 0 EBADMM Cc1nc[nH]c1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC000994553523 726031654 /nfs/dbraw/zinc/03/16/54/726031654.db2.gz ZWMAARAGRXPWCA-GHMZBOCLSA-N -1 1 333.396 -0.077 20 0 EBADMM CCCC(=O)N1CC[C@@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)[C@@H]2C1 ZINC000974546744 726037139 /nfs/dbraw/zinc/03/71/39/726037139.db2.gz SFKWVMOZTGUBDL-CHWSQXEVSA-N -1 1 348.403 -0.214 20 0 EBADMM COCc1nn(C)cc1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000994591652 726037808 /nfs/dbraw/zinc/03/78/08/726037808.db2.gz JEXTYUGUPIXDBI-UHFFFAOYSA-N -1 1 345.359 -0.079 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)c3cnc[nH]c3=O)C(C)(C)C2)nc1=O ZINC000974716348 726056599 /nfs/dbraw/zinc/05/65/99/726056599.db2.gz KHKHJWOYEVYCGV-JTQLQIEISA-N -1 1 347.379 -0.756 20 0 EBADMM CC1(C)CN(CCn2cncn2)C[C@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC000974764058 726062867 /nfs/dbraw/zinc/06/28/67/726062867.db2.gz AVHPPPUEENLIIR-SECBINFHSA-N -1 1 348.367 -0.985 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)Cc3c[nH]cn3)C(C)(C)C2)nc1=O ZINC000975098890 726099762 /nfs/dbraw/zinc/09/97/62/726099762.db2.gz SMERWVIGQSYZTE-LLVKDONJSA-N -1 1 333.396 -0.599 20 0 EBADMM Cn1cnnc1CNC[C@@H]1CC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000995009012 726142488 /nfs/dbraw/zinc/14/24/88/726142488.db2.gz VAMWYRKAXGJRSS-BDAKNGLRSA-N -1 1 348.367 -0.900 20 0 EBADMM Cn1nnc(C(=O)NC[C@H]2CCCN(C(=O)c3ncccc3[O-])C2)n1 ZINC000975459344 726158066 /nfs/dbraw/zinc/15/80/66/726158066.db2.gz NTDVVDIKHPODER-SNVBAGLBSA-N -1 1 345.363 -0.407 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(F)F ZINC000976028533 726194702 /nfs/dbraw/zinc/19/47/02/726194702.db2.gz ZREYYDNHBNAMJO-FMCRUOTFSA-N -1 1 344.274 -0.652 20 0 EBADMM CC(=O)N1CCC[C@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000995423577 726217419 /nfs/dbraw/zinc/21/74/19/726217419.db2.gz CRQYWGVJDZTZEW-LBPRGKRZSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)[C@@H]1COCCO1 ZINC000976216480 726228674 /nfs/dbraw/zinc/22/86/74/726228674.db2.gz NDFTTXWRMHHNQK-JULQROHOSA-N -1 1 333.344 -0.611 20 0 EBADMM O=C(Cn1cnnn1)N[C@@H]1CCCC[C@H]1NC(=O)c1ncccc1[O-] ZINC000976227469 726232351 /nfs/dbraw/zinc/23/23/51/726232351.db2.gz HFPQNABTNPGBSL-GHMZBOCLSA-N -1 1 345.363 -0.369 20 0 EBADMM CC(C)C(=O)N[C@H]1CC(=O)N(C2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000995468739 726234101 /nfs/dbraw/zinc/23/41/01/726234101.db2.gz KXGMSJBYGPBFRE-NSHDSACASA-N -1 1 346.387 -0.015 20 0 EBADMM Cc1cc(C(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2[nH]nc(C)c2[O-])n[nH]1 ZINC000976360820 726276374 /nfs/dbraw/zinc/27/63/74/726276374.db2.gz GBBSQVGKUQZALZ-JZYVYDRUSA-N -1 1 330.348 -0.044 20 0 EBADMM CN1CC[C@H](C(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ncccc2[O-])C1=O ZINC000976395260 726291114 /nfs/dbraw/zinc/29/11/14/726291114.db2.gz VBIVMDARNLTNCY-MEWQQHAOSA-N -1 1 344.371 -0.548 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)[C@H]3CCC(=O)NC3)C[C@H]21)c1ncccc1[O-] ZINC000976395293 726291309 /nfs/dbraw/zinc/29/13/09/726291309.db2.gz VMQYZYYHZRHGPI-KZWBYHQPSA-N -1 1 344.371 -0.500 20 0 EBADMM Cn1nncc1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976397622 726292231 /nfs/dbraw/zinc/29/22/31/726292231.db2.gz JGVFGRMZRNWZOH-GDGBQDQQSA-N -1 1 328.332 -0.584 20 0 EBADMM CC(=O)N1CC(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)C1 ZINC000995731720 726295182 /nfs/dbraw/zinc/29/51/82/726295182.db2.gz WUFYCIWEPGHKLK-NSHDSACASA-N -1 1 336.396 -0.685 20 0 EBADMM CC1(C)CN(C(=O)C[C@@H]2CCNC2=O)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995887284 726309965 /nfs/dbraw/zinc/30/99/65/726309965.db2.gz NUUNEHOGMLWSAZ-VHSXEESVSA-N -1 1 336.396 -0.637 20 0 EBADMM CC1(C)CN(C(=O)c2cnc[nH]c2=O)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995943417 726316577 /nfs/dbraw/zinc/31/65/77/726316577.db2.gz UYLFCEOCUAFNDP-VIFPVBQESA-N -1 1 333.352 -0.354 20 0 EBADMM CC1(C)CN(C(=O)c2ccc(C(N)=O)o2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996030189 726324443 /nfs/dbraw/zinc/32/44/43/726324443.db2.gz ZCUVHIJBAQNNOW-JTQLQIEISA-N -1 1 348.363 -0.157 20 0 EBADMM O=C(Cn1cnnn1)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncccc1[O-])C2 ZINC000996144054 726338414 /nfs/dbraw/zinc/33/84/14/726338414.db2.gz KGKMTIVILWSOQC-VWYCJHECSA-N -1 1 343.347 -0.664 20 0 EBADMM CN1C(=O)CC[C@H]1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000996160202 726339612 /nfs/dbraw/zinc/33/96/12/726339612.db2.gz KHJJSBHRADGFFY-VHSXEESVSA-N -1 1 336.396 -0.542 20 0 EBADMM CC1(C)CN(C(=O)[C@]2(F)CCOC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996193205 726343113 /nfs/dbraw/zinc/34/31/13/726343113.db2.gz ZWGDBMPOJBVWPM-OTYXRUKQSA-N -1 1 327.360 -0.035 20 0 EBADMM O=C(N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-])[C@H]1COC(=O)N1 ZINC000996214113 726346432 /nfs/dbraw/zinc/34/64/32/726346432.db2.gz BZLBIUQVIXHWMK-YTWAJWBKSA-N -1 1 346.343 -0.243 20 0 EBADMM CO[C@@H](C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)C1CCC1 ZINC001051741190 735364444 /nfs/dbraw/zinc/36/44/44/735364444.db2.gz URGXYICZAUUDCI-WCQYABFASA-N -1 1 339.396 -0.358 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)c2ccoc2)C1 ZINC000996397656 726386304 /nfs/dbraw/zinc/38/63/04/726386304.db2.gz PRQMONSCCIMHBG-UHFFFAOYSA-N -1 1 334.288 -0.256 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)c2[nH]cnc2C)C1 ZINC000996398308 726386471 /nfs/dbraw/zinc/38/64/71/726386471.db2.gz SVVSJNRBGBBZJB-UHFFFAOYSA-N -1 1 348.319 -0.818 20 0 EBADMM CC[C@@H](F)C(=O)N1CC(NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC000996398463 726386815 /nfs/dbraw/zinc/38/68/15/726386815.db2.gz VILSNFJNUYACFS-SSDOTTSWSA-N -1 1 328.300 -0.415 20 0 EBADMM Cn1ccc(C(=O)N2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)c1 ZINC000996409189 726389211 /nfs/dbraw/zinc/38/92/11/726389211.db2.gz UDBOHODOHRWKHB-UHFFFAOYSA-N -1 1 341.331 -0.990 20 0 EBADMM O=C(NC1CN(C(=O)C2(CF)CCC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996410145 726389311 /nfs/dbraw/zinc/38/93/11/726389311.db2.gz ZKMDDBGXPMGVKD-UHFFFAOYSA-N -1 1 348.338 -0.502 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)[C@H]1CCCC(=O)N1 ZINC000976693112 726391382 /nfs/dbraw/zinc/39/13/82/726391382.db2.gz QJRMABAOMAGHGA-DEKYYXRVSA-N -1 1 344.371 -0.358 20 0 EBADMM CCCc1cc(C(=O)N2CC(NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC000996744502 726425044 /nfs/dbraw/zinc/42/50/44/726425044.db2.gz KULDHVFXTMGKFJ-UHFFFAOYSA-N -1 1 347.335 -0.787 20 0 EBADMM CCn1ncc(CNC2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)CC2)n1 ZINC000996761011 726425819 /nfs/dbraw/zinc/42/58/19/726425819.db2.gz AINLIIXVFKADBJ-UHFFFAOYSA-N -1 1 348.367 -0.711 20 0 EBADMM C[C@@H](NC1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC1)c1nncn1C ZINC000996762792 726425898 /nfs/dbraw/zinc/42/58/98/726425898.db2.gz NWPPZQSOWBQHMJ-MRVPVSSYSA-N -1 1 348.367 -0.633 20 0 EBADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)c1ccnc2n[nH]nc21 ZINC000996879187 726431486 /nfs/dbraw/zinc/43/14/86/726431486.db2.gz NJTGHZMSPDCRKF-UHFFFAOYSA-N -1 1 339.315 -0.292 20 0 EBADMM CC(C)(C(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1)C1CC1 ZINC000997987014 726472234 /nfs/dbraw/zinc/47/22/34/726472234.db2.gz SFUYZFQSLNAYNI-UHFFFAOYSA-N -1 1 348.403 -0.310 20 0 EBADMM CCN(C(=O)c1n[nH]c(=O)[n-]c1=O)[C@H]1CCCN(CC(N)=O)CC1 ZINC000998049684 726474246 /nfs/dbraw/zinc/47/42/46/726474246.db2.gz IJCFDNNPQISOSP-VIFPVBQESA-N -1 1 338.368 -0.915 20 0 EBADMM O=C(c1cn2c(n1)COCC2)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999491695 726520602 /nfs/dbraw/zinc/52/06/02/726520602.db2.gz AHJNNDJFFFYRRA-JTQLQIEISA-N -1 1 347.379 -0.369 20 0 EBADMM C[C@]1(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CCNC(=O)C1 ZINC000999504837 726520940 /nfs/dbraw/zinc/52/09/40/726520940.db2.gz MFCYWCUFSJMTLV-BMIGLBTASA-N -1 1 336.396 -0.493 20 0 EBADMM CN(C)Cc1cc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)no1 ZINC000999554531 726522733 /nfs/dbraw/zinc/52/27/33/726522733.db2.gz AZKOIVNXKSYMJK-SNVBAGLBSA-N -1 1 349.395 -0.046 20 0 EBADMM O=C(CN1CCCCC1=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999591638 726523796 /nfs/dbraw/zinc/52/37/96/726523796.db2.gz NNWSKFLZTYCCGQ-NSHDSACASA-N -1 1 336.396 -0.397 20 0 EBADMM Cn1ccc(CN[C@H]2CCCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC000999611678 726524856 /nfs/dbraw/zinc/52/48/56/726524856.db2.gz WNMSNTHQLUKXHB-JTQLQIEISA-N -1 1 333.352 -0.589 20 0 EBADMM Cc1cnc(CN[C@H]2CCCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)cn1 ZINC000999618922 726524983 /nfs/dbraw/zinc/52/49/83/726524983.db2.gz JNLFSUTVVMQQCV-JTQLQIEISA-N -1 1 345.363 -0.224 20 0 EBADMM CCn1ccc(CN[C@@H]2CCCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC000999623172 726525256 /nfs/dbraw/zinc/52/52/56/726525256.db2.gz WFHAJUQYJVMPFH-LLVKDONJSA-N -1 1 347.379 -0.106 20 0 EBADMM CO[C@H](C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)c1cnn(C)c1 ZINC000999811989 726533593 /nfs/dbraw/zinc/53/35/93/726533593.db2.gz GWJJRHDWXUSBTI-AAEUAGOBSA-N -1 1 349.395 -0.288 20 0 EBADMM Cc1ncncc1C(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001000465547 726567700 /nfs/dbraw/zinc/56/77/00/726567700.db2.gz NCRMUXOVMRFPNO-UHFFFAOYSA-N -1 1 343.391 -0.231 20 0 EBADMM CCO[C@@H]1C[C@@H]1C(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001000481218 726568496 /nfs/dbraw/zinc/56/84/96/726568496.db2.gz JECUGDWHEPTMBM-QWHCGFSZSA-N -1 1 335.408 -0.218 20 0 EBADMM O=C(c1cn(CC2CC2)nn1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000576220 726571708 /nfs/dbraw/zinc/57/17/08/726571708.db2.gz YHFCJWXFPNTTIO-LLVKDONJSA-N -1 1 346.395 -0.094 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(CNC(=O)C2CCC2)C1 ZINC001000598069 726572270 /nfs/dbraw/zinc/57/22/70/726572270.db2.gz CUQJHZFIKZNMCH-UHFFFAOYSA-N -1 1 334.376 -0.699 20 0 EBADMM Cn1[nH]c(CN2CC=C(CNC(=O)c3ncccc3[O-])CC2)nc1=O ZINC001000609756 726572717 /nfs/dbraw/zinc/57/27/17/726572717.db2.gz RJNVEHNXPSCXRF-UHFFFAOYSA-N -1 1 344.375 -0.229 20 0 EBADMM O=C(NCC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CCCC1 ZINC001000637598 726573460 /nfs/dbraw/zinc/57/34/60/726573460.db2.gz KBABZHQOQQXPNB-UHFFFAOYSA-N -1 1 344.375 -0.204 20 0 EBADMM CN(C)c1ccc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)nn1 ZINC001000717423 726575894 /nfs/dbraw/zinc/57/58/94/726575894.db2.gz USYXNHAWZXNOMV-SNVBAGLBSA-N -1 1 346.395 -0.239 20 0 EBADMM CC(=O)N1CC[C@H](C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001000798551 726578311 /nfs/dbraw/zinc/57/83/11/726578311.db2.gz WDMPHLPCEQTYJF-RYUDHWBXSA-N -1 1 336.396 -0.541 20 0 EBADMM CCn1ccc(CN[C@H]2CCCN(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)n1 ZINC001000801796 726578595 /nfs/dbraw/zinc/57/85/95/726578595.db2.gz PQQQISXZGBPUDM-LBPRGKRZSA-N -1 1 349.395 -0.314 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)c3cn[nH]c(=O)c3)CC2)nc1=O ZINC001000810023 726579068 /nfs/dbraw/zinc/57/90/68/726579068.db2.gz YGCLQJRKMFZSMA-UHFFFAOYSA-N -1 1 345.363 -0.834 20 0 EBADMM O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)c1cc(=O)n2[n-]cnc2n1)C1CC1 ZINC001000941415 726584568 /nfs/dbraw/zinc/58/45/68/726584568.db2.gz GTQRMYSKOLCURO-FOGDFJRCSA-N -1 1 342.359 -0.311 20 0 EBADMM CN1CCO[C@H](C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)C1 ZINC001001536799 726611078 /nfs/dbraw/zinc/61/10/78/726611078.db2.gz KZBXZRPRJKFXBW-ZDUSSCGKSA-N -1 1 334.376 -0.694 20 0 EBADMM O=C(CN1CCOCC1)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001540926 726611214 /nfs/dbraw/zinc/61/12/14/726611214.db2.gz GFRIMDAJCAIOBB-UHFFFAOYSA-N -1 1 334.376 -0.692 20 0 EBADMM NC(=O)c1cc(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)c[nH]1 ZINC001001544766 726611432 /nfs/dbraw/zinc/61/14/32/726611432.db2.gz JQKVRSFDXKLACW-UHFFFAOYSA-N -1 1 343.343 -0.284 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)CC(=O)N1 ZINC001001544057 726611438 /nfs/dbraw/zinc/61/14/38/726611438.db2.gz GFMSGKDFWXDEKO-ZYHUDNBSSA-N -1 1 346.387 -0.110 20 0 EBADMM Cc1nc(CN2CCC(CNC(=O)c3n[nH]c(=O)[n-]c3=O)CC2)n[nH]1 ZINC001001589773 726612353 /nfs/dbraw/zinc/61/23/53/726612353.db2.gz XYVPTVYSOOVZMX-UHFFFAOYSA-N -1 1 348.367 -0.649 20 0 EBADMM C[C@H]1C[C@H](C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)CC(=O)N1 ZINC001002997162 726657574 /nfs/dbraw/zinc/65/75/74/726657574.db2.gz IXVRKGNYANKIFS-JQWIXIFHSA-N -1 1 346.387 -0.110 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CN(C(=O)c2ncc[nH]2)C1 ZINC001003315233 726669243 /nfs/dbraw/zinc/66/92/43/726669243.db2.gz QZKPGXDMDGRWOX-UHFFFAOYSA-N -1 1 348.319 -0.879 20 0 EBADMM C[C@H]1C[C@H]1CC(=O)N1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001003319190 726669535 /nfs/dbraw/zinc/66/95/35/726669535.db2.gz MJIAVTNZRIHPLB-ONGXEEELSA-N -1 1 344.375 -0.348 20 0 EBADMM O=C(CC1CC1)N1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001003319170 726669586 /nfs/dbraw/zinc/66/95/86/726669586.db2.gz LRCBDDCMBOOEJQ-UHFFFAOYSA-N -1 1 330.348 -0.594 20 0 EBADMM CC(C)=CC(=O)N1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001003318789 726669600 /nfs/dbraw/zinc/66/96/00/726669600.db2.gz IUMRXZMPDAAYSV-UHFFFAOYSA-N -1 1 330.348 -0.428 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CN(C(=O)c2ccoc2)C1 ZINC001003317800 726669643 /nfs/dbraw/zinc/66/96/43/726669643.db2.gz TUOUNAUTZFKARJ-UHFFFAOYSA-N -1 1 348.315 -0.009 20 0 EBADMM CC1(CC(=O)N2CC(CNC(=O)c3cc(=O)n4[n-]cnc4n3)C2)CC1 ZINC001003319828 726669724 /nfs/dbraw/zinc/66/97/24/726669724.db2.gz QEGZLMSPKHESIB-UHFFFAOYSA-N -1 1 344.375 -0.204 20 0 EBADMM Cc1ccc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c(=O)[nH]1 ZINC001003701454 726686289 /nfs/dbraw/zinc/68/62/89/726686289.db2.gz QBOZWHFTWQBILO-UHFFFAOYSA-N -1 1 346.391 -0.088 20 0 EBADMM Cc1ccnn1CC(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001003716897 726687505 /nfs/dbraw/zinc/68/75/05/726687505.db2.gz QGGKIDCDVAMSJB-UHFFFAOYSA-N -1 1 333.396 -0.606 20 0 EBADMM CN1CC[C@H](C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)C1=O ZINC001003743177 726688762 /nfs/dbraw/zinc/68/87/62/726688762.db2.gz XPFLJTLVBKHLDP-LLVKDONJSA-N -1 1 332.360 -0.546 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)c1cnn(C)c1N ZINC001004816099 726717089 /nfs/dbraw/zinc/71/70/89/726717089.db2.gz ISRUKZDAGYBKQT-MWLCHTKSSA-N -1 1 348.411 -0.001 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)Cn1cncn1 ZINC001004997362 726721865 /nfs/dbraw/zinc/72/18/65/726721865.db2.gz ILHHPGADSCYHRZ-MNOVXSKESA-N -1 1 334.384 -0.339 20 0 EBADMM C[C@@H]1CO[C@H](C(=O)N(C)C2CCN(Cc3nc(=O)n(C)[n-]3)CC2)C1 ZINC001005734507 726740259 /nfs/dbraw/zinc/74/02/59/726740259.db2.gz QVTVMHYKOYKLKG-AAEUAGOBSA-N -1 1 337.424 -0.044 20 0 EBADMM C[C@@H](NC(=O)[C@H]1CCC(=O)N1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005812436 726743281 /nfs/dbraw/zinc/74/32/81/726743281.db2.gz VAMFIOKRTXVEAO-MWLCHTKSSA-N -1 1 332.360 -0.358 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CCNC(=O)C2)C1 ZINC001005950379 726749528 /nfs/dbraw/zinc/74/95/28/726749528.db2.gz JTUAIJBKUNKXDJ-MNOVXSKESA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@H](NC(=O)c1cnn(C)c1N)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005950716 726749591 /nfs/dbraw/zinc/74/95/91/726749591.db2.gz HEUZTAUYOKVAGT-VIFPVBQESA-N -1 1 344.375 -0.007 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CCC(=O)N2C)C1 ZINC001005952377 726749775 /nfs/dbraw/zinc/74/97/75/726749775.db2.gz BPPFYIPBZNOFAG-ZYHUDNBSSA-N -1 1 346.387 -0.015 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CN(C)CCO2)C1 ZINC001005954259 726749834 /nfs/dbraw/zinc/74/98/34/726749834.db2.gz XMGVYXYINGELMG-BXUZGUMPSA-N -1 1 348.403 -0.306 20 0 EBADMM Cc1ccn(CC(=O)N(C)C2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC001006012958 726752123 /nfs/dbraw/zinc/75/21/23/726752123.db2.gz FREFIGDEHCEIHS-UHFFFAOYSA-N -1 1 347.423 -0.264 20 0 EBADMM C[C@H](NC(=O)c1cn(C)nn1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006020052 726752524 /nfs/dbraw/zinc/75/25/24/726752524.db2.gz UTQQUHLVKPYFSP-VIFPVBQESA-N -1 1 330.348 -0.194 20 0 EBADMM CN(C(=O)Cc1cncn1C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001006291699 726761892 /nfs/dbraw/zinc/76/18/92/726761892.db2.gz IAZARPYOFIGYIE-UHFFFAOYSA-N -1 1 347.423 -0.493 20 0 EBADMM COCC(=O)N1CC([C@H](C)NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC001006459229 726770061 /nfs/dbraw/zinc/77/00/61/726770061.db2.gz VJODJAWYQZUYSA-ZETCQYMHSA-N -1 1 340.336 -0.881 20 0 EBADMM Cc1cnn(CC(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001006838435 726785044 /nfs/dbraw/zinc/78/50/44/726785044.db2.gz GZVDEJGGMVFJAA-GFCCVEGCSA-N -1 1 333.396 -0.606 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CCCN(CCN3CCNC3=O)C2)c1[O-] ZINC001007135025 726789467 /nfs/dbraw/zinc/78/94/67/726789467.db2.gz SPLGAWAFKQVMPM-NSHDSACASA-N -1 1 336.396 -0.357 20 0 EBADMM Cn1ccc(CC(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001007319677 726792071 /nfs/dbraw/zinc/79/20/71/726792071.db2.gz MEEFITYSQNCKEY-LBPRGKRZSA-N -1 1 333.396 -0.835 20 0 EBADMM COc1cncc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001008778226 726803539 /nfs/dbraw/zinc/80/35/39/726803539.db2.gz PGSWOSRQYULUSX-SNVBAGLBSA-N -1 1 347.379 -0.699 20 0 EBADMM CCn1cc(CC(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001009145276 726861483 /nfs/dbraw/zinc/86/14/83/726861483.db2.gz MULVJNFZMLQRJT-CYBMUJFWSA-N -1 1 347.423 -0.352 20 0 EBADMM O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CCc2[nH]nnc2C1 ZINC001009187335 726868883 /nfs/dbraw/zinc/86/88/83/726868883.db2.gz LHNMCEPGQHHQLS-VHSXEESVSA-N -1 1 346.395 -0.486 20 0 EBADMM O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CCc2nn[nH]c2C1 ZINC001009187335 726868885 /nfs/dbraw/zinc/86/88/85/726868885.db2.gz LHNMCEPGQHHQLS-VHSXEESVSA-N -1 1 346.395 -0.486 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)[C@@H]1CN(C(C)=O)CCO1 ZINC001009583187 726934571 /nfs/dbraw/zinc/93/45/71/726934571.db2.gz HJJKEMBIFBRUKX-APPZFPTMSA-N -1 1 340.336 -0.738 20 0 EBADMM CCC(=O)N1CCO[C@H]([C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001009588446 726935442 /nfs/dbraw/zinc/93/54/42/726935442.db2.gz SJDWXTMROUNOMI-KOLCDFICSA-N -1 1 348.363 -0.827 20 0 EBADMM C[C@H](C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)c1cncnc1 ZINC001051786103 735392352 /nfs/dbraw/zinc/39/23/52/735392352.db2.gz PQBPISMDYCHVJL-JQWIXIFHSA-N -1 1 347.379 -0.579 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])[C@H]1CN(C(=O)c2nc[nH]n2)CCO1 ZINC001009730113 726982825 /nfs/dbraw/zinc/98/28/25/726982825.db2.gz RFXVDYXFSFCXIV-GXSJLCMTSA-N -1 1 346.347 -0.435 20 0 EBADMM CC(C)CC(=O)N1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001009941339 727013671 /nfs/dbraw/zinc/01/36/71/727013671.db2.gz GGJWCSVZXCPMFK-UHFFFAOYSA-N -1 1 336.392 -0.356 20 0 EBADMM C[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])CN1C(=O)Cn1cnnn1 ZINC001009984658 727016036 /nfs/dbraw/zinc/01/60/36/727016036.db2.gz FJCFXQKHHKXJGC-QWRGUYRKSA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@@H]1C[C@@H](CNC(=O)c2ncccc2[O-])CN1C(=O)Cn1ncnn1 ZINC001010169858 727040926 /nfs/dbraw/zinc/04/09/26/727040926.db2.gz BEMLDIJBSUQNQP-MNOVXSKESA-N -1 1 345.363 -0.559 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CCN(CCN3C(=O)CCC3=O)C2)c1[O-] ZINC001010547073 727105065 /nfs/dbraw/zinc/10/50/65/727105065.db2.gz OWJZBAKXNZQLGW-SNVBAGLBSA-N -1 1 335.364 -0.623 20 0 EBADMM CC1(C)C[C@@H]1C(=O)N1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001011014556 727178026 /nfs/dbraw/zinc/17/80/26/727178026.db2.gz BZOUCFDRWVDCDJ-GFCCVEGCSA-N -1 1 348.403 -0.356 20 0 EBADMM Cn1oc(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)cc1=O ZINC001011035770 727186228 /nfs/dbraw/zinc/18/62/28/727186228.db2.gz RBVRUYJZCJVCSO-UHFFFAOYSA-N -1 1 332.316 -0.323 20 0 EBADMM O=C(c1ncccc1[O-])N1CCN(C(=O)C2CC(=O)NC(=O)C2)CC1 ZINC001011035798 727186262 /nfs/dbraw/zinc/18/62/62/727186262.db2.gz RUUAWEDICZTVCN-UHFFFAOYSA-N -1 1 346.343 -0.876 20 0 EBADMM CC[C@]1(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)CCNC1=O ZINC001011036842 727186688 /nfs/dbraw/zinc/18/66/88/727186688.db2.gz VTNPIZIDZCYVKC-KRWDZBQOSA-N -1 1 346.387 -0.012 20 0 EBADMM CC(=O)NCC(=O)N1C[C@H](C)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001051823984 735415466 /nfs/dbraw/zinc/41/54/66/735415466.db2.gz FGTXFKNUWPJUSS-JQWIXIFHSA-N -1 1 334.376 -0.252 20 0 EBADMM O=C(N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)C1(CF)CCC1 ZINC001051828395 735418938 /nfs/dbraw/zinc/41/89/38/735418938.db2.gz AXVWMFVGVSLVSL-JTQLQIEISA-N -1 1 327.360 -0.033 20 0 EBADMM Cc1nc(C)c(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC001051828610 735419244 /nfs/dbraw/zinc/41/92/44/735419244.db2.gz HDLJTFUBDGIJRZ-SNVBAGLBSA-N -1 1 335.368 -0.519 20 0 EBADMM CCc1oncc1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051853223 735429349 /nfs/dbraw/zinc/42/93/49/735429349.db2.gz GQOLPAVZCIILMA-VIFPVBQESA-N -1 1 336.352 -0.309 20 0 EBADMM O=C(COc1cccnc1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051869204 735435763 /nfs/dbraw/zinc/43/57/63/735435763.db2.gz KWJZACANUZAZKC-LBPRGKRZSA-N -1 1 348.363 -0.699 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN1C(=O)C(F)F ZINC001011350204 727518436 /nfs/dbraw/zinc/51/84/36/727518436.db2.gz VBLMZMDRJJAJDU-RNFRBKRXSA-N -1 1 340.290 -0.598 20 0 EBADMM CCc1cc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)n(C)n1 ZINC001051882947 735444322 /nfs/dbraw/zinc/44/43/22/735444322.db2.gz WHEYIESPOAQTDZ-NSHDSACASA-N -1 1 349.395 -0.563 20 0 EBADMM CC[C@H](F)C(=O)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001011637298 727551950 /nfs/dbraw/zinc/55/19/50/727551950.db2.gz GCOXHSRVKIPWNW-VIFPVBQESA-N -1 1 336.327 -0.550 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2c[nH]c(=O)cn2)CCN1C(=O)c1ncccc1[O-] ZINC001011676786 727557516 /nfs/dbraw/zinc/55/75/16/727557516.db2.gz HPPHNHBEPHLQBE-UWVGGRQHSA-N -1 1 343.343 -0.097 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2nnn(C)n2)CCN1C(=O)c1ncccc1[O-] ZINC001011681994 727558131 /nfs/dbraw/zinc/55/81/31/727558131.db2.gz ZOPMWOUVOHEQDK-RKDXNWHRSA-N -1 1 331.336 -0.656 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2cnn(C)n2)CCN1C(=O)c1ncccc1[O-] ZINC001011681040 727558216 /nfs/dbraw/zinc/55/82/16/727558216.db2.gz USKWMHWGJHNACI-UWVGGRQHSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)Cn1ncnn1 ZINC001011782005 727575685 /nfs/dbraw/zinc/57/56/85/727575685.db2.gz KFFHTBITGITTNR-VHSXEESVSA-N -1 1 331.336 -0.807 20 0 EBADMM C[C@H]1C[C@H](NC(=O)Cn2nccn2)CN1C(=O)c1ncccc1[O-] ZINC001012504576 727672752 /nfs/dbraw/zinc/67/27/52/727672752.db2.gz BVXALBKMBIMEKT-QWRGUYRKSA-N -1 1 330.348 -0.202 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)C2CC2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001013329221 727794439 /nfs/dbraw/zinc/79/44/39/727794439.db2.gz UJIFFEVELLDKHY-SCZZXKLOSA-N -1 1 330.348 -0.453 20 0 EBADMM Cc1nc(C(=O)N[C@H]2C[C@H](C)N(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)c[nH]1 ZINC001013493581 727811251 /nfs/dbraw/zinc/81/12/51/727811251.db2.gz VMERDJPAUNXXLH-CBAPKCEASA-N -1 1 349.351 -0.860 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)CCn1cnnn1 ZINC001013907605 727842244 /nfs/dbraw/zinc/84/22/44/727842244.db2.gz WIGOCIKCHFSYHB-MNOVXSKESA-N -1 1 345.363 -0.417 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1c[nH]c(=O)cn1 ZINC001013949274 727851724 /nfs/dbraw/zinc/85/17/24/727851724.db2.gz KHPOGHVDLJSVCL-ZJUUUORDSA-N -1 1 343.343 -0.097 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cn4c(n3)CCC4)C2)nc1=O ZINC001014478573 727959703 /nfs/dbraw/zinc/95/97/03/727959703.db2.gz HSLDQIBNWJZYKI-JTQLQIEISA-N -1 1 331.380 -0.745 20 0 EBADMM CCCn1nccc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001014578487 727972688 /nfs/dbraw/zinc/97/26/88/727972688.db2.gz QGCOCPCGQZYELB-LLVKDONJSA-N -1 1 333.396 -0.281 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ccnn3C(F)F)C2)nc1=O ZINC001014575620 727972749 /nfs/dbraw/zinc/97/27/49/727972749.db2.gz BFFHSILRJSLBRO-QMMMGPOBSA-N -1 1 341.322 -0.296 20 0 EBADMM CCC(=O)N[C@@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)[C@@H]1C ZINC001014626022 727982095 /nfs/dbraw/zinc/98/20/95/727982095.db2.gz GIVHRXLVHSZJQK-VXGBXAGGSA-N -1 1 336.392 -0.168 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cnc4n3CCC4)C2)nc1=O ZINC001014772838 728007433 /nfs/dbraw/zinc/00/74/33/728007433.db2.gz INSKTFPZCHPTRP-JTQLQIEISA-N -1 1 331.380 -0.745 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCCN1C(=O)Cn1cnnn1 ZINC001014894918 728027483 /nfs/dbraw/zinc/02/74/83/728027483.db2.gz RBQOPFVQGRYNJP-MNOVXSKESA-N -1 1 345.363 -0.417 20 0 EBADMM CCCc1n[nH]cc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001015046561 728063101 /nfs/dbraw/zinc/06/31/01/728063101.db2.gz BWAAGEXTLZSCNY-JTQLQIEISA-N -1 1 333.396 -0.212 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2nnn(C)n2)CCCN1C(=O)c1ncccc1[O-] ZINC001015129777 728089413 /nfs/dbraw/zinc/08/94/13/728089413.db2.gz VEUKDCAGAIRPLR-NXEZZACHSA-N -1 1 345.363 -0.266 20 0 EBADMM C[C@H](C(N)=O)N1CCN([C@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001052017577 735505337 /nfs/dbraw/zinc/50/53/37/735505337.db2.gz OGUUSOFPNPYZRH-OLZOCXBDSA-N -1 1 347.419 -0.507 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ncc4ccccn43)C2)nc1=O ZINC001015272124 728392671 /nfs/dbraw/zinc/39/26/71/728392671.db2.gz RYMOBZUHLMSGRV-LLVKDONJSA-N -1 1 341.375 -0.240 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@@H]3OC[C@@H]4CCC[C@@H]43)C2)nc1=O ZINC001015319845 728397265 /nfs/dbraw/zinc/39/72/65/728397265.db2.gz YCIGFDFPKJDOES-ZJQBRPOHSA-N -1 1 335.408 -0.386 20 0 EBADMM Cc1cncc(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001015410245 728411823 /nfs/dbraw/zinc/41/18/23/728411823.db2.gz WPVJPZCQGNGEIV-ZDUSSCGKSA-N -1 1 330.392 -0.255 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3conc3C3CC3)C2)nc1=O ZINC001015684765 728426693 /nfs/dbraw/zinc/42/66/93/728426693.db2.gz UNAOIBUSQMFXSL-JTQLQIEISA-N -1 1 332.364 -0.022 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ncc4n3CCCC4)C2)nc1=O ZINC001016297092 728452013 /nfs/dbraw/zinc/45/20/13/728452013.db2.gz KBVIATUGRWRGOB-LLVKDONJSA-N -1 1 345.407 -0.355 20 0 EBADMM CCOc1cccnc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001016434830 728473274 /nfs/dbraw/zinc/47/32/74/728473274.db2.gz YIRTVSPRFFIIDR-NSHDSACASA-N -1 1 346.391 -0.094 20 0 EBADMM CC(=O)N1CC(C(=O)N(C)C2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC001016445085 728474457 /nfs/dbraw/zinc/47/44/57/728474457.db2.gz VCRIWFNMEPSKFH-UHFFFAOYSA-N -1 1 346.387 -0.015 20 0 EBADMM CN(C(=O)c1nnn(C)n1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016881876 728520787 /nfs/dbraw/zinc/52/07/87/728520787.db2.gz MKEPGUQKNGXCLN-UHFFFAOYSA-N -1 1 331.336 -0.656 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)c2ccc(=O)[nH]n2)C1 ZINC001017038884 728539348 /nfs/dbraw/zinc/53/93/48/728539348.db2.gz ZMDDXHNOHSLKEQ-SNVBAGLBSA-N -1 1 347.379 -0.010 20 0 EBADMM Cn1nnc(CN2C[C@H]3CC[C@@H](C2)N3C(=O)c2ncccc2[O-])n1 ZINC001017497054 728581343 /nfs/dbraw/zinc/58/13/43/728581343.db2.gz CEGUDGJCYWQFEV-PHIMTYICSA-N -1 1 329.364 -0.200 20 0 EBADMM Cn1[nH]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)c2ncccc2[O-])nc1=O ZINC001017496934 728581379 /nfs/dbraw/zinc/58/13/79/728581379.db2.gz AHPJZNLONSOHPD-PHIMTYICSA-N -1 1 344.375 -0.302 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)CCc2ncc[nH]2)nc1=O ZINC001017512679 728583132 /nfs/dbraw/zinc/58/31/32/728583132.db2.gz XMXXXKLQWCNNOJ-TXEJJXNPSA-N -1 1 345.407 -0.361 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)Cc2cncs2)nc1=O ZINC001017638595 728598006 /nfs/dbraw/zinc/59/80/06/728598006.db2.gz CGNPANJVRWIWCS-PHIMTYICSA-N -1 1 348.432 -0.017 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)c2ncccn2)nc1=O ZINC001018090398 728632391 /nfs/dbraw/zinc/63/23/91/728632391.db2.gz SCLQDNLRLBOVLN-PHIMTYICSA-N -1 1 329.364 -0.613 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CN1C(=O)C(N)=O ZINC001018160572 728639816 /nfs/dbraw/zinc/63/98/16/728639816.db2.gz JHHZAAWAWACULE-SCZZXKLOSA-N -1 1 347.375 -0.346 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2nnn(C)n2)CN1C(=O)c1ncccc1[O-] ZINC001018329657 728658509 /nfs/dbraw/zinc/65/85/09/728658509.db2.gz KLVJZPFGYRZNIS-NXEZZACHSA-N -1 1 345.363 -0.266 20 0 EBADMM CC(=O)N1CC[C@@H](C)[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001018450498 728670519 /nfs/dbraw/zinc/67/05/19/728670519.db2.gz QHTVRZURPVBJIT-KOLCDFICSA-N -1 1 332.364 -0.348 20 0 EBADMM CCCC(=O)N1CC2(C1)CCN(C(=O)CCn1cc[n-]c(=O)c1=O)C2 ZINC001018698219 728685398 /nfs/dbraw/zinc/68/53/98/728685398.db2.gz WCZAVSHURNCHLH-UHFFFAOYSA-N -1 1 348.403 -0.212 20 0 EBADMM CN(C)c1ccc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)nn1 ZINC001019666196 728970181 /nfs/dbraw/zinc/97/01/81/728970181.db2.gz AQOPRDIGMJHHAS-VIFPVBQESA-N -1 1 332.368 -0.629 20 0 EBADMM Cc1csc(CN[C@H]2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)n1 ZINC001019709537 728975931 /nfs/dbraw/zinc/97/59/31/728975931.db2.gz GAEVTMCWJUTQEA-VIFPVBQESA-N -1 1 338.393 -0.155 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N1CC[C@H](NCc2n[nH]c(C3CC3)n2)C1 ZINC001019710591 728976504 /nfs/dbraw/zinc/97/65/04/728976504.db2.gz QOYZZZGMEZMPEC-VIFPVBQESA-N -1 1 348.367 -0.925 20 0 EBADMM Cc1csc(=O)n1CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019763551 728986804 /nfs/dbraw/zinc/98/68/04/728986804.db2.gz NLGGQPYWVRASLL-VIFPVBQESA-N -1 1 338.393 -0.567 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001019869169 729019567 /nfs/dbraw/zinc/01/95/67/729019567.db2.gz SUICLVVHVPRDQL-VIFPVBQESA-N -1 1 331.336 -0.992 20 0 EBADMM COCC(=O)N1CCO[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC001019875400 729021655 /nfs/dbraw/zinc/02/16/55/729021655.db2.gz UWDHSEVNHXAOAC-WCQYABFASA-N -1 1 335.360 -0.125 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@H]1COC(=O)N1 ZINC001020229660 729107394 /nfs/dbraw/zinc/10/73/94/729107394.db2.gz PQGSFIUTSKLEOZ-LPEHRKFASA-N -1 1 334.332 -0.385 20 0 EBADMM O=C(N[C@H]1C[C@H](NCc2cc3n(n2)CCC3)C1)c1n[nH]c(=O)[n-]c1=O ZINC001020352940 729144045 /nfs/dbraw/zinc/14/40/45/729144045.db2.gz HPGUGTIMPVDENZ-KYZUINATSA-N -1 1 345.363 -0.524 20 0 EBADMM Cn1ccc(CN[C@H]2C[C@H](NC(=O)c3cnc([O-])n(C)c3=O)C2)n1 ZINC001020459616 729175623 /nfs/dbraw/zinc/17/56/23/729175623.db2.gz VOQQZQFLRBSSAE-XYPYZODXSA-N -1 1 332.364 -0.730 20 0 EBADMM O=C(c1ncccc1[O-])N1CC[C@H]2CN(C(=O)[C@H]3CNC(=O)N3)C[C@H]21 ZINC001021444714 729314323 /nfs/dbraw/zinc/31/43/23/729314323.db2.gz PVZSDPGHPMOUJD-HBNTYKKESA-N -1 1 345.359 -0.859 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@@H](CCCN3C(=O)c3ncc[nH]3)C2)nc1=O ZINC001021580074 729324187 /nfs/dbraw/zinc/32/41/87/729324187.db2.gz BVJCQZZHCSSPMW-NWDGAFQWSA-N -1 1 345.407 -0.042 20 0 EBADMM O=C(Cn1ncnn1)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001021745397 729336572 /nfs/dbraw/zinc/33/65/72/729336572.db2.gz JGFRYLHCJCCKLM-WDEREUQCSA-N -1 1 343.347 -0.853 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2C[C@@H](NCc3nnc4n3CCOC4)C2)c1[O-] ZINC001021973563 729349406 /nfs/dbraw/zinc/34/94/06/729349406.db2.gz DJQZLAQEWHPNKA-AOOOYVTPSA-N -1 1 347.379 -0.404 20 0 EBADMM CCn1nc(C)c(CN[C@H]2C[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001022369659 729485946 /nfs/dbraw/zinc/48/59/46/729485946.db2.gz MCUQVYZBQNWWNY-DTORHVGOSA-N -1 1 348.367 -0.747 20 0 EBADMM C[C@H](N[C@H]1C[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1)c1nncn1C ZINC001022369929 729485964 /nfs/dbraw/zinc/48/59/64/729485964.db2.gz PQXGOJHZSCOXMP-BIIVOSGPSA-N -1 1 334.340 -0.977 20 0 EBADMM Cc1cnc(C(=O)NC[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001023213208 729639203 /nfs/dbraw/zinc/63/92/03/729639203.db2.gz BHPQMFAYSZWVAJ-GFCCVEGCSA-N -1 1 345.407 -0.151 20 0 EBADMM CCn1ncc(C(=O)NC[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001023355036 729669904 /nfs/dbraw/zinc/66/99/04/729669904.db2.gz SPDKHZCUVBGBTG-LLVKDONJSA-N -1 1 348.411 -0.638 20 0 EBADMM Cn1cc(CN2CCC[C@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)cn1 ZINC001023468199 729696178 /nfs/dbraw/zinc/69/61/78/729696178.db2.gz MCYLTALHKZPIKQ-SNVBAGLBSA-N -1 1 347.379 -0.342 20 0 EBADMM CCc1cc(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)[nH]n1 ZINC001023486572 729701801 /nfs/dbraw/zinc/70/18/01/729701801.db2.gz NBLRNEFDWVGVMA-DGCLKSJQSA-N -1 1 345.359 -0.312 20 0 EBADMM CCc1cc(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)n[nH]1 ZINC001023486572 729701807 /nfs/dbraw/zinc/70/18/07/729701807.db2.gz NBLRNEFDWVGVMA-DGCLKSJQSA-N -1 1 345.359 -0.312 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2cnsn2)C[C@H]1O)c1ncccc1[O-] ZINC001023488305 729702211 /nfs/dbraw/zinc/70/22/11/729702211.db2.gz BGMPWYBXHAZRSX-PSASIEDQSA-N -1 1 335.345 -0.746 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2cscn2)C[C@H]1O)c1ncccc1[O-] ZINC001023488643 729702569 /nfs/dbraw/zinc/70/25/69/729702569.db2.gz QLSMISDIIXWCMB-LDYMZIIASA-N -1 1 334.357 -0.141 20 0 EBADMM O=C(C[C@@H]1CCOC1)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023488713 729702797 /nfs/dbraw/zinc/70/27/97/729702797.db2.gz TUWUUNLTBFFOBF-DMDPSCGWSA-N -1 1 335.360 -0.485 20 0 EBADMM C[C@]1(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)CCCOC1 ZINC001023490711 729703625 /nfs/dbraw/zinc/70/36/25/729703625.db2.gz NNRNQTKWHDLGSY-NDGTYSDOSA-N -1 1 349.387 -0.095 20 0 EBADMM C[C@@H]1CC[C@H](C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)O1 ZINC001023493309 729703919 /nfs/dbraw/zinc/70/39/19/729703919.db2.gz AIULLPRDSDMADC-FPQZTECRSA-N -1 1 335.360 -0.344 20 0 EBADMM Cc1nc(C)c(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)[nH]1 ZINC001023493821 729704523 /nfs/dbraw/zinc/70/45/23/729704523.db2.gz NIISABQNISEWOO-ZYHUDNBSSA-N -1 1 345.359 -0.258 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](CNC(=O)c3n[nH]cc3F)C2)nc1=O ZINC001023573226 729729209 /nfs/dbraw/zinc/72/92/09/729729209.db2.gz VQAMHYVMGKYJAN-SECBINFHSA-N -1 1 337.359 -0.387 20 0 EBADMM Cn1cncc1C(=O)NC[C@@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001024538331 729900433 /nfs/dbraw/zinc/90/04/33/729900433.db2.gz OTLFYEUFNYMXFF-NSHDSACASA-N -1 1 333.396 -0.374 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H]2CNC(=O)c2c[nH]c(=O)n2C)nc1=O ZINC001024598921 729910160 /nfs/dbraw/zinc/91/01/60/729910160.db2.gz IHMNMWFHRFDVDA-SNVBAGLBSA-N -1 1 349.395 -0.668 20 0 EBADMM Cn1ccc(C(=O)NC[C@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001024799875 729931058 /nfs/dbraw/zinc/93/10/58/729931058.db2.gz RFUCIVXXXTXYEU-LLVKDONJSA-N -1 1 333.396 -0.374 20 0 EBADMM Cc1c(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)nnn1C ZINC001024910276 729941242 /nfs/dbraw/zinc/94/12/42/729941242.db2.gz KTGDUNDMKBGWBZ-SNVBAGLBSA-N -1 1 334.384 -0.268 20 0 EBADMM CN1CCO[C@H](C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)C1 ZINC001024912293 729941412 /nfs/dbraw/zinc/94/14/12/729941412.db2.gz DOBXRLNUFORBRV-NEPJUHHUSA-N -1 1 338.412 -0.688 20 0 EBADMM Cn1cc(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)[nH]c1=O ZINC001025068777 729992522 /nfs/dbraw/zinc/99/25/22/729992522.db2.gz RVTQMUBQBYHRDY-SECBINFHSA-N -1 1 335.368 -0.266 20 0 EBADMM C[C@@]1(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CCC(=O)N1 ZINC001025073981 729993302 /nfs/dbraw/zinc/99/33/02/729993302.db2.gz YXPDTQBHPAUXRN-BONVTDFDSA-N -1 1 336.396 -0.350 20 0 EBADMM C[C@]1(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CCNC1=O ZINC001025082408 729994348 /nfs/dbraw/zinc/99/43/48/729994348.db2.gz GCUOBBQFTVCMCC-BONVTDFDSA-N -1 1 336.396 -0.493 20 0 EBADMM Cn1nnc(CN[C@@H]2CC[C@@H]3CN(C(=O)c4ncccc4[O-])C[C@@H]23)n1 ZINC001026249497 730132625 /nfs/dbraw/zinc/13/26/25/730132625.db2.gz XIAJRTINIXXRRB-IJLUTSLNSA-N -1 1 343.391 -0.049 20 0 EBADMM Cc1nnc([C@H](C)NC[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC001026940238 730173542 /nfs/dbraw/zinc/17/35/42/730173542.db2.gz DHQIGOWIVGAPOY-CBAPKCEASA-N -1 1 349.351 -0.213 20 0 EBADMM CC(C)(C(=O)N1CC[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)S(C)(=O)=O ZINC001027100884 730188546 /nfs/dbraw/zinc/18/85/46/730188546.db2.gz IMJDJWUIAADTKR-VIFPVBQESA-N -1 1 345.425 -0.728 20 0 EBADMM O=C(NC[C@H]1CCCN1[C@H]1CCC(=O)NC1=O)c1ncccc1[O-] ZINC001027843618 730242338 /nfs/dbraw/zinc/24/23/38/730242338.db2.gz CQGPVDHTJBXJBC-MNOVXSKESA-N -1 1 332.360 -0.213 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H]2CNC(=O)c2cnn3c2CCC3)nc1=O ZINC001027924009 730248410 /nfs/dbraw/zinc/24/84/10/730248410.db2.gz LZJGUSSPLWREHC-LLVKDONJSA-N -1 1 345.407 -0.355 20 0 EBADMM CCOc1cc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)[nH]n1 ZINC001027961321 730251980 /nfs/dbraw/zinc/25/19/80/730251980.db2.gz QYGZPAWKRFARPA-JTQLQIEISA-N -1 1 349.395 -0.375 20 0 EBADMM Cn1cc(CN2CCC[C@H]2CNC(=O)c2n[nH]c(=O)[n-]c2=O)cn1 ZINC001027999674 730255934 /nfs/dbraw/zinc/25/59/34/730255934.db2.gz NBPKYCBHITWGKC-JTQLQIEISA-N -1 1 333.352 -0.589 20 0 EBADMM COc1cnc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)nc1 ZINC001028018146 730258139 /nfs/dbraw/zinc/25/81/39/730258139.db2.gz NNOFNKADVJTZGG-SNVBAGLBSA-N -1 1 347.379 -0.699 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H]2CNC(=O)c2coc(C(N)=O)c2)nc1=O ZINC001028045860 730260636 /nfs/dbraw/zinc/26/06/36/730260636.db2.gz RLSUTGPSSJPYMX-SNVBAGLBSA-N -1 1 348.363 -0.805 20 0 EBADMM O=C(NC[C@@H]1CCCN1Cc1n[nH]c(=O)[n-]1)[C@H]1CCCc2n[nH]nc21 ZINC001028103061 730266599 /nfs/dbraw/zinc/26/65/99/730266599.db2.gz JSHXTHTWGYNJDD-UWVGGRQHSA-N -1 1 346.395 -0.171 20 0 EBADMM COc1c(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)cnn1C ZINC001028288331 730279977 /nfs/dbraw/zinc/27/99/77/730279977.db2.gz FDAXVDHOBPYABO-JTQLQIEISA-N -1 1 349.395 -0.755 20 0 EBADMM Cc1nnsc1C(=O)NC[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001028400654 730290202 /nfs/dbraw/zinc/29/02/02/730290202.db2.gz TVLYHCNWQSZBPB-VIFPVBQESA-N -1 1 337.409 -0.480 20 0 EBADMM Cc1cc(CN2CC[C@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)on1 ZINC001028661103 730333965 /nfs/dbraw/zinc/33/39/65/730333965.db2.gz FLPMGQDBGMYPJD-SECBINFHSA-N -1 1 334.336 -0.169 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C2)nc1=O ZINC001028698926 730341819 /nfs/dbraw/zinc/34/18/19/730341819.db2.gz SJNBFMRFSMIGRC-JWOJSXKRSA-N -1 1 347.419 -0.530 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)c3cc(C4CC4)n[nH]3)C2)nc1=O ZINC001028825926 730360831 /nfs/dbraw/zinc/36/08/31/730360831.db2.gz UOTRTXKXBGIPDL-JTQLQIEISA-N -1 1 345.407 -0.039 20 0 EBADMM NC(=O)CN1CC[C@H](CNC(=O)c2n[n-]c3ccccc3c2=O)C1 ZINC001028841194 730362367 /nfs/dbraw/zinc/36/23/67/730362367.db2.gz TZAILYRMZJEQAG-SNVBAGLBSA-N -1 1 329.360 -0.128 20 0 EBADMM CNC(=O)[C@@H](C)N1CC[C@H](CNC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001028853794 730363393 /nfs/dbraw/zinc/36/33/93/730363393.db2.gz PTMUZNQAMKJXBF-GHMZBOCLSA-N -1 1 346.391 -0.791 20 0 EBADMM CCO[C@H]1C[C@@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029220493 730393599 /nfs/dbraw/zinc/39/35/99/730393599.db2.gz YDDHYORRRHTLCM-RNJOBUHISA-N -1 1 335.408 -0.291 20 0 EBADMM Cc1cc(CC(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)no1 ZINC001029247798 730394689 /nfs/dbraw/zinc/39/46/89/730394689.db2.gz ASEVHCAVBHMYIW-BETUJISGSA-N -1 1 346.391 -0.177 20 0 EBADMM Cc1cc(CC(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)[nH]n1 ZINC001029268923 730395666 /nfs/dbraw/zinc/39/56/66/730395666.db2.gz ZVKTWKCDJJPAMZ-BETUJISGSA-N -1 1 345.407 -0.442 20 0 EBADMM C[C@H]1C[C@H](C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)CO1 ZINC001029366657 730401547 /nfs/dbraw/zinc/40/15/47/730401547.db2.gz IKADPYFHYNBMBB-ZDEQEGDKSA-N -1 1 335.408 -0.291 20 0 EBADMM Cc1nonc1CC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029535771 730409504 /nfs/dbraw/zinc/40/95/04/730409504.db2.gz DHNGVPGKIHHCMU-PHIMTYICSA-N -1 1 347.379 -0.782 20 0 EBADMM Cc1cnn(CC(=O)N2CC[C@@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)c1 ZINC001029668698 730481734 /nfs/dbraw/zinc/48/17/34/730481734.db2.gz UYJUDVZUEQJARZ-LBPRGKRZSA-N -1 1 333.396 -0.004 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)Cc2ccnn2C)C1 ZINC001029721029 730488780 /nfs/dbraw/zinc/48/87/80/730488780.db2.gz QCDLXIFSKCUUEB-LLVKDONJSA-N -1 1 333.396 -0.233 20 0 EBADMM CCn1ncc(C(=O)N2CC[C@@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001029731190 730490140 /nfs/dbraw/zinc/49/01/40/730490140.db2.gz SAPRXZFJKYLGOR-JTQLQIEISA-N -1 1 334.384 -0.284 20 0 EBADMM COc1cc(C(=O)N2CC[C@@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)nn1C ZINC001029780670 730500086 /nfs/dbraw/zinc/50/00/86/730500086.db2.gz ATPSVFIOBWZRCW-JTQLQIEISA-N -1 1 349.395 -0.154 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)[C@H]2CCC(=O)N2C)C1 ZINC001029816367 730506738 /nfs/dbraw/zinc/50/67/38/730506738.db2.gz DGDHBIHGFHOCCG-GHMZBOCLSA-N -1 1 336.396 -0.589 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001029827395 730510764 /nfs/dbraw/zinc/51/07/64/730510764.db2.gz GEZFCCGXOKGVJQ-SECBINFHSA-N -1 1 335.368 -0.457 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)Cn2ccccc2=O)C1 ZINC001029903515 730523052 /nfs/dbraw/zinc/52/30/52/730523052.db2.gz YIVPPASBVXWEIF-LBPRGKRZSA-N -1 1 346.391 -0.348 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)CCn2cnnn2)CC1 ZINC001030005829 730533841 /nfs/dbraw/zinc/53/38/41/730533841.db2.gz AKIRIDWINLGLER-UHFFFAOYSA-N -1 1 349.399 -0.743 20 0 EBADMM CCCc1onc(C)c1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030304535 730565061 /nfs/dbraw/zinc/56/50/61/730565061.db2.gz HLRQNVOYNRQUNI-UHFFFAOYSA-N -1 1 334.380 -0.028 20 0 EBADMM CC(C)Oc1cnc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001030311644 730565983 /nfs/dbraw/zinc/56/59/83/730565983.db2.gz ZIDJDQYJINEXFP-UHFFFAOYSA-N -1 1 347.379 -0.700 20 0 EBADMM Cn1cnc2cncc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)c21 ZINC001030470009 730592214 /nfs/dbraw/zinc/59/22/14/730592214.db2.gz UADLWRVJENJKFE-UHFFFAOYSA-N -1 1 342.363 -0.996 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cc4cccn4cn3)C2)nc1=O ZINC001030507771 730597295 /nfs/dbraw/zinc/59/72/95/730597295.db2.gz MNWYTEMOIKRTML-UHFFFAOYSA-N -1 1 327.348 -0.630 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cc(C(C)(C)C)n[nH]3)C2)nc1=O ZINC001030597371 730610393 /nfs/dbraw/zinc/61/03/93/730610393.db2.gz GDZJVNCJGYCTQZ-UHFFFAOYSA-N -1 1 333.396 -0.257 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@H]3COc4ccccc4C3)C2)nc1=O ZINC001030641234 730618486 /nfs/dbraw/zinc/61/84/86/730618486.db2.gz WEDDYJDPQXSKJH-GFCCVEGCSA-N -1 1 343.387 -0.340 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3conc3C(F)(F)F)C2)nc1=O ZINC001030685178 730623809 /nfs/dbraw/zinc/62/38/09/730623809.db2.gz JDXWZSKCOUKGDT-UHFFFAOYSA-N -1 1 346.269 -0.271 20 0 EBADMM C[C@H]1CCc2n[nH]cc2[C@H]1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030697441 730625256 /nfs/dbraw/zinc/62/52/56/730625256.db2.gz TTWCRZFQPKMNOW-XPTSAGLGSA-N -1 1 345.407 -0.502 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)C[C@H]3CC[C@@H](C4CC4)O3)C2)nc1=O ZINC001030713995 730627431 /nfs/dbraw/zinc/62/74/31/730627431.db2.gz SGGSETYSBRULRO-OLZOCXBDSA-N -1 1 335.408 -0.244 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cccc4c[nH]nc43)C2)nc1=O ZINC001030773136 730635734 /nfs/dbraw/zinc/63/57/34/730635734.db2.gz KQWZRAFGBMJFPN-UHFFFAOYSA-N -1 1 327.348 -0.401 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC1CN([C@@H]2CCNC2=O)C1 ZINC001030775979 730636303 /nfs/dbraw/zinc/63/63/03/730636303.db2.gz KBRRAWUYEZZKSZ-LLVKDONJSA-N -1 1 333.392 -0.083 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@@H]3CCCc4cccnc43)C2)nc1=O ZINC001030827197 730644735 /nfs/dbraw/zinc/64/47/35/730644735.db2.gz SRTMAFRKMXJYBS-CYBMUJFWSA-N -1 1 342.403 -0.076 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3c[nH]nc3[C@@H]3CCCO3)C2)nc1=O ZINC001030830801 730645272 /nfs/dbraw/zinc/64/52/72/730645272.db2.gz XPTHTXUDIGJYAB-NSHDSACASA-N -1 1 347.379 -0.703 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)Cc3c[nH]c4cnccc34)C2)nc1=O ZINC001030837559 730646105 /nfs/dbraw/zinc/64/61/05/730646105.db2.gz PKCXOHYWPRRXOR-UHFFFAOYSA-N -1 1 341.375 -0.472 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@H]3CCCc4nc[nH]c43)C2)nc1=O ZINC001030933853 730654844 /nfs/dbraw/zinc/65/48/44/730654844.db2.gz UTLABINTAJDAAA-JTQLQIEISA-N -1 1 331.380 -0.748 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cc4ccccn4c3)C2)nc1=O ZINC001031042777 730668672 /nfs/dbraw/zinc/66/86/72/730668672.db2.gz IVUXIXSIMCIXNS-UHFFFAOYSA-N -1 1 326.360 -0.025 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ccc4c(c3)COC4)C2)nc1=O ZINC001031092039 730674260 /nfs/dbraw/zinc/67/42/60/730674260.db2.gz SATTZBFUIVHZPN-UHFFFAOYSA-N -1 1 329.360 -0.247 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3c[nH]nc3C(C)(C)C)C2)nc1=O ZINC001031233527 730690655 /nfs/dbraw/zinc/69/06/55/730690655.db2.gz IPUDGPMLIUPZGH-UHFFFAOYSA-N -1 1 333.396 -0.257 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C[C@@H]2CCCCO2)C1 ZINC001031249696 730693457 /nfs/dbraw/zinc/69/34/57/730693457.db2.gz DPHJBYKEQOSUMP-JTQLQIEISA-N -1 1 338.364 -0.121 20 0 EBADMM Cc1nnc([C@H](C)N2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)o1 ZINC001031254316 730695447 /nfs/dbraw/zinc/69/54/47/730695447.db2.gz ZIBBWCXSMWAJLK-ZETCQYMHSA-N -1 1 344.335 -0.716 20 0 EBADMM CN(C)c1ccnc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001031466262 730726425 /nfs/dbraw/zinc/72/64/25/730726425.db2.gz SDUSFASAXSLADT-UHFFFAOYSA-N -1 1 331.380 -0.816 20 0 EBADMM Cc1nn(C)c(C)c1[C@@H](C)C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031486965 730728837 /nfs/dbraw/zinc/72/88/37/730728837.db2.gz YGSRSZQVAOKOCR-SECBINFHSA-N -1 1 347.423 -0.437 20 0 EBADMM Cc1cc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)c(C)nn1 ZINC001031697168 730752878 /nfs/dbraw/zinc/75/28/78/730752878.db2.gz FMMOAROJWOJZIU-UHFFFAOYSA-N -1 1 331.380 -0.623 20 0 EBADMM CCOc1ccc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001031714362 730755877 /nfs/dbraw/zinc/75/58/77/730755877.db2.gz XVFQGSVKCUFCAJ-UHFFFAOYSA-N -1 1 346.391 -0.236 20 0 EBADMM O=C(NCC1CN(Cc2n[nH]c(C3CC3)n2)C1)c1n[nH]c(=O)[n-]c1=O ZINC001031816115 730774188 /nfs/dbraw/zinc/77/41/88/730774188.db2.gz YZCYPTIOGOSDKR-UHFFFAOYSA-N -1 1 346.351 -0.860 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3ccc4n[nH]nc4c3)C2)nc1=O ZINC001032180893 730822928 /nfs/dbraw/zinc/82/29/28/730822928.db2.gz OFYAKHLEHCRXSU-UHFFFAOYSA-N -1 1 342.363 -0.759 20 0 EBADMM CCc1cc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC001032228360 730833010 /nfs/dbraw/zinc/83/30/10/730833010.db2.gz IBSJOEJZIOOHEF-UHFFFAOYSA-N -1 1 333.396 -0.734 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)CCn2cccn2)nc1=O ZINC001032283616 730837386 /nfs/dbraw/zinc/83/73/86/730837386.db2.gz MUCOGGZGEDPJDR-RYUDHWBXSA-N -1 1 331.380 -0.820 20 0 EBADMM Cc1cc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)nn1C ZINC001032303767 730838436 /nfs/dbraw/zinc/83/84/36/730838436.db2.gz IDQNONORAINYIW-QWRGUYRKSA-N -1 1 331.380 -0.751 20 0 EBADMM Cc1cnn(CC(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)c1 ZINC001032304294 730838609 /nfs/dbraw/zinc/83/86/09/730838609.db2.gz CBTMNLJPSFGEEO-RYUDHWBXSA-N -1 1 331.380 -0.901 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@@H]2C[C@H]1CN2C[C@@H](O)Cn1cccn1 ZINC001032369055 730846709 /nfs/dbraw/zinc/84/67/09/730846709.db2.gz QBBNLRCYEHBUFH-MELADBBJSA-N -1 1 343.387 -0.057 20 0 EBADMM CCn1ccnc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032378532 730848460 /nfs/dbraw/zinc/84/84/60/730848460.db2.gz IDSSPIIDIWTOBQ-QWRGUYRKSA-N -1 1 331.380 -0.576 20 0 EBADMM C[C@H](C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1)c1ccnn1C ZINC001032409721 730854840 /nfs/dbraw/zinc/85/48/40/730854840.db2.gz XRIUREVOSOIPJX-SRVKXCTJSA-N -1 1 345.407 -0.569 20 0 EBADMM CCn1nnc(C)c1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032441724 730861229 /nfs/dbraw/zinc/86/12/29/730861229.db2.gz VENHVIQOHUXYKF-QWRGUYRKSA-N -1 1 346.395 -0.873 20 0 EBADMM CO[C@H](C)CN1C[C@@H]2C[C@H]1CN2C(=O)CCc1n[nH]c(=O)[n-]c1=O ZINC001032495512 730874972 /nfs/dbraw/zinc/87/49/72/730874972.db2.gz NJCUTQJTXBKFQB-VWYCJHECSA-N -1 1 337.380 -0.465 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)N1C[C@@H]2C[C@H]1CN2CC1CC1 ZINC001032689274 730911481 /nfs/dbraw/zinc/91/14/81/730911481.db2.gz VGBRUMATHYPNMB-RYUDHWBXSA-N -1 1 328.376 -0.234 20 0 EBADMM Cc1nn(C)c(F)c1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032757766 730924291 /nfs/dbraw/zinc/92/42/91/730924291.db2.gz OXYNERJFBBNMAN-UWVGGRQHSA-N -1 1 349.370 -0.612 20 0 EBADMM Cc1nc(CC(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)cs1 ZINC001032770360 730926394 /nfs/dbraw/zinc/92/63/94/730926394.db2.gz VDPFMVNJPIQHJO-RYUDHWBXSA-N -1 1 348.432 -0.099 20 0 EBADMM CCc1cc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)nn1C ZINC001032817930 730937483 /nfs/dbraw/zinc/93/74/83/730937483.db2.gz JKCPABLWYJCGLY-RYUDHWBXSA-N -1 1 345.407 -0.497 20 0 EBADMM CN(C(=O)c1cc[nH]c(=O)c1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032999176 730955718 /nfs/dbraw/zinc/95/57/18/730955718.db2.gz YYCFZYUPJMCGEI-LLVKDONJSA-N -1 1 332.364 -0.445 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(CC(=O)N2CCOCC2)C1 ZINC001033024411 730959417 /nfs/dbraw/zinc/95/94/17/730959417.db2.gz DLBFVHROOKUFEY-ZDUSSCGKSA-N -1 1 348.403 -0.208 20 0 EBADMM CN(C(=O)[C@@H]1CCCOCC1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033043746 730961987 /nfs/dbraw/zinc/96/19/87/730961987.db2.gz QKRPOKQSHIYNOA-CHWSQXEVSA-N -1 1 337.424 -0.042 20 0 EBADMM C[C@@H](C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)c1ccnn1C ZINC001033092102 730969606 /nfs/dbraw/zinc/96/96/06/730969606.db2.gz OTDWEMDYGGQGEX-VXGBXAGGSA-N -1 1 347.423 -0.322 20 0 EBADMM COC[C@@H](C)N1CC[C@@H](N(C)C(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001033510627 731033908 /nfs/dbraw/zinc/03/39/08/731033908.db2.gz WNJMYNXYKRWIKK-VXGBXAGGSA-N -1 1 348.407 -0.361 20 0 EBADMM Cc1n[nH]c(C)c1CC(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033650808 731056110 /nfs/dbraw/zinc/05/61/10/731056110.db2.gz BJPYZRDWPBYSIS-LBPRGKRZSA-N -1 1 347.423 -0.276 20 0 EBADMM CCN(C(=O)c1ccc(=O)[nH]n1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033739687 731071334 /nfs/dbraw/zinc/07/13/34/731071334.db2.gz OSNCKZFIOFTPAI-SNVBAGLBSA-N -1 1 347.379 -0.659 20 0 EBADMM CCN(C(=O)c1cnsn1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033763673 731071712 /nfs/dbraw/zinc/07/17/12/731071712.db2.gz JYYHSIZILCINJA-VIFPVBQESA-N -1 1 337.409 -0.304 20 0 EBADMM CCN(C(=O)c1c(C)ncn1C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033862318 731080615 /nfs/dbraw/zinc/08/06/15/731080615.db2.gz BEAXMGDZCKQWKO-LBPRGKRZSA-N -1 1 347.423 -0.113 20 0 EBADMM CCN(C(=O)c1ccnnc1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033964692 731094637 /nfs/dbraw/zinc/09/46/37/731094637.db2.gz KXPOCSJUKODJSI-LBPRGKRZSA-N -1 1 331.380 -0.365 20 0 EBADMM CCN(C(=O)c1ccn(C)n1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034046161 731100762 /nfs/dbraw/zinc/10/07/62/731100762.db2.gz VCCQZHFOKWWMOS-LLVKDONJSA-N -1 1 333.396 -0.422 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H](NC(=O)Cn3cccn3)C2)nc1=O ZINC001034100145 731103507 /nfs/dbraw/zinc/10/35/07/731103507.db2.gz BUYPBBOHRPJRCM-GFCCVEGCSA-N -1 1 333.396 -0.524 20 0 EBADMM Cn1cc(CC(=O)N[C@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001034122052 731104648 /nfs/dbraw/zinc/10/46/48/731104648.db2.gz ILAXTCZKKOQFEJ-ZDUSSCGKSA-N -1 1 347.423 -0.445 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H](NC(=O)c3cccc(=O)[nH]3)C2)nc1=O ZINC001034146453 731105578 /nfs/dbraw/zinc/10/55/78/731105578.db2.gz LPCBUVKMXHFFEB-LLVKDONJSA-N -1 1 346.391 -0.007 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H](NC(=O)c3cc[nH]c(=O)c3)C2)nc1=O ZINC001034151149 731105900 /nfs/dbraw/zinc/10/59/00/731105900.db2.gz ABZQVHHFDDONPB-LBPRGKRZSA-N -1 1 346.391 -0.007 20 0 EBADMM Cc1nc(CC(=O)N[C@H]2CCCCN(Cc3n[nH]c(=O)[n-]3)C2)n[nH]1 ZINC001034198678 731110163 /nfs/dbraw/zinc/11/01/63/731110163.db2.gz DFIAQZXWRDJMEZ-JTQLQIEISA-N -1 1 334.384 -0.350 20 0 EBADMM Cc1cccn(CC(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)c1=O ZINC001034936745 731165167 /nfs/dbraw/zinc/16/51/67/731165167.db2.gz VLAWAGUIKOHMPJ-GFCCVEGCSA-N -1 1 346.391 -0.239 20 0 EBADMM COc1c[nH]c(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)cc1=O ZINC001034992855 731174703 /nfs/dbraw/zinc/17/47/03/731174703.db2.gz OFJVNAFUYPRGGU-SECBINFHSA-N -1 1 348.363 -0.398 20 0 EBADMM CCn1cc(CC(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)nn1 ZINC001035000085 731175042 /nfs/dbraw/zinc/17/50/42/731175042.db2.gz QAFGDEGVNFTSHH-LLVKDONJSA-N -1 1 334.384 -0.555 20 0 EBADMM NC(=O)c1cc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)co1 ZINC001035004789 731175618 /nfs/dbraw/zinc/17/56/18/731175618.db2.gz JRUOJXPOSJPMOY-SECBINFHSA-N -1 1 334.336 -0.403 20 0 EBADMM C[C@@]1(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CCC(=O)NC1 ZINC001035027807 731178494 /nfs/dbraw/zinc/17/84/94/731178494.db2.gz UEIFEPBJHSPJLR-MEBBXXQBSA-N -1 1 336.396 -0.493 20 0 EBADMM O=C(c1nc2ncccn2n1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035081862 731183921 /nfs/dbraw/zinc/18/39/21/731183921.db2.gz NBLWVPPTXBKCRZ-SECBINFHSA-N -1 1 343.351 -0.658 20 0 EBADMM CC(=O)N1CC[C@H](C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)C1 ZINC001035114531 731187098 /nfs/dbraw/zinc/18/70/98/731187098.db2.gz XJWMQDMEPRSENM-NWDGAFQWSA-N -1 1 336.396 -0.541 20 0 EBADMM O=C(Cc1nnc[nH]1)N1CCC2(CCN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035247055 731200680 /nfs/dbraw/zinc/20/06/80/731200680.db2.gz DFADFMRLJXHVBE-UHFFFAOYSA-N -1 1 346.395 -0.314 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)c3cccnc3)C2)nc1=O ZINC001035263928 731202256 /nfs/dbraw/zinc/20/22/56/731202256.db2.gz BNKGUKROSSNUQO-GFCCVEGCSA-N -1 1 332.364 -0.866 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)on1 ZINC001035280352 731204708 /nfs/dbraw/zinc/20/47/08/731204708.db2.gz BMOLJJLSLIRICI-SNVBAGLBSA-N -1 1 336.352 -0.964 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)no1 ZINC001035285538 731205427 /nfs/dbraw/zinc/20/54/27/731205427.db2.gz BRBWPTBLTCBUSX-SNVBAGLBSA-N -1 1 336.352 -0.964 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)[C@H]3[C@@H]4CCC[C@@H]43)C2)nc1=O ZINC001035315746 731212752 /nfs/dbraw/zinc/21/27/52/731212752.db2.gz FGJLVZOUSRPZNU-NMKXLXIOSA-N -1 1 335.408 -0.529 20 0 EBADMM CN1CCC[C@@H](N2CCO[C@H](CNC(=O)c3ncccc3[O-])C2)C1=O ZINC001035342213 731221468 /nfs/dbraw/zinc/22/14/68/731221468.db2.gz BHDJPEBEGDMPIB-CHWSQXEVSA-N -1 1 348.403 -0.161 20 0 EBADMM Cn1ncnc1CN1CCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001035343101 731221611 /nfs/dbraw/zinc/22/16/11/731221611.db2.gz FYMMLEGXSLZVNS-NSHDSACASA-N -1 1 332.364 -0.454 20 0 EBADMM CN(C(=O)CN1CCO[C@H](CNC(=O)c2ncccc2[O-])C1)C1CC1 ZINC001035343331 731221747 /nfs/dbraw/zinc/22/17/47/731221747.db2.gz HVCWLANTKMCWMS-CYBMUJFWSA-N -1 1 348.403 -0.161 20 0 EBADMM O=C(CN1CCO[C@@H](CNC(=O)c2ncccc2[O-])C1)NC1CC1 ZINC001035344314 731221914 /nfs/dbraw/zinc/22/19/14/731221914.db2.gz PPTBLSQGVVEHTJ-LBPRGKRZSA-N -1 1 334.376 -0.504 20 0 EBADMM CS(=O)(=O)CCN1CCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001035345526 731222606 /nfs/dbraw/zinc/22/26/06/731222606.db2.gz ZYYZWUGBHFPGQO-LLVKDONJSA-N -1 1 343.405 -0.738 20 0 EBADMM CCc1[nH]ccc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035361798 731230172 /nfs/dbraw/zinc/23/01/72/731230172.db2.gz LUZQRFSCUTZNOM-NSHDSACASA-N -1 1 348.407 -0.370 20 0 EBADMM Cn1cc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)c(C2CC2)n1 ZINC001038297114 731303740 /nfs/dbraw/zinc/30/37/40/731303740.db2.gz LEPSLOOTPNKKSE-LLVKDONJSA-N -1 1 345.407 -0.276 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2ccnc3[nH]cnc32)nc1=O ZINC001038303719 731304351 /nfs/dbraw/zinc/30/43/51/731304351.db2.gz CEPNXSJAAQLWSP-SECBINFHSA-N -1 1 342.363 -0.616 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cc3c([nH]2)CCOC3)nc1=O ZINC001038335016 731308656 /nfs/dbraw/zinc/30/86/56/731308656.db2.gz DIUCYPMYEZBWQT-LLVKDONJSA-N -1 1 346.391 -0.487 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2ccc3cc[nH]c3n2)nc1=O ZINC001038376841 731315239 /nfs/dbraw/zinc/31/52/39/731315239.db2.gz JRNDAINLCYRRMV-LLVKDONJSA-N -1 1 341.375 -0.011 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cc3n(n2)CCCC3)nc1=O ZINC001038378463 731315610 /nfs/dbraw/zinc/31/56/10/731315610.db2.gz BYAAGUHFXGCPEP-GFCCVEGCSA-N -1 1 345.407 -0.355 20 0 EBADMM CN(C)Cc1cc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)no1 ZINC001038441168 731325790 /nfs/dbraw/zinc/32/57/90/731325790.db2.gz FGUKWXXQXPMPQZ-SNVBAGLBSA-N -1 1 349.395 -0.838 20 0 EBADMM CCCc1c(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)cnn1C ZINC001038451109 731327818 /nfs/dbraw/zinc/32/78/18/731327818.db2.gz QDUZOZDEEGOIAV-LLVKDONJSA-N -1 1 347.423 -0.201 20 0 EBADMM CC1(C)CO[C@@H](CN2CC[C@@H]2CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001038460458 731329202 /nfs/dbraw/zinc/32/92/02/731329202.db2.gz WJUNKTCWDLXSFA-NXEZZACHSA-N -1 1 337.380 -0.098 20 0 EBADMM Cn1nccc1CCN1CC[C@H]1CNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001038460383 731329299 /nfs/dbraw/zinc/32/92/99/731329299.db2.gz VLBNCFZUSIXOIC-JTQLQIEISA-N -1 1 333.352 -0.937 20 0 EBADMM CCc1nnsc1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038475779 731332617 /nfs/dbraw/zinc/33/26/17/731332617.db2.gz GMESVKQKJYPPRO-MRVPVSSYSA-N -1 1 337.409 -0.473 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cccc3nccn32)nc1=O ZINC001038511312 731337591 /nfs/dbraw/zinc/33/75/91/731337591.db2.gz UXQNWQUJFHMVBE-NSHDSACASA-N -1 1 341.375 -0.240 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cccc3n[nH]cc32)nc1=O ZINC001038577417 731345373 /nfs/dbraw/zinc/34/53/73/731345373.db2.gz AQEVWGGCCOOVBY-SNVBAGLBSA-N -1 1 341.375 -0.011 20 0 EBADMM O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[n-]1)[C@H]1CCCc2n[nH]nc21 ZINC001038648093 731360050 /nfs/dbraw/zinc/36/00/50/731360050.db2.gz VEDCGTKDOGMTQY-BDAKNGLRSA-N -1 1 332.368 -0.561 20 0 EBADMM Cc1ncc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)c(C)n1 ZINC001038823062 731382182 /nfs/dbraw/zinc/38/21/82/731382182.db2.gz NRIWTSVODSGIFU-NSHDSACASA-N -1 1 331.380 -0.480 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cccc3[nH]cnc32)nc1=O ZINC001038840774 731383896 /nfs/dbraw/zinc/38/38/96/731383896.db2.gz XLYBWVDOTLSNIB-SNVBAGLBSA-N -1 1 341.375 -0.011 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cnoc2C2CC2)nc1=O ZINC001038877114 731387951 /nfs/dbraw/zinc/38/79/51/731387951.db2.gz RPPJEUIKPVZTPI-SNVBAGLBSA-N -1 1 332.364 -0.022 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCN1Cc1ncnn1C ZINC001038917975 731392381 /nfs/dbraw/zinc/39/23/81/731392381.db2.gz VMCYXACWAQHVCV-QMMMGPOBSA-N -1 1 349.351 -0.971 20 0 EBADMM Cc1oncc1CN1CC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001038919466 731392938 /nfs/dbraw/zinc/39/29/38/731392938.db2.gz CSUQBLRYDYDPSW-NSHDSACASA-N -1 1 343.347 -0.282 20 0 EBADMM O=C(NC[C@H]1CCN1Cc1nccs1)c1cc(=O)n2[n-]cnc2n1 ZINC001038920983 731393143 /nfs/dbraw/zinc/39/31/43/731393143.db2.gz KFNIZSVJKSQKBM-SECBINFHSA-N -1 1 345.388 -0.122 20 0 EBADMM Cc1ncc(CN2CC[C@@H]2CNC(=O)c2cc(=O)n3[n-]cnc3n2)o1 ZINC001038923687 731393727 /nfs/dbraw/zinc/39/37/27/731393727.db2.gz UYNKVORVTKJSNX-SNVBAGLBSA-N -1 1 343.347 -0.282 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cnn3cc[nH]c23)nc1=O ZINC001038951476 731396495 /nfs/dbraw/zinc/39/64/95/731396495.db2.gz YJYRNFLZMYVJCO-SECBINFHSA-N -1 1 330.352 -0.912 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cn3ccccc3n2)nc1=O ZINC001038990388 731399764 /nfs/dbraw/zinc/39/97/64/731399764.db2.gz YOLAPUPFDGXSDY-NSHDSACASA-N -1 1 341.375 -0.240 20 0 EBADMM CCc1onc(C)c1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001039000973 731401099 /nfs/dbraw/zinc/40/10/99/731401099.db2.gz CJPRTCFZILOKJB-SNVBAGLBSA-N -1 1 334.380 -0.028 20 0 EBADMM Cc1cc(=O)[nH]c(C)c1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001039034076 731407947 /nfs/dbraw/zinc/40/79/47/731407947.db2.gz GMPXKWKBESVUNZ-NSHDSACASA-N -1 1 346.391 -0.170 20 0 EBADMM CN(C)c1ccnc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)c1 ZINC001039073512 731415461 /nfs/dbraw/zinc/41/54/61/731415461.db2.gz KQNILXKLZXYDTO-GFCCVEGCSA-N -1 1 345.407 -0.426 20 0 EBADMM CCOc1cnc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001039079727 731416108 /nfs/dbraw/zinc/41/61/08/731416108.db2.gz HWFQDXXZRXCCED-JTQLQIEISA-N -1 1 347.379 -0.699 20 0 EBADMM NC(=O)CC(=O)N1CCCC[C@@H]1[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001039195108 731422281 /nfs/dbraw/zinc/42/22/81/731422281.db2.gz BRRYEMBQMIDFIE-GHMZBOCLSA-N -1 1 336.396 -0.411 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@]3(CCN(C(=O)c4cn[nH]c4)C3)C2)nc1=O ZINC001040093310 731594095 /nfs/dbraw/zinc/59/40/95/731594095.db2.gz RVRMAXXYSVXFMD-MRXNPFEDSA-N -1 1 345.407 -0.040 20 0 EBADMM Cc1nonc1C(=O)NC[C@]1(O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001040221570 731653649 /nfs/dbraw/zinc/65/36/49/731653649.db2.gz VVEHNGDEVZPMHJ-OAHLLOKOSA-N -1 1 347.331 -0.514 20 0 EBADMM Cn1cncc1C(=O)NC[C@@]1(O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001040234650 731658776 /nfs/dbraw/zinc/65/87/76/731658776.db2.gz IVTDLMVXVODRRE-INIZCTEOSA-N -1 1 345.359 -0.472 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC[C@]3(CCN([C@@H]4CCNC4=O)C3)C2)c1[O-] ZINC001041208637 732031984 /nfs/dbraw/zinc/03/19/84/732031984.db2.gz WWVYJMQAMLLGGR-BZNIZROVSA-N -1 1 333.392 -0.150 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(CCN(C(=O)c4cnco4)C3)C2)nc1=O ZINC001041520718 732146133 /nfs/dbraw/zinc/14/61/33/732146133.db2.gz VOMWPMWPIKGLTH-OAHLLOKOSA-N -1 1 332.364 -0.165 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(CCN(C(=O)Cc4cnoc4)C3)C2)nc1=O ZINC001041590116 732162565 /nfs/dbraw/zinc/16/25/65/732162565.db2.gz OEMYGBDELVYJQZ-MRXNPFEDSA-N -1 1 346.391 -0.237 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)c4cnns4)C[C@H]32)nc1=O ZINC001041959415 732263598 /nfs/dbraw/zinc/26/35/98/732263598.db2.gz ZBFLZHUBUDXYPL-NXEZZACHSA-N -1 1 349.420 -0.304 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)Cc4ccon4)C[C@H]32)nc1=O ZINC001041975202 732265840 /nfs/dbraw/zinc/26/58/40/732265840.db2.gz KVJBWBQINKSRIK-WCQYABFASA-N -1 1 346.391 -0.238 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@@H]3CCN(Cc4nc(=O)n(C)[n-]4)[C@H]3C2)c1 ZINC001042018351 732278207 /nfs/dbraw/zinc/27/82/07/732278207.db2.gz IIMJVJVZRRUDKU-AAEUAGOBSA-N -1 1 345.407 -0.422 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)C4=CCOCC4)C[C@H]32)nc1=O ZINC001042024914 732280498 /nfs/dbraw/zinc/28/04/98/732280498.db2.gz AJSJXGDJQGGUBT-GXTWGEPZSA-N -1 1 347.419 -0.122 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)CC(C)(C)O)C[C@H]32)nc1=O ZINC001042035210 732283989 /nfs/dbraw/zinc/28/39/89/732283989.db2.gz OPLUKEFCIZTFKI-VXGBXAGGSA-N -1 1 337.424 -0.308 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)c4csnn4)C[C@H]32)nc1=O ZINC001042168189 732341081 /nfs/dbraw/zinc/34/10/81/732341081.db2.gz SKYCJPNDEQELSP-GXSJLCMTSA-N -1 1 349.420 -0.304 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(Cc2nnc3n2CCOC3)C1 ZINC001042645366 732512619 /nfs/dbraw/zinc/51/26/19/732512619.db2.gz QTBRPKKWIYPQAB-UHFFFAOYSA-N -1 1 344.375 -0.135 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(CCN2C(=O)CNC2=O)C1 ZINC001042646323 732512659 /nfs/dbraw/zinc/51/26/59/732512659.db2.gz OYHSWWMJOUJRNL-UHFFFAOYSA-N -1 1 333.348 -0.905 20 0 EBADMM CCn1cc(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)cn1 ZINC001042713111 732546619 /nfs/dbraw/zinc/54/66/19/732546619.db2.gz ONOWUOZLNHTDBO-UHFFFAOYSA-N -1 1 345.359 -0.380 20 0 EBADMM Cc1nnc(CC(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)o1 ZINC001042713372 732547406 /nfs/dbraw/zinc/54/74/06/732547406.db2.gz VIBWPJBLGUIMGI-UHFFFAOYSA-N -1 1 347.331 -0.976 20 0 EBADMM O=C(NCC1(O)CN(C(=O)[C@H]2CC2(F)F)C1)c1ncccc1[O-] ZINC001042715985 732547773 /nfs/dbraw/zinc/54/77/73/732547773.db2.gz FJIKSWXQTCCNOM-MRVPVSSYSA-N -1 1 327.287 -0.255 20 0 EBADMM C[C@H]1COCC[C@@H]1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042720147 732551036 /nfs/dbraw/zinc/55/10/36/732551036.db2.gz RUNHHPWJFDEPLX-RYUDHWBXSA-N -1 1 349.387 -0.237 20 0 EBADMM COCC(C)(C)C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042720142 732551067 /nfs/dbraw/zinc/55/10/67/732551067.db2.gz RSWHCKZMTOCMKT-UHFFFAOYSA-N -1 1 337.376 -0.237 20 0 EBADMM CN(C(=O)[C@@H]1C[C@H]1c1ccncc1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042745794 732562273 /nfs/dbraw/zinc/56/22/73/732562273.db2.gz GZRILVGMSKDZCN-UONOGXRCSA-N -1 1 342.403 -0.050 20 0 EBADMM CC(C)Cn1nccc1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042817139 732602929 /nfs/dbraw/zinc/60/29/29/732602929.db2.gz JQEGBJYFQKBDJB-UHFFFAOYSA-N -1 1 347.423 -0.083 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)[C@@H]1CC1(F)F ZINC001042910350 732657335 /nfs/dbraw/zinc/65/73/35/732657335.db2.gz JVUYCDTXAJEBRV-QMMMGPOBSA-N -1 1 327.287 -0.255 20 0 EBADMM CN(C(=O)c1ccc2nc[nH]c2n1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043045716 732730661 /nfs/dbraw/zinc/73/06/61/732730661.db2.gz IMQBNURHHCUILZ-UHFFFAOYSA-N -1 1 342.363 -0.664 20 0 EBADMM CN(C(=O)[C@H]1CCn2ccnc2C1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043138665 732783657 /nfs/dbraw/zinc/78/36/57/732783657.db2.gz AXSTXJVYSPCZPY-NSHDSACASA-N -1 1 345.407 -0.790 20 0 EBADMM Cc1nc2c([nH]1)CC[C@@H](C(=O)N(C)C1CN(Cc3n[nH]c(=O)[n-]3)C1)C2 ZINC001043143312 732786718 /nfs/dbraw/zinc/78/67/18/732786718.db2.gz LICZBZVVXQRALD-SNVBAGLBSA-N -1 1 345.407 -0.010 20 0 EBADMM CCOC1CC(CN2CC(N(C)C(=O)c3n[nH]c(=O)[n-]c3=O)C2)C1 ZINC001043231246 732837268 /nfs/dbraw/zinc/83/72/68/732837268.db2.gz YCQYHTPJIJEDFV-UHFFFAOYSA-N -1 1 337.380 -0.146 20 0 EBADMM CN(C(=O)c1cnc2nccn2c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043349143 732882823 /nfs/dbraw/zinc/88/28/23/732882823.db2.gz IZMGJLZASMMHSA-UHFFFAOYSA-N -1 1 342.363 -0.893 20 0 EBADMM CO[C@@H]1CC[C@H](C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001043637702 733035833 /nfs/dbraw/zinc/03/58/33/733035833.db2.gz APQXCSAWAZNOCH-NWDGAFQWSA-N -1 1 349.387 -0.095 20 0 EBADMM CC[C@@H]1CCO[C@@H]1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001043658410 733046003 /nfs/dbraw/zinc/04/60/03/733046003.db2.gz IBTXSRSXMCXOSL-RISCZKNCSA-N -1 1 349.387 -0.095 20 0 EBADMM CN(C(=O)[C@H]1Cc2ccncc2C1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043884662 733175446 /nfs/dbraw/zinc/17/54/46/733175446.db2.gz HQGRCIGQHQDTLF-LBPRGKRZSA-N -1 1 342.403 -0.439 20 0 EBADMM CCc1nc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c(C)o1 ZINC001044041001 733257662 /nfs/dbraw/zinc/25/76/62/733257662.db2.gz ZQZZMMOJAQSUTP-UHFFFAOYSA-N -1 1 334.380 -0.076 20 0 EBADMM Cc1nnccc1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001044149151 733303330 /nfs/dbraw/zinc/30/33/30/733303330.db2.gz MSZATSRCKHEEEZ-UHFFFAOYSA-N -1 1 343.343 -0.497 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C1CN(C[C@@H](C)OC)C1 ZINC001044179836 733319522 /nfs/dbraw/zinc/31/95/22/733319522.db2.gz AYCLSVBRUWIXLF-MRVPVSSYSA-N -1 1 326.353 -0.313 20 0 EBADMM CN(C(=O)c1noc2c1COCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044317049 733377202 /nfs/dbraw/zinc/37/72/02/733377202.db2.gz HKENSCHICPEAIB-UHFFFAOYSA-N -1 1 348.363 -0.875 20 0 EBADMM Cc1cc(=O)[nH]c(C)c1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044321367 733380730 /nfs/dbraw/zinc/38/07/30/733380730.db2.gz OATVYJNIFPEETE-UHFFFAOYSA-N -1 1 346.391 -0.218 20 0 EBADMM C[C@@H]1C[C@@H](NCc2cnn(C)n2)CCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001044663722 733474829 /nfs/dbraw/zinc/47/48/29/733474829.db2.gz NHUOFWARSRBKJX-BDAKNGLRSA-N -1 1 348.367 -0.806 20 0 EBADMM CN(C)c1cnc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)cn1 ZINC001045036274 733562263 /nfs/dbraw/zinc/56/22/63/733562263.db2.gz WETXDBZGPUIPNN-JTQLQIEISA-N -1 1 346.395 -0.239 20 0 EBADMM O=C(c1cc2n(n1)CCO2)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045070884 733571312 /nfs/dbraw/zinc/57/13/12/733571312.db2.gz BFOACIPTTDCZNY-VIFPVBQESA-N -1 1 333.352 -0.506 20 0 EBADMM O=C(Cc1ccco1)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001045201522 733620762 /nfs/dbraw/zinc/62/07/62/733620762.db2.gz IVHPWYSOPJAIRN-UHFFFAOYSA-N -1 1 331.328 -0.074 20 0 EBADMM O=C(c1nc2ncccn2n1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045245478 733635216 /nfs/dbraw/zinc/63/52/16/733635216.db2.gz NBLWVPPTXBKCRZ-VIFPVBQESA-N -1 1 343.351 -0.658 20 0 EBADMM CN1C[C@H](C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CCC1=O ZINC001045325173 733669353 /nfs/dbraw/zinc/66/93/53/733669353.db2.gz ILCARMVVHOILPX-MNOVXSKESA-N -1 1 336.396 -0.541 20 0 EBADMM Cn1[nH]c(CN2CCC(C)(NC(=O)c3ncccc3[O-])CC2)nc1=O ZINC001045415113 733690813 /nfs/dbraw/zinc/69/08/13/733690813.db2.gz TTZAIDBSCJXLFS-UHFFFAOYSA-N -1 1 346.391 -0.007 20 0 EBADMM Cn1cncc1C(=O)N1CC[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001045809661 733776257 /nfs/dbraw/zinc/77/62/57/733776257.db2.gz OJLRXFVHMHBYEY-NEPJUHHUSA-N -1 1 345.407 -0.279 20 0 EBADMM CC(C)C(=O)N1CCN([C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001046005501 733794329 /nfs/dbraw/zinc/79/43/29/733794329.db2.gz SBLSUQNYOLUEHY-ZDUSSCGKSA-N -1 1 336.440 -0.517 20 0 EBADMM C/C=C(\C)C(=O)N1CCN([C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001046021062 733807564 /nfs/dbraw/zinc/80/75/64/733807564.db2.gz ZQRYVMPFSOAYSS-HIICFIELSA-N -1 1 348.451 -0.207 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CCN([C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001046052357 733832787 /nfs/dbraw/zinc/83/27/87/733832787.db2.gz WHJPZJXKOUORFU-MGPQQGTHSA-N -1 1 348.451 -0.517 20 0 EBADMM Cc1[nH]nc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC001046199419 733876041 /nfs/dbraw/zinc/87/60/41/733876041.db2.gz GUNUCTOYMPFQPC-OAHLLOKOSA-N -1 1 333.396 -0.157 20 0 EBADMM COc1cc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)on1 ZINC001046254935 733896721 /nfs/dbraw/zinc/89/67/21/733896721.db2.gz NEKLLGRPLONYBP-AWEZNQCLSA-N -1 1 336.352 -0.501 20 0 EBADMM CCn1ccnc1C(=O)N[C@@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001046275921 733900460 /nfs/dbraw/zinc/90/04/60/733900460.db2.gz CSZOXSOFJFXOQO-HNNXBMFYSA-N -1 1 333.396 -0.281 20 0 EBADMM Cc1cc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1 ZINC001046273785 733900544 /nfs/dbraw/zinc/90/05/44/733900544.db2.gz YVGFRROHCNQUPP-OAHLLOKOSA-N -1 1 331.380 -0.399 20 0 EBADMM Cc1cn(C)nc1C(=O)N[C@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001046309573 733905936 /nfs/dbraw/zinc/90/59/36/733905936.db2.gz OVMGURAHDASCME-OAHLLOKOSA-N -1 1 333.396 -0.455 20 0 EBADMM Cc1nc([C@@H](C)N2CC[C@@](C)(NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001046451331 733938986 /nfs/dbraw/zinc/93/89/86/733938986.db2.gz AETVXSZZZSOIBX-NXSYQRQQSA-N -1 1 348.367 -0.335 20 0 EBADMM Cn1[n-]c(CN2CC[C@](C)(NC(=O)c3coc(C(N)=O)c3)C2)nc1=O ZINC001046511766 733951460 /nfs/dbraw/zinc/95/14/60/733951460.db2.gz QLQANSNYIBQPSB-HNNXBMFYSA-N -1 1 348.363 -0.805 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)[C@H]1C[C@]12CCOC2 ZINC001046585213 733973956 /nfs/dbraw/zinc/97/39/56/733973956.db2.gz SIUYUYTUNHFSES-BZNIZROVSA-N -1 1 347.371 -0.483 20 0 EBADMM CCn1nncc1C(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047067704 734088494 /nfs/dbraw/zinc/08/84/94/734088494.db2.gz DSKZIPKORBGZES-DCAQKATOSA-N -1 1 346.395 -0.095 20 0 EBADMM Cn1c(C(=O)N[C@@H]2C[C@H]3C[C@@H](C2)N(Cc2n[nH]c(=O)[n-]2)C3)c[nH]c1=O ZINC001047125938 734097139 /nfs/dbraw/zinc/09/71/39/734097139.db2.gz QOJNWUYLLDNAFB-AEJSXWLSSA-N -1 1 347.379 -0.268 20 0 EBADMM O=C(Cc1ncc[nH]1)N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047169018 734105269 /nfs/dbraw/zinc/10/52/69/734105269.db2.gz RFPZMDJYXINBRQ-DCAQKATOSA-N -1 1 331.380 -0.055 20 0 EBADMM Cn1cncc1CC(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047181448 734107670 /nfs/dbraw/zinc/10/76/70/734107670.db2.gz LUMNHJGOXJEHLD-TUAOUCFPSA-N -1 1 345.407 -0.044 20 0 EBADMM CN(C(=O)c1ccc(F)cc1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047282344 734116203 /nfs/dbraw/zinc/11/62/03/734116203.db2.gz YDILQMHEOAGGTL-STQMWFEESA-N -1 1 349.366 -0.435 20 0 EBADMM Cc1occc1C(=O)N(C)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047296952 734120772 /nfs/dbraw/zinc/12/07/72/734120772.db2.gz YPALBXAZFINDFE-RYUDHWBXSA-N -1 1 335.364 -0.673 20 0 EBADMM CCN1CC[C@@H](N2C[C@H](O)[C@@H](N(C)C(=O)c3ncccc3[O-])C2)C1=O ZINC001047359268 734152458 /nfs/dbraw/zinc/15/24/58/734152458.db2.gz SKIWXPDWAWPOMC-DYEKYZERSA-N -1 1 348.403 -0.475 20 0 EBADMM C[C@H](NCc1cnon1)[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001047893851 734319339 /nfs/dbraw/zinc/31/93/39/734319339.db2.gz HIMWTPZWRQWLPN-JGVFFNPUSA-N -1 1 335.324 -0.694 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnc([O-])n(C)c2=O)C[C@H]1CNCc1cnon1 ZINC001048337390 734392906 /nfs/dbraw/zinc/39/29/06/734392906.db2.gz HXMZARXWPCKJOA-NXEZZACHSA-N -1 1 348.363 -0.633 20 0 EBADMM CCO[C@H](C)C(=O)N(C)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001048344438 734396084 /nfs/dbraw/zinc/39/60/84/734396084.db2.gz RQWULWLBIOGBRY-MDZLAQPJSA-N -1 1 337.376 -0.144 20 0 EBADMM CCO[C@H](C)C(=O)N1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001048722923 734483432 /nfs/dbraw/zinc/48/34/32/734483432.db2.gz YYDNBDFCDOLTMM-MDZLAQPJSA-N -1 1 337.376 -0.144 20 0 EBADMM Cn1nccc1CN1C[C@@H]2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@@H]2C1 ZINC001048918647 734570570 /nfs/dbraw/zinc/57/05/70/734570570.db2.gz BCFQIOHVHQDBDT-AOOOYVTPSA-N -1 1 345.363 -0.780 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@@H]2CCCN3C(=O)c2ccon2)nc1=O ZINC001049360990 734714557 /nfs/dbraw/zinc/71/45/57/734714557.db2.gz QPRVKUCDPXZOHY-RYUDHWBXSA-N -1 1 332.364 -0.025 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@H]2CCCN3C(=O)c2ccon2)nc1=O ZINC001049360986 734714817 /nfs/dbraw/zinc/71/48/17/734714817.db2.gz QPRVKUCDPXZOHY-NEPJUHHUSA-N -1 1 332.364 -0.025 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@@H]2CCCN3C(=O)Cc2ccon2)nc1=O ZINC001049377866 734717257 /nfs/dbraw/zinc/71/72/57/734717257.db2.gz RUVFTDWDJSSZHN-QWHCGFSZSA-N -1 1 346.391 -0.096 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@@H]2CCCN3C(=O)[C@@H]2CCCO2)nc1=O ZINC001049432543 734728889 /nfs/dbraw/zinc/72/88/89/734728889.db2.gz VWWZRKIDGQLECQ-AVGNSLFASA-N -1 1 335.408 -0.147 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@@H]2CCCN3C(=O)CC(C)(C)O)nc1=O ZINC001049441847 734732274 /nfs/dbraw/zinc/73/22/74/734732274.db2.gz LFSQUJWQMKKHBL-RYUDHWBXSA-N -1 1 337.424 -0.165 20 0 EBADMM Cn1cnc(C(=O)N2CCC[C@@H]3[C@H]2CCN3Cc2nc(=O)n(C)[n-]2)n1 ZINC001049456155 734734579 /nfs/dbraw/zinc/73/45/79/734734579.db2.gz IZYWZKINQMOZTE-GHMZBOCLSA-N -1 1 346.395 -0.884 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@H]2CCCN3C(=O)c2cnco2)nc1=O ZINC001049620220 734767251 /nfs/dbraw/zinc/76/72/51/734767251.db2.gz IZKPDXUNOZDDMD-MNOVXSKESA-N -1 1 332.364 -0.025 20 0 EBADMM O=C(CCc1c[nH]nn1)N1CCC[C@@H]2[C@@H]1CCN2Cc1n[nH]c(=O)[n-]1 ZINC001049741938 734784772 /nfs/dbraw/zinc/78/47/72/734784772.db2.gz RMQQJINCKSMBHM-NEPJUHHUSA-N -1 1 346.395 -0.174 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@@H]2CCCN3C(=O)c2ccnnc2)nc1=O ZINC001049746905 734784953 /nfs/dbraw/zinc/78/49/53/734784953.db2.gz HFYVXSQMKLDBHP-QWHCGFSZSA-N -1 1 343.391 -0.223 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCCN(C(=O)c4ncc[nH]4)[C@@H]3C2)nc1=O ZINC001050041915 734831208 /nfs/dbraw/zinc/83/12/08/734831208.db2.gz BIJNFKVXODISJN-WDEREUQCSA-N -1 1 331.380 -0.432 20 0 EBADMM C[C@@H](NC(=O)Cn1c(=O)[n-][nH]c1=O)[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001050103464 734842306 /nfs/dbraw/zinc/84/23/06/734842306.db2.gz LHZVDWIUYDLJBU-RKDXNWHRSA-N -1 1 349.351 -0.921 20 0 EBADMM CNC(=O)NCC(=O)N[C@H](C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050528953 734919253 /nfs/dbraw/zinc/91/92/53/734919253.db2.gz GEMDSRBISMSHRQ-GHMZBOCLSA-N -1 1 349.391 -0.317 20 0 EBADMM C[C@@H](NC(=O)C(N)=O)[C@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001050754765 734954451 /nfs/dbraw/zinc/95/44/51/734954451.db2.gz AKVIODHCKMSQTG-SCZZXKLOSA-N -1 1 347.375 -0.488 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)[C@H]2[C@@H]3CCC[C@@H]32)nc1=O ZINC001050857364 734980226 /nfs/dbraw/zinc/98/02/26/734980226.db2.gz VAOAEOIMTZCSMB-NMKXLXIOSA-N -1 1 335.408 -0.529 20 0 EBADMM Cc1cccnc1C(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001050863113 734982100 /nfs/dbraw/zinc/98/21/00/734982100.db2.gz BHJSRVJOZRPIIJ-LBPRGKRZSA-N -1 1 346.391 -0.557 20 0 EBADMM Cn1cnnc1CN1CCOC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001050890596 734990695 /nfs/dbraw/zinc/99/06/95/734990695.db2.gz JHEFWAAWPZVEAS-NSHDSACASA-N -1 1 332.364 -0.454 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)C2CC(C)(C)C2)nc1=O ZINC001050902555 734996631 /nfs/dbraw/zinc/99/66/31/734996631.db2.gz JISXZHPYXYWRAD-GFCCVEGCSA-N -1 1 337.424 -0.138 20 0 EBADMM CNC(=O)NCC(=O)N1CC[C@H]([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001050933783 735003719 /nfs/dbraw/zinc/00/37/19/735003719.db2.gz JFRUWJIPGHABKV-QWRGUYRKSA-N -1 1 349.391 -0.317 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)[C@H]2CCC2(C)C)nc1=O ZINC001051070633 735054883 /nfs/dbraw/zinc/05/48/83/735054883.db2.gz UEUPRJZGYRPSTD-VXGBXAGGSA-N -1 1 337.424 -0.138 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2COCCN2Cc2nc(=O)n(C)[n-]2)c(C)[nH]1 ZINC001051145622 735076824 /nfs/dbraw/zinc/07/68/24/735076824.db2.gz KCIYPRRMVQZTFY-LBPRGKRZSA-N -1 1 348.407 -0.316 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)[C@@H]2C[C@H]2C2CC2)nc1=O ZINC001051156935 735080109 /nfs/dbraw/zinc/08/01/09/735080109.db2.gz BTJKZBCYSVBXNQ-FRRDWIJNSA-N -1 1 335.408 -0.529 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)[C@@H]2C[C@H]2C2CCC2)nc1=O ZINC001051159221 735080521 /nfs/dbraw/zinc/08/05/21/735080521.db2.gz IJERVPIUVKMVOP-MELADBBJSA-N -1 1 349.435 -0.138 20 0 EBADMM CC(C)(C)C(=O)C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051496920 735198783 /nfs/dbraw/zinc/19/87/83/735198783.db2.gz GYYRHKTYKKMYDG-VIFPVBQESA-N -1 1 325.369 -0.558 20 0 EBADMM COc1cc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)on1 ZINC001051559806 735233089 /nfs/dbraw/zinc/23/30/89/735233089.db2.gz SMKOOCUMTFGGHT-MRVPVSSYSA-N -1 1 338.324 -0.862 20 0 EBADMM CO[C@@H](C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001051584121 735249037 /nfs/dbraw/zinc/24/90/37/735249037.db2.gz NNEUTKIMSGQPPL-ZYHUDNBSSA-N -1 1 325.369 -0.748 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)c3cnccn3)CC2)nc1=O ZINC001052167943 735564408 /nfs/dbraw/zinc/56/44/08/735564408.db2.gz WYJQKTKXUCDTNJ-LLVKDONJSA-N -1 1 331.380 -0.317 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)CNC(N)=O ZINC001052420276 735611158 /nfs/dbraw/zinc/61/11/58/735611158.db2.gz CUSQQJKOTRTGLM-NXEZZACHSA-N -1 1 335.364 -0.720 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNC(=O)Cn1cnnn1 ZINC001052423361 735611723 /nfs/dbraw/zinc/61/17/23/735611723.db2.gz WCIHGVDDXVFGNO-MNOVXSKESA-N -1 1 345.363 -0.702 20 0 EBADMM Cn1nccc1CN1CCC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001052528578 735638187 /nfs/dbraw/zinc/63/81/87/735638187.db2.gz NGTVOBLZTBOKRH-JTQLQIEISA-N -1 1 347.379 -0.199 20 0 EBADMM C[C@H]1CN(C(=O)CCNC(N)=O)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC001052572087 735644440 /nfs/dbraw/zinc/64/44/40/735644440.db2.gz IPYDVDRXRHPRTB-WDEREUQCSA-N -1 1 349.391 -0.330 20 0 EBADMM CNC(=O)NCC(=O)N1C[C@H](C)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001052768147 735684291 /nfs/dbraw/zinc/68/42/91/735684291.db2.gz ASXJXRLACXZSKX-QWRGUYRKSA-N -1 1 349.391 -0.460 20 0 EBADMM C[C@H](C(N)=O)N1CCC[C@@H](NC(=O)c2c[n-]n3c2nccc3=O)CC1 ZINC001052774859 735687483 /nfs/dbraw/zinc/68/74/83/735687483.db2.gz LUGJJKJPYSMGSZ-GHMZBOCLSA-N -1 1 346.391 -0.519 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)c2ccncc2)CCO3)nc1=O ZINC001053124565 735753453 /nfs/dbraw/zinc/75/34/53/735753453.db2.gz GVMRFCVSTNGYDU-UHFFFAOYSA-N -1 1 344.375 -0.770 20 0 EBADMM Cc1cc(C(=O)N2CCOC3(CN(Cc4nc(=O)n(C)[n-]4)C3)C2)on1 ZINC001053152645 735760366 /nfs/dbraw/zinc/76/03/66/735760366.db2.gz DPWDPLDKYVKRFR-UHFFFAOYSA-N -1 1 348.363 -0.868 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)c2ccoc2)CCO3)nc1=O ZINC001053158075 735762475 /nfs/dbraw/zinc/76/24/75/735762475.db2.gz CMAGBIWGYISDEH-UHFFFAOYSA-N -1 1 333.348 -0.572 20 0 EBADMM Cc1ncc(C(=O)N2CCOC3(CN(Cc4n[nH]c(=O)[n-]4)C3)C2)[nH]1 ZINC001053190577 735774058 /nfs/dbraw/zinc/77/40/58/735774058.db2.gz ZKBOLFLYHXPQMV-UHFFFAOYSA-N -1 1 333.352 -0.731 20 0 EBADMM Cc1ncoc1C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053203642 735777927 /nfs/dbraw/zinc/77/79/27/735777927.db2.gz KXOIPIMIFBZEAJ-UHFFFAOYSA-N -1 1 348.363 -0.868 20 0 EBADMM Cn1ncc(CN2CC3(C2)CN(C(=O)c2ncccc2[O-])CCO3)n1 ZINC001053232599 735786360 /nfs/dbraw/zinc/78/63/60/735786360.db2.gz UCHKIMMWJFKBPB-UHFFFAOYSA-N -1 1 344.375 -0.357 20 0 EBADMM NC(=O)CN1CC2(C1)CN(C(=O)c1cnc(C3CC3)[n-]c1=O)CCO2 ZINC001053461492 735882375 /nfs/dbraw/zinc/88/23/75/735882375.db2.gz RQUUEXDCUBBFLW-UHFFFAOYSA-N -1 1 347.375 -0.928 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)[C@@H]2C[C@H]2C2CC2)CCO3)nc1=O ZINC001053468400 735884881 /nfs/dbraw/zinc/88/48/81/735884881.db2.gz XXLZMHHCFKTDIC-QWHCGFSZSA-N -1 1 347.419 -0.432 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CC[C@@H](CNC(=O)C2CCC2)O3)nc1=O ZINC001053559490 735926235 /nfs/dbraw/zinc/92/62/35/735926235.db2.gz OCCXVKSUHBXZAL-LBPRGKRZSA-N -1 1 335.408 -0.242 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)NC[C@@H]1CCC2(CN(Cc3nc(=O)n(C)[n-]3)C2)O1 ZINC001053642796 735953856 /nfs/dbraw/zinc/95/38/56/735953856.db2.gz LAFKOKCVBAGZGB-GRYCIOLGSA-N -1 1 335.408 -0.386 20 0 EBADMM Cc1cc(C(=O)N[C@H]2COC3(CN(Cc4nc(=O)n(C)[n-]4)C3)C2)no1 ZINC001053731935 735982314 /nfs/dbraw/zinc/98/23/14/735982314.db2.gz HPIBZKGMOGAEHK-SNVBAGLBSA-N -1 1 348.363 -0.822 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2COC3(CN(Cc4nc(=O)n(C)[n-]4)C3)C2)on1 ZINC001053732963 735982910 /nfs/dbraw/zinc/98/29/10/735982910.db2.gz JNFZFHBHZGOFBO-JTQLQIEISA-N -1 1 348.363 -0.822 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)[C@@H]2CC=CCC2)CO3)nc1=O ZINC001053735772 735985151 /nfs/dbraw/zinc/98/51/51/735985151.db2.gz KQIVRWSYAZCFRW-OLZOCXBDSA-N -1 1 347.419 -0.076 20 0 EBADMM CN1CCC[C@@H]1C(=O)N[C@@H]1COC2(CN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001053758251 735999123 /nfs/dbraw/zinc/99/91/23/735999123.db2.gz NZSFZQHXBRUHFB-WDEREUQCSA-N -1 1 336.396 -0.936 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)[C@H]2[C@@H]4CCC[C@@H]42)CO3)nc1=O ZINC001053770353 736005785 /nfs/dbraw/zinc/00/57/85/736005785.db2.gz OTOIWWDKGIMVAH-CIQGVGRVSA-N -1 1 347.419 -0.386 20 0 EBADMM CC1CC(C(=O)N[C@@H]2COC3(CN(Cc4nc(=O)n(C)[n-]4)C3)C2)C1 ZINC001053771662 736006001 /nfs/dbraw/zinc/00/60/01/736006001.db2.gz RSEUSERIYUVUPO-MCIGGMRASA-N -1 1 335.408 -0.386 20 0 EBADMM Cc1nc(C(=O)N[C@@H]2COC3(CN(Cc4nc(=O)n(C)[n-]4)C3)C2)co1 ZINC001053786179 736015801 /nfs/dbraw/zinc/01/58/01/736015801.db2.gz BKCDEWNHIZINFB-JTQLQIEISA-N -1 1 348.363 -0.822 20 0 EBADMM CC(C)CCN1CC2(C1)C[C@H](NC(=O)c1n[nH]c(=O)[n-]c1=O)CO2 ZINC001053858733 736061028 /nfs/dbraw/zinc/06/10/28/736061028.db2.gz PSIQKJPEKZIOJU-JTQLQIEISA-N -1 1 337.380 -0.098 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)[C@@]2(C)C=CCC2)CO3)nc1=O ZINC001053895486 736082861 /nfs/dbraw/zinc/08/28/61/736082861.db2.gz GYINZJQZULHWBC-WBMJQRKESA-N -1 1 347.419 -0.076 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)Cc2ccco2)CO3)nc1=O ZINC001053963177 736120035 /nfs/dbraw/zinc/12/00/35/736120035.db2.gz IYIHAECLCRCMEH-LLVKDONJSA-N -1 1 347.375 -0.596 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1COC2(CN(CCF)C2)C1 ZINC001053982264 736133032 /nfs/dbraw/zinc/13/30/32/736133032.db2.gz HMTZXEJTFOJPER-QMMMGPOBSA-N -1 1 342.327 -0.561 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(C2)CCCN(C(=O)[C@H]2CCOC2)C3)nc1=O ZINC001054098757 736162283 /nfs/dbraw/zinc/16/22/83/736162283.db2.gz XPWXTFTYGQZVKA-SUMWQHHRSA-N -1 1 349.435 -0.041 20 0 EBADMM C[C@H]1CN(C(=O)CCc2ccnn2C)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054696036 736232972 /nfs/dbraw/zinc/23/29/72/736232972.db2.gz QMJMEZKHSZPMEN-JQWIXIFHSA-N -1 1 333.396 -0.187 20 0 EBADMM C[C@H]1CN(C(=O)c2ccnc3ccnn32)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054746060 736238805 /nfs/dbraw/zinc/23/88/05/736238805.db2.gz HBWYLJIVXZSPIV-UWVGGRQHSA-N -1 1 342.363 -0.197 20 0 EBADMM Cc1c(CC(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H](C)C2)cnn1C ZINC001054747554 736238998 /nfs/dbraw/zinc/23/89/98/736238998.db2.gz PWOJCDISTSKPBZ-CABZTGNLSA-N -1 1 333.396 -0.269 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccnc3ccnn32)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054746068 736239117 /nfs/dbraw/zinc/23/91/17/736239117.db2.gz HBWYLJIVXZSPIV-ZJUUUORDSA-N -1 1 342.363 -0.197 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnc3n2CCC3)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054761592 736242662 /nfs/dbraw/zinc/24/26/62/736242662.db2.gz QFFOYYURYCXCKJ-ZJUUUORDSA-N -1 1 331.380 -0.097 20 0 EBADMM CCOc1cc(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC001054786682 736246655 /nfs/dbraw/zinc/24/66/55/736246655.db2.gz HHYINMANGPUMHZ-PSASIEDQSA-N -1 1 335.368 -0.118 20 0 EBADMM C[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@H]1NCc1ccn(C)n1 ZINC001054862994 736258453 /nfs/dbraw/zinc/25/84/53/736258453.db2.gz OKGDJJOTVMKAAZ-SCZZXKLOSA-N -1 1 333.352 -0.733 20 0 EBADMM C[C@H]1CN(C(=O)c2ncnc3[nH]ccc32)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054863483 736258558 /nfs/dbraw/zinc/25/85/58/736258558.db2.gz VPKBHJXMCSYTIB-WPRPVWTQSA-N -1 1 342.363 -0.017 20 0 EBADMM C[C@@H]1CN(C(=O)c2cc(C(N)=O)cn2C)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054867557 736259016 /nfs/dbraw/zinc/25/90/16/736259016.db2.gz YVYTXCAIVYJWSG-PSASIEDQSA-N -1 1 347.379 -0.802 20 0 EBADMM COc1cc(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)nn1C ZINC001054888828 736263194 /nfs/dbraw/zinc/26/31/94/736263194.db2.gz QSYFFRVMFITGFX-PSASIEDQSA-N -1 1 335.368 -0.497 20 0 EBADMM C[C@H]1CN(C(=O)c2ccnc3n[nH]nc32)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054886632 736263249 /nfs/dbraw/zinc/26/32/49/736263249.db2.gz XXBVNIWGPFBPRD-CBAPKCEASA-N -1 1 343.351 -0.573 20 0 EBADMM C[C@@H]1CN(C(=O)c2cc3n(n2)CCCO3)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054894454 736264773 /nfs/dbraw/zinc/26/47/73/736264773.db2.gz UAQQWQFQSZIFLY-KOLCDFICSA-N -1 1 347.379 -0.260 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnc3nccn3c2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054902157 736265826 /nfs/dbraw/zinc/26/58/26/736265826.db2.gz BFQLWSMEHZHWNH-MWLCHTKSSA-N -1 1 342.363 -0.197 20 0 EBADMM CCc1cc(CC(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H](C)C2)n(C)n1 ZINC001054924159 736268958 /nfs/dbraw/zinc/26/89/58/736268958.db2.gz ABAAKTHVIVQCDY-GWCFXTLKSA-N -1 1 347.423 -0.015 20 0 EBADMM C[C@@H]1CN(C(=O)CCc2nccn2C)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054925873 736269474 /nfs/dbraw/zinc/26/94/74/736269474.db2.gz WGRBFGYVXCTZMO-MNOVXSKESA-N -1 1 333.396 -0.187 20 0 EBADMM C[C@@H]1CN(C(=O)CCc2nccn2C)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054925872 736269519 /nfs/dbraw/zinc/26/95/19/736269519.db2.gz WGRBFGYVXCTZMO-GHMZBOCLSA-N -1 1 333.396 -0.187 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H](C)C1 ZINC001054993538 736279671 /nfs/dbraw/zinc/27/96/71/736279671.db2.gz BEQZGLKLYHJZLI-CBAPKCEASA-N -1 1 333.352 -0.435 20 0 EBADMM CNC(=O)NCC(=O)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC001055299189 736327148 /nfs/dbraw/zinc/32/71/48/736327148.db2.gz BYBVYUIWVKNICF-PHIMTYICSA-N -1 1 347.375 -0.753 20 0 EBADMM Cc1ccnc(N2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)CC2)n1 ZINC001055851242 736520976 /nfs/dbraw/zinc/52/09/76/736520976.db2.gz QAFBSMDICFPNQT-UHFFFAOYSA-N -1 1 344.375 -0.626 20 0 EBADMM O=C(N[C@]1(CO)CCCN(C(=O)[C@H]2CCOC2)C1)c1ncccc1[O-] ZINC001055900683 736541272 /nfs/dbraw/zinc/54/12/72/736541272.db2.gz RIVAGSAYOYWWLR-YVEFUNNKSA-N -1 1 349.387 -0.093 20 0 EBADMM O=C(N[C@]1(CO)CCCN(C(=O)c2nc[nH]n2)C1)c1ncccc1[O-] ZINC001055900186 736541360 /nfs/dbraw/zinc/54/13/60/736541360.db2.gz GWYJHGGYGDBEDT-OAHLLOKOSA-N -1 1 346.347 -0.698 20 0 EBADMM NC(=O)NCC(=O)N1CCC[C@H]2[C@H]1CCN2C(=O)c1ncccc1[O-] ZINC001056220262 736670329 /nfs/dbraw/zinc/67/03/29/736670329.db2.gz KAROSFKNWVJYHK-WDEREUQCSA-N -1 1 347.375 -0.339 20 0 EBADMM CCCOCC(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057138527 737019806 /nfs/dbraw/zinc/01/98/06/737019806.db2.gz JTDHLUUNWXYGPW-UHFFFAOYSA-N -1 1 325.365 -0.236 20 0 EBADMM C[C@@H](C(=O)N(CCO)CCNC(=O)c1ncccc1[O-])n1cncn1 ZINC001057382201 737202872 /nfs/dbraw/zinc/20/28/72/737202872.db2.gz BRMFBOIPZJSCDK-NSHDSACASA-N -1 1 348.363 -0.809 20 0 EBADMM CCn1cnc(C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])c1 ZINC001057410038 737229340 /nfs/dbraw/zinc/22/93/40/737229340.db2.gz ABVSVVQBBDZINY-UHFFFAOYSA-N -1 1 347.375 -0.132 20 0 EBADMM Cc1nnccc1C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410262 737229717 /nfs/dbraw/zinc/22/97/17/737229717.db2.gz KHQOSMFARNGFCY-UHFFFAOYSA-N -1 1 345.359 -0.250 20 0 EBADMM Cc1cc(C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])nn1C ZINC001057410161 737229739 /nfs/dbraw/zinc/22/97/39/737229739.db2.gz FSFJPWPURLMRCV-UHFFFAOYSA-N -1 1 347.375 -0.306 20 0 EBADMM O=C(C[C@H]1CCCO1)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410339 737230681 /nfs/dbraw/zinc/23/06/81/737230681.db2.gz MXRCCTITOPBHJL-GFCCVEGCSA-N -1 1 337.376 -0.093 20 0 EBADMM CCO[C@@H]1C[C@H]1C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410302 737230860 /nfs/dbraw/zinc/23/08/60/737230860.db2.gz LBYDDYFQBBQFGT-DGCLKSJQSA-N -1 1 337.376 -0.237 20 0 EBADMM Cc1cc(C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])cnn1 ZINC001057410743 737231304 /nfs/dbraw/zinc/23/13/04/737231304.db2.gz VGXIZYXHSXMLOB-UHFFFAOYSA-N -1 1 345.359 -0.250 20 0 EBADMM O=C(Cc1cnoc1)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057416863 737236419 /nfs/dbraw/zinc/23/64/19/737236419.db2.gz KNAYXPNCAFPOQH-UHFFFAOYSA-N -1 1 334.332 -0.431 20 0 EBADMM CO[C@@H](C(=O)N(CCO)CCNC(=O)c1ncccc1[O-])C1CC1 ZINC001057465840 737274545 /nfs/dbraw/zinc/27/45/45/737274545.db2.gz OCGJYQMIPCUTOH-CQSZACIVSA-N -1 1 337.376 -0.237 20 0 EBADMM CCn1ccc(C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])n1 ZINC001057569638 737336709 /nfs/dbraw/zinc/33/67/09/737336709.db2.gz BSVORAUXJMKGIS-UHFFFAOYSA-N -1 1 347.375 -0.132 20 0 EBADMM C[C@H]1CN(C(=O)C(F)F)C[C@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001071425158 741135157 /nfs/dbraw/zinc/13/51/57/741135157.db2.gz DPPYNDSAECMDMP-DTWKUNHWSA-N -1 1 344.318 -0.845 20 0 EBADMM Cc1cnn(C)c1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001058427431 737826207 /nfs/dbraw/zinc/82/62/07/737826207.db2.gz OWJHCKXWMNSKLR-UHFFFAOYSA-N -1 1 347.375 -0.306 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H](Nc2cc(F)ncn2)C1 ZINC001058926746 738076699 /nfs/dbraw/zinc/07/66/99/738076699.db2.gz IIGFUFFVDKZPMM-JTQLQIEISA-N -1 1 348.338 -0.431 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H](Nc2ncc(F)cn2)C1 ZINC001058926628 738076727 /nfs/dbraw/zinc/07/67/27/738076727.db2.gz AVNJTXAPRRCKCF-NSHDSACASA-N -1 1 348.338 -0.431 20 0 EBADMM Cc1cc(N[C@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)ncn1 ZINC001058926974 738076850 /nfs/dbraw/zinc/07/68/50/738076850.db2.gz VRIIQPYBVZATTM-LBPRGKRZSA-N -1 1 344.375 -0.262 20 0 EBADMM Cc1ccc(N[C@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)nn1 ZINC001058929928 738077872 /nfs/dbraw/zinc/07/78/72/738077872.db2.gz VUNZSICUXDKGRQ-JTQLQIEISA-N -1 1 340.347 -0.157 20 0 EBADMM O=C(NCC[C@@H]1CCN(C(=O)C2CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001058987347 738094902 /nfs/dbraw/zinc/09/49/02/738094902.db2.gz GJQIVWXQOYBODV-SNVBAGLBSA-N -1 1 344.375 -0.204 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)NCC[C@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001059209933 738130742 /nfs/dbraw/zinc/13/07/42/738130742.db2.gz XKNFMOBNJLYUIF-VIFPVBQESA-N -1 1 349.351 -0.919 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)CC4(O)CCC4)[C@@H]3C2)nc1=O ZINC001075776545 742296059 /nfs/dbraw/zinc/29/60/59/742296059.db2.gz UDCSIXXGADYBKP-NWDGAFQWSA-N -1 1 335.408 -0.554 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H](CCNC(=O)C2CC2)C1 ZINC001059936975 738280925 /nfs/dbraw/zinc/28/09/25/738280925.db2.gz VGXCXBBLPAVDMJ-LBPRGKRZSA-N -1 1 348.403 -0.309 20 0 EBADMM Cc1nc(C(=O)NCC2(NC(=O)Cn3c(=O)[n-][nH]c3=O)CCC2)c[nH]1 ZINC001062709029 738968928 /nfs/dbraw/zinc/96/89/28/738968928.db2.gz NUFFLNPLOOYUFU-UHFFFAOYSA-N -1 1 349.351 -0.810 20 0 EBADMM CN1CCOC[C@H]1C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062824389 738995875 /nfs/dbraw/zinc/99/58/75/738995875.db2.gz LEYFVISSHWPTJK-LBPRGKRZSA-N -1 1 348.403 -0.114 20 0 EBADMM O=C(NCC1(NC(=O)[C@@H]2CCC(=O)N2)CCC1)c1ncccc1[O-] ZINC001062839711 739002759 /nfs/dbraw/zinc/00/27/59/739002759.db2.gz BENKXBQRGXIJJG-JTQLQIEISA-N -1 1 332.360 -0.166 20 0 EBADMM CCCC(=O)NC1(CNC(=O)c2cc(=O)n3[n-]cnc3n2)CCC1 ZINC001063714691 739185403 /nfs/dbraw/zinc/18/54/03/739185403.db2.gz BANQENYWFSCUQA-UHFFFAOYSA-N -1 1 332.364 -0.014 20 0 EBADMM CN1C[C@@H](C(=O)NCC2(NC(=O)c3ncccc3[O-])CCC2)NC1=O ZINC001063915152 739217139 /nfs/dbraw/zinc/21/71/39/739217139.db2.gz NYNKILUKCRAXJF-JTQLQIEISA-N -1 1 347.375 -0.421 20 0 EBADMM O=C(NCC1(NC(=O)c2ncccc2[O-])CCC1)c1c[nH]c(=O)cn1 ZINC001064254595 739259775 /nfs/dbraw/zinc/25/97/75/739259775.db2.gz MSBXTBBGOXUQKU-UHFFFAOYSA-N -1 1 343.343 -0.047 20 0 EBADMM O=C(Cc1ccon1)NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001065074628 739511622 /nfs/dbraw/zinc/51/16/22/739511622.db2.gz XJJWZVUWSAEBPH-GFCCVEGCSA-N -1 1 346.343 -0.025 20 0 EBADMM CCC(=O)NC[C@H]1CN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)CCO1 ZINC001065369951 739678451 /nfs/dbraw/zinc/67/84/51/739678451.db2.gz LLDZLAGVZUJXTK-QMMMGPOBSA-N -1 1 340.336 -0.736 20 0 EBADMM CCC(=O)NC[C@@H]1CN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)CCO1 ZINC001065369950 739678693 /nfs/dbraw/zinc/67/86/93/739678693.db2.gz LLDZLAGVZUJXTK-MRVPVSSYSA-N -1 1 340.336 -0.736 20 0 EBADMM CN(CCCN(C)C(=O)C1CC1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001066579117 739997816 /nfs/dbraw/zinc/99/78/16/739997816.db2.gz DRKHGVABBDNVAB-UHFFFAOYSA-N -1 1 332.364 -0.252 20 0 EBADMM COc1ccnc(N2C[C@@H](CNC(=O)c3ncccc3[O-])[C@H](O)C2)n1 ZINC001067665123 740177640 /nfs/dbraw/zinc/17/76/40/740177640.db2.gz JTABPTBHWUBONE-ZYHUDNBSSA-N -1 1 345.359 -0.187 20 0 EBADMM O=C(NC[C@H]1CN(c2cnc(F)cn2)C[C@@H]1O)c1ncccc1[O-] ZINC001067665239 740177702 /nfs/dbraw/zinc/17/77/02/740177702.db2.gz LNJZZAKCOOBGNA-ONGXEEELSA-N -1 1 333.323 -0.057 20 0 EBADMM COCCC(=O)N1CCC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001070346078 740791134 /nfs/dbraw/zinc/79/11/34/740791134.db2.gz KJSXLLQPYGUOPK-SNVBAGLBSA-N -1 1 348.363 -0.825 20 0 EBADMM CO[C@@H](C)C(=O)N1C[C@@H](c2cn(C)cn2)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001070473875 740840598 /nfs/dbraw/zinc/84/05/98/740840598.db2.gz WNDKHLLIHAUUQD-JBLDHEPKSA-N -1 1 349.395 -0.637 20 0 EBADMM O=C(NCc1nc([C@H]2COCCN2CCO)n[nH]1)c1ncccc1[O-] ZINC001070584610 740879271 /nfs/dbraw/zinc/87/92/71/740879271.db2.gz BQJDPPIDDBKHHQ-SNVBAGLBSA-N -1 1 348.363 -0.799 20 0 EBADMM O=C(NCc1n[nH]c([C@H]2COCCN2CCO)n1)c1ncccc1[O-] ZINC001070584610 740879274 /nfs/dbraw/zinc/87/92/74/740879274.db2.gz BQJDPPIDDBKHHQ-SNVBAGLBSA-N -1 1 348.363 -0.799 20 0 EBADMM CC(C)C(=O)N1C[C@H](C)O[C@]2(CCN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001071134228 741064353 /nfs/dbraw/zinc/06/43/53/741064353.db2.gz ONNAAOUGSIBFFO-LRDDRELGSA-N -1 1 337.424 -0.044 20 0 EBADMM CNC(=O)CN1CC[C@@]2(C1)CN(C(=O)c1ncccc1[O-])C[C@H](C)O2 ZINC001071161669 741072087 /nfs/dbraw/zinc/07/20/87/741072087.db2.gz NSBUHHRVPSIJBE-YVEFUNNKSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2nccnc2N)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071395941 741132447 /nfs/dbraw/zinc/13/24/47/741132447.db2.gz GFPSVRIJFBIFFG-ZJUUUORDSA-N -1 1 346.395 -0.737 20 0 EBADMM Cc1c(C(=O)N[C@@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)nnn1C ZINC001071404923 741133377 /nfs/dbraw/zinc/13/33/77/741133377.db2.gz WNMHJLFDRKVURF-GXSJLCMTSA-N -1 1 348.411 -0.672 20 0 EBADMM CCOCC(=O)N1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H](C)C1 ZINC001071532486 741157297 /nfs/dbraw/zinc/15/72/97/741157297.db2.gz BSECQCAFWXWPJR-ONGXEEELSA-N -1 1 348.363 -0.969 20 0 EBADMM Cc1ncn(C)c1C(=O)N[C@@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071592376 741174309 /nfs/dbraw/zinc/17/43/09/741174309.db2.gz ZZTFFEYJHGYXPQ-CMPLNLGQSA-N -1 1 347.423 -0.067 20 0 EBADMM CC(C)C(=O)N1C[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)[C@@H](C)C1 ZINC001071620181 741178442 /nfs/dbraw/zinc/17/84/42/741178442.db2.gz AYRJKYXOKFNUMQ-RYUDHWBXSA-N -1 1 336.392 -0.454 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2c[nH]c(=O)n2C)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071739467 741208659 /nfs/dbraw/zinc/20/86/59/741208659.db2.gz TYKFUXNBAVOHEI-NXEZZACHSA-N -1 1 349.395 -0.670 20 0 EBADMM Cc1cnn(C)c1C(=O)N[C@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071754151 741212382 /nfs/dbraw/zinc/21/23/82/741212382.db2.gz VKHDUWAGUHCXCL-NEPJUHHUSA-N -1 1 347.423 -0.067 20 0 EBADMM Cc1ncc(C(=O)N[C@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)n1C ZINC001071769372 741214044 /nfs/dbraw/zinc/21/40/44/741214044.db2.gz PURIFWLQIQAGPF-JQWIXIFHSA-N -1 1 347.423 -0.067 20 0 EBADMM COCCN1C[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)CC[C@H]1C ZINC001071907610 741242866 /nfs/dbraw/zinc/24/28/66/741242866.db2.gz CYOAVSBFEABEMH-VXGBXAGGSA-N -1 1 348.407 -0.313 20 0 EBADMM C[C@H]1CN(C(=O)C2(NC(N)=O)CC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001071925720 741248552 /nfs/dbraw/zinc/24/85/52/741248552.db2.gz NMGVCLFEKDMHOP-UWVGGRQHSA-N -1 1 347.375 -0.435 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)Cn2ccnc2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001072123310 741310000 /nfs/dbraw/zinc/31/00/00/741310000.db2.gz QUIACKVXIIAQQA-RYUDHWBXSA-N -1 1 333.396 -0.526 20 0 EBADMM C/C=C(/C)C(=O)N[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC001072425907 741394735 /nfs/dbraw/zinc/39/47/35/741394735.db2.gz ALQYIZRKXNZFIN-KAEJYBMCSA-N -1 1 344.375 -0.040 20 0 EBADMM COCC(=O)N[C@H]1CN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C[C@@H]1C ZINC001072426409 741395135 /nfs/dbraw/zinc/39/51/35/741395135.db2.gz HQJDQYTXPIIPLI-YUMQZZPRSA-N -1 1 340.336 -0.881 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)c4cnns4)C3)C2)nc1=O ZINC001072515134 741421078 /nfs/dbraw/zinc/42/10/78/741421078.db2.gz QGJFSIHDIKBDMO-UHFFFAOYSA-N -1 1 335.393 -0.692 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)c4ccnc(F)c4)C3)C2)nc1=O ZINC001072542154 741426538 /nfs/dbraw/zinc/42/65/38/741426538.db2.gz LWURFSZXRRZMGJ-UHFFFAOYSA-N -1 1 346.366 -0.009 20 0 EBADMM O=C(CCc1nc[nH]n1)N1CC2(C1)CCN(Cc1n[nH]c(=O)[n-]1)C2 ZINC001072595696 741436223 /nfs/dbraw/zinc/43/62/23/741436223.db2.gz GVMLBULBYBUFTM-UHFFFAOYSA-N -1 1 332.368 -0.705 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC3(C2)CCN([C@@H]2CCCNC2=O)C3)c1[O-] ZINC001072625274 741445963 /nfs/dbraw/zinc/44/59/63/741445963.db2.gz CSHYZSXNTJARTA-LLVKDONJSA-N -1 1 333.392 -0.150 20 0 EBADMM Cc1nc(C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)co1 ZINC001072648177 741452377 /nfs/dbraw/zinc/45/23/77/741452377.db2.gz UBKXCACGVXKCQJ-UHFFFAOYSA-N -1 1 332.364 -0.247 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)c4ccc(F)cn4)C3)C2)nc1=O ZINC001072650312 741452840 /nfs/dbraw/zinc/45/28/40/741452840.db2.gz AQWYCWQYUJCYGI-UHFFFAOYSA-N -1 1 346.366 -0.009 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072836900 741499925 /nfs/dbraw/zinc/49/99/25/741499925.db2.gz VSWRGACVWHSCND-UHFFFAOYSA-N -1 1 347.379 -0.806 20 0 EBADMM CCn1ccc(C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)n1 ZINC001073035697 741545240 /nfs/dbraw/zinc/54/52/40/741545240.db2.gz OOLRKEXZQLQSPE-UHFFFAOYSA-N -1 1 345.407 -0.327 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)c4nccs4)C3)C2)nc1=O ZINC001073072606 741553485 /nfs/dbraw/zinc/55/34/85/741553485.db2.gz XDNORIPHYWIVAN-UHFFFAOYSA-N -1 1 334.405 -0.087 20 0 EBADMM CCn1cc(C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)nn1 ZINC001073085352 741556362 /nfs/dbraw/zinc/55/63/62/741556362.db2.gz BITCWSYNJDPFGT-UHFFFAOYSA-N -1 1 346.395 -0.932 20 0 EBADMM Cc1nccc(C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)n1 ZINC001073439168 741614202 /nfs/dbraw/zinc/61/42/02/741614202.db2.gz NDEVQWSBDIAKPA-UHFFFAOYSA-N -1 1 343.391 -0.445 20 0 EBADMM Cc1[nH]ccc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001073568450 741659178 /nfs/dbraw/zinc/65/91/78/741659178.db2.gz TUNGXGIAXDYZDW-LBPRGKRZSA-N -1 1 348.407 -0.234 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1NC(=O)CNC(N)=O ZINC001073704446 741715502 /nfs/dbraw/zinc/71/55/02/741715502.db2.gz IIPODSCBPPYDII-ZJUUUORDSA-N -1 1 335.364 -0.578 20 0 EBADMM Cc1c[nH]cc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001073889167 741775054 /nfs/dbraw/zinc/77/50/54/741775054.db2.gz JQLCIQAILMGEMD-LBPRGKRZSA-N -1 1 348.407 -0.234 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC001073899684 741781208 /nfs/dbraw/zinc/78/12/08/741781208.db2.gz ABVWRJKCPAYKSB-PWSUYJOCSA-N -1 1 334.376 -0.110 20 0 EBADMM CNC(=O)NCC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC001073901518 741782543 /nfs/dbraw/zinc/78/25/43/741782543.db2.gz YAJHLZFBPPNOCQ-GHMZBOCLSA-N -1 1 349.391 -0.317 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCCN1C(=O)c1cn(C)cn1 ZINC001073993592 741802666 /nfs/dbraw/zinc/80/26/66/741802666.db2.gz JYWAALVRTNEDPD-GFCCVEGCSA-N -1 1 347.423 -0.031 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H]3CCN(C(=O)CC4CC4)C[C@@H]32)nc1=O ZINC001074136832 741838340 /nfs/dbraw/zinc/83/83/40/741838340.db2.gz JISJYLVXFIHSQY-STQMWFEESA-N -1 1 335.408 -0.290 20 0 EBADMM O=C(Cc1ccn[nH]1)N1CC[C@@H]2OCCN(Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001074199369 741858572 /nfs/dbraw/zinc/85/85/72/741858572.db2.gz BAUPTYXRKSZNIQ-RYUDHWBXSA-N -1 1 347.379 -0.722 20 0 EBADMM C/C=C(/C)C(=O)N1CC[C@@H]2OCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C1 ZINC001074209392 741861497 /nfs/dbraw/zinc/86/14/97/741861497.db2.gz XKQISGPQGGGWHH-GKADRNHJSA-N -1 1 335.408 -0.124 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H]3CCN(C(=O)c4cc[nH]c4)C[C@@H]32)nc1=O ZINC001074248406 741872207 /nfs/dbraw/zinc/87/22/07/741872207.db2.gz FMZHVZYUDQJJPS-STQMWFEESA-N -1 1 346.391 -0.448 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H]3CCN(C(=O)C4(C)CC4)C[C@H]32)nc1=O ZINC001074253196 741874392 /nfs/dbraw/zinc/87/43/92/741874392.db2.gz TVMPYFVSUFLEHT-VXGBXAGGSA-N -1 1 335.408 -0.290 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CC[C@@H]2OCCN(Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001074310651 741898136 /nfs/dbraw/zinc/89/81/36/741898136.db2.gz YPOZSZCNEMVSIC-UWVGGRQHSA-N -1 1 348.367 -0.948 20 0 EBADMM O=C(NCC1(O)CCN(C(=O)[C@H]2CCOC2)CC1)c1ncccc1[O-] ZINC001074506738 741971495 /nfs/dbraw/zinc/97/14/95/741971495.db2.gz URCHPJYVNBISPK-LBPRGKRZSA-N -1 1 349.387 -0.093 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@H]1OCCO[C@H]1C ZINC001074691962 742071196 /nfs/dbraw/zinc/07/11/96/742071196.db2.gz FUAAXLYPCZLFLL-GMOBBJLQSA-N -1 1 325.369 -0.607 20 0 EBADMM CO[C@@H](C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C)c1cnn(C)c1 ZINC001074771040 742103722 /nfs/dbraw/zinc/10/37/22/742103722.db2.gz BMRSVQSISZDBPE-XHVZSJERSA-N -1 1 349.395 -0.290 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)CNC(N)=O)CCN1C(=O)c1ncccc1[O-] ZINC001074819875 742115622 /nfs/dbraw/zinc/11/56/22/742115622.db2.gz YULAISAGIQFRNX-NXEZZACHSA-N -1 1 335.364 -0.435 20 0 EBADMM CNC(=O)NCC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C[C@@H]1C ZINC001074943463 742139964 /nfs/dbraw/zinc/13/99/64/742139964.db2.gz IUUBGZKCMLFLMY-WDEREUQCSA-N -1 1 349.391 -0.175 20 0 EBADMM C[C@H]1CN(C(=O)CS(C)(=O)=O)CC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001075236342 742202668 /nfs/dbraw/zinc/20/26/68/742202668.db2.gz RVFTYIWDWBLVEE-VHSXEESVSA-N -1 1 345.425 -0.871 20 0 EBADMM C[C@@H](CCNC(=O)CC1CC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001075304439 742210066 /nfs/dbraw/zinc/21/00/66/742210066.db2.gz BVHGCIKZASBMBR-NSHDSACASA-N -1 1 336.392 -0.262 20 0 EBADMM CCOCC(=O)NCC[C@H](C)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001075487373 742233195 /nfs/dbraw/zinc/23/31/95/742233195.db2.gz QNRLXQZSTPCLAG-VIFPVBQESA-N -1 1 336.352 -0.921 20 0 EBADMM Cc1cc(C(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)n(C)n1 ZINC001075589241 742258038 /nfs/dbraw/zinc/25/80/38/742258038.db2.gz ZFOQQKIXRDHHPW-WCQYABFASA-N -1 1 345.407 -0.503 20 0 EBADMM CC[C@H](C)C(=O)NCC[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001075663508 742269332 /nfs/dbraw/zinc/26/93/32/742269332.db2.gz DYUPMFKNBRYSIM-RYUDHWBXSA-N -1 1 338.408 -0.016 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)c4cncc(F)c4)[C@@H]3C2)nc1=O ZINC001075679842 742270199 /nfs/dbraw/zinc/27/01/99/742270199.db2.gz OOXDOZPVQZZDGD-GXFFZTMASA-N -1 1 346.366 -0.011 20 0 EBADMM CCOC1CC(C(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)C1 ZINC001075758227 742290544 /nfs/dbraw/zinc/29/05/44/742290544.db2.gz LLXWYNSQVOTUNM-GFJIZPEISA-N -1 1 349.435 -0.044 20 0 EBADMM C[C@@H](CCNC(=O)CC(N)=O)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001075997946 742354889 /nfs/dbraw/zinc/35/48/89/742354889.db2.gz SEILJTYVYJMGTM-QMMMGPOBSA-N -1 1 335.364 -0.440 20 0 EBADMM C[C@@H](CCNC(=O)CN1CCCC1)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001076594998 742507285 /nfs/dbraw/zinc/50/72/85/742507285.db2.gz ATWNVCPLCBITKW-VIFPVBQESA-N -1 1 338.368 -0.997 20 0 EBADMM C[C@@H](CCNC(=O)C1CS(=O)(=O)C1)NC(=O)c1ncccc1[O-] ZINC001076671244 742529320 /nfs/dbraw/zinc/52/93/20/742529320.db2.gz QNGVOLHOVIAZJX-VIFPVBQESA-N -1 1 341.389 -0.544 20 0 EBADMM Cc1ccsc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001076681943 742532780 /nfs/dbraw/zinc/53/27/80/742532780.db2.gz BTFCWNMKNDAEKZ-NXEZZACHSA-N -1 1 337.405 -0.547 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)Cc3cccs3)C2)nc1=O ZINC001076683527 742533403 /nfs/dbraw/zinc/53/34/03/742533403.db2.gz YIWALSVZQDABQL-GHMZBOCLSA-N -1 1 337.405 -0.926 20 0 EBADMM Cc1nccnc1CN1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001076916808 742641804 /nfs/dbraw/zinc/64/18/04/742641804.db2.gz CQTDKGHETUKIFP-TZMCWYRMSA-N -1 1 329.360 -0.139 20 0 EBADMM O=C(N[C@@H]1CN(CCN2CCCC2=O)C[C@H]1O)c1ncccc1[O-] ZINC001076916952 742642163 /nfs/dbraw/zinc/64/21/63/742642163.db2.gz KMZFFOULWFZDED-DGCLKSJQSA-N -1 1 334.376 -0.816 20 0 EBADMM C[C@@H](CCNC(=O)[C@@H]1CCCNC1=O)NC(=O)c1ncccc1[O-] ZINC001076972231 742671403 /nfs/dbraw/zinc/67/14/03/742671403.db2.gz JSKCWDYQEGVBHE-WDEREUQCSA-N -1 1 334.376 -0.062 20 0 EBADMM C[C@@H](CCNC(=O)c1cnn[nH]1)NC(=O)c1c[n-]n2c1nccc2=O ZINC001077295553 742855349 /nfs/dbraw/zinc/85/53/49/742855349.db2.gz VETCCKLRFWTOLY-QMMMGPOBSA-N -1 1 344.335 -0.921 20 0 EBADMM C[C@@H](CCNC(=O)CN1CCCNC1=O)NC(=O)c1ncccc1[O-] ZINC001077306185 742870322 /nfs/dbraw/zinc/87/03/22/742870322.db2.gz NNJHZLZKPJBGIS-NSHDSACASA-N -1 1 349.391 -0.173 20 0 EBADMM O=C(N[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1O)c1ccn2cncc2c1 ZINC001077312048 742877178 /nfs/dbraw/zinc/87/71/78/742877178.db2.gz LKDHRTJWCPJBEP-VXGBXAGGSA-N -1 1 343.347 -0.867 20 0 EBADMM C[C@@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)C(F)F ZINC001077488285 743013781 /nfs/dbraw/zinc/01/37/81/743013781.db2.gz KYVXQLLOCSSMDT-LURJTMIESA-N -1 1 328.279 -0.693 20 0 EBADMM CC(C)c1ccoc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001077561008 743077128 /nfs/dbraw/zinc/07/71/28/743077128.db2.gz RIFMVHIQMDPCOB-VXGBXAGGSA-N -1 1 349.391 -0.200 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O)c1ccco1 ZINC001077663558 743150457 /nfs/dbraw/zinc/15/04/57/743150457.db2.gz TXCFRQRHITYGLB-GMTAPVOTSA-N -1 1 335.364 -0.834 20 0 EBADMM CC(C)CCN1C[C@@H](O)[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001077854763 743297642 /nfs/dbraw/zinc/29/76/42/743297642.db2.gz ZMIJRNVJVQWRNS-CHWSQXEVSA-N -1 1 348.407 -0.721 20 0 EBADMM CCc1cc(C)c(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)o1 ZINC001078003870 743409460 /nfs/dbraw/zinc/40/94/60/743409460.db2.gz CERDLXSANBYAEU-VXGBXAGGSA-N -1 1 349.391 -0.453 20 0 EBADMM C[C@@H](CCNC(=O)C(=O)NCC1CC1)NC(=O)c1ncccc1[O-] ZINC001078057496 743441508 /nfs/dbraw/zinc/44/15/08/743441508.db2.gz QLBROZUJYIQLSV-JTQLQIEISA-N -1 1 334.376 -0.062 20 0 EBADMM O=C(C1=COCCO1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078326930 743586570 /nfs/dbraw/zinc/58/65/70/743586570.db2.gz FKCMUWRGODUYBT-JTQLQIEISA-N -1 1 339.352 -0.894 20 0 EBADMM Cc1cc(C(=O)N2CCCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)on1 ZINC001078331830 743589218 /nfs/dbraw/zinc/58/92/18/743589218.db2.gz BALRYYRODBFYDN-JTQLQIEISA-N -1 1 336.352 -0.172 20 0 EBADMM O=C(C[C@H]1CCCO1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078343816 743592659 /nfs/dbraw/zinc/59/26/59/743592659.db2.gz BTJSFLZKNWUCIR-VXGBXAGGSA-N -1 1 339.396 -0.214 20 0 EBADMM Cn1cc(CC(=O)N2CCCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001078356073 743602329 /nfs/dbraw/zinc/60/23/29/743602329.db2.gz BBVQORRXVXLOOI-LBPRGKRZSA-N -1 1 349.395 -0.806 20 0 EBADMM Cc1nc(C(=O)N2CCCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)co1 ZINC001078384211 743617750 /nfs/dbraw/zinc/61/77/50/743617750.db2.gz PZEPHERJGRXKNQ-JTQLQIEISA-N -1 1 336.352 -0.172 20 0 EBADMM Cc1c[nH]nc1C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078399587 743630083 /nfs/dbraw/zinc/63/00/83/743630083.db2.gz BKFGQEUSUVBTGG-JTQLQIEISA-N -1 1 335.368 -0.437 20 0 EBADMM Cc1nnccc1C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078425973 743643059 /nfs/dbraw/zinc/64/30/59/743643059.db2.gz IZIKBDNLQMSSFX-LLVKDONJSA-N -1 1 347.379 -0.370 20 0 EBADMM O=C(N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1)C1(CF)CC1 ZINC001078467342 743662791 /nfs/dbraw/zinc/66/27/91/743662791.db2.gz ZRNSKFIQXZYDGV-SNVBAGLBSA-N -1 1 327.360 -0.033 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)C1 ZINC001078609643 743707281 /nfs/dbraw/zinc/70/72/81/743707281.db2.gz WOSWQRSTXKIQLI-VXGBXAGGSA-N -1 1 348.407 -0.494 20 0 EBADMM Cn1cc(C(=O)N[C@@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)nn1 ZINC001078617308 743708927 /nfs/dbraw/zinc/70/89/27/743708927.db2.gz KMRZKKFJCDOIRN-NXEZZACHSA-N -1 1 332.368 -0.530 20 0 EBADMM Cc1nn[nH]c1C(=O)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078698961 743721659 /nfs/dbraw/zinc/72/16/59/743721659.db2.gz YJIARUVZUDRYLX-BDAKNGLRSA-N -1 1 332.368 -0.232 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N[C@H]1C[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000980055479 805711002 /nfs/dbraw/zinc/71/10/02/805711002.db2.gz IFXSMLMBLKLKCA-NAKRPEOUSA-N -1 1 344.375 -0.302 20 0 EBADMM C[C@@H]1C[C@H](CNCc2nnn(C)n2)CN1C(=O)c1ncccc1[O-] ZINC000980526699 805923327 /nfs/dbraw/zinc/92/33/27/805923327.db2.gz UKFFJOFXHAZIGZ-GHMZBOCLSA-N -1 1 331.380 -0.049 20 0 EBADMM C[C@H]1C[C@H](CNCc2nnn(C)n2)CN1C(=O)c1ncccc1[O-] ZINC000980526702 805923659 /nfs/dbraw/zinc/92/36/59/805923659.db2.gz UKFFJOFXHAZIGZ-WDEREUQCSA-N -1 1 331.380 -0.049 20 0 EBADMM Cc1c(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)cnn1C ZINC000980711917 805995357 /nfs/dbraw/zinc/99/53/57/805995357.db2.gz QEVAJVVKKWCICU-UHFFFAOYSA-N -1 1 333.396 -0.502 20 0 EBADMM O=C(N[C@H]1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C1CS(=O)(=O)C1 ZINC000981110965 806086560 /nfs/dbraw/zinc/08/65/60/806086560.db2.gz SRAIBAGXFKWZKB-ZJUUUORDSA-N -1 1 343.409 -0.928 20 0 EBADMM CO[C@H]1CCC[C@@H]1C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000981487184 806181592 /nfs/dbraw/zinc/18/15/92/806181592.db2.gz QBYTXZCWRAVXIW-STQMWFEESA-N -1 1 337.424 -0.042 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)c3coc(C(N)=O)c3)CC2)nc1=O ZINC000981675700 806232844 /nfs/dbraw/zinc/23/28/44/806232844.db2.gz HXWZQBZJGKEKHB-UHFFFAOYSA-N -1 1 348.363 -0.852 20 0 EBADMM CO[C@H](C(=O)N1CC2(C1)CCCN(Cc1nc(=O)n(C)[n-]1)C2)C1CC1 ZINC000981741984 806258848 /nfs/dbraw/zinc/25/88/48/806258848.db2.gz CQAGXEBUAMKAMZ-AWEZNQCLSA-N -1 1 349.435 -0.042 20 0 EBADMM CO[C@@H](C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1)C(C)C ZINC000981878357 806311949 /nfs/dbraw/zinc/31/19/49/806311949.db2.gz SHXXZHLCWLRUCX-CYBMUJFWSA-N -1 1 325.413 -0.186 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)CCc3cn[nH]c3)CC2)nc1=O ZINC000982131778 806405933 /nfs/dbraw/zinc/40/59/33/806405933.db2.gz AOUPABMDOFWMSI-UHFFFAOYSA-N -1 1 333.396 -0.501 20 0 EBADMM CO[C@@H](C)CN1CCCN(C(=O)Cn2[n-]cc3c(=O)ncnc2-3)CC1 ZINC000982251060 806435323 /nfs/dbraw/zinc/43/53/23/806435323.db2.gz BZFQHRJYLVEHSI-LBPRGKRZSA-N -1 1 348.407 -0.360 20 0 EBADMM O=C(CN1CCCC1=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982419402 806487641 /nfs/dbraw/zinc/48/76/41/806487641.db2.gz DZKBBZSTFLIGBE-GFCCVEGCSA-N -1 1 346.387 -0.012 20 0 EBADMM O=C(Cn1cnnn1)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982424204 806491243 /nfs/dbraw/zinc/49/12/43/806491243.db2.gz HDAMPRODDAYMSF-SNVBAGLBSA-N -1 1 331.336 -0.948 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)[C@@H]2COCCO2)C1)c1ncccc1[O-] ZINC000982471435 806512109 /nfs/dbraw/zinc/51/21/09/806512109.db2.gz BGBIYSBJDKBIDE-AAEUAGOBSA-N -1 1 335.360 -0.219 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCN(C(=O)CCc3nc[nH]n3)C2)c1[O-] ZINC000982602505 806590751 /nfs/dbraw/zinc/59/07/51/806590751.db2.gz VAWRIHPAEFTRFM-JTQLQIEISA-N -1 1 347.379 -0.247 20 0 EBADMM C/C=C(/C)C(=O)N1CC[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000982626880 806594166 /nfs/dbraw/zinc/59/41/66/806594166.db2.gz VIFBNGSOLWAEMP-LBQOUHFTSA-N -1 1 344.375 -0.038 20 0 EBADMM CN1CCOC[C@@H]1C(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982656675 806609321 /nfs/dbraw/zinc/60/93/21/806609321.db2.gz DPDIAQBMPIXWQW-CHWSQXEVSA-N -1 1 348.403 -0.304 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCC(=O)N1 ZINC000982672864 806628260 /nfs/dbraw/zinc/62/82/60/806628260.db2.gz LNWNQWQFTBOTHP-MNOVXSKESA-N -1 1 332.360 -0.356 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000982674194 806629967 /nfs/dbraw/zinc/62/99/67/806629967.db2.gz UMGXTVNCGGUDNO-MXWKQRLJSA-N -1 1 332.360 -0.513 20 0 EBADMM CN1C[C@H](C(=O)NC[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)NC1=O ZINC000982675704 806631553 /nfs/dbraw/zinc/63/15/53/806631553.db2.gz NHDWHCUSCCKYCJ-WDEREUQCSA-N -1 1 347.375 -0.611 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)NC[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000982998128 806829480 /nfs/dbraw/zinc/82/94/80/806829480.db2.gz YGCOPOCZSQLISW-FRRDWIJNSA-N -1 1 348.403 -0.453 20 0 EBADMM CN1C[C@@H](C(=O)N2CC[C@H](CNC(=O)c3ncccc3[O-])C2)NC1=O ZINC000983089703 806878802 /nfs/dbraw/zinc/87/88/02/806878802.db2.gz WQRZPRFNCDYXJJ-MNOVXSKESA-N -1 1 347.375 -0.611 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)CCn1cc[n-]c(=O)c1=O)C(=O)C1CC1 ZINC000977249257 804254934 /nfs/dbraw/zinc/25/49/34/804254934.db2.gz SWWDGBJANDBDRA-LBPRGKRZSA-N -1 1 334.376 -0.604 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@@H]1COCCO1 ZINC000977393579 804305290 /nfs/dbraw/zinc/30/52/90/804305290.db2.gz HUFFBZPWBBOTPB-YPMHNXCESA-N -1 1 335.360 -0.125 20 0 EBADMM CN(C[C@H]1CCN1C(=O)[C@]1(C)CNC(=O)C1)C(=O)c1ncccc1[O-] ZINC000977597158 804405239 /nfs/dbraw/zinc/40/52/39/804405239.db2.gz FORJBEGTHFMRPH-DIFFPNOSSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@H]1CCN1C(=O)[C@H]1CCC(=O)N1)C(=O)c1ncccc1[O-] ZINC000977623175 804420074 /nfs/dbraw/zinc/42/00/74/804420074.db2.gz XNOVBESEHHYSPG-GHMZBOCLSA-N -1 1 332.360 -0.261 20 0 EBADMM CC(=O)N1CC(C(=O)N2CC[C@H]2CN(C)C(=O)c2ncccc2[O-])C1 ZINC000977623747 804420267 /nfs/dbraw/zinc/42/02/67/804420267.db2.gz ZPDNJUIXWPEDLZ-ZDUSSCGKSA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ccn[nH]1)C(=O)c1cnc([O-])n(C)c1=O ZINC000978127343 804674733 /nfs/dbraw/zinc/67/47/33/804674733.db2.gz YUIILYZOFKEOKE-VIFPVBQESA-N -1 1 346.347 -0.804 20 0 EBADMM O=C(NC[C@@H]1[C@H]2CN(Cc3ccns3)C[C@H]21)c1n[nH]c(=O)[n-]c1=O ZINC000978411386 804825571 /nfs/dbraw/zinc/82/55/71/804825571.db2.gz KNSWTDAPNCOQJE-OWUUHHOZSA-N -1 1 348.388 -0.153 20 0 EBADMM O=C(C[C@H]1CCNC1=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000978746620 804956124 /nfs/dbraw/zinc/95/61/24/804956124.db2.gz ZWMDVSVJAXUBEQ-UTUOFQBUSA-N -1 1 346.387 -0.062 20 0 EBADMM Cn1cc(C(=O)NC[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)nn1 ZINC000978782671 804976010 /nfs/dbraw/zinc/97/60/10/804976010.db2.gz YSICNHWDEPEIDB-AOOOYVTPSA-N -1 1 330.348 -0.146 20 0 EBADMM C[C@H](C(N)=O)N1C[C@@H]2[C@@H](CNC(=O)c3ccc4oc(=O)nc-4[n-]3)[C@@H]2C1 ZINC000978941119 805055884 /nfs/dbraw/zinc/05/58/84/805055884.db2.gz PDTSPQYWZBVOND-KYXWUPHJSA-N -1 1 345.359 -0.290 20 0 EBADMM O=C(NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)[C@H]1CCC(=O)NC1 ZINC000979005697 805087638 /nfs/dbraw/zinc/08/76/38/805087638.db2.gz QJZBZMCNTGHPMT-SDDRHHMPSA-N -1 1 346.387 -0.062 20 0 EBADMM Cn1ncc(C(=O)NC[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC000979035942 805103351 /nfs/dbraw/zinc/10/33/51/805103351.db2.gz VSCOWLDJEPWXCM-AOOOYVTPSA-N -1 1 330.348 -0.146 20 0 EBADMM O=C(N[C@H]1C[C@@H](CNC(=O)[C@@H]2CCNC2=O)C1)c1ncccc1[O-] ZINC000979099821 805136650 /nfs/dbraw/zinc/13/66/50/805136650.db2.gz AGHUZJRKANXWKH-OUAUKWLOSA-N -1 1 332.360 -0.452 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2cnccn2)CCO1 ZINC000979168034 805186471 /nfs/dbraw/zinc/18/64/71/805186471.db2.gz AIZZALVHAFGVRD-GXSJLCMTSA-N -1 1 333.352 -0.680 20 0 EBADMM Cc1ncncc1C(=O)N1CCO[C@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979235060 805227585 /nfs/dbraw/zinc/22/75/85/805227585.db2.gz QPFPPJQSHTYSOJ-PWSUYJOCSA-N -1 1 347.379 -0.372 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2cccc(=O)[nH]2)CCO1 ZINC000979261926 805247056 /nfs/dbraw/zinc/24/70/56/805247056.db2.gz BTJAMJKUMFTKOR-MWLCHTKSSA-N -1 1 348.363 -0.370 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)Cc2ccnn2C)CCO1 ZINC000979277819 805257571 /nfs/dbraw/zinc/25/75/71/805257571.db2.gz MLDJLSQMTOUYIY-JQWIXIFHSA-N -1 1 349.395 -0.808 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)CC(C)(C)O)CCO1 ZINC000979279851 805258540 /nfs/dbraw/zinc/25/85/40/805258540.db2.gz PCJNSGKYLDISFA-UWVGGRQHSA-N -1 1 327.385 -0.623 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)CCc2ncc[nH]2)CCO1 ZINC000979280684 805258581 /nfs/dbraw/zinc/25/85/81/805258581.db2.gz PYMXKDOSHCTZHK-QWRGUYRKSA-N -1 1 349.395 -0.428 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)ncn1 ZINC000979290684 805266414 /nfs/dbraw/zinc/26/64/14/805266414.db2.gz JLLOFHNSPIKKFL-PWSUYJOCSA-N -1 1 347.379 -0.372 20 0 EBADMM Cc1ccc(C(=O)N2CCO[C@@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)nn1 ZINC000979296263 805273603 /nfs/dbraw/zinc/27/36/03/805273603.db2.gz PCXYNTOMJNEKGN-ZYHUDNBSSA-N -1 1 347.379 -0.372 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)Cc2cnoc2)CCO1 ZINC000979352561 805316583 /nfs/dbraw/zinc/31/65/83/805316583.db2.gz CHIQJTVUOXAGDY-GXSJLCMTSA-N -1 1 336.352 -0.554 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)CCn2ccnc2)CCO1 ZINC000979357233 805320724 /nfs/dbraw/zinc/32/07/24/805320724.db2.gz GIFYGNUUUYGOTB-NEPJUHHUSA-N -1 1 349.395 -0.497 20 0 EBADMM CCn1ccc(C(=O)N2CCO[C@@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000979376486 805337431 /nfs/dbraw/zinc/33/74/31/805337431.db2.gz BDHVSTGHLZJPHB-CMPLNLGQSA-N -1 1 349.395 -0.254 20 0 EBADMM Cc1c[nH]c(C(=O)N2CCO[C@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000979415258 805362084 /nfs/dbraw/zinc/36/20/84/805362084.db2.gz RPXACJMJVSWDDO-UWVGGRQHSA-N -1 1 335.368 -0.439 20 0 EBADMM Cc1cnoc1C(=O)N1CCO[C@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979418513 805363911 /nfs/dbraw/zinc/36/39/11/805363911.db2.gz UERJCNSPNLCBMR-ZJUUUORDSA-N -1 1 336.352 -0.174 20 0 EBADMM Cc1conc1C(=O)N1CCO[C@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979424617 805367430 /nfs/dbraw/zinc/36/74/30/805367430.db2.gz GZBHCCRXDGXWDF-UWVGGRQHSA-N -1 1 336.352 -0.174 20 0 EBADMM Cc1nc[nH]c1CC(=O)N1CCO[C@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979448544 805385512 /nfs/dbraw/zinc/38/55/12/805385512.db2.gz QMHDNDQXMPIIIL-JQWIXIFHSA-N -1 1 349.395 -0.510 20 0 EBADMM Cc1nc[nH]c1CC(=O)N1CCO[C@@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979448541 805385869 /nfs/dbraw/zinc/38/58/69/805385869.db2.gz QMHDNDQXMPIIIL-CMPLNLGQSA-N -1 1 349.395 -0.510 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CCC[C@@H](NC(=O)Cc3nnc[nH]3)C2)c1[O-] ZINC000983581209 807042868 /nfs/dbraw/zinc/04/28/68/807042868.db2.gz QCPWPFKEWHDEHX-ZJUUUORDSA-N -1 1 347.379 -0.058 20 0 EBADMM Cc1cc(=O)c(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)c[nH]1 ZINC000983586558 807046025 /nfs/dbraw/zinc/04/60/25/807046025.db2.gz WGTDECNOTRTYIZ-UHFFFAOYSA-N -1 1 346.391 -0.547 20 0 EBADMM CCC(=O)N(C)[C@@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000984396878 807279940 /nfs/dbraw/zinc/27/99/40/807279940.db2.gz TUEZYFXTCZYXKP-GFCCVEGCSA-N -1 1 336.392 -0.214 20 0 EBADMM CN(C(=O)c1ccn[nH]1)[C@@H]1CCCN(C(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC000984450550 807292657 /nfs/dbraw/zinc/29/26/57/807292657.db2.gz JDNINDBNGLELGF-SECBINFHSA-N -1 1 349.351 -0.824 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCCN(C(=O)[C@@H]2CNC(=O)N2)C1 ZINC000984570507 807338531 /nfs/dbraw/zinc/33/85/31/807338531.db2.gz UQAIQQQHJWPNRG-MNOVXSKESA-N -1 1 347.375 -0.468 20 0 EBADMM CCC(=O)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@H]1CC ZINC000985161705 807458383 /nfs/dbraw/zinc/45/83/83/807458383.db2.gz DBADFYKMJDSQBH-GXSJLCMTSA-N -1 1 332.364 -0.063 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)C[C@H]2COC(=O)C2)CC1 ZINC000985383201 807500855 /nfs/dbraw/zinc/50/08/55/807500855.db2.gz XWCMIFZXNFASSS-SNVBAGLBSA-N -1 1 337.380 -0.114 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)Cc2cncn2C)CC1 ZINC000985752622 807573150 /nfs/dbraw/zinc/57/31/50/807573150.db2.gz XJVXWNBNRFUPGY-UHFFFAOYSA-N -1 1 333.396 -0.091 20 0 EBADMM NC(=O)CC(=O)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC000985994059 807618650 /nfs/dbraw/zinc/61/86/50/807618650.db2.gz LLMNRETURYMGON-MNOVXSKESA-N -1 1 332.360 -0.417 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CC1OCCCO1 ZINC000986193979 807648025 /nfs/dbraw/zinc/64/80/25/807648025.db2.gz CODMYQUCNJFSNN-VHSXEESVSA-N -1 1 325.369 -0.258 20 0 EBADMM Cc1cc(CN[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)[C@H]2C)on1 ZINC000986486500 807717374 /nfs/dbraw/zinc/71/73/74/807717374.db2.gz GRBLTSDUMIQECR-WPRPVWTQSA-N -1 1 334.336 -0.028 20 0 EBADMM CCn1nncc1CN[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)[C@@H]1C ZINC000986486622 807717555 /nfs/dbraw/zinc/71/75/55/807717555.db2.gz IDWAVIFDYYBKKN-SCZZXKLOSA-N -1 1 348.367 -0.713 20 0 EBADMM Cc1nocc1CN[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)[C@H]1C ZINC000986487868 807717625 /nfs/dbraw/zinc/71/76/25/807717625.db2.gz MCSPZIDIIHGASM-WCBMZHEXSA-N -1 1 334.336 -0.028 20 0 EBADMM CCn1ccc(CN[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)[C@@H]2C)n1 ZINC000986487992 807718998 /nfs/dbraw/zinc/71/89/98/807718998.db2.gz NFVJHBFNEWDKCR-MWLCHTKSSA-N -1 1 347.379 -0.108 20 0 EBADMM COc1nccc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)n1 ZINC000986548098 807731223 /nfs/dbraw/zinc/73/12/23/807731223.db2.gz IAIMIYCMVFOIGY-RKDXNWHRSA-N -1 1 333.352 -0.298 20 0 EBADMM COc1cc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)nn1C ZINC000986543618 807731399 /nfs/dbraw/zinc/73/13/99/807731399.db2.gz ZTSISFARCFHSHW-RKDXNWHRSA-N -1 1 335.368 -0.355 20 0 EBADMM C[C@H]1[C@H](NCc2ccn(C)n2)CCN1C(=O)c1cnc([O-])n(C)c1=O ZINC000986648942 807755546 /nfs/dbraw/zinc/75/55/46/807755546.db2.gz HHRCOLKVQWYHMA-GXFFZTMASA-N -1 1 346.391 -0.388 20 0 EBADMM Cc1ccn(CC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)c(=O)c1 ZINC000987080682 807835250 /nfs/dbraw/zinc/83/52/50/807835250.db2.gz CEPQOHPIAFHMAY-NWDGAFQWSA-N -1 1 346.391 -0.240 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)COC1CCOCC1 ZINC000987103202 807839481 /nfs/dbraw/zinc/83/94/81/807839481.db2.gz FFQNDIRUVSBNJS-PWSUYJOCSA-N -1 1 339.396 -0.215 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnc2cccnn21 ZINC000987135420 807852729 /nfs/dbraw/zinc/85/27/29/807852729.db2.gz GUPHVGBIIZGAKY-VHSXEESVSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)C(F)F)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000987182109 807861573 /nfs/dbraw/zinc/86/15/73/807861573.db2.gz NONBJNLEISJDNE-RQJHMYQMSA-N -1 1 340.290 -0.598 20 0 EBADMM Cc1ccc(=O)n(CC(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)n1 ZINC000987383712 807906743 /nfs/dbraw/zinc/90/67/43/807906743.db2.gz HQTULCYWPGARLS-MNOVXSKESA-N -1 1 347.379 -0.845 20 0 EBADMM COc1c(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)cnn1C ZINC000987420761 807913549 /nfs/dbraw/zinc/91/35/49/807913549.db2.gz JECMYOLBXNCNLV-WPRPVWTQSA-N -1 1 335.368 -0.355 20 0 EBADMM Cc1cc(NC(=O)C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)no1 ZINC000987512627 807950430 /nfs/dbraw/zinc/95/04/30/807950430.db2.gz DVUCFJBVICJONU-IUCAKERBSA-N -1 1 349.351 -0.476 20 0 EBADMM CCn1nc(C)c(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)n1 ZINC000987612812 807972084 /nfs/dbraw/zinc/97/20/84/807972084.db2.gz ITXRLAGNHGFKLV-UWVGGRQHSA-N -1 1 334.384 -0.177 20 0 EBADMM CCC(=O)N1CC[C@@H](CN(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000987624501 807974655 /nfs/dbraw/zinc/97/46/55/807974655.db2.gz IIQHVXMYBWNBBP-JTQLQIEISA-N -1 1 332.364 -0.252 20 0 EBADMM CC(=O)N1CC(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])[C@H]2C)C1 ZINC000987683325 807990072 /nfs/dbraw/zinc/99/00/72/807990072.db2.gz IYUKRSOKYNTKCA-MFKMUULPSA-N -1 1 346.387 -0.015 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@@H]1CC(=O)N(C)C1 ZINC000987686789 807991666 /nfs/dbraw/zinc/99/16/66/807991666.db2.gz DZEKMTREBIMXAM-UTUOFQBUSA-N -1 1 346.387 -0.015 20 0 EBADMM CN(C[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C(=O)[C@@H]1CNC(=O)N1 ZINC000987934399 808063274 /nfs/dbraw/zinc/06/32/74/808063274.db2.gz ZGOKUWYLRFXKPR-MNOVXSKESA-N -1 1 347.375 -0.611 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)Cn2cncn2)C1)C(=O)c1ncccc1[O-] ZINC000988364538 808206797 /nfs/dbraw/zinc/20/67/97/808206797.db2.gz RATZBZHGPYMFKK-LBPRGKRZSA-N -1 1 344.375 -0.001 20 0 EBADMM Cc1cccn(CC(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)c1=O ZINC000988839502 808299481 /nfs/dbraw/zinc/29/94/81/808299481.db2.gz TYZFFITUBKPPQP-NWDGAFQWSA-N -1 1 346.391 -0.240 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1nnn(C(C)(C)C)n1 ZINC000988868939 808306702 /nfs/dbraw/zinc/30/67/02/808306702.db2.gz VWJORKSYXGZJLV-RKDXNWHRSA-N -1 1 349.399 -0.355 20 0 EBADMM Cc1cc(OCC(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)no1 ZINC000988990395 808344987 /nfs/dbraw/zinc/34/49/87/808344987.db2.gz PPFDOKABVQRDJV-PSASIEDQSA-N -1 1 336.352 -0.035 20 0 EBADMM COCc1nn(C)cc1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC000989053855 808357635 /nfs/dbraw/zinc/35/76/35/808357635.db2.gz CVUIYCSGRQNRAH-NXEZZACHSA-N -1 1 349.395 -0.217 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CN1CCCNC1=O ZINC000989097407 808372605 /nfs/dbraw/zinc/37/26/05/808372605.db2.gz GMWQEWJMLVSDMV-NXEZZACHSA-N -1 1 337.384 -0.995 20 0 EBADMM Cc1ncc(CN[C@H]2C[C@H](C)N(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC000989112728 808377515 /nfs/dbraw/zinc/37/75/15/808377515.db2.gz QEZVZCAXWHHTNF-CBAPKCEASA-N -1 1 334.336 -0.028 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cccc2ncnn21 ZINC000989115134 808379665 /nfs/dbraw/zinc/37/96/65/808379665.db2.gz RZMMBRRXAWMMMB-UWVGGRQHSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@H]1C[C@H](NCc2ccn(C)n2)CN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000989118892 808380995 /nfs/dbraw/zinc/38/09/95/808380995.db2.gz INXCITOPMRRPDV-WPRPVWTQSA-N -1 1 333.352 -0.591 20 0 EBADMM Cc1cc(CN[C@@H]2C[C@H](C)N(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)nn1C ZINC000989119759 808381596 /nfs/dbraw/zinc/38/15/96/808381596.db2.gz MDSGXTVEMMARCE-GXSJLCMTSA-N -1 1 347.379 -0.282 20 0 EBADMM COCCn1ccc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)n1 ZINC000989146138 808385368 /nfs/dbraw/zinc/38/53/68/808385368.db2.gz AYOTWKKOYLEXJI-GHMZBOCLSA-N -1 1 349.395 -0.254 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc2nnnn2c1 ZINC000989187003 808398394 /nfs/dbraw/zinc/39/83/94/808398394.db2.gz XXQMVONVOKPXGI-PSASIEDQSA-N -1 1 343.351 -0.659 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC000989330580 808445263 /nfs/dbraw/zinc/44/52/63/808445263.db2.gz UGPJBRSJDHXGOB-VXNVDRBHSA-N -1 1 333.352 -0.293 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)COc1cnn(C)c1 ZINC000989359184 808456615 /nfs/dbraw/zinc/45/66/15/808456615.db2.gz XHUVODYWKGKZJU-ZJUUUORDSA-N -1 1 335.368 -0.598 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)COc1cnn(C)c1 ZINC000989359181 808456685 /nfs/dbraw/zinc/45/66/85/808456685.db2.gz XHUVODYWKGKZJU-NXEZZACHSA-N -1 1 335.368 -0.598 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc(C(N)=O)[nH]1 ZINC000989457082 808481198 /nfs/dbraw/zinc/48/11/98/808481198.db2.gz FQKZNFOHDXBLTO-SFYZADRCSA-N -1 1 333.352 -0.670 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1nccn2ccnc12 ZINC000989462315 808482670 /nfs/dbraw/zinc/48/26/70/808482670.db2.gz QAIAHSPYTBGKGK-UWVGGRQHSA-N -1 1 342.363 -0.054 20 0 EBADMM O=C([C@H]1CCc2[nH]nnc2C1)N1CCCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC000989474371 808486580 /nfs/dbraw/zinc/48/65/80/808486580.db2.gz HPSKAOMYAHXUET-JTQLQIEISA-N -1 1 346.395 -0.532 20 0 EBADMM O=C([C@H]1CCc2nn[nH]c2C1)N1CCCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC000989474371 808486584 /nfs/dbraw/zinc/48/65/84/808486584.db2.gz HPSKAOMYAHXUET-JTQLQIEISA-N -1 1 346.395 -0.532 20 0 EBADMM COc1cncc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)n1 ZINC000989522704 808496996 /nfs/dbraw/zinc/49/69/96/808496996.db2.gz PJHXEWXLUDUBIA-IUCAKERBSA-N -1 1 333.352 -0.298 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc2nncn2c1 ZINC000989550156 808503726 /nfs/dbraw/zinc/50/37/26/808503726.db2.gz NOBLQURTBBXUFI-KOLCDFICSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@@H]1C[C@H](NCc2cnon2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000989562680 808507953 /nfs/dbraw/zinc/50/79/53/808507953.db2.gz RKJNCZDPHREECV-MNOVXSKESA-N -1 1 348.363 -0.911 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)[C@H]2CNC(=O)N2)CCN1C(=O)c1ncccc1[O-] ZINC000989571513 808515144 /nfs/dbraw/zinc/51/51/44/808515144.db2.gz MGJJAPYXZKTONG-KXUCPTDWSA-N -1 1 333.348 -0.812 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cc2ncccn2n1 ZINC000989584248 808522096 /nfs/dbraw/zinc/52/20/96/808522096.db2.gz WZFVVUAOBIRVCH-VHSXEESVSA-N -1 1 342.363 -0.054 20 0 EBADMM COc1ncc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)cn1 ZINC000989638812 808543307 /nfs/dbraw/zinc/54/33/07/808543307.db2.gz OVRASYZJYKLGCA-SCZZXKLOSA-N -1 1 333.352 -0.298 20 0 EBADMM CCc1ncncc1C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000989677461 808555677 /nfs/dbraw/zinc/55/56/77/808555677.db2.gz UCAGMAQKBJWIAK-UHFFFAOYSA-N -1 1 345.407 -0.191 20 0 EBADMM Cc1ccc(=O)n(CC(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)n1 ZINC000989704233 808562951 /nfs/dbraw/zinc/56/29/51/808562951.db2.gz QYKHALDVKIQTDH-GHMZBOCLSA-N -1 1 347.379 -0.845 20 0 EBADMM Cc1cc(CN[C@@H]2C[C@H](C)N(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)on1 ZINC000989721730 808566988 /nfs/dbraw/zinc/56/69/88/808566988.db2.gz FPNBUHSKRGNTFU-VHSXEESVSA-N -1 1 336.352 -0.235 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1c[nH]c(C(N)=O)c1 ZINC000989803302 808598551 /nfs/dbraw/zinc/59/85/51/808598551.db2.gz QYNOJIVMVQLFEV-IONNQARKSA-N -1 1 333.352 -0.670 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)C4=COCCO4)CCC[C@H]23)nc1=O ZINC000989929259 808620547 /nfs/dbraw/zinc/62/05/47/808620547.db2.gz UJQJKOPMDCFCAG-LRDDRELGSA-N -1 1 349.391 -0.390 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)c4cn[nH]c4)CCC[C@H]23)nc1=O ZINC000989974399 808629731 /nfs/dbraw/zinc/62/97/31/808629731.db2.gz VBVAKPPTOPUDJC-NHYWBVRUSA-N -1 1 331.380 -0.242 20 0 EBADMM C[C@H](C(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC000990676704 808888344 /nfs/dbraw/zinc/88/83/44/808888344.db2.gz DLSBCALNQVXOOI-QMMMGPOBSA-N -1 1 330.348 -0.596 20 0 EBADMM Cc1n[nH]c(C(=O)NC2CN(C(=O)[C@H](C)Cc3cnc[nH]3)C2)c1[O-] ZINC000990867858 808958382 /nfs/dbraw/zinc/95/83/82/808958382.db2.gz HFWLIYCCXDBGEK-MRVPVSSYSA-N -1 1 332.364 -0.034 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)Cn4nccn4)CCC[C@H]23)nc1=O ZINC000990913468 808979642 /nfs/dbraw/zinc/97/96/42/808979642.db2.gz YXMIBEQNWMZPIG-NHYWBVRUSA-N -1 1 346.395 -0.987 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)Cn4nccn4)CCC[C@H]23)nc1=O ZINC000990913470 808979798 /nfs/dbraw/zinc/97/97/98/808979798.db2.gz YXMIBEQNWMZPIG-XHDPSFHLSA-N -1 1 346.395 -0.987 20 0 EBADMM Cn1ccc(=O)c(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)c1 ZINC000990968467 809017585 /nfs/dbraw/zinc/01/75/85/809017585.db2.gz JIAKUFDKXQYYMD-UHFFFAOYSA-N -1 1 328.328 -0.260 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)o1 ZINC000990969302 809018275 /nfs/dbraw/zinc/01/82/75/809018275.db2.gz LVDADTMOZYBIOT-UHFFFAOYSA-N -1 1 330.300 -0.267 20 0 EBADMM CC(C)(C(=O)N1CC(NC(=O)c2ncccc2[O-])C1)N1CCOCC1 ZINC000990969265 809018703 /nfs/dbraw/zinc/01/87/03/809018703.db2.gz LGNICISRDRDYBA-UHFFFAOYSA-N -1 1 348.403 -0.161 20 0 EBADMM Cn1c(=O)cccc1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990971176 809020049 /nfs/dbraw/zinc/02/00/49/809020049.db2.gz HEAUSQWEQXITPA-UHFFFAOYSA-N -1 1 328.328 -0.260 20 0 EBADMM CC(C)c1nnnn1CC(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990979168 809026859 /nfs/dbraw/zinc/02/68/59/809026859.db2.gz ULDOAAZNDXYIFY-UHFFFAOYSA-N -1 1 345.363 -0.462 20 0 EBADMM NC(=O)[C@@H]1CC[C@H](C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC000990980047 809027295 /nfs/dbraw/zinc/02/72/95/809027295.db2.gz YDJMELHXEMLSBV-ZJUUUORDSA-N -1 1 332.360 -0.371 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)c1cnsn1 ZINC000991310662 809274568 /nfs/dbraw/zinc/27/45/68/809274568.db2.gz QLIUDNYCRIHCMG-GZMMTYOYSA-N -1 1 349.372 -0.356 20 0 EBADMM CSCC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991402267 809416339 /nfs/dbraw/zinc/41/63/39/809416339.db2.gz ADAUOWFRILUIOE-KOLCDFICSA-N -1 1 325.390 -0.158 20 0 EBADMM O=C(Cc1ccon1)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991413965 809432596 /nfs/dbraw/zinc/43/25/96/809432596.db2.gz GQBVSNBERNBGER-AAEUAGOBSA-N -1 1 346.343 -0.291 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cnon2)C[C@@H]1O)c1ncccc1[O-] ZINC000991422728 809444584 /nfs/dbraw/zinc/44/45/84/809444584.db2.gz HRIOLADQRQLZEH-KCJUWKMLSA-N -1 1 333.304 -0.824 20 0 EBADMM Cc1n[nH]cc1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991426117 809451520 /nfs/dbraw/zinc/45/15/20/809451520.db2.gz MKLSCNNWQZKRMT-WCQYABFASA-N -1 1 345.359 -0.176 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)C1=CCOCC1 ZINC000991427426 809454301 /nfs/dbraw/zinc/45/43/01/809454301.db2.gz OGHQONGSHHRNKR-GXTWGEPZSA-N -1 1 347.371 -0.175 20 0 EBADMM CCn1nccc1C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001002670840 809760759 /nfs/dbraw/zinc/76/07/59/809760759.db2.gz OEVNWNVVBNSHMM-UHFFFAOYSA-N -1 1 333.396 -0.281 20 0 EBADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCC(=O)NC1 ZINC001003078497 809779003 /nfs/dbraw/zinc/77/90/03/809779003.db2.gz OQEAKDPLFVHZJY-LLVKDONJSA-N -1 1 332.360 -0.498 20 0 EBADMM C[C@@H]1CCN(C(=O)C(N)=O)C[C@@H]1NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001004861614 809828451 /nfs/dbraw/zinc/82/84/51/809828451.db2.gz UHMBDFBCTZXRAO-KCJUWKMLSA-N -1 1 347.375 -0.488 20 0 EBADMM CC(=O)NC[C@H]1CCCCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001005315632 809851921 /nfs/dbraw/zinc/85/19/21/809851921.db2.gz IIBVEYBANIAWOX-CYBMUJFWSA-N -1 1 336.392 -0.166 20 0 EBADMM CN(C(=O)c1cnc[nH]c1=O)C1CCN([C@@H]2CCN(C)C2=O)CC1 ZINC001005558043 809858303 /nfs/dbraw/zinc/85/83/03/809858303.db2.gz CCRPEWTUEWMBOG-CYBMUJFWSA-N -1 1 333.392 -0.051 20 0 EBADMM C[C@H](NC(=O)[C@@H]1C[C@H]1C)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001006567916 809904126 /nfs/dbraw/zinc/90/41/26/809904126.db2.gz IGVHLVGFDDOBBE-WCABBAIRSA-N -1 1 344.375 -0.350 20 0 EBADMM C[C@H](NC(=O)[C@H]1C[C@@H]1C)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001006623737 809910624 /nfs/dbraw/zinc/91/06/24/809910624.db2.gz IGVHLVGFDDOBBE-QXEWZRGKSA-N -1 1 344.375 -0.350 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)c3cc4n(n3)CCO4)C2)nc1=O ZINC001007506196 809942816 /nfs/dbraw/zinc/94/28/16/809942816.db2.gz LVFWLPSXYWBFTB-SNVBAGLBSA-N -1 1 347.379 -0.908 20 0 EBADMM COc1cnc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)nc1 ZINC001007887382 810037675 /nfs/dbraw/zinc/03/76/75/810037675.db2.gz QOFYHYFXWVPJIB-JTQLQIEISA-N -1 1 347.379 -0.699 20 0 EBADMM Cc1nc(CN2CCC[C@@H](NC(=O)c3cnc([O-])n(C)c3=O)C2)n[nH]1 ZINC001008159035 810091574 /nfs/dbraw/zinc/09/15/74/810091574.db2.gz QYOHHEFKAHSNCB-SNVBAGLBSA-N -1 1 347.379 -0.693 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1)c1cncnc1 ZINC001008296048 810126609 /nfs/dbraw/zinc/12/66/09/810126609.db2.gz WGWJKPOZRLYLLK-DGCLKSJQSA-N -1 1 345.407 -0.217 20 0 EBADMM Cc1ncc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1C ZINC001008309078 810130071 /nfs/dbraw/zinc/13/00/71/810130071.db2.gz JTIYTIROIDSYID-LLVKDONJSA-N -1 1 333.396 -0.455 20 0 EBADMM Cc1cc(=O)[nH]cc1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001008478776 810171804 /nfs/dbraw/zinc/17/18/04/810171804.db2.gz IVLGDBDQEZTIOL-NSHDSACASA-N -1 1 346.391 -0.088 20 0 EBADMM NC(=O)CC(=O)N1CC2(C1)CCCN(C(=O)c1ncccc1[O-])C2 ZINC001010594165 810287952 /nfs/dbraw/zinc/28/79/52/810287952.db2.gz YFFXGOLRRUTNPR-UHFFFAOYSA-N -1 1 332.360 -0.273 20 0 EBADMM C[C@H]1CN(C(=O)CN2CC[C@@H](NC(=O)c3ncccc3[O-])C2)CCO1 ZINC001010735596 810295774 /nfs/dbraw/zinc/29/57/74/810295774.db2.gz YNXKVJQLXXWTBS-QWHCGFSZSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@@H]1CN(C(=O)COC[C@@H]2CCOC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001215754802 810343322 /nfs/dbraw/zinc/34/33/22/810343322.db2.gz LICXZWQEKFYYDJ-IJLUTSLNSA-N -1 1 339.396 -0.500 20 0 EBADMM COc1ncc(Nc2c(N)[nH]c(=O)[nH]c2=O)cc1[N-]S(C)(=O)=O ZINC001216151454 810361914 /nfs/dbraw/zinc/36/19/14/810361914.db2.gz BGAMJKYBEAHUOX-UHFFFAOYSA-N -1 1 342.337 -0.011 20 0 EBADMM C[C@@H]1CN(C(=O)CCCn2cncn2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001216238364 810364198 /nfs/dbraw/zinc/36/41/98/810364198.db2.gz KWJCJTJKPUXXQQ-GHMZBOCLSA-N -1 1 334.384 -0.481 20 0 EBADMM CCC(=O)N[C@]12CCC[C@@H]1N(C(=O)CCn1cc[n-]c(=O)c1=O)CC2 ZINC001014106689 810415987 /nfs/dbraw/zinc/41/59/87/810415987.db2.gz LZLFUXFATRXXEP-SJCJKPOMSA-N -1 1 348.403 -0.024 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@@H]3CCCc4c[nH]nc43)C2)nc1=O ZINC001014429248 810430360 /nfs/dbraw/zinc/43/03/60/810430360.db2.gz FMYNGQAYWVJHCZ-NWDGAFQWSA-N -1 1 345.407 -0.358 20 0 EBADMM CC(C)Cn1ccc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001014583996 810441302 /nfs/dbraw/zinc/44/13/02/810441302.db2.gz HRJUIJVSYQOAEV-GFCCVEGCSA-N -1 1 347.423 -0.035 20 0 EBADMM O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCCc2n[nH]nc21 ZINC001015619927 810546020 /nfs/dbraw/zinc/54/60/20/810546020.db2.gz FVHHFPIQUWVFLM-DTWKUNHWSA-N -1 1 332.368 -0.561 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ccc4cccnn43)C2)nc1=O ZINC001015807545 810587375 /nfs/dbraw/zinc/58/73/75/810587375.db2.gz DSONRVFTWJGWEE-NSHDSACASA-N -1 1 341.375 -0.240 20 0 EBADMM O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CCc2[nH]cnc2C1 ZINC001015956400 810625306 /nfs/dbraw/zinc/62/53/06/810625306.db2.gz BAFRJEIUQBDKSS-VHSXEESVSA-N -1 1 331.380 -0.271 20 0 EBADMM Cc1nc(CN2CC[C@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)co1 ZINC001015991448 810644140 /nfs/dbraw/zinc/64/41/40/810644140.db2.gz DGDAUJMLRZCGAP-JTQLQIEISA-N -1 1 343.347 -0.282 20 0 EBADMM O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CCc2[nH]nnc2C1 ZINC001015991454 810644235 /nfs/dbraw/zinc/64/42/35/810644235.db2.gz BGWYTEOTFVHARH-IUCAKERBSA-N -1 1 332.368 -0.876 20 0 EBADMM Cc1ncoc1CN1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001015991451 810644879 /nfs/dbraw/zinc/64/48/79/810644879.db2.gz DHDBJMQFRVTNFH-JTQLQIEISA-N -1 1 343.347 -0.282 20 0 EBADMM O=C(N[C@H]1CCN(Cc2cncs2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001015993645 810647037 /nfs/dbraw/zinc/64/70/37/810647037.db2.gz YRSZPYXUHUGEHA-VIFPVBQESA-N -1 1 345.388 -0.122 20 0 EBADMM CCCC[C@@H](C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001219560634 810750440 /nfs/dbraw/zinc/75/04/40/810750440.db2.gz JBSZYBWEMMMYPA-UTUOFQBUSA-N -1 1 325.413 -0.404 20 0 EBADMM CC[C@H](C)CN1CCO[C@H](CNC(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001035468649 810825206 /nfs/dbraw/zinc/82/52/06/810825206.db2.gz YUZHTPNWOMOVFB-NWDGAFQWSA-N -1 1 338.408 -0.037 20 0 EBADMM CC[C@@H](CC(F)F)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001219781746 810838659 /nfs/dbraw/zinc/83/86/59/810838659.db2.gz DDQIJWKJUWCNRJ-AEJSXWLSSA-N -1 1 347.366 -0.549 20 0 EBADMM CCc1ccoc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035503982 810860389 /nfs/dbraw/zinc/86/03/89/810860389.db2.gz YNALJJNHUUADKU-LBPRGKRZSA-N -1 1 349.391 -0.105 20 0 EBADMM CNC(=O)CN1CCO[C@@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001035535663 810882448 /nfs/dbraw/zinc/88/24/48/810882448.db2.gz JORFZPVVYYQEAY-NSHDSACASA-N -1 1 349.391 -0.764 20 0 EBADMM Cc1cnoc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035567142 810917654 /nfs/dbraw/zinc/91/76/54/810917654.db2.gz GREBVWALXBOAND-JTQLQIEISA-N -1 1 336.352 -0.964 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)[C@@H]2CCCO2)CC3)nc1=O ZINC001035646092 810979240 /nfs/dbraw/zinc/97/92/40/810979240.db2.gz CFORBFYBVUQQRN-LBPRGKRZSA-N -1 1 335.408 -0.288 20 0 EBADMM CC(C)[C@@H](O)C(=O)N1CCC2(CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001035696993 810993465 /nfs/dbraw/zinc/99/34/65/810993465.db2.gz ONRZJXRECFSGCV-CYBMUJFWSA-N -1 1 337.424 -0.450 20 0 EBADMM CO[C@@H](C(=O)N1CCC2(CN(Cc3nc(=O)n(C)[n-]3)C2)CC1)C1CC1 ZINC001035698779 810994757 /nfs/dbraw/zinc/99/47/57/810994757.db2.gz RJSOMHJHJDUBOU-CQSZACIVSA-N -1 1 349.435 -0.042 20 0 EBADMM C[C@H]1OCC[C@@H]1C(=O)N1CCC2(CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001035826805 811034753 /nfs/dbraw/zinc/03/47/53/811034753.db2.gz UYPJCKXRVPBGON-OLZOCXBDSA-N -1 1 349.435 -0.042 20 0 EBADMM C[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC[C@@H]1NCc1ncnn1C ZINC001036091838 811094921 /nfs/dbraw/zinc/09/49/21/811094921.db2.gz FSZUOWXGHJRMFS-IUCAKERBSA-N -1 1 348.367 -0.948 20 0 EBADMM Cc1nonc1CN[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1C ZINC001036093195 811095525 /nfs/dbraw/zinc/09/55/25/811095525.db2.gz LJLRNRPGZYKBSR-VXNVDRBHSA-N -1 1 349.351 -0.385 20 0 EBADMM C[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC[C@H]1NCc1cnn(C)n1 ZINC001036096845 811097606 /nfs/dbraw/zinc/09/76/06/811097606.db2.gz VHXXRCMAMXYVQW-PSASIEDQSA-N -1 1 348.367 -0.948 20 0 EBADMM CCC[C@@H](CC)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001220631006 811181433 /nfs/dbraw/zinc/18/14/33/811181433.db2.gz CCSXWNLHRREDIC-UTUOFQBUSA-N -1 1 325.413 -0.404 20 0 EBADMM C[C@H]1CCCN(C(=O)CCn2cnnn2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036588662 811182724 /nfs/dbraw/zinc/18/27/24/811182724.db2.gz ZUSZNYIGZRGORE-WDEREUQCSA-N -1 1 349.399 -0.696 20 0 EBADMM O=C(c1cc[n+]([O-])cc1)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036635212 811188473 /nfs/dbraw/zinc/18/84/73/811188473.db2.gz GRDUMIRBEXBZAN-OLZOCXBDSA-N -1 1 344.375 -0.120 20 0 EBADMM Cn1cc(CC(=O)N2CC[C@@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001036664549 811200012 /nfs/dbraw/zinc/20/00/12/811200012.db2.gz DMCNWSURGFRXOJ-QWHCGFSZSA-N -1 1 345.407 -0.091 20 0 EBADMM Cc1nnc(CC(=O)N2CC[C@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)o1 ZINC001036706936 811218925 /nfs/dbraw/zinc/21/89/25/811218925.db2.gz GNCVZCBMNDVLQH-MNOVXSKESA-N -1 1 347.379 -0.133 20 0 EBADMM Cn1cncc1C(=O)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036762553 811246773 /nfs/dbraw/zinc/24/67/73/811246773.db2.gz KYKZGHUHFACWJA-QWRGUYRKSA-N -1 1 331.380 -0.020 20 0 EBADMM Cn1cncc1C(=O)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036762551 811247236 /nfs/dbraw/zinc/24/72/36/811247236.db2.gz KYKZGHUHFACWJA-GHMZBOCLSA-N -1 1 331.380 -0.020 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CCC[C@@H]2C[NH2+]Cc2nc(=O)n(C)[n-]2)c1[O-] ZINC001036985826 811322913 /nfs/dbraw/zinc/32/29/13/811322913.db2.gz MVAYXNOMELNOEY-ZJUUUORDSA-N -1 1 349.395 -0.466 20 0 EBADMM CCOCC(=O)N(CC)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001079249719 811459769 /nfs/dbraw/zinc/45/97/69/811459769.db2.gz SOLLXAHFOUTURF-UHFFFAOYSA-N -1 1 348.363 -0.873 20 0 EBADMM CN(C)C(=O)CCCNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001221457724 811460863 /nfs/dbraw/zinc/46/08/63/811460863.db2.gz LGBVPFXSFZTDSG-UHFFFAOYSA-N -1 1 337.380 -0.078 20 0 EBADMM CCN(C(=O)[C@H](C)NC(C)=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079264800 811468188 /nfs/dbraw/zinc/46/81/88/811468188.db2.gz PNARZZWNORJLAO-JTQLQIEISA-N -1 1 334.376 -0.015 20 0 EBADMM C/C=C(/C)C(=O)N(CC)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001079578723 811596103 /nfs/dbraw/zinc/59/61/03/811596103.db2.gz ODJFVYURFNPAHZ-QCDXTXTGSA-N -1 1 348.403 -0.048 20 0 EBADMM CCCn1nccc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001079778568 811714865 /nfs/dbraw/zinc/71/48/65/811714865.db2.gz GGUVDWVHFSRYOW-VXGBXAGGSA-N -1 1 347.423 -0.035 20 0 EBADMM COC(=O)NCC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001225083615 811791184 /nfs/dbraw/zinc/79/11/84/811791184.db2.gz KKYDXWPLEKSUQZ-RKDXNWHRSA-N -1 1 326.357 -0.617 20 0 EBADMM CS(=O)(=O)CC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001225159702 811795764 /nfs/dbraw/zinc/79/57/64/811795764.db2.gz LJXMNHCYPSTYFD-RKDXNWHRSA-N -1 1 331.398 -0.928 20 0 EBADMM CNC(=O)NC(=O)[C@H](C)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC001226985539 811894609 /nfs/dbraw/zinc/89/46/09/811894609.db2.gz HAFKVNUDBMBZLN-JTQLQIEISA-N -1 1 349.391 -0.175 20 0 EBADMM CS(=O)(=O)NCCN1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC001226978297 811894979 /nfs/dbraw/zinc/89/49/79/811894979.db2.gz QZAZJBFAIBAPQJ-UHFFFAOYSA-N -1 1 342.421 -0.469 20 0 EBADMM O=S(=O)([O-])C[C@@H](C[NH+]1CCOCC1)Oc1ncnc2[n-]cnc21 ZINC001227532216 811928349 /nfs/dbraw/zinc/92/83/49/811928349.db2.gz RGEWLGRWZCFRAC-SECBINFHSA-N -1 1 343.365 -0.680 20 0 EBADMM COc1nc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)co1 ZINC001080203617 811939095 /nfs/dbraw/zinc/93/90/95/811939095.db2.gz BFWLKIHHDVIHPK-RKDXNWHRSA-N -1 1 336.352 -0.645 20 0 EBADMM O=c1nc2c(c(O[C@H]3CO[C@@H]4[C@H](O[N+](=O)[O-])CO[C@H]34)[n-]1)COC2 ZINC001227691953 811939457 /nfs/dbraw/zinc/93/94/57/811939457.db2.gz YKEAJHAUDBYCHV-SGIHWFKDSA-N -1 1 327.249 -0.666 20 0 EBADMM Cc1cncc(CC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)c1 ZINC001080201489 811939416 /nfs/dbraw/zinc/93/94/16/811939416.db2.gz JJCNCMKJNULTHY-TZMCWYRMSA-N -1 1 344.419 -0.009 20 0 EBADMM COC(=O)c1n[n-]c(OC[C@H]2O[C@@H](OC)[C@@H]3OC(C)(C)O[C@H]23)n1 ZINC001228313132 811974498 /nfs/dbraw/zinc/97/44/98/811974498.db2.gz YYPPMVADSPGCOR-KCGFPETGSA-N -1 1 329.309 -0.139 20 0 EBADMM COC(=O)c1nc(OC[C@H]2O[C@@H](OC)[C@@H]3OC(C)(C)O[C@H]23)n[n-]1 ZINC001228313132 811974503 /nfs/dbraw/zinc/97/45/03/811974503.db2.gz YYPPMVADSPGCOR-KCGFPETGSA-N -1 1 329.309 -0.139 20 0 EBADMM Cc1ncc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)n1C ZINC001080332260 811983431 /nfs/dbraw/zinc/98/34/31/811983431.db2.gz QNTHQQGDFQWPNT-MWLCHTKSSA-N -1 1 333.396 -0.599 20 0 EBADMM CCn1nc(C)cc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001080494355 812042192 /nfs/dbraw/zinc/04/21/92/812042192.db2.gz JUJGQJJXGRXDBJ-ZYHUDNBSSA-N -1 1 347.423 -0.116 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)c(=O)[nH]1 ZINC001080512734 812045323 /nfs/dbraw/zinc/04/53/23/812045323.db2.gz ZZPMAQPKGLACFH-BXKDBHETSA-N -1 1 346.391 -0.232 20 0 EBADMM CC(C)n1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)nn1 ZINC001080685002 812136375 /nfs/dbraw/zinc/13/63/75/812136375.db2.gz PPQYKZCWFCZJBL-GHMZBOCLSA-N -1 1 348.411 -0.469 20 0 EBADMM C[C@@H]1CN(Cc2cnon2)C[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001080776554 812221594 /nfs/dbraw/zinc/22/15/94/812221594.db2.gz IMWDQCHTKOQFNX-LDYMZIIASA-N -1 1 344.335 -0.949 20 0 EBADMM Cc1cc(CC(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)[nH]n1 ZINC001233673926 812327435 /nfs/dbraw/zinc/32/74/35/812327435.db2.gz LLRZYJYGPWCCKW-GFCCVEGCSA-N -1 1 333.396 -0.585 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)Cc1cccnc1 ZINC001233708146 812331862 /nfs/dbraw/zinc/33/18/62/812331862.db2.gz YTMQNXIENLQTIZ-ZDUSSCGKSA-N -1 1 330.392 -0.221 20 0 EBADMM O=C(NC1(CO)CCN(C(=O)c2ncccc2[O-])CC1)c1cn[nH]n1 ZINC001080909209 812343764 /nfs/dbraw/zinc/34/37/64/812343764.db2.gz NPKDGSWLMSHZAL-UHFFFAOYSA-N -1 1 346.347 -0.698 20 0 EBADMM CO[C@@H](C)CCC(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001233829025 812353020 /nfs/dbraw/zinc/35/30/20/812353020.db2.gz RPNQMTQNCRGZPG-NWDGAFQWSA-N -1 1 325.413 -0.044 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)CCc1ncc[nH]1 ZINC001234012183 812376209 /nfs/dbraw/zinc/37/62/09/812376209.db2.gz OLQAOQIQCQIIKI-NSHDSACASA-N -1 1 333.396 -0.503 20 0 EBADMM C[C@@H](C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)c1ccnn1C ZINC001234392901 812422752 /nfs/dbraw/zinc/42/27/52/812422752.db2.gz QVXCLPGZYHCUSS-NEPJUHHUSA-N -1 1 347.423 -0.322 20 0 EBADMM O=C(NCCO[C@H]1CCOC1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001235544303 812496770 /nfs/dbraw/zinc/49/67/70/812496770.db2.gz JDLBVWQXQWQQJJ-JTQLQIEISA-N -1 1 338.364 -0.140 20 0 EBADMM CC(F)(F)C(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001081184277 812535254 /nfs/dbraw/zinc/53/52/54/812535254.db2.gz RHFKOBDAFNKAIQ-WPRPVWTQSA-N -1 1 329.303 -0.009 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ccc(F)cc1 ZINC001081282580 812656561 /nfs/dbraw/zinc/65/65/61/812656561.db2.gz AOFLSDFWYKXQFI-CHWSQXEVSA-N -1 1 349.366 -0.123 20 0 EBADMM NC(=O)CO[N-]C(=O)CC1(CC(=O)N2CCOCC2)CCCC1 ZINC001254104050 812694854 /nfs/dbraw/zinc/69/48/54/812694854.db2.gz CPWPKBJRHBMXPW-UHFFFAOYSA-N -1 1 327.381 -0.281 20 0 EBADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]c1cc(=O)n(C)c(=O)n1C ZINC001258452550 812793762 /nfs/dbraw/zinc/79/37/62/812793762.db2.gz CABYWOQOEGJTKS-UHFFFAOYSA-N -1 1 347.784 -0.420 20 0 EBADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@]1(C)CCC(=O)NC1=O ZINC001258465971 812794946 /nfs/dbraw/zinc/79/49/46/812794946.db2.gz RZJINFAKANKGQV-LLVKDONJSA-N -1 1 334.785 -0.144 20 0 EBADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]c1cc(=O)n(C)c(=O)[nH]1 ZINC001258465504 812794964 /nfs/dbraw/zinc/79/49/64/812794964.db2.gz JTZSIVDFJNHCJX-UHFFFAOYSA-N -1 1 333.757 -0.018 20 0 EBADMM CCc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2OC)[nH]n1 ZINC001081384856 812848098 /nfs/dbraw/zinc/84/80/98/812848098.db2.gz GLBMDHQBVTUAKR-VXGBXAGGSA-N -1 1 349.395 -0.977 20 0 EBADMM Cc1cc(C(F)(F)F)ncc1NC(=O)C(=O)NN1CC(=O)[N-]C1=O ZINC001261203199 812880767 /nfs/dbraw/zinc/88/07/67/812880767.db2.gz RQVHJKSNYQDFPD-UHFFFAOYSA-N -1 1 345.237 -0.070 20 0 EBADMM CCCOCC(=O)N1C[C@@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001081424277 812911123 /nfs/dbraw/zinc/91/11/23/812911123.db2.gz CLFCLHQRCDEGKO-WCQYABFASA-N -1 1 337.376 -0.237 20 0 EBADMM CC(C)OCC(=O)N1C[C@@H](CNC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001081424834 812914158 /nfs/dbraw/zinc/91/41/58/812914158.db2.gz KBUPEVAOKVETFP-DGCLKSJQSA-N -1 1 337.376 -0.239 20 0 EBADMM CCOCCC(=O)N1C[C@@H](CNC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001081426050 812917453 /nfs/dbraw/zinc/91/74/53/812917453.db2.gz ZMDSWNJREYKLLL-DGCLKSJQSA-N -1 1 337.376 -0.237 20 0 EBADMM COCCN(C)CCNC(=O)C(=O)N1CCC(CCC(=O)[O-])CC1 ZINC001261631969 812955028 /nfs/dbraw/zinc/95/50/28/812955028.db2.gz KZPMDZLIOKNNLU-UHFFFAOYSA-N -1 1 343.424 -0.216 20 0 EBADMM Cc1[nH]c2ccnn2c(=O)c1CC(=O)[N-]OCCN1CCCC1=O ZINC001261775109 812983993 /nfs/dbraw/zinc/98/39/93/812983993.db2.gz VUUWOBOZDCKCFF-UHFFFAOYSA-N -1 1 333.348 -0.456 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@](CO)(C(F)(F)F)C1 ZINC001262189149 813044881 /nfs/dbraw/zinc/04/48/81/813044881.db2.gz QDGNTHWLARJELI-LBPRGKRZSA-N -1 1 335.282 -0.300 20 0 EBADMM NC(=O)[C@H]1CC[C@H]1C(=O)NCCCN(Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001265171253 813251837 /nfs/dbraw/zinc/25/18/37/813251837.db2.gz NDIILANSWMKNBK-WDEREUQCSA-N -1 1 336.396 -0.508 20 0 EBADMM C[C@@]1(C(=O)NCCCN(Cc2n[nH]c(=O)[n-]2)C2CC2)CCC(=O)N1 ZINC001265175065 813254021 /nfs/dbraw/zinc/25/40/21/813254021.db2.gz SOZLDFSRVCYZFS-HNNXBMFYSA-N -1 1 336.396 -0.350 20 0 EBADMM COCC1(C(=O)NC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)CC1 ZINC001081596376 813262931 /nfs/dbraw/zinc/26/29/31/813262931.db2.gz XPRKTOFDUMAHCK-AAEUAGOBSA-N -1 1 349.387 -0.237 20 0 EBADMM O=C(NCCCN1CCN(Cc2n[nH]c(=O)[n-]2)CC1)c1ccncc1 ZINC001265237331 813266651 /nfs/dbraw/zinc/26/66/51/813266651.db2.gz XGLYGTOTWJEYNC-UHFFFAOYSA-N -1 1 345.407 -0.157 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@@H]1CC1(F)F ZINC001081637946 813348563 /nfs/dbraw/zinc/34/85/63/813348563.db2.gz JKZKGXYSNUAVNQ-DJLDLDEBSA-N -1 1 331.323 -0.921 20 0 EBADMM O=C(Cc1cnoc1)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001081676660 813386611 /nfs/dbraw/zinc/38/66/11/813386611.db2.gz HBDQLPBYSFJOMH-YPMHNXCESA-N -1 1 346.343 -0.433 20 0 EBADMM O=C(C[C@H]1CCC(=O)N1)NCCCN(Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001266164045 813470078 /nfs/dbraw/zinc/47/00/78/813470078.db2.gz HNOLIYRNJYQXQC-SNVBAGLBSA-N -1 1 336.396 -0.350 20 0 EBADMM CN(C(=O)CC(N)=O)C1CCN(Cc2cc(=O)n3[n-]ccc3n2)CC1 ZINC001266180110 813472534 /nfs/dbraw/zinc/47/25/34/813472534.db2.gz ZNNOQKUHIHBNBG-UHFFFAOYSA-N -1 1 346.391 -0.679 20 0 EBADMM O=C(NCCC[C@H]1CCCN1Cc1n[nH]c(=O)[n-]1)[C@@H]1CCC(=O)N1 ZINC001266241519 813487254 /nfs/dbraw/zinc/48/72/54/813487254.db2.gz POQBZKQDJPIXCY-QWRGUYRKSA-N -1 1 336.396 -0.350 20 0 EBADMM Cc1c[nH]c(C(=O)NCCCN2CCN(Cc3n[nH]c(=O)[n-]3)CC2)n1 ZINC001266273395 813504596 /nfs/dbraw/zinc/50/45/96/813504596.db2.gz KHSUTOMHRCMOKA-UHFFFAOYSA-N -1 1 348.411 -0.520 20 0 EBADMM CO[C@@H]1CN(Cc2ccccc2)C[C@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001081899539 813668142 /nfs/dbraw/zinc/66/81/42/813668142.db2.gz WTZWPCYMKHMBLA-VXGBXAGGSA-N -1 1 345.359 -0.088 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)NC[C@@H]1CCCCN1C(=O)c1ccn[nH]1 ZINC001081901968 813669721 /nfs/dbraw/zinc/66/97/21/813669721.db2.gz XHQAPXQYLRGWID-VIFPVBQESA-N -1 1 349.351 -0.777 20 0 EBADMM Cc1oncc1CNCCN(C)C(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC001266901713 813695617 /nfs/dbraw/zinc/69/56/17/813695617.db2.gz PGJKUABQIMHNOS-UHFFFAOYSA-N -1 1 345.363 -0.384 20 0 EBADMM CN(CCNCc1cscn1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001266901536 813696058 /nfs/dbraw/zinc/69/60/58/813696058.db2.gz JGVVDXCEDVNISA-UHFFFAOYSA-N -1 1 337.405 -0.369 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cccnc1C ZINC001082081830 813753859 /nfs/dbraw/zinc/75/38/59/813753859.db2.gz XZIQZKCVUAKTJB-CHWSQXEVSA-N -1 1 346.391 -0.559 20 0 EBADMM CN(CCNC(=O)c1cccc2[nH]c(=O)[nH]c21)Cc1nc(=O)n(C)[n-]1 ZINC001267199295 813782808 /nfs/dbraw/zinc/78/28/08/813782808.db2.gz KZWMYBPVFJLRDF-UHFFFAOYSA-N -1 1 345.363 -0.448 20 0 EBADMM COCC(=O)NC[C@@H]1CCCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001082177423 813803565 /nfs/dbraw/zinc/80/35/65/813803565.db2.gz JOJXUPFIUCYMCS-JTQLQIEISA-N -1 1 348.363 -0.825 20 0 EBADMM CC(C)N(CCCNC(=O)[C@@H]1CC[C@H]1C(N)=O)Cc1n[nH]c(=O)[n-]1 ZINC001267506045 813917415 /nfs/dbraw/zinc/91/74/15/813917415.db2.gz UTXCUNIMTYTSSI-GHMZBOCLSA-N -1 1 338.412 -0.262 20 0 EBADMM CCN1C[C@@H](C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)CC1=O ZINC001082543357 813964149 /nfs/dbraw/zinc/96/41/49/813964149.db2.gz QTOSBBCPBYDACJ-OUAUKWLOSA-N -1 1 336.396 -0.685 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2CCCc3nn[nH]c32)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082710201 813996311 /nfs/dbraw/zinc/99/63/11/813996311.db2.gz KKDCHFFKTKAKAM-WCABBAIRSA-N -1 1 346.395 -0.315 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)c4ccccc4)[C@H]3C2)nc1=O ZINC001082984178 814040352 /nfs/dbraw/zinc/04/03/52/814040352.db2.gz RSSQIMCBWSDQON-UONOGXRCSA-N -1 1 343.387 -0.166 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)CCC4CC4)[C@H]3C2)nc1=O ZINC001083028423 814075493 /nfs/dbraw/zinc/07/54/93/814075493.db2.gz OCCLAHBSPRXTBA-QWHCGFSZSA-N -1 1 335.408 -0.290 20 0 EBADMM Cn1nnc(CN2C[C@H]3OCCN(C(=O)c4ncccc4[O-])[C@H]3C2)n1 ZINC001083059794 814098428 /nfs/dbraw/zinc/09/84/28/814098428.db2.gz WRJSBTSRRKGPOL-CMPLNLGQSA-N -1 1 345.363 -0.964 20 0 EBADMM C[C@H](C(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21)C1CCC1 ZINC001083118346 814141439 /nfs/dbraw/zinc/14/14/39/814141439.db2.gz CPEQVAQFBSFTOM-FPMFFAJLSA-N -1 1 349.435 -0.044 20 0 EBADMM O=C(NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1)c1ccc2cnccc2c1 ZINC001268326712 814205779 /nfs/dbraw/zinc/20/57/79/814205779.db2.gz FNOAVYBYYHGLPF-ZDUSSCGKSA-N -1 1 342.359 -0.061 20 0 EBADMM CCn1cc(CN2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)nn1 ZINC001083423061 814403358 /nfs/dbraw/zinc/40/33/58/814403358.db2.gz CAYJNFFZEWEXIX-YPMHNXCESA-N -1 1 332.364 -0.626 20 0 EBADMM COc1cncc(CN2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1 ZINC001083423282 814404147 /nfs/dbraw/zinc/40/41/47/814404147.db2.gz NXZIGMBIENNKDQ-YPMHNXCESA-N -1 1 345.359 -0.439 20 0 EBADMM CC(C)n1cc(CN2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)nn1 ZINC001083423359 814404878 /nfs/dbraw/zinc/40/48/78/814404878.db2.gz RDIUDMZYIQIHGR-OCCSQVGLSA-N -1 1 346.391 -0.065 20 0 EBADMM O=C(N[C@@H]1CN(CCO[C@H]2CC2(F)F)C[C@@H]1O)c1ncccc1[O-] ZINC001083423241 814404914 /nfs/dbraw/zinc/40/49/14/814404914.db2.gz MAKXBCWXODVBAE-USWWRNFRSA-N -1 1 343.330 -0.014 20 0 EBADMM CC(=O)[C@@H](C)N1CC2(CN(C(=O)c3ncccc3[O-])C2)OCC1=O ZINC001268842205 814409395 /nfs/dbraw/zinc/40/93/95/814409395.db2.gz HGJSBRRVYMEWCU-SNVBAGLBSA-N -1 1 333.344 -0.182 20 0 EBADMM CN(C)C(=O)CNC(=O)[C@@]12C[C@@H]1CCN2C(=O)c1ncccc1[O-] ZINC001269346504 814651387 /nfs/dbraw/zinc/65/13/87/814651387.db2.gz QRHPBXNLUKOLRB-MGPLVRAMSA-N -1 1 332.360 -0.404 20 0 EBADMM O=C(c1ccc([O-])cn1)N1CC[C@]2(C1)CN(C1COC1)C(=O)CO2 ZINC001269355115 814659641 /nfs/dbraw/zinc/65/96/41/814659641.db2.gz WEBNUPHZYNKWNB-INIZCTEOSA-N -1 1 333.344 -0.371 20 0 EBADMM Cn1cncc1CN1CC[C@]2(CCCN2C(=O)Cc2nn[n-]n2)C1=O ZINC001269576952 814734357 /nfs/dbraw/zinc/73/43/57/814734357.db2.gz CCLGGVURVVQHNA-OAHLLOKOSA-N -1 1 344.379 -0.731 20 0 EBADMM CC(=O)[C@H](C)N1C[C@]2(CC1=O)CCCCN2C(=O)Cc1nn[n-]n1 ZINC001269576759 814734537 /nfs/dbraw/zinc/73/45/37/814734537.db2.gz VOYIQCJDMWMXQJ-ZUZCIYMTSA-N -1 1 334.380 -0.297 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@@H]3CCC(C)(C)C3)[C@@H](O)C2)nc1=O ZINC001083757282 814760195 /nfs/dbraw/zinc/76/01/95/814760195.db2.gz GJQZTQZNAPXGPJ-UTUOFQBUSA-N -1 1 337.424 -0.404 20 0 EBADMM CN(C)S(=O)(=O)N1CCC12CN(C(=O)c1ccc([O-])cn1)C2 ZINC001269896072 815631088 /nfs/dbraw/zinc/63/10/88/815631088.db2.gz XYWUCISXLHMLEE-UHFFFAOYSA-N -1 1 326.378 -0.506 20 0 EBADMM Cn1nnnc1NC(=O)[C@@]12C[C@@H]1CCN2C(=O)c1ccc([O-])cn1 ZINC001270138860 815697592 /nfs/dbraw/zinc/69/75/92/815697592.db2.gz KSJMKYXAAFIGHP-RMLUDKJBSA-N -1 1 329.320 -0.446 20 0 EBADMM O=C(NCCn1ccnn1)[C@@]12C[C@@H]1CCN2C(=O)c1ccc([O-])cn1 ZINC001270138679 815698140 /nfs/dbraw/zinc/69/81/40/815698140.db2.gz IDOTXTJGQGMEQE-MEDUHNTESA-N -1 1 342.359 -0.200 20 0 EBADMM CC1=CCC=C[C@@H]1C(=O)N1CC2(CN(C(=O)Cc3nn[n-]n3)C2)C1 ZINC001270183155 815713814 /nfs/dbraw/zinc/71/38/14/815713814.db2.gz QYSVNEVWVAWNBA-LBPRGKRZSA-N -1 1 328.376 -0.065 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O)C1CCCC1 ZINC001083938124 815723492 /nfs/dbraw/zinc/72/34/92/815723492.db2.gz VHFILFGWNLXBJY-UHTWSYAYSA-N -1 1 337.424 -0.404 20 0 EBADMM CC[C@H](F)CN1C[C@@H](NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)[C@@H](O)C1 ZINC001083949984 815733279 /nfs/dbraw/zinc/73/32/79/815733279.db2.gz VLTKFFOAAYCEPG-YIZRAAEISA-N -1 1 344.343 -0.581 20 0 EBADMM COC[C@H](C)N1C[C@@H](NC(=O)c2ccc3oc(=O)nc-3[n-]2)[C@@H](O)C1 ZINC001083950872 815734703 /nfs/dbraw/zinc/73/47/03/815734703.db2.gz FPKUGSMUHWSGOF-GDPRMGEGSA-N -1 1 336.348 -0.262 20 0 EBADMM NC(=O)c1nnc2n1CCN(C(=O)c1[n-][nH]c3cc(=O)ccc1-3)C2 ZINC001270407261 815768232 /nfs/dbraw/zinc/76/82/32/815768232.db2.gz XZCONBOIMNRWDB-UHFFFAOYSA-N -1 1 327.304 -0.385 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c(C)n1C ZINC001084039858 815787041 /nfs/dbraw/zinc/78/70/41/815787041.db2.gz OPLYKSVNSUNTLJ-OLZOCXBDSA-N -1 1 348.407 -0.961 20 0 EBADMM Cc1cnc(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)cn1 ZINC001084166190 815858606 /nfs/dbraw/zinc/85/86/06/815858606.db2.gz YVGOSOJGPQFAHG-DGCLKSJQSA-N -1 1 343.391 -0.447 20 0 EBADMM C[C@H](C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)n1cccn1 ZINC001084202686 815864154 /nfs/dbraw/zinc/86/41/54/815864154.db2.gz UFDXSJVIYRZQHI-JHJVBQTASA-N -1 1 345.407 -0.401 20 0 EBADMM Cc1cc(CC(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)[nH]n1 ZINC001084271463 815878268 /nfs/dbraw/zinc/87/82/68/815878268.db2.gz XUNSWMGDSFOHGU-DGCLKSJQSA-N -1 1 345.407 -0.585 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@H]2C[C@]21C(=O)Nc1ccc(O)cc1O ZINC001270784276 815888115 /nfs/dbraw/zinc/88/81/15/815888115.db2.gz GWTYCORAXNZWKE-VXJOIVPMSA-N -1 1 344.331 -0.217 20 0 EBADMM CCn1ccnc1C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001084309979 815888720 /nfs/dbraw/zinc/88/87/20/815888720.db2.gz DVUKUPHMIBSOJE-VXGBXAGGSA-N -1 1 345.407 -0.329 20 0 EBADMM CC(C)(C)NC(=O)[C@H]1COCCC12CN(C(=O)Cc1nn[n-]n1)C2 ZINC001270785856 815889237 /nfs/dbraw/zinc/88/92/37/815889237.db2.gz WZDVJDIRDYRZIT-SNVBAGLBSA-N -1 1 336.396 -0.478 20 0 EBADMM O=C(Cc1nn[n-]n1)N1C[C@@H]2C(C(=O)N3CCCC34CC4)=NO[C@@H]2C1 ZINC001270788348 815890115 /nfs/dbraw/zinc/89/01/15/815890115.db2.gz FQTSDEUOGLSEHF-VHSXEESVSA-N -1 1 345.363 -0.890 20 0 EBADMM C[C@@H]1c2ncc(CC(=O)N(C)C)n2CCN1C(=O)Cc1nn[n-]n1 ZINC001270787902 815890614 /nfs/dbraw/zinc/89/06/14/815890614.db2.gz APQGALKVGYODBP-SECBINFHSA-N -1 1 332.368 -0.827 20 0 EBADMM O=C(Cc1nn[n-]n1)NC12CC(C(=O)N3CC(F)(C4CC4)C3)(C1)C2 ZINC001270788321 815890842 /nfs/dbraw/zinc/89/08/42/815890842.db2.gz DYOVGZMKSYQYKO-UHFFFAOYSA-N -1 1 334.355 -0.258 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCn2c(C(=O)N3CCCCO3)cnc2C1 ZINC001270788637 815891847 /nfs/dbraw/zinc/89/18/47/815891847.db2.gz IFVAJIVGNUWNNI-UHFFFAOYSA-N -1 1 346.351 -0.851 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@]2(CCC[C@@H]2C(=O)NCC2COC2)C1 ZINC001270788979 815892542 /nfs/dbraw/zinc/89/25/42/815892542.db2.gz KOVZDSIIZXTEQG-MLGOLLRUSA-N -1 1 348.407 -0.476 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@H](C(=O)N2CC=CC2)n2nccc2C1 ZINC001270790022 815894638 /nfs/dbraw/zinc/89/46/38/815894638.db2.gz ZWYCGKQIZBWDPN-GFCCVEGCSA-N -1 1 342.363 -0.689 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)Cc4ncc[nH]4)[C@@H]3C2)nc1=O ZINC001084741087 815973351 /nfs/dbraw/zinc/97/33/51/815973351.db2.gz OCOHQRFEPODTFT-GHMZBOCLSA-N -1 1 331.380 -0.893 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)c1ccnc2c1nnn2C ZINC001271076129 815976223 /nfs/dbraw/zinc/97/62/23/815976223.db2.gz RFGBJNSGUAPZDL-QMMMGPOBSA-N -1 1 345.367 -0.563 20 0 EBADMM Cn1[n-]c(CN2CC[C@](O)(CNC(=O)[C@@]3(C)C=CCC3)C2)nc1=O ZINC001271177371 816020935 /nfs/dbraw/zinc/02/09/35/816020935.db2.gz XFARTIHUHROWRF-HOTGVXAUSA-N -1 1 335.408 -0.482 20 0 EBADMM O=C(N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCNC1=O ZINC001085232854 816032318 /nfs/dbraw/zinc/03/23/18/816032318.db2.gz BGBTYTIDLBDOJE-GMTAPVOTSA-N -1 1 336.396 -0.447 20 0 EBADMM C[C@@]1(C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)CNC(=O)C1 ZINC001085283076 816035426 /nfs/dbraw/zinc/03/54/26/816035426.db2.gz BGLWSQUISBEHOS-FCHSOHFDSA-N -1 1 336.396 -0.447 20 0 EBADMM Cc1[nH]nc(C(=O)N(C)C[C@H]2CCN2C[C@@H](O)Cn2cccn2)c1[O-] ZINC001085544212 816068643 /nfs/dbraw/zinc/06/86/43/816068643.db2.gz MNEIGPJUCAYOAU-CHWSQXEVSA-N -1 1 348.407 -0.172 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1cn2c(n1)CCC2 ZINC001085603732 816085095 /nfs/dbraw/zinc/08/50/95/816085095.db2.gz MYNNZCMBWUXSNQ-LLVKDONJSA-N -1 1 345.407 -0.402 20 0 EBADMM CCc1n[nH]cc1C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085689182 816111279 /nfs/dbraw/zinc/11/12/79/816111279.db2.gz ARCINSWJVJIPJE-SNVBAGLBSA-N -1 1 333.396 -0.260 20 0 EBADMM COc1ncc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001085907107 816175434 /nfs/dbraw/zinc/17/54/34/816175434.db2.gz ZYKAAMACSCFNLF-LLVKDONJSA-N -1 1 347.379 -0.747 20 0 EBADMM COc1cccnc1C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085942723 816184014 /nfs/dbraw/zinc/18/40/14/816184014.db2.gz PCLGCHIREQNNDX-NSHDSACASA-N -1 1 346.391 -0.142 20 0 EBADMM CCc1nc[nH]c1C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085948548 816185080 /nfs/dbraw/zinc/18/50/80/816185080.db2.gz LHCYOJRVKFYPOM-JTQLQIEISA-N -1 1 333.396 -0.260 20 0 EBADMM NC(=O)c1[nH]nc2c1CCN(C(=O)CCC[N-]C(=O)C(F)(F)F)C2 ZINC001271838842 816225560 /nfs/dbraw/zinc/22/55/60/816225560.db2.gz QTDZHTFMFRWOIF-UHFFFAOYSA-N -1 1 347.297 -0.148 20 0 EBADMM O=C(NC[C@H]1C[C@@H](NCc2cnns2)C1)c1n[nH]c(=O)[n-]c1=O ZINC001086138337 816243976 /nfs/dbraw/zinc/24/39/76/816243976.db2.gz DBGBUCUEWLXYQI-KNVOCYPGSA-N -1 1 337.365 -0.568 20 0 EBADMM CCn1ncc(CN[C@H]2C[C@@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001086138497 816244893 /nfs/dbraw/zinc/24/48/93/816244893.db2.gz LIYQCGGGQBOGKU-DTORHVGOSA-N -1 1 348.367 -0.808 20 0 EBADMM Cn1ccc(CN[C@H]2C[C@@H](CNC(=O)c3cnc([O-])n(C)c3=O)C2)n1 ZINC001086165879 816253726 /nfs/dbraw/zinc/25/37/26/816253726.db2.gz MZUSYIKSWOOJTA-KLPPZKSPSA-N -1 1 346.391 -0.482 20 0 EBADMM Cc1cc(=O)c(C(=O)NC[C@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c[nH]1 ZINC001086244760 816277229 /nfs/dbraw/zinc/27/72/29/816277229.db2.gz GNFULTUKEBGGSS-AOOOYVTPSA-N -1 1 332.364 -0.195 20 0 EBADMM C[N@@H+]1CCO[C@@]2(CCCN(C(=O)Cn3cc(F)c(=O)[nH]c3=O)C2)C1 ZINC001272000605 816286655 /nfs/dbraw/zinc/28/66/55/816286655.db2.gz OCTGGTGRKLCDJK-HNNXBMFYSA-N -1 1 340.355 -0.589 20 0 EBADMM O=C(CCC(F)(F)F)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001272136440 816324068 /nfs/dbraw/zinc/32/40/68/816324068.db2.gz RPOYFFRXYKNQAL-UHFFFAOYSA-N -1 1 325.291 -0.237 20 0 EBADMM CCc1cc(C(=O)N(CCO)CCNCc2n[nH]c(=O)[n-]2)c(C)nn1 ZINC001272218575 816356795 /nfs/dbraw/zinc/35/67/95/816356795.db2.gz VEBHQDRRSRKXDU-UHFFFAOYSA-N -1 1 349.395 -0.605 20 0 EBADMM O=C(c1ccc2c(c1)CCO2)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001272264390 816376063 /nfs/dbraw/zinc/37/60/63/816376063.db2.gz CEDCPUOGHKLXBH-UHFFFAOYSA-N -1 1 347.375 -0.331 20 0 EBADMM Cn1nnc(CN[C@@H]2CC[C@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC001086678856 816391697 /nfs/dbraw/zinc/39/16/97/816391697.db2.gz OBOFJDHNSVCBLI-WDEREUQCSA-N -1 1 331.380 -0.001 20 0 EBADMM Cn1cc([C@@H]2CN(C(=O)C(F)F)C[C@H]2NCc2n[nH]c(=O)[n-]2)cn1 ZINC001086961725 816422174 /nfs/dbraw/zinc/42/21/74/816422174.db2.gz SLIUXEDRTYQQLU-DTWKUNHWSA-N -1 1 341.322 -0.407 20 0 EBADMM C[C@@H]1CCN(C(=O)[C@@H]2CCC(=O)N2)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087238130 816477455 /nfs/dbraw/zinc/47/74/55/816477455.db2.gz KDKJCXDRGVSOBY-VWYCJHECSA-N -1 1 336.396 -0.494 20 0 EBADMM CNC(=O)C(C)(C)N1C(=O)COCC12CN(Cc1ccncc1[O-])C2 ZINC001273081927 816583303 /nfs/dbraw/zinc/58/33/03/816583303.db2.gz YZIYLDFDUCBEPB-UHFFFAOYSA-N -1 1 348.403 -0.275 20 0 EBADMM O=C(NCC1(NCc2n[nH]c(=O)[n-]2)CCC1)c1cn2cccnc2n1 ZINC001273113422 816589582 /nfs/dbraw/zinc/58/95/82/816589582.db2.gz FURHPEWEFWVFMP-UHFFFAOYSA-N -1 1 342.363 -0.005 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4csnn4)C[C@@H]3C2)nc1=O ZINC001088055923 816612549 /nfs/dbraw/zinc/61/25/49/816612549.db2.gz BDRMVFPCAGQHCM-ZJUUUORDSA-N -1 1 349.420 -0.446 20 0 EBADMM Cc1cc(=O)[nH]cc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001088848857 816753293 /nfs/dbraw/zinc/75/32/93/816753293.db2.gz RVXHEDQGBPKLBX-PWSUYJOCSA-N -1 1 346.391 -0.090 20 0 EBADMM COc1ncc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)cn1 ZINC001088956524 816770695 /nfs/dbraw/zinc/77/06/95/816770695.db2.gz VLJXQOXCWHNDHW-KOLCDFICSA-N -1 1 347.379 -0.700 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cn2c(=O)[n-][nH]c2=O)CCN1Cc1cccnc1 ZINC001089006933 816776782 /nfs/dbraw/zinc/77/67/82/816776782.db2.gz GMXZTYPZXPJVLW-PWSUYJOCSA-N -1 1 332.364 -0.137 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cn2c(=O)[n-][nH]c2=O)CCN1Cc1cnn(C)c1 ZINC001089006908 816777151 /nfs/dbraw/zinc/77/71/51/816777151.db2.gz FKOPAZHHOWMLAN-KOLCDFICSA-N -1 1 335.368 -0.798 20 0 EBADMM CCc1nc[nH]c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001089011549 816779508 /nfs/dbraw/zinc/77/95/08/816779508.db2.gz IOVVFZQVADCNKH-KOLCDFICSA-N -1 1 333.396 -0.213 20 0 EBADMM CCc1ncncc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001089044206 816788057 /nfs/dbraw/zinc/78/80/57/816788057.db2.gz SJEPQBKKKDUEIZ-MFKMUULPSA-N -1 1 345.407 -0.146 20 0 EBADMM Cc1ccc([O-])c(C(=O)N2CC3(CN(C(=O)c4ncnn4C)C3)C2)n1 ZINC001274068236 816855582 /nfs/dbraw/zinc/85/55/82/816855582.db2.gz ZTLRSQMDAJULMJ-UHFFFAOYSA-N -1 1 342.359 -0.178 20 0 EBADMM CC(C)C(=O)N1CC[C@@H](n2cc(CNCc3nc(=O)n(C)[n-]3)nn2)C1 ZINC001089577982 816877918 /nfs/dbraw/zinc/87/79/18/816877918.db2.gz IQJIVUDILXZWFJ-GFCCVEGCSA-N -1 1 348.411 -0.581 20 0 EBADMM Cc1cccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)n1 ZINC001090094307 817018989 /nfs/dbraw/zinc/01/89/89/817018989.db2.gz UAKVWWIUNBRMJY-WCQYABFASA-N -1 1 346.391 -0.823 20 0 EBADMM Cn1nncc1CN1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001090217315 817136777 /nfs/dbraw/zinc/13/67/77/817136777.db2.gz PVTRHFPLXITGAS-AAEUAGOBSA-N -1 1 332.364 -0.719 20 0 EBADMM Cn1cnc([C@@H]2CN(C(=O)c3c[nH]c(=S)[n-]c3=O)C[C@H]2C(N)=O)c1 ZINC001275247218 817176414 /nfs/dbraw/zinc/17/64/14/817176414.db2.gz IWZTWSUZZMRLRF-RKDXNWHRSA-N -1 1 348.388 -0.455 20 0 EBADMM O=C(Nc1nnco1)[C@@]12C[C@@H]1CN(C(=O)c1c[nH]c(=S)[n-]c1=O)C2 ZINC001275247360 817177531 /nfs/dbraw/zinc/17/75/31/817177531.db2.gz OPXDCOWMQRRGBM-CAAJLBCPSA-N -1 1 348.344 -0.045 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C3(C4CC4)CC3)[C@@H](O)C2)nc1=O ZINC001090347138 817289103 /nfs/dbraw/zinc/28/91/03/817289103.db2.gz MUPNADSVONTBAN-NEPJUHHUSA-N -1 1 335.408 -0.650 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C3CC4(CCC4)C3)[C@H](O)C2)nc1=O ZINC001090495812 817476011 /nfs/dbraw/zinc/47/60/11/817476011.db2.gz JLSGTDLGOZWYIF-CHWSQXEVSA-N -1 1 349.435 -0.260 20 0 EBADMM CCc1ccoc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001090544854 817527440 /nfs/dbraw/zinc/52/74/40/817527440.db2.gz FEXQCIBPVFQGBG-NEPJUHHUSA-N -1 1 349.391 -0.371 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H](O)CN(C)C(=O)C1(C)CC=CC1 ZINC001278873182 817877300 /nfs/dbraw/zinc/87/73/00/817877300.db2.gz BXGFLWVEOMBUSD-GFCCVEGCSA-N -1 1 337.424 -0.284 20 0 EBADMM Cc1ncc(CNC2CC(CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC001091121198 817893235 /nfs/dbraw/zinc/89/32/35/817893235.db2.gz FMCUGCILIHQHPS-UHFFFAOYSA-N -1 1 334.336 -0.123 20 0 EBADMM O=C(N(CCO)CCNCc1n[nH]c(=O)[n-]1)C1(c2ccccn2)CC1 ZINC001279718565 817974569 /nfs/dbraw/zinc/97/45/69/817974569.db2.gz YJWKQSFQHQEOSZ-UHFFFAOYSA-N -1 1 346.391 -0.452 20 0 EBADMM C[C@@H]1C[C@H](C(=O)N(CCO)CCNCc2n[nH]c(=O)[n-]2)CCO1 ZINC001279870197 817998006 /nfs/dbraw/zinc/99/80/06/817998006.db2.gz YXZDYIJLYZKGPQ-GHMZBOCLSA-N -1 1 327.385 -0.764 20 0 EBADMM C[C@@H](C(=O)N(CCO)CCNCc1n[nH]c(=O)[n-]1)c1cccnc1 ZINC001279885725 818000201 /nfs/dbraw/zinc/00/02/01/818000201.db2.gz BGTLZVIQVRYQOJ-LLVKDONJSA-N -1 1 334.380 -0.381 20 0 EBADMM CC(C)CC(=O)N[C@@H](C)[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001280149147 818021740 /nfs/dbraw/zinc/02/17/40/818021740.db2.gz NJCRRIVRZDJIIR-RYUDHWBXSA-N -1 1 338.408 -0.018 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCNc1ncnc2nc[nH]c21 ZINC001093761152 818096841 /nfs/dbraw/zinc/09/68/41/818096841.db2.gz SLMGETHZYWBSBI-UHFFFAOYSA-N -1 1 346.307 -0.644 20 0 EBADMM O=C(NCCNc1ccnc(C2CC2)n1)c1cc(=O)n2[n-]cnc2n1 ZINC001093761503 818097471 /nfs/dbraw/zinc/09/74/71/818097471.db2.gz JQDWBIKIVRZCRW-UHFFFAOYSA-N -1 1 340.347 -0.073 20 0 EBADMM Cc1ccnc(NCCCNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001094499178 818277745 /nfs/dbraw/zinc/27/77/45/818277745.db2.gz NQRGHWDHUSRQLH-UHFFFAOYSA-N -1 1 332.364 -0.356 20 0 EBADMM C[C@@H](CNC(=O)c1ccc2n[nH]nc2n1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001282397412 818400857 /nfs/dbraw/zinc/40/08/57/818400857.db2.gz FKIWHISBZPQLSJ-QMMMGPOBSA-N -1 1 345.367 -0.975 20 0 EBADMM Cc1cscc1C(=O)NCCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001282961967 818551951 /nfs/dbraw/zinc/55/19/51/818551951.db2.gz IKXMBLCAWGRPCW-UHFFFAOYSA-N -1 1 346.372 -0.053 20 0 EBADMM C[C@H](C(=O)NCCNC(=O)CCn1cc[n-]c(=O)c1=O)n1cccc1 ZINC001282975210 818558199 /nfs/dbraw/zinc/55/81/99/818558199.db2.gz PJRAUSWPALJPEL-GFCCVEGCSA-N -1 1 347.375 -0.778 20 0 EBADMM Cc1cc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)n(C)n1 ZINC001095215576 818586640 /nfs/dbraw/zinc/58/66/40/818586640.db2.gz OUNPWSVOMYIGJH-WOPDTQHZSA-N -1 1 345.407 -0.314 20 0 EBADMM Cc1nn(C)cc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001095237724 818589659 /nfs/dbraw/zinc/58/96/59/818589659.db2.gz NRTYOPIUFABRPZ-WXHSDQCUSA-N -1 1 345.407 -0.314 20 0 EBADMM Cc1nc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)co1 ZINC001095350843 818608802 /nfs/dbraw/zinc/60/88/02/818608802.db2.gz KFMUVBIQIURIHX-SCVCMEIPSA-N -1 1 332.364 -0.060 20 0 EBADMM Cc1nsc(N(C)CCCNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001095592609 818654506 /nfs/dbraw/zinc/65/45/06/818654506.db2.gz CENBDCZBQCRPPD-UHFFFAOYSA-N -1 1 348.392 -0.166 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)N[C@H]1C[C@H]2CC[C@@H]1N2CCCO ZINC001096189167 818741122 /nfs/dbraw/zinc/74/11/22/818741122.db2.gz CHHMDPRJNAQUNR-WXHSDQCUSA-N -1 1 346.391 -0.825 20 0 EBADMM Cc1cnoc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001096272794 818768166 /nfs/dbraw/zinc/76/81/66/818768166.db2.gz XXYUOQOFXVWFAC-VWYCJHECSA-N -1 1 332.364 -0.060 20 0 EBADMM CC1(C(=O)N2CC[C@@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)CC1 ZINC001283348506 818769460 /nfs/dbraw/zinc/76/94/60/818769460.db2.gz YFCMBPDHMWYCJK-LLVKDONJSA-N -1 1 334.376 -0.556 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CCN(c2cnc(F)cn2)C1 ZINC001096402257 818817969 /nfs/dbraw/zinc/81/79/69/818817969.db2.gz BHIUCPATLWIXHJ-JTQLQIEISA-N -1 1 348.338 -0.749 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ncnc2[nH]ccc21)NCc1n[nH]c(=O)[n-]1 ZINC001283638425 818893222 /nfs/dbraw/zinc/89/32/22/818893222.db2.gz BFSNCFCHOBCSOJ-QMMMGPOBSA-N -1 1 330.352 -0.017 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H](CNC(=O)C1CC1)C1CC1 ZINC001283919478 819028923 /nfs/dbraw/zinc/02/89/23/819028923.db2.gz OUNZPYMYLXSXOA-GFCCVEGCSA-N -1 1 334.376 -0.652 20 0 EBADMM CC(C)(C(N)=O)C(=O)N[C@@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001283929794 819032693 /nfs/dbraw/zinc/03/26/93/819032693.db2.gz DPHASYRIUKDGOX-JTQLQIEISA-N -1 1 334.376 -0.077 20 0 EBADMM Cc1ccc(N[C@@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)nn1 ZINC001097939846 819058053 /nfs/dbraw/zinc/05/80/53/819058053.db2.gz OMDBVZZOBCLYES-NSHDSACASA-N -1 1 332.364 -0.358 20 0 EBADMM Cc1cc(N[C@@H](C)CNC(=O)c2cc(=O)n3[n-]cnc3n2)ncn1 ZINC001097950646 819061807 /nfs/dbraw/zinc/06/18/07/819061807.db2.gz SYHUTVBMGOCXTM-VIFPVBQESA-N -1 1 328.336 -0.254 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)C1CC=CC1 ZINC001284282249 819165478 /nfs/dbraw/zinc/16/54/78/819165478.db2.gz LNSCXOCFQYSLOV-LLVKDONJSA-N -1 1 334.376 -0.486 20 0 EBADMM C[C@@H](CNC(=O)c1n[nH]c(=O)[n-]c1=O)Nc1ncnc2[nH]cnc21 ZINC001098435854 819185735 /nfs/dbraw/zinc/18/57/35/819185735.db2.gz TWKXNHHMHVNUET-YFKPBYRVSA-N -1 1 331.296 -0.821 20 0 EBADMM Cc1n[nH]cc1C(=O)N[C@]12CCC[C@H]1CN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001098967112 819395990 /nfs/dbraw/zinc/39/59/90/819395990.db2.gz LHMAVGYAVSQJHC-ZBEGNZNMSA-N -1 1 345.407 -0.076 20 0 EBADMM C[C@@H]1C[C@H]1CC(=O)N1CC(CNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001284979020 819441391 /nfs/dbraw/zinc/44/13/91/819441391.db2.gz GDQRYNVLMOJMMD-YPMHNXCESA-N -1 1 348.403 -0.453 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)CC(F)(F)F)[C@@H](O)C2)nc1=O ZINC001099711493 819607880 /nfs/dbraw/zinc/60/78/80/819607880.db2.gz ADUMEIZZCNPAHW-SFYZADRCSA-N -1 1 337.302 -0.888 20 0 EBADMM CCC(C)(C)CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001099843964 819774470 /nfs/dbraw/zinc/77/44/70/819774470.db2.gz ADRXIIRSTPJJEW-RYUDHWBXSA-N -1 1 339.440 -0.014 20 0 EBADMM CCCOCC(=O)NC[C@@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001285838451 819783675 /nfs/dbraw/zinc/78/36/75/819783675.db2.gz JYWGQUOWHIHVOR-JTQLQIEISA-N -1 1 348.363 -0.825 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)N1CC[C@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285873195 819799227 /nfs/dbraw/zinc/79/92/27/819799227.db2.gz CSQKJUFIPSVUQT-FRRDWIJNSA-N -1 1 348.403 -0.310 20 0 EBADMM CCCC(=O)N1CC[C@@](C)(NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001286096402 819898113 /nfs/dbraw/zinc/89/81/13/819898113.db2.gz BHVXEJWYSOULQR-MRXNPFEDSA-N -1 1 336.392 -0.166 20 0 EBADMM C[C@]1(CNC(=O)[C@@H]2CCNC2=O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001286120058 819907671 /nfs/dbraw/zinc/90/76/71/819907671.db2.gz HAUCFQVMWNQHST-PIGZYNQJSA-N -1 1 346.387 -0.108 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)CCC(F)F)[C@H](O)C2)nc1=O ZINC001099918331 819914867 /nfs/dbraw/zinc/91/48/67/819914867.db2.gz KCGPNHUDONZAPP-DTWKUNHWSA-N -1 1 333.339 -0.795 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)CCC3(C)CC3)[C@@H](O)C2)nc1=O ZINC001100060205 820142536 /nfs/dbraw/zinc/14/25/36/820142536.db2.gz OLJDXLKSNOPHHZ-NEPJUHHUSA-N -1 1 337.424 -0.260 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)CN1C(=O)C1CC1 ZINC001287900772 820191871 /nfs/dbraw/zinc/19/18/71/820191871.db2.gz KGPODQWFZJDCGF-PWSUYJOCSA-N -1 1 334.376 -0.558 20 0 EBADMM C/C=C(\C)C(=O)N[C@H]1C[C@@H](CNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001290055690 820449665 /nfs/dbraw/zinc/44/96/65/820449665.db2.gz UCFRGFZUYPLMJF-PHRMCXTASA-N -1 1 348.403 -0.096 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@H]1CCN(c2ncccn2)C1 ZINC001100993364 820637935 /nfs/dbraw/zinc/63/79/35/820637935.db2.gz DBIHOYIUHJOUEO-GFCCVEGCSA-N -1 1 344.375 -0.641 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNC(=O)C[C@@H]1C=CCCC1 ZINC001292905944 820655910 /nfs/dbraw/zinc/65/59/10/820655910.db2.gz FLCRVYCLINYCJT-CYBMUJFWSA-N -1 1 348.403 -0.095 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNC(=O)C[C@H]1C=CCCC1 ZINC001292905945 820656266 /nfs/dbraw/zinc/65/62/66/820656266.db2.gz FLCRVYCLINYCJT-ZDUSSCGKSA-N -1 1 348.403 -0.095 20 0 EBADMM O=C(NCCN(c1ncccn1)C1CC1)c1cc(=O)n2[n-]cnc2n1 ZINC001101366358 820768431 /nfs/dbraw/zinc/76/84/31/820768431.db2.gz OLYOMIZGJBQPQC-UHFFFAOYSA-N -1 1 340.347 -0.394 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCN(C(=O)C2CCC2)C1 ZINC001294773295 820996028 /nfs/dbraw/zinc/99/60/28/820996028.db2.gz MIIBYFIJGZJDRH-GFCCVEGCSA-N -1 1 334.376 -0.556 20 0 EBADMM C/C=C(\C)C(=O)N[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001295192676 821060028 /nfs/dbraw/zinc/06/00/28/821060028.db2.gz YSCFWCZWGYHSHI-PMXLAWOHSA-N -1 1 330.348 -0.239 20 0 EBADMM CC[C@@H](NC(C)=O)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102394108 821089257 /nfs/dbraw/zinc/08/92/57/821089257.db2.gz AAWOULDJOALZHT-YUSALJHKSA-N -1 1 338.412 -0.391 20 0 EBADMM C[C@@H](CNC(=O)C[C@H]1C=CCC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001295413896 821091189 /nfs/dbraw/zinc/09/11/89/821091189.db2.gz CPAVDDOJNCODRD-STQMWFEESA-N -1 1 348.403 -0.096 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)[C@H]1COCCO1 ZINC001102685036 821188867 /nfs/dbraw/zinc/18/88/67/821188867.db2.gz SIQRIABEOOIHGB-IJLUTSLNSA-N -1 1 339.396 -0.500 20 0 EBADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)CC(=O)NCC1CC1 ZINC001296045203 821197249 /nfs/dbraw/zinc/19/72/49/821197249.db2.gz NDEGWRWHOAVRIA-UHFFFAOYSA-N -1 1 334.376 -0.108 20 0 EBADMM CC[C@@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)Nc1cc(F)ncn1 ZINC001103136034 821264321 /nfs/dbraw/zinc/26/43/21/821264321.db2.gz RETKGFGGRDSJFB-QMMMGPOBSA-N -1 1 346.326 -0.033 20 0 EBADMM CC[C@@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)Nc1cnc(F)cn1 ZINC001103136020 821264767 /nfs/dbraw/zinc/26/47/67/821264767.db2.gz QRNANSCQIGHCRV-QMMMGPOBSA-N -1 1 346.326 -0.033 20 0 EBADMM CC[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)Nc1cc(F)ncn1 ZINC001103136033 821264981 /nfs/dbraw/zinc/26/49/81/821264981.db2.gz RETKGFGGRDSJFB-MRVPVSSYSA-N -1 1 346.326 -0.033 20 0 EBADMM O=C(c1ccc2n[nH]c(=O)n2c1)N1CCSC[C@H]1c1nn[n-]n1 ZINC001296689274 821277770 /nfs/dbraw/zinc/27/77/70/821277770.db2.gz JELZYASIDGYFFH-QMMMGPOBSA-N -1 1 332.349 -0.122 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)[C@H]1CCNC1=O ZINC001103316612 821289489 /nfs/dbraw/zinc/28/94/89/821289489.db2.gz KOTDTGMLCSFCFD-GMTAPVOTSA-N -1 1 336.396 -0.779 20 0 EBADMM Cc1cc(NC[C@@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)ncn1 ZINC001104017837 821387078 /nfs/dbraw/zinc/38/70/78/821387078.db2.gz VTUWMIJMJSIDMR-LLVKDONJSA-N -1 1 346.391 -0.110 20 0 EBADMM C[C@](CNC(=O)C1CC1)(NC(=O)CCn1cc[n-]c(=O)c1=O)C1CC1 ZINC001297569640 821390548 /nfs/dbraw/zinc/39/05/48/821390548.db2.gz UVPFBJYBAQSWPD-QGZVFWFLSA-N -1 1 348.403 -0.262 20 0 EBADMM C[C@@H]1CN(C(=O)c2cn(C)nn2)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001104150733 821411845 /nfs/dbraw/zinc/41/18/45/821411845.db2.gz PIKFUGWHXUPKAC-NXEZZACHSA-N -1 1 334.384 -0.473 20 0 EBADMM C[C@@H]1CN(C(=O)Cn2nccn2)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001104242903 821425652 /nfs/dbraw/zinc/42/56/52/821425652.db2.gz ZUKFRGIYVUWVPE-GHMZBOCLSA-N -1 1 334.384 -0.624 20 0 EBADMM C[C@@H](CNc1ncccn1)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001104618705 821522992 /nfs/dbraw/zinc/52/29/92/821522992.db2.gz FYJFMMBXZTZEPX-NSHDSACASA-N -1 1 332.364 -0.324 20 0 EBADMM COC[C@@H](OC)C(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001104648977 821528148 /nfs/dbraw/zinc/52/81/48/821528148.db2.gz SZSYTKHKZAXEQL-IJLUTSLNSA-N -1 1 341.412 -0.254 20 0 EBADMM CC1CC(C(=O)N2CC(N(C)C(=O)CCn3cc[n-]c(=O)c3=O)C2)C1 ZINC001299380635 821687864 /nfs/dbraw/zinc/68/78/64/821687864.db2.gz OTPKTUWPQQTICQ-UHFFFAOYSA-N -1 1 348.403 -0.358 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)CCN(C)c1ncccn1 ZINC001105429761 821749095 /nfs/dbraw/zinc/74/90/95/821749095.db2.gz NEIGVGZACJXDSK-UHFFFAOYSA-N -1 1 334.336 -0.105 20 0 EBADMM O=C(Cn1nnc2ccccc2c1=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001302936333 821753155 /nfs/dbraw/zinc/75/31/55/821753155.db2.gz HIPYOESVKDZFSB-SECBINFHSA-N -1 1 326.320 -0.679 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@@H]1CCN(CC(F)F)C1 ZINC001302973471 821753682 /nfs/dbraw/zinc/75/36/82/821753682.db2.gz QGETXDJMQPXWGI-JTQLQIEISA-N -1 1 330.335 -0.370 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCCC[C@@H]1CCn1ccnn1 ZINC001305368057 821766133 /nfs/dbraw/zinc/76/61/33/821766133.db2.gz GXGGDDGJSKFNPH-CYBMUJFWSA-N -1 1 346.391 -0.010 20 0 EBADMM O=C(COc1ccc(Br)cn1)NN1CC(=O)[N-]C1=O ZINC001306329574 821767759 /nfs/dbraw/zinc/76/77/59/821767759.db2.gz WHORVBDXPHVUPA-UHFFFAOYSA-N -1 1 329.110 -0.194 20 0 EBADMM CON(C)C(=O)[C@@H](C)[N-]S(=O)(=O)c1nc[nH]c1Br ZINC001309734605 821780463 /nfs/dbraw/zinc/78/04/63/821780463.db2.gz ZJYJEFYNICLBNO-RXMQYKEDSA-N -1 1 341.187 -0.141 20 0 EBADMM O=C(NC[C@H](O)CNc1ccc2nccnc2n1)c1ncccc1[O-] ZINC001105802608 821875042 /nfs/dbraw/zinc/87/50/42/821875042.db2.gz OIBNCPYOTZDHGY-SNVBAGLBSA-N -1 1 340.343 -0.298 20 0 EBADMM COC(=O)c1cnc(NC[C@H](O)CNC(=O)c2ncccc2[O-])nc1 ZINC001105803222 821875183 /nfs/dbraw/zinc/87/51/83/821875183.db2.gz XJKISHROUQQMGG-SNVBAGLBSA-N -1 1 347.331 -0.433 20 0 EBADMM CNC(=O)CN1CCN(CCCNC(=O)c2ncccc2[O-])CC1 ZINC001316956900 821935633 /nfs/dbraw/zinc/93/56/33/821935633.db2.gz WVMFOUZYUJMHKX-UHFFFAOYSA-N -1 1 335.408 -0.729 20 0 EBADMM CC(C)(C)C(=O)N1CCC[C@@H]1C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001128005338 828389209 /nfs/dbraw/zinc/38/92/09/828389209.db2.gz TVFWUOJCUQZTCZ-SNVBAGLBSA-N -1 1 338.412 -0.247 20 0 EBADMM C[C@@H](CCNc1ncccn1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001106382878 822260780 /nfs/dbraw/zinc/26/07/80/822260780.db2.gz JGNIYENYOWMBQE-VIFPVBQESA-N -1 1 328.336 -0.172 20 0 EBADMM CN(C(=O)CCn1cc[n-]c(=O)c1=O)[C@H]1CCN(Cc2ccon2)C1 ZINC001318436636 822315390 /nfs/dbraw/zinc/31/53/90/822315390.db2.gz JNIHPUYTKXJVCC-ZDUSSCGKSA-N -1 1 347.375 -0.352 20 0 EBADMM CCN(CCNc1ccnc(C)n1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001106805417 822334230 /nfs/dbraw/zinc/33/42/30/822334230.db2.gz ZFMFQUKYQJCEJT-UHFFFAOYSA-N -1 1 346.391 -0.014 20 0 EBADMM CN(Cc1ccc(C(N)=O)cc1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001318548578 822334805 /nfs/dbraw/zinc/33/48/05/822334805.db2.gz KFBYXRLNYZPJRX-UHFFFAOYSA-N -1 1 330.344 -0.316 20 0 EBADMM O=C(C[C@@H]1COc2ccccc2O1)NCCNCc1n[nH]c(=O)[n-]1 ZINC001128314907 828442835 /nfs/dbraw/zinc/44/28/35/828442835.db2.gz GMDLYBGKFSWBBQ-SNVBAGLBSA-N -1 1 333.348 -0.054 20 0 EBADMM CC(=O)NCc1cn(C2CN(CCC[N-]C(=O)C(F)(F)F)C2)nn1 ZINC001107106692 823728652 /nfs/dbraw/zinc/72/86/52/823728652.db2.gz HLBRVYLSCWZLTG-UHFFFAOYSA-N -1 1 348.329 -0.161 20 0 EBADMM Cc1nccc(NC/C=C\CNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001107258014 823831065 /nfs/dbraw/zinc/83/10/65/823831065.db2.gz VTAFFKDTPJJWEP-IHWYPQMZSA-N -1 1 344.375 -0.190 20 0 EBADMM CS[C@H](C)C(=O)NC[C@@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107764180 823947320 /nfs/dbraw/zinc/94/73/20/823947320.db2.gz SUSOXHBOTWHWMF-YGRLFVJLSA-N -1 1 343.453 -0.433 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@](C)(CNC(=O)c3cocn3)C2)nc1=O ZINC001107813860 823976570 /nfs/dbraw/zinc/97/65/70/823976570.db2.gz WWQTWYDQNZENAG-AWEZNQCLSA-N -1 1 336.352 -0.883 20 0 EBADMM C[C@H](CNc1cc(F)ncn1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001107843704 823996840 /nfs/dbraw/zinc/99/68/40/823996840.db2.gz MDINKQHETPIGLG-SSDOTTSWSA-N -1 1 332.299 -0.423 20 0 EBADMM C[C@@]1(CNC(=O)CCc2cnc[nH]2)CN(Cc2n[nH]c(=O)[n-]2)CCO1 ZINC001107987168 824074678 /nfs/dbraw/zinc/07/46/78/824074678.db2.gz JGXOKLFWFCGBNE-OAHLLOKOSA-N -1 1 349.395 -0.427 20 0 EBADMM COCCCN1CCO[C@](C)(CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001108050893 824106416 /nfs/dbraw/zinc/10/64/16/824106416.db2.gz BLMFDDJJVYDCEQ-CQSZACIVSA-N -1 1 341.368 -0.860 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@](C)(CNC(=O)[C@H]3C[C@H]4C[C@H]4C3)C2)nc1=O ZINC001108154244 824142578 /nfs/dbraw/zinc/14/25/78/824142578.db2.gz YLGCLOGDNSMVDF-ZBYUQBLASA-N -1 1 349.435 -0.138 20 0 EBADMM Cn1[n-]c(CN2CCO[C@](C)(CNC(=O)[C@@H]3CC34CC4)C2)nc1=O ZINC001108240513 824177695 /nfs/dbraw/zinc/17/76/95/824177695.db2.gz JVEMUYXTIXNELS-XHDPSFHLSA-N -1 1 335.408 -0.384 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@](C)(CNC(=O)Cc3ccc[nH]3)C2)nc1=O ZINC001108414588 824273474 /nfs/dbraw/zinc/27/34/74/824273474.db2.gz OPOOAIIANVRTNB-INIZCTEOSA-N -1 1 348.407 -0.614 20 0 EBADMM Cc1nccc(NC[C@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001108445184 824288967 /nfs/dbraw/zinc/28/89/67/824288967.db2.gz QSZQTPFNCPPJTI-JTQLQIEISA-N -1 1 332.364 -0.358 20 0 EBADMM C[C@H](CN(C)c1cnc(F)cn1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001109038959 824474571 /nfs/dbraw/zinc/47/45/71/824474571.db2.gz DHYIYSDWMMGYHO-MRVPVSSYSA-N -1 1 346.326 -0.399 20 0 EBADMM O=C(Cc1ccc2c(c1)NC(=O)C2)NCCNCc1n[nH]c(=O)[n-]1 ZINC001129697113 828656596 /nfs/dbraw/zinc/65/65/96/828656596.db2.gz HNRQOWOPPOFXBI-UHFFFAOYSA-N -1 1 330.348 -0.547 20 0 EBADMM CN(CCCNc1cc(F)ncn1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001109482467 824549273 /nfs/dbraw/zinc/54/92/73/824549273.db2.gz GPMOJJYOFRZYES-UHFFFAOYSA-N -1 1 346.326 -0.079 20 0 EBADMM COCC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)CC1 ZINC001109518966 824555506 /nfs/dbraw/zinc/55/55/06/824555506.db2.gz JQWIBIDZPZVFGK-WOPDTQHZSA-N -1 1 335.408 -0.244 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1(Nc2ncc(F)cn2)CC1 ZINC001110180751 824672689 /nfs/dbraw/zinc/67/26/89/824672689.db2.gz ZPUAYBQZGIMCDI-UHFFFAOYSA-N -1 1 348.338 -0.383 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)[C@@H]1CCCN1c1ncccn1 ZINC001129888812 828687864 /nfs/dbraw/zinc/68/78/64/828687864.db2.gz GQYXEIWHFFDION-JTQLQIEISA-N -1 1 332.368 -0.825 20 0 EBADMM CCn1nccc1[C@@H]1OCC[C@H]1C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001129859904 828682369 /nfs/dbraw/zinc/68/23/69/828682369.db2.gz MTTPRGSZTLAEET-ZWNOBZJWSA-N -1 1 349.395 -0.290 20 0 EBADMM O=C(CCNC(=O)c1ccsc1)NCCNCc1n[nH]c(=O)[n-]1 ZINC001129920730 828694081 /nfs/dbraw/zinc/69/40/81/828694081.db2.gz VLHITKRZWOSOKB-UHFFFAOYSA-N -1 1 338.393 -0.402 20 0 EBADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)[C@H](C)Nc1nccnc1F ZINC001113118450 825763932 /nfs/dbraw/zinc/76/39/32/825763932.db2.gz HBUBTZSALQQUPD-JGVFFNPUSA-N -1 1 346.326 -0.034 20 0 EBADMM COCC(C)(C)CC(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001113148066 825773352 /nfs/dbraw/zinc/77/33/52/825773352.db2.gz QVHAIMMBZOREAV-UHFFFAOYSA-N -1 1 325.413 -0.185 20 0 EBADMM COCCC1(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)CCC1 ZINC001113584692 825929543 /nfs/dbraw/zinc/92/95/43/825929543.db2.gz HEISOEMUWSUUDI-UHFFFAOYSA-N -1 1 337.424 -0.041 20 0 EBADMM Cc1nsc(N(C)[C@@H](C)CNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001113657844 825968214 /nfs/dbraw/zinc/96/82/14/825968214.db2.gz YJDXCQJCIJFTOT-ZETCQYMHSA-N -1 1 348.392 -0.168 20 0 EBADMM C[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)N(C)c1ncccn1 ZINC001113657683 825968557 /nfs/dbraw/zinc/96/85/57/825968557.db2.gz UIGAUGXPBAEEMD-SECBINFHSA-N -1 1 328.336 -0.538 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)COC2CCCC2)nc1=O ZINC001113937778 826081216 /nfs/dbraw/zinc/08/12/16/826081216.db2.gz LCTYXKDBBPSTFY-JYAVWHMHSA-N -1 1 335.408 -0.386 20 0 EBADMM CCN(CC)C(=O)CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1[nH]nc(C)c1[O-] ZINC001114140716 826147109 /nfs/dbraw/zinc/14/71/09/826147109.db2.gz KTRULHVZLKGJEQ-PJXYFTJBSA-N -1 1 335.408 -0.048 20 0 EBADMM CCCNC(=O)[C@@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1[nH]nc(C)c1[O-] ZINC001114142970 826148165 /nfs/dbraw/zinc/14/81/65/826148165.db2.gz JWTVJHANXCBORT-WYUUTHIRSA-N -1 1 335.408 -0.002 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C(NC(=O)/C=C\c4ccc[nH]4)[C@@H]3C2)nc1=O ZINC001114183975 826166693 /nfs/dbraw/zinc/16/66/93/826166693.db2.gz IGVMAMSGINXRAF-CQFFRGEASA-N -1 1 328.376 -0.304 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CC(=O)NCC1CC1 ZINC001179885819 833023218 /nfs/dbraw/zinc/02/32/18/833023218.db2.gz LSSJYULUYNBPNB-ONGXEEELSA-N -1 1 336.396 -0.494 20 0 EBADMM COC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)c1cc(C)cc(C=O)c1[O-] ZINC001179960368 833029973 /nfs/dbraw/zinc/02/99/73/833029973.db2.gz VRXTYXNIRRHKDK-LBPRGKRZSA-N -1 1 334.328 -0.031 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CCc2ccon2)nc1=O ZINC001114570277 826290358 /nfs/dbraw/zinc/29/03/58/826290358.db2.gz BLOAHOWFYLEKPE-YABSGUDNSA-N -1 1 332.364 -0.724 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1C[C@H](CNc2ncccn2)C1 ZINC001114777251 826339818 /nfs/dbraw/zinc/33/98/18/826339818.db2.gz CLUSFYKYAHZQFE-HAQNSBGRSA-N -1 1 344.375 -0.276 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C2(C(F)(F)F)CC2)nc1=O ZINC001114878807 826362699 /nfs/dbraw/zinc/36/26/99/826362699.db2.gz ADYQSIFAWRTBQI-MBTKJCJQSA-N -1 1 345.325 -0.003 20 0 EBADMM CNC(=O)CCCCC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001180257453 833064906 /nfs/dbraw/zinc/06/49/06/833064906.db2.gz QVHVICSPXOFVNF-QWRGUYRKSA-N -1 1 338.412 -0.104 20 0 EBADMM Cc1cc(N[C@H](C)CN(C)C(=O)CCn2cc[n-]c(=O)c2=O)ncn1 ZINC001115778431 826584205 /nfs/dbraw/zinc/58/42/05/826584205.db2.gz ZOVOKGJQCKAWRZ-GFCCVEGCSA-N -1 1 346.391 -0.016 20 0 EBADMM Cc1cc(N[C@@H](C)CN(C)C(=O)CCn2cc[n-]c(=O)c2=O)ncn1 ZINC001115778432 826584512 /nfs/dbraw/zinc/58/45/12/826584512.db2.gz ZOVOKGJQCKAWRZ-LBPRGKRZSA-N -1 1 346.391 -0.016 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC001116566033 826626562 /nfs/dbraw/zinc/62/65/62/826626562.db2.gz MLWIXADSQWQQBG-VIFPVBQESA-N -1 1 333.348 -0.010 20 0 EBADMM COCCOc1cncc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c1 ZINC001116936523 826653573 /nfs/dbraw/zinc/65/35/73/826653573.db2.gz GYNSFYZGSRUDOU-LBPRGKRZSA-N -1 1 334.336 -0.166 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCC(=O)N3CCCC3)ccnc1-2 ZINC001117419502 826744659 /nfs/dbraw/zinc/74/46/59/826744659.db2.gz QLVSSOGRFCNPEY-UHFFFAOYSA-N -1 1 330.348 -0.981 20 0 EBADMM COc1cc(SC)ccc1NC(=O)C(=O)NN1CC(=O)[N-]C1=O ZINC001117438937 826749182 /nfs/dbraw/zinc/74/91/82/826749182.db2.gz TXHSFRMGJOJNSZ-UHFFFAOYSA-N -1 1 338.345 -0.061 20 0 EBADMM Cc1c(CN(C)C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)cnn1C ZINC001117439025 826749548 /nfs/dbraw/zinc/74/95/48/826749548.db2.gz VVVIULCIBXTCRV-UHFFFAOYSA-N -1 1 341.375 -0.019 20 0 EBADMM CCc1cc(CNC(=O)C(=O)NCC2(C(=O)[O-])CCOCC2)[nH]n1 ZINC001118255036 826890179 /nfs/dbraw/zinc/89/01/79/826890179.db2.gz OHGDWPSKABSHCU-UHFFFAOYSA-N -1 1 338.364 -0.414 20 0 EBADMM COC(=O)[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1cccnc1 ZINC001118379468 826920117 /nfs/dbraw/zinc/92/01/17/826920117.db2.gz XVPWAWBZVHQOKX-LBPRGKRZSA-N -1 1 332.316 -0.648 20 0 EBADMM CN(C)C(=O)CN1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC001118859407 827009061 /nfs/dbraw/zinc/00/90/61/827009061.db2.gz ITKYHZHPMXVNRH-UHFFFAOYSA-N -1 1 348.432 -0.136 20 0 EBADMM C[C@@H](O)CN(C)C(=O)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC001119032727 827051706 /nfs/dbraw/zinc/05/17/06/827051706.db2.gz DXXAFEPOZRSQSI-PRHODGIISA-N -1 1 339.314 -0.505 20 0 EBADMM CN(C[C@H](O)CNc1ccc2nnnn2n1)C(=O)c1ncccc1[O-] ZINC001124329521 827815610 /nfs/dbraw/zinc/81/56/10/827815610.db2.gz LDAMADKCCODCBL-SECBINFHSA-N -1 1 344.335 -0.835 20 0 EBADMM CCCn1nccc1NC(=O)CNCCNC(=O)c1[nH]nc(C)c1[O-] ZINC001125744927 828129508 /nfs/dbraw/zinc/12/95/08/828129508.db2.gz IRZKSSMUIGBDLG-UHFFFAOYSA-N -1 1 349.395 -0.012 20 0 EBADMM Cc1n[nH]c(C(=O)NCCN[C@@H]2CCN(c3ccn(C)n3)C2=O)c1[O-] ZINC001125746124 828130605 /nfs/dbraw/zinc/13/06/05/828130605.db2.gz ZHHDMUAOUNFXOQ-SNVBAGLBSA-N -1 1 347.379 -0.718 20 0 EBADMM O=C(Nc1cccc2c(=O)cc(-c3nn[n-]n3)oc12)C1(CO)COC1 ZINC001184048484 833318065 /nfs/dbraw/zinc/31/80/65/833318065.db2.gz ZMTZVJPJONCTBP-UHFFFAOYSA-N -1 1 343.299 -0.080 20 0 EBADMM C[C@@]1(C(=O)NCCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])CCOC1 ZINC001184413896 833329396 /nfs/dbraw/zinc/32/93/96/833329396.db2.gz CRHKDWJPWRWSPZ-XHDPSFHLSA-N -1 1 338.364 -0.546 20 0 EBADMM CON(C)S(=O)(=O)c1ccc(C(=O)N(C)c2nn[n-]n2)cc1 ZINC001130969948 829005557 /nfs/dbraw/zinc/00/55/57/829005557.db2.gz ZFETYACTZIAFDR-UHFFFAOYSA-N -1 1 326.338 -0.342 20 0 EBADMM O=C(CNC(=O)c1cccnc1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001185822926 833371033 /nfs/dbraw/zinc/37/10/33/833371033.db2.gz GUQPSWGLIPMUFB-LLVKDONJSA-N -1 1 345.363 -0.974 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)CCc2nc[nH]n2)CN1Cc1n[nH]c(=O)[n-]1 ZINC001131955327 829279908 /nfs/dbraw/zinc/27/99/08/829279908.db2.gz KGAATICEDAJJSF-UWVGGRQHSA-N -1 1 334.384 -0.270 20 0 EBADMM CCNC(=O)CC(=O)N[C@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001131985168 829292709 /nfs/dbraw/zinc/29/27/09/829292709.db2.gz UKRSHEHAMUONMJ-MNOVXSKESA-N -1 1 338.412 -0.896 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)[C@H]1CC(=O)Nc2ccccc21 ZINC001132089552 829326219 /nfs/dbraw/zinc/32/62/19/829326219.db2.gz HCWXOIWJPCURQG-JTQLQIEISA-N -1 1 330.348 -0.158 20 0 EBADMM CCc1noc(C)c1CNCCNC(=O)c1cnc([O-])n(C)c1=O ZINC001132242328 829392205 /nfs/dbraw/zinc/39/22/05/829392205.db2.gz NDRUXOZYRLERPL-UHFFFAOYSA-N -1 1 335.364 -0.136 20 0 EBADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H](C)OC(=O)[C@@H](C)NC(C)=O)n1 ZINC001186710737 833420542 /nfs/dbraw/zinc/42/05/42/833420542.db2.gz HEXPPZLYJHUIER-HTQZYQBOSA-N -1 1 340.336 -0.019 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)[C@H]1CCN(CC(F)(F)F)C1 ZINC001132908540 829549588 /nfs/dbraw/zinc/54/95/88/829549588.db2.gz AFMTUGKXWKVAPW-QMMMGPOBSA-N -1 1 336.318 -0.400 20 0 EBADMM NC(=O)CCc1ccc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)cc1 ZINC001133616887 829647598 /nfs/dbraw/zinc/64/75/98/829647598.db2.gz RUJHEWOKPLAZEW-UHFFFAOYSA-N -1 1 332.364 -0.552 20 0 EBADMM Cc1nonc1CN[C@H](C)C[C@@H](C)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001134864052 829860572 /nfs/dbraw/zinc/86/05/72/829860572.db2.gz QMDFEZZQJMUKCA-RNFRBKRXSA-N -1 1 337.340 -0.339 20 0 EBADMM C[C@@H](C[C@H](C)NC(=O)c1cnc([O-])n(C)c1=O)NCc1ncnn1C ZINC001135175995 829915612 /nfs/dbraw/zinc/91/56/12/829915612.db2.gz LWTBBUUXMFZBIT-UWVGGRQHSA-N -1 1 349.395 -0.699 20 0 EBADMM CC(C)c1cnc(CNCCNC(=O)CCn2cc[n-]c(=O)c2=O)o1 ZINC001135390550 829960172 /nfs/dbraw/zinc/96/01/72/829960172.db2.gz MXNBVYOETDDGRU-UHFFFAOYSA-N -1 1 349.391 -0.056 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNCc1noc(C2CC2)n1 ZINC001135390540 829960824 /nfs/dbraw/zinc/96/08/24/829960824.db2.gz MUBQFUGJYNXNGE-UHFFFAOYSA-N -1 1 348.363 -0.907 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNCc1coc(C2CC2)n1 ZINC001135391150 829961566 /nfs/dbraw/zinc/96/15/66/829961566.db2.gz UPRMWNLWFOQAAY-UHFFFAOYSA-N -1 1 347.375 -0.302 20 0 EBADMM C[C@@]1(C2CCN(C(=O)CCCc3nn[n-]n3)CC2)NC(=O)NC1=O ZINC001136995096 830091652 /nfs/dbraw/zinc/09/16/52/830091652.db2.gz UDSWUHVRENWZJI-AWEZNQCLSA-N -1 1 335.368 -0.641 20 0 EBADMM O=C(Cc1[nH]c(O)nc1O)Nc1ccc(F)c(-n2c([O-])c[nH]c2=O)c1 ZINC001139021043 830101137 /nfs/dbraw/zinc/10/11/37/830101137.db2.gz HSEIYNQWFXASKP-QMMMGPOBSA-N -1 1 349.278 -0.582 20 0 EBADMM O=C(Cn1cncn1)NCC[N-]C(=O)C(F)(F)Br ZINC001142133724 830122665 /nfs/dbraw/zinc/12/26/65/830122665.db2.gz QFKKNLXGMQXUJU-UHFFFAOYSA-N -1 1 326.101 -0.502 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cc(Cn2cccn2)on1 ZINC001147142905 830291211 /nfs/dbraw/zinc/29/12/11/830291211.db2.gz NMSWJSJIHNGMGL-UHFFFAOYSA-N -1 1 332.324 -0.737 20 0 EBADMM CCc1nnc(CNCCNC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)o1 ZINC001147699768 830395358 /nfs/dbraw/zinc/39/53/58/830395358.db2.gz REPZMEBIOGRAEC-UHFFFAOYSA-N -1 1 338.324 -0.639 20 0 EBADMM CCn1ncc(CNCCNC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)n1 ZINC001147700459 830397335 /nfs/dbraw/zinc/39/73/35/830397335.db2.gz ZKPSNEIKMJDXKZ-UHFFFAOYSA-N -1 1 337.340 -0.973 20 0 EBADMM C[C@@H](NCCNC(=O)c1cc(=O)n2[n-]cnc2n1)c1ncccn1 ZINC001147711996 830399825 /nfs/dbraw/zinc/39/98/25/830399825.db2.gz JIMPQHLADWZPST-SECBINFHSA-N -1 1 328.336 -0.712 20 0 EBADMM Cc1ncc(CO)c(C(=O)N2CCN(c3ncncn3)CC2)c1[O-] ZINC001147839524 830419074 /nfs/dbraw/zinc/41/90/74/830419074.db2.gz BMESARWYEYDZBX-UHFFFAOYSA-N -1 1 330.348 -0.265 20 0 EBADMM COC(=O)CC[C@H](NC(=O)c1c(CO)cnc(C)c1[O-])C(N)=O ZINC001147848483 830420790 /nfs/dbraw/zinc/42/07/90/830420790.db2.gz MVECRLSNOIYMET-VIFPVBQESA-N -1 1 325.321 -0.875 20 0 EBADMM N[C@@H]1NCN(C(=O)c2ccc(C(F)(F)F)cc2[O-])[C@H]2NCN[C@H]21 ZINC001148805909 830543326 /nfs/dbraw/zinc/54/33/26/830543326.db2.gz HNMBKRPYSIDZIL-HBNTYKKESA-N -1 1 331.298 -0.456 20 0 EBADMM O=C1NC(=O)C2(CCC([N-]S(=O)(=O)c3ccns3)CC2)N1 ZINC001211794034 837466263 /nfs/dbraw/zinc/46/62/63/837466263.db2.gz IGMADECGZIVRND-UHFFFAOYSA-N -1 1 330.391 -0.058 20 0 EBADMM CSCCC(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149108977 830591850 /nfs/dbraw/zinc/59/18/50/830591850.db2.gz HFJOXEQSZXYYSC-LLVKDONJSA-N -1 1 343.453 -0.431 20 0 EBADMM Cn1cnnc1COCC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001149500902 830681789 /nfs/dbraw/zinc/68/17/89/830681789.db2.gz QOUODJKPTMEMQJ-CYBMUJFWSA-N -1 1 328.336 -0.249 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@H](CNC(=O)/C=C\C3CC3)C2)nc1=O ZINC001149522403 830684533 /nfs/dbraw/zinc/68/45/33/830684533.db2.gz WOHYLHGQQNNEJX-CFHLNLSMSA-N -1 1 335.408 -0.218 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2CN(CCOCCO)CCCO2)c1[O-] ZINC001149540957 830689042 /nfs/dbraw/zinc/68/90/42/830689042.db2.gz CWVUSAJSIGSECH-GFCCVEGCSA-N -1 1 342.396 -0.747 20 0 EBADMM O=C(CNC(=O)c1cccc(F)c1)NCCNCc1n[nH]c(=O)[n-]1 ZINC001149875566 830772923 /nfs/dbraw/zinc/77/29/23/830772923.db2.gz RIJBFWNAEUTBNI-UHFFFAOYSA-N -1 1 336.327 -0.715 20 0 EBADMM CC(C)[C@@H](F)C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001150116396 830820902 /nfs/dbraw/zinc/82/09/02/830820902.db2.gz RZNITODCTYWEAV-DGCLKSJQSA-N -1 1 343.403 -0.190 20 0 EBADMM CCOCCCC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1OC ZINC001211920812 837492884 /nfs/dbraw/zinc/49/28/84/837492884.db2.gz FUCJOVOQUCSZDV-VXGBXAGGSA-N -1 1 341.412 -0.760 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@H](CNC(=O)C(C)(C)C)C2)nc1=O ZINC001150634738 830948288 /nfs/dbraw/zinc/94/82/88/830948288.db2.gz UCDDGLFCWPOFNX-LLVKDONJSA-N -1 1 325.413 -0.138 20 0 EBADMM C[C@]1(CCCC(=O)N2CCC(c3nn[n-]n3)CC2)NC(=O)NC1=O ZINC001152486454 831166587 /nfs/dbraw/zinc/16/65/87/831166587.db2.gz UJKOBWSTWUJFQA-CQSZACIVSA-N -1 1 335.368 -0.326 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cccc(-n2ccnn2)c1 ZINC001153726952 831263885 /nfs/dbraw/zinc/26/38/85/831263885.db2.gz IZJMMQDVUPMIFW-UHFFFAOYSA-N -1 1 328.336 -0.389 20 0 EBADMM CN1CCN(C2CN(C(=O)c3[n-][nH]c4cc(=O)ccc3-4)C2)CC1=O ZINC001155266360 831396336 /nfs/dbraw/zinc/39/63/36/831396336.db2.gz DGBBNZMOOZTQGE-UHFFFAOYSA-N -1 1 329.360 -0.133 20 0 EBADMM Cc1cc2c(c(-n3c4[nH]c(=O)sc4c(=O)nc3N)n1)C(=O)[N-]C2=O ZINC001155448290 831406089 /nfs/dbraw/zinc/40/60/89/831406089.db2.gz JXKPVBTUPIXHHV-UHFFFAOYSA-N -1 1 344.312 -0.040 20 0 EBADMM CS(C)(=O)=NS(=O)(=O)CCNC(=O)C(=O)c1ccc([O-])cc1 ZINC001155726432 831425551 /nfs/dbraw/zinc/42/55/51/831425551.db2.gz IDPJXQGFJOAJSB-UHFFFAOYSA-N -1 1 348.402 -0.252 20 0 EBADMM COC(=O)[C@H]1CN(c2nc(C)cc3c2C(=O)[N-]C3=O)CCN1C(C)=O ZINC001158023313 831619754 /nfs/dbraw/zinc/61/97/54/831619754.db2.gz DRFMAASWMOVWPY-LLVKDONJSA-N -1 1 346.343 -0.516 20 0 EBADMM Cc1cc(S(=O)(=O)[N-]CCCCn2cc(C(N)=O)nn2)sn1 ZINC001212136178 837552158 /nfs/dbraw/zinc/55/21/58/837552158.db2.gz JELPMQNPMSUHJW-UHFFFAOYSA-N -1 1 344.422 -0.099 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2OCCN(C)C2=O)c1 ZINC001160667105 831877329 /nfs/dbraw/zinc/87/73/29/831877329.db2.gz JYTXGHBUAGKZMG-LLVKDONJSA-N -1 1 343.361 -0.904 20 0 EBADMM CCNS(=O)(=O)c1cccnc1Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001160852709 831895290 /nfs/dbraw/zinc/89/52/90/831895290.db2.gz DPCBNSRAIZJGMS-SSDOTTSWSA-N -1 1 343.390 -0.673 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)C=C2SCC(=O)N2C)n[n-]1 ZINC001161163275 831933578 /nfs/dbraw/zinc/93/35/78/831933578.db2.gz BNMJEXZFWMSORB-WMZJFQQLSA-N -1 1 325.350 -0.356 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)/C=C2\SCC(=O)N2C)n1 ZINC001161163275 831933582 /nfs/dbraw/zinc/93/35/82/831933582.db2.gz BNMJEXZFWMSORB-WMZJFQQLSA-N -1 1 325.350 -0.356 20 0 EBADMM Cc1nonc1CNCCCNC(=O)CCCn1c(=O)[n-][nH]c1=O ZINC001161412860 831955286 /nfs/dbraw/zinc/95/52/86/831955286.db2.gz GNMXTPSTXVDLCM-UHFFFAOYSA-N -1 1 339.356 -0.543 20 0 EBADMM CCc1nnc([C@@H](C)NCCCNC(=O)c2n[nH]c(=O)[n-]c2=O)[nH]1 ZINC001161863251 831997430 /nfs/dbraw/zinc/99/74/30/831997430.db2.gz GMDAMHDYBHAJTC-SSDOTTSWSA-N -1 1 336.356 -0.566 20 0 EBADMM NC(=O)CC(=O)N(CCNCc1n[nH]c(=O)[n-]1)CCc1ccccc1 ZINC001162751691 832062073 /nfs/dbraw/zinc/06/20/73/832062073.db2.gz VEQGXALKNFYOPW-UHFFFAOYSA-N -1 1 346.391 -0.453 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCCNC(=O)[C@]12C[C@H]1CCC2 ZINC001350293698 832149116 /nfs/dbraw/zinc/14/91/16/832149116.db2.gz ZQNIIKXWNNREHJ-SJKOYZFVSA-N -1 1 348.403 -0.261 20 0 EBADMM CN1CCN(c2cc(NCC[N-]C(=O)C(F)(F)F)ncn2)CC1=O ZINC001164244746 832178246 /nfs/dbraw/zinc/17/82/46/832178246.db2.gz NAQGTRQKLHKZEC-UHFFFAOYSA-N -1 1 346.313 -0.155 20 0 EBADMM O=C(NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)[C@H]1CCCNC1=O ZINC001165628532 832231116 /nfs/dbraw/zinc/23/11/16/832231116.db2.gz VEXKHKGXCIFSBU-JTQLQIEISA-N -1 1 336.396 -0.587 20 0 EBADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)[C@@H]1CC(=O)N(C)C1 ZINC001351407200 832235205 /nfs/dbraw/zinc/23/52/05/832235205.db2.gz QMTRWITYSUHCPR-LLVKDONJSA-N -1 1 334.376 -0.156 20 0 EBADMM O=C(NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)[C@H]1CCC(=O)NC1 ZINC001165927033 832243716 /nfs/dbraw/zinc/24/37/16/832243716.db2.gz NYLKOEJJIURRPO-JTQLQIEISA-N -1 1 336.396 -0.587 20 0 EBADMM O=C(NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)[C@@H]1CCC(=O)NC1 ZINC001165927034 832243823 /nfs/dbraw/zinc/24/38/23/832243823.db2.gz NYLKOEJJIURRPO-SNVBAGLBSA-N -1 1 336.396 -0.587 20 0 EBADMM O=C(NCCC1(CNCc2ccon2)CC1)c1n[nH]c(=O)[n-]c1=O ZINC001165992850 832245165 /nfs/dbraw/zinc/24/51/65/832245165.db2.gz LKYGTJOPCAQHOL-UHFFFAOYSA-N -1 1 334.336 -0.039 20 0 EBADMM Cn1ccc(=O)c(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)c1 ZINC001166107745 832248282 /nfs/dbraw/zinc/24/82/82/832248282.db2.gz QEJJXLNGDLDESK-UHFFFAOYSA-N -1 1 346.391 -0.101 20 0 EBADMM NC(=O)c1coc(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)c1 ZINC001167188690 832316508 /nfs/dbraw/zinc/31/65/08/832316508.db2.gz YMYKRGYGLMGELX-UHFFFAOYSA-N -1 1 348.363 -0.108 20 0 EBADMM COC(=O)c1nc2nc(Nc3cc(=O)n(C)c(=O)n3C)ccc2[n-]1 ZINC001167774547 832372075 /nfs/dbraw/zinc/37/20/75/832372075.db2.gz FQQKLVKUMSUOMD-UHFFFAOYSA-N -1 1 330.304 -0.115 20 0 EBADMM COC(=O)c1nc2ccc(Nc3cc(=O)n(C)c(=O)n3C)nc2[n-]1 ZINC001167774547 832372082 /nfs/dbraw/zinc/37/20/82/832372082.db2.gz FQQKLVKUMSUOMD-UHFFFAOYSA-N -1 1 330.304 -0.115 20 0 EBADMM Cc1nnc([C@@H](C)NCCCNC(=O)c2c[n-]n3c2nccc3=O)[nH]1 ZINC001167783576 832372720 /nfs/dbraw/zinc/37/27/20/832372720.db2.gz JGWDHDSLSKXBEY-SECBINFHSA-N -1 1 344.379 -0.080 20 0 EBADMM CN1CC[C@@H](C(=O)NC[C@H](NC(=O)c2ncccc2[O-])C2CC2)C1=O ZINC001353181440 832413588 /nfs/dbraw/zinc/41/35/88/832413588.db2.gz PCIWBNYNPOOPQD-RYUDHWBXSA-N -1 1 346.387 -0.110 20 0 EBADMM CCOC(=O)[C@@H]1CC[C@@H](N[C@@H]2C(=O)N(S(=O)(=O)[O-])[C@H]2C)CO1 ZINC001169320200 832458139 /nfs/dbraw/zinc/45/81/39/832458139.db2.gz JBXPEVFOJCMNRA-JXUBOQSCSA-N -1 1 336.366 -0.911 20 0 EBADMM CC(=O)N1CC[C@H](N2CCN(CCCCS(=O)(=O)[O-])CC2)C1 ZINC001169563699 832469323 /nfs/dbraw/zinc/46/93/23/832469323.db2.gz SPZJARPLTKMZGN-AWEZNQCLSA-N -1 1 333.454 -0.107 20 0 EBADMM Cc1cc[nH]c1C(=O)NC[C@@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001354359048 832480491 /nfs/dbraw/zinc/48/04/91/832480491.db2.gz XRWFAVLMZRQYFN-LLVKDONJSA-N -1 1 347.375 -0.502 20 0 EBADMM CO[N-]C(=O)CNC(=O)C(=O)N[C@@H]1CCN(Cc2ccccc2)C1 ZINC001354385053 832481883 /nfs/dbraw/zinc/48/18/83/832481883.db2.gz XIKVAMVEHZVZRC-CYBMUJFWSA-N -1 1 334.376 -0.829 20 0 EBADMM O=C(c1ccc(-n2ccnn2)cc1)N1CCOC[C@H]1c1nn[n-]n1 ZINC001170376069 832502700 /nfs/dbraw/zinc/50/27/00/832502700.db2.gz XXVHRUOYMIETBM-LBPRGKRZSA-N -1 1 326.320 -0.006 20 0 EBADMM Cc1cnoc1C(=O)NCCN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001355877795 832589637 /nfs/dbraw/zinc/58/96/37/832589637.db2.gz YTUCGYPKCQVQFH-UHFFFAOYSA-N -1 1 345.319 -0.784 20 0 EBADMM CNC(=O)CN(Cc1ccccc1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001174811612 832600570 /nfs/dbraw/zinc/60/05/70/832600570.db2.gz VKEQDAAOAIZIOA-UHFFFAOYSA-N -1 1 344.371 -0.299 20 0 EBADMM NC(=O)CC(=O)NC[C@@H]1CCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001356290380 832613450 /nfs/dbraw/zinc/61/34/50/832613450.db2.gz QHHCQSCVORNRDW-JTQLQIEISA-N -1 1 347.375 -0.344 20 0 EBADMM Cn1cc(-n2cc(C(=O)N3CC[C@@H](c4nn[n-]n4)C3)ccc2=O)cn1 ZINC001175561646 832631305 /nfs/dbraw/zinc/63/13/05/832631305.db2.gz KKWMWLBGVJCXKG-SNVBAGLBSA-N -1 1 340.347 -0.286 20 0 EBADMM C[C@H]1CCCN1C(=O)c1n[nH]c2c1CN(C(=O)Cc1nn[n-]n1)CC2 ZINC001176840961 832694564 /nfs/dbraw/zinc/69/45/64/832694564.db2.gz ZKHKRJPXKNANLJ-VIFPVBQESA-N -1 1 344.379 -0.325 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@]2(C[C@@H]2C(=O)NCc2cccnc2)C1 ZINC001176841359 832694760 /nfs/dbraw/zinc/69/47/60/832694760.db2.gz XCJOTXZGQGCUEX-WBMJQRKESA-N -1 1 341.375 -0.308 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC(n2nnc3ccccc3c2=O)CC1 ZINC001176841001 832694826 /nfs/dbraw/zinc/69/48/26/832694826.db2.gz PHMSCOOINJOBSP-UHFFFAOYSA-N -1 1 340.347 -0.289 20 0 EBADMM Cc1ccn(CC(=O)NCCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])n1 ZINC001177064882 832744816 /nfs/dbraw/zinc/74/48/16/832744816.db2.gz LSZPOOZEYPMZJD-LBPRGKRZSA-N -1 1 348.363 -0.767 20 0 EBADMM CN(C)CC(=O)N[C@H]1CC[C@H](NC(=O)Cn2nn[n-]c2=S)CC1 ZINC001177741967 832800633 /nfs/dbraw/zinc/80/06/33/832800633.db2.gz BMAODPDYOBAYIF-MGCOHNPYSA-N -1 1 341.441 -0.933 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NCCc1nnc2ccccn21 ZINC001178112385 832828864 /nfs/dbraw/zinc/82/88/64/832828864.db2.gz AVQQGEDDZXMOQA-UHFFFAOYSA-N -1 1 340.343 -0.081 20 0 EBADMM CN1C[C@@H](C(=O)NCC[N-]C(=O)C(F)(F)Br)CC1=O ZINC001178527786 832880025 /nfs/dbraw/zinc/88/00/25/832880025.db2.gz OWPSLHWLZPCGGL-LURJTMIESA-N -1 1 342.140 -0.315 20 0 EBADMM CC(C)(C)OC(=O)N1CC[C@](C)(C(=O)NCc2nn[n-]n2)[C@@H](O)C1 ZINC001179065506 832948925 /nfs/dbraw/zinc/94/89/25/832948925.db2.gz VFGNQQWTGVBHIO-XPTSAGLGSA-N -1 1 340.384 -0.176 20 0 EBADMM CC(=O)N[C@@H](CC(C)C)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001187259413 833914424 /nfs/dbraw/zinc/91/44/24/833914424.db2.gz AALRVHFKIACXQL-NEPJUHHUSA-N -1 1 338.412 -0.248 20 0 EBADMM CN1CCN(C(=O)OC(C)(C)C)C[C@H]1C(=O)NCc1nn[n-]n1 ZINC001187313859 833918603 /nfs/dbraw/zinc/91/86/03/833918603.db2.gz UMWNWYJGNSJFCL-VIFPVBQESA-N -1 1 325.373 -0.633 20 0 EBADMM CS(=O)(=O)N1CCC[C@@H]([N-]S(=O)(=O)c2nccs2)C1 ZINC001187913258 833981605 /nfs/dbraw/zinc/98/16/05/833981605.db2.gz VGBREMNGGCQQOY-MRVPVSSYSA-N -1 1 325.437 -0.155 20 0 EBADMM CC(C)[C@H](NC(=O)[C@H](C)[N-]S(=O)(=O)c1nccs1)C(N)=O ZINC001187923151 833983838 /nfs/dbraw/zinc/98/38/38/833983838.db2.gz HHLYJIJWQVTNDX-YUMQZZPRSA-N -1 1 334.423 -0.564 20 0 EBADMM COC(=O)[C@@H]1CCCN1C(=O)[C@H](C)[N-]S(=O)(=O)c1nccs1 ZINC001187917566 833983940 /nfs/dbraw/zinc/98/39/40/833983940.db2.gz BXANZWMHBIJNDJ-IUCAKERBSA-N -1 1 347.418 -0.026 20 0 EBADMM O=C(CNC(=O)c1ccccn1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001188072813 833998758 /nfs/dbraw/zinc/99/87/58/833998758.db2.gz NNGJRBWSORORRK-SNVBAGLBSA-N -1 1 345.363 -0.974 20 0 EBADMM CCCC[C@H](NC(N)=O)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001188368572 834037997 /nfs/dbraw/zinc/03/79/97/834037997.db2.gz AYTCLZHBAYAVEI-ZJUUUORDSA-N -1 1 339.400 -0.572 20 0 EBADMM COC(=O)CCS(=O)(=O)[N-][C@H]1Oc2ccc(CCO)cc2O1 ZINC001188420835 834046467 /nfs/dbraw/zinc/04/64/67/834046467.db2.gz WDLGCSOANKBJTE-ZDUSSCGKSA-N -1 1 331.346 -0.241 20 0 EBADMM COC(=O)CCS(=O)(=O)[N-][C@@H]1Oc2ccc(CCO)cc2O1 ZINC001188420834 834047111 /nfs/dbraw/zinc/04/71/11/834047111.db2.gz WDLGCSOANKBJTE-CYBMUJFWSA-N -1 1 331.346 -0.241 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001188605489 834067621 /nfs/dbraw/zinc/06/76/21/834067621.db2.gz IXURVBXPDNJJCS-NSHDSACASA-N -1 1 348.363 -0.318 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@@H](F)C(C)C ZINC001213300261 837742681 /nfs/dbraw/zinc/74/26/81/837742681.db2.gz OPICEJGEHANGQO-FOGDFJRCSA-N -1 1 329.376 -0.582 20 0 EBADMM CCNC(=O)NC(=O)CN(C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001189769859 834189536 /nfs/dbraw/zinc/18/95/36/834189536.db2.gz MUXKIJXEBBKBNZ-NSHDSACASA-N -1 1 349.391 -0.221 20 0 EBADMM COC(=O)[C@@H]1CN(C(=O)c2cnc(SC)[n-]c2=O)CCN1C ZINC001191430787 834476597 /nfs/dbraw/zinc/47/65/97/834476597.db2.gz KOFUBIBOUKPVID-VIFPVBQESA-N -1 1 326.378 -0.167 20 0 EBADMM CCC[C@@H](C)CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001191676310 834528229 /nfs/dbraw/zinc/52/82/29/834528229.db2.gz JEUDTNLQBMFACY-IJLUTSLNSA-N -1 1 325.413 -0.404 20 0 EBADMM COC(=O)[C@H](COC(C)(C)C)NC(=O)NCC[P@](=O)([O-])O ZINC001191924287 834572731 /nfs/dbraw/zinc/57/27/31/834572731.db2.gz WKLSCGGPRAZVQO-QMMMGPOBSA-N -1 1 326.286 -0.180 20 0 EBADMM CCCCNC(=O)CN1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001192374816 834652928 /nfs/dbraw/zinc/65/29/28/834652928.db2.gz KZYXJZRTWZCHRD-DGCLKSJQSA-N -1 1 336.392 -0.522 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)CCCCC(N)=O)C1 ZINC001193390169 834853520 /nfs/dbraw/zinc/85/35/20/834853520.db2.gz OITYGPSHDIMHEL-NSHDSACASA-N -1 1 338.412 -0.813 20 0 EBADMM O=C(c1cc(=O)[nH][n-]1)N1Cc2n[nH]c(C(=O)N3CCOCC3)c2C1 ZINC001193465962 834883832 /nfs/dbraw/zinc/88/38/32/834883832.db2.gz NWRSNUJFGLEJGH-UHFFFAOYSA-N -1 1 332.320 -0.533 20 0 EBADMM CN1CCN(c2cncc(C(=O)N[C@@H]3SC(=O)[N-]C3=O)c2)CC1 ZINC001193948439 834985102 /nfs/dbraw/zinc/98/51/02/834985102.db2.gz XFNYPSBLJTZUCU-CYBMUJFWSA-N -1 1 335.389 -0.128 20 0 EBADMM COCCOCCC(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001194420163 835100679 /nfs/dbraw/zinc/10/06/79/835100679.db2.gz OGRSROFSOKERNH-GFCCVEGCSA-N -1 1 341.412 -0.806 20 0 EBADMM CCO[C@H](CC)C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001195112791 835187745 /nfs/dbraw/zinc/18/77/45/835187745.db2.gz BNZMVVPKANJODH-GFCCVEGCSA-N -1 1 325.413 -0.042 20 0 EBADMM C[C@@H](C(=O)NC(N)=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001195581597 835249630 /nfs/dbraw/zinc/24/96/30/835249630.db2.gz YTYVISCFVXPTLJ-JTQLQIEISA-N -1 1 335.364 -0.482 20 0 EBADMM CCNC(=O)NC(=O)CN1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001195596939 835254007 /nfs/dbraw/zinc/25/40/07/835254007.db2.gz KGRHVYNHLMNLEW-UHFFFAOYSA-N -1 1 349.391 -0.219 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)CCOCC3CC3)CC2)nc1=O ZINC001195823816 835295129 /nfs/dbraw/zinc/29/51/29/835295129.db2.gz BQJGPSVUMXQHPG-UHFFFAOYSA-N -1 1 337.424 -0.041 20 0 EBADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC001196009269 835325295 /nfs/dbraw/zinc/32/52/95/835325295.db2.gz XEYCMJHSWWTQII-SNVBAGLBSA-N -1 1 338.389 -0.066 20 0 EBADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1CCN(c2ncc(O)cn2)CC1 ZINC001196016855 835327126 /nfs/dbraw/zinc/32/71/26/835327126.db2.gz YFOVQXZUSBKHOW-UHFFFAOYSA-N -1 1 334.361 -0.071 20 0 EBADMM COCCO[C@H](C)C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001197331231 835539687 /nfs/dbraw/zinc/53/96/87/835539687.db2.gz ISXWPEZOUFKSEG-GFCCVEGCSA-N -1 1 341.412 -0.806 20 0 EBADMM COCCOc1ccnc(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)n1 ZINC001199193956 835777789 /nfs/dbraw/zinc/77/77/89/835777789.db2.gz DNZMRKLHOUGVPB-UHFFFAOYSA-N -1 1 347.291 -0.217 20 0 EBADMM CCO[C@H](C)C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001199940564 835881447 /nfs/dbraw/zinc/88/14/47/835881447.db2.gz OKBFKPGSSJPVDL-MNOVXSKESA-N -1 1 327.385 -0.358 20 0 EBADMM O=C(CCn1cnnn1)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001203530612 836442545 /nfs/dbraw/zinc/44/25/45/836442545.db2.gz JBXNREGSCRXZFQ-VHSXEESVSA-N -1 1 347.383 -0.896 20 0 EBADMM C[C@H](CS(C)(=O)=O)C(=O)N1CCC(NCc2n[nH]c(=O)[n-]2)CC1 ZINC001203949660 836490729 /nfs/dbraw/zinc/49/07/29/836490729.db2.gz BHXALFKEIMEBBR-SECBINFHSA-N -1 1 345.425 -0.728 20 0 EBADMM C[C@H](CC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)NC(N)=O ZINC001208041121 836929815 /nfs/dbraw/zinc/92/98/15/836929815.db2.gz CKLZTVYRZPOIAP-BDAKNGLRSA-N -1 1 325.373 -0.962 20 0 EBADMM CC(C)C(=O)N(C)CC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001208263966 836964683 /nfs/dbraw/zinc/96/46/83/836964683.db2.gz GYPIFRZAENNCJF-NSHDSACASA-N -1 1 338.412 -0.295 20 0 EBADMM C[C@@H]1CN(Cc2ccon2)C[C@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001209555289 837177174 /nfs/dbraw/zinc/17/71/74/837177174.db2.gz ZXJHTGPQIHZXTJ-DGCLKSJQSA-N -1 1 347.375 -0.449 20 0 EBADMM O=C(COC[C@@H]1CCOC1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001209920364 837213243 /nfs/dbraw/zinc/21/32/43/837213243.db2.gz GFDASIRMMCOCDF-NEPJUHHUSA-N -1 1 339.396 -0.356 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCN1C(=O)CCCC1=O ZINC001210119806 837240772 /nfs/dbraw/zinc/24/07/72/837240772.db2.gz DSOUZBNXKIGRRI-UHFFFAOYSA-N -1 1 344.349 -0.986 20 0 EBADMM CN(C)C(=O)CCCC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210729218 837326087 /nfs/dbraw/zinc/32/60/87/837326087.db2.gz UZEJDTPEYBVMTB-NSHDSACASA-N -1 1 338.412 -0.151 20 0 EBADMM COCCOC[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001318873004 838043660 /nfs/dbraw/zinc/04/36/60/838043660.db2.gz VEIYCQLWEXQGJX-LBPRGKRZSA-N -1 1 325.365 -0.562 20 0 EBADMM COCCOC[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001318873003 838044346 /nfs/dbraw/zinc/04/43/46/838044346.db2.gz VEIYCQLWEXQGJX-GFCCVEGCSA-N -1 1 325.365 -0.562 20 0 EBADMM CNC(=O)C1(C(=O)NCC2(NCc3n[nH]c(=O)[n-]3)CCCC2)CC1 ZINC001319380191 838123423 /nfs/dbraw/zinc/12/34/23/838123423.db2.gz ZZNAOQMGHPUEIU-UHFFFAOYSA-N -1 1 336.396 -0.445 20 0 EBADMM Cn1c2cccc(C(=O)N3CCO[C@H](c4nn[n-]n4)C3)c2oc1=O ZINC001319605534 838157311 /nfs/dbraw/zinc/15/73/11/838157311.db2.gz BCHHFGNTJGOSGJ-JTQLQIEISA-N -1 1 330.304 -0.142 20 0 EBADMM Cc1cn2c(ncc(C(=O)N3CCO[C@@H](c4nn[n-]n4)C3)c2=O)s1 ZINC001319883399 838190073 /nfs/dbraw/zinc/19/00/73/838190073.db2.gz JTQJGTIOPJJWGX-SECBINFHSA-N -1 1 347.360 -0.209 20 0 EBADMM O=C(NC[C@H](O)CNCc1n[nH]c(=O)[n-]1)c1ccc2ccncc2c1 ZINC001320295143 838748221 /nfs/dbraw/zinc/74/82/21/838748221.db2.gz IHMYVRGXNCEUJF-CYBMUJFWSA-N -1 1 342.359 -0.061 20 0 EBADMM Cc1cccc(N2C(=O)N[C@@H](CCC(=O)[N-]OCC(N)=O)C2=O)c1 ZINC001320578076 838793894 /nfs/dbraw/zinc/79/38/94/838793894.db2.gz SRYGGIZTPFUYHO-NSHDSACASA-N -1 1 334.332 -0.267 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1cn2cccnc2n1 ZINC001320782340 838837002 /nfs/dbraw/zinc/83/70/02/838837002.db2.gz OFCSIGKQCZUXAQ-UHFFFAOYSA-N -1 1 330.352 -0.195 20 0 EBADMM O=C(c1ncn(-c2ccccc2)n1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001321619991 839034750 /nfs/dbraw/zinc/03/47/50/839034750.db2.gz VVXHZFJHXXTMAI-UHFFFAOYSA-N -1 1 340.347 -0.096 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1ccnc(-n2ccnc2)c1 ZINC001321746121 839067789 /nfs/dbraw/zinc/06/77/89/839067789.db2.gz GOMSKVZAHPTMCZ-SNVBAGLBSA-N -1 1 342.363 -0.001 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCC[C@H](CC(N)=O)C3)ccnc1-2 ZINC001322926506 839298768 /nfs/dbraw/zinc/29/87/68/839298768.db2.gz SQGHMCAFCYSYKN-SNVBAGLBSA-N -1 1 344.375 -0.606 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NC[C@@H](O)COCC3CC3)ccnc1-2 ZINC001322969783 839310607 /nfs/dbraw/zinc/31/06/07/839310607.db2.gz XJBQKXDDSFYLOD-LLVKDONJSA-N -1 1 347.375 -0.816 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCCN3CCCOCC3)ccnc1-2 ZINC001323011511 839321994 /nfs/dbraw/zinc/32/19/94/839321994.db2.gz UOHKKEXCNAEYPS-UHFFFAOYSA-N -1 1 346.391 -0.881 20 0 EBADMM C[C@@H](Cn1ccnc1)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001323187700 839368591 /nfs/dbraw/zinc/36/85/91/839368591.db2.gz LWLDVDZHOZMAOS-JTQLQIEISA-N -1 1 327.348 -0.318 20 0 EBADMM CN1CCOc2ccc(NC(=O)C(=O)NN3CC(=O)[N-]C3=O)cc21 ZINC001323709480 839504961 /nfs/dbraw/zinc/50/49/61/839504961.db2.gz ALKZIUHTSPWNRR-UHFFFAOYSA-N -1 1 333.304 -0.963 20 0 EBADMM CC(=O)N(c1ccc(NC(=O)C(=O)NCc2nn[n-]n2)cc1)C1CC1 ZINC001324232474 839613293 /nfs/dbraw/zinc/61/32/93/839613293.db2.gz HPEBSHWXWHQPJL-UHFFFAOYSA-N -1 1 343.347 -0.030 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCC[C@@H](CO)CC3)ccnc1-2 ZINC001324263413 839618594 /nfs/dbraw/zinc/61/85/94/839618594.db2.gz YVBJAFJVUQCYBQ-LLVKDONJSA-N -1 1 331.376 -0.099 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC(O[C@@H]2CCOC2)CC1 ZINC001324703943 839704600 /nfs/dbraw/zinc/70/46/00/839704600.db2.gz SJHLZMPWBFHGOB-CYBMUJFWSA-N -1 1 337.376 -0.277 20 0 EBADMM COCCCC(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCOCC1 ZINC001324992913 839748842 /nfs/dbraw/zinc/74/88/42/839748842.db2.gz SBWZHPHHALKJGG-UHFFFAOYSA-N -1 1 327.385 -0.308 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1cccc(Br)n1 ZINC001325724418 839920972 /nfs/dbraw/zinc/92/09/72/839920972.db2.gz NETQWOFMQPHZCH-UHFFFAOYSA-N -1 1 326.114 -0.388 20 0 EBADMM O=C([C@@H]1OC[C@@H]2CCC[C@@H]21)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001326064173 840019729 /nfs/dbraw/zinc/01/97/29/840019729.db2.gz SAQQOMWRTODLKP-GMXVVIOVSA-N -1 1 339.396 -0.764 20 0 EBADMM O=C([C@@H]1CCNC(=O)C1)N1CC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001326237049 840068603 /nfs/dbraw/zinc/06/86/03/840068603.db2.gz DDRVYXICBJCSIM-WDEREUQCSA-N -1 1 336.396 -0.635 20 0 EBADMM COc1cc(C(=O)N2CC[C@@H](CCNCc3n[nH]c(=O)[n-]3)C2)nn1C ZINC001326312374 840085426 /nfs/dbraw/zinc/08/54/26/840085426.db2.gz MDJIJUJTZSUYGW-SNVBAGLBSA-N -1 1 349.395 -0.106 20 0 EBADMM CCO[N-]C(=O)CNC(=O)C(=O)N(C)Cc1cc(C(C)(C)C)[nH]n1 ZINC001326716417 840184511 /nfs/dbraw/zinc/18/45/11/840184511.db2.gz NLHAAIZVHISBAB-UHFFFAOYSA-N -1 1 339.396 -0.151 20 0 EBADMM Cc1c(NC(=O)C(=O)NN2CC(=O)[N-]C2=O)cnn1-c1ccccc1 ZINC001326807698 840205997 /nfs/dbraw/zinc/20/59/97/840205997.db2.gz SYGBCTHKWZRXDB-UHFFFAOYSA-N -1 1 342.315 -0.298 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CC[C@](O)(C4CC4)C3)ccnc1-2 ZINC001326807933 840206013 /nfs/dbraw/zinc/20/60/13/840206013.db2.gz XNXDDOZTFOEHHQ-MRXNPFEDSA-N -1 1 329.360 -0.346 20 0 EBADMM CCOC(=O)[C@@H]1CSCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001328075328 840579720 /nfs/dbraw/zinc/57/97/20/840579720.db2.gz HBANBMLPLFXWFB-JTQLQIEISA-N -1 1 341.389 -0.566 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC(Cn2cnnc2)CC1 ZINC001328820392 840759874 /nfs/dbraw/zinc/75/98/74/840759874.db2.gz IFWGXDXMSREDTN-UHFFFAOYSA-N -1 1 332.364 -0.543 20 0 EBADMM O=C(CCCN1C(=O)CNC1=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC001329278306 840888179 /nfs/dbraw/zinc/88/81/79/840888179.db2.gz YQCWXYZFPXMZSW-UHFFFAOYSA-N -1 1 337.336 -0.187 20 0 EBADMM Cc1cc(=O)n2[n-]c(NC(=O)CCSc3nnnn3C)nc2n1 ZINC001329641106 840972754 /nfs/dbraw/zinc/97/27/54/840972754.db2.gz GNPCKKUUGBDNHJ-UHFFFAOYSA-N -1 1 335.353 -0.630 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)NC[C@@H](C(=O)[O-])C(C)C)C1 ZINC001329949083 841071693 /nfs/dbraw/zinc/07/16/93/841071693.db2.gz GSQZHKNWSIGWOK-NWDGAFQWSA-N -1 1 329.397 -0.704 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC[S@](=O)CC(F)(F)F ZINC001330905629 841293191 /nfs/dbraw/zinc/29/31/91/841293191.db2.gz SZWXZKIAHJEPMZ-QFIPXVFZSA-N -1 1 341.311 -0.646 20 0 EBADMM CO[N-]C(=O)CNC(=O)C(=O)NC[C@@H](c1cccc(F)c1)N(C)C ZINC001333335872 841853069 /nfs/dbraw/zinc/85/30/69/841853069.db2.gz PCGIXOFTAAWWJE-LBPRGKRZSA-N -1 1 340.355 -0.662 20 0 EBADMM C[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)[C@H](C)NC(=O)C1(C)CC1 ZINC001333918247 841966263 /nfs/dbraw/zinc/96/62/63/841966263.db2.gz YKLKDDNYXGDWTI-QWRGUYRKSA-N -1 1 336.392 -0.264 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCCc1nncn1C ZINC001336724140 842538401 /nfs/dbraw/zinc/53/84/01/842538401.db2.gz OBPUYXYSBIGYGR-UHFFFAOYSA-N -1 1 328.354 -0.764 20 0 EBADMM C[C@@H](CN(C)C(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)c1ccco1 ZINC001337314415 842601428 /nfs/dbraw/zinc/60/14/28/842601428.db2.gz WZXAEGVVUUBRHZ-NSHDSACASA-N -1 1 348.359 -0.203 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@@H](C(=O)NC2CC2)C1 ZINC001338974973 842883333 /nfs/dbraw/zinc/88/33/33/842883333.db2.gz BZTQHUMLDXRLNW-LLVKDONJSA-N -1 1 334.376 -0.556 20 0 EBADMM Cn1cnc(/C=C\C(=O)N2CCN([C@@]3(C(=O)[O-])CCOC3)CC2)c1 ZINC001339916119 842952220 /nfs/dbraw/zinc/95/22/20/842952220.db2.gz ZGLIMYBBIVZMPK-YPQKKHBXSA-N -1 1 334.376 -0.179 20 0 EBADMM COCCn1ccc(C(=O)OCCc2c(C)nc3nc[n-]n3c2=O)n1 ZINC001343352761 843226389 /nfs/dbraw/zinc/22/63/89/843226389.db2.gz VXXKOAVMTWBCBH-UHFFFAOYSA-N -1 1 346.347 -0.032 20 0 EBADMM CNC(=O)C1(C(=O)NC[C@H]2C[C@@H](NC(=O)c3cnn[nH]3)C2)CCC1 ZINC001344223974 843303912 /nfs/dbraw/zinc/30/39/12/843303912.db2.gz XNTQMURBQDAQMO-AOOOYVTPSA-N -1 1 334.380 -0.654 20 0 EBADMM Cn1c(-c2cc(Cl)ncc2[O-])nnc1N1CCO[C@H](C(N)=O)C1 ZINC001344295837 843311334 /nfs/dbraw/zinc/31/13/34/843311334.db2.gz JVBGEMLXJHFITI-VIFPVBQESA-N -1 1 338.755 -0.073 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)CCCCN2CCOCC2)n1 ZINC001344418108 843316877 /nfs/dbraw/zinc/31/68/77/843316877.db2.gz AYCMEIACZUVMFG-UHFFFAOYSA-N -1 1 331.398 -0.351 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)CCCCN2CCOCC2)[n-]1 ZINC001344418108 843316887 /nfs/dbraw/zinc/31/68/87/843316887.db2.gz AYCMEIACZUVMFG-UHFFFAOYSA-N -1 1 331.398 -0.351 20 0 EBADMM CC(C)CO[N-]C(=O)C(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC001346365669 843511006 /nfs/dbraw/zinc/51/10/06/843511006.db2.gz WGPWZUFDRHLAPT-CQSZACIVSA-N -1 1 342.440 -0.787 20 0 EBADMM Cn1c(-c2nnn[n-]2)nnc1N1CCN(Cc2cscn2)CC1 ZINC001346827521 843559182 /nfs/dbraw/zinc/55/91/82/843559182.db2.gz DHSRNTLHBZYGRS-UHFFFAOYSA-N -1 1 332.397 -0.226 20 0 EBADMM Cn1c(-c2nn[n-]n2)nnc1N1CCN(Cc2cscn2)CC1 ZINC001346827521 843559190 /nfs/dbraw/zinc/55/91/90/843559190.db2.gz DHSRNTLHBZYGRS-UHFFFAOYSA-N -1 1 332.397 -0.226 20 0 EBADMM Nc1ncnc2cc(C(=O)N3CCO[C@H](c4nn[n-]n4)C3)ccc12 ZINC001347418834 843616296 /nfs/dbraw/zinc/61/62/96/843616296.db2.gz AWTBYRDNMOCNJF-NSHDSACASA-N -1 1 326.320 -0.061 20 0 EBADMM Cc1nscc1C(=O)NCCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001348818824 843751369 /nfs/dbraw/zinc/75/13/69/843751369.db2.gz HPODZULRCHQQHT-UHFFFAOYSA-N -1 1 347.360 -0.658 20 0 EBADMM O=C(NCCNC(=O)c1ncccc1[O-])c1cc2n(n1)CCCO2 ZINC001348839081 843756854 /nfs/dbraw/zinc/75/68/54/843756854.db2.gz SCEPBNVXVUBVRO-UHFFFAOYSA-N -1 1 331.332 -0.074 20 0 EBADMM COCCN(C)CCNC(=O)C(=O)N1CC[C@@H](C)[C@H](C(=O)[O-])C1 ZINC001349354032 843890820 /nfs/dbraw/zinc/89/08/20/843890820.db2.gz BUHAJXKTGJSRMT-VXGBXAGGSA-N -1 1 329.397 -0.750 20 0 EBADMM COCCN1CCC[C@@H]1CNC(=O)C(=O)N1CC[C@H](C(=O)[O-])C1 ZINC001611012168 970847445 /nfs/dbraw/zinc/84/74/45/970847445.db2.gz TXHNMAAWURESBO-NWDGAFQWSA-N -1 1 327.381 -0.854 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)[C@H](C)CNc1nccnc1-c1nnn[n-]1 ZINC001573467357 945979769 /nfs/dbraw/zinc/97/97/69/945979769.db2.gz CHVHLSHYLOPRAG-BDAKNGLRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)[C@H](C)CNc1nccnc1-c1nn[n-]n1 ZINC001573467357 945979771 /nfs/dbraw/zinc/97/97/71/945979771.db2.gz CHVHLSHYLOPRAG-BDAKNGLRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)CCNc1nccnc1-c1nnn[n-]1 ZINC001573468569 945996991 /nfs/dbraw/zinc/99/69/91/945996991.db2.gz PLERNQIWEJYAPQ-QMMMGPOBSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)CCNc1nccnc1-c1nn[n-]n1 ZINC001573468569 945997003 /nfs/dbraw/zinc/99/70/03/945997003.db2.gz PLERNQIWEJYAPQ-QMMMGPOBSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N1CC[C@H](Nc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001573472625 946066058 /nfs/dbraw/zinc/06/60/58/946066058.db2.gz WOANKWSWKSHOJZ-WPRPVWTQSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N1CC[C@H](Nc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001573472625 946066071 /nfs/dbraw/zinc/06/60/71/946066071.db2.gz WOANKWSWKSHOJZ-WPRPVWTQSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@@H](C)CN(C)c1nccnc1-c1nnn[n-]1 ZINC001573476410 946117871 /nfs/dbraw/zinc/11/78/71/946117871.db2.gz GOIJLVMFVCCKLI-DTWKUNHWSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@@H](C)CN(C)c1nccnc1-c1nn[n-]n1 ZINC001573476410 946117879 /nfs/dbraw/zinc/11/78/79/946117879.db2.gz GOIJLVMFVCCKLI-DTWKUNHWSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@@H](C)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001573476845 946126491 /nfs/dbraw/zinc/12/64/91/946126491.db2.gz JUXFBJKDPONSTK-BDAKNGLRSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@H](C)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001573482839 946203970 /nfs/dbraw/zinc/20/39/70/946203970.db2.gz ANSCFCKZMSSNBA-HTQZYQBOSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@H](C)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001573482839 946203981 /nfs/dbraw/zinc/20/39/81/946203981.db2.gz ANSCFCKZMSSNBA-HTQZYQBOSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@@H]1CC[N@H+](Cc2cc(=O)n3[n-]cc(-c4nnn[n-]4)c3n2)C1 ZINC001573496907 946332786 /nfs/dbraw/zinc/33/27/86/946332786.db2.gz GVUXNCVTKWGYLO-SECBINFHSA-N -1 1 343.351 -0.675 20 0 EBADMM CC(=O)N[C@@H]1CC[N@@H+](Cc2cc(=O)n3[n-]cc(-c4nnn[n-]4)c3n2)C1 ZINC001573496907 946332800 /nfs/dbraw/zinc/33/28/00/946332800.db2.gz GVUXNCVTKWGYLO-SECBINFHSA-N -1 1 343.351 -0.675 20 0 EBADMM CC(=O)NCC(=O)N(C)C1CC(Nc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001573497294 946346833 /nfs/dbraw/zinc/34/68/33/946346833.db2.gz PLIWMYWOPJPWOW-UHFFFAOYSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N(C)C1CC(Nc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001573497294 946346847 /nfs/dbraw/zinc/34/68/47/946346847.db2.gz PLIWMYWOPJPWOW-UHFFFAOYSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N1C[C@H](Nc2cnc(-c3nnn[n-]3)cn2)[C@@H](C)C1 ZINC001573499522 946369634 /nfs/dbraw/zinc/36/96/34/946369634.db2.gz FECCQOIORJEKKF-KWQFWETISA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)NCC(=O)N1C[C@H](Nc2cnc(-c3nn[n-]n3)cn2)[C@@H](C)C1 ZINC001573499522 946369643 /nfs/dbraw/zinc/36/96/43/946369643.db2.gz FECCQOIORJEKKF-KWQFWETISA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)NCC(=O)N1C[C@H](Nc2nccnc2-c2nnn[n-]2)C[C@H]1C ZINC001573502523 946388940 /nfs/dbraw/zinc/38/89/40/946388940.db2.gz WVTGEALWEMUWLI-PSASIEDQSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N1C[C@H](Nc2nccnc2-c2nn[n-]n2)C[C@H]1C ZINC001573502523 946388957 /nfs/dbraw/zinc/38/89/57/946388957.db2.gz WVTGEALWEMUWLI-PSASIEDQSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@@H](CNc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001573503568 946393856 /nfs/dbraw/zinc/39/38/56/946393856.db2.gz AHJZMVPFBPFCEP-JTQLQIEISA-N -1 1 345.367 -0.947 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@@H](CNc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001573503568 946393870 /nfs/dbraw/zinc/39/38/70/946393870.db2.gz AHJZMVPFBPFCEP-JTQLQIEISA-N -1 1 345.367 -0.947 20 0 EBADMM CC(=O)NCC(=O)N1CCC[C@@H](Nc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001573509789 946466457 /nfs/dbraw/zinc/46/64/57/946466457.db2.gz ROEYWALTTYSLGZ-SNVBAGLBSA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)NCC(=O)N1CCC[C@@H](Nc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001573509789 946466463 /nfs/dbraw/zinc/46/64/63/946466463.db2.gz ROEYWALTTYSLGZ-SNVBAGLBSA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)[C@@H](C)Nc1nc(C)cc(-c2nnn[n-]2)n1 ZINC001573510748 946479310 /nfs/dbraw/zinc/47/93/10/946479310.db2.gz KMISUAAVEMUFLB-RKDXNWHRSA-N -1 1 347.383 -0.594 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)[C@@H](C)Nc1nc(C)cc(-c2nn[n-]n2)n1 ZINC001573510748 946479315 /nfs/dbraw/zinc/47/93/15/946479315.db2.gz KMISUAAVEMUFLB-RKDXNWHRSA-N -1 1 347.383 -0.594 20 0 EBADMM CC(=O)NCC(=O)NCC1CC(Nc2cncc(-c3nnn[n-]3)n2)C1 ZINC001573516943 946562241 /nfs/dbraw/zinc/56/22/41/946562241.db2.gz AFYIUDUDGWINEJ-UHFFFAOYSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)NCC1CC(Nc2cncc(-c3nn[n-]n3)n2)C1 ZINC001573516943 946562254 /nfs/dbraw/zinc/56/22/54/946562254.db2.gz AFYIUDUDGWINEJ-UHFFFAOYSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NC[C@H]1CCCN(C(=O)[C@@H](C)n2cnc(-c3nn[n-]n3)n2)C1 ZINC001573530698 946700953 /nfs/dbraw/zinc/70/09/53/946700953.db2.gz XLKUEZIMPYYBKR-MWLCHTKSSA-N -1 1 347.383 -0.606 20 0 EBADMM CC(=O)c1cc(Cn2c(=O)c(-c3nn[n-]n3)c(C)n(C)c2=O)on1 ZINC001573554160 946894688 /nfs/dbraw/zinc/89/46/88/946894688.db2.gz NVRHHVZHTGVVSG-UHFFFAOYSA-N -1 1 331.292 -0.726 20 0 EBADMM C=C/C=C\CC(=O)N1CC(n2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)C1 ZINC001573314335 947228604 /nfs/dbraw/zinc/22/86/04/947228604.db2.gz BACUUNCDMKSZIZ-ARJAWSKDSA-N -1 1 329.320 -0.355 20 0 EBADMM C[C@H](C(=O)N(C)CCNc1cnc(-c2nnn[n-]2)cn1)n1cccn1 ZINC001573605644 947531409 /nfs/dbraw/zinc/53/14/09/947531409.db2.gz XUOZSNIHTOMRBU-SNVBAGLBSA-N -1 1 342.367 -0.015 20 0 EBADMM C[C@H](C(=O)N(C)CCNc1cnc(-c2nn[n-]n2)cn1)n1cccn1 ZINC001573605644 947531421 /nfs/dbraw/zinc/53/14/21/947531421.db2.gz XUOZSNIHTOMRBU-SNVBAGLBSA-N -1 1 342.367 -0.015 20 0 EBADMM C[C@@H](C(=O)N1CC(C)(C)[C@H]2COC[C@H]21)n1cnc(-c2nn[n-]n2)n1 ZINC001573607852 947552912 /nfs/dbraw/zinc/55/29/12/947552912.db2.gz SRQVNETYZVXARL-LPEHRKFASA-N -1 1 332.368 -0.097 20 0 EBADMM CC(=O)CCCC(=O)NC[C@H](CO)Nc1nccnc1-c1nnn[n-]1 ZINC001573381298 947872838 /nfs/dbraw/zinc/87/28/38/947872838.db2.gz SPEQSIWTPHYUEJ-SNVBAGLBSA-N -1 1 348.367 -0.695 20 0 EBADMM CC(=O)CCCC(=O)NC[C@H](CO)Nc1nccnc1-c1nn[n-]n1 ZINC001573381298 947872842 /nfs/dbraw/zinc/87/28/42/947872842.db2.gz SPEQSIWTPHYUEJ-SNVBAGLBSA-N -1 1 348.367 -0.695 20 0 EBADMM C[C@@H](C(=O)N1CCc2c(=O)[nH]cnc2C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573647299 947890017 /nfs/dbraw/zinc/89/00/17/947890017.db2.gz HFTWPUYFNZXFPI-ZETCQYMHSA-N -1 1 342.323 -0.900 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)CCNc1cnc(-c2nnn[n-]2)cn1 ZINC001573397622 947974569 /nfs/dbraw/zinc/97/45/69/947974569.db2.gz CWDREHZUVMZBCG-VIFPVBQESA-N -1 1 347.383 -0.558 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)CCNc1cnc(-c2nn[n-]n2)cn1 ZINC001573397622 947974574 /nfs/dbraw/zinc/97/45/74/947974574.db2.gz CWDREHZUVMZBCG-VIFPVBQESA-N -1 1 347.383 -0.558 20 0 EBADMM C[C@H](C(=O)N[C@H](CO)c1ccccn1)n1cnc(-c2nn[n-]n2)n1 ZINC001573657816 948003353 /nfs/dbraw/zinc/00/33/53/948003353.db2.gz PBKXMXSOBOTVIA-PSASIEDQSA-N -1 1 329.324 -0.736 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001573403032 948007244 /nfs/dbraw/zinc/00/72/44/948007244.db2.gz KPFGCHQOPPRWLU-MRVPVSSYSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001573403032 948007254 /nfs/dbraw/zinc/00/72/54/948007254.db2.gz KPFGCHQOPPRWLU-MRVPVSSYSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001573406382 948051174 /nfs/dbraw/zinc/05/11/74/948051174.db2.gz CUJWTOCGDVPPAI-MRVPVSSYSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001573406382 948051181 /nfs/dbraw/zinc/05/11/81/948051181.db2.gz CUJWTOCGDVPPAI-MRVPVSSYSA-N -1 1 333.356 -0.948 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CC[C@H]([S@@](C)=O)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573665098 948067111 /nfs/dbraw/zinc/06/71/11/948067111.db2.gz IVBWKKWMLTVSRZ-RDLAANAPSA-N -1 1 338.397 -0.565 20 0 EBADMM CC(=O)N(C)CCN1CCN(c2cnc(-c3nn[n-]n3)cn2)CC1 ZINC001573408699 948070349 /nfs/dbraw/zinc/07/03/49/948070349.db2.gz LQZAQCSUHBPPKO-UHFFFAOYSA-N -1 1 331.384 -0.743 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CCN(CC(F)F)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573670368 948101210 /nfs/dbraw/zinc/10/12/10/948101210.db2.gz BANWBVJRBPXVDT-JGVFFNPUSA-N -1 1 341.326 -0.525 20 0 EBADMM CC(=O)N1CCC(NC(=O)Cc2ccc(-c3nnn[n-]3)nc2)CC1 ZINC001573433651 948204673 /nfs/dbraw/zinc/20/46/73/948204673.db2.gz MRGYNWPBOJOGOP-UHFFFAOYSA-N -1 1 329.364 -0.069 20 0 EBADMM CC(=O)N1CCC(NC(=O)Cc2ccc(-c3nn[n-]n3)nc2)CC1 ZINC001573433651 948204680 /nfs/dbraw/zinc/20/46/80/948204680.db2.gz MRGYNWPBOJOGOP-UHFFFAOYSA-N -1 1 329.364 -0.069 20 0 EBADMM C[C@H](C(=O)NC[C@@H](CO)Nc1ccnc(-c2nn[n-]n2)n1)C1CCC1 ZINC001573681919 948216491 /nfs/dbraw/zinc/21/64/91/948216491.db2.gz YYJPSNZOYKEXHB-ONGXEEELSA-N -1 1 346.395 -0.596 20 0 EBADMM CC(=O)N1CCC(NC(=O)Cc2noc(C)c2-c2nnn[n-]2)CC1 ZINC001573435045 948223926 /nfs/dbraw/zinc/22/39/26/948223926.db2.gz YOTLWSRVFGLALY-UHFFFAOYSA-N -1 1 333.352 -0.167 20 0 EBADMM CC(=O)N1CCC(NC(=O)Cc2noc(C)c2-c2nn[n-]n2)CC1 ZINC001573435045 948223932 /nfs/dbraw/zinc/22/39/32/948223932.db2.gz YOTLWSRVFGLALY-UHFFFAOYSA-N -1 1 333.352 -0.167 20 0 EBADMM CC(=O)N1CC[C@]2(C1)CN(c1nc(C)cc(-c3nnn[n-]3)n1)CCO2 ZINC001573439648 948248447 /nfs/dbraw/zinc/24/84/47/948248447.db2.gz NWDHUDLHBBANEP-HNNXBMFYSA-N -1 1 344.379 -0.207 20 0 EBADMM CC(=O)N1CC[C@]2(C1)CN(c1nc(C)cc(-c3nn[n-]n3)n1)CCO2 ZINC001573439648 948248455 /nfs/dbraw/zinc/24/84/55/948248455.db2.gz NWDHUDLHBBANEP-HNNXBMFYSA-N -1 1 344.379 -0.207 20 0 EBADMM C[C@@H](C(=O)NC[C@H]1CC(=O)N(C2CC2)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573693713 948285410 /nfs/dbraw/zinc/28/54/10/948285410.db2.gz AWPBSWCDLYFVOR-DTWKUNHWSA-N -1 1 345.367 -0.854 20 0 EBADMM C[C@@H](C(=O)NC[C@@H](O)CNc1cnc(-c2nnn[n-]2)cn1)C1CCC1 ZINC001573694420 948293377 /nfs/dbraw/zinc/29/33/77/948293377.db2.gz VJUPVRYALWNNFX-KOLCDFICSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](C(=O)NC[C@@H](O)CNc1cnc(-c2nn[n-]n2)cn1)C1CCC1 ZINC001573694420 948293388 /nfs/dbraw/zinc/29/33/88/948293388.db2.gz VJUPVRYALWNNFX-KOLCDFICSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](C(=O)NC[C@H](O)CNc1cnc(-c2nnn[n-]2)cn1)C1CC1 ZINC001573694493 948296778 /nfs/dbraw/zinc/29/67/78/948296778.db2.gz WHQJNTICTSJKLL-WCBMZHEXSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@H](C(=O)NC[C@H](O)CNc1cnc(-c2nn[n-]n2)cn1)C1CC1 ZINC001573694493 948296787 /nfs/dbraw/zinc/29/67/87/948296787.db2.gz WHQJNTICTSJKLL-WCBMZHEXSA-N -1 1 332.368 -0.408 20 0 EBADMM CC(=O)N1CCC[C@H](CNc2[nH]c(=O)n(C)c(=O)c2-c2nn[n-]n2)C1 ZINC001573443819 948307932 /nfs/dbraw/zinc/30/79/32/948307932.db2.gz PRXVRXQXHROPIM-SECBINFHSA-N -1 1 348.367 -0.664 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CN(c2ccnc(-c3nn[n-]n3)n2)C[C@H]1O)C1CC1 ZINC001573740136 948629198 /nfs/dbraw/zinc/62/91/98/948629198.db2.gz FIJVWXHLZKYBAN-JMJZKYOTSA-N -1 1 344.379 -0.632 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CN(c2ccnc(-c3nn[n-]n3)n2)C[C@H]1O)C1CC1 ZINC001573740133 948629399 /nfs/dbraw/zinc/62/93/99/948629399.db2.gz FIJVWXHLZKYBAN-FBIMIBRVSA-N -1 1 344.379 -0.632 20 0 EBADMM C[C@H](CNc1ccc(-c2nnn[n-]2)nn1)NC(=O)[C@@H](C)n1cncn1 ZINC001573742637 948662355 /nfs/dbraw/zinc/66/23/55/948662355.db2.gz OHHXEXBWJPDQND-RKDXNWHRSA-N -1 1 343.355 -0.574 20 0 EBADMM C[C@H](CNc1ccc(-c2nn[n-]n2)nn1)NC(=O)[C@@H](C)n1cncn1 ZINC001573742637 948662359 /nfs/dbraw/zinc/66/23/59/948662359.db2.gz OHHXEXBWJPDQND-RKDXNWHRSA-N -1 1 343.355 -0.574 20 0 EBADMM C[C@H](Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C)c1cnccn1 ZINC001570925423 948668803 /nfs/dbraw/zinc/66/88/03/948668803.db2.gz CJMOJOCWMHPMGM-ZETCQYMHSA-N -1 1 329.324 -0.773 20 0 EBADMM Cc1[nH]nc(NC(=O)c2c(C)c(C)nn(C)c2=O)c1-c1nn[n-]n1 ZINC001570925426 948668853 /nfs/dbraw/zinc/66/88/53/948668853.db2.gz CLNPGQBWUCCUKK-UHFFFAOYSA-N -1 1 329.324 -0.139 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)N[C@H](C)c1nnnn1C ZINC001570927197 948706105 /nfs/dbraw/zinc/70/61/05/948706105.db2.gz BUGPSHNXLHYDGQ-ZCFIWIBFSA-N -1 1 330.312 -0.710 20 0 EBADMM O=C(N[C@@H]1CCN(c2nccnc2-c2nnn[n-]2)C1)c1cnco1 ZINC001570927841 948719773 /nfs/dbraw/zinc/71/97/73/948719773.db2.gz SEQAYGFWNPBWHZ-MRVPVSSYSA-N -1 1 327.308 -0.347 20 0 EBADMM O=C(N[C@@H]1CCN(c2nccnc2-c2nn[n-]n2)C1)c1cnco1 ZINC001570927841 948719774 /nfs/dbraw/zinc/71/97/74/948719774.db2.gz SEQAYGFWNPBWHZ-MRVPVSSYSA-N -1 1 327.308 -0.347 20 0 EBADMM O=C(Nc1ncc2c(c1-c1nn[n-]n1)COCC2)[C@@H]1COC(=O)N1 ZINC001570928919 948746859 /nfs/dbraw/zinc/74/68/59/948746859.db2.gz DTQHTOVGVWFDGH-QMMMGPOBSA-N -1 1 331.292 -0.619 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)[C@H]1CCOC1 ZINC001574679459 948780863 /nfs/dbraw/zinc/78/08/63/948780863.db2.gz VSPJAARNOMCXJN-UWVGGRQHSA-N -1 1 332.368 0.000 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)[C@H]1CCOC1 ZINC001574679459 948780875 /nfs/dbraw/zinc/78/08/75/948780875.db2.gz VSPJAARNOMCXJN-UWVGGRQHSA-N -1 1 332.368 0.000 20 0 EBADMM C[C@@H](C(N)=O)[N@H+](CCNC(=O)c1c[nH]c(-c2nn[nH]n2)c1)C1CC1 ZINC001573755862 948786266 /nfs/dbraw/zinc/78/62/66/948786266.db2.gz UERDSLSIYDJLOB-QMMMGPOBSA-N -1 1 332.368 -0.737 20 0 EBADMM C[C@@H](CCNc1cnc(-c2nnn[n-]2)cn1)NC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001574679587 948788496 /nfs/dbraw/zinc/78/84/96/948788496.db2.gz YIQASUDIRDQXQW-QISWUMQESA-N -1 1 344.379 -0.144 20 0 EBADMM C[C@@H](CCNc1cnc(-c2nn[n-]n2)cn1)NC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001574679587 948788503 /nfs/dbraw/zinc/78/85/03/948788503.db2.gz YIQASUDIRDQXQW-QISWUMQESA-N -1 1 344.379 -0.144 20 0 EBADMM C[C@H](C(=O)Nc1cc2n(n1)CCN(C)C2)n1cnc(-c2nn[n-]n2)n1 ZINC001573756028 948793675 /nfs/dbraw/zinc/79/36/75/948793675.db2.gz LKRJPULTZUHTAO-MRVPVSSYSA-N -1 1 343.355 -0.700 20 0 EBADMM CO[C@H](C)C(=O)N1CC[C@H](N(C)c2cncc(-c3nnn[n-]3)n2)C1 ZINC001570930678 948822366 /nfs/dbraw/zinc/82/23/66/948822366.db2.gz OJOFICOEMDTUFJ-ZJUUUORDSA-N -1 1 332.368 -0.271 20 0 EBADMM CO[C@H](C)C(=O)N1CC[C@H](N(C)c2cncc(-c3nn[n-]n3)n2)C1 ZINC001570930678 948822376 /nfs/dbraw/zinc/82/23/76/948822376.db2.gz OJOFICOEMDTUFJ-ZJUUUORDSA-N -1 1 332.368 -0.271 20 0 EBADMM CNc1nc(CNc2[nH]c(=O)n(C)c(=O)c2-c2nn[n-]n2)cs1 ZINC001570933150 948877158 /nfs/dbraw/zinc/87/71/58/948877158.db2.gz GVBFYNVXOVLCKV-UHFFFAOYSA-N -1 1 335.353 -0.224 20 0 EBADMM CC(F)(F)CC(=O)NC[C@@H](O)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575233858 948891331 /nfs/dbraw/zinc/89/13/31/948891331.db2.gz MJUUHELQTBDIKM-ZETCQYMHSA-N -1 1 342.310 -0.987 20 0 EBADMM Cc1nsc(NC(=O)N2CC[C@H](NC(N)=O)C2)c1-c1nnn[n-]1 ZINC001570933991 948917082 /nfs/dbraw/zinc/91/70/82/948917082.db2.gz AIKYGPJNNSETJK-LURJTMIESA-N -1 1 337.369 -0.094 20 0 EBADMM Cc1nsc(NC(=O)N2CC[C@H](NC(N)=O)C2)c1-c1nn[n-]n1 ZINC001570933991 948917093 /nfs/dbraw/zinc/91/70/93/948917093.db2.gz AIKYGPJNNSETJK-LURJTMIESA-N -1 1 337.369 -0.094 20 0 EBADMM C[C@H](CNC(=O)CCn1cncn1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574923043 948932315 /nfs/dbraw/zinc/93/23/15/948932315.db2.gz BWHBKMAHXRFEFL-SECBINFHSA-N -1 1 343.355 -0.745 20 0 EBADMM C[C@H](CNC(=O)CCn1cncn1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574923043 948932327 /nfs/dbraw/zinc/93/23/27/948932327.db2.gz BWHBKMAHXRFEFL-SECBINFHSA-N -1 1 343.355 -0.745 20 0 EBADMM CO[C@@H]1CN(C(=O)[C@@H](C)n2cnc(-c3nn[n-]n3)n2)[C@@](C)(CO)C1 ZINC001570934634 948944644 /nfs/dbraw/zinc/94/46/44/948944644.db2.gz LXVHGMRKURDLIQ-VYUIOLGVSA-N -1 1 336.356 -0.983 20 0 EBADMM C[C@@H](CNC(=O)CCn1ccnn1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574923504 948953563 /nfs/dbraw/zinc/95/35/63/948953563.db2.gz OLLSUIKGHQOCJK-VIFPVBQESA-N -1 1 343.355 -0.745 20 0 EBADMM C[C@@H](CNC(=O)CCn1ccnn1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574923504 948953569 /nfs/dbraw/zinc/95/35/69/948953569.db2.gz OLLSUIKGHQOCJK-VIFPVBQESA-N -1 1 343.355 -0.745 20 0 EBADMM O=C(N[C@H]1CCN(c2nccnc2-c2nnn[n-]2)C1)c1ccnnc1 ZINC001570936577 949041365 /nfs/dbraw/zinc/04/13/65/949041365.db2.gz YJMIGPPHWNXNBU-JTQLQIEISA-N -1 1 338.335 -0.545 20 0 EBADMM O=C(N[C@H]1CCN(c2nccnc2-c2nn[n-]n2)C1)c1ccnnc1 ZINC001570936577 949041385 /nfs/dbraw/zinc/04/13/85/949041385.db2.gz YJMIGPPHWNXNBU-JTQLQIEISA-N -1 1 338.335 -0.545 20 0 EBADMM O=C(Cn1cccn1)N[C@H]1C[C@@H](Nc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001570937273 949076037 /nfs/dbraw/zinc/07/60/37/949076037.db2.gz AOLBSQWJZONAMX-AOOOYVTPSA-N -1 1 340.351 -0.388 20 0 EBADMM O=C(Cn1cccn1)N[C@H]1C[C@@H](Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001570937273 949076058 /nfs/dbraw/zinc/07/60/58/949076058.db2.gz AOLBSQWJZONAMX-AOOOYVTPSA-N -1 1 340.351 -0.388 20 0 EBADMM Cc1c[nH]c(C(=O)N2CCN(c3nccnc3-c3nnn[n-]3)CC2)n1 ZINC001570937668 949085152 /nfs/dbraw/zinc/08/51/52/949085152.db2.gz HYKMKWVVVJXHEZ-UHFFFAOYSA-N -1 1 340.351 -0.349 20 0 EBADMM Cc1c[nH]c(C(=O)N2CCN(c3nccnc3-c3nn[n-]n3)CC2)n1 ZINC001570937668 949085170 /nfs/dbraw/zinc/08/51/70/949085170.db2.gz HYKMKWVVVJXHEZ-UHFFFAOYSA-N -1 1 340.351 -0.349 20 0 EBADMM Cn1nccc1C(=O)N[C@H]1C[C@@H](Nc2cncc(-c3nnn[n-]3)n2)C1 ZINC001570938039 949091500 /nfs/dbraw/zinc/09/15/00/949091500.db2.gz MJFZFEKLLLHSIC-DTORHVGOSA-N -1 1 340.351 -0.237 20 0 EBADMM Cn1nccc1C(=O)N[C@H]1C[C@@H](Nc2cncc(-c3nn[n-]n3)n2)C1 ZINC001570938039 949091517 /nfs/dbraw/zinc/09/15/17/949091517.db2.gz MJFZFEKLLLHSIC-DTORHVGOSA-N -1 1 340.351 -0.237 20 0 EBADMM C[C@@H](CNC(=O)COCC1CC1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574932088 949128396 /nfs/dbraw/zinc/12/83/96/949128396.db2.gz UWMJQXJRGKCBDR-VIFPVBQESA-N -1 1 332.368 0.000 20 0 EBADMM C[C@@H](CNC(=O)COCC1CC1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574932088 949128407 /nfs/dbraw/zinc/12/84/07/949128407.db2.gz UWMJQXJRGKCBDR-VIFPVBQESA-N -1 1 332.368 0.000 20 0 EBADMM Cc1cnccc1C(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570939166 949130449 /nfs/dbraw/zinc/13/04/49/949130449.db2.gz LABVILPWJLDZTI-UHFFFAOYSA-N -1 1 342.319 -0.738 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001574696584 949132195 /nfs/dbraw/zinc/13/21/95/949132195.db2.gz RNAWUZTVYPQAPN-UKKRHICBSA-N -1 1 344.379 -0.144 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001574696584 949132208 /nfs/dbraw/zinc/13/22/08/949132208.db2.gz RNAWUZTVYPQAPN-UKKRHICBSA-N -1 1 344.379 -0.144 20 0 EBADMM O=C(c1cnon1)N1CCC[C@@H](Nc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001570939230 949132686 /nfs/dbraw/zinc/13/26/86/949132686.db2.gz LQYAUZYBEBPEHW-MRVPVSSYSA-N -1 1 342.323 -0.244 20 0 EBADMM O=C(c1cnon1)N1CCC[C@@H](Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001570939230 949132701 /nfs/dbraw/zinc/13/27/01/949132701.db2.gz LQYAUZYBEBPEHW-MRVPVSSYSA-N -1 1 342.323 -0.244 20 0 EBADMM C[C@H]1C[C@@H](Nc2cncc(-c3nnn[n-]3)n2)CN1C(=O)c1nc[nH]n1 ZINC001570939858 949156451 /nfs/dbraw/zinc/15/64/51/949156451.db2.gz HQNOZCJWBAQXBR-JGVFFNPUSA-N -1 1 341.339 -0.510 20 0 EBADMM C[C@H]1C[C@@H](Nc2cncc(-c3nn[n-]n3)n2)CN1C(=O)c1nc[nH]n1 ZINC001570939858 949156469 /nfs/dbraw/zinc/15/64/69/949156469.db2.gz HQNOZCJWBAQXBR-JGVFFNPUSA-N -1 1 341.339 -0.510 20 0 EBADMM C[C@H](c1ccccc1)[C@@H](CO)NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC001575514789 949176318 /nfs/dbraw/zinc/17/63/18/949176318.db2.gz YUGPWJBOOXBCBY-ZYHUDNBSSA-N -1 1 342.363 -0.261 20 0 EBADMM CC(C)CC(=O)N(C)C[C@H](O)CN(C)c1nccnc1-c1nnn[n-]1 ZINC001574099938 949191738 /nfs/dbraw/zinc/19/17/38/949191738.db2.gz RMBNGKWNELPIMZ-NSHDSACASA-N -1 1 348.411 -0.042 20 0 EBADMM CC(C)CC(=O)N(C)C[C@H](O)CN(C)c1nccnc1-c1nn[n-]n1 ZINC001574099938 949191744 /nfs/dbraw/zinc/19/17/44/949191744.db2.gz RMBNGKWNELPIMZ-NSHDSACASA-N -1 1 348.411 -0.042 20 0 EBADMM C[C@@H]1CCC[C@@H](NC(=O)Cn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)C1 ZINC001576152244 949248194 /nfs/dbraw/zinc/24/81/94/949248194.db2.gz JKNUUPHYTNADDH-RKDXNWHRSA-N -1 1 333.352 -0.176 20 0 EBADMM CN(c1ccnc(-c2nn[n-]n2)n1)[C@H]1CCN(C(=O)c2cocn2)C1 ZINC001570941806 949249839 /nfs/dbraw/zinc/24/98/39/949249839.db2.gz FUADWNKDBDFPJY-VIFPVBQESA-N -1 1 341.335 -0.004 20 0 EBADMM C[C@@H]1CCC[C@]1(O)CNC(=O)NCCn1cnc(-c2nn[n-]n2)n1 ZINC001576154239 949326951 /nfs/dbraw/zinc/32/69/51/949326951.db2.gz OHTJJDVLSQGNBE-RNCFNFMXSA-N -1 1 335.372 -0.692 20 0 EBADMM C[C@]12CCC(=O)N1[C@H](C(=O)Nc1c[nH]nc1-c1nn[n-]n1)CS2 ZINC001575862113 949339912 /nfs/dbraw/zinc/33/99/12/949339912.db2.gz XMEHYGLEQDEBAO-MADCSZMMSA-N -1 1 334.365 -0.018 20 0 EBADMM C[C@H](CN(C)C(=O)C1=COCCO1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574704281 949380807 /nfs/dbraw/zinc/38/08/07/949380807.db2.gz LXOOUTXYPAYWCL-SECBINFHSA-N -1 1 346.351 -0.196 20 0 EBADMM C[C@H](CN(C)C(=O)C1=COCCO1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574704281 949380825 /nfs/dbraw/zinc/38/08/25/949380825.db2.gz LXOOUTXYPAYWCL-SECBINFHSA-N -1 1 346.351 -0.196 20 0 EBADMM C[C@@H](CNC(=O)Cc1ncc[nH]1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574945542 949414519 /nfs/dbraw/zinc/41/45/19/949414519.db2.gz LXBBOVXVCBYITO-QMMMGPOBSA-N -1 1 328.340 -0.461 20 0 EBADMM C[C@@H](CNC(=O)Cc1ncc[nH]1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574945542 949414531 /nfs/dbraw/zinc/41/45/31/949414531.db2.gz LXBBOVXVCBYITO-QMMMGPOBSA-N -1 1 328.340 -0.461 20 0 EBADMM CC1=NO[C@@H](C(=O)Nc2[nH]nc(N3CCOCC3)c2-c2nnn[n-]2)C1 ZINC001575867073 949429716 /nfs/dbraw/zinc/42/97/16/949429716.db2.gz XOQDZRLOZMXSQI-MRVPVSSYSA-N -1 1 347.339 -0.470 20 0 EBADMM CC1=NO[C@@H](C(=O)Nc2[nH]nc(N3CCOCC3)c2-c2nn[n-]n2)C1 ZINC001575867073 949429726 /nfs/dbraw/zinc/42/97/26/949429726.db2.gz XOQDZRLOZMXSQI-MRVPVSSYSA-N -1 1 347.339 -0.470 20 0 EBADMM C[C@H](CNC(=O)Cn1cccn1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001574947942 949459582 /nfs/dbraw/zinc/45/95/82/949459582.db2.gz GHVGMWCUKAHCTA-SNVBAGLBSA-N -1 1 342.367 -0.506 20 0 EBADMM C[C@H](CNC(=O)Cn1ccnc1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001574948437 949461787 /nfs/dbraw/zinc/46/17/87/949461787.db2.gz IZNNYAZIRBZURN-JTQLQIEISA-N -1 1 342.367 -0.282 20 0 EBADMM CC(C)(C)C(=O)NC[C@@]1(O)CCN(c2cnc(-c3nnn[n-]3)cn2)C1 ZINC001573804284 949468189 /nfs/dbraw/zinc/46/81/89/949468189.db2.gz UGRILCFEKUSBRY-HNNXBMFYSA-N -1 1 346.395 -0.240 20 0 EBADMM CC(C)(C)C(=O)NC[C@@]1(O)CCN(c2cnc(-c3nn[n-]n3)cn2)C1 ZINC001573804284 949468205 /nfs/dbraw/zinc/46/82/05/949468205.db2.gz UGRILCFEKUSBRY-HNNXBMFYSA-N -1 1 346.395 -0.240 20 0 EBADMM CC(C)CC(=O)NC[C@]1(O)CCN(c2ccnc(-c3nn[n-]n3)n2)C1 ZINC001574117533 949474543 /nfs/dbraw/zinc/47/45/43/949474543.db2.gz WRUBHRWMVZCDPW-OAHLLOKOSA-N -1 1 346.395 -0.240 20 0 EBADMM C[C@@H]1CO[C@H](C(=O)N2CCN(c3ccnc(-c4nn[n-]n4)n3)CC2)C1 ZINC001570945505 949483331 /nfs/dbraw/zinc/48/33/31/949483331.db2.gz BNANPODIGZHOFT-QWRGUYRKSA-N -1 1 344.379 -0.270 20 0 EBADMM O=C(N[C@H]1CCCN(c2nccnc2-c2nnn[n-]2)C1)[C@H]1CCCO1 ZINC001570945713 949498181 /nfs/dbraw/zinc/49/81/81/949498181.db2.gz IFDVFPXEQNVHBG-WDEREUQCSA-N -1 1 344.379 -0.079 20 0 EBADMM O=C(N[C@H]1CCCN(c2nccnc2-c2nn[n-]n2)C1)[C@H]1CCCO1 ZINC001570945713 949498200 /nfs/dbraw/zinc/49/82/00/949498200.db2.gz IFDVFPXEQNVHBG-WDEREUQCSA-N -1 1 344.379 -0.079 20 0 EBADMM C[C@@H]1CCCC[C@]12CC(=O)NN2C(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC001576158530 949502423 /nfs/dbraw/zinc/50/24/23/949502423.db2.gz UAWQRXHYAROUOS-OTYXRUKQSA-N -1 1 345.367 -0.329 20 0 EBADMM C[C@H](CNC(=O)Cn1cccn1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001574949647 949503473 /nfs/dbraw/zinc/50/34/73/949503473.db2.gz ZRIJSMFFHIJZOG-SNVBAGLBSA-N -1 1 342.367 -0.506 20 0 EBADMM C[C@H](CNC(=O)Cn1cccn1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001574949647 949503480 /nfs/dbraw/zinc/50/34/80/949503480.db2.gz ZRIJSMFFHIJZOG-SNVBAGLBSA-N -1 1 342.367 -0.506 20 0 EBADMM C[C@H](CNC(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)C1CC1 ZINC001574950187 949528328 /nfs/dbraw/zinc/52/83/28/949528328.db2.gz MIRYNYRLGMKRGM-MRVPVSSYSA-N -1 1 348.367 -0.526 20 0 EBADMM CC1(C(=O)N[C@@H]2CN(c3ccnc(-c4nn[n-]n4)n3)C[C@H]2O)CCC1 ZINC001570946501 949532414 /nfs/dbraw/zinc/53/24/14/949532414.db2.gz PRMRVCOIAPPTIR-NXEZZACHSA-N -1 1 344.379 -0.487 20 0 EBADMM COCC(=O)N1CCC[C@H]2[C@H]1CCN2c1ccc(-c2nnn[n-]2)nn1 ZINC001570946598 949537572 /nfs/dbraw/zinc/53/75/72/949537572.db2.gz QZJGHTBPJVRKIT-NWDGAFQWSA-N -1 1 344.379 -0.127 20 0 EBADMM COCC(=O)N1CCC[C@H]2[C@H]1CCN2c1ccc(-c2nn[n-]n2)nn1 ZINC001570946598 949537594 /nfs/dbraw/zinc/53/75/94/949537594.db2.gz QZJGHTBPJVRKIT-NWDGAFQWSA-N -1 1 344.379 -0.127 20 0 EBADMM CN(C(=O)[C@@H]1CCOC1)[C@@H]1CCN(c2cnc(-c3nnn[n-]3)cn2)C1 ZINC001570946933 949550910 /nfs/dbraw/zinc/55/09/10/949550910.db2.gz UASRWOROQGDOGF-GHMZBOCLSA-N -1 1 344.379 -0.270 20 0 EBADMM CN(C(=O)[C@@H]1CCOC1)[C@@H]1CCN(c2cnc(-c3nn[n-]n3)cn2)C1 ZINC001570946933 949550929 /nfs/dbraw/zinc/55/09/29/949550929.db2.gz UASRWOROQGDOGF-GHMZBOCLSA-N -1 1 344.379 -0.270 20 0 EBADMM O=C([C@@H]1COCCO1)N1CC[C@@H](Nc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001570947445 949573501 /nfs/dbraw/zinc/57/35/01/949573501.db2.gz VSJPJTURCACXBO-KOLCDFICSA-N -1 1 346.351 -0.915 20 0 EBADMM O=C([C@@H]1COCCO1)N1CC[C@@H](Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001570947445 949573518 /nfs/dbraw/zinc/57/35/18/949573518.db2.gz VSJPJTURCACXBO-KOLCDFICSA-N -1 1 346.351 -0.915 20 0 EBADMM O=C([C@H]1COCCO1)N1CC[C@H](Nc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001570947443 949573547 /nfs/dbraw/zinc/57/35/47/949573547.db2.gz VSJPJTURCACXBO-GXSJLCMTSA-N -1 1 346.351 -0.915 20 0 EBADMM O=C([C@H]1COCCO1)N1CC[C@H](Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001570947443 949573565 /nfs/dbraw/zinc/57/35/65/949573565.db2.gz VSJPJTURCACXBO-GXSJLCMTSA-N -1 1 346.351 -0.915 20 0 EBADMM Cn1c(=O)[nH]c(N[C@@H](CO)c2cccc(F)c2)c(-c2nn[n-]n2)c1=O ZINC001570948314 949602577 /nfs/dbraw/zinc/60/25/77/949602577.db2.gz KMIYKMBUHQFODX-VIFPVBQESA-N -1 1 347.310 -0.049 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1COC(=O)N1)Nc1nccnc1-c1nnn[n-]1 ZINC001574713575 949603209 /nfs/dbraw/zinc/60/32/09/949603209.db2.gz YDVBHEYIJIECTR-HTQZYQBOSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1COC(=O)N1)Nc1nccnc1-c1nn[n-]n1 ZINC001574713575 949603219 /nfs/dbraw/zinc/60/32/19/949603219.db2.gz YDVBHEYIJIECTR-HTQZYQBOSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@@H](Nc1ccnc(-c2nn[n-]n2)n1)[C@H](C)NC(=O)CCCC(N)=O ZINC001575273573 949667962 /nfs/dbraw/zinc/66/79/62/949667962.db2.gz WUWCDINQWFFIHZ-BDAKNGLRSA-N -1 1 347.383 -0.961 20 0 EBADMM C[C@@H](C(=O)Nc1nc(CC(N)=O)cs1)n1cnc(-c2nn[n-]n2)n1 ZINC001570950150 949670023 /nfs/dbraw/zinc/67/00/23/949670023.db2.gz WFPKTHXPPFEODK-YFKPBYRVSA-N -1 1 348.352 -0.858 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CCCC(=O)N1)Nc1nccnc1-c1nnn[n-]1 ZINC001574963723 949754565 /nfs/dbraw/zinc/75/45/65/949754565.db2.gz LPQCLHLIESFJNT-DTWKUNHWSA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CCCC(=O)N1)Nc1nccnc1-c1nn[n-]n1 ZINC001574963723 949754576 /nfs/dbraw/zinc/75/45/76/949754576.db2.gz LPQCLHLIESFJNT-DTWKUNHWSA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CCC(=O)N1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001574964672 949788068 /nfs/dbraw/zinc/78/80/68/949788068.db2.gz ZKBCZYXQBVZILC-WCBMZHEXSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CCC(=O)N1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001574964672 949788082 /nfs/dbraw/zinc/78/80/82/949788082.db2.gz ZKBCZYXQBVZILC-WCBMZHEXSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1C[C@@H]1C(F)F)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574965569 949802691 /nfs/dbraw/zinc/80/26/91/949802691.db2.gz HANMUHRDGBOADD-FXQIFTODSA-N -1 1 338.322 -0.104 20 0 EBADMM CC1(C(=O)N[C@H]2CCN(c3cncc(-c4nnn[n-]4)n3)C[C@H]2O)CC1 ZINC001575569704 949931133 /nfs/dbraw/zinc/93/11/33/949931133.db2.gz NIONIUWBZFUVHF-GXSJLCMTSA-N -1 1 344.379 -0.487 20 0 EBADMM CC1(C(=O)N[C@H]2CCN(c3cncc(-c4nn[n-]n4)n3)C[C@H]2O)CC1 ZINC001575569704 949931151 /nfs/dbraw/zinc/93/11/51/949931151.db2.gz NIONIUWBZFUVHF-GXSJLCMTSA-N -1 1 344.379 -0.487 20 0 EBADMM C[C@@H](CN(C)C(=O)Cc1cc[nH]n1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574728580 949996160 /nfs/dbraw/zinc/99/61/60/949996160.db2.gz DLNOFOXHWFELIC-VIFPVBQESA-N -1 1 342.367 -0.119 20 0 EBADMM C[C@H]1CCN(C(=O)CCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)CCO1 ZINC001576181786 950018206 /nfs/dbraw/zinc/01/82/06/950018206.db2.gz JPFOSBGEHKVBFH-VIFPVBQESA-N -1 1 349.351 -0.844 20 0 EBADMM CC(C)(C)CC(=O)NC[C@@H](O)CNc1cncc(-c2nnn[n-]2)n1 ZINC001573836214 950075496 /nfs/dbraw/zinc/07/54/96/950075496.db2.gz HADWETJXZKYOTB-VIFPVBQESA-N -1 1 334.384 -0.018 20 0 EBADMM CC(C)(C)CC(=O)NC[C@@H](O)CNc1cncc(-c2nn[n-]n2)n1 ZINC001573836214 950075520 /nfs/dbraw/zinc/07/55/20/950075520.db2.gz HADWETJXZKYOTB-VIFPVBQESA-N -1 1 334.384 -0.018 20 0 EBADMM CC(C)(C)CC(=O)NC[C@@H](O)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001573836748 950086754 /nfs/dbraw/zinc/08/67/54/950086754.db2.gz POKPJSPWVZUKCP-VIFPVBQESA-N -1 1 334.384 -0.018 20 0 EBADMM CC(C)(C)CC(=O)NC[C@@H](O)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001573836748 950086772 /nfs/dbraw/zinc/08/67/72/950086772.db2.gz POKPJSPWVZUKCP-VIFPVBQESA-N -1 1 334.384 -0.018 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1CCC(=O)N1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574732947 950087413 /nfs/dbraw/zinc/08/74/13/950087413.db2.gz NIYDWSLUSBLNOV-IUCAKERBSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1CCC(=O)N1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574732947 950087434 /nfs/dbraw/zinc/08/74/34/950087434.db2.gz NIYDWSLUSBLNOV-IUCAKERBSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@H](CN(C)C(=O)Cn1cccn1)Nc1nccnc1-c1nnn[n-]1 ZINC001574733036 950092598 /nfs/dbraw/zinc/09/25/98/950092598.db2.gz QKROBBPITXTSOW-JTQLQIEISA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@@H](CN(C)C(=O)Cn1cccn1)Nc1nccnc1-c1nn[n-]n1 ZINC001574733036 950092617 /nfs/dbraw/zinc/09/26/17/950092617.db2.gz QKROBBPITXTSOW-JTQLQIEISA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@]1(C(=O)NCC2(Nc3ccnc(-c4nn[n-]n4)n3)CC2)CCOC1 ZINC001575583225 950142321 /nfs/dbraw/zinc/14/23/21/950142321.db2.gz PPBDYVRHVWLKGQ-AWEZNQCLSA-N -1 1 344.379 -0.434 20 0 EBADMM CC(C)[C@@H](O)C(=O)N(C)C[C@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001574734945 950156171 /nfs/dbraw/zinc/15/61/71/950156171.db2.gz VQZLDWXKZWVUFJ-GXSJLCMTSA-N -1 1 334.384 -0.068 20 0 EBADMM CC(C)[C@@H](O)C(=O)N(C)C[C@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001574734945 950156184 /nfs/dbraw/zinc/15/61/84/950156184.db2.gz VQZLDWXKZWVUFJ-GXSJLCMTSA-N -1 1 334.384 -0.068 20 0 EBADMM C[C@@H](CNC(=O)c1cnc[nH]c1=O)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574983440 950217497 /nfs/dbraw/zinc/21/74/97/950217497.db2.gz NTFAEDFKNNUKTE-ZETCQYMHSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@H](CNC(=O)c1c[nH]c(=O)n1C)Nc1nccnc1-c1nnn[n-]1 ZINC001574984542 950274630 /nfs/dbraw/zinc/27/46/30/950274630.db2.gz YWKJRVWIBXYDJR-SSDOTTSWSA-N -1 1 344.339 -0.674 20 0 EBADMM C[C@H](CNC(=O)c1c[nH]c(=O)n1C)Nc1nccnc1-c1nn[n-]n1 ZINC001574984542 950274655 /nfs/dbraw/zinc/27/46/55/950274655.db2.gz YWKJRVWIBXYDJR-SSDOTTSWSA-N -1 1 344.339 -0.674 20 0 EBADMM C[C@@H](CNC(=O)c1c[nH]c(=O)n1C)Nc1nccnc1-c1nnn[n-]1 ZINC001574984544 950275090 /nfs/dbraw/zinc/27/50/90/950275090.db2.gz YWKJRVWIBXYDJR-ZETCQYMHSA-N -1 1 344.339 -0.674 20 0 EBADMM C[C@@H](CNC(=O)c1c[nH]c(=O)n1C)Nc1nccnc1-c1nn[n-]n1 ZINC001574984544 950275101 /nfs/dbraw/zinc/27/51/01/950275101.db2.gz YWKJRVWIBXYDJR-ZETCQYMHSA-N -1 1 344.339 -0.674 20 0 EBADMM C[C@H](CNC(=O)c1cnc[nH]c1=O)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574984599 950282823 /nfs/dbraw/zinc/28/28/23/950282823.db2.gz ZLXCTALCXRGAHC-SSDOTTSWSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@@H](CC(=O)NC[C@@H](O)CNc1ccc(-c2nnn[n-]2)nn1)C1CC1 ZINC001574499472 950303300 /nfs/dbraw/zinc/30/33/00/950303300.db2.gz WEPRYDFRXTXOKM-ONGXEEELSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](CC(=O)NC[C@@H](O)CNc1ccc(-c2nn[n-]n2)nn1)C1CC1 ZINC001574499472 950303321 /nfs/dbraw/zinc/30/33/21/950303321.db2.gz WEPRYDFRXTXOKM-ONGXEEELSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](CNC(=O)c1cc(Cl)no1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575002794 950666650 /nfs/dbraw/zinc/66/66/50/950666650.db2.gz XCAOHAZIWNHXLW-LURJTMIESA-N -1 1 349.742 -0.050 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cn[nH]c1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574760631 950672720 /nfs/dbraw/zinc/67/27/20/950672720.db2.gz KINKJDDEMGBFJN-QMMMGPOBSA-N -1 1 328.340 -0.048 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cn[nH]c1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574760631 950672736 /nfs/dbraw/zinc/67/27/36/950672736.db2.gz KINKJDDEMGBFJN-QMMMGPOBSA-N -1 1 328.340 -0.048 20 0 EBADMM C[C@H](CNC(=O)c1ccn[nH]1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575005444 950685305 /nfs/dbraw/zinc/68/53/05/950685305.db2.gz FHJRZHRKAMLYPB-MRVPVSSYSA-N -1 1 328.340 -0.366 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cn[nH]c1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574761173 950697914 /nfs/dbraw/zinc/69/79/14/950697914.db2.gz RWFQDXUMOSUBRL-QMMMGPOBSA-N -1 1 328.340 -0.626 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ccon1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574762475 950710658 /nfs/dbraw/zinc/71/06/58/950710658.db2.gz YCDKSZVLNFFPQC-QMMMGPOBSA-N -1 1 329.324 -0.361 20 0 EBADMM CC(C)CCC(=O)N[C@@H]1CN(c2nccnc2-c2nnn[n-]2)C[C@@H]1O ZINC001574184471 950785924 /nfs/dbraw/zinc/78/59/24/950785924.db2.gz LGNDTZMFHQTFCE-MNOVXSKESA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)CCC(=O)N[C@@H]1CN(c2nccnc2-c2nn[n-]n2)C[C@@H]1O ZINC001574184471 950785944 /nfs/dbraw/zinc/78/59/44/950785944.db2.gz LGNDTZMFHQTFCE-MNOVXSKESA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ncccn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574765399 950786634 /nfs/dbraw/zinc/78/66/34/950786634.db2.gz HUGUDUNMJVGXPY-VIFPVBQESA-N -1 1 340.351 -0.559 20 0 EBADMM CC(C)(/C=C/Cl)NC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001573893772 950906013 /nfs/dbraw/zinc/90/60/13/950906013.db2.gz VOXZGWBBPPZJTJ-ONEGZZNKSA-N -1 1 339.743 -0.224 20 0 EBADMM CCOC(=O)C[C@H](O)CN1CCC(N2C[C@@H](C(=O)[O-])CC2=O)CC1 ZINC001590377723 950936838 /nfs/dbraw/zinc/93/68/38/950936838.db2.gz ODUHBSIKWOKNAR-AAEUAGOBSA-N -1 1 342.392 -0.302 20 0 EBADMM CCOC(=O)N1C[C@@H](CO)[C@H](NCc2cc(C(=O)[O-])nn2C)C1 ZINC001590873387 951005146 /nfs/dbraw/zinc/00/51/46/951005146.db2.gz KUMUBAKGDYFBQV-JOYOIKCWSA-N -1 1 326.353 -0.343 20 0 EBADMM C[C@@H](CNC(=O)c1cccnc1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575032306 951224001 /nfs/dbraw/zinc/22/40/01/951224001.db2.gz WPWGZZAFTCRGCQ-VIFPVBQESA-N -1 1 325.336 -0.296 20 0 EBADMM C[C@@H](CNC(=O)c1ccncn1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001575033781 951228780 /nfs/dbraw/zinc/22/87/80/951228780.db2.gz ATEWZPJABWKZDZ-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@@H](CNC(=O)c1ccncn1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575033781 951228791 /nfs/dbraw/zinc/22/87/91/951228791.db2.gz ATEWZPJABWKZDZ-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@@H](CNC(=O)c1ccnnc1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575033925 951232938 /nfs/dbraw/zinc/23/29/38/951232938.db2.gz DXSRCLKTRCVLRA-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1ccnnc1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575033925 951232947 /nfs/dbraw/zinc/23/29/47/951232947.db2.gz DXSRCLKTRCVLRA-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1ccn(C)n1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575034401 951247834 /nfs/dbraw/zinc/24/78/34/951247834.db2.gz BEJLPNWERAMCFY-SECBINFHSA-N -1 1 342.367 -0.710 20 0 EBADMM C[C@H](CNC(=O)c1ccncn1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575034601 951249581 /nfs/dbraw/zinc/24/95/81/951249581.db2.gz OJEPOSXZPLZQBZ-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@H](CNC(=O)c1ccncn1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575034601 951249593 /nfs/dbraw/zinc/24/95/93/951249593.db2.gz OJEPOSXZPLZQBZ-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1ccn(C)n1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001575035032 951264568 /nfs/dbraw/zinc/26/45/68/951264568.db2.gz FVAHNLAMCIDVAA-SECBINFHSA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@@H](CNC(=O)c1ccn(C)n1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575035032 951264581 /nfs/dbraw/zinc/26/45/81/951264581.db2.gz FVAHNLAMCIDVAA-SECBINFHSA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)nn1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575041934 951351983 /nfs/dbraw/zinc/35/19/83/951351983.db2.gz CZQFVZGPXYXMHH-ZETCQYMHSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)nn1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575041934 951351990 /nfs/dbraw/zinc/35/19/90/951351990.db2.gz CZQFVZGPXYXMHH-ZETCQYMHSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)nn1)Nc1nccnc1-c1nnn[n-]1 ZINC001575042162 951354558 /nfs/dbraw/zinc/35/45/58/951354558.db2.gz GQRJXIONSBQFRQ-SSDOTTSWSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)nn1)Nc1nccnc1-c1nn[n-]n1 ZINC001575042162 951354573 /nfs/dbraw/zinc/35/45/73/951354573.db2.gz GQRJXIONSBQFRQ-SSDOTTSWSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)cn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575042745 951369265 /nfs/dbraw/zinc/36/92/65/951369265.db2.gz MUTWCZLHOBTJKQ-MRVPVSSYSA-N -1 1 328.340 -0.958 20 0 EBADMM C[C@@H](CNC(=O)c1cn[nH]c(=O)c1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575043393 951388936 /nfs/dbraw/zinc/38/89/36/951388936.db2.gz IGXZBHXSVBRUGJ-ZETCQYMHSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@@H](CNC(=O)c1cn[nH]c(=O)c1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575043393 951388940 /nfs/dbraw/zinc/38/89/40/951388940.db2.gz IGXZBHXSVBRUGJ-ZETCQYMHSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@@H](CNC(=O)c1cn[nH]c1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001575043852 951402832 /nfs/dbraw/zinc/40/28/32/951402832.db2.gz MORXBGZVPSGFQI-MRVPVSSYSA-N -1 1 328.340 -0.142 20 0 EBADMM C[C@@H](CNC(=O)c1cn[nH]c1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575043852 951402839 /nfs/dbraw/zinc/40/28/39/951402839.db2.gz MORXBGZVPSGFQI-MRVPVSSYSA-N -1 1 328.340 -0.142 20 0 EBADMM C[C@H](CNC(=O)c1cn[nH]c1)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001575044306 951413215 /nfs/dbraw/zinc/41/32/15/951413215.db2.gz REDQHXDHNXEMGC-QMMMGPOBSA-N -1 1 328.340 -0.142 20 0 EBADMM C[C@H](CNC(=O)c1cn[nH]c1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001575044306 951413222 /nfs/dbraw/zinc/41/32/22/951413222.db2.gz REDQHXDHNXEMGC-QMMMGPOBSA-N -1 1 328.340 -0.142 20 0 EBADMM C[C@H](CNC(=O)c1cnccn1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575045420 951428451 /nfs/dbraw/zinc/42/84/51/951428451.db2.gz CBWGJJCUBAWMJV-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1cnccn1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575045420 951428454 /nfs/dbraw/zinc/42/84/54/951428454.db2.gz CBWGJJCUBAWMJV-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)c1N)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575052840 951471743 /nfs/dbraw/zinc/47/17/43/951471743.db2.gz PAHXABQEIKZVTR-ZETCQYMHSA-N -1 1 343.355 -0.797 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)c1N)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575052840 951471751 /nfs/dbraw/zinc/47/17/51/951471751.db2.gz PAHXABQEIKZVTR-ZETCQYMHSA-N -1 1 343.355 -0.797 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)c1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001575053641 951480603 /nfs/dbraw/zinc/48/06/03/951480603.db2.gz SQSZQGJXEXEJCZ-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)c1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575053641 951480610 /nfs/dbraw/zinc/48/06/10/951480610.db2.gz SQSZQGJXEXEJCZ-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)n1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575054143 951485853 /nfs/dbraw/zinc/48/58/53/951485853.db2.gz WLSQCUAKNSYEIM-SSDOTTSWSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)n1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575054143 951485862 /nfs/dbraw/zinc/48/58/62/951485862.db2.gz WLSQCUAKNSYEIM-SSDOTTSWSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)n1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001575054619 951493479 /nfs/dbraw/zinc/49/34/79/951493479.db2.gz ZDTLKBMBOQRAIY-MRVPVSSYSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)n1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575054619 951493485 /nfs/dbraw/zinc/49/34/85/951493485.db2.gz ZDTLKBMBOQRAIY-MRVPVSSYSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@@H](CNC(=O)c1cnon1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575055061 951500252 /nfs/dbraw/zinc/50/02/52/951500252.db2.gz MWTRFZQIHKVKNN-ZETCQYMHSA-N -1 1 330.312 -0.706 20 0 EBADMM C[C@@H](CNC(=O)c1cnon1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575055061 951500262 /nfs/dbraw/zinc/50/02/62/951500262.db2.gz MWTRFZQIHKVKNN-ZETCQYMHSA-N -1 1 330.312 -0.706 20 0 EBADMM C[C@H](CNC(=O)c1n[nH]cc1F)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575061240 951555312 /nfs/dbraw/zinc/55/53/12/951555312.db2.gz LYQKRFUMCSKCBG-SSDOTTSWSA-N -1 1 346.330 -0.226 20 0 EBADMM C[C@H](CNC(=O)c1n[nH]cc1F)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575061240 951555322 /nfs/dbraw/zinc/55/53/22/951555322.db2.gz LYQKRFUMCSKCBG-SSDOTTSWSA-N -1 1 346.330 -0.226 20 0 EBADMM C[C@H](CNC(=O)c1csnn1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575061944 951563010 /nfs/dbraw/zinc/56/30/10/951563010.db2.gz RPDBBERFOVJXHW-SSDOTTSWSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@H](CNC(=O)c1n[nH]cc1F)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575062820 951571435 /nfs/dbraw/zinc/57/14/35/951571435.db2.gz ZABJYTLXHVSUFW-SSDOTTSWSA-N -1 1 346.330 -0.226 20 0 EBADMM C[C@@H](CNC(=O)c1ncccn1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575067220 951606437 /nfs/dbraw/zinc/60/64/37/951606437.db2.gz KNGFYFLYTQHHCF-SECBINFHSA-N -1 1 340.351 -0.653 20 0 EBADMM O=C([O-])CNCc1cn(CCn2cnc(Br)n2)nn1 ZINC001595102585 951862287 /nfs/dbraw/zinc/86/22/87/951862287.db2.gz LLLSNCWMPNBKPU-UHFFFAOYSA-N -1 1 330.146 -0.494 20 0 EBADMM O=C([O-])COCCNC(=O)N1CCC(CN2CCOCC2)CC1 ZINC001595113558 951926753 /nfs/dbraw/zinc/92/67/53/951926753.db2.gz GFIIHLZPYQZUPC-UHFFFAOYSA-N -1 1 329.397 -0.159 20 0 EBADMM C[C@@H](CNc1[nH]c(=O)n(C)c(=O)c1-c1nn[n-]n1)c1nncn1C ZINC001575117018 951975448 /nfs/dbraw/zinc/97/54/48/951975448.db2.gz KGCRIKMBEVACFL-LURJTMIESA-N -1 1 332.328 -0.990 20 0 EBADMM C[C@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)[C@H]1CCC(=O)N1 ZINC001575132591 952096141 /nfs/dbraw/zinc/09/61/41/952096141.db2.gz HARRAACEPDJUBM-PSASIEDQSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)[C@H]1CCC(=O)N1 ZINC001575132591 952096149 /nfs/dbraw/zinc/09/61/49/952096149.db2.gz HARRAACEPDJUBM-PSASIEDQSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)c1cn(C)cn1 ZINC001575136367 952124119 /nfs/dbraw/zinc/12/41/19/952124119.db2.gz OWMSEJOSEUVSKS-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)c1cn(C)cn1 ZINC001575136367 952124126 /nfs/dbraw/zinc/12/41/26/952124126.db2.gz OWMSEJOSEUVSKS-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1cn(C)cn1 ZINC001575143494 952153519 /nfs/dbraw/zinc/15/35/19/952153519.db2.gz AUOXJGBHQFVDQX-SECBINFHSA-N -1 1 342.367 -0.615 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1ncccn1 ZINC001575143730 952155807 /nfs/dbraw/zinc/15/58/07/952155807.db2.gz CIMGWFFWAYHIDA-VIFPVBQESA-N -1 1 340.351 -0.559 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)[C@H]1CCCO1 ZINC001575143781 952158234 /nfs/dbraw/zinc/15/82/34/952158234.db2.gz RSTXABLBOSZWSY-NXEZZACHSA-N -1 1 332.368 -0.484 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1ccc[nH]1 ZINC001575145521 952185540 /nfs/dbraw/zinc/18/55/40/952185540.db2.gz ZDKSZGFJDOGHPE-SECBINFHSA-N -1 1 327.352 -0.021 20 0 EBADMM C[C@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)[C@@H]1CCC(=O)N1 ZINC001575153153 952207175 /nfs/dbraw/zinc/20/71/75/952207175.db2.gz DFTOJZIOBJBNOL-BDAKNGLRSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)[C@@H]1CCC(=O)N1 ZINC001575153153 952207181 /nfs/dbraw/zinc/20/71/81/952207181.db2.gz DFTOJZIOBJBNOL-BDAKNGLRSA-N -1 1 345.367 -0.806 20 0 EBADMM O=C([O-])[C@@H]1CCCN1S(=O)(=O)N[C@H]1CCCN(CCCO)C1 ZINC001595169083 952217390 /nfs/dbraw/zinc/21/73/90/952217390.db2.gz HQQKXECSYAZEGA-RYUDHWBXSA-N -1 1 335.426 -0.783 20 0 EBADMM C[C@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)C1=COCCO1 ZINC001575154551 952224920 /nfs/dbraw/zinc/22/49/20/952224920.db2.gz SFYQQVRRLIIKTH-SECBINFHSA-N -1 1 346.351 -0.196 20 0 EBADMM C[C@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)C1=COCCO1 ZINC001575154551 952224927 /nfs/dbraw/zinc/22/49/27/952224927.db2.gz SFYQQVRRLIIKTH-SECBINFHSA-N -1 1 346.351 -0.196 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)[C@@H]1COC(=O)N1 ZINC001575174049 952364473 /nfs/dbraw/zinc/36/44/73/952364473.db2.gz FYXRUSKRGHMNNL-YUMQZZPRSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)[C@@H]1COC(=O)N1 ZINC001575174049 952364478 /nfs/dbraw/zinc/36/44/78/952364478.db2.gz FYXRUSKRGHMNNL-YUMQZZPRSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)c1ccn(C)n1 ZINC001575180148 952415567 /nfs/dbraw/zinc/41/55/67/952415567.db2.gz SCEZLDJXHJILJH-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)c1ccn(C)n1 ZINC001575180148 952415568 /nfs/dbraw/zinc/41/55/68/952415568.db2.gz SCEZLDJXHJILJH-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CS(=O)(=O)NCCn1cnc(-c2nn[n-]n2)n1)C1CC1 ZINC001575185003 952426353 /nfs/dbraw/zinc/42/63/53/952426353.db2.gz JEZRDVUEJFPJOB-MRVPVSSYSA-N -1 1 326.386 -0.576 20 0 EBADMM O=C(NCCCCN1CCOCC1)C(=O)N[C@H]1C[C@H](C(=O)[O-])C1 ZINC001594757149 953472692 /nfs/dbraw/zinc/47/26/92/953472692.db2.gz IXBGMIPPQHYFCG-HAQNSBGRSA-N -1 1 327.381 -0.806 20 0 EBADMM CN(C)S(=O)(=O)c1ccc(C(=O)Nc2ncn(CC(=O)[O-])n2)o1 ZINC001593707665 954154254 /nfs/dbraw/zinc/15/42/54/954154254.db2.gz ZFZVMKVEQJAQCI-UHFFFAOYSA-N -1 1 343.321 -0.542 20 0 EBADMM CN(CC(=O)Nc1cnn(CC(=O)[O-])c1)c1ncnc2[nH]cnc21 ZINC001593718509 954233283 /nfs/dbraw/zinc/23/32/83/954233283.db2.gz ALDSIPMFEJDZTH-UHFFFAOYSA-N -1 1 330.308 -0.291 20 0 EBADMM CN1C[C@H](O)C[C@]1(C)C(=O)NC[C@@H]1CCC2(CC(C(=O)[O-])C2)O1 ZINC001593773353 954560202 /nfs/dbraw/zinc/56/02/02/954560202.db2.gz CVVFUMSWJQNYBL-DRIQLJMLSA-N -1 1 326.393 -0.030 20 0 EBADMM O=C([O-])[C@H]1CN(C(=O)NCc2n[nH]c(-c3ccncc3)n2)CCO1 ZINC001594947680 954928218 /nfs/dbraw/zinc/92/82/18/954928218.db2.gz LDKUBJOTOBVOON-SNVBAGLBSA-N -1 1 332.320 -0.138 20 0 EBADMM Cn1cc([C@H]2C[C@@H](C(=O)[O-])CN(CC(=O)N3CCNC3=O)C2)cn1 ZINC001594540796 955642233 /nfs/dbraw/zinc/64/22/33/955642233.db2.gz ULNJFOWHNQGBSE-WDEREUQCSA-N -1 1 335.364 -0.538 20 0 EBADMM C[C@@H](CNC(=O)N[C@@H]1CCN(CCN2CCOCC2)C1)C(=O)[O-] ZINC001589051731 955668323 /nfs/dbraw/zinc/66/83/23/955668323.db2.gz ICABOMWGYNNCEJ-QWHCGFSZSA-N -1 1 328.413 -0.587 20 0 EBADMM CO[C@@](C)(C(=O)[O-])C(=O)N1CCN([C@@H]2CCNC(=O)CC2)CC1 ZINC001593922314 956092987 /nfs/dbraw/zinc/09/29/87/956092987.db2.gz KTRRNYPYUNCEIS-XHDPSFHLSA-N -1 1 327.381 -0.711 20 0 EBADMM CO[C@@](C)(C(=O)[O-])C(=O)N1CCN([C@H]2CCNC(=O)CC2)CC1 ZINC001593922312 956093883 /nfs/dbraw/zinc/09/38/83/956093883.db2.gz KTRRNYPYUNCEIS-IAQYHMDHSA-N -1 1 327.381 -0.711 20 0 EBADMM COC[C@@]1(C)NC(=O)N(CN2CC[C@](COC)(C(=O)[O-])C2)C1=O ZINC001593966853 956559390 /nfs/dbraw/zinc/55/93/90/956559390.db2.gz OFBLRRUBVNUOSW-KGLIPLIRSA-N -1 1 329.353 -0.676 20 0 EBADMM COC[C@@]1(C(=O)[O-])CCN(CN2C(=O)N[C@@](C)(COC)C2=O)C1 ZINC001593966854 956559547 /nfs/dbraw/zinc/55/95/47/956559547.db2.gz OFBLRRUBVNUOSW-UONOGXRCSA-N -1 1 329.353 -0.676 20 0 EBADMM COCCO[C@H]1C[C@@H](CO)CN(Cc2c(C(=O)[O-])cnn2C)C1 ZINC001594019298 957071179 /nfs/dbraw/zinc/07/11/79/957071179.db2.gz YVSFHGQXTRMQBF-NEPJUHHUSA-N -1 1 327.381 -0.036 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CN1C(=O)c2ccccc2C1=O ZINC000017379359 957101280 /nfs/dbraw/zinc/10/12/80/957101280.db2.gz GVDNQGCSMYHPHF-LBPRGKRZSA-N -1 1 342.311 -0.182 20 0 EBADMM CN1CCN(CCNS(=O)(=O)c2cc(C(=O)[O-])cs2)CC1 ZINC000037497095 957305579 /nfs/dbraw/zinc/30/55/79/957305579.db2.gz JLSUBPIOCWLMRO-UHFFFAOYSA-N -1 1 333.435 -0.028 20 0 EBADMM C[C@H](NC(=O)N1C[C@@H]2COC[C@]2(C(=O)[O-])C1)[C@H]1CN(C)CCN1C ZINC001603227393 972345965 /nfs/dbraw/zinc/34/59/65/972345965.db2.gz NLTANUWXBSHZLA-VPWBDBDCSA-N -1 1 340.424 -0.637 20 0 EBADMM CN1CCN(CCNS(=O)(=O)c2scnc2C(=O)[O-])CC1 ZINC000134684573 958850441 /nfs/dbraw/zinc/85/04/41/958850441.db2.gz PCMYHRXEFCSWQH-UHFFFAOYSA-N -1 1 334.423 -0.633 20 0 EBADMM Cc1[nH+]c2c(n1CCO)CC[C@H](NC(=O)c1cc(C(=O)[O-])n[n-]1)C2 ZINC001594464025 959488211 /nfs/dbraw/zinc/48/82/11/959488211.db2.gz YBIQCOSPUYCQKM-VIFPVBQESA-N -1 1 333.348 -0.108 20 0 EBADMM C[C@@H]1CN(C(=O)NCCOCCOCC(=O)[O-])[C@H](C)CN1CCO ZINC001573750129 960551435 /nfs/dbraw/zinc/55/14/35/960551435.db2.gz VKOHNDJWTUITBJ-CHWSQXEVSA-N -1 1 347.412 -0.799 20 0 EBADMM CN1CC[C@@H](N2CCC(NC(=O)c3cc(C(=O)[O-])n[nH]3)CC2)C1=O ZINC001574022172 961971121 /nfs/dbraw/zinc/97/11/21/961971121.db2.gz INVOHJFDQMBQME-GFCCVEGCSA-N -1 1 335.364 -0.467 20 0 EBADMM O=C([O-])C1(CNC(=O)NCCN2CCC(O)CC2)CCOCC1 ZINC001574570594 962052914 /nfs/dbraw/zinc/05/29/14/962052914.db2.gz AUEWPQCWEBLKLH-UHFFFAOYSA-N -1 1 329.397 -0.376 20 0 EBADMM C[C@@H]1SC[C@H](C(=O)NCCC(=O)[O-])N1C(=O)Cc1c[nH]cn1 ZINC001571172726 962061990 /nfs/dbraw/zinc/06/19/90/962061990.db2.gz FROOMWFOVUQDPB-WCBMZHEXSA-N -1 1 326.378 -0.167 20 0 EBADMM CN(C)S(=O)(=O)c1ccc(O)c(C(=O)N2CC(C(=O)[O-])C2)c1 ZINC001571179149 962131844 /nfs/dbraw/zinc/13/18/44/962131844.db2.gz HHHYUAFYZOOBEW-UHFFFAOYSA-N -1 1 328.346 -0.201 20 0 EBADMM C[C@H]1C[C@@H](Nc2ccnc(C(=O)[O-])n2)CN1C(=O)Cc1ccn[nH]1 ZINC001571193676 962294100 /nfs/dbraw/zinc/29/41/00/962294100.db2.gz YICDUOZLBKDOHP-GXSJLCMTSA-N -1 1 330.348 -0.036 20 0 EBADMM CC(C)(C)NS(=O)(=O)CC(=O)N[C@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001571215043 962493215 /nfs/dbraw/zinc/49/32/15/962493215.db2.gz WNOQIYCEAKWLNC-SECBINFHSA-N -1 1 332.382 -0.761 20 0 EBADMM O=C([O-])[C@@H]1C[C@H]1C(=O)N[C@H]1C[C@H](NCc2nnc3n2CCOC3)C1 ZINC001571223347 962559545 /nfs/dbraw/zinc/55/95/45/962559545.db2.gz GIDSELPGESLRBM-GWOFURMSSA-N -1 1 335.364 -0.734 20 0 EBADMM COCC[N@H+]1CC[C@H](NC(=O)N2CCO[C@](COC)(C(=O)[O-])C2)C1 ZINC001574163503 962711687 /nfs/dbraw/zinc/71/16/87/962711687.db2.gz SOOZTTXGANDXHK-SWLSCSKDSA-N -1 1 345.396 -0.781 20 0 EBADMM COCCN1CC[C@H](NC(=O)N2CCO[C@](COC)(C(=O)[O-])C2)C1 ZINC001574163503 962711703 /nfs/dbraw/zinc/71/17/03/962711703.db2.gz SOOZTTXGANDXHK-SWLSCSKDSA-N -1 1 345.396 -0.781 20 0 EBADMM O=C([O-])CN1CCC[C@@H](C(=O)N2CCC[C@H](c3nc[nH]n3)C2)C1=O ZINC001574720296 962765707 /nfs/dbraw/zinc/76/57/07/962765707.db2.gz WVVUHMHIEOCRPR-QWRGUYRKSA-N -1 1 335.364 -0.166 20 0 EBADMM CC(=O)N[C@H]1CCCN(C(=O)CNC2(C(=O)[O-])CCOCC2)C1 ZINC001573427614 964045084 /nfs/dbraw/zinc/04/50/84/964045084.db2.gz CMLDFZFZEHRNKV-LBPRGKRZSA-N -1 1 327.381 -0.663 20 0 EBADMM O=C([O-])[C@@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1C[C@@H]1CNC(=O)C1 ZINC001606555105 970337181 /nfs/dbraw/zinc/33/71/81/970337181.db2.gz FJYJYHNLKGRLHU-NRPADANISA-N -1 1 337.298 -0.281 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2CCN([C@H]3CCNC(=O)CC3)CC2)CCCO1 ZINC001606560308 970350044 /nfs/dbraw/zinc/35/00/44/970350044.db2.gz SGRQWNKCHGZKHB-MLGOLLRUSA-N -1 1 339.392 -0.567 20 0 EBADMM CS(=O)(=O)N1CCC[C@H](C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])C1 ZINC000320733345 970708280 /nfs/dbraw/zinc/70/82/80/970708280.db2.gz XQZYCSFRPWNYMU-ONGXEEELSA-N -1 1 344.393 -0.807 20 0 EBADMM C[C@@H]1C[C@@]1(C(=O)[O-])C(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC001605020591 972626265 /nfs/dbraw/zinc/62/62/65/972626265.db2.gz IILGFJYDCFFGFZ-WWGRRREGSA-N -1 1 325.409 -0.380 20 0 EBADMM O=C([O-])C1(C(=O)N[C@H]2CCCN(CCN3CCOCC3)C2)CC1 ZINC001605923190 972819539 /nfs/dbraw/zinc/81/95/39/972819539.db2.gz WOMFFSWIQBBTEM-ZDUSSCGKSA-N -1 1 325.409 -0.236 20 0 EBADMM Cc1cc(C[C@@H](C)NC(=O)C(=O)Nc2ccn(CC(=O)[O-])n2)n[nH]1 ZINC001605165161 972860312 /nfs/dbraw/zinc/86/03/12/972860312.db2.gz AMRQLLSCMDMEFE-MRVPVSSYSA-N -1 1 334.336 -0.315 20 0 EBADMM Cc1cc(NC(=O)C(=O)NCCN2CC[C@H](O)C2)ccc1C(=O)[O-] ZINC001605192041 972909087 /nfs/dbraw/zinc/90/90/87/972909087.db2.gz HWPNJJNMYZHICY-LBPRGKRZSA-N -1 1 335.360 -0.185 20 0 EBADMM C[C@H]1CN(CCCNC(=O)c2cn(CC(=O)[O-])nn2)C[C@H](C)O1 ZINC001603460712 973292526 /nfs/dbraw/zinc/29/25/26/973292526.db2.gz HVZHTQSTLBCEJZ-QWRGUYRKSA-N -1 1 325.369 -0.408 20 0 EBADMM COC[C@@]1(C(=O)[O-])CN(C(=O)CN(C)[C@H]2CCSC2)CCO1 ZINC001604551419 973572103 /nfs/dbraw/zinc/57/21/03/973572103.db2.gz REPJKHPQXQAJNW-SMDDNHRTSA-N -1 1 332.422 -0.248 20 0 EBADMM COC[C@@]1(C(=O)[O-])CN(C(=O)c2cn[nH]c2-c2cnn(C)c2)CCO1 ZINC001604557020 973591750 /nfs/dbraw/zinc/59/17/50/973591750.db2.gz JWWZWNOYYSXJPD-OAHLLOKOSA-N -1 1 349.347 -0.248 20 0 EBADMM COCCN(CCC(=O)[O-])[C@H](C)C(=O)N1CCN(C(C)=O)CC1 ZINC001604604717 973713288 /nfs/dbraw/zinc/71/32/88/973713288.db2.gz JRCAQQKRDMCXDD-GFCCVEGCSA-N -1 1 329.397 -0.511 20 0 EBADMM O=C([O-])Cn1cccc(C(=O)N2CCC[C@H](c3nn[nH]n3)C2)c1=O ZINC001606350837 973795759 /nfs/dbraw/zinc/79/57/59/973795759.db2.gz XPJVJIFZBZOLFW-VIFPVBQESA-N -1 1 332.320 -0.534 20 0 EBADMM Nc1cn[nH]c1[C@@H]1CCN(C(=O)c2cccn(CC(=O)[O-])c2=O)C1 ZINC001605655439 974045026 /nfs/dbraw/zinc/04/50/26/974045026.db2.gz MOFVMIQOALWSSP-SECBINFHSA-N -1 1 331.332 -0.132 20 0 EBADMM CC(C)[C@H](NC(=O)CN1C[C@]2(C)C(=O)NC(=O)[C@]2(C)C1)C(=O)[O-] ZINC001591867102 976180171 /nfs/dbraw/zinc/18/01/71/976180171.db2.gz PJCTZGSPJBHXJO-NZVBXONLSA-N -1 1 325.365 -0.804 20 0 EBADMM CC(C)n1ccc(NC(=O)C(=O)N2CCN(C)C[C@H](C(=O)[O-])C2)n1 ZINC001592137908 976980688 /nfs/dbraw/zinc/98/06/88/976980688.db2.gz IMXQSUHRJHXMEW-NSHDSACASA-N -1 1 337.380 -0.123 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2ccc(-c3nn[nH]n3)cn2)CC1 ZINC001592415985 978016661 /nfs/dbraw/zinc/01/66/61/978016661.db2.gz WRDKSWYPJHEGOT-JTQLQIEISA-N -1 1 345.363 -0.117 20 0 EBADMM C[C@H](CCN1CCN(C)CC1)NS(=O)(=O)c1n[nH]cc1C(=O)[O-] ZINC001592513863 978351972 /nfs/dbraw/zinc/35/19/72/978351972.db2.gz LZYXPCINPXTJNM-SNVBAGLBSA-N -1 1 345.425 -0.588 20 0 EBADMM C[C@H](CN1CCN(C)CC1)NS(=O)(=O)c1cc(C(=O)[O-])co1 ZINC001592616637 978580695 /nfs/dbraw/zinc/58/06/95/978580695.db2.gz XJQGSTRZKUQODB-SNVBAGLBSA-N -1 1 331.394 -0.108 20 0 EBADMM C[C@H](CN1CCN(C)CC1)NC(=O)NCC1(C(=O)[O-])CCOCC1 ZINC001592616712 978580768 /nfs/dbraw/zinc/58/07/68/978580768.db2.gz YLQNXANCDADSEH-CYBMUJFWSA-N -1 1 342.440 -0.197 20 0 EBADMM C[C@H](CN1CCOCC1)C(=O)N[C@@H](CCC1OCCO1)C(=O)[O-] ZINC001592624367 978597598 /nfs/dbraw/zinc/59/75/98/978597598.db2.gz NYDWAIXAQSYZMQ-NEPJUHHUSA-N -1 1 330.381 -0.323 20 0 EBADMM C[C@H](CNC(=O)CN1CCC[C@@H](C(=O)[O-])C1=O)N1CCN(C)CC1 ZINC001592651360 978632954 /nfs/dbraw/zinc/63/29/54/978632954.db2.gz WLWHNYXBVOKHKW-CHWSQXEVSA-N -1 1 340.424 -0.938 20 0 EBADMM C[C@@H](CNS(=O)(=O)CC1(C(=O)[O-])CC1)CN1CCN(C)CC1 ZINC001592713136 979211210 /nfs/dbraw/zinc/21/12/10/979211210.db2.gz ZZMYRYWIPSBGIW-LBPRGKRZSA-N -1 1 333.454 -0.346 20 0 EBADMM C[C@@H](Cc1ccc(NC(=O)C(=O)NC[C@H]2COCCN2)cc1)C(=O)[O-] ZINC001592852826 979638181 /nfs/dbraw/zinc/63/81/81/979638181.db2.gz FTDOSKYEYOLZJL-FZMZJTMJSA-N -1 1 349.387 -0.007 20 0 EBADMM CCC/C=C\[C@@H](O)C(=O)N(CCN1CCN(C)CC1)CC(=O)[O-] ZINC001595625851 981753371 /nfs/dbraw/zinc/75/33/71/981753371.db2.gz JHRMVCVMEVHYMO-GEXIGZQTSA-N -1 1 327.425 -0.136 20 0 EBADMM CC[C@H](C)[C@H](NC(=O)CN1CCN(C(=O)CN(C)C)CC1)C(=O)[O-] ZINC001594894263 982317457 /nfs/dbraw/zinc/31/74/57/982317457.db2.gz OAIRNGDPVLUOJB-WFASDCNBSA-N -1 1 342.440 -0.692 20 0 EBADMM CCN(C(=O)C(=O)N1C[C@@H](C)O[C@H](C(=O)[O-])C1)[C@H]1CCN(C)C1 ZINC001596128179 983471839 /nfs/dbraw/zinc/47/18/39/983471839.db2.gz KQYFBEOIJZCUAY-WOPDTQHZSA-N -1 1 327.381 -0.761 20 0 EBADMM CCN(CC)S(=O)(=O)N(CCN1CCN(C)CC1)CC(=O)[O-] ZINC001596282844 983702172 /nfs/dbraw/zinc/70/21/72/983702172.db2.gz AEWQHUYVGFBBNM-UHFFFAOYSA-N -1 1 336.458 -0.793 20 0 EBADMM CCOC(=O)[C@H](CO)NS(=O)(=O)CC1(C(=O)[O-])CCCCC1 ZINC001596535906 984372640 /nfs/dbraw/zinc/37/26/40/984372640.db2.gz XLOVQTCQZZYVDO-JTQLQIEISA-N -1 1 337.394 -0.135 20 0 EBADMM C[C@H](NC(=O)[C@H](C)NC(=O)C(C)(C)NC(=O)C(F)(F)F)C(=O)[O-] ZINC001599895507 984748197 /nfs/dbraw/zinc/74/81/97/984748197.db2.gz VDHAWJHPBPLZMP-WDSKDSINSA-N -1 1 341.286 -0.463 20 0 EBADMM CCOC(=O)c1c[nH]c(NC(=O)CN2CCC[C@@H](C(=O)[O-])C2=O)n1 ZINC001596740115 985043076 /nfs/dbraw/zinc/04/30/76/985043076.db2.gz SNWCDSONTLYMSI-MRVPVSSYSA-N -1 1 338.320 -0.152 20 0 EBADMM CC(=O)N[C@@H]1CCCN(C(=O)CN[C@H](C(=O)[O-])C2CCOCC2)C1 ZINC001589120110 985384933 /nfs/dbraw/zinc/38/49/33/985384933.db2.gz QHXSDVPIHRGPCJ-HIFRSBDPSA-N -1 1 341.408 -0.417 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NCC[C@@]1(O)CCOC1 ZINC001594516669 985911294 /nfs/dbraw/zinc/91/12/94/985911294.db2.gz QHUADUGKPAURBM-IUODEOHRSA-N -1 1 329.397 -0.282 20 0 EBADMM CCO[C@H]1C[C@H](NC(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)C1 ZINC001596986535 986251223 /nfs/dbraw/zinc/25/12/23/986251223.db2.gz WGYXKBQCQLIQGM-HAQNSBGRSA-N -1 1 341.408 -0.323 20 0 EBADMM C[C@@H](C(=O)N1CCC(C(N)=O)CC1)N1CCC([C@@H](O)C(=O)[O-])CC1 ZINC001589352295 986322414 /nfs/dbraw/zinc/32/24/14/986322414.db2.gz JFNPQUBLLJAQFH-GXFFZTMASA-N -1 1 341.408 -0.744 20 0 EBADMM C[C@@H](C(=O)NCC(=O)[O-])N1CC[C@H](C(=O)NCC(F)(F)F)C1 ZINC001589397970 986544920 /nfs/dbraw/zinc/54/49/20/986544920.db2.gz XSZGTGZJRWNULH-YUMQZZPRSA-N -1 1 325.287 -0.424 20 0 EBADMM C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CC[C@H](C(=O)[O-])[C@@H]1C ZINC001589553847 987294918 /nfs/dbraw/zinc/29/49/18/987294918.db2.gz SYBSOKSBNGFNJV-YIZRAAEISA-N -1 1 338.364 -0.968 20 0 EBADMM CC(C)(C(=O)[O-])c1csc(NC(=O)C(=O)NCc2c[nH]nn2)n1 ZINC001589649439 987589483 /nfs/dbraw/zinc/58/94/83/987589483.db2.gz RTEIRBJJMNBJQU-UHFFFAOYSA-N -1 1 338.349 -0.122 20 0 EBADMM C[C@@H]1C[C@H](C(=O)NCCCN2CCC[C@H]2C(N)=O)O[C@H]1C(=O)[O-] ZINC001599875303 989410115 /nfs/dbraw/zinc/41/01/15/989410115.db2.gz SYQUJKBMDMGZIP-WRWGMCAJSA-N -1 1 327.381 -0.679 20 0 EBADMM CS(=O)(=O)[C@H]1C[C@]1(CNCc1cc2c(cn1)OCCO2)C(=O)[O-] ZINC001599763877 992158399 /nfs/dbraw/zinc/15/83/99/992158399.db2.gz WJAPQNYZCYDXAZ-GXTWGEPZSA-N -1 1 342.373 -0.170 20 0 EBADMM CN(CCN(C)c1ccnc(C(=O)[O-])n1)C(=O)C1=NC(=O)N(C)C1 ZINC001598484743 992190546 /nfs/dbraw/zinc/19/05/46/992190546.db2.gz HHBNWAJNFARYCZ-UHFFFAOYSA-N -1 1 334.336 -0.178 20 0 EBADMM Cn1ncc(S(=O)(=O)[N-]CC[N@H+](C)CC(=O)[O-])c1C(F)(F)F ZINC001598494348 992343633 /nfs/dbraw/zinc/34/36/33/992343633.db2.gz TTZIPBMFPZXWOW-UHFFFAOYSA-N -1 1 344.315 -0.266 20 0 EBADMM Cn1ncc(S(=O)(=O)[N-]CC[N@@H+](C)CC(=O)[O-])c1C(F)(F)F ZINC001598494348 992343644 /nfs/dbraw/zinc/34/36/44/992343644.db2.gz TTZIPBMFPZXWOW-UHFFFAOYSA-N -1 1 344.315 -0.266 20 0 EBADMM CC(C)Cn1[nH]c(CC(=O)N2CCC(O)(C(=O)[O-])CC2)cc1=O ZINC001591497811 992635231 /nfs/dbraw/zinc/63/52/31/992635231.db2.gz JJGHPWMUQDOMSG-UHFFFAOYSA-N -1 1 325.365 -0.187 20 0 EBADMM CN1CC[C@](O)(CNS(=O)(=O)c2ccc(CC(=O)[O-])c(F)c2)C1 ZINC001598586923 993397523 /nfs/dbraw/zinc/39/75/23/993397523.db2.gz XPOLUFWBKYOXDA-AWEZNQCLSA-N -1 1 346.380 -0.202 20 0 EBADMM CN1CCC[C@@H]1C(=O)N[C@@H](CNc1ccnc(C(=O)[O-])n1)C1CC1 ZINC001598602939 993592508 /nfs/dbraw/zinc/59/25/08/993592508.db2.gz VGVAQXFPNGVRRD-NWDGAFQWSA-N -1 1 333.392 -0.002 20 0 EBADMM CN1CCN(CCNS(=O)(=O)[C@H]2CCCC[C@H]2C(=O)[O-])CC1 ZINC001598618549 993946559 /nfs/dbraw/zinc/94/65/59/993946559.db2.gz LFRAHQDVOSZPEI-OLZOCXBDSA-N -1 1 333.454 -0.203 20 0 EBADMM COc1cccc([C@](C)(CC(=O)[O-])NCC(=O)N2CCNC(=O)C2)c1 ZINC001599652434 994526515 /nfs/dbraw/zinc/52/65/15/994526515.db2.gz KYWRMGDXJLOAIC-KRWDZBQOSA-N -1 1 349.387 -0.067 20 0 EBADMM CNS(=O)(=O)c1ccc([C@@H](C)NCC(=O)NCCC(=O)[O-])cc1 ZINC001598673176 994793731 /nfs/dbraw/zinc/79/37/31/994793731.db2.gz SQJXUCPGLPIEDA-SNVBAGLBSA-N -1 1 343.405 -0.164 20 0 EBADMM C[C@H](O)CN1CCN(C(=O)NCCC(=O)NCCC(=O)[O-])[C@H](C)C1 ZINC001593463448 995394405 /nfs/dbraw/zinc/39/44/05/995394405.db2.gz UPZQKYNAVISSJI-NEPJUHHUSA-N -1 1 344.412 -0.936 20 0 EBADMM COC(=O)[C@]1(NS(=O)(=O)[C@H]2CCCC[C@@H]2C(=O)[O-])CCOC1 ZINC001598726042 995519199 /nfs/dbraw/zinc/51/91/99/995519199.db2.gz VQEQUXWVJBIEAE-KWBADKCTSA-N -1 1 335.378 -0.119 20 0 EBADMM COC(=O)C1CCN(C(=O)CN2CCC(O)(C(=O)[O-])CC2)CC1 ZINC001598793646 996094922 /nfs/dbraw/zinc/09/49/22/996094922.db2.gz LYJOYTSALVDWEE-UHFFFAOYSA-N -1 1 328.365 -0.691 20 0 EBADMM COC(=O)[C@@H]1CN(C(=O)c2ccc3c(n2)CNCC3)C[C@@H]1C(=O)[O-] ZINC001598818945 996303241 /nfs/dbraw/zinc/30/32/41/996303241.db2.gz ANYNCTXVYILXCW-WDEREUQCSA-N -1 1 333.344 -0.327 20 0 EBADMM COC(=O)[C@H]1CN(Cc2cccc(S(N)(=O)=O)c2)C[C@H]1C(=O)[O-] ZINC001598825329 996376099 /nfs/dbraw/zinc/37/60/99/996376099.db2.gz CJBOONNQFDTPLG-NEPJUHHUSA-N -1 1 342.373 -0.360 20 0 EBADMM COc1cc(C(=O)[O-])ccc1OC[C@H](O)CN(C)[C@@H]1COC[C@H]1O ZINC001599381413 996857398 /nfs/dbraw/zinc/85/73/98/996857398.db2.gz WEEBZKWUZLYEEP-JHJVBQTASA-N -1 1 341.360 -0.175 20 0 EBADMM CO[C@@]1(C(=O)[O-])CCN(CC(=O)NC2CCC3(CC2)OCCO3)C1 ZINC001599077181 996899822 /nfs/dbraw/zinc/89/98/22/996899822.db2.gz VUKCXCZTGDWZCQ-HNNXBMFYSA-N -1 1 342.392 -0.036 20 0 EBADMM CO[C@H]1CC[C@@H](C(=O)N(CCN2CCN(C)CC2)CC(=O)[O-])C1 ZINC001599100043 997365269 /nfs/dbraw/zinc/36/52/69/997365269.db2.gz JVIRPVXVWBJJBI-KGLIPLIRSA-N -1 1 327.425 -0.038 20 0 EBADMM CO[C@@H]1CCC[C@H]([C@@H](NCC(=O)N2CCNC(=O)C2)C(=O)[O-])C1 ZINC001599111566 997579266 /nfs/dbraw/zinc/57/92/66/997579266.db2.gz XGZXYYRBHXVKPH-MISXGVKJSA-N -1 1 327.381 -0.807 20 0 EBADMM COCCN(CC(N)=O)C1CCN(C(=O)[C@@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001599234879 997927644 /nfs/dbraw/zinc/92/76/44/997927644.db2.gz JOSMEYOSOWRQHI-NEPJUHHUSA-N -1 1 327.381 -0.868 20 0 EBADMM CC1=C(C)C(=O)N(CCC(=O)N[C@H](Cc2cnc[nH]2)C(=O)[O-])C1=O ZINC001594108737 998185790 /nfs/dbraw/zinc/18/57/90/998185790.db2.gz ZBIGENCLMDHECN-LLVKDONJSA-N -1 1 334.332 -0.383 20 0 EBADMM COC[C@@H](NC(=O)CCN1CCN(c2ccccn2)CC1)C(=O)[O-] ZINC001599168506 998578153 /nfs/dbraw/zinc/57/81/53/998578153.db2.gz DYJPNRITGJHYQR-CYBMUJFWSA-N -1 1 336.392 -0.191 20 0 EBADMM COC(=O)c1cnn(CC(=O)N2CCN(CCC(=O)[O-])C[C@H]2C)c1 ZINC001599011141 998899288 /nfs/dbraw/zinc/89/92/88/998899288.db2.gz JBGRWSDZNJOTDS-LLVKDONJSA-N -1 1 338.364 -0.323 20 0 EBADMM C[C@@H]1C[C@@H](N2CCOCC2)CN1[C@@H]1CCN(CCC(=O)[O-])C1=O ZINC001594249271 998952466 /nfs/dbraw/zinc/95/24/66/998952466.db2.gz HCEOUWVELDKPFZ-MGPQQGTHSA-N -1 1 325.409 -0.143 20 0 EBADMM COC(CN(CCC(=O)[O-])C(=O)[C@@H]1CN2CCN1C[C@H]2C)OC ZINC001599047742 999163203 /nfs/dbraw/zinc/16/32/03/999163203.db2.gz XLOYKDMNTXZOJO-NEPJUHHUSA-N -1 1 329.397 -0.703 20 0 EBADMM CN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)Cc1ccc(F)cn1 ZINC001690985231 1125796352 /nfs/dbraw/zinc/79/63/52/1125796352.db2.gz YIDZYFQTTUNGEJ-UHFFFAOYSA-N -1 1 349.366 -0.291 20 0 EBADMM Cc1nnc(CN2CC[C@H]2CNC(=O)CCn2cc[n-]c(=O)c2=O)o1 ZINC001670702107 1125813706 /nfs/dbraw/zinc/81/37/06/1125813706.db2.gz ZBEVWYOQSYONTK-NSHDSACASA-N -1 1 348.363 -0.991 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)N1CCC(NC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001687268736 1125822537 /nfs/dbraw/zinc/82/25/37/1125822537.db2.gz VPNQGUIFNMYDHE-YPMHNXCESA-N -1 1 348.403 -0.310 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1ccc(-n2ccnc2)nc1 ZINC001691417937 1125858841 /nfs/dbraw/zinc/85/88/41/1125858841.db2.gz BHTFPCWVOWCESL-UHFFFAOYSA-N -1 1 342.363 -0.047 20 0 EBADMM CO[C@@H](CC(=O)NCCN(C)Cc1nc(=O)n(C)[n-]1)C(F)(F)F ZINC001480932501 1125879543 /nfs/dbraw/zinc/87/95/43/1125879543.db2.gz OYJBFSWECSJEAV-QMMMGPOBSA-N -1 1 339.318 -0.376 20 0 EBADMM C[C@H]1C[C@H]1C(=O)NCCCN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001687372240 1125885805 /nfs/dbraw/zinc/88/58/05/1125885805.db2.gz QPJAQHNPSTUGGU-VHSXEESVSA-N -1 1 332.364 -0.348 20 0 EBADMM CCN(CCNC(=O)c1n[nH]c2c1CCC2)Cc1nc(=O)n(C)[n-]1 ZINC001480984875 1125903841 /nfs/dbraw/zinc/90/38/41/1125903841.db2.gz DDDMJBVWMABNLO-UHFFFAOYSA-N -1 1 333.396 -0.428 20 0 EBADMM Cn1[nH]c(CN2CCC[C@@H]2CNC(=O)CCc2cnc[nH]2)nc1=O ZINC001481043043 1125912325 /nfs/dbraw/zinc/91/23/25/1125912325.db2.gz NOZWRFXFDNCRRJ-GFCCVEGCSA-N -1 1 333.396 -0.455 20 0 EBADMM CCN(CCNC(=O)CCOC)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001408705865 1125932213 /nfs/dbraw/zinc/93/22/13/1125932213.db2.gz WCIPQDCRMWMDCA-UHFFFAOYSA-N -1 1 342.352 -0.489 20 0 EBADMM NC(=O)NC1(C(=O)N2CCC[C@H]2CNC(=O)c2ncccc2[O-])CC1 ZINC001408821911 1125954835 /nfs/dbraw/zinc/95/48/35/1125954835.db2.gz WZQCZRJOZUNFPW-JTQLQIEISA-N -1 1 347.375 -0.291 20 0 EBADMM C[C@H](CC(=O)NC[C@@H]1CCCN1C(=O)c1ncccc1[O-])NC(N)=O ZINC001408824982 1125956874 /nfs/dbraw/zinc/95/68/74/1125956874.db2.gz ORVVSAOPHOSXFW-MNOVXSKESA-N -1 1 349.391 -0.045 20 0 EBADMM CCC(=O)N[C@H](C)C(=O)NCC[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001481430658 1125987907 /nfs/dbraw/zinc/98/79/07/1125987907.db2.gz BLJPLKMGRSHAHY-GHMZBOCLSA-N -1 1 338.412 -0.247 20 0 EBADMM CCC(=O)N[C@@H](C)C(=O)NCC[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001481430669 1125987913 /nfs/dbraw/zinc/98/79/13/1125987913.db2.gz BLJPLKMGRSHAHY-WDEREUQCSA-N -1 1 338.412 -0.247 20 0 EBADMM COc1nccc(C(=O)NCC[C@@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001481439772 1125990116 /nfs/dbraw/zinc/99/01/16/1125990116.db2.gz LZSNESVGFMQGAO-SNVBAGLBSA-N -1 1 347.379 -0.049 20 0 EBADMM CN(C)C(=O)CN1CCN(CCN(C)C(=O)c2ncccc2[O-])CC1 ZINC001481467646 1125996489 /nfs/dbraw/zinc/99/64/89/1125996489.db2.gz NJVKURUTCZFYKA-UHFFFAOYSA-N -1 1 349.435 -0.435 20 0 EBADMM C[C@H](C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1)C1CCC1 ZINC001482190819 1126095804 /nfs/dbraw/zinc/09/58/04/1126095804.db2.gz PQLMKIJUQVQVNL-WCQYABFASA-N -1 1 337.424 -0.138 20 0 EBADMM O=S(=O)(N=S1(=O)CCCC1)[N-]C[C@H](CO)[C@@H]1CCOC1 ZINC001414253585 1126107154 /nfs/dbraw/zinc/10/71/54/1126107154.db2.gz FRMWWSIJVULFQC-GHMZBOCLSA-N -1 1 326.440 -0.272 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)c1cn2c(n1)COCC2 ZINC001482299997 1126122701 /nfs/dbraw/zinc/12/27/01/1126122701.db2.gz WOHPPUCPQRCXQE-VIFPVBQESA-N -1 1 335.368 -0.513 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)c1cn2c(n1)COCC2 ZINC001482299996 1126122741 /nfs/dbraw/zinc/12/27/41/1126122741.db2.gz WOHPPUCPQRCXQE-SECBINFHSA-N -1 1 335.368 -0.513 20 0 EBADMM CC[C@H](C)C(=O)N1CC[C@@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001358622512 1131234037 /nfs/dbraw/zinc/23/40/37/1131234037.db2.gz LEKVMVYQPFAEMC-NWDGAFQWSA-N -1 1 336.392 -0.310 20 0 EBADMM CNC(=O)[C@H](CCSC)[N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C ZINC001414275119 1126126553 /nfs/dbraw/zinc/12/65/53/1126126553.db2.gz GEWYPMNAQKVXIG-WPCRTTGESA-N -1 1 346.500 -0.737 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@@H]1OC[C@@H]2COCC[C@H]12 ZINC001482311621 1126128654 /nfs/dbraw/zinc/12/86/54/1126128654.db2.gz QCFCOYZESLWBST-MPPDQPJWSA-N -1 1 339.396 -0.502 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@@H]1CCN1C(=O)CC1CCC1 ZINC001358622416 1131234128 /nfs/dbraw/zinc/23/41/28/1131234128.db2.gz JJMFGDYCERLVAT-ZDUSSCGKSA-N -1 1 348.403 -0.166 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@@H](CC(C)C)C(N)=O)[n-]n1 ZINC001414327551 1126225005 /nfs/dbraw/zinc/22/50/05/1126225005.db2.gz ZQQXLTWQUZSJTN-VIFPVBQESA-N -1 1 332.382 -0.283 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@@H](CC(C)C)C(N)=O)n[n-]1 ZINC001414327551 1126225008 /nfs/dbraw/zinc/22/50/08/1126225008.db2.gz ZQQXLTWQUZSJTN-VIFPVBQESA-N -1 1 332.382 -0.283 20 0 EBADMM CC[C@@H](CNC(=O)[C@H]1CC(=O)N(C)C1)NC(=O)c1ncccc1[O-] ZINC001409320005 1126343047 /nfs/dbraw/zinc/34/30/47/1126343047.db2.gz XPWZIPWTUAXKKH-QWRGUYRKSA-N -1 1 334.376 -0.110 20 0 EBADMM CCn1ccc(CN[C@H](C)[C@@H](C)NC(=O)Cn2c(=O)[n-][nH]c2=O)n1 ZINC001409439319 1126367220 /nfs/dbraw/zinc/36/72/20/1126367220.db2.gz CBKAQMSSWUJAAX-NXEZZACHSA-N -1 1 337.384 -0.411 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)nc1C1CC1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001409585075 1126379874 /nfs/dbraw/zinc/37/98/74/1126379874.db2.gz WIKWJPSFGOTHLC-SNVBAGLBSA-N -1 1 347.423 -0.030 20 0 EBADMM Cc1ccc(C(=O)NC[C@H](C)N(C)Cc2nc(=O)n(C)[n-]2)c(=O)[nH]1 ZINC001409621899 1126384586 /nfs/dbraw/zinc/38/45/86/1126384586.db2.gz DYRWBKJBPHWWEE-JTQLQIEISA-N -1 1 334.380 -0.232 20 0 EBADMM C[C@@H](C(=O)NC1(CCO)CN(Cc2nc(=O)n(C)[n-]2)C1)C1CCC1 ZINC001409719387 1126399852 /nfs/dbraw/zinc/39/98/52/1126399852.db2.gz BLBQCBHCRXSVRT-LLVKDONJSA-N -1 1 337.424 -0.402 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C(C)(C)N1CCOCC1 ZINC001483275071 1126412577 /nfs/dbraw/zinc/41/25/77/1126412577.db2.gz UKWZWOYNYNRYNK-JTQLQIEISA-N -1 1 326.401 -0.785 20 0 EBADMM CC(C)(CNC(N)=O)[N-]S(=O)(=O)c1nc[nH]c1Br ZINC001365470275 1126466219 /nfs/dbraw/zinc/46/62/19/1126466219.db2.gz ZZAJUBOIXVZXSN-UHFFFAOYSA-N -1 1 340.203 -0.103 20 0 EBADMM Cn1ncc(S(=O)(=O)[N-]CCn2ncnn2)c1C(F)(F)F ZINC001365681464 1126469216 /nfs/dbraw/zinc/46/92/16/1126469216.db2.gz NLNMJANFDXTKRW-UHFFFAOYSA-N -1 1 325.276 -0.596 20 0 EBADMM O=C(NCC1(NCc2n[nH]c(=O)[n-]2)CCOCC1)[C@@H]1CC1(F)F ZINC001484182150 1126512298 /nfs/dbraw/zinc/51/22/98/1126512298.db2.gz WMWBHEMKCMFPTE-QMMMGPOBSA-N -1 1 331.323 -0.080 20 0 EBADMM O=C(NCC1(O)CN(C[C@@H]2CC[C@@H]3C[C@@H]3C2)C1)c1n[nH]c(=O)[n-]c1=O ZINC001484282726 1126551982 /nfs/dbraw/zinc/55/19/82/1126551982.db2.gz QEDDQBWHFWZZED-MXWKQRLJSA-N -1 1 349.391 -0.505 20 0 EBADMM O=C(C[C@H]1CCNC1=O)N1CC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001484523889 1126601552 /nfs/dbraw/zinc/60/15/52/1126601552.db2.gz WPXWSXJVXRQEJE-GHMZBOCLSA-N -1 1 336.396 -0.635 20 0 EBADMM C/C(=C\C(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001484586334 1126605794 /nfs/dbraw/zinc/60/57/94/1126605794.db2.gz LDSXVESAZCLGMT-SVTZGKHISA-N -1 1 335.408 -0.218 20 0 EBADMM O=C(CN1CCOC[C@@H]1CNC(=O)c1ncccc1[O-])NCC1CC1 ZINC001484590584 1126606580 /nfs/dbraw/zinc/60/65/80/1126606580.db2.gz QBPKCSULECJVIO-ZDUSSCGKSA-N -1 1 348.403 -0.256 20 0 EBADMM Cc1nccnc1CN(C)CCCN(C)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001484749215 1126629936 /nfs/dbraw/zinc/62/99/36/1126629936.db2.gz CDYSNXPNSYIILB-UHFFFAOYSA-N -1 1 347.379 -0.025 20 0 EBADMM Cc1cc(C(=O)NC2(CO)CCN(Cc3nc(=O)n(C)[n-]3)CC2)co1 ZINC001485017863 1126665475 /nfs/dbraw/zinc/66/54/75/1126665475.db2.gz NHOIECSNTZKAKM-UHFFFAOYSA-N -1 1 349.391 -0.233 20 0 EBADMM COc1cccnc1CC(=O)NC[C@@H](C)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001485343289 1126691196 /nfs/dbraw/zinc/69/11/96/1126691196.db2.gz AWKFUTYMMQNOKD-LLVKDONJSA-N -1 1 348.407 -0.309 20 0 EBADMM COCCC(=O)N1C[C@@]2(C)CN(Cc3nc(=O)n(C)[n-]3)C[C@@]2(C)C1 ZINC001485665385 1126791868 /nfs/dbraw/zinc/79/18/68/1126791868.db2.gz KQQHJZDQQSDCAV-IYBDPMFKSA-N -1 1 337.424 -0.185 20 0 EBADMM O=C(NC[C@H](CO)NCc1cc(=O)n2[n-]ccc2n1)[C@H]1CC1(F)F ZINC001485993813 1126956435 /nfs/dbraw/zinc/95/64/35/1126956435.db2.gz OKUIXWACWKGPKU-NXEZZACHSA-N -1 1 341.318 -0.756 20 0 EBADMM C[C@H]1[C@H](NC(=O)CCCC(N)=O)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001486187857 1127058170 /nfs/dbraw/zinc/05/81/70/1127058170.db2.gz SSQLZFNVYSKYAJ-WDEREUQCSA-N -1 1 338.412 -0.767 20 0 EBADMM CC(C)SCC(=O)N(C)C[C@@H](O)CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001486325383 1127127943 /nfs/dbraw/zinc/12/79/43/1127127943.db2.gz MVTVCDVYADJVIQ-NSHDSACASA-N -1 1 345.469 -0.499 20 0 EBADMM CC(C)N(CCN(C)Cc1nc(=O)n(C)[n-]1)C(=O)Cc1ccn(C)n1 ZINC001486367874 1127162776 /nfs/dbraw/zinc/16/27/76/1127162776.db2.gz FLKKPGMFVIJFSB-UHFFFAOYSA-N -1 1 349.439 -0.247 20 0 EBADMM CC(C)(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C1CS(=O)(=O)C1 ZINC001486398923 1127166007 /nfs/dbraw/zinc/16/60/07/1127166007.db2.gz FARVRBBOMZMHOH-SECBINFHSA-N -1 1 345.425 -0.825 20 0 EBADMM Cc1ncncc1C(=O)N(C)CCOCCNCc1n[nH]c(=O)[n-]1 ZINC001486492458 1127187201 /nfs/dbraw/zinc/18/72/01/1127187201.db2.gz XHHPHDNCMMDCOC-UHFFFAOYSA-N -1 1 335.368 -0.513 20 0 EBADMM Cc1nn(C)c(C)c1OCC(=O)N[C@@H](C)[C@H](C)NC(=O)c1cnn[nH]1 ZINC001486704161 1127239414 /nfs/dbraw/zinc/23/94/14/1127239414.db2.gz IYVGGLBBMZZMFA-IUCAKERBSA-N -1 1 349.395 -0.143 20 0 EBADMM COc1nscc1S(=O)(=O)[N-]CCS(=O)(=O)NC1CC1 ZINC001364760861 1127321452 /nfs/dbraw/zinc/32/14/52/1127321452.db2.gz MWMQTRQIYVASHC-UHFFFAOYSA-N -1 1 341.436 -0.488 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)N(C)C(=O)c1ccon1 ZINC001486930256 1127340822 /nfs/dbraw/zinc/34/08/22/1127340822.db2.gz ZEUOTTBOAKLPAE-JTQLQIEISA-N -1 1 349.347 -0.808 20 0 EBADMM COC[C@](C)(O)C[N-]S(=O)(=O)c1nc[nH]c1Br ZINC001434548180 1127348995 /nfs/dbraw/zinc/34/89/95/1127348995.db2.gz QXFFGWOTPHTMHL-MRVPVSSYSA-N -1 1 328.188 -0.152 20 0 EBADMM Cn1ccc(C(=O)N2CC(CCO)(NC(=O)c3ncccc3[O-])C2)n1 ZINC001487030319 1127373234 /nfs/dbraw/zinc/37/32/34/1127373234.db2.gz JPFNHKXCWJMTJQ-UHFFFAOYSA-N -1 1 345.359 -0.472 20 0 EBADMM C[C@H](NC(=O)C(F)F)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001487139261 1127410325 /nfs/dbraw/zinc/41/03/25/1127410325.db2.gz WDEUOFZMTDTGMC-LURJTMIESA-N -1 1 340.290 -0.741 20 0 EBADMM C[C@H](CNC(=O)[C@@H]1CCNC(=O)CC1)NC(=O)c1ncccc1[O-] ZINC001410191131 1127636159 /nfs/dbraw/zinc/63/61/59/1127636159.db2.gz WEJKZGOTMXOJPN-MNOVXSKESA-N -1 1 334.376 -0.062 20 0 EBADMM O=C(CCC1CC1)NCC1(NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001410682834 1127812145 /nfs/dbraw/zinc/81/21/45/1127812145.db2.gz SBDZQAJREOQSRQ-UHFFFAOYSA-N -1 1 344.375 -0.014 20 0 EBADMM O=C(C[C@H]1CCOC1)NC[C@H](CO)NCc1cc(=O)n2[n-]ccc2n1 ZINC001410719289 1127838183 /nfs/dbraw/zinc/83/81/83/1127838183.db2.gz ABMVLRFQWJKWON-DGCLKSJQSA-N -1 1 349.391 -0.984 20 0 EBADMM Cc1ccc(C)c(C(=O)NC[C@@H](CO)NCc2nc(=O)n(C)[n-]2)c1 ZINC001410782340 1127885602 /nfs/dbraw/zinc/88/56/02/1127885602.db2.gz KVRUZYNCEDICEK-LBPRGKRZSA-N -1 1 333.392 -0.394 20 0 EBADMM CC(C)S(=O)(=O)CC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC001410844033 1127914072 /nfs/dbraw/zinc/91/40/72/1127914072.db2.gz GNRWMHFWWNXFOP-UWVGGRQHSA-N -1 1 345.425 -0.588 20 0 EBADMM CN(C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)c1cc(Cl)cn1C ZINC001411074240 1128002465 /nfs/dbraw/zinc/00/24/65/1128002465.db2.gz WWAQMDKALOBRPW-SECBINFHSA-N -1 1 342.787 -0.275 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H](O)CN(C)C(=O)c1ccccc1 ZINC001411133277 1128028616 /nfs/dbraw/zinc/02/86/16/1128028616.db2.gz AOAISZNQVSNDOE-CYBMUJFWSA-N -1 1 333.392 -0.327 20 0 EBADMM Cc1cccc(OCC(=O)N(C)C[C@H](O)CNCc2n[nH]c(=O)[n-]2)c1 ZINC001411145373 1128039683 /nfs/dbraw/zinc/03/96/83/1128039683.db2.gz RKRQCYJKTOIYJF-GFCCVEGCSA-N -1 1 349.391 -0.193 20 0 EBADMM CCn1cc(CN(C)C[C@@H](O)CN(C)C(=O)c2ncccc2[O-])nn1 ZINC001411161947 1128053732 /nfs/dbraw/zinc/05/37/32/1128053732.db2.gz NVFCCAJEJVUXFV-CYBMUJFWSA-N -1 1 348.407 -0.037 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H](O)CN(C)C(=O)[C@H]1CCC1(F)F ZINC001411168448 1128064550 /nfs/dbraw/zinc/06/45/50/1128064550.db2.gz PVLMEMACVYVESB-VHSXEESVSA-N -1 1 347.366 -0.595 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H](O)CN(C)C(=O)c1ccns1 ZINC001411166598 1128070345 /nfs/dbraw/zinc/07/03/45/1128070345.db2.gz GQGRKTXNKJWJHJ-SECBINFHSA-N -1 1 340.409 -0.870 20 0 EBADMM CC(C)N(CCN(C)Cc1nc(=O)n(C)[n-]1)C(=O)Cc1nnc[nH]1 ZINC001411193342 1128089908 /nfs/dbraw/zinc/08/99/08/1128089908.db2.gz AXOLQUZXGXYYQS-UHFFFAOYSA-N -1 1 336.400 -0.862 20 0 EBADMM COCCN(CCNC(=O)c1cc(C)ncn1)Cc1nc(=O)n(C)[n-]1 ZINC001411226334 1128097985 /nfs/dbraw/zinc/09/79/85/1128097985.db2.gz PDUDEZPLSPOCOY-UHFFFAOYSA-N -1 1 349.395 -0.915 20 0 EBADMM CCCS(=O)(=O)CC(=O)N(C)C1CC(NCc2n[nH]c(=O)[n-]2)C1 ZINC001411252364 1128105428 /nfs/dbraw/zinc/10/54/28/1128105428.db2.gz JBZWTURFXZBYLI-UHFFFAOYSA-N -1 1 345.425 -0.586 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)c1cn(C)c(=O)n1C ZINC001093233254 1128309348 /nfs/dbraw/zinc/30/93/48/1128309348.db2.gz IQLSNIKMEPUSKD-NXEZZACHSA-N -1 1 349.395 -0.955 20 0 EBADMM Cn1[n-]c(CNCc2cn(C3CN(C(=O)C(C)(C)C)C3)nn2)nc1=O ZINC001093342762 1128334331 /nfs/dbraw/zinc/33/43/31/1128334331.db2.gz WMWQMRBYPSAVKH-UHFFFAOYSA-N -1 1 348.411 -0.581 20 0 EBADMM C[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)[C@H](C)NC(=O)C1CCC1 ZINC001411340714 1128334849 /nfs/dbraw/zinc/33/48/49/1128334849.db2.gz LNOCEOAVLJJENI-IUCAKERBSA-N -1 1 332.364 -0.159 20 0 EBADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)[C@]1(C)CNC(=O)C1 ZINC001487755266 1128418346 /nfs/dbraw/zinc/41/83/46/1128418346.db2.gz HBVVSLNWKNRESO-HWPZZCPQSA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@H](CCCNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CN(C)C(=O)N1 ZINC001487526959 1128364061 /nfs/dbraw/zinc/36/40/61/1128364061.db2.gz LOVFDJPRLAEFDA-GHMZBOCLSA-N -1 1 349.391 -0.175 20 0 EBADMM Cc1cc(C(=O)N[C@@H](CO)CNC(=O)c2ncccc2[O-])nn1C ZINC001487974938 1128470672 /nfs/dbraw/zinc/47/06/72/1128470672.db2.gz AKNBWNYVLSXZMB-SNVBAGLBSA-N -1 1 333.348 -0.650 20 0 EBADMM O=C(C[C@@H]1CCCCO1)N[C@H](CO)CNC(=O)c1ncccc1[O-] ZINC001487975031 1128470683 /nfs/dbraw/zinc/47/06/83/1128470683.db2.gz BSFMSIQPQQIYOK-RYUDHWBXSA-N -1 1 337.376 -0.047 20 0 EBADMM CC1(C(=O)N[C@@H](CO)CNC(=O)c2ncccc2[O-])CCOCC1 ZINC001487979018 1128475101 /nfs/dbraw/zinc/47/51/01/1128475101.db2.gz UUXHWXUGLKCYRP-LLVKDONJSA-N -1 1 337.376 -0.189 20 0 EBADMM CCc1cc(C(=O)NC[C@@H](CO)NC(=O)c2ncccc2[O-])no1 ZINC001488056130 1128555639 /nfs/dbraw/zinc/55/56/39/1128555639.db2.gz LPRPSPXRUNOKOX-VIFPVBQESA-N -1 1 334.332 -0.142 20 0 EBADMM C/C=C(\C)C(=O)N1CC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)[C@@H]1C ZINC001488125726 1128629047 /nfs/dbraw/zinc/62/90/47/1128629047.db2.gz STYIRKYPOCMSMY-BELPRIPISA-N -1 1 348.403 -0.002 20 0 EBADMM CN(C[C@H](O)CNC(=O)Cc1ncc[nH]1)C(=O)c1ncccc1[O-] ZINC001488350875 1128688727 /nfs/dbraw/zinc/68/87/27/1128688727.db2.gz NEEXZSPIPGTEQA-SNVBAGLBSA-N -1 1 333.348 -0.698 20 0 EBADMM CCC(=O)N[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)C(C)(C)C ZINC001488470749 1128766164 /nfs/dbraw/zinc/76/61/64/1128766164.db2.gz WDQQWBBWKXICQK-LLVKDONJSA-N -1 1 338.408 -0.016 20 0 EBADMM CN(C[C@H](O)CN(C)C(=O)c1ncccc1[O-])C(=O)c1cnco1 ZINC001488433153 1128770358 /nfs/dbraw/zinc/77/03/58/1128770358.db2.gz MOPJLBACSRXLLZ-JTQLQIEISA-N -1 1 334.332 -0.020 20 0 EBADMM CNC(=O)NCC(=O)N1CC=C(CNC(=O)c2ncccc2[O-])CC1 ZINC001488616920 1128813957 /nfs/dbraw/zinc/81/39/57/1128813957.db2.gz CUGMNLDXQXDDED-UHFFFAOYSA-N -1 1 347.375 -0.395 20 0 EBADMM COCCOCC(=O)N1CC[C@@H](C)C[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001489032464 1128901122 /nfs/dbraw/zinc/90/11/22/1128901122.db2.gz XZUHBJNYZJJTAP-NEPJUHHUSA-N -1 1 341.412 -0.110 20 0 EBADMM CN(CCOCCN(C)C(=O)c1cn[nH]c1)Cc1nc(=O)n(C)[n-]1 ZINC001489213630 1128933540 /nfs/dbraw/zinc/93/35/40/1128933540.db2.gz WPJWLQWWUXWVIJ-UHFFFAOYSA-N -1 1 337.384 -0.948 20 0 EBADMM CCC(=O)NCC(=O)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001489226810 1128939500 /nfs/dbraw/zinc/93/95/00/1128939500.db2.gz AWMGJBLSHDCSJF-LLVKDONJSA-N -1 1 338.412 -0.103 20 0 EBADMM CS[C@H](C)C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001489296948 1128945781 /nfs/dbraw/zinc/94/57/81/1128945781.db2.gz UWHAPLQOIWFKLZ-FXPVBKGRSA-N -1 1 325.390 -0.160 20 0 EBADMM C/C=C(/C)C(=O)N1CC[C@@H]1CN(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001489763481 1129062756 /nfs/dbraw/zinc/06/27/56/1129062756.db2.gz XZCRQSBTWKBTKG-TWEXNZEWSA-N -1 1 348.403 -0.048 20 0 EBADMM CN1C[C@@H](C(=O)NCCCN(Cc2n[nH]c(=O)[n-]2)C2CC2)CC1=O ZINC001490374114 1129168906 /nfs/dbraw/zinc/16/89/06/1129168906.db2.gz FDPZMTVNEYSVBZ-JTQLQIEISA-N -1 1 336.396 -0.541 20 0 EBADMM C[C@@](CNC(=O)[C@@H]1COC(=O)N1)(NC(=O)c1ncccc1[O-])C1CC1 ZINC001416352598 1131332180 /nfs/dbraw/zinc/33/21/80/1131332180.db2.gz ZXIRIODSHCRUGV-QFYYESIMSA-N -1 1 348.359 -0.090 20 0 EBADMM COc1cc(C(=O)NC[C@H](NCc2n[nH]c(=O)[n-]2)C2CC2)ncn1 ZINC001490665249 1129243120 /nfs/dbraw/zinc/24/31/20/1129243120.db2.gz PSHHPCXNOXHLTN-JTQLQIEISA-N -1 1 333.352 -0.393 20 0 EBADMM C[C@@H](NC(=O)c1ccc(=O)n(C)n1)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001411399063 1129287484 /nfs/dbraw/zinc/28/74/84/1129287484.db2.gz VCGZJHZBMXJEIO-ZJUUUORDSA-N -1 1 345.359 -0.182 20 0 EBADMM CN(CC(C)(C)CNC(=O)c1ncccc1[O-])C(=O)Cn1cnnn1 ZINC001411442259 1129296087 /nfs/dbraw/zinc/29/60/87/1129296087.db2.gz CJBQYXKZXXOISK-UHFFFAOYSA-N -1 1 347.379 -0.312 20 0 EBADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@]3(C)CCCS3(=O)=O)nc2n1 ZINC001411493748 1129303142 /nfs/dbraw/zinc/30/31/42/1129303142.db2.gz VQAQOSZFZWQXRF-ZDUSSCGKSA-N -1 1 339.377 -0.114 20 0 EBADMM O=C(NC1(CCO)CN(C(=O)C2=CCOCC2)C1)c1ncccc1[O-] ZINC001411759967 1129370718 /nfs/dbraw/zinc/37/07/18/1129370718.db2.gz NNLQTZLVZYWZQM-UHFFFAOYSA-N -1 1 347.371 -0.173 20 0 EBADMM O=C(NC1(CCO)CN(C(=O)c2cc(Cl)no2)C1)c1cnn[nH]1 ZINC001411791984 1129386758 /nfs/dbraw/zinc/38/67/58/1129386758.db2.gz YAVTXAQLWSBMSQ-UHFFFAOYSA-N -1 1 340.727 -0.547 20 0 EBADMM C[C@]1(CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)CCS(=O)(=O)N1 ZINC001411938930 1129406954 /nfs/dbraw/zinc/40/69/54/1129406954.db2.gz QJOAYWHOIBKETF-BXKDBHETSA-N -1 1 328.398 -0.622 20 0 EBADMM COC(=O)[C@H]1C[C@H](OC)CCN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001411950702 1129411155 /nfs/dbraw/zinc/41/11/55/1129411155.db2.gz MPYRJNKVOWYEAF-HTQZYQBOSA-N -1 1 341.320 -0.311 20 0 EBADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)N1C[C@H](CO)[C@H](O)C1 ZINC001412229888 1129481904 /nfs/dbraw/zinc/48/19/04/1129481904.db2.gz ZOLFRQSDMNGTCT-TZMCWYRMSA-N -1 1 344.371 -0.093 20 0 EBADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCS(=O)(=O)C3)nc2n1 ZINC001412236905 1129485005 /nfs/dbraw/zinc/48/50/05/1129485005.db2.gz DVTWMBSRGHDKGW-ZETCQYMHSA-N -1 1 325.350 -0.647 20 0 EBADMM O=C([C@H]1CC(=O)N(C2CCCC2)C1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001412432175 1129527985 /nfs/dbraw/zinc/52/79/85/1129527985.db2.gz MVMIWANHQFJTPT-CMPLNLGQSA-N -1 1 334.380 -0.109 20 0 EBADMM C[C@H](CN(C)C(=O)CNC(=O)C1CC1)NC(=O)c1ncccc1[O-] ZINC001412447472 1129530793 /nfs/dbraw/zinc/53/07/93/1129530793.db2.gz LTCWXMDHJFYPIX-SNVBAGLBSA-N -1 1 334.376 -0.110 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NCC[C@H]1CCCS1(=O)=O ZINC001412515437 1129548368 /nfs/dbraw/zinc/54/83/68/1129548368.db2.gz OVYNKRXOHFCZFK-SNVBAGLBSA-N -1 1 341.389 -0.400 20 0 EBADMM O=C(c1cc2c([nH]c1=O)CCOC2)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001412534416 1129553889 /nfs/dbraw/zinc/55/38/89/1129553889.db2.gz QAVZTYUPAMBOFI-NSHDSACASA-N -1 1 332.320 -0.413 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1CC(=O)N(C)C1)NC(=O)c1ncccc1[O-] ZINC001412568324 1129564581 /nfs/dbraw/zinc/56/45/81/1129564581.db2.gz YJRYZVBDCPUPAK-QWRGUYRKSA-N -1 1 334.376 -0.158 20 0 EBADMM COC(=O)Cn1nc(C)c(CNC(=O)CCCc2nn[n-]n2)c1C ZINC001412770537 1129637243 /nfs/dbraw/zinc/63/72/43/1129637243.db2.gz SRQNZDSCHJXAKC-UHFFFAOYSA-N -1 1 335.368 -0.175 20 0 EBADMM CS(=O)(=O)N1CCC(CNC(=O)CCCc2nn[n-]n2)CC1 ZINC001412793429 1129652474 /nfs/dbraw/zinc/65/24/74/1129652474.db2.gz YRWCOJLOHYASHQ-UHFFFAOYSA-N -1 1 330.414 -0.690 20 0 EBADMM C[C@@H](C(=O)N[C@@H](CO)CNC(=O)c1ncccc1[O-])n1cccn1 ZINC001412802881 1129658973 /nfs/dbraw/zinc/65/89/73/1129658973.db2.gz DXPQTCNMDKYFGI-WDEREUQCSA-N -1 1 333.348 -0.548 20 0 EBADMM CC(C)(C)OC(=O)NCCN(CCO)C(=O)CCCc1nn[n-]n1 ZINC001412835192 1129707567 /nfs/dbraw/zinc/70/75/67/1129707567.db2.gz GTFOFCUYZFKNJG-UHFFFAOYSA-N -1 1 342.400 -0.132 20 0 EBADMM CCOC1CC(CNC(=O)CCc2nn[n-]n2)(N2CCOCC2)C1 ZINC001412895014 1129764874 /nfs/dbraw/zinc/76/48/74/1129764874.db2.gz KXBKBJOAIYIYPP-UHFFFAOYSA-N -1 1 338.412 -0.482 20 0 EBADMM O=S(=O)([N-]CC(F)(F)F)NC1CCN(Cc2c[nH]nn2)CC1 ZINC001412982435 1129838375 /nfs/dbraw/zinc/83/83/75/1129838375.db2.gz PMOHIYCBQFPOHQ-UHFFFAOYSA-N -1 1 342.347 -0.245 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCCN(C)C(=O)C(F)F ZINC001687550173 1129895990 /nfs/dbraw/zinc/89/59/90/1129895990.db2.gz KXOPYSJZAWZVJD-UHFFFAOYSA-N -1 1 334.279 -0.260 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)CCNC(N)=O ZINC001413082624 1129924279 /nfs/dbraw/zinc/92/42/79/1129924279.db2.gz LGJCHGSTPCIXAF-NXEZZACHSA-N -1 1 335.364 -0.435 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2[C@H](C)C(=O)N[C@H](C)[C@@H]2C)o1 ZINC001413219959 1129952613 /nfs/dbraw/zinc/95/26/13/1129952613.db2.gz HGTFCBOQAVQQAR-HRDYMLBCSA-N -1 1 329.378 -0.075 20 0 EBADMM CC(C)OCC(=O)NC[C@@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001413245489 1129967110 /nfs/dbraw/zinc/96/71/10/1129967110.db2.gz JLEVVLQOMPIWTM-LLVKDONJSA-N -1 1 325.365 -0.239 20 0 EBADMM CCn1ccc(C(=O)NC[C@@H](O)CN(C)C(=O)c2ncccc2[O-])n1 ZINC001413258726 1129977307 /nfs/dbraw/zinc/97/73/07/1129977307.db2.gz YPZAAMVMGHYDOM-LLVKDONJSA-N -1 1 347.375 -0.134 20 0 EBADMM COc1cc(CS(=O)(=O)[N-]Cc2cc(C(N)=O)no2)sn1 ZINC001413311665 1130006648 /nfs/dbraw/zinc/00/66/48/1130006648.db2.gz XHKHXWDTLVUZTJ-UHFFFAOYSA-N -1 1 332.363 -0.142 20 0 EBADMM O=C(CS[C@H]1CCS(=O)(=O)C1)NCc1nc([O-])cc(=O)[nH]1 ZINC001413326487 1130015385 /nfs/dbraw/zinc/01/53/85/1130015385.db2.gz LCGHEAZYNGEAMO-ZETCQYMHSA-N -1 1 333.391 -0.576 20 0 EBADMM O=C(CNC(=O)COc1ccccc1)NCc1nc([O-])cc(=O)[nH]1 ZINC001413327045 1130015543 /nfs/dbraw/zinc/01/55/43/1130015543.db2.gz NZVDEHDUZMDVAQ-UHFFFAOYSA-N -1 1 332.316 -0.301 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCO[C@@]3(CCCOC3)C2)[n-]n1 ZINC001413350306 1130037643 /nfs/dbraw/zinc/03/76/43/1130037643.db2.gz UIUVEJZLBMIWMV-ZDUSSCGKSA-N -1 1 345.377 -0.234 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCO[C@@]3(CCCOC3)C2)n[n-]1 ZINC001413350306 1130037648 /nfs/dbraw/zinc/03/76/48/1130037648.db2.gz UIUVEJZLBMIWMV-ZDUSSCGKSA-N -1 1 345.377 -0.234 20 0 EBADMM COC(=O)C[C@H]1COCCN1S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001413362717 1130047469 /nfs/dbraw/zinc/04/74/69/1130047469.db2.gz VTXDOONNXHDYKB-QMMMGPOBSA-N -1 1 347.349 -0.851 20 0 EBADMM COC(=O)C[C@H]1COCCN1S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001413362717 1130047475 /nfs/dbraw/zinc/04/74/75/1130047475.db2.gz VTXDOONNXHDYKB-QMMMGPOBSA-N -1 1 347.349 -0.851 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@](O)(C(F)F)C2)[n-]n1 ZINC001413371983 1130058640 /nfs/dbraw/zinc/05/86/40/1130058640.db2.gz OHVSZSZYUNVQKU-SNVBAGLBSA-N -1 1 325.293 -0.413 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@](O)(C(F)F)C2)n[n-]1 ZINC001413371983 1130058646 /nfs/dbraw/zinc/05/86/46/1130058646.db2.gz OHVSZSZYUNVQKU-SNVBAGLBSA-N -1 1 325.293 -0.413 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](CC1CC1)C(=O)OC ZINC001413372372 1130059251 /nfs/dbraw/zinc/05/92/51/1130059251.db2.gz DTIDKVKXRNLYHB-SECBINFHSA-N -1 1 331.350 -0.184 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](CC1CC1)C(=O)OC ZINC001413372375 1130059363 /nfs/dbraw/zinc/05/93/63/1130059363.db2.gz DTIDKVKXRNLYHB-VIFPVBQESA-N -1 1 331.350 -0.184 20 0 EBADMM CC1(CS(=O)(=O)[N-]CC(=O)N=S(C)(C)=O)CCOCC1 ZINC001413379274 1130064844 /nfs/dbraw/zinc/06/48/44/1130064844.db2.gz GVRBINVUSSCDPC-UHFFFAOYSA-N -1 1 326.440 -0.023 20 0 EBADMM O=C1OC[C@@]2(C[N-]S(=O)(=O)c3c[nH]nc3Cl)COCCN12 ZINC001413415371 1130078385 /nfs/dbraw/zinc/07/83/85/1130078385.db2.gz BYEDKKBMXCNEDB-SNVBAGLBSA-N -1 1 336.757 -0.437 20 0 EBADMM C[C@@H]1OCC[C@H]1S(=O)(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001413422112 1130080378 /nfs/dbraw/zinc/08/03/78/1130080378.db2.gz ZRVCNBXMTSSRLT-GZMMTYOYSA-N -1 1 329.378 -0.148 20 0 EBADMM CN(C)S(=O)(=O)c1cc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)co1 ZINC001413467774 1130098280 /nfs/dbraw/zinc/09/82/80/1130098280.db2.gz JDIREVPEHHNMLV-QMMMGPOBSA-N -1 1 340.365 -0.327 20 0 EBADMM CN(C)S(=O)(=O)c1cc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)co1 ZINC001413467756 1130098354 /nfs/dbraw/zinc/09/83/54/1130098354.db2.gz JDIREVPEHHNMLV-MRVPVSSYSA-N -1 1 340.365 -0.327 20 0 EBADMM Cn1cc(C(=O)NC[C@@H](NC(=O)c2cnn[nH]2)C(C)(C)C)n(C)c1=O ZINC001413473735 1130101194 /nfs/dbraw/zinc/10/11/94/1130101194.db2.gz YQSWCXODFFVXSA-LLVKDONJSA-N -1 1 349.395 -0.584 20 0 EBADMM C[C@H](NC(N)=O)C(=O)NC1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001413555117 1130131979 /nfs/dbraw/zinc/13/19/79/1130131979.db2.gz CXNZVOFBWCZZLZ-IDKOKCKLSA-N -1 1 335.364 -0.437 20 0 EBADMM COC[C@H](NC(=O)c1cccc(NS(C)(=O)=O)c1)c1nn[n-]n1 ZINC001413558321 1130133673 /nfs/dbraw/zinc/13/36/73/1130133673.db2.gz VZDPHESBFCGBOE-JTQLQIEISA-N -1 1 340.365 -0.311 20 0 EBADMM CN(C)S(=O)(=O)c1ccc(O)c(C(=O)NCC[N@H+](C)CCO)c1 ZINC001413573563 1130141129 /nfs/dbraw/zinc/14/11/29/1130141129.db2.gz JLHQYSHDRAVHKD-UHFFFAOYSA-N -1 1 345.421 -0.704 20 0 EBADMM C/C(=C\C(=O)NCCNC(=O)CCn1cc[n-]c(=O)c1=O)C1CC1 ZINC001491146875 1130343045 /nfs/dbraw/zinc/34/30/45/1130343045.db2.gz QAOQJJDOILXAMF-ZHACJKMWSA-N -1 1 334.376 -0.485 20 0 EBADMM Cc1cc(C(=O)NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)co1 ZINC001491249866 1130373038 /nfs/dbraw/zinc/37/30/38/1130373038.db2.gz RDAQCCFEGBYCGL-UHFFFAOYSA-N -1 1 330.304 -0.521 20 0 EBADMM CC[C@]1(C)C[C@@H]1C(=O)NCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001491459160 1130453107 /nfs/dbraw/zinc/45/31/07/1130453107.db2.gz CNZXRISZFURTJR-BDJLRTHQSA-N -1 1 336.392 -0.405 20 0 EBADMM C[C@]1(C(=O)NCCNC(=O)CCn2cc[n-]c(=O)c2=O)C=CCC1 ZINC001491461147 1130455927 /nfs/dbraw/zinc/45/59/27/1130455927.db2.gz REVJORNOAKIETO-INIZCTEOSA-N -1 1 334.376 -0.485 20 0 EBADMM CC(C(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1)=C1CCC1 ZINC001280406302 1130566793 /nfs/dbraw/zinc/56/67/93/1130566793.db2.gz MRWZTYYRVCFVNV-ZDUSSCGKSA-N -1 1 335.408 -0.074 20 0 EBADMM CN(CCCNC(=O)c1cn(C)c(=O)n1C)C(=O)c1ncccc1[O-] ZINC001492401314 1130658124 /nfs/dbraw/zinc/65/81/24/1130658124.db2.gz UHBSBPQIBMPTRK-UHFFFAOYSA-N -1 1 347.375 -0.284 20 0 EBADMM CO[C@H](C(=O)NC[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1)C1CC1 ZINC001480250879 1130766622 /nfs/dbraw/zinc/76/66/22/1130766622.db2.gz KWUMFLMVTZJZNK-RISCZKNCSA-N -1 1 337.424 -0.138 20 0 EBADMM C[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)COCC1CC1 ZINC001416792348 1130812732 /nfs/dbraw/zinc/81/27/32/1130812732.db2.gz XVZNNKWDPOLBQY-SECBINFHSA-N -1 1 348.363 -0.921 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)NC[C@]1(O)CCSC1 ZINC001413923414 1130830389 /nfs/dbraw/zinc/83/03/89/1130830389.db2.gz VOKWTUWGQUYDGW-CQSZACIVSA-N -1 1 340.405 -0.078 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1CN(C(=O)C2(C3CC3)CC2)C1 ZINC001356776885 1130935324 /nfs/dbraw/zinc/93/53/24/1130935324.db2.gz HGDNXXPTXZPPLF-UHFFFAOYSA-N -1 1 346.387 -0.556 20 0 EBADMM CC[C@H](OC)C(=O)NC/C=C\CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001357645531 1131110430 /nfs/dbraw/zinc/11/04/30/1131110430.db2.gz FGNNSRVDNODAMY-WYGGZMRJSA-N -1 1 348.363 -0.755 20 0 EBADMM Cc1cocc1C(=O)NC[C@@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001358270918 1131177038 /nfs/dbraw/zinc/17/70/38/1131177038.db2.gz VOCQOGNDUWFGRV-LLVKDONJSA-N -1 1 348.359 -0.237 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)CCN1C(=O)CCC1=O ZINC001358526154 1131202921 /nfs/dbraw/zinc/20/29/21/1131202921.db2.gz MJALAKABCZNLOV-JTQLQIEISA-N -1 1 348.359 -0.439 20 0 EBADMM CC(C)[C@H](F)C(=O)N[C@@H](C)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001358534663 1131207752 /nfs/dbraw/zinc/20/77/52/1131207752.db2.gz MVHILWQMKDCTDV-JQWIXIFHSA-N -1 1 342.371 -0.458 20 0 EBADMM CO[C@@H]1CCn2cc(C(=O)N3CCO[C@@H](c4nn[n-]n4)C3)nc2C1 ZINC001361782861 1131454906 /nfs/dbraw/zinc/45/49/06/1131454906.db2.gz IXTWLMCRPBZBNA-MWLCHTKSSA-N -1 1 333.352 -0.429 20 0 EBADMM Cn1ccnc1[C@](O)(CCNC(=O)CCc1nn[n-]n1)C(F)(F)F ZINC001361886691 1131472809 /nfs/dbraw/zinc/47/28/09/1131472809.db2.gz BECFQIXKKRIUSV-LLVKDONJSA-N -1 1 347.301 -0.178 20 0 EBADMM O=C(c1cc2c([nH]c1=O)CCC[C@H]2O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001361921593 1131479481 /nfs/dbraw/zinc/47/94/81/1131479481.db2.gz FTGDOVGIKWHKFY-VXGBXAGGSA-N -1 1 346.347 -0.116 20 0 EBADMM COC(=O)N1CCCN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)CC1 ZINC001362012798 1131496502 /nfs/dbraw/zinc/49/65/02/1131496502.db2.gz VIPLPDWVGOVVRK-UHFFFAOYSA-N -1 1 326.309 -0.189 20 0 EBADMM C[C@H](C(=O)NC1CC1)N1CCN(C(=O)CCCc2nn[n-]n2)CC1 ZINC001362044303 1131503360 /nfs/dbraw/zinc/50/33/60/1131503360.db2.gz ZAQJSYUCPLLSEP-LLVKDONJSA-N -1 1 335.412 -0.666 20 0 EBADMM CS(=O)(=O)c1cccnc1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001362062044 1131507529 /nfs/dbraw/zinc/50/75/29/1131507529.db2.gz MDFBMCUZDIUHGG-MRVPVSSYSA-N -1 1 338.349 -0.788 20 0 EBADMM CNS(=O)(=O)c1cccc([C@H](C)NC(=O)CCc2nn[n-]n2)c1 ZINC001362115084 1131518112 /nfs/dbraw/zinc/51/81/12/1131518112.db2.gz RJLVTWLHERTVSK-VIFPVBQESA-N -1 1 338.393 -0.082 20 0 EBADMM NS(=O)(=O)c1ccc2c(c1)N(C(=O)CCCc1nn[n-]n1)CC2 ZINC001362144537 1131524697 /nfs/dbraw/zinc/52/46/97/1131524697.db2.gz DMWWVOCTZWDKDU-UHFFFAOYSA-N -1 1 336.377 -0.241 20 0 EBADMM Cn1ccc(N2CCC[C@H](NC(=O)CCCc3nn[n-]n3)C2=O)n1 ZINC001362171993 1131529386 /nfs/dbraw/zinc/52/93/86/1131529386.db2.gz DJJPDXFUCIGJFU-JTQLQIEISA-N -1 1 332.368 -0.432 20 0 EBADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N[C@@H]1CN(C)NC1=O ZINC001362284325 1131552248 /nfs/dbraw/zinc/55/22/48/1131552248.db2.gz IJHFQIQBKGPNIK-GFCCVEGCSA-N -1 1 342.359 -0.856 20 0 EBADMM CC(=O)NCC(=O)N1CCC[C@H]1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362405277 1131576447 /nfs/dbraw/zinc/57/64/47/1131576447.db2.gz FFFZOQWXIXZPRL-RYUDHWBXSA-N -1 1 349.395 -0.967 20 0 EBADMM O=C(c1cccnc1OCCO)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362437989 1131583254 /nfs/dbraw/zinc/58/32/54/1131583254.db2.gz RQWNYOADNMFZEI-UHFFFAOYSA-N -1 1 334.336 -0.910 20 0 EBADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@@H]1CC[C@@H](C(N)=O)O1 ZINC001362468399 1131589523 /nfs/dbraw/zinc/58/95/23/1131589523.db2.gz BIMQWALBFZGRBR-YUMQZZPRSA-N -1 1 326.378 -0.025 20 0 EBADMM O=C(COc1ccc(CO)cc1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362564589 1131612061 /nfs/dbraw/zinc/61/20/61/1131612061.db2.gz ZBZAIOOLAUHWNT-UHFFFAOYSA-N -1 1 333.348 -0.419 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1COC2(CCOCC2)O1 ZINC001362573728 1131615220 /nfs/dbraw/zinc/61/52/20/1131615220.db2.gz YEZRKCPZBCTEOV-MRVPVSSYSA-N -1 1 341.320 -0.452 20 0 EBADMM CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1ccc([O-])cn1 ZINC001362574571 1131615429 /nfs/dbraw/zinc/61/54/29/1131615429.db2.gz XYUOWEYLWYJAEW-LBPRGKRZSA-N -1 1 337.332 -0.693 20 0 EBADMM CC[C@H](CNS(C)(=O)=O)NC(=O)c1cnc(SC)[n-]c1=O ZINC001362593264 1131619425 /nfs/dbraw/zinc/61/94/25/1131619425.db2.gz UCUJWZGTBPFKEB-SSDOTTSWSA-N -1 1 334.423 -0.038 20 0 EBADMM O=C(Cc1ccc2c(c1)NC(=O)C2)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362723961 1131654276 /nfs/dbraw/zinc/65/42/76/1131654276.db2.gz KMOVHWMKESULRC-UHFFFAOYSA-N -1 1 342.359 -0.253 20 0 EBADMM O=C([C@H]1CC(=O)N[C@@H]2CCCC[C@@H]21)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362727495 1131655668 /nfs/dbraw/zinc/65/56/68/1131655668.db2.gz SAUAGKWKQQPRQF-GRYCIOLGSA-N -1 1 348.407 -0.295 20 0 EBADMM CN(C[C@H](O)CN(C)C(=O)OC(C)(C)C)C(=O)CCc1nn[n-]n1 ZINC001362738650 1131659192 /nfs/dbraw/zinc/65/91/92/1131659192.db2.gz KZFCVQSUDCGIPH-JTQLQIEISA-N -1 1 342.400 -0.182 20 0 EBADMM CC(C)(C)OC(=O)N1CC[C@H](NC(=O)CCc2nn[n-]n2)[C@H](O)C1 ZINC001362792865 1131675647 /nfs/dbraw/zinc/67/56/47/1131675647.db2.gz JSSBCRCIQBLXSY-VHSXEESVSA-N -1 1 340.384 -0.381 20 0 EBADMM Cn1nnc2c1C[C@@H](C(=O)NCc1nc3c(c(=O)[n-]1)COCC3)CC2 ZINC001362808301 1131681199 /nfs/dbraw/zinc/68/11/99/1131681199.db2.gz LQAWWMHLQWAHLC-VIFPVBQESA-N -1 1 344.375 -0.195 20 0 EBADMM O=C([C@@H]1CC(=O)N(Cc2ccccn2)C1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001362824729 1131686430 /nfs/dbraw/zinc/68/64/30/1131686430.db2.gz YASFTMJEVYTTFG-NWDGAFQWSA-N -1 1 341.375 -0.041 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H](O)CNC(=O)OC(C)(C)C)c1nn[n-]n1 ZINC001362825430 1131686523 /nfs/dbraw/zinc/68/65/23/1131686523.db2.gz OXFWAABUTLBDBG-BDAKNGLRSA-N -1 1 328.373 -0.353 20 0 EBADMM C[C@H](CN(C)C(=O)c1cc(N2CCOCC2)ncn1)c1nn[n-]n1 ZINC001362824428 1131686848 /nfs/dbraw/zinc/68/68/48/1131686848.db2.gz HZZIYCZSVUSEFE-SNVBAGLBSA-N -1 1 332.368 -0.298 20 0 EBADMM Cn1nnnc1C1CCN(C(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC001362862578 1131698669 /nfs/dbraw/zinc/69/86/69/1131698669.db2.gz OXPWTFYAIWLKKT-UHFFFAOYSA-N -1 1 345.363 -0.553 20 0 EBADMM COC[C@H](NC(=O)Cn1cc(Br)cn1)c1nn[n-]n1 ZINC001362912432 1131712116 /nfs/dbraw/zinc/71/21/16/1131712116.db2.gz YFCZMFUBGVMGSW-ZETCQYMHSA-N -1 1 330.146 -0.337 20 0 EBADMM Nc1nnn(CC(=O)N2CCC[C@@H](C[N-]C(=O)C(F)(F)F)C2)n1 ZINC001362963961 1131726752 /nfs/dbraw/zinc/72/67/52/1131726752.db2.gz WJFLBSJLEDQHSE-ZETCQYMHSA-N -1 1 335.290 -0.828 20 0 EBADMM Nc1ncc2c(n1)CN(C(=O)CNC(=O)c1ncccc1[O-])CC2 ZINC001362969004 1131728660 /nfs/dbraw/zinc/72/86/60/1131728660.db2.gz QNYURUHHDQPBDD-UHFFFAOYSA-N -1 1 328.332 -0.526 20 0 EBADMM Cc1nc(N2CCN(C(=O)CNC(=O)c3ncccc3[O-])CC2)n[nH]1 ZINC001363001754 1131740376 /nfs/dbraw/zinc/74/03/76/1131740376.db2.gz KSYJMGIJVAIWMF-UHFFFAOYSA-N -1 1 345.363 -0.708 20 0 EBADMM CSc1nc(C)c(CCC(=O)N2C[C@@H](O)[C@H](CO)C2)c(=O)[n-]1 ZINC001363015325 1131746675 /nfs/dbraw/zinc/74/66/75/1131746675.db2.gz WBRLKRYOCCQENY-GXSJLCMTSA-N -1 1 327.406 -0.043 20 0 EBADMM CCCOc1ccnc(CC(=O)N2CCC(O)(c3nn[n-]n3)CC2)n1 ZINC001363025400 1131752353 /nfs/dbraw/zinc/75/23/53/1131752353.db2.gz AVHYTPRCUIVYKR-UHFFFAOYSA-N -1 1 347.379 -0.169 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H](CO)[C@H]2CCCOC2)o1 ZINC001363047250 1131761886 /nfs/dbraw/zinc/76/18/86/1131761886.db2.gz KCGDMZNAMVOMCZ-UWVGGRQHSA-N -1 1 332.378 -0.295 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)C(C)(C)N2CCOCC2)n1 ZINC001363116515 1131791511 /nfs/dbraw/zinc/79/15/11/1131791511.db2.gz FFDOFMHVPGAPBO-UHFFFAOYSA-N -1 1 325.369 -0.292 20 0 EBADMM O=C(CNS(=O)(=O)c1ccccc1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001363216053 1131834634 /nfs/dbraw/zinc/83/46/34/1131834634.db2.gz GILHCTBHXOCDBP-SNVBAGLBSA-N -1 1 336.377 -0.506 20 0 EBADMM CCOC(=O)[C@]1(C)CN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)CCO1 ZINC001363266060 1131860284 /nfs/dbraw/zinc/86/02/84/1131860284.db2.gz HFELPYIORDPMET-AWEZNQCLSA-N -1 1 341.320 -0.309 20 0 EBADMM Nc1nc2nc(CN3CC[C@H](CN4CCCC4=O)C3)cc(=O)n2[n-]1 ZINC001363271759 1131863933 /nfs/dbraw/zinc/86/39/33/1131863933.db2.gz ULAKJMZOXUBTKK-JTQLQIEISA-N -1 1 331.380 -0.556 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCN(CCO)C[C@H]2C)o1 ZINC001363290192 1131877309 /nfs/dbraw/zinc/87/73/09/1131877309.db2.gz XAOYVDJOCLQPAC-SNVBAGLBSA-N -1 1 331.394 -0.674 20 0 EBADMM CCOC(=O)[C@@H](CSC)NC(=O)CNC(=O)c1ncccc1[O-] ZINC001363398893 1131931962 /nfs/dbraw/zinc/93/19/62/1131931962.db2.gz CSIVYTMKNINTLJ-SECBINFHSA-N -1 1 341.389 -0.072 20 0 EBADMM CC(C)(C)OC(=O)N1CC(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)C1 ZINC001363413137 1131939213 /nfs/dbraw/zinc/93/92/13/1131939213.db2.gz ZRAZHLNOTFVUPB-SNVBAGLBSA-N -1 1 338.368 -0.034 20 0 EBADMM CCOC(=O)[C@H]1CCCN(CC(=O)N[C@@H](COC)c2nn[n-]n2)C1 ZINC001363415709 1131940532 /nfs/dbraw/zinc/94/05/32/1131940532.db2.gz OAWVDXSBPYWQHR-QWRGUYRKSA-N -1 1 340.384 -0.722 20 0 EBADMM CCOC(=O)[C@@H]1CCCN(CC(=O)N[C@@H](COC)c2nn[n-]n2)C1 ZINC001363415704 1131940651 /nfs/dbraw/zinc/94/06/51/1131940651.db2.gz OAWVDXSBPYWQHR-MNOVXSKESA-N -1 1 340.384 -0.722 20 0 EBADMM O=C(CN1CCCS1(=O)=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001363434817 1131948674 /nfs/dbraw/zinc/94/86/74/1131948674.db2.gz NZVNXNQTVRMSJY-SNVBAGLBSA-N -1 1 328.398 -0.984 20 0 EBADMM COC[C@@H](NC(=O)[C@@H]1CN(C(=O)C(C)(C)C)CCO1)c1nn[n-]n1 ZINC001363439550 1131950513 /nfs/dbraw/zinc/95/05/13/1131950513.db2.gz WXJWHMJWVNGJSF-ZJUUUORDSA-N -1 1 340.384 -0.723 20 0 EBADMM CSCC[C@H](NC(=O)[C@H]1CCCN1S(C)(=O)=O)c1nn[n-]n1 ZINC001363493002 1131974004 /nfs/dbraw/zinc/97/40/04/1131974004.db2.gz SOTHYTZOHNXLFC-DTWKUNHWSA-N -1 1 348.454 -0.466 20 0 EBADMM CCN(CC)S(=O)(=O)N1CCN(C(=O)c2cnncc2[O-])CC1 ZINC001363511758 1131983268 /nfs/dbraw/zinc/98/32/68/1131983268.db2.gz GZLBGNNQJJNGMW-UHFFFAOYSA-N -1 1 343.409 -0.473 20 0 EBADMM O=C(c1cc(N2CCOCC2)ccn1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001363513418 1131984270 /nfs/dbraw/zinc/98/42/70/1131984270.db2.gz QJBMIAUUHKUCJY-CYBMUJFWSA-N -1 1 345.363 -0.355 20 0 EBADMM O=C(CN1Cc2ccccc2C1=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001363513674 1131984759 /nfs/dbraw/zinc/98/47/59/1131984759.db2.gz RACZLXSHYYWWGJ-GFCCVEGCSA-N -1 1 328.332 -0.244 20 0 EBADMM O=C(c1ccnc(N2CCOCC2)n1)N1CCC(c2nn[n-]n2)CC1 ZINC001363519714 1131987972 /nfs/dbraw/zinc/98/79/72/1131987972.db2.gz SGFFQWYQXJCIBV-UHFFFAOYSA-N -1 1 344.379 -0.154 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)c1cnc2n1CCOC2 ZINC001363521054 1131989407 /nfs/dbraw/zinc/98/94/07/1131989407.db2.gz HEMKEDYAGCLFMN-UHFFFAOYSA-N -1 1 331.332 -0.088 20 0 EBADMM CCN1CCC[C@H](NC(=O)c2coc(S(=O)(=O)[N-]C)c2)C1=O ZINC001363577306 1132019339 /nfs/dbraw/zinc/01/93/39/1132019339.db2.gz JBXVJMJVNRWCCB-JTQLQIEISA-N -1 1 329.378 -0.072 20 0 EBADMM COC[C@H](NC(=O)c1cccc(C(=O)N2CCCC2)n1)c1nn[n-]n1 ZINC001363591543 1132025578 /nfs/dbraw/zinc/02/55/78/1132025578.db2.gz UMXRTPNHGYABMZ-LBPRGKRZSA-N -1 1 345.363 -0.052 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CC[C@@H](O)[C@@H](O)CC2)co1 ZINC001363600630 1132028944 /nfs/dbraw/zinc/02/89/44/1132028944.db2.gz WJSMKRKNMWZWEF-JGPRNRPPSA-N -1 1 332.378 -0.418 20 0 EBADMM CCN1CCC[C@H](NC(=O)c2ccc(S(=O)(=O)[N-]C)o2)C1=O ZINC001363617842 1132034364 /nfs/dbraw/zinc/03/43/64/1132034364.db2.gz WZLGCVGFVZJSST-VIFPVBQESA-N -1 1 329.378 -0.072 20 0 EBADMM COc1cnc([C@H]2CCCN2C(=O)CN2CCNC(=O)C2)[n-]c1=O ZINC001363882606 1132118268 /nfs/dbraw/zinc/11/82/68/1132118268.db2.gz BXPRLSPGZYXPPX-SNVBAGLBSA-N -1 1 335.364 -0.714 20 0 EBADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@H]2COCCN2CC)n[n-]1 ZINC001363888700 1132120837 /nfs/dbraw/zinc/12/08/37/1132120837.db2.gz SAXCKNJTSUGBMG-NXEZZACHSA-N -1 1 325.369 -0.121 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@H]2COCCN2CC)n1 ZINC001363888700 1132120840 /nfs/dbraw/zinc/12/08/40/1132120840.db2.gz SAXCKNJTSUGBMG-NXEZZACHSA-N -1 1 325.369 -0.121 20 0 EBADMM COC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)CCS(=O)(=O)N(C)C ZINC001363923878 1132130515 /nfs/dbraw/zinc/13/05/15/1132130515.db2.gz CXOXDJIEGMSIBI-JTQLQIEISA-N -1 1 342.439 -0.861 20 0 EBADMM COC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)CCS(=O)(=O)N(C)C ZINC001363923879 1132130742 /nfs/dbraw/zinc/13/07/42/1132130742.db2.gz CXOXDJIEGMSIBI-SNVBAGLBSA-N -1 1 342.439 -0.861 20 0 EBADMM CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-]CC(=O)NCC(C)C ZINC001363926058 1132131398 /nfs/dbraw/zinc/13/13/98/1132131398.db2.gz DPBHGCAJIMWAEO-UHFFFAOYSA-N -1 1 346.409 -0.055 20 0 EBADMM CCCN1C[C@@H](CNS(=O)(=O)c2cc(C(=O)OC)n[n-]2)CC1=O ZINC001363925570 1132131521 /nfs/dbraw/zinc/13/15/21/1132131521.db2.gz MJZMRWISDZOUIA-SECBINFHSA-N -1 1 344.393 -0.267 20 0 EBADMM CCCN1C[C@@H](CNS(=O)(=O)c2cc(C(=O)OC)[n-]n2)CC1=O ZINC001363925570 1132131527 /nfs/dbraw/zinc/13/15/27/1132131527.db2.gz MJZMRWISDZOUIA-SECBINFHSA-N -1 1 344.393 -0.267 20 0 EBADMM COC(=O)C1(CS(=O)(=O)[N-]CC(=O)N=S(C)(C)=O)CC1 ZINC001363930649 1132132938 /nfs/dbraw/zinc/13/29/38/1132132938.db2.gz VGRDDGVTEVJVOS-UHFFFAOYSA-N -1 1 326.396 -0.887 20 0 EBADMM COc1nscc1S(=O)(=O)[N-]CCN1CCCS1(=O)=O ZINC001363935386 1132134837 /nfs/dbraw/zinc/13/48/37/1132134837.db2.gz IQMDHXQIWQUXAX-UHFFFAOYSA-N -1 1 341.436 -0.535 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC3(CC2)OCCO3)[n-]n1 ZINC001363951999 1132141396 /nfs/dbraw/zinc/14/13/96/1132141396.db2.gz WMUGRMVGUZVHLI-UHFFFAOYSA-N -1 1 331.350 -0.276 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC3(CC2)OCCO3)n[n-]1 ZINC001363951999 1132141405 /nfs/dbraw/zinc/14/14/05/1132141405.db2.gz WMUGRMVGUZVHLI-UHFFFAOYSA-N -1 1 331.350 -0.276 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCCS(=O)(=O)C2)[n-]n1 ZINC001363959539 1132143088 /nfs/dbraw/zinc/14/30/88/1132143088.db2.gz ATDWGCWFXMZLQH-SSDOTTSWSA-N -1 1 337.379 -0.948 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCCS(=O)(=O)C2)n[n-]1 ZINC001363959539 1132143092 /nfs/dbraw/zinc/14/30/92/1132143092.db2.gz ATDWGCWFXMZLQH-SSDOTTSWSA-N -1 1 337.379 -0.948 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCOC[C@]23CCOC3)[n-]n1 ZINC001363967871 1132146964 /nfs/dbraw/zinc/14/69/64/1132146964.db2.gz LYOLGYLDAVMNBO-GFCCVEGCSA-N -1 1 331.350 -0.624 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCOC[C@]23CCOC3)n[n-]1 ZINC001363967871 1132146965 /nfs/dbraw/zinc/14/69/65/1132146965.db2.gz LYOLGYLDAVMNBO-GFCCVEGCSA-N -1 1 331.350 -0.624 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H](CO)CC2CCCC2)c(=O)[nH]c1=O ZINC001363972158 1132149095 /nfs/dbraw/zinc/14/90/95/1132149095.db2.gz SEOQSPXFGAERIM-SNVBAGLBSA-N -1 1 331.394 -0.295 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)NCC(=O)N2CCOCC2)c1 ZINC001363977961 1132151820 /nfs/dbraw/zinc/15/18/20/1132151820.db2.gz YARGEZXYMYBURC-UHFFFAOYSA-N -1 1 330.362 -0.462 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@H](O)C2CCOCC2)[n-]n1 ZINC001363986587 1132154537 /nfs/dbraw/zinc/15/45/37/1132154537.db2.gz UXAJILUUZHDVHV-JTQLQIEISA-N -1 1 333.366 -0.738 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@H](O)C2CCOCC2)n[n-]1 ZINC001363986587 1132154538 /nfs/dbraw/zinc/15/45/38/1132154538.db2.gz UXAJILUUZHDVHV-JTQLQIEISA-N -1 1 333.366 -0.738 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H]2CCN(C(N)=O)C2)c1 ZINC001363997241 1132158666 /nfs/dbraw/zinc/15/86/66/1132158666.db2.gz HLKZKAVHQRUDAF-MRVPVSSYSA-N -1 1 331.350 -0.255 20 0 EBADMM COC[C@]1(CO)CCN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)C1 ZINC001364007792 1132163326 /nfs/dbraw/zinc/16/33/26/1132163326.db2.gz LIBDEKYPVSAJDI-GFCCVEGCSA-N -1 1 333.366 -0.784 20 0 EBADMM COC[C@]1(CO)CCN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)C1 ZINC001364007792 1132163328 /nfs/dbraw/zinc/16/33/28/1132163328.db2.gz LIBDEKYPVSAJDI-GFCCVEGCSA-N -1 1 333.366 -0.784 20 0 EBADMM COC(=O)c1cnc([C@H](C)[N-]S(=O)(=O)[C@@H]2COC[C@H]2O)s1 ZINC001364014790 1132166963 /nfs/dbraw/zinc/16/69/63/1132166963.db2.gz VEGBZBRNNXAGHG-LKEWCRSYSA-N -1 1 336.391 -0.330 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2[C@@H]3OC[C@@H]4C[C@H]2C[C@@H]43)c(=O)[nH]c1=O ZINC001364024464 1132171169 /nfs/dbraw/zinc/17/11/69/1132171169.db2.gz MULPKYXCMHCNGL-MZFCOBPBSA-N -1 1 327.362 -0.812 20 0 EBADMM COC(=O)[C@H](Cc1cncs1)[N-]S(=O)(=O)[C@H](C)C(=O)N(C)C ZINC001364039907 1132178629 /nfs/dbraw/zinc/17/86/29/1132178629.db2.gz IPGNMRRBOFUMPR-SCZZXKLOSA-N -1 1 349.434 -0.377 20 0 EBADMM CCO[C@H]1COCC[C@@H]1CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC001364051123 1132185159 /nfs/dbraw/zinc/18/51/59/1132185159.db2.gz UQMICQRARMUXPO-BDAKNGLRSA-N -1 1 332.382 -0.022 20 0 EBADMM CCO[C@H]1COCC[C@@H]1CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC001364051123 1132185162 /nfs/dbraw/zinc/18/51/62/1132185162.db2.gz UQMICQRARMUXPO-BDAKNGLRSA-N -1 1 332.382 -0.022 20 0 EBADMM CCOC(=O)CC1([N-]S(=O)(=O)c2n[nH]cc2C(=O)OC)COC1 ZINC001364064870 1132191135 /nfs/dbraw/zinc/19/11/35/1132191135.db2.gz SGNCPHGJSOJRIM-UHFFFAOYSA-N -1 1 347.349 -0.803 20 0 EBADMM COc1cnc([C@@H]2CCCN2S(=O)(=O)c2nncn2C)[n-]c1=O ZINC001364063118 1132191493 /nfs/dbraw/zinc/19/14/93/1132191493.db2.gz DKOUBDHJJTXLFC-QMMMGPOBSA-N -1 1 340.365 -0.155 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2CCC(C)(C)CO2)c(=O)[nH]c1=O ZINC001364072008 1132195885 /nfs/dbraw/zinc/19/58/85/1132195885.db2.gz UHBPNULOOLENEO-VIFPVBQESA-N -1 1 331.394 -0.031 20 0 EBADMM COC(=O)[C@@H](CC1OCCO1)[N-]S(=O)(=O)CCn1ccnc1 ZINC001364182924 1132228888 /nfs/dbraw/zinc/22/88/88/1132228888.db2.gz YKNXIQMXGKNLPD-SNVBAGLBSA-N -1 1 333.366 -0.893 20 0 EBADMM CNC(=O)[C@@H]1CCC[C@H]1NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001364229409 1132246632 /nfs/dbraw/zinc/24/66/32/1132246632.db2.gz KSRFWUDEEFFNOF-MWLCHTKSSA-N -1 1 349.391 -0.031 20 0 EBADMM O=C(c1ncc2ccccc2c1[O-])N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001364239508 1132249657 /nfs/dbraw/zinc/24/96/57/1132249657.db2.gz AWQBCTQKKDNEEI-NSHDSACASA-N -1 1 348.384 -0.085 20 0 EBADMM CC[C@@H](CNS(C)(=O)=O)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001364339871 1132282614 /nfs/dbraw/zinc/28/26/14/1132282614.db2.gz XNDMCOIOMCGTKT-VIFPVBQESA-N -1 1 340.409 -0.209 20 0 EBADMM CC[C@H](CNS(C)(=O)=O)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001364339864 1132283182 /nfs/dbraw/zinc/28/31/82/1132283182.db2.gz XNDMCOIOMCGTKT-SECBINFHSA-N -1 1 340.409 -0.209 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@@H](OC)C[C@@]2(C)CO)co1 ZINC001364441266 1132317659 /nfs/dbraw/zinc/31/76/59/1132317659.db2.gz YIOOQTCPSBIBMW-GWCFXTLKSA-N -1 1 332.378 -0.200 20 0 EBADMM COCCn1nc(C(=O)N2CCC(c3nn[n-]n3)CC2)ccc1=O ZINC001364530080 1132352090 /nfs/dbraw/zinc/35/20/90/1132352090.db2.gz RTTWRFLTTPVSGU-UHFFFAOYSA-N -1 1 333.352 -0.577 20 0 EBADMM CCOC(=O)C1([N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)CCCC1 ZINC001364761584 1132418698 /nfs/dbraw/zinc/41/86/98/1132418698.db2.gz SOLSMMZJLSPMSQ-UHFFFAOYSA-N -1 1 345.377 -0.360 20 0 EBADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)[C@H](O)C(C)(C)O ZINC001364771865 1132421695 /nfs/dbraw/zinc/42/16/95/1132421695.db2.gz XMGUJJNJOJZJRP-KCJUWKMLSA-N -1 1 326.353 -0.223 20 0 EBADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)[C@H](O)C(C)(C)O ZINC001364771865 1132421700 /nfs/dbraw/zinc/42/17/00/1132421700.db2.gz XMGUJJNJOJZJRP-KCJUWKMLSA-N -1 1 326.353 -0.223 20 0 EBADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)[C@H](O)C(C)(C)O ZINC001364771865 1132421705 /nfs/dbraw/zinc/42/17/05/1132421705.db2.gz XMGUJJNJOJZJRP-KCJUWKMLSA-N -1 1 326.353 -0.223 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H](C(N)=O)CC[C@@H]2C)[n-]n1 ZINC001364783317 1132425879 /nfs/dbraw/zinc/42/58/79/1132425879.db2.gz JILNUSXMASNQJX-YUMQZZPRSA-N -1 1 330.366 -0.529 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H](C(N)=O)CC[C@@H]2C)n[n-]1 ZINC001364783317 1132425881 /nfs/dbraw/zinc/42/58/81/1132425881.db2.gz JILNUSXMASNQJX-YUMQZZPRSA-N -1 1 330.366 -0.529 20 0 EBADMM CCS(=O)(=O)N1CCC(C(=O)N[C@H](COC)c2nn[n-]n2)CC1 ZINC001364813069 1132435866 /nfs/dbraw/zinc/43/58/66/1132435866.db2.gz GHIPUIBWTDOZQO-SNVBAGLBSA-N -1 1 346.413 -0.935 20 0 EBADMM COC[C@H](NC(=O)[C@H]1C[C@@]12c1ccccc1NC2=O)c1nn[n-]n1 ZINC001364814795 1132436119 /nfs/dbraw/zinc/43/61/19/1132436119.db2.gz NGXPOEKMKAYUJV-BPYAMOTFSA-N -1 1 328.332 -0.087 20 0 EBADMM CO[C@@]1(C(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)CCS(=O)(=O)C1 ZINC001364817361 1132438397 /nfs/dbraw/zinc/43/83/97/1132438397.db2.gz AMVVORANVFPYSW-GWCFXTLKSA-N -1 1 343.409 -0.816 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC3(COC(=O)N3)CC2)[n-]n1 ZINC001364828259 1132444865 /nfs/dbraw/zinc/44/48/65/1132444865.db2.gz BEUDPDXLYIQHKA-UHFFFAOYSA-N -1 1 344.349 -0.541 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC3(COC(=O)N3)CC2)n[n-]1 ZINC001364828259 1132444869 /nfs/dbraw/zinc/44/48/69/1132444869.db2.gz BEUDPDXLYIQHKA-UHFFFAOYSA-N -1 1 344.349 -0.541 20 0 EBADMM O=S(=O)(N=S1(=O)CCCC1)[N-]CC1(CO)CCOCC1 ZINC001364830568 1132445853 /nfs/dbraw/zinc/44/58/53/1132445853.db2.gz MUWFFWSKIORDKO-UHFFFAOYSA-N -1 1 326.440 -0.128 20 0 EBADMM COC(=O)[C@@H](C)CS(=O)(=O)[N-][C@@H](Cc1ccncc1)C(=O)OC ZINC001364851199 1132453478 /nfs/dbraw/zinc/45/34/78/1132453478.db2.gz KYIJWVYSVNNHGJ-JQWIXIFHSA-N -1 1 344.389 -0.106 20 0 EBADMM CC[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)[C@@H](O)C(F)F ZINC001364851254 1132453814 /nfs/dbraw/zinc/45/38/14/1132453814.db2.gz KXERGHCOPDJYOL-CAHLUQPWSA-N -1 1 327.309 -0.831 20 0 EBADMM CC[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)[C@H](O)C(F)F ZINC001364851265 1132454020 /nfs/dbraw/zinc/45/40/20/1132454020.db2.gz KXERGHCOPDJYOL-VDTYLAMSSA-N -1 1 327.309 -0.831 20 0 EBADMM COC(=O)[C@H](C)CS(=O)(=O)[N-][C@@H](Cc1ccncc1)C(=O)OC ZINC001364851201 1132454044 /nfs/dbraw/zinc/45/40/44/1132454044.db2.gz KYIJWVYSVNNHGJ-PWSUYJOCSA-N -1 1 344.389 -0.106 20 0 EBADMM CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@@H](C)C(=O)N(C)C ZINC001364856455 1132455891 /nfs/dbraw/zinc/45/58/91/1132455891.db2.gz WEJZKUWXBXHFDB-QMMMGPOBSA-N -1 1 332.382 -0.350 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCSC[C@@H]2C(=O)OC)co1 ZINC001364860158 1132457534 /nfs/dbraw/zinc/45/75/34/1132457534.db2.gz HSDXTNCZRALUMU-SECBINFHSA-N -1 1 348.402 -0.082 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2C[C@@H]3CCCCN3C2=O)[n-]n1 ZINC001364874970 1132463087 /nfs/dbraw/zinc/46/30/87/1132463087.db2.gz JOKFZFTVKSLENV-DTWKUNHWSA-N -1 1 342.377 -0.372 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2C[C@@H]3CCCCN3C2=O)n[n-]1 ZINC001364874970 1132463089 /nfs/dbraw/zinc/46/30/89/1132463089.db2.gz JOKFZFTVKSLENV-DTWKUNHWSA-N -1 1 342.377 -0.372 20 0 EBADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-]Cc1ncc2c(n1)CCOC2 ZINC001364877358 1132464158 /nfs/dbraw/zinc/46/41/58/1132464158.db2.gz CKMWJVOVRVPFDI-OAQYLSRUSA-N -1 1 349.438 -0.542 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H](C)N(CCO)C[C@@H]2C)[n-]n1 ZINC001364887384 1132470046 /nfs/dbraw/zinc/47/00/46/1132470046.db2.gz KZGXGOWVFWJPQL-ZJUUUORDSA-N -1 1 346.409 -0.728 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H](C)N(CCO)C[C@@H]2C)n[n-]1 ZINC001364887384 1132470053 /nfs/dbraw/zinc/47/00/53/1132470053.db2.gz KZGXGOWVFWJPQL-ZJUUUORDSA-N -1 1 346.409 -0.728 20 0 EBADMM COC(=O)[C@@](C)(CCF)[N-]S(=O)(=O)CCS(=O)(=O)N(C)C ZINC001364903929 1132477961 /nfs/dbraw/zinc/47/79/61/1132477961.db2.gz KROFACFGEFALIY-SNVBAGLBSA-N -1 1 348.418 -0.912 20 0 EBADMM Cc1cc(CC[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)nn1C ZINC001364916139 1132484680 /nfs/dbraw/zinc/48/46/80/1132484680.db2.gz PCYMEEREKXSSBH-UHFFFAOYSA-N -1 1 327.366 -0.951 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)NCCn2ccc(=O)[nH]c2=O)c1 ZINC001364918601 1132485390 /nfs/dbraw/zinc/48/53/90/1132485390.db2.gz UDJCRWBVMXCRJE-UHFFFAOYSA-N -1 1 341.345 -0.358 20 0 EBADMM COC(=O)[C@H](C)CS(=O)(=O)[N-][C@@H](C[C@H]1CCCO1)C(=O)OC ZINC001364961188 1132503640 /nfs/dbraw/zinc/50/36/40/1132503640.db2.gz VQUXWODKVWSJQO-MXWKQRLJSA-N -1 1 337.394 -0.174 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC(C)(C)C(=O)N2CCCC2)[n-]n1 ZINC001364963790 1132505035 /nfs/dbraw/zinc/50/50/35/1132505035.db2.gz FSQXNWWFXYPEGM-UHFFFAOYSA-N -1 1 344.393 -0.124 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC(C)(C)C(=O)N2CCCC2)n[n-]1 ZINC001364963790 1132505040 /nfs/dbraw/zinc/50/50/40/1132505040.db2.gz FSQXNWWFXYPEGM-UHFFFAOYSA-N -1 1 344.393 -0.124 20 0 EBADMM COC(=O)[C@@H](CC1OCCO1)[N-]S(=O)(=O)CCOC(C)C ZINC001364993927 1132514013 /nfs/dbraw/zinc/51/40/13/1132514013.db2.gz VDJAGGDEFPHLAK-SNVBAGLBSA-N -1 1 325.383 -0.365 20 0 EBADMM Cc1cc(CS(=O)(=O)[N-][C@@](C)(Cn2cccn2)C(N)=O)on1 ZINC001365002557 1132517499 /nfs/dbraw/zinc/51/74/99/1132517499.db2.gz BOMDCEIHHPDYMD-LBPRGKRZSA-N -1 1 327.366 -0.457 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCN(C(=O)C(C)C)CC2)[n-]n1 ZINC001365017014 1132520732 /nfs/dbraw/zinc/52/07/32/1132520732.db2.gz FLHQTSGPHRAZOR-UHFFFAOYSA-N -1 1 344.393 -0.315 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCN(C(=O)C(C)C)CC2)n[n-]1 ZINC001365017014 1132520739 /nfs/dbraw/zinc/52/07/39/1132520739.db2.gz FLHQTSGPHRAZOR-UHFFFAOYSA-N -1 1 344.393 -0.315 20 0 EBADMM COc1nscc1S(=O)(=O)[N-]CCN1CCN(C(C)=O)CC1 ZINC001365031172 1132523521 /nfs/dbraw/zinc/52/35/21/1132523521.db2.gz JHCLAACGVHMABH-UHFFFAOYSA-N -1 1 348.450 -0.406 20 0 EBADMM CSc1ncc(C(=O)N2C[C@@H](C)[C@H](CS(N)(=O)=O)C2)c(=O)[n-]1 ZINC001365051757 1132530349 /nfs/dbraw/zinc/53/03/49/1132530349.db2.gz HEOFVXXANVYHDA-SFYZADRCSA-N -1 1 346.434 -0.099 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N1CCN2CCOC[C@H]2C1 ZINC001365428349 1132667954 /nfs/dbraw/zinc/66/79/54/1132667954.db2.gz LJMVXTCFKFZSNX-LLVKDONJSA-N -1 1 349.391 -0.519 20 0 EBADMM CCN(CCC(=O)NC)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001365431897 1132670488 /nfs/dbraw/zinc/67/04/88/1132670488.db2.gz XASVSPXRZUEBSL-UHFFFAOYSA-N -1 1 337.380 -0.078 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H]2CCO[C@H]2C(=O)OC)c1 ZINC001365455111 1132687184 /nfs/dbraw/zinc/68/71/84/1132687184.db2.gz ZTOROOSKPXJEMD-LDYMZIIASA-N -1 1 347.345 -0.077 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@H]2CCOC[C@H]2OC)[n-]n1 ZINC001365456866 1132688440 /nfs/dbraw/zinc/68/84/40/1132688440.db2.gz ZFRLYKJTVJROLN-VHSXEESVSA-N -1 1 333.366 -0.379 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@H]2CCOC[C@H]2OC)n[n-]1 ZINC001365456866 1132688443 /nfs/dbraw/zinc/68/84/43/1132688443.db2.gz ZFRLYKJTVJROLN-VHSXEESVSA-N -1 1 333.366 -0.379 20 0 EBADMM COC[C@@](C)(CC(=O)OC)[N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C ZINC001365673050 1132758359 /nfs/dbraw/zinc/75/83/59/1132758359.db2.gz LQLNTJKPOCFHFH-CFMSYZGJSA-N -1 1 345.443 -0.637 20 0 EBADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@H](CO)[C@@H]1CCOC1 ZINC001365703172 1132768699 /nfs/dbraw/zinc/76/86/99/1132768699.db2.gz QSAHXGTUXSTIHV-GHMZBOCLSA-N -1 1 328.456 -0.026 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2[C@H](C)C(=O)N[C@@H](C)[C@H]2C)[n-]n1 ZINC001365718541 1132775303 /nfs/dbraw/zinc/77/53/03/1132775303.db2.gz FVJXTDWQSOWTAQ-XLPZGREQSA-N -1 1 330.366 -0.518 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2[C@H](C)C(=O)N[C@@H](C)[C@H]2C)n[n-]1 ZINC001365718541 1132775304 /nfs/dbraw/zinc/77/53/04/1132775304.db2.gz FVJXTDWQSOWTAQ-XLPZGREQSA-N -1 1 330.366 -0.518 20 0 EBADMM Cn1nccc1S(=O)(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001365728032 1132779189 /nfs/dbraw/zinc/77/91/89/1132779189.db2.gz ZKUBPMRVHAABCG-UHFFFAOYSA-N -1 1 325.350 -0.533 20 0 EBADMM O=C1NCCn2c(C[N-]S(=O)(=O)c3c(F)cccc3F)nnc21 ZINC001365730148 1132779585 /nfs/dbraw/zinc/77/95/85/1132779585.db2.gz IXEOAHAELXXRKU-UHFFFAOYSA-N -1 1 343.315 -0.222 20 0 EBADMM COC[C@H](CS(=O)(=O)[N-][C@@H](C[C@@H]1CCCO1)C(=O)OC)OC ZINC001365735280 1132783885 /nfs/dbraw/zinc/78/38/85/1132783885.db2.gz NZPVAAVCFZNSID-TUAOUCFPSA-N -1 1 339.410 -0.322 20 0 EBADMM CC[C@H](C)NC(=O)CC[N-]S(=O)(=O)c1n[nH]cc1C(=O)OC ZINC001365735981 1132784882 /nfs/dbraw/zinc/78/48/82/1132784882.db2.gz CNMBQPANVAGMHA-QMMMGPOBSA-N -1 1 332.382 -0.221 20 0 EBADMM CCCS(=O)(=O)CC(=O)NC[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001365826239 1132820233 /nfs/dbraw/zinc/82/02/33/1132820233.db2.gz XXUNKJMRZJHBSG-AOOOYVTPSA-N -1 1 345.425 -0.681 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)CCc3cscn3)C2)nc1=O ZINC001366011710 1132969073 /nfs/dbraw/zinc/96/90/73/1132969073.db2.gz TVYZFLGIRRMBFO-JTQLQIEISA-N -1 1 336.421 -0.112 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](CNC(=O)CCn3cncn3)C2)nc1=O ZINC001366175592 1133040925 /nfs/dbraw/zinc/04/09/25/1133040925.db2.gz WDEDKGWFCUGAIV-GFCCVEGCSA-N -1 1 348.411 -0.882 20 0 EBADMM CCC(=O)N[C@H](C)C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001366217001 1133060864 /nfs/dbraw/zinc/06/08/64/1133060864.db2.gz QDHJPRADYYZXAW-MNOVXSKESA-N -1 1 338.412 -0.104 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CCCc2nn(C)cc21)NCc1n[nH]c(=O)[n-]1 ZINC001366319723 1133097197 /nfs/dbraw/zinc/09/71/97/1133097197.db2.gz FPALALMCZUDGOB-UWVGGRQHSA-N -1 1 333.396 -0.042 20 0 EBADMM Cc1ccc(CN(C)CCNC(=O)Cn2c(=O)[n-][nH]c2=O)c(C)n1 ZINC001366588926 1133200845 /nfs/dbraw/zinc/20/08/45/1133200845.db2.gz YHFYNDAGKDKGQZ-UHFFFAOYSA-N -1 1 334.380 -0.051 20 0 EBADMM CCN(CCNC(=O)c1cn2c(n1)COCC2)Cc1nc(=O)n(C)[n-]1 ZINC001366614518 1133211793 /nfs/dbraw/zinc/21/17/93/1133211793.db2.gz QXMUJJKHPIIPDP-UHFFFAOYSA-N -1 1 349.395 -0.913 20 0 EBADMM CCN(CCNC(=O)c1c(Cl)cnn1C)Cc1nc(=O)n(C)[n-]1 ZINC001366616214 1133212337 /nfs/dbraw/zinc/21/23/37/1133212337.db2.gz ROSDELJRTSEVDH-UHFFFAOYSA-N -1 1 341.803 -0.253 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)CCn3cccc3)C2)nc1=O ZINC001366696210 1133256581 /nfs/dbraw/zinc/25/65/81/1133256581.db2.gz LHLJFSVXVVKQNO-ZDUSSCGKSA-N -1 1 332.408 -0.062 20 0 EBADMM COC[C@H](C)CC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001366800525 1133300393 /nfs/dbraw/zinc/30/03/93/1133300393.db2.gz PSJUVANMLWDEET-UPJWGTAASA-N -1 1 337.424 -0.044 20 0 EBADMM O=C(NCC[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)c1c[nH]c(=O)cn1 ZINC001366839749 1133313753 /nfs/dbraw/zinc/31/37/53/1133313753.db2.gz YPAYNYFCSFGBED-VIFPVBQESA-N -1 1 333.352 -0.764 20 0 EBADMM Cn1cc(CCC(=O)NCC[C@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)nn1 ZINC001366852059 1133320176 /nfs/dbraw/zinc/32/01/76/1133320176.db2.gz MJUOGEPGOJZRJF-NSHDSACASA-N -1 1 348.411 -0.400 20 0 EBADMM Cc1n[nH]c(C)c1[C@@H](C)CC(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001366930390 1133371150 /nfs/dbraw/zinc/37/11/50/1133371150.db2.gz ZQXNOYXCAFQFLY-VIFPVBQESA-N -1 1 347.423 -0.057 20 0 EBADMM CO[C@H](C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1)c1ccc(F)cc1 ZINC001366952260 1133382751 /nfs/dbraw/zinc/38/27/51/1133382751.db2.gz YTCWAVIUJYDLKS-AWEZNQCLSA-N -1 1 349.366 -0.064 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)CCc3cncs3)C2)nc1=O ZINC001367012749 1133424837 /nfs/dbraw/zinc/42/48/37/1133424837.db2.gz IAIZUDSRAIPSEQ-JTQLQIEISA-N -1 1 336.421 -0.112 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)CCc3cncs3)C2)nc1=O ZINC001367032198 1133440873 /nfs/dbraw/zinc/44/08/73/1133440873.db2.gz IAIZUDSRAIPSEQ-SNVBAGLBSA-N -1 1 336.421 -0.112 20 0 EBADMM Cc1ccn([C@@H](C)CC(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001367034240 1133441382 /nfs/dbraw/zinc/44/13/82/1133441382.db2.gz LQBVBIOUMZRHGX-QWHCGFSZSA-N -1 1 347.423 -0.045 20 0 EBADMM O=C(CN1CCOCC1)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001367315682 1133547780 /nfs/dbraw/zinc/54/77/80/1133547780.db2.gz NEHKGQBBLANISR-UHFFFAOYSA-N -1 1 338.412 -0.639 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)CS(=O)(=O)C(C)(C)C ZINC001367394000 1133576852 /nfs/dbraw/zinc/57/68/52/1133576852.db2.gz FAJWXAUUZNBVPO-VIFPVBQESA-N -1 1 347.441 -0.340 20 0 EBADMM CC(C)C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H](C)S(C)(=O)=O ZINC001367414655 1133583884 /nfs/dbraw/zinc/58/38/84/1133583884.db2.gz AQPNRFFWXKPQOY-UWVGGRQHSA-N -1 1 347.441 -0.436 20 0 EBADMM CC(C)[C@H](CNC(=O)c1cn2c(n1)COCC2)NCc1n[nH]c(=O)[n-]1 ZINC001367473818 1133597581 /nfs/dbraw/zinc/59/75/81/1133597581.db2.gz ONFLUNGVOWWFGZ-JTQLQIEISA-N -1 1 349.395 -0.219 20 0 EBADMM CN(CCN(C)C(=O)CN1CCCCC1=O)Cc1nc(=O)n(C)[n-]1 ZINC001367520356 1133612343 /nfs/dbraw/zinc/61/23/43/1133612343.db2.gz XUEHMVMLPRRTBN-UHFFFAOYSA-N -1 1 338.412 -0.989 20 0 EBADMM Cc1nc(CC(=O)NC[C@@H](O)CNCc2n[nH]c(=O)[n-]2)c(C)s1 ZINC001367594814 1133668211 /nfs/dbraw/zinc/66/82/11/1133668211.db2.gz RZQDCMFWZPVHRL-VIFPVBQESA-N -1 1 340.409 -0.607 20 0 EBADMM O=C(NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1)c1coc(C(F)F)c1 ZINC001367604754 1133682671 /nfs/dbraw/zinc/68/26/71/1133682671.db2.gz SUVRSXDOJDPDSM-ZETCQYMHSA-N -1 1 331.279 -0.079 20 0 EBADMM Cc1cc(OCC(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)no1 ZINC001367950291 1133858180 /nfs/dbraw/zinc/85/81/80/1133858180.db2.gz VNSFJVQNMRQSIZ-JTQLQIEISA-N -1 1 336.352 -0.826 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)C(C)(C)c2c[nH]cn2)nc1=O ZINC001367982507 1133879270 /nfs/dbraw/zinc/87/92/70/1133879270.db2.gz ODBCVSYFSFGBFL-SNVBAGLBSA-N -1 1 333.396 -0.500 20 0 EBADMM CN(CC(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)c1ccccc1 ZINC001367992369 1133883412 /nfs/dbraw/zinc/88/34/12/1133883412.db2.gz VESZWVQNQXZPDZ-AWEZNQCLSA-N -1 1 344.419 -0.065 20 0 EBADMM Cn1nnc(CNC2(CNC(=O)c3cnc(C4CC4)[n-]c3=O)CC2)n1 ZINC001368027107 1133903154 /nfs/dbraw/zinc/90/31/54/1133903154.db2.gz RJMCAZHCHZGGSV-UHFFFAOYSA-N -1 1 344.379 -0.365 20 0 EBADMM O=C(NCC1(NCc2n[nH]c(=O)[n-]2)CCOCC1)[C@]1(F)CCOC1 ZINC001368234776 1134001690 /nfs/dbraw/zinc/00/16/90/1134001690.db2.gz SERCAQJJQWYMOR-AWEZNQCLSA-N -1 1 343.359 -0.606 20 0 EBADMM C[C@H](C(=O)NC[C@]1(O)CCN(Cc2nc(=O)n(C)[n-]2)C1)C(C)(C)C ZINC001368255005 1134039011 /nfs/dbraw/zinc/03/90/11/1134039011.db2.gz FTHDWWRQARMRNR-BDJLRTHQSA-N -1 1 339.440 -0.156 20 0 EBADMM Cn1ccnc1CN1CC[C@](O)(CNC(=O)c2ncccc2[O-])C1 ZINC001368266465 1134064611 /nfs/dbraw/zinc/06/46/11/1134064611.db2.gz PUBSTICAAUBSPG-INIZCTEOSA-N -1 1 331.376 -0.113 20 0 EBADMM Cn1c(=O)cccc1C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCC1 ZINC001368482834 1134248966 /nfs/dbraw/zinc/24/89/66/1134248966.db2.gz CGUDLHQDKSBGPT-UHFFFAOYSA-N -1 1 332.364 -0.349 20 0 EBADMM O=C(NC1(CNCc2n[nH]c(=O)[n-]2)CCOCC1)[C@@H]1CCCCO1 ZINC001368680257 1134377966 /nfs/dbraw/zinc/37/79/66/1134377966.db2.gz YYCXOKBEMKBYOP-NSHDSACASA-N -1 1 339.396 -0.166 20 0 EBADMM COC[C@@H](OC)C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001368774970 1134434925 /nfs/dbraw/zinc/43/49/25/1134434925.db2.gz OYURMLNRQZEGIE-WDEREUQCSA-N -1 1 327.385 -0.358 20 0 EBADMM C[C@@H](CNC(=O)c1cc(C2CC2)n[nH]1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001368982102 1134507223 /nfs/dbraw/zinc/50/72/23/1134507223.db2.gz NKQJLFGQMNZPGE-VIFPVBQESA-N -1 1 333.396 -0.041 20 0 EBADMM CCc1ncncc1C(=O)NC[C@@H](C)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001368994870 1134511359 /nfs/dbraw/zinc/51/13/59/1134511359.db2.gz AZTDHSDXCXMHDF-SNVBAGLBSA-N -1 1 333.396 -0.289 20 0 EBADMM C[C@H](CNC(=O)c1cc(N(C)C)ccn1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001369013389 1134519089 /nfs/dbraw/zinc/51/90/89/1134519089.db2.gz TZNOWFSTELEFEY-LLVKDONJSA-N -1 1 347.423 -0.180 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC1(CCO)CN(CCO)C1 ZINC001369027300 1134533733 /nfs/dbraw/zinc/53/37/33/1134533733.db2.gz YVDGUOZEKFRNAQ-UHFFFAOYSA-N -1 1 338.408 -0.227 20 0 EBADMM CO[C@H](C(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1)C(C)C ZINC001369092170 1134560782 /nfs/dbraw/zinc/56/07/82/1134560782.db2.gz SMZSFOAYOHLJLR-MFKMUULPSA-N -1 1 325.413 -0.284 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1CCc2nccn2C1)NCc1n[nH]c(=O)[n-]1 ZINC001369265707 1134635055 /nfs/dbraw/zinc/63/50/55/1134635055.db2.gz WTSKLINVJQOMLC-QWRGUYRKSA-N -1 1 333.396 -0.094 20 0 EBADMM C[C@@H](CN(C)C(=O)CCNC(=O)C(C)(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001369274944 1134637597 /nfs/dbraw/zinc/63/75/97/1134637597.db2.gz UFJZVJWIXBWXHF-JTQLQIEISA-N -1 1 340.428 -0.001 20 0 EBADMM COc1cc(C(=O)NC[C@@H](CO)NCc2nc(=O)n(C)[n-]2)ccc1C ZINC001369348658 1134697325 /nfs/dbraw/zinc/69/73/25/1134697325.db2.gz OLBWRRNDCIIPPE-LBPRGKRZSA-N -1 1 349.391 -0.694 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)CC(C)(C)O)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001369418623 1134771634 /nfs/dbraw/zinc/77/16/34/1134771634.db2.gz BWUKXOLBUZIYMD-MNOVXSKESA-N -1 1 325.413 -0.262 20 0 EBADMM CCc1nc(CNC[C@H](O)CN(C)C(=O)c2ncccc2[O-])no1 ZINC001369453977 1134791751 /nfs/dbraw/zinc/79/17/51/1134791751.db2.gz GGBKQDJPSSABPZ-JTQLQIEISA-N -1 1 335.364 -0.045 20 0 EBADMM C[C@@H](CC(=O)N(C)C[C@H](O)CNCc1n[nH]c(=O)[n-]1)n1ccnc1 ZINC001369470442 1134823634 /nfs/dbraw/zinc/82/36/34/1134823634.db2.gz HWCVSZNHPBXGJL-WDEREUQCSA-N -1 1 337.384 -0.733 20 0 EBADMM CN(C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)C1(C)CC(F)(F)C1 ZINC001369479997 1134844538 /nfs/dbraw/zinc/84/45/38/1134844538.db2.gz MOZQSOYMXVDGKM-QMMMGPOBSA-N -1 1 333.339 -0.145 20 0 EBADMM Cc1cc(C(=O)N(C)C[C@H](O)CN(C)Cc2nc(=O)n(C)[n-]2)ccn1 ZINC001369514276 1134910098 /nfs/dbraw/zinc/91/00/98/1134910098.db2.gz HVELQIFGFCBXBZ-CYBMUJFWSA-N -1 1 348.407 -0.623 20 0 EBADMM Cc1cnn(CC(=O)N(CCN(C)Cc2nc(=O)n(C)[n-]2)C(C)C)c1 ZINC001369520156 1134917502 /nfs/dbraw/zinc/91/75/02/1134917502.db2.gz DVWXWUJWYFLYHT-UHFFFAOYSA-N -1 1 349.439 -0.018 20 0 EBADMM COCCN(CCNC(=O)c1ncoc1C)Cc1nc(=O)n(C)[n-]1 ZINC001369557049 1134938223 /nfs/dbraw/zinc/93/82/23/1134938223.db2.gz XWZPMIICKOYJLH-UHFFFAOYSA-N -1 1 338.368 -0.717 20 0 EBADMM C[C@H](C(=O)N(C)C1CC(NCc2n[nH]c(=O)[n-]2)C1)S(C)(=O)=O ZINC001369582437 1134948187 /nfs/dbraw/zinc/94/81/87/1134948187.db2.gz MCQYDKBEGAORKC-AFPNSQJFSA-N -1 1 331.398 -0.978 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H](C)NC(=O)CN1CCOCC1 ZINC001369682872 1134986480 /nfs/dbraw/zinc/98/64/80/1134986480.db2.gz HJGGXBSRIREDHB-NWDGAFQWSA-N -1 1 336.392 -0.258 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CCCO1)N(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001369773377 1135012952 /nfs/dbraw/zinc/01/29/52/1135012952.db2.gz WBDSTQGTYYDNGY-GXSJLCMTSA-N -1 1 348.363 -0.827 20 0 EBADMM C[C@@H](CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)n1cccn1 ZINC001370029512 1135179764 /nfs/dbraw/zinc/17/97/64/1135179764.db2.gz CAVOSSSXQGNVMP-RYUDHWBXSA-N -1 1 333.396 -0.353 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001370202722 1135247413 /nfs/dbraw/zinc/24/74/13/1135247413.db2.gz ZBOPJZIYILITTI-JHEVNIALSA-N -1 1 346.387 -0.254 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H](CNC(=O)[C@@H]1CNC(=O)N1)C1CC1 ZINC001370396434 1135333094 /nfs/dbraw/zinc/33/30/94/1135333094.db2.gz JVSYGDGJPDXAPK-QWRGUYRKSA-N -1 1 347.375 -0.565 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H](CNC(=O)[C@H]1C[C@H]1C(N)=O)C1CC1 ZINC001370398213 1135333537 /nfs/dbraw/zinc/33/35/37/1135333537.db2.gz MLHQSCGKECSKJG-GRYCIOLGSA-N -1 1 346.387 -0.125 20 0 EBADMM C[C@H](CN(C)C(=O)C(F)F)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001370428769 1135347008 /nfs/dbraw/zinc/34/70/08/1135347008.db2.gz TWXSITVRQZSRFQ-ZCFIWIBFSA-N -1 1 328.279 -0.741 20 0 EBADMM Cc1ncn(C)c1C(=O)N[C@H](CO)CNC(=O)c1ncccc1[O-] ZINC001370674477 1135480645 /nfs/dbraw/zinc/48/06/45/1135480645.db2.gz HZUAVWWWYKYICU-JTQLQIEISA-N -1 1 333.348 -0.650 20 0 EBADMM CO[C@@H](C(=O)NC[C@@H](CO)NC(=O)c1ncccc1[O-])C1CCC1 ZINC001370753222 1135594633 /nfs/dbraw/zinc/59/46/33/1135594633.db2.gz JVKYZXOUGAEJAC-SMDDNHRTSA-N -1 1 337.376 -0.191 20 0 EBADMM C[C@@H](C(=O)NC[C@@H](CO)NC(=O)c1ncccc1[O-])c1cnn(C)c1 ZINC001370795478 1135638999 /nfs/dbraw/zinc/63/89/99/1135638999.db2.gz DWFRJHLDVADQJN-PWSUYJOCSA-N -1 1 347.375 -0.469 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C1(NC(N)=O)CC1 ZINC001370816692 1135656732 /nfs/dbraw/zinc/65/67/32/1135656732.db2.gz GHBQBZFFYSIAFJ-NXEZZACHSA-N -1 1 347.375 -0.293 20 0 EBADMM CN(C[C@@H](O)CNC(=O)c1cc[nH]c(=O)c1)C(=O)c1ncccc1[O-] ZINC001370990886 1135743220 /nfs/dbraw/zinc/74/32/20/1135743220.db2.gz QSQHUWFAYWDJFE-NSHDSACASA-N -1 1 346.343 -0.249 20 0 EBADMM CCn1cc(C(=O)NC[C@@H](O)CN(C)C(=O)c2ncccc2[O-])cn1 ZINC001370990935 1135743425 /nfs/dbraw/zinc/74/34/25/1135743425.db2.gz RPPAMIFDQXVPFU-GFCCVEGCSA-N -1 1 347.375 -0.134 20 0 EBADMM CN(C[C@H](O)CNC(=O)c1ncccc1[O-])C(=O)[C@@]1(F)CCOC1 ZINC001371018677 1135781055 /nfs/dbraw/zinc/78/10/55/1135781055.db2.gz IFWPNSRBGRZXTF-MEBBXXQBSA-N -1 1 341.339 -0.535 20 0 EBADMM C[C@H](C[C@@H](C)NC(=O)c1ncccc1[O-])NC(=O)CS(C)(=O)=O ZINC001371265630 1135874733 /nfs/dbraw/zinc/87/47/33/1135874733.db2.gz SCUONYNCLKZJMY-NXEZZACHSA-N -1 1 343.405 -0.155 20 0 EBADMM CC(=O)N(C)CC(=O)N1C[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001371547911 1135966901 /nfs/dbraw/zinc/96/69/01/1135966901.db2.gz LNQLYHDHWJGMPU-CHWSQXEVSA-N -1 1 346.387 -0.062 20 0 EBADMM CCN(CCNC(=O)c1ccc(OC)nn1)Cc1nc(=O)n(C)[n-]1 ZINC001372445307 1136202660 /nfs/dbraw/zinc/20/26/60/1136202660.db2.gz AOEDCBPYUHXWFN-UHFFFAOYSA-N -1 1 335.368 -0.841 20 0 EBADMM CCN(CCNC(=O)Cc1c(C)noc1C)Cc1nc(=O)n(C)[n-]1 ZINC001372472103 1136207164 /nfs/dbraw/zinc/20/71/64/1136207164.db2.gz RDSZMVBNNDITJT-UHFFFAOYSA-N -1 1 336.396 -0.106 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H](C)N1CCOCC1 ZINC001372831942 1136299833 /nfs/dbraw/zinc/29/98/33/1136299833.db2.gz HCDRJXJWLZUGQK-RYUDHWBXSA-N -1 1 336.392 -0.258 20 0 EBADMM Cc1ccc(=O)n(CC(=O)N[C@@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001372835672 1136300739 /nfs/dbraw/zinc/30/07/39/1136300739.db2.gz OZMIVTJOIYJQFH-NSHDSACASA-N -1 1 345.359 -0.413 20 0 EBADMM CN(CCNC(=O)[C@H]1[C@@H]2CCC[C@@H]21)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001373395848 1136444270 /nfs/dbraw/zinc/44/42/70/1136444270.db2.gz GQOPFPGXLATQFS-IWIIMEHWSA-N -1 1 344.375 -0.348 20 0 EBADMM CN(CCNC(=O)c1cnc(C2CC2)[n-]c1=O)C(=O)c1nc[nH]n1 ZINC001373449795 1136460066 /nfs/dbraw/zinc/46/00/66/1136460066.db2.gz FVXUSXAGEHELMH-UHFFFAOYSA-N -1 1 331.336 -0.320 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(CCOC(C)C)C1 ZINC001373471729 1136467056 /nfs/dbraw/zinc/46/70/56/1136467056.db2.gz ILLFPKOFECIUQI-UHFFFAOYSA-N -1 1 326.353 -0.265 20 0 EBADMM C[C@H](O)CCCN1CC[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001373609285 1136513349 /nfs/dbraw/zinc/51/33/49/1136513349.db2.gz FZHGSZJDQYGLIA-RYUDHWBXSA-N -1 1 348.407 -0.577 20 0 EBADMM CN(CCNC(=O)[C@@]1(C)CCOC1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001373633230 1136520775 /nfs/dbraw/zinc/52/07/75/1136520775.db2.gz HYIQVHUMEJAHHA-HNNXBMFYSA-N -1 1 348.363 -0.968 20 0 EBADMM CCN(C(=O)[C@H](O)C(C)C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001373708149 1136543541 /nfs/dbraw/zinc/54/35/41/1136543541.db2.gz LCXCZDUAUPTITO-WCQYABFASA-N -1 1 325.413 -0.452 20 0 EBADMM CCN(C(=O)[C@H](O)C(C)C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001373708144 1136543625 /nfs/dbraw/zinc/54/36/25/1136543625.db2.gz LCXCZDUAUPTITO-DGCLKSJQSA-N -1 1 325.413 -0.452 20 0 EBADMM CC[C@@H](CNC(=O)c1cc2n(n1)CCCO2)NCc1n[nH]c(=O)[n-]1 ZINC001373774122 1136557755 /nfs/dbraw/zinc/55/77/55/1136557755.db2.gz LRMDRNLVNMCXLG-VIFPVBQESA-N -1 1 335.368 -0.213 20 0 EBADMM CN1C[C@H](C(=O)N[C@@](C)(CNCc2n[nH]c(=O)[n-]2)C2CC2)CC1=O ZINC001373881895 1136579767 /nfs/dbraw/zinc/57/97/67/1136579767.db2.gz TXMWJHGGNUKZQE-PSLIRLAXSA-N -1 1 336.396 -0.637 20 0 EBADMM CN1C[C@@H](C(=O)N[C@@](C)(CNCc2n[nH]c(=O)[n-]2)C2CC2)CC1=O ZINC001373881901 1136579775 /nfs/dbraw/zinc/57/97/75/1136579775.db2.gz TXMWJHGGNUKZQE-VFZGTOFNSA-N -1 1 336.396 -0.637 20 0 EBADMM CCN(CCNC(=O)[C@@H]1CC[C@@H]1C(N)=O)C(=O)c1ncccc1[O-] ZINC001373906541 1136584474 /nfs/dbraw/zinc/58/44/74/1136584474.db2.gz MSNIUXKLMDCFTQ-WDEREUQCSA-N -1 1 334.376 -0.123 20 0 EBADMM CCNC(=O)CCC(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001374152492 1136640088 /nfs/dbraw/zinc/64/00/88/1136640088.db2.gz OUPFHWZYDLFXFJ-UHFFFAOYSA-N -1 1 338.412 -0.055 20 0 EBADMM CC(=O)N(C)CC(=O)N1CCC[C@@H](C)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001374399641 1136683631 /nfs/dbraw/zinc/68/36/31/1136683631.db2.gz UZYCXZPPUIYVPV-PWSUYJOCSA-N -1 1 338.412 -0.295 20 0 EBADMM CC(C)[C@H](CNC(=O)C[C@@H]1CCCC(=O)N1)NCc1n[nH]c(=O)[n-]1 ZINC001374429944 1136686792 /nfs/dbraw/zinc/68/67/92/1136686792.db2.gz ZFXXRVCKTLWCQG-QWRGUYRKSA-N -1 1 338.412 -0.201 20 0 EBADMM CC[C@H]1CC[C@H](C(=O)N(C)CCN(C)Cc2nc(=O)n(C)[n-]2)O1 ZINC001374499761 1136702520 /nfs/dbraw/zinc/70/25/20/1136702520.db2.gz GDWOSFZUWRCOHO-NWDGAFQWSA-N -1 1 325.413 -0.044 20 0 EBADMM CCOCC1(C(=O)N(C)CCN(C)Cc2nc(=O)n(C)[n-]2)CC1 ZINC001374503155 1136704276 /nfs/dbraw/zinc/70/42/76/1136704276.db2.gz BHUFCKRAWIPMGA-UHFFFAOYSA-N -1 1 325.413 -0.185 20 0 EBADMM COCc1nocc1C(=O)N(C)CCN(C)Cc1nc(=O)n(C)[n-]1 ZINC001374551193 1136716114 /nfs/dbraw/zinc/71/61/14/1136716114.db2.gz NQUORHXUGLWPRH-UHFFFAOYSA-N -1 1 338.368 -0.553 20 0 EBADMM O=C(C[C@H]1CCCC1(F)F)NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001374634588 1136764460 /nfs/dbraw/zinc/76/44/60/1136764460.db2.gz DZXYYIKPSRRNRV-BDAKNGLRSA-N -1 1 333.339 -0.098 20 0 EBADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CN(C)C(=O)N1 ZINC001375056865 1136903194 /nfs/dbraw/zinc/90/31/94/1136903194.db2.gz CHHJBGZHCDSOQG-ZJUUUORDSA-N -1 1 335.364 -0.565 20 0 EBADMM C[C@@H](NC(=O)CNC(=O)c1ncccc1[O-])[C@H](C)N1CCOCC1 ZINC000343684036 296049474 /nfs/dbraw/zinc/04/94/74/296049474.db2.gz BJCMZLXURSFXTL-NEPJUHHUSA-N -1 1 336.392 -0.258 20 0 EBADMM CC(C)CC[C@H](CO)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000625413723 376144976 /nfs/dbraw/zinc/14/49/76/376144976.db2.gz KWTGXJNUSWITKQ-SNVBAGLBSA-N -1 1 333.410 -0.841 20 0 EBADMM Cc1nc(S(=O)(=O)[N-]C[C@]2(O)CCS(=O)(=O)C2)sc1C ZINC000568262856 376151330 /nfs/dbraw/zinc/15/13/30/376151330.db2.gz ZNSHUYWXUCUDQT-SNVBAGLBSA-N -1 1 340.448 -0.412 20 0 EBADMM CNC(=O)[C@H]1CCCN1C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000067044306 376243151 /nfs/dbraw/zinc/24/31/51/376243151.db2.gz HOZYSDPQVQMYEQ-GFCCVEGCSA-N -1 1 331.376 -0.081 20 0 EBADMM O=C(C1CCCC1)N1CC(S(=O)(=O)[N-][C@@H]2COCCC2=O)C1 ZINC000625493256 376243233 /nfs/dbraw/zinc/24/32/33/376243233.db2.gz QVDSSACJLAZIHJ-GFCCVEGCSA-N -1 1 330.406 -0.335 20 0 EBADMM O=C(c1cccc(-n2ccnn2)c1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000363441996 376268590 /nfs/dbraw/zinc/26/85/90/376268590.db2.gz LLUYVQLGDKROGW-GFCCVEGCSA-N -1 1 326.320 -0.006 20 0 EBADMM COc1cc(-n2cnnn2)c(Cl)cc1C(=O)NCc1nn[n-]n1 ZINC000600498121 376422055 /nfs/dbraw/zinc/42/20/55/376422055.db2.gz VPQYRIMZXTWYBP-UHFFFAOYSA-N -1 1 335.715 -0.233 20 0 EBADMM C[C@@]1(C2CC2)NC(=O)N(CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)C1=O ZINC000560316627 376674242 /nfs/dbraw/zinc/67/42/42/376674242.db2.gz KSZOWRWEDQAJCL-VFZGTOFNSA-N -1 1 347.379 -0.374 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C(C)(C)c2nccs2)c(=O)n(C)c1=O ZINC000116377560 376698650 /nfs/dbraw/zinc/69/86/50/376698650.db2.gz PSEAMQPIJWUDDG-UHFFFAOYSA-N -1 1 344.418 -0.246 20 0 EBADMM COc1ccc(Cn2[n-]nnc2=NC[C@H]2CN(C)CCN2C)cc1 ZINC000631116229 377194237 /nfs/dbraw/zinc/19/42/37/377194237.db2.gz ZIUMLRKFZIDUOL-AWEZNQCLSA-N -1 1 331.424 -0.190 20 0 EBADMM COC(=O)[C@]1([N-]S(=O)(=O)[C@H]2CC(=O)N(C3CC3)C2)CCSC1 ZINC000601378347 377552850 /nfs/dbraw/zinc/55/28/50/377552850.db2.gz BCRYNYIKLPPAQY-GWCFXTLKSA-N -1 1 348.446 -0.282 20 0 EBADMM COc1ccc(NC(=O)C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cc1 ZINC000364586400 377626667 /nfs/dbraw/zinc/62/66/67/377626667.db2.gz XZXVCCJUDKYKCY-LLVKDONJSA-N -1 1 332.320 -0.253 20 0 EBADMM O=C(NCc1ccc(F)cc1)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000364701257 377643703 /nfs/dbraw/zinc/64/37/03/377643703.db2.gz WSIYMNABAOTMNR-NSHDSACASA-N -1 1 334.311 -0.445 20 0 EBADMM COC(=O)Cn1cc([N-]S(=O)(=O)CC2(OC)CCOCC2)cn1 ZINC000593199088 377877479 /nfs/dbraw/zinc/87/74/79/377877479.db2.gz FFGLPGUNSJFGGM-UHFFFAOYSA-N -1 1 347.393 -0.007 20 0 EBADMM CCOC(=O)c1c[n-]c(NC(=O)c2cc(S(N)(=O)=O)cn2C)n1 ZINC000593901914 377920857 /nfs/dbraw/zinc/92/08/57/377920857.db2.gz XQCKRFJCLBSCSV-UHFFFAOYSA-N -1 1 341.349 -0.175 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H](F)C[C@H]2C(=O)OC)o1 ZINC000619452867 378060585 /nfs/dbraw/zinc/06/05/85/378060585.db2.gz QYXDSIWXJZDTIF-YUMQZZPRSA-N -1 1 334.325 -0.087 20 0 EBADMM Cc1oc(C(=O)NCc2nn[n-]n2)cc1S(=O)(=O)N1CCCC1 ZINC000599334730 378232332 /nfs/dbraw/zinc/23/23/32/378232332.db2.gz RDZRGEPBSIFGFQ-UHFFFAOYSA-N -1 1 340.365 -0.184 20 0 EBADMM O=C(NCc1nn[n-]n1)c1sccc1S(=O)(=O)N1CCCC1 ZINC000599334302 378232508 /nfs/dbraw/zinc/23/25/08/378232508.db2.gz KRLHTJNYLYAVHQ-UHFFFAOYSA-N -1 1 342.406 -0.024 20 0 EBADMM COC(=O)COCC[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000599445680 378240590 /nfs/dbraw/zinc/24/05/90/378240590.db2.gz HJLVMURJWRVDPI-UHFFFAOYSA-N -1 1 345.299 -0.093 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)N1C[C@@H]2CCCN2c2ccccc21 ZINC000606114706 378529215 /nfs/dbraw/zinc/52/92/15/378529215.db2.gz BWXCZQLFCUTGCU-JTQLQIEISA-N -1 1 327.348 -0.169 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@H](n2cncn2)C1 ZINC000338252019 378748413 /nfs/dbraw/zinc/74/84/13/378748413.db2.gz QEQVEUYHXCWNFO-NSHDSACASA-N -1 1 330.348 -0.028 20 0 EBADMM C[C@H](NC(=O)CNC(=O)c1ncccc1[O-])C(=O)NCc1ccco1 ZINC000337948836 378717791 /nfs/dbraw/zinc/71/77/91/378717791.db2.gz WFPOTRKXTZHOIC-JTQLQIEISA-N -1 1 346.343 -0.069 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NCc1nnc2ccccn21 ZINC000337949593 378717985 /nfs/dbraw/zinc/71/79/85/378717985.db2.gz YPAXUTACZZJWBR-UHFFFAOYSA-N -1 1 326.316 -0.124 20 0 EBADMM CC(C)(CNC(=O)CNC(=O)c1ncccc1[O-])N1CCOCC1 ZINC000337949248 378718521 /nfs/dbraw/zinc/71/85/21/378718521.db2.gz NUNYKEPIPWEGSN-UHFFFAOYSA-N -1 1 336.392 -0.256 20 0 EBADMM CCOC(=O)[C@H]1CC[C@@H](C(=O)OCC)N1Cc1nc(=O)n(C)[n-]1 ZINC000338331893 378759138 /nfs/dbraw/zinc/75/91/38/378759138.db2.gz QTRTZHKHIORQGY-AOOOYVTPSA-N -1 1 326.353 -0.432 20 0 EBADMM CS(=O)(=O)NC[C@H]1COCCN1C(=O)c1ccc([O-])cc1F ZINC000629572433 379049059 /nfs/dbraw/zinc/04/90/59/379049059.db2.gz SLXRTPWRXPOLHP-VIFPVBQESA-N -1 1 332.353 -0.079 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2C[C@H]2c2ccccc2)c(=O)n(C)c1=O ZINC000067877642 379061992 /nfs/dbraw/zinc/06/19/92/379061992.db2.gz GYRDXYFTWQBBPE-NWDGAFQWSA-N -1 1 335.385 -0.082 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2C[C@@H]2c2ccccc2)c(=O)n(C)c1=O ZINC000067877639 379062802 /nfs/dbraw/zinc/06/28/02/379062802.db2.gz GYRDXYFTWQBBPE-VXGBXAGGSA-N -1 1 335.385 -0.082 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2CCCC2(F)F)c(=O)n(C)c1=O ZINC000451829697 379070572 /nfs/dbraw/zinc/07/05/72/379070572.db2.gz XXIGJONXLJLKPF-QMMMGPOBSA-N -1 1 337.348 -0.202 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NC[C@H]1CN(C2CC2)CCO1 ZINC000339982840 379120981 /nfs/dbraw/zinc/12/09/81/379120981.db2.gz BUWDSKUJPSLYHN-LBPRGKRZSA-N -1 1 334.376 -0.504 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NCCn1cnnc1C1CC1 ZINC000339983799 379120112 /nfs/dbraw/zinc/12/01/12/379120112.db2.gz FJAFQBZEEDWHOA-UHFFFAOYSA-N -1 1 330.348 -0.198 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCN(CC)C1=O ZINC000619797856 379234207 /nfs/dbraw/zinc/23/42/07/379234207.db2.gz UUFNRMAWSYIRBX-SECBINFHSA-N -1 1 330.366 -0.515 20 0 EBADMM CCOC(=O)CNC(=O)[C@@H]1COCCN1C(=O)c1ncccc1[O-] ZINC000619876747 379239457 /nfs/dbraw/zinc/23/94/57/379239457.db2.gz VYRUAOJOYOYPSU-JTQLQIEISA-N -1 1 337.332 -0.693 20 0 EBADMM COC[C@@](C)([N-]S(=O)(=O)c1cn(C)c(Cl)n1)C(=O)OC ZINC000269256869 379356364 /nfs/dbraw/zinc/35/63/64/379356364.db2.gz AQEMGJCFQUPIEC-SNVBAGLBSA-N -1 1 325.774 -0.070 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC(=O)OCC1CC1 ZINC000269689135 379395645 /nfs/dbraw/zinc/39/56/45/379395645.db2.gz WEHPUQSAHZUSDG-UHFFFAOYSA-N -1 1 331.350 -0.182 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]CCC(=O)N2CCOCC2)c1 ZINC000270070937 379430215 /nfs/dbraw/zinc/43/02/15/379430215.db2.gz ZKCOZCNDXDOJKD-UHFFFAOYSA-N -1 1 346.361 -0.407 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2CC(=O)N(C(C)C)C2=O)c1 ZINC000270053482 379430606 /nfs/dbraw/zinc/43/06/06/379430606.db2.gz VGLRHUKPVUZLIS-VIFPVBQESA-N -1 1 344.345 -0.120 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CC(=O)N(C(C)C)C2=O)co1 ZINC000270189016 379436841 /nfs/dbraw/zinc/43/68/41/379436841.db2.gz OMNLYAATIRMWLH-VIFPVBQESA-N -1 1 343.361 -0.547 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](C)CS(C)(=O)=O)c1 ZINC000270263091 379442465 /nfs/dbraw/zinc/44/24/65/379442465.db2.gz WLDVNLDFOCCDHM-SSDOTTSWSA-N -1 1 325.364 -0.222 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCCC[C@H]1C(N)=O ZINC000269773297 379405340 /nfs/dbraw/zinc/40/53/40/379405340.db2.gz GOGRKTVPPSRPPS-PSASIEDQSA-N -1 1 344.393 -0.091 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H](O)C1CCOCC1 ZINC000269839152 379413197 /nfs/dbraw/zinc/41/31/97/379413197.db2.gz YEVZSOBOUDLUAX-LLVKDONJSA-N -1 1 347.393 -0.348 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@H]1[N-]S(=O)(=O)C[C@]1(C)CC1(F)F ZINC000641605735 379449656 /nfs/dbraw/zinc/44/96/56/379449656.db2.gz FMICKUYNKIDZTI-HLTSFMKQSA-N -1 1 333.378 -0.237 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C(=O)N1CCS(=O)(=O)CC1 ZINC000270698509 379467179 /nfs/dbraw/zinc/46/71/79/379467179.db2.gz LRACOVTWJPVZRU-SECBINFHSA-N -1 1 327.362 -0.838 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NN1CC(=O)N(C)C1=O ZINC000271826413 379546203 /nfs/dbraw/zinc/54/62/03/379546203.db2.gz NWPFKTOUWMKSGQ-UHFFFAOYSA-N -1 1 346.347 -0.090 20 0 EBADMM CCOC(=O)[C@H](CO)[N-]S(=O)(=O)N1CCc2ccccc2C1 ZINC000351974022 379547094 /nfs/dbraw/zinc/54/70/94/379547094.db2.gz FYMXQXHXOJCLBF-ZDUSSCGKSA-N -1 1 328.390 -0.197 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]CC(=O)N2CCOCC2)c1 ZINC000271849790 379549839 /nfs/dbraw/zinc/54/98/39/379549839.db2.gz CMTCPGZYUKUGBM-UHFFFAOYSA-N -1 1 332.334 -0.797 20 0 EBADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NCCN1CCNC(=O)C1 ZINC000272686656 379594815 /nfs/dbraw/zinc/59/48/15/379594815.db2.gz OKHDVGBMIATFEZ-UHFFFAOYSA-N -1 1 335.408 -0.050 20 0 EBADMM CC(C)OCCCC[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000272900867 379612173 /nfs/dbraw/zinc/61/21/73/379612173.db2.gz ZRTPOXWQOSYONO-UHFFFAOYSA-N -1 1 333.410 -0.433 20 0 EBADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1c(C)nn(C)c1Cl)[C@@H](C)O ZINC000274397043 379688209 /nfs/dbraw/zinc/68/82/09/379688209.db2.gz XAJNQJDUIUMCSJ-RQJHMYQMSA-N -1 1 325.774 -0.417 20 0 EBADMM CCCC[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C(=O)OC ZINC000273960645 379667093 /nfs/dbraw/zinc/66/70/93/379667093.db2.gz NTSMLONYFGGKJS-VIFPVBQESA-N -1 1 347.393 -0.906 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])o1 ZINC000274912762 379723581 /nfs/dbraw/zinc/72/35/81/379723581.db2.gz LARBKQAMRVPWOQ-QMMMGPOBSA-N -1 1 343.317 -0.237 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H]2CN(C)CCN2C)c1 ZINC000631943124 379787018 /nfs/dbraw/zinc/78/70/18/379787018.db2.gz NOQDAQAXVNKVLM-LLVKDONJSA-N -1 1 329.422 -0.075 20 0 EBADMM CCN1C[C@H](S(=O)(=O)[N-][C@@H]2CN(c3ccccc3)C2=O)CC1=O ZINC000632199549 379828020 /nfs/dbraw/zinc/82/80/20/379828020.db2.gz YYFKTKDCULPJBL-CHWSQXEVSA-N -1 1 337.401 -0.058 20 0 EBADMM C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614389503 379925240 /nfs/dbraw/zinc/92/52/40/379925240.db2.gz NTYLRGVZPWDXKP-INTQDDNPSA-N -1 1 338.364 -0.243 20 0 EBADMM COC1(CS(=O)(=O)[N-][C@H]2CS(=O)(=O)C2(C)C)CCOCC1 ZINC000632495057 379871075 /nfs/dbraw/zinc/87/10/75/379871075.db2.gz DLEMOZJASQHARX-JTQLQIEISA-N -1 1 341.451 -0.323 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)[C@@H](C)CN2CCOCC2)co1 ZINC000279436606 379951165 /nfs/dbraw/zinc/95/11/65/379951165.db2.gz ZJHZNFHGOVWNKM-NSHDSACASA-N -1 1 345.421 -0.020 20 0 EBADMM CCN(C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C)[C@H]1CCNC1=O ZINC000281661151 380034386 /nfs/dbraw/zinc/03/43/86/380034386.db2.gz CAHZBZPECHOUNP-LBPRGKRZSA-N -1 1 331.376 -0.081 20 0 EBADMM CC(=O)N1CCN(C(=O)CCNC(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000280789122 379993488 /nfs/dbraw/zinc/99/34/88/379993488.db2.gz OESWHNMIGMHGEX-UHFFFAOYSA-N -1 1 347.371 -0.228 20 0 EBADMM CCS(=O)(=O)NCCC[N-]S(=O)(=O)c1c(C)onc1N ZINC000281074130 380005421 /nfs/dbraw/zinc/00/54/21/380005421.db2.gz AYCBJXJVIMQQIW-UHFFFAOYSA-N -1 1 326.400 -0.827 20 0 EBADMM C[C@H](CN(C)C(=O)CCn1nc2ccccn2c1=O)c1nn[n-]n1 ZINC000542773366 380051864 /nfs/dbraw/zinc/05/18/64/380051864.db2.gz WRZDVNNYVROVJG-SNVBAGLBSA-N -1 1 330.352 -0.339 20 0 EBADMM CCN(CC(C)(C)O)C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000354537485 380052125 /nfs/dbraw/zinc/05/21/25/380052125.db2.gz FFKDUVRYKKPJSN-UHFFFAOYSA-N -1 1 347.393 -0.724 20 0 EBADMM Cc1ccc(S(=O)(=O)N[C@@H](C(=O)Nc2nnn[n-]2)[C@H](C)O)cc1 ZINC000354737187 380089541 /nfs/dbraw/zinc/08/95/41/380089541.db2.gz IVWDDDINHXGYIC-WCBMZHEXSA-N -1 1 340.365 -0.825 20 0 EBADMM Cc1ccc(S(=O)(=O)N[C@@H](C(=O)Nc2nn[n-]n2)[C@H](C)O)cc1 ZINC000354737187 380089544 /nfs/dbraw/zinc/08/95/44/380089544.db2.gz IVWDDDINHXGYIC-WCBMZHEXSA-N -1 1 340.365 -0.825 20 0 EBADMM COC(=O)[C@H](C)CS(=O)(=O)N[C@@H](CN1CCCCC1)C(=O)[O-] ZINC000547561644 380145987 /nfs/dbraw/zinc/14/59/87/380145987.db2.gz BJSQSCJGSAXYLB-MNOVXSKESA-N -1 1 336.410 -0.346 20 0 EBADMM COCC(=O)N1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000283073628 380103171 /nfs/dbraw/zinc/10/31/71/380103171.db2.gz PXXGYEBEVRKKFN-UHFFFAOYSA-N -1 1 335.389 -0.051 20 0 EBADMM O=C(NC[C@H](CO)Cc1ccccc1)c1cc(=O)n2[n-]cnc2n1 ZINC000546092583 380108665 /nfs/dbraw/zinc/10/86/65/380108665.db2.gz XTXKWQDTTDVFEX-GFCCVEGCSA-N -1 1 327.344 -0.001 20 0 EBADMM COC(=O)[C@H](CC(F)F)[N-]S(=O)(=O)CCCN1CCOCC1 ZINC000546624372 380116946 /nfs/dbraw/zinc/11/69/46/380116946.db2.gz QMQHPBKSVVECMQ-JTQLQIEISA-N -1 1 344.380 -0.175 20 0 EBADMM Cc1cnc(C(=O)N[C@@H]2CC(=O)N(CCN3CCOCC3)C2)c([O-])c1 ZINC000547977285 380175192 /nfs/dbraw/zinc/17/51/92/380175192.db2.gz ZMMCRWFUTJIRSR-CYBMUJFWSA-N -1 1 348.403 -0.241 20 0 EBADMM CN1C(=O)CO[C@@H](C(=O)NC2(c3nn[n-]n3)CC2)[C@H]1c1ccccc1 ZINC000356056410 380201908 /nfs/dbraw/zinc/20/19/08/380201908.db2.gz QQVXKRHLUVIEJK-CHWSQXEVSA-N -1 1 342.359 -0.097 20 0 EBADMM C[C@H]1CCCC[C@@]12NC(=O)N(CC(=O)NC1(c3nn[n-]n3)CC1)C2=O ZINC000357074250 380214167 /nfs/dbraw/zinc/21/41/67/380214167.db2.gz JKZCLDLZGSHZLM-BJOHPYRUSA-N -1 1 347.379 -0.194 20 0 EBADMM CCOC(=O)CN(CC(N)=O)C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358275799 380231362 /nfs/dbraw/zinc/23/13/62/380231362.db2.gz NSVKQTYOAUQFQA-UHFFFAOYSA-N -1 1 335.320 -0.836 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC(=O)NCC(F)F)co1 ZINC000361373309 380304479 /nfs/dbraw/zinc/30/44/79/380304479.db2.gz RSXYRZNMYGQKLD-UHFFFAOYSA-N -1 1 325.293 -0.701 20 0 EBADMM CO[C@@H]1CN(C(=O)C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C[C@@H]1OC ZINC000287889033 380379595 /nfs/dbraw/zinc/37/95/95/380379595.db2.gz MXCPCGLJPSAJTA-UWRCDSKGSA-N -1 1 333.348 -0.848 20 0 EBADMM CCOC(=O)C(C)(C)NC(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000288088199 380391413 /nfs/dbraw/zinc/39/14/13/380391413.db2.gz LKJUPXOADLZFRV-ZDLGFXPLSA-N -1 1 333.348 -0.262 20 0 EBADMM Cn1nnc(C[N-]S(=O)(=O)c2ccc3c(c2)S(=O)(=O)CC3)n1 ZINC000362987414 380448378 /nfs/dbraw/zinc/44/83/78/380448378.db2.gz SDTYTYFRFDKBOF-UHFFFAOYSA-N -1 1 343.390 -0.982 20 0 EBADMM COCC[C@H]([N-]S(=O)(=O)c1cc(C(=O)OC)co1)C(=O)OC ZINC000289973432 380454203 /nfs/dbraw/zinc/45/42/03/380454203.db2.gz RIMLMEVREKJQMR-VIFPVBQESA-N -1 1 335.334 -0.077 20 0 EBADMM CCCC[N@@H+](C)C[C@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000288412416 380407167 /nfs/dbraw/zinc/40/71/67/380407167.db2.gz NQZNZDNRIKCEEY-NSHDSACASA-N -1 1 346.453 -0.517 20 0 EBADMM CN(CCOc1ccc(S(N)(=O)=O)cc1)Cc1nc(=O)[n-][nH]1 ZINC000292904367 380494399 /nfs/dbraw/zinc/49/43/99/380494399.db2.gz ZVEKNSWVKMSOSQ-UHFFFAOYSA-N -1 1 327.366 -0.744 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@H]3C)C2)o1 ZINC000363267836 380466641 /nfs/dbraw/zinc/46/66/41/380466641.db2.gz AHCIAXKSVFJVPD-OPRDCNLKSA-N -1 1 341.389 -0.073 20 0 EBADMM O=C([O-])[C@@H](C1CC1)N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000567479197 380519045 /nfs/dbraw/zinc/51/90/45/380519045.db2.gz QTMRNFQODNLVNV-GFCCVEGCSA-N -1 1 333.410 -0.956 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H](CO)CC(C)(C)C)c(=O)n(C)c1=O ZINC000269697557 380523293 /nfs/dbraw/zinc/52/32/93/380523293.db2.gz LJBAPWIMQHABPH-SECBINFHSA-N -1 1 333.410 -0.841 20 0 EBADMM O=C([C@@H]1CC(c2cccnc2)=NO1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370103103 380591141 /nfs/dbraw/zinc/59/11/41/380591141.db2.gz QDMWSWHEVDXAJL-RYUDHWBXSA-N -1 1 329.320 -0.312 20 0 EBADMM COC[C@@](C)([N-]S(=O)(=O)CC1(CC(=O)OC)CC1)C(=O)OC ZINC000340892241 380647478 /nfs/dbraw/zinc/64/74/78/380647478.db2.gz UEEIFRPMAZWGAH-GFCCVEGCSA-N -1 1 337.394 -0.173 20 0 EBADMM COc1ccc(NC(=O)N(C)C[C@@H](O)CO)cc1[N-]S(C)(=O)=O ZINC000341939124 380745235 /nfs/dbraw/zinc/74/52/35/380745235.db2.gz BMFRDMKUBISXTK-SNVBAGLBSA-N -1 1 347.393 -0.116 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H]2CS(=O)(=O)C[C@H]2C)c1 ZINC000341980551 380747966 /nfs/dbraw/zinc/74/79/66/380747966.db2.gz QJPQYNYJKTYQHC-VXNVDRBHSA-N -1 1 337.375 -0.222 20 0 EBADMM CO[C@@](C)([C@@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C1CC1 ZINC000416607567 380790918 /nfs/dbraw/zinc/79/09/18/380790918.db2.gz ZGZMVJGBLHFLHU-OTYXRUKQSA-N -1 1 345.421 -0.434 20 0 EBADMM COC1CN(S(=O)(=O)CCCS(=O)(=O)[N-]c2c[nH]cn2)C1 ZINC000578379692 380927378 /nfs/dbraw/zinc/92/73/78/380927378.db2.gz GDWIZIFABMEROB-UHFFFAOYSA-N -1 1 338.411 -0.798 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H](OC)C[C@@H]2C(=O)OC)o1 ZINC000377630971 380982385 /nfs/dbraw/zinc/98/23/85/380982385.db2.gz XROXPJOGPFTYJS-RKDXNWHRSA-N -1 1 346.361 -0.410 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C2(C(N)=O)CCOCC2)o1 ZINC000378192295 381017986 /nfs/dbraw/zinc/01/79/86/381017986.db2.gz SKHSIQKIPAVHSO-UHFFFAOYSA-N -1 1 346.361 -0.231 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CN3CCN2CC3)o1 ZINC000378227688 381019231 /nfs/dbraw/zinc/01/92/31/381019231.db2.gz OZLWSKZPHWNPDN-NSHDSACASA-N -1 1 343.405 -0.266 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2ccc(F)cc2F)c(=O)n(C)c1=O ZINC000347000911 381156098 /nfs/dbraw/zinc/15/60/98/381156098.db2.gz YLWGAHZZTHNISL-UHFFFAOYSA-N -1 1 345.327 -0.159 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCSC(F)(F)F)c(=O)n(C)c1=O ZINC000047414195 381224389 /nfs/dbraw/zinc/22/43/89/381224389.db2.gz WYTSQLBYLUBQOC-UHFFFAOYSA-N -1 1 347.340 -0.385 20 0 EBADMM CC(=O)N1CCN(CC[N-]S(=O)(=O)c2ncn(C)c2Cl)CC1 ZINC000058178079 381236438 /nfs/dbraw/zinc/23/64/38/381236438.db2.gz HEULMCLMSRDDCJ-UHFFFAOYSA-N -1 1 349.844 -0.484 20 0 EBADMM CC[C@@H]1CN(CCNC(=O)CNC(=O)c2ncccc2[O-])CCO1 ZINC000347661791 381242433 /nfs/dbraw/zinc/24/24/33/381242433.db2.gz UYTVEGNWPJBDMB-GFCCVEGCSA-N -1 1 336.392 -0.256 20 0 EBADMM Cc1oc(C(=O)NC2(c3nn[n-]n3)CC2)cc1S(=O)(=O)N(C)C ZINC000348274319 381351915 /nfs/dbraw/zinc/35/19/15/381351915.db2.gz HCULFWXMERYBON-UHFFFAOYSA-N -1 1 340.365 -0.229 20 0 EBADMM CC(C)[C@H](C(=O)NC1(c2nn[n-]n2)CC1)N1CCCS1(=O)=O ZINC000348299524 381358486 /nfs/dbraw/zinc/35/84/86/381358486.db2.gz YAPUUWMVSVBTOT-SECBINFHSA-N -1 1 328.398 -0.635 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)CCN2CCCC2=O)co1 ZINC000535913146 381546532 /nfs/dbraw/zinc/54/65/32/381546532.db2.gz GCFNAROUYXFNTN-UHFFFAOYSA-N -1 1 329.378 -0.118 20 0 EBADMM CO[C@@H](C(=O)NCC[N-]S(=O)(=O)c1sc(=O)[nH]c1C)C1CC1 ZINC000350656339 381534320 /nfs/dbraw/zinc/53/43/20/381534320.db2.gz JCKYSKLKJLHPQK-SECBINFHSA-N -1 1 349.434 -0.023 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H](CO)c2ccsc2)c(=O)n(C)c1=O ZINC000351579542 381648172 /nfs/dbraw/zinc/64/81/72/381648172.db2.gz HGZCOCONCHFXLA-VIFPVBQESA-N -1 1 345.402 -0.843 20 0 EBADMM COC[C@H](NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C)C(=O)OC ZINC000351807264 381676717 /nfs/dbraw/zinc/67/67/17/381676717.db2.gz JHTNJYZMXFXHSB-NSHDSACASA-N -1 1 336.348 -0.102 20 0 EBADMM COC(=O)C1(CS(=O)(=O)[N-][C@@H]2CC(=O)N(C(C)C)C2=O)CCC1 ZINC000331944516 381733647 /nfs/dbraw/zinc/73/36/47/381733647.db2.gz HYMBAFPDERQPRX-SNVBAGLBSA-N -1 1 346.405 -0.215 20 0 EBADMM Cc1cnc(C(=O)N2CCN(C(=O)CN3CCOCC3)CC2)c([O-])c1 ZINC000332529612 381806269 /nfs/dbraw/zinc/80/62/69/381806269.db2.gz PKEDXSUGKHNLHI-UHFFFAOYSA-N -1 1 348.403 -0.288 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCSC[C@H]1CCO ZINC000352638427 382016519 /nfs/dbraw/zinc/01/65/19/382016519.db2.gz GVVCVOUCHNDBCB-SNVBAGLBSA-N -1 1 325.390 -0.157 20 0 EBADMM CCC(F)(F)CNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000628843949 382048691 /nfs/dbraw/zinc/04/86/91/382048691.db2.gz SYCWGLXYJVHNQC-UHFFFAOYSA-N -1 1 339.320 -0.182 20 0 EBADMM CCO[C@@H]1C[C@@](CO)(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1(C)C ZINC000444586190 382151933 /nfs/dbraw/zinc/15/19/33/382151933.db2.gz LZZDZYXBUJDWMF-BMIGLBTASA-N -1 1 335.364 -0.287 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCCN(C(=O)C2CC2)CC1 ZINC000353568057 382156438 /nfs/dbraw/zinc/15/64/38/382156438.db2.gz DNZLKLZMPBRTCZ-UHFFFAOYSA-N -1 1 346.387 -0.012 20 0 EBADMM CS(=O)(=O)NC[C@@H]1COCCN1C(=O)c1ccc([O-])c(F)c1 ZINC000629569378 382231061 /nfs/dbraw/zinc/23/10/61/382231061.db2.gz BJRNFSOLZSUPFN-SNVBAGLBSA-N -1 1 332.353 -0.079 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C2CC2)[C@@H]2CC(=O)NC2=O)o1 ZINC000299511085 155158012 /nfs/dbraw/zinc/15/80/12/155158012.db2.gz JNMIXMAZSBIUEZ-MRVPVSSYSA-N -1 1 341.345 -0.793 20 0 EBADMM Cn1ncc(NCCCc2nc(=O)[n-][nH]2)c(Br)c1=O ZINC000301490611 155170247 /nfs/dbraw/zinc/17/02/47/155170247.db2.gz AXMGOLPQKLKLEN-UHFFFAOYSA-N -1 1 329.158 -0.001 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC(=O)NC(C)(C)C ZINC000316760552 155175759 /nfs/dbraw/zinc/17/57/59/155175759.db2.gz KFECAWXUPPMMSH-UHFFFAOYSA-N -1 1 332.382 -0.221 20 0 EBADMM CCOC[C@@H](NS(=O)(=O)c1scnc1C(=O)OC)C(=O)[O-] ZINC000290909064 286140232 /nfs/dbraw/zinc/14/02/32/286140232.db2.gz GBFACCULNGIRQM-ZCFIWIBFSA-N -1 1 338.363 -0.302 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C)C(=O)N2CCOCC2)c1 ZINC000330442188 155270396 /nfs/dbraw/zinc/27/03/96/155270396.db2.gz SSRVDGSUIFYMEG-VIFPVBQESA-N -1 1 346.361 -0.408 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@@H](NC(C)=O)C2)co1 ZINC000330455813 155272167 /nfs/dbraw/zinc/27/21/67/155272167.db2.gz NHNXUDIRKSSGEX-LLVKDONJSA-N -1 1 329.378 -0.072 20 0 EBADMM Cc1n[nH]c(C(F)F)c1[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000330768277 155308076 /nfs/dbraw/zinc/30/80/76/155308076.db2.gz SKSHFNJCDLUWID-UHFFFAOYSA-N -1 1 349.319 -0.146 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1C(=O)NCC[C@H]1C ZINC000194232830 154164076 /nfs/dbraw/zinc/16/40/76/154164076.db2.gz RIBNSGPIUCXESL-GLEZIHRCSA-N -1 1 331.376 -0.177 20 0 EBADMM COc1ccc([C@]2(C)NC(=O)N(CC(=O)Nc3nnn[n-]3)C2=O)cc1 ZINC000264054081 154271791 /nfs/dbraw/zinc/27/17/91/154271791.db2.gz YXTMRCWAHQEAAZ-AWEZNQCLSA-N -1 1 345.319 -0.386 20 0 EBADMM COc1ccc([C@]2(C)NC(=O)N(CC(=O)Nc3nn[n-]n3)C2=O)cc1 ZINC000264054081 154271793 /nfs/dbraw/zinc/27/17/93/154271793.db2.gz YXTMRCWAHQEAAZ-AWEZNQCLSA-N -1 1 345.319 -0.386 20 0 EBADMM O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1cncc([O-])c1 ZINC000264843016 154295521 /nfs/dbraw/zinc/29/55/21/154295521.db2.gz LLLNJNSPLRNEKC-CHWSQXEVSA-N -1 1 341.389 -0.985 20 0 EBADMM CCO[N-]C(=O)[C@@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000265386103 154314488 /nfs/dbraw/zinc/31/44/88/154314488.db2.gz ALDNWHIBJZKNBK-SECBINFHSA-N -1 1 327.362 -0.245 20 0 EBADMM CCCC[C@H](COC)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000265463316 154317747 /nfs/dbraw/zinc/31/77/47/154317747.db2.gz GYIDDUIHXDUYGM-SNVBAGLBSA-N -1 1 333.410 -0.433 20 0 EBADMM O=C(Cn1ccc([N-]S(=O)(=O)N2CCCC2)n1)N1CCOCC1 ZINC000266003688 154332440 /nfs/dbraw/zinc/33/24/40/154332440.db2.gz FHNXLQPXHUVIDF-UHFFFAOYSA-N -1 1 343.409 -0.506 20 0 EBADMM CO[C@@H](C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C(C)(C)C ZINC000266777649 154354439 /nfs/dbraw/zinc/35/44/39/154354439.db2.gz WXQIRNZKYJFZIY-JTQLQIEISA-N -1 1 333.410 -0.577 20 0 EBADMM CCC[C@@H](NC(=O)CN1C(=O)N[C@](C)(C2CC2)C1=O)c1nn[n-]n1 ZINC000267636102 154384211 /nfs/dbraw/zinc/38/42/11/154384211.db2.gz YHWXZWXFHMNGQD-YMTOWFKASA-N -1 1 335.368 -0.122 20 0 EBADMM CCCc1nnc(SCC(=O)N(C)C[C@@H](C)c2nn[n-]n2)n1N ZINC000291765671 155025122 /nfs/dbraw/zinc/02/51/22/155025122.db2.gz VWWPNLLPSTUNOQ-MRVPVSSYSA-N -1 1 339.429 -0.188 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2CC(=O)N(C)C2=O)c(C(F)(F)F)n1 ZINC000292711695 155036518 /nfs/dbraw/zinc/03/65/18/155036518.db2.gz BFOVRGQYFPCLJP-YFKPBYRVSA-N -1 1 340.283 -0.526 20 0 EBADMM COC(=O)[C@@H](CNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C)OC ZINC000294171264 155056972 /nfs/dbraw/zinc/05/69/72/155056972.db2.gz WEYRZFCCIMJJSA-LLVKDONJSA-N -1 1 336.348 -0.514 20 0 EBADMM COc1ccc(NC(=O)Cn2cnnn2)cc1[N-]S(C)(=O)=O ZINC000298451486 155111234 /nfs/dbraw/zinc/11/12/34/155111234.db2.gz VMTMIHFMDLXMMQ-UHFFFAOYSA-N -1 1 326.338 -0.308 20 0 EBADMM C[C@@H]1C[C@@H](N2CCOCC2)CN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000342279457 156022560 /nfs/dbraw/zinc/02/25/60/156022560.db2.gz GEXSUKLQHLTTEK-CHWSQXEVSA-N -1 1 348.403 -0.161 20 0 EBADMM CCOC(=O)[C@](C)(O)C[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000342519651 156040901 /nfs/dbraw/zinc/04/09/01/156040901.db2.gz XXCXEQSNOKGHCW-GFCCVEGCSA-N -1 1 335.334 -0.341 20 0 EBADMM CN1CCO[C@H]2CCN(C(=O)CNC(=O)c3ncccc3[O-])C[C@@H]21 ZINC000342545319 156041336 /nfs/dbraw/zinc/04/13/36/156041336.db2.gz MGCCMAHUEHAKNQ-AAEUAGOBSA-N -1 1 334.376 -0.552 20 0 EBADMM CN1CCO[C@@H]2CCN(C(=O)CNC(=O)c3ncccc3[O-])C[C@H]21 ZINC000342545320 156042641 /nfs/dbraw/zinc/04/26/41/156042641.db2.gz MGCCMAHUEHAKNQ-DGCLKSJQSA-N -1 1 334.376 -0.552 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2cc(C(N)=O)n(C)c2)o1 ZINC000342850361 156063957 /nfs/dbraw/zinc/06/39/57/156063957.db2.gz OEYLGZQLZCMJCA-UHFFFAOYSA-N -1 1 326.334 -0.123 20 0 EBADMM O=C(Nc1nn[n-]n1)c1cc(S(=O)(=O)N2CCSCC2)c[nH]1 ZINC000342852743 156063972 /nfs/dbraw/zinc/06/39/72/156063972.db2.gz PUTOSGRBYTVJSL-UHFFFAOYSA-N -1 1 343.394 -0.482 20 0 EBADMM O=C(Nc1nnn[n-]1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000342858466 156064174 /nfs/dbraw/zinc/06/41/74/156064174.db2.gz OXXAJGDIKNIKCF-UHFFFAOYSA-N -1 1 338.349 -0.527 20 0 EBADMM O=C(Nc1nn[n-]n1)c1ccc(S(=O)(=O)N2CCOCC2)cc1 ZINC000342858466 156064177 /nfs/dbraw/zinc/06/41/77/156064177.db2.gz OXXAJGDIKNIKCF-UHFFFAOYSA-N -1 1 338.349 -0.527 20 0 EBADMM CCOCCN1CCN(C(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000343560236 156144057 /nfs/dbraw/zinc/14/40/57/156144057.db2.gz CJHRLWYWCRQZIN-UHFFFAOYSA-N -1 1 336.392 -0.302 20 0 EBADMM C[C@@H]1CS(=O)(=O)CCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000343719649 156161679 /nfs/dbraw/zinc/16/16/79/156161679.db2.gz JCEOWUFXIOKADO-SECBINFHSA-N -1 1 327.362 -0.838 20 0 EBADMM CCC[C@@H](NC(=O)CNS(=O)(=O)CCOCC)c1nn[n-]n1 ZINC000343743424 156163588 /nfs/dbraw/zinc/16/35/88/156163588.db2.gz LWLYYMZGWLHQDI-SECBINFHSA-N -1 1 334.402 -0.887 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc([O-])cc1 ZINC000343931130 156189560 /nfs/dbraw/zinc/18/95/60/156189560.db2.gz DRKXUYIFEXVMIC-VHSXEESVSA-N -1 1 347.414 -0.142 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@@H]1Cn1ccnn1 ZINC000344880459 156276783 /nfs/dbraw/zinc/27/67/83/156276783.db2.gz RJDMYPKKOXZBCB-LLVKDONJSA-N -1 1 330.348 -0.200 20 0 EBADMM C[C@@H]1CC(=O)N[C@H](C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])C1 ZINC000344973071 156288643 /nfs/dbraw/zinc/28/86/43/156288643.db2.gz VVKCABYNSHHORJ-XVKPBYJWSA-N -1 1 327.362 -0.107 20 0 EBADMM C[C@H](CN1CCOCC1)N(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000345421755 156331101 /nfs/dbraw/zinc/33/11/01/156331101.db2.gz XYVSKRLQLYVVNX-GFCCVEGCSA-N -1 1 336.392 -0.304 20 0 EBADMM CC[C@@H](OC)C(=O)NCC[N-]S(=O)(=O)c1sc(=O)[nH]c1C ZINC000345443802 156334341 /nfs/dbraw/zinc/33/43/41/156334341.db2.gz HWTJNMFNGUXCFL-MRVPVSSYSA-N -1 1 337.423 -0.023 20 0 EBADMM C[C@H](CC1CC1)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000345705787 156358204 /nfs/dbraw/zinc/35/82/04/156358204.db2.gz AVYLPIJFGYWCIU-MRVPVSSYSA-N -1 1 329.378 -0.038 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CCC[C@@H]2C(=O)NC)o1 ZINC000345816818 156363822 /nfs/dbraw/zinc/36/38/22/156363822.db2.gz UQVPWUVCMOJNLI-IUCAKERBSA-N -1 1 329.378 -0.168 20 0 EBADMM C[C@@]1(C2CC2)NC(=O)N(CC(=O)NC2(c3nn[n-]n3)CCCC2)C1=O ZINC000346338729 156397454 /nfs/dbraw/zinc/39/74/54/156397454.db2.gz BKRBDVFZGKNBSU-AWEZNQCLSA-N -1 1 347.379 -0.194 20 0 EBADMM O=C(CNS(=O)(=O)c1cccs1)NC1(c2nn[n-]n2)CC1 ZINC000356051815 157041845 /nfs/dbraw/zinc/04/18/45/157041845.db2.gz KYSTVXZJPOXDOC-UHFFFAOYSA-N -1 1 328.379 -0.655 20 0 EBADMM O=C(Cn1cnnn1)Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-] ZINC000356386171 157063040 /nfs/dbraw/zinc/06/30/40/157063040.db2.gz YZFMBLYUBSVHHA-UHFFFAOYSA-N -1 1 338.349 -0.542 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H](CO)Cc1ccncc1 ZINC000357005481 157112442 /nfs/dbraw/zinc/11/24/42/157112442.db2.gz UVFBUFFCAZVHPN-GFCCVEGCSA-N -1 1 330.344 -0.368 20 0 EBADMM O=C(Cn1cc(C(=O)NC2(c3nn[n-]n3)CC2)cn1)NC1CCCC1 ZINC000357055948 157117606 /nfs/dbraw/zinc/11/76/06/157117606.db2.gz WGVLDHQNKADUEQ-UHFFFAOYSA-N -1 1 344.379 -0.126 20 0 EBADMM O=C(COCCNC(=O)c1ccccc1)NC1(c2nn[n-]n2)CC1 ZINC000357057353 157117626 /nfs/dbraw/zinc/11/76/26/157117626.db2.gz QAFBDQMMADSVLG-UHFFFAOYSA-N -1 1 330.348 -0.248 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2nc(N(C)C)no2)c1 ZINC000357163944 157123568 /nfs/dbraw/zinc/12/35/68/157123568.db2.gz DDRRWBFLXGZENQ-UHFFFAOYSA-N -1 1 330.322 -0.006 20 0 EBADMM CCn1nccc1CN(CCO)C(=O)CNC(=O)c1ncccc1[O-] ZINC000357415160 157141748 /nfs/dbraw/zinc/14/17/48/157141748.db2.gz TXFKCSMRSBUOPL-UHFFFAOYSA-N -1 1 347.375 -0.246 20 0 EBADMM Cn1ccc(CNC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)c1 ZINC000357646524 157163286 /nfs/dbraw/zinc/16/32/86/157163286.db2.gz BOESKHDFSVJRKO-UHFFFAOYSA-N -1 1 340.361 -0.688 20 0 EBADMM Cn1ncc2c1[n-]cc(C(=O)NC[C@@H]1CS(=O)(=O)CCO1)c2=O ZINC000358339622 157233466 /nfs/dbraw/zinc/23/34/66/157233466.db2.gz OSSRRVCBIHFEDH-MRVPVSSYSA-N -1 1 340.361 -0.783 20 0 EBADMM Cn1ncc2c1[n-]cc(C(=O)N1CCC[C@H](S(N)(=O)=O)C1)c2=O ZINC000358363195 157235872 /nfs/dbraw/zinc/23/58/72/157235872.db2.gz XPBTVILXSJNUGI-QMMMGPOBSA-N -1 1 339.377 -0.433 20 0 EBADMM C[C@@H](NC(=O)c1n[nH]c2ccccc21)C(=O)NCc1n[nH]c(=O)[n-]1 ZINC000359836509 157345951 /nfs/dbraw/zinc/34/59/51/157345951.db2.gz ARFMQXODRLCWPN-SSDOTTSWSA-N -1 1 329.320 -0.179 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H](CO)CCC(C)(C)C)c(=O)n(C)c1=O ZINC000360540773 157384183 /nfs/dbraw/zinc/38/41/83/157384183.db2.gz CDHJTWOYFDLCDF-JTQLQIEISA-N -1 1 347.437 -0.451 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC(C)(C)C(C)(C)O)c(=O)n(C)c1=O ZINC000393549927 419013175 /nfs/dbraw/zinc/01/31/75/419013175.db2.gz VPAOSPLOOATYHK-UHFFFAOYSA-N -1 1 333.410 -0.841 20 0 EBADMM CCc1[n-][nH]c(=NC(=O)[C@H]2CCS(=O)(=O)N2)c1Br ZINC000651424675 419195555 /nfs/dbraw/zinc/19/55/55/419195555.db2.gz OUIQHPQUXUGXKB-ZCFIWIBFSA-N -1 1 337.199 -0.213 20 0 EBADMM Cc1nnc([C@H]2CCN(C(=O)CNC(=O)c3ncccc3[O-])C2)[nH]1 ZINC000412785776 419302848 /nfs/dbraw/zinc/30/28/48/419302848.db2.gz LRMZINLRDGPWCD-JTQLQIEISA-N -1 1 330.348 -0.040 20 0 EBADMM CCS(=O)(=O)CCCNC(=O)CNC(=O)c1ncccc1[O-] ZINC000412863993 419303182 /nfs/dbraw/zinc/30/31/82/419303182.db2.gz AHEMSGKYYSHOMB-UHFFFAOYSA-N -1 1 329.378 -0.542 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCC1(O)CCOCC1 ZINC000285417213 419334475 /nfs/dbraw/zinc/33/44/75/419334475.db2.gz VLHWNAHIJYINRI-UHFFFAOYSA-N -1 1 347.393 -0.204 20 0 EBADMM Cc1[nH]c(=O)sc1S(=O)(=O)[N-]CCNC(=O)[C@H]1CO[C@@H](C)C1 ZINC000526242318 419602820 /nfs/dbraw/zinc/60/28/20/419602820.db2.gz VHTAMKHTBPHXPR-IONNQARKSA-N -1 1 349.434 -0.023 20 0 EBADMM O=C([N-]OCC(F)(F)F)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000370271600 419710699 /nfs/dbraw/zinc/71/06/99/419710699.db2.gz WBOIUTTVKCGKMV-JTQLQIEISA-N -1 1 339.314 -0.223 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H]3CCC[C@H]3[C@@H]2C(N)=O)o1 ZINC000375511361 419735465 /nfs/dbraw/zinc/73/54/65/419735465.db2.gz GBIQSAJHXDADLA-YGOYTEALSA-N -1 1 341.389 -0.086 20 0 EBADMM CC1=C(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)[C@@H](C)n2ncnc2N1C ZINC000375996090 419739830 /nfs/dbraw/zinc/73/98/30/419739830.db2.gz XCEPWOPTKNQHPL-ZJUUUORDSA-N -1 1 345.367 -0.324 20 0 EBADMM CO[C@H](CS(=O)(=O)[N-][C@H]1CC(=O)N(C)C1=O)C1CCOCC1 ZINC000645696105 419794816 /nfs/dbraw/zinc/79/48/16/419794816.db2.gz RGXPMULRSZBQPC-WDEREUQCSA-N -1 1 334.394 -0.895 20 0 EBADMM CN(C)C(=O)CN1CCN(C(=O)CNC2(C(=O)[O-])CCCC2)CC1 ZINC000533202784 419966959 /nfs/dbraw/zinc/96/69/59/419966959.db2.gz YNRABNOMLSBCTE-UHFFFAOYSA-N -1 1 340.424 -0.794 20 0 EBADMM CCOC(=O)Cn1cnc([N-]C(=O)c2nc3ncc(C)cn3n2)n1 ZINC000597796136 420517092 /nfs/dbraw/zinc/51/70/92/420517092.db2.gz KOSCTVBUCUTEKH-UHFFFAOYSA-N -1 1 330.308 -0.160 20 0 EBADMM CCOC(=O)[C@@H](C)NC(=O)[C@H](C)[N-]S(=O)(=O)c1c(N)noc1C ZINC000584378752 420622863 /nfs/dbraw/zinc/62/28/63/420622863.db2.gz XEKQWJDTNSNJHY-NKWVEPMBSA-N -1 1 348.381 -0.700 20 0 EBADMM Cc1[n-][nH]c(=O)c1NC(=O)c1ccc2c(=O)n(C)c(=O)n(C)c2n1 ZINC000646410607 420662861 /nfs/dbraw/zinc/66/28/61/420662861.db2.gz OKPRSOCDZDXTEE-UHFFFAOYSA-N -1 1 330.304 -0.378 20 0 EBADMM CC(C)O[C@@]1(CS(=O)(=O)[N-][C@@]2(C(N)=O)CCOC2)CCOC1 ZINC000659989869 420664445 /nfs/dbraw/zinc/66/44/45/420664445.db2.gz VDSVESIMJKSOIV-STQMWFEESA-N -1 1 336.410 -0.866 20 0 EBADMM C[C@H]1SCC[C@@H]1NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000649175706 420789326 /nfs/dbraw/zinc/78/93/26/420789326.db2.gz XZVWFZVVZZRUET-SFYZADRCSA-N -1 1 347.418 -0.333 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@H]([C@H](C)[NH3+])C2)[n-]c2nc(=O)[n-]c(=O)c1-2 ZINC000649274655 420794081 /nfs/dbraw/zinc/79/40/81/420794081.db2.gz SYLPUNMRYZGDPE-WPRPVWTQSA-N -1 1 333.348 -0.067 20 0 EBADMM CO[C@H](CC(C)C)CS(=O)(=O)[N-][C@@H]1CS(=O)(=O)C[C@H]1OC ZINC000657098551 420915382 /nfs/dbraw/zinc/91/53/82/420915382.db2.gz ALUXROKPEYQTMZ-IJLUTSLNSA-N -1 1 343.467 -0.221 20 0 EBADMM COC(=O)Cc1nc([N-]C(=O)c2nc3nc(C)cc(C)n3n2)n[nH]1 ZINC000345611575 421229547 /nfs/dbraw/zinc/22/95/47/421229547.db2.gz QQILDTCIPFWXAJ-UHFFFAOYSA-N -1 1 330.308 -0.173 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCC(=O)N(C)C2=O)o1 ZINC000425225339 265087836 /nfs/dbraw/zinc/08/78/36/265087836.db2.gz PBMMTLFMTABNRG-MRVPVSSYSA-N -1 1 344.345 -0.118 20 0 EBADMM COC1CCC(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000427258443 265105665 /nfs/dbraw/zinc/10/56/65/265105665.db2.gz CCJGJVAADZNQSN-UHFFFAOYSA-N -1 1 345.421 -0.433 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@@H](C)C[C@@H]2C(N)=O)co1 ZINC000428132023 265117820 /nfs/dbraw/zinc/11/78/20/265117820.db2.gz QWYQJOYWXSYBEC-PSASIEDQSA-N -1 1 329.378 -0.086 20 0 EBADMM CCN1CCN(C(=O)c2coc(S(=O)(=O)[N-]C)c2)[C@H](C)C1=O ZINC000428185518 265121235 /nfs/dbraw/zinc/12/12/35/265121235.db2.gz QZDOWLIINOOUMQ-SECBINFHSA-N -1 1 329.378 -0.120 20 0 EBADMM CN(C)c1ncnc2c1cc(C(=O)NC1(c3nn[n-]n3)CC1)n2C ZINC000430312530 265148133 /nfs/dbraw/zinc/14/81/33/265148133.db2.gz CAGCTABUZWNBPE-UHFFFAOYSA-N -1 1 327.352 -0.034 20 0 EBADMM Cc1c([C@@H]2[C@@H](C(=O)NC3(c4nn[n-]n4)CC3)CC(=O)N2C)cnn1C ZINC000432145426 265172683 /nfs/dbraw/zinc/17/26/83/265172683.db2.gz SEKVCGKJVUMVLI-CABZTGNLSA-N -1 1 344.379 -0.433 20 0 EBADMM CN1CC[C@@H](NS(=O)(=O)c2c[nH]c3nc(=O)[n-]c(=O)c-3c2)C1 ZINC000437227396 265245794 /nfs/dbraw/zinc/24/57/94/265245794.db2.gz KXSRDHNVRFENSI-SSDOTTSWSA-N -1 1 325.350 -0.582 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](CO)[C@@H]1CCCO1 ZINC000447930523 265385073 /nfs/dbraw/zinc/38/50/73/265385073.db2.gz LYVHPQWVIMEMKT-UWVGGRQHSA-N -1 1 333.366 -0.595 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCOC[C@H]1[C@@H]1CCCO1 ZINC000341875717 295518865 /nfs/dbraw/zinc/51/88/65/295518865.db2.gz CUABQOZMEXELDM-AAEUAGOBSA-N -1 1 335.360 -0.077 20 0 EBADMM CS(=O)(=O)NC1CC(NC(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000669835462 545946250 /nfs/dbraw/zinc/94/62/50/545946250.db2.gz RCHHQSXRMLSIAT-UHFFFAOYSA-N -1 1 336.373 -0.267 20 0 EBADMM O=C(CCN1CCCS1(=O)=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000670384408 545994398 /nfs/dbraw/zinc/99/43/98/545994398.db2.gz RYFGMSPUCXTMIL-JTQLQIEISA-N -1 1 344.393 -0.093 20 0 EBADMM O=C(Cn1nc2ccccn2c1=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000670384298 545995124 /nfs/dbraw/zinc/99/51/24/545995124.db2.gz MBXMYWFZCJTCTQ-JTQLQIEISA-N -1 1 344.331 -0.009 20 0 EBADMM CS(=O)(=O)N1CCCC[C@H]1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000677924802 546242150 /nfs/dbraw/zinc/24/21/50/546242150.db2.gz ATYGWIORLQWTJI-QWRGUYRKSA-N -1 1 342.425 -0.280 20 0 EBADMM Cc1ccncc1[C@@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000678357522 546252720 /nfs/dbraw/zinc/25/27/20/546252720.db2.gz MIACDCIWSJXHTN-SNVBAGLBSA-N -1 1 338.389 -0.173 20 0 EBADMM COc1cc(F)c(S(=O)(=O)[N-]CCN2CCNC(=O)C2)c(F)c1 ZINC000679716149 546277055 /nfs/dbraw/zinc/27/70/55/546277055.db2.gz ZWZBHYZZPYHMRS-UHFFFAOYSA-N -1 1 349.359 -0.317 20 0 EBADMM O=C(c1ncccc1[O-])N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC000684845596 546377179 /nfs/dbraw/zinc/37/71/79/546377179.db2.gz OQDNHUPZXJSFAP-UHFFFAOYSA-N -1 1 337.361 -0.343 20 0 EBADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@H](C)N1CCN(C)CC1 ZINC000866857599 582565900 /nfs/dbraw/zinc/56/59/00/582565900.db2.gz LWOZEAKBQMXAOV-JEOXALJRSA-N -1 1 326.488 -0.426 20 0 EBADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@@H](C)N1CCN(C)CC1 ZINC000866857985 582566036 /nfs/dbraw/zinc/56/60/36/582566036.db2.gz XHMYOQZGJMRIGB-GFCCVEGCSA-N -1 1 340.515 -0.036 20 0 EBADMM NS(=O)(=O)c1ccc(CCNc2nccnc2-c2nnn[n-]2)cc1 ZINC000737765854 582620727 /nfs/dbraw/zinc/62/07/27/582620727.db2.gz QFPHPQOEZKSUBC-UHFFFAOYSA-N -1 1 346.376 -0.041 20 0 EBADMM NS(=O)(=O)c1ccc(CCNc2nccnc2-c2nn[n-]n2)cc1 ZINC000737765854 582620729 /nfs/dbraw/zinc/62/07/29/582620729.db2.gz QFPHPQOEZKSUBC-UHFFFAOYSA-N -1 1 346.376 -0.041 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCc1ccnc(-n2ccnc2)c1 ZINC000726871876 582623626 /nfs/dbraw/zinc/62/36/26/582623626.db2.gz AFHKMTRQFMEBTC-UHFFFAOYSA-N -1 1 340.343 -0.176 20 0 EBADMM CCCC(=O)N[C@@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000727854300 582661690 /nfs/dbraw/zinc/66/16/90/582661690.db2.gz XPOIAFZQDAIABU-GFCCVEGCSA-N -1 1 336.392 -0.166 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CC(=O)N(c2ccccc2)C1 ZINC000727853943 582661730 /nfs/dbraw/zinc/66/17/30/582661730.db2.gz ZHJISGUEZVVVSQ-LBPRGKRZSA-N -1 1 342.355 -0.152 20 0 EBADMM CC(C)N1CCC(O)(C[N-]S(=O)(=O)N=S(C)(C)=O)CC1 ZINC000866960883 582686359 /nfs/dbraw/zinc/68/63/59/582686359.db2.gz VQYDYVDOHTZEJP-UHFFFAOYSA-N -1 1 327.472 -0.216 20 0 EBADMM CC(C)N1C(=S)N=NC1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC000731231488 582835767 /nfs/dbraw/zinc/83/57/67/582835767.db2.gz ZYDCIOZEZLVARJ-UHFFFAOYSA-N -1 1 338.393 -0.296 20 0 EBADMM COCCN1CCC[C@H]1CNS(=O)(=O)N1CCC(C(=O)[O-])CC1 ZINC000737814721 582836684 /nfs/dbraw/zinc/83/66/84/582836684.db2.gz YHKSNYISHVACPE-ZDUSSCGKSA-N -1 1 349.453 -0.272 20 0 EBADMM COc1cc[n-]c(=NNS(=O)(=O)c2ccc3c(c2)C(=O)NC3=O)n1 ZINC000731596805 582837991 /nfs/dbraw/zinc/83/79/91/582837991.db2.gz XRRGXQCYMOANBK-UHFFFAOYSA-N -1 1 349.328 -0.326 20 0 EBADMM C[C@@H](NC(=O)c1ccccc1)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000732092417 582844435 /nfs/dbraw/zinc/84/44/35/582844435.db2.gz ZNBZUIMROADSON-ZYHUDNBSSA-N -1 1 330.348 -0.082 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@H](N2CCCC2=O)C1 ZINC000732301068 582846898 /nfs/dbraw/zinc/84/68/98/582846898.db2.gz ZZDLXNPDHNZDAK-LBPRGKRZSA-N -1 1 334.376 -0.460 20 0 EBADMM CCN(CC)C(=O)[C@@H]1CCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000733154028 582856485 /nfs/dbraw/zinc/85/64/85/582856485.db2.gz FCGOJZLMTOMMBS-LBPRGKRZSA-N -1 1 336.392 -0.214 20 0 EBADMM CN(C)C(=O)C1(CNC(=O)CCn2cc[n-]c(=O)c2=O)CCCC1 ZINC000733277226 582859072 /nfs/dbraw/zinc/85/90/72/582859072.db2.gz JQTAFCMZIAYQEP-UHFFFAOYSA-N -1 1 336.392 -0.309 20 0 EBADMM CC(C)(CNC(=O)c1ccc(-c2nnn[n-]2)nc1)NS(C)(=O)=O ZINC000735670277 582902658 /nfs/dbraw/zinc/90/26/58/582902658.db2.gz LRZAOHGIQXGYAA-UHFFFAOYSA-N -1 1 339.381 -0.681 20 0 EBADMM CC(C)(CNC(=O)c1ccc(-c2nn[n-]n2)nc1)NS(C)(=O)=O ZINC000735670277 582902662 /nfs/dbraw/zinc/90/26/62/582902662.db2.gz LRZAOHGIQXGYAA-UHFFFAOYSA-N -1 1 339.381 -0.681 20 0 EBADMM CC(C)CN1CC(=O)N[C@@H]1c1cnc2c(-c3nnn[n-]3)cnn2c1 ZINC000735830749 582907978 /nfs/dbraw/zinc/90/79/78/582907978.db2.gz ILHPARBRFFAATN-ZDUSSCGKSA-N -1 1 327.352 -0.004 20 0 EBADMM CC(C)CN1CC(=O)N[C@@H]1c1cnc2c(-c3nn[n-]n3)cnn2c1 ZINC000735830749 582907981 /nfs/dbraw/zinc/90/79/81/582907981.db2.gz ILHPARBRFFAATN-ZDUSSCGKSA-N -1 1 327.352 -0.004 20 0 EBADMM C[C@H](C(=O)NC1CC1)N1CCN(CCC(=O)N(C)CC(=O)[O-])CC1 ZINC000736212530 582924757 /nfs/dbraw/zinc/92/47/57/582924757.db2.gz UFJHDLMSHVUTJV-GFCCVEGCSA-N -1 1 340.424 -0.796 20 0 EBADMM CC[C@H]1CN(c2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)C[C@@H](C)O1 ZINC000736408351 582933034 /nfs/dbraw/zinc/93/30/34/582933034.db2.gz TVLOSAKWGVLCFP-BDAKNGLRSA-N -1 1 335.368 -0.732 20 0 EBADMM CC(C)C[C@H](NC(=O)CN1CCN(C[C@H](C)O)[C@@H](C)C1)C(=O)[O-] ZINC000736411457 582933925 /nfs/dbraw/zinc/93/39/25/582933925.db2.gz HATXVMMIDAEVCP-IHRRRGAJSA-N -1 1 329.441 -0.011 20 0 EBADMM CCCCNC(=O)CN1CCN(c2nccnc2-c2nnn[n-]2)CC1 ZINC000736460673 582934830 /nfs/dbraw/zinc/93/48/30/582934830.db2.gz JUANMJGBUSZHTF-UHFFFAOYSA-N -1 1 345.411 -0.305 20 0 EBADMM CCCCNC(=O)CN1CCN(c2nccnc2-c2nn[n-]n2)CC1 ZINC000736460673 582934832 /nfs/dbraw/zinc/93/48/32/582934832.db2.gz JUANMJGBUSZHTF-UHFFFAOYSA-N -1 1 345.411 -0.305 20 0 EBADMM C[C@@H](CN(C)CC(=O)Nc1cccc(S(N)(=O)=O)c1)C(=O)[O-] ZINC000736572146 582942970 /nfs/dbraw/zinc/94/29/70/582942970.db2.gz NVUMLQRTIQRPMW-VIFPVBQESA-N -1 1 329.378 -0.075 20 0 EBADMM CCn1cc(-c2nn[n-]n2)c(=O)n(Cc2cn3ccsc3n2)c1=O ZINC000736718379 582951594 /nfs/dbraw/zinc/95/15/94/582951594.db2.gz KBLFAFCAWRZVLL-UHFFFAOYSA-N -1 1 344.360 -0.033 20 0 EBADMM CN(CCn1cccn1)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736814963 582959521 /nfs/dbraw/zinc/95/95/21/582959521.db2.gz NQUYTQKDTICUJC-UHFFFAOYSA-N -1 1 334.365 -0.221 20 0 EBADMM CN(CCn1cccn1)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736814963 582959522 /nfs/dbraw/zinc/95/95/22/582959522.db2.gz NQUYTQKDTICUJC-UHFFFAOYSA-N -1 1 334.365 -0.221 20 0 EBADMM CN(c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C)C(C1CC1)C1CC1 ZINC000736842744 582960440 /nfs/dbraw/zinc/96/04/40/582960440.db2.gz WHSRMIQZEMVQLV-UHFFFAOYSA-N -1 1 331.380 -0.111 20 0 EBADMM CC[C@H](C)[C@H](NC(=O)CN1CCN(C[C@H](C)O)[C@@H](C)C1)C(=O)[O-] ZINC000736886939 582969687 /nfs/dbraw/zinc/96/96/87/582969687.db2.gz QGPROAMYDIMUMR-ABHRYQDASA-N -1 1 329.441 -0.011 20 0 EBADMM COC(=O)C(C)(C)N(C)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736887852 582970093 /nfs/dbraw/zinc/97/00/93/582970093.db2.gz HZTYGBOUQRGENP-UHFFFAOYSA-N -1 1 340.365 -0.166 20 0 EBADMM COC(=O)C(C)(C)N(C)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736887852 582970095 /nfs/dbraw/zinc/97/00/95/582970095.db2.gz HZTYGBOUQRGENP-UHFFFAOYSA-N -1 1 340.365 -0.166 20 0 EBADMM CCCC[C@@H](C(=O)[O-])N1CCN(C(=O)C(=O)N2CCOCC2)CC1 ZINC000736980602 582978995 /nfs/dbraw/zinc/97/89/95/582978995.db2.gz WHKAKNRHBBPUPZ-ZDUSSCGKSA-N -1 1 341.408 -0.367 20 0 EBADMM CSCC[C@H](C)Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000737181722 582995870 /nfs/dbraw/zinc/99/58/70/582995870.db2.gz NCIMCZWZEQVWRE-ZETCQYMHSA-N -1 1 325.398 -0.182 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1c(F)cccc1-n1cccn1 ZINC000737268598 583003274 /nfs/dbraw/zinc/00/32/74/583003274.db2.gz OHVGYSQEQPAXFX-UHFFFAOYSA-N -1 1 330.283 -0.221 20 0 EBADMM COC[C@@](C)(O)C(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000745319704 583096703 /nfs/dbraw/zinc/09/67/03/583096703.db2.gz XIZHDINHKRKRCH-OAHLLOKOSA-N -1 1 325.317 -0.108 20 0 EBADMM Cn1cc(C[C@@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)cn1 ZINC000746623094 583108591 /nfs/dbraw/zinc/10/85/91/583108591.db2.gz CAJWLPNBXOLRQG-LBPRGKRZSA-N -1 1 331.376 -0.249 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCN(S(N)(=O)=O)CC1 ZINC000747216718 583111844 /nfs/dbraw/zinc/11/18/44/583111844.db2.gz TXUUECYMVHNPTJ-UHFFFAOYSA-N -1 1 343.409 -0.425 20 0 EBADMM O=C([N-][C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)C(F)(F)C(F)F ZINC000749366315 583128490 /nfs/dbraw/zinc/12/84/90/583128490.db2.gz XHLUSANEGDFFKD-SFYZADRCSA-N -1 1 348.318 -0.499 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C1(C(=O)NC)CCCC1 ZINC000751064912 583141926 /nfs/dbraw/zinc/14/19/26/583141926.db2.gz SXMIGMUVYNVNGA-UHFFFAOYSA-N -1 1 344.393 -0.077 20 0 EBADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-]CC(=O)OCC1CC1 ZINC000751062565 583142026 /nfs/dbraw/zinc/14/20/26/583142026.db2.gz JEKXVBRBRWIHAP-UHFFFAOYSA-N -1 1 331.350 -0.264 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CCN(c2ccccn2)C1 ZINC000753182655 583159297 /nfs/dbraw/zinc/15/92/97/583159297.db2.gz GDJLXEOOPAVWJG-LBPRGKRZSA-N -1 1 329.360 -0.283 20 0 EBADMM CC(C)N(CCS(C)(=O)=O)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000753248894 583159961 /nfs/dbraw/zinc/15/99/61/583159961.db2.gz GFSLSPCCVWQBNH-UHFFFAOYSA-N -1 1 331.394 -0.792 20 0 EBADMM O=C(c1ccnc(O[C@@H]2CCOC2)c1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000755293608 583174433 /nfs/dbraw/zinc/17/44/33/583174433.db2.gz FQCOBZAZGAKPHF-NEPJUHHUSA-N -1 1 346.347 -0.024 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCN2C(=O)CCC2=O)co1 ZINC000756403691 583183033 /nfs/dbraw/zinc/18/30/33/583183033.db2.gz VKJANOCLZKSOQO-UHFFFAOYSA-N -1 1 330.318 -0.507 20 0 EBADMM CC1(C)CCC(NC(=O)CCn2cc[n-]c(=O)c2=O)(C(N)=O)CC1 ZINC000760117959 583225259 /nfs/dbraw/zinc/22/52/59/583225259.db2.gz OXWLFHMVMJOSQC-UHFFFAOYSA-N -1 1 336.392 -0.133 20 0 EBADMM O=C(c1ccc(-n2ccnn2)cc1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000761155249 583238034 /nfs/dbraw/zinc/23/80/34/583238034.db2.gz KBOBXIVSEGBHCX-GFCCVEGCSA-N -1 1 326.320 -0.006 20 0 EBADMM NS(=O)(=O)c1cc(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)co1 ZINC000763977712 583266936 /nfs/dbraw/zinc/26/69/36/583266936.db2.gz POLPDEGNGTTWAB-LLVKDONJSA-N -1 1 348.344 -0.041 20 0 EBADMM CCNS(=O)(=O)c1ccc(C(=O)OCc2nc(=O)n(C)[n-]2)cc1 ZINC000765417642 583281731 /nfs/dbraw/zinc/28/17/31/583281731.db2.gz QOWXCYOMJOOQHA-UHFFFAOYSA-N -1 1 340.361 -0.236 20 0 EBADMM C[C@@H](C(=O)OCc1nc(=O)n(C)[n-]1)N1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC000765417237 583281951 /nfs/dbraw/zinc/28/19/51/583281951.db2.gz POUUIIQFTYIPPF-IVZWLZJFSA-N -1 1 336.348 -0.285 20 0 EBADMM Cn1[n-]c(COC(=O)c2cc(C(N)=O)n(-c3ccccc3)n2)nc1=O ZINC000765473350 583282963 /nfs/dbraw/zinc/28/29/63/583282963.db2.gz FGILTFRGYXFNAO-UHFFFAOYSA-N -1 1 342.315 -0.250 20 0 EBADMM CNS(=O)(=O)c1cc(C(=O)OCc2nc(=O)n(C)[n-]2)c(C)o1 ZINC000765493117 583283378 /nfs/dbraw/zinc/28/33/78/583283378.db2.gz SYPUFNBLIYRUKP-UHFFFAOYSA-N -1 1 330.322 -0.725 20 0 EBADMM CC(C)[C@H](C(=O)OCc1nc(=O)n(C)[n-]1)N1CCCS1(=O)=O ZINC000765503690 583283837 /nfs/dbraw/zinc/28/38/37/583283837.db2.gz UONYQVOKSBLVSQ-SNVBAGLBSA-N -1 1 332.382 -0.788 20 0 EBADMM Cn1[n-]c(COC(=O)[C@H]2CN(C(=O)OC(C)(C)C)CCO2)nc1=O ZINC000765496254 583284114 /nfs/dbraw/zinc/28/41/14/583284114.db2.gz LYGCPPOXZDDBQN-SECBINFHSA-N -1 1 342.352 -0.213 20 0 EBADMM C[C@@]1(c2ccccc2)NC(=O)N([N-]S(=O)(=O)C2COC2)C1=O ZINC000767540240 583306992 /nfs/dbraw/zinc/30/69/92/583306992.db2.gz NICDKCKKCLXTHE-ZDUSSCGKSA-N -1 1 325.346 -0.313 20 0 EBADMM O=C(NCCS(=O)(=O)N1CCOCC1)c1ccc([O-])c(F)c1 ZINC000767930357 583310883 /nfs/dbraw/zinc/31/08/83/583310883.db2.gz IWDDDISCUGGWQC-UHFFFAOYSA-N -1 1 332.353 -0.077 20 0 EBADMM CS(=O)(=O)[C@@H]1CCCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000768124112 583312274 /nfs/dbraw/zinc/31/22/74/583312274.db2.gz QFZNRQAGAJUMRV-LLVKDONJSA-N -1 1 343.405 -0.648 20 0 EBADMM CCc1ccc(NC(=O)NN2CC(=O)[N-]C2=O)cc1S(N)(=O)=O ZINC000770459872 583337767 /nfs/dbraw/zinc/33/77/67/583337767.db2.gz CZKMKHGFBRCOON-UHFFFAOYSA-N -1 1 341.349 -0.515 20 0 EBADMM CNS(=O)(=O)c1cccc(NC(=O)NN2CC(=O)[N-]C2=O)c1C ZINC000770592413 583339783 /nfs/dbraw/zinc/33/97/83/583339783.db2.gz DUFOOQKENQHPLS-UHFFFAOYSA-N -1 1 341.349 -0.509 20 0 EBADMM C/C=C/C[C@@H]([N-]S(=O)(=O)CCS(C)(=O)=O)C(=O)OCC ZINC000773290742 583371530 /nfs/dbraw/zinc/37/15/30/583371530.db2.gz NXJNEZTYGARWSF-DFVUYQKZSA-N -1 1 327.424 -0.152 20 0 EBADMM O=C(CC[C@@H]1NC(=O)NC1=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774899630 583392010 /nfs/dbraw/zinc/39/20/10/583392010.db2.gz AHTKSHRKUMKDEI-LURJTMIESA-N -1 1 325.243 -0.414 20 0 EBADMM Cn1c(Cl)ncc1S(=O)(=O)N[C@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000776437971 583404198 /nfs/dbraw/zinc/40/41/98/583404198.db2.gz PMLMBMUAQNAZRH-SSDOTTSWSA-N -1 1 333.757 -0.229 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCCS(=O)(=O)NC)o1 ZINC000777062554 583411001 /nfs/dbraw/zinc/41/10/01/583411001.db2.gz ZHKUWVJUIAVHMR-UHFFFAOYSA-N -1 1 340.379 -0.716 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)[N-]c2cc(C(N)=O)[nH]n2)cn1C ZINC000778085188 583417018 /nfs/dbraw/zinc/41/70/18/583417018.db2.gz NJJJVSFZBPXDCE-UHFFFAOYSA-N -1 1 327.322 -0.566 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCN2CCOCC2=O)co1 ZINC000785302923 583494248 /nfs/dbraw/zinc/49/42/48/583494248.db2.gz OHZDSLDWNCIJMI-UHFFFAOYSA-N -1 1 332.334 -0.797 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@H]2NC(=O)O[C@H]2C)cc1 ZINC000786075683 583505505 /nfs/dbraw/zinc/50/55/05/583505505.db2.gz ZNQFKZGMLPTVFV-UFBFGSQYSA-N -1 1 336.300 -0.008 20 0 EBADMM COC(=O)[C@@H]1[C@H]2CCC[C@H]2CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000786234940 583507398 /nfs/dbraw/zinc/50/73/98/583507398.db2.gz ZYHYLOHZKGXCFO-GVXVVHGQSA-N -1 1 335.360 -0.273 20 0 EBADMM COC(=O)c1cn(CC(=O)OCC(=O)[N-]C(=O)c2ccccc2)nn1 ZINC000786553348 583510827 /nfs/dbraw/zinc/51/08/27/583510827.db2.gz NZRAIEWKGQVHGI-UHFFFAOYSA-N -1 1 346.299 -0.436 20 0 EBADMM COCCO[N-]C(=O)C(=O)NC[C@H](C(C)C)N1CCN(C)CC1 ZINC000786647613 583512223 /nfs/dbraw/zinc/51/22/23/583512223.db2.gz DDYSVZOGGZFYLV-CYBMUJFWSA-N -1 1 330.429 -0.931 20 0 EBADMM C[C@@H]1OCC[C@@]12CN(C(=O)CCn1cc[n-]c(=O)c1=O)C[C@@H](C)O2 ZINC000791064067 583549222 /nfs/dbraw/zinc/54/92/22/583549222.db2.gz QOKLHYUESQUFSJ-BFQNTYOBSA-N -1 1 337.376 -0.278 20 0 EBADMM CC(C)(C)OC(=O)N1CCOC[C@H]1CC(=O)NCc1nn[n-]n1 ZINC000796376069 583595700 /nfs/dbraw/zinc/59/57/00/583595700.db2.gz IHVIDCOVACQNGL-SECBINFHSA-N -1 1 326.357 -0.158 20 0 EBADMM C[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@]2(CCCOC2)O1 ZINC000796502890 583596012 /nfs/dbraw/zinc/59/60/12/583596012.db2.gz CLENFRPANOJQFG-LRDDRELGSA-N -1 1 337.376 -0.277 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)O[C@H]1CCC(=O)NC1=O ZINC000798612343 583606318 /nfs/dbraw/zinc/60/63/18/583606318.db2.gz MFNMFAAYSSPMQE-JTQLQIEISA-N -1 1 332.316 -0.057 20 0 EBADMM COc1ccc(C(=O)N2CCC[C@H]2C(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000798895483 583608751 /nfs/dbraw/zinc/60/87/51/583608751.db2.gz ZJZZQLLDTIDBNH-LBPRGKRZSA-N -1 1 346.343 -0.117 20 0 EBADMM C[C@H]1C[C@@H](N2CCOCC2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000804439941 583644814 /nfs/dbraw/zinc/64/48/14/583644814.db2.gz NZYZMZIMDSRFKH-QWHCGFSZSA-N -1 1 336.392 -0.752 20 0 EBADMM C[C@@H](NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)C(=O)NC(C)(C)C ZINC000806930886 583656084 /nfs/dbraw/zinc/65/60/84/583656084.db2.gz LZHOGKHDUBNUMU-SECBINFHSA-N -1 1 346.391 -0.300 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCSC[C@H]3CO)ccnc1-2 ZINC000807014310 583656765 /nfs/dbraw/zinc/65/67/65/583656765.db2.gz DFINQAOVOMMXMJ-SECBINFHSA-N -1 1 335.389 -0.783 20 0 EBADMM CCN1CCCN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1=O ZINC000807030882 583657271 /nfs/dbraw/zinc/65/72/71/583657271.db2.gz QEZGPIHKYLWVET-UHFFFAOYSA-N -1 1 344.375 -0.639 20 0 EBADMM O=C(NN1CC(=O)[N-]C1=O)C(=O)N1C[C@@H]2CCCN2c2ccccc21 ZINC000807062811 583657686 /nfs/dbraw/zinc/65/76/86/583657686.db2.gz FVSFQVDAXVDMQJ-JTQLQIEISA-N -1 1 343.343 -0.415 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCO[C@H](C(F)F)C3)ccnc1-2 ZINC000807076922 583657861 /nfs/dbraw/zinc/65/78/61/583657861.db2.gz YRHIDHSGZYBZEV-JTQLQIEISA-N -1 1 339.302 -0.227 20 0 EBADMM O=Cc1ccc(OCC(=O)N2CCC(O)(c3nn[n-]n3)CC2)cc1 ZINC000807992514 583664960 /nfs/dbraw/zinc/66/49/60/583664960.db2.gz HLQPRBWMJRWUEU-UHFFFAOYSA-N -1 1 331.332 -0.099 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]CC1(O)CCC2(CC1)OCCO2 ZINC000809825890 583680581 /nfs/dbraw/zinc/68/05/81/583680581.db2.gz PMRBWSAVZLGSGB-UHFFFAOYSA-N -1 1 347.393 -0.108 20 0 EBADMM CC(C)OCCO[N-]C(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000812802476 583696609 /nfs/dbraw/zinc/69/66/09/583696609.db2.gz OIZRHBYXYNSZDT-NEPJUHHUSA-N -1 1 348.421 -0.505 20 0 EBADMM CC1(C)OCC(C)(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)CO1 ZINC000816493278 583717632 /nfs/dbraw/zinc/71/76/32/583717632.db2.gz VVAFWXCKCTUTMP-UHFFFAOYSA-N -1 1 325.369 -0.201 20 0 EBADMM CC(C)N1CCO[C@H](CN2CCN(C(=O)NCCC(=O)[O-])CC2)C1 ZINC000817909779 583730888 /nfs/dbraw/zinc/73/08/88/583730888.db2.gz NROHYIAUAWFTFF-CQSZACIVSA-N -1 1 342.440 -0.103 20 0 EBADMM NS(=O)(=O)c1ccc(NC(=O)CN2CC[C@@H](C(=O)[O-])C2)cc1 ZINC000819130957 583755574 /nfs/dbraw/zinc/75/55/74/583755574.db2.gz SMBNNBXVUYIRFO-SECBINFHSA-N -1 1 327.362 -0.321 20 0 EBADMM CC(C)(C)OC(=O)N1CC(NC(=O)Cn2cnc(-c3nn[n-]n3)n2)C1 ZINC000819933301 583763805 /nfs/dbraw/zinc/76/38/05/583763805.db2.gz MVVDWZOUVREARF-UHFFFAOYSA-N -1 1 349.355 -0.806 20 0 EBADMM CC(C)(C)[C@H](NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)C(N)=O ZINC000819949947 583764083 /nfs/dbraw/zinc/76/40/83/583764083.db2.gz DHPUMJMACRSRSB-SECBINFHSA-N -1 1 339.381 -0.560 20 0 EBADMM CC(C)(C)[C@H](NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)C(N)=O ZINC000819949947 583764085 /nfs/dbraw/zinc/76/40/85/583764085.db2.gz DHPUMJMACRSRSB-SECBINFHSA-N -1 1 339.381 -0.560 20 0 EBADMM CC(C)(Oc1ccc(-c2nn[nH]n2)cc1)C(=O)NN1CC(=O)[N-]C1=O ZINC000820001488 583764698 /nfs/dbraw/zinc/76/46/98/583764698.db2.gz OTHYHDBVBCFOGN-UHFFFAOYSA-N -1 1 345.319 -0.393 20 0 EBADMM CC(C)C[C@@H]1COCCN1c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000820067816 583765927 /nfs/dbraw/zinc/76/59/27/583765927.db2.gz LSIOSBKMITYNHR-SNVBAGLBSA-N -1 1 349.395 -0.485 20 0 EBADMM CC(C)N1CC[C@@H](NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1=O ZINC000820127061 583766962 /nfs/dbraw/zinc/76/69/62/583766962.db2.gz IDMZBWGSSWKALH-SECBINFHSA-N -1 1 333.356 -0.269 20 0 EBADMM CC(C)N1CC[C@@H](NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1=O ZINC000820127061 583766964 /nfs/dbraw/zinc/76/69/64/583766964.db2.gz IDMZBWGSSWKALH-SECBINFHSA-N -1 1 333.356 -0.269 20 0 EBADMM CC(C)Oc1ncccc1CNC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000820177901 583767691 /nfs/dbraw/zinc/76/76/91/583767691.db2.gz CWZOLHJLACQDJE-UHFFFAOYSA-N -1 1 343.351 -0.043 20 0 EBADMM C[C@@H](CC[S@@](C)=O)NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820249326 583769108 /nfs/dbraw/zinc/76/91/08/583769108.db2.gz UTBMLEGINKBCLR-LWHSBAFCSA-N -1 1 326.386 -0.121 20 0 EBADMM C[C@@H](CC[S@@](C)=O)NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820249326 583769109 /nfs/dbraw/zinc/76/91/09/583769109.db2.gz UTBMLEGINKBCLR-LWHSBAFCSA-N -1 1 326.386 -0.121 20 0 EBADMM C[C@H](Cc1ccc(O)cc1)NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000820299666 583771207 /nfs/dbraw/zinc/77/12/07/583771207.db2.gz IRNRSEQINODCRY-SECBINFHSA-N -1 1 328.336 -0.089 20 0 EBADMM CC[C@@H](NC(=O)C(=O)Nc1ccc2[nH]nc(C(N)=O)c2c1)C(=O)[O-] ZINC000820405428 583772621 /nfs/dbraw/zinc/77/26/21/583772621.db2.gz LUBAWIPEHAWYFU-MRVPVSSYSA-N -1 1 333.304 -0.420 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@H]2CCC[N@@H+]2CC(=O)[O-])co1 ZINC000820641027 583776778 /nfs/dbraw/zinc/77/67/78/583776778.db2.gz JJTWBLRTCBGBJP-SNVBAGLBSA-N -1 1 346.361 -0.107 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@H]2CCC[N@H+]2CC(=O)[O-])co1 ZINC000820641027 583776780 /nfs/dbraw/zinc/77/67/80/583776780.db2.gz JJTWBLRTCBGBJP-SNVBAGLBSA-N -1 1 346.361 -0.107 20 0 EBADMM CS(C)(=O)=NS(=O)(=O)[N-]Cc1ccc(S(N)(=O)=O)cc1 ZINC000820749306 583779141 /nfs/dbraw/zinc/77/91/41/583779141.db2.gz XIYOEOPXAVJHDO-UHFFFAOYSA-N -1 1 341.436 -0.604 20 0 EBADMM C[C@H]1CN(c2nccnc2-c2nnn[n-]2)CCN1C(=O)C1=COCC1 ZINC000820777143 583779432 /nfs/dbraw/zinc/77/94/32/583779432.db2.gz PTJCOEKKJRPBOT-JTQLQIEISA-N -1 1 342.363 -0.002 20 0 EBADMM C[C@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1C(=O)C1=COCC1 ZINC000820777143 583779435 /nfs/dbraw/zinc/77/94/35/583779435.db2.gz PTJCOEKKJRPBOT-JTQLQIEISA-N -1 1 342.363 -0.002 20 0 EBADMM C[C@H]1CN(c2nccnc2-c2nnn[n-]2)CCN1C(=O)[C@H]1CCOC1 ZINC000820775437 583779560 /nfs/dbraw/zinc/77/95/60/583779560.db2.gz IATUITQQICBITH-QWRGUYRKSA-N -1 1 344.379 -0.270 20 0 EBADMM C[C@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1C(=O)[C@H]1CCOC1 ZINC000820775437 583779562 /nfs/dbraw/zinc/77/95/62/583779562.db2.gz IATUITQQICBITH-QWRGUYRKSA-N -1 1 344.379 -0.270 20 0 EBADMM CS(C)(=O)=NS(=O)(=O)[N-]Cc1cccnc1N1CCOCC1 ZINC000820784299 583779708 /nfs/dbraw/zinc/77/97/08/583779708.db2.gz IACPCNGFMLEIGY-UHFFFAOYSA-N -1 1 348.450 -0.020 20 0 EBADMM C[C@H]1CN(c2nccnc2-c2nnn[n-]2)CCN1CC(=O)N1CCC1 ZINC000820788466 583780268 /nfs/dbraw/zinc/78/02/68/583780268.db2.gz HRIYKLCGEWFHPW-NSHDSACASA-N -1 1 343.395 -0.601 20 0 EBADMM C[C@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1CC(=O)N1CCC1 ZINC000820788466 583780269 /nfs/dbraw/zinc/78/02/69/583780269.db2.gz HRIYKLCGEWFHPW-NSHDSACASA-N -1 1 343.395 -0.601 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nnn[n-]2)CCN1CN1CCCC1=O ZINC000820803925 583781026 /nfs/dbraw/zinc/78/10/26/583781026.db2.gz JTKXJYYBZKHVMQ-LLVKDONJSA-N -1 1 343.395 -0.253 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1CN1CCCC1=O ZINC000820803925 583781028 /nfs/dbraw/zinc/78/10/28/583781028.db2.gz JTKXJYYBZKHVMQ-LLVKDONJSA-N -1 1 343.395 -0.253 20 0 EBADMM CO[N-]C(=O)[C@@H]1CS(=O)(=O)CC12CN(C(=O)OC(C)(C)C)C2 ZINC000820844668 583781876 /nfs/dbraw/zinc/78/18/76/583781876.db2.gz LBXARFOLOGZENI-VIFPVBQESA-N -1 1 334.394 -0.054 20 0 EBADMM CCNC(=O)c1ccccc1NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821294722 583788367 /nfs/dbraw/zinc/78/83/67/583788367.db2.gz XRGQWOCPLOIZJK-UHFFFAOYSA-N -1 1 341.335 -0.153 20 0 EBADMM CCOC(=O)C1(CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)CCC1 ZINC000821319597 583788923 /nfs/dbraw/zinc/78/89/23/583788923.db2.gz FHAOTDQXIYLMLG-UHFFFAOYSA-N -1 1 334.340 -0.692 20 0 EBADMM CCOC(=O)[C@@H]1CCCN(C(=O)Cn2cnc(-c3nn[n-]n3)n2)C1 ZINC000821337240 583789255 /nfs/dbraw/zinc/78/92/55/583789255.db2.gz DRRSJCMFGZCXMY-SECBINFHSA-N -1 1 334.340 -0.740 20 0 EBADMM CCOC[C@H](C(=O)OC)N(C)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821369355 583790073 /nfs/dbraw/zinc/79/00/73/583790073.db2.gz ZMWRKGSPZSVUDD-LLVKDONJSA-N -1 1 334.336 -0.088 20 0 EBADMM CCOC[C@H](C(=O)OC)N(C)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821369355 583790074 /nfs/dbraw/zinc/79/00/74/583790074.db2.gz ZMWRKGSPZSVUDD-LLVKDONJSA-N -1 1 334.336 -0.088 20 0 EBADMM CCONC(=O)N1CCN(c2nccnc2-c2nnn[n-]2)C[C@H]1C ZINC000821389323 583790545 /nfs/dbraw/zinc/79/05/45/583790545.db2.gz JFAKPUNCNWNMPN-SECBINFHSA-N -1 1 333.356 -0.172 20 0 EBADMM CCONC(=O)N1CCN(c2nccnc2-c2nn[n-]n2)C[C@H]1C ZINC000821389323 583790547 /nfs/dbraw/zinc/79/05/47/583790547.db2.gz JFAKPUNCNWNMPN-SECBINFHSA-N -1 1 333.356 -0.172 20 0 EBADMM CCS(=O)(=O)C[C@@H](C)NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000821441025 583791191 /nfs/dbraw/zinc/79/11/91/583791191.db2.gz IAHJPQSBCXXWIG-SSDOTTSWSA-N -1 1 342.385 -0.455 20 0 EBADMM CCS(=O)(=O)C[C@@H](C)NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000821441025 583791194 /nfs/dbraw/zinc/79/11/94/583791194.db2.gz IAHJPQSBCXXWIG-SSDOTTSWSA-N -1 1 342.385 -0.455 20 0 EBADMM CCn1cc(-c2nn[n-]n2)c(=O)n(CCNC(=O)C2CCCC2)c1=O ZINC000821565101 583793034 /nfs/dbraw/zinc/79/30/34/583793034.db2.gz DGDBHLRTAMCBHZ-UHFFFAOYSA-N -1 1 347.379 -0.484 20 0 EBADMM CCn1cc(-c2nn[n-]n2)c(=O)n(Cc2csc(COC)n2)c1=O ZINC000821565567 583793337 /nfs/dbraw/zinc/79/33/37/583793337.db2.gz ZGKGLQFKXGYGIO-UHFFFAOYSA-N -1 1 349.376 -0.139 20 0 EBADMM CN(C)c1ncccc1CNC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821591024 583794599 /nfs/dbraw/zinc/79/45/99/583794599.db2.gz QQIKAFPYUDOSHI-UHFFFAOYSA-N -1 1 328.340 -0.764 20 0 EBADMM C[N@H+]1CCC[C@H]1C(=O)N1CCN(c2nccnc2-c2nnn[n-]2)CC1 ZINC000821623417 583795708 /nfs/dbraw/zinc/79/57/08/583795708.db2.gz LEFJTYGMOGEREX-NSHDSACASA-N -1 1 343.395 -0.601 20 0 EBADMM C[N@@H+]1CCC[C@H]1C(=O)N1CCN(c2nccnc2-c2nn[n-]n2)CC1 ZINC000821623417 583795710 /nfs/dbraw/zinc/79/57/10/583795710.db2.gz LEFJTYGMOGEREX-NSHDSACASA-N -1 1 343.395 -0.601 20 0 EBADMM CN1CCC[C@H](NS(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)C1=O ZINC000821623965 583796133 /nfs/dbraw/zinc/79/61/33/583796133.db2.gz WJKXCWMQCWHLHF-JTQLQIEISA-N -1 1 337.365 -0.839 20 0 EBADMM CN1CCC[C@H](NS(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)C1=O ZINC000821623965 583796134 /nfs/dbraw/zinc/79/61/34/583796134.db2.gz WJKXCWMQCWHLHF-JTQLQIEISA-N -1 1 337.365 -0.839 20 0 EBADMM CNC(=O)[C@H](C)CN(C)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821629871 583797312 /nfs/dbraw/zinc/79/73/12/583797312.db2.gz FGQXUVZDBMKMFV-MRVPVSSYSA-N -1 1 339.381 -0.736 20 0 EBADMM CNC(=O)[C@H](C)CN(C)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821629871 583797313 /nfs/dbraw/zinc/79/73/13/583797313.db2.gz FGQXUVZDBMKMFV-MRVPVSSYSA-N -1 1 339.381 -0.736 20 0 EBADMM COC(=O)[C@@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)c1ccccc1 ZINC000821640427 583798382 /nfs/dbraw/zinc/79/83/82/583798382.db2.gz IEOWDJKBTAKRHP-NSHDSACASA-N -1 1 342.319 -0.511 20 0 EBADMM COC(=O)[C@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)c1ccccc1 ZINC000821640426 583798462 /nfs/dbraw/zinc/79/84/62/583798462.db2.gz IEOWDJKBTAKRHP-LLVKDONJSA-N -1 1 342.319 -0.511 20 0 EBADMM COC(=O)CNC(=O)[C@@H](C)OC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821662868 583800108 /nfs/dbraw/zinc/80/01/08/583800108.db2.gz WYZAYVTYUDTRIM-ZCFIWIBFSA-N -1 1 339.333 -0.237 20 0 EBADMM COC(=O)CNC(=O)[C@@H](C)OC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821662868 583800110 /nfs/dbraw/zinc/80/01/10/583800110.db2.gz WYZAYVTYUDTRIM-ZCFIWIBFSA-N -1 1 339.333 -0.237 20 0 EBADMM CO[C@@H]1C[C@@H](Nc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)C1(C)C ZINC000821678332 583800437 /nfs/dbraw/zinc/80/04/37/583800437.db2.gz MPPKSQSYBMDFQK-HTQZYQBOSA-N -1 1 335.368 -0.511 20 0 EBADMM COC(=O)c1cc(-c2nn[n-]n2)c(=O)n(Cc2nc(C)cc(=O)[nH]2)c1 ZINC000821666719 583800519 /nfs/dbraw/zinc/80/05/19/583800519.db2.gz BAHXBIMCMDQHIY-UHFFFAOYSA-N -1 1 343.303 -0.333 20 0 EBADMM COCCC(=O)N1CCN(c2nccnc2-c2nnn[n-]2)C[C@@H]1C ZINC000821685487 583801540 /nfs/dbraw/zinc/80/15/40/583801540.db2.gz FPUGTGWFKKVSBM-JTQLQIEISA-N -1 1 332.368 -0.270 20 0 EBADMM COCCC(=O)N1CCN(c2nccnc2-c2nn[n-]n2)C[C@@H]1C ZINC000821685487 583801543 /nfs/dbraw/zinc/80/15/43/583801543.db2.gz FPUGTGWFKKVSBM-JTQLQIEISA-N -1 1 332.368 -0.270 20 0 EBADMM COc1nc(C)cc(C)c1CNC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821910511 583805538 /nfs/dbraw/zinc/80/55/38/583805538.db2.gz CFKYCOZVTMRVMN-UHFFFAOYSA-N -1 1 343.351 -0.205 20 0 EBADMM Cc1ccc2nc(CNC(=O)Cn3cnc(-c4nn[n-]n4)n3)cn2c1 ZINC000822280830 583809185 /nfs/dbraw/zinc/80/91/85/583809185.db2.gz YKTPOBWUAXXGKQ-UHFFFAOYSA-N -1 1 338.335 -0.269 20 0 EBADMM Cc1nc(CCNC(=O)Cn2cnc(-c3nn[n-]n3)n2)sc1C ZINC000822439651 583811047 /nfs/dbraw/zinc/81/10/47/583811047.db2.gz CTRGCQTYKZNQLL-UHFFFAOYSA-N -1 1 333.381 -0.110 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N[C@H]2CC[C@H](CO)CC2)n(C)c1=O ZINC000822601247 583813770 /nfs/dbraw/zinc/81/37/70/583813770.db2.gz VYFIYQFLODKPHY-KYZUINATSA-N -1 1 335.368 -0.773 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CCC[C@@H]2Cn2cncn2)n1 ZINC000822609045 583813813 /nfs/dbraw/zinc/81/38/13/583813813.db2.gz IFIPZLPBDUOYAN-SECBINFHSA-N -1 1 343.355 -0.112 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CCC[C@@H]2Cn2cncn2)n1 ZINC000822609045 583813815 /nfs/dbraw/zinc/81/38/15/583813815.db2.gz IFIPZLPBDUOYAN-SECBINFHSA-N -1 1 343.355 -0.112 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N[C@@H]2CCC(F)(F)C2)n(C)c1=O ZINC000822601376 583813844 /nfs/dbraw/zinc/81/38/44/583813844.db2.gz YJFXBTWEKCUPIR-ZCFIWIBFSA-N -1 1 327.295 -0.136 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCc2nnc3n2CCCC3)n1 ZINC000822619577 583814665 /nfs/dbraw/zinc/81/46/65/583814665.db2.gz AUDNBLWFKICEBP-UHFFFAOYSA-N -1 1 343.355 -0.150 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCc2nnc3n2CCCC3)n1 ZINC000822619577 583814668 /nfs/dbraw/zinc/81/46/68/583814668.db2.gz AUDNBLWFKICEBP-UHFFFAOYSA-N -1 1 343.355 -0.150 20 0 EBADMM NS(=O)(=O)c1ccc(N2CCN(C3CC3)CC2)c(-c2nn[nH]n2)c1 ZINC000822894789 583820980 /nfs/dbraw/zinc/82/09/80/583820980.db2.gz GEOTYYDJJHFDLZ-UHFFFAOYSA-N -1 1 349.420 -0.202 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCC(Cn2cccn2)CC1 ZINC000823122526 583824266 /nfs/dbraw/zinc/82/42/66/583824266.db2.gz DZFOKTJUPRVSSP-UHFFFAOYSA-N -1 1 342.367 -0.407 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NC[C@H](O)c1ccccc1Cl ZINC000823127217 583824986 /nfs/dbraw/zinc/82/49/86/583824986.db2.gz WVPYWAVRHUKRST-JTQLQIEISA-N -1 1 348.754 -0.039 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCC[C@@H](O)c1ccccc1 ZINC000823127260 583825251 /nfs/dbraw/zinc/82/52/51/583825251.db2.gz XZKMNVFIIXZJMY-LLVKDONJSA-N -1 1 328.336 -0.302 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)Nc1ccc(-n2cccn2)nc1 ZINC000823131948 583825590 /nfs/dbraw/zinc/82/55/90/583825590.db2.gz RXHZMXBCNGOFHH-UHFFFAOYSA-N -1 1 337.307 -0.322 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)Nc1cccc(-n2cnnn2)c1 ZINC000823136411 583826002 /nfs/dbraw/zinc/82/60/02/583826002.db2.gz ZHMJGFZKUUYSJZ-UHFFFAOYSA-N -1 1 338.295 -0.927 20 0 EBADMM CC(=O)N1CCCN([C@@H]2CN(C(=O)CNC(=O)[O-])C[C@H]2C)CC1 ZINC000823556862 583832958 /nfs/dbraw/zinc/83/29/58/583832958.db2.gz RBBCLGVUQOMPBQ-DGCLKSJQSA-N -1 1 326.397 -0.345 20 0 EBADMM CC(=O)N1CCCN([C@H]2CN(C(=O)CNC(=O)[O-])C[C@H]2C)CC1 ZINC000823556865 583833028 /nfs/dbraw/zinc/83/30/28/583833028.db2.gz RBBCLGVUQOMPBQ-YPMHNXCESA-N -1 1 326.397 -0.345 20 0 EBADMM O=S1(=O)CC[C@@H](N2CCN(c3cccc(-c4nnn[n-]4)n3)CC2)C1 ZINC000823612627 583834752 /nfs/dbraw/zinc/83/47/52/583834752.db2.gz CYXNQLRARWNAGK-LLVKDONJSA-N -1 1 349.420 -0.429 20 0 EBADMM O=S1(=O)CC[C@@H](N2CCN(c3cccc(-c4nn[n-]n4)n3)CC2)C1 ZINC000823612627 583834753 /nfs/dbraw/zinc/83/47/53/583834753.db2.gz CYXNQLRARWNAGK-LLVKDONJSA-N -1 1 349.420 -0.429 20 0 EBADMM C[C@@H](C(=O)NC1CC1)N1CCN(c2ccc(-c3nnn[n-]3)nn2)CC1 ZINC000824039767 583840271 /nfs/dbraw/zinc/84/02/71/583840271.db2.gz HGXHDFVFAOQINO-JTQLQIEISA-N -1 1 343.395 -0.554 20 0 EBADMM C[C@@H](C(=O)NC1CC1)N1CCN(c2ccc(-c3nn[n-]n3)nn2)CC1 ZINC000824039767 583840272 /nfs/dbraw/zinc/84/02/72/583840272.db2.gz HGXHDFVFAOQINO-JTQLQIEISA-N -1 1 343.395 -0.554 20 0 EBADMM C[C@@H](C(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1)n1cncn1 ZINC000824039746 583840284 /nfs/dbraw/zinc/84/02/84/583840284.db2.gz HANMFFDMBCCMHN-QMMMGPOBSA-N -1 1 345.371 -0.584 20 0 EBADMM C[C@@H](C(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1)n1cncn1 ZINC000824039746 583840285 /nfs/dbraw/zinc/84/02/85/583840285.db2.gz HANMFFDMBCCMHN-QMMMGPOBSA-N -1 1 345.371 -0.584 20 0 EBADMM C[C@H](C(N)=O)N(C)S(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000824059149 583841000 /nfs/dbraw/zinc/84/10/00/583841000.db2.gz NRHUFKFHPYRXJQ-ZCFIWIBFSA-N -1 1 328.329 -0.500 20 0 EBADMM C[C@H](C(N)=O)N(C)S(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000824059149 583841002 /nfs/dbraw/zinc/84/10/02/583841002.db2.gz NRHUFKFHPYRXJQ-ZCFIWIBFSA-N -1 1 328.329 -0.500 20 0 EBADMM CC(C)[C@H](CNC(=O)NC1CN(C(=O)[O-])C1)N1CCN(C)CC1 ZINC000824115568 583841448 /nfs/dbraw/zinc/84/14/48/583841448.db2.gz DACIHDSINKUVRU-ZDUSSCGKSA-N -1 1 327.429 -0.080 20 0 EBADMM CC(C)(C)n1cc(-c2nnn[n-]2)c(NC(=O)C(=O)N[C@H]2CCOC2)n1 ZINC000824147153 583841581 /nfs/dbraw/zinc/84/15/81/583841581.db2.gz AIDRCDAQSUZZRO-QMMMGPOBSA-N -1 1 348.367 -0.338 20 0 EBADMM CC(C)(C)n1cc(-c2nn[n-]n2)c(NC(=O)C(=O)N[C@H]2CCOC2)n1 ZINC000824147153 583841583 /nfs/dbraw/zinc/84/15/83/583841583.db2.gz AIDRCDAQSUZZRO-QMMMGPOBSA-N -1 1 348.367 -0.338 20 0 EBADMM CC(C)(C)n1cc(-c2nnn[n-]2)c(NC(=O)C(=O)N2CCOCC2)n1 ZINC000824141513 583841657 /nfs/dbraw/zinc/84/16/57/583841657.db2.gz JEOWFETXVGSMMS-UHFFFAOYSA-N -1 1 348.367 -0.385 20 0 EBADMM CC(C)(C)n1cc(-c2nn[n-]n2)c(NC(=O)C(=O)N2CCOCC2)n1 ZINC000824141513 583841659 /nfs/dbraw/zinc/84/16/59/583841659.db2.gz JEOWFETXVGSMMS-UHFFFAOYSA-N -1 1 348.367 -0.385 20 0 EBADMM CC(C)[C@@](C)(O)CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000824193282 583842210 /nfs/dbraw/zinc/84/22/10/583842210.db2.gz WDFZZZHUIFTDOE-LBPRGKRZSA-N -1 1 326.382 -0.053 20 0 EBADMM CC(C)[C@@](C)(O)CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000824193282 583842212 /nfs/dbraw/zinc/84/22/12/583842212.db2.gz WDFZZZHUIFTDOE-LBPRGKRZSA-N -1 1 326.382 -0.053 20 0 EBADMM CC(C)[C@H](O)CC(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000824198801 583842368 /nfs/dbraw/zinc/84/23/68/583842368.db2.gz FFIILWXOKFFNDE-SNVBAGLBSA-N -1 1 336.400 -0.030 20 0 EBADMM CC(C)[C@H](O)CC(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000824198801 583842371 /nfs/dbraw/zinc/84/23/71/583842371.db2.gz FFIILWXOKFFNDE-SNVBAGLBSA-N -1 1 336.400 -0.030 20 0 EBADMM C[C@@H](CC(=O)N1CCO[C@@H](CN2CCN(C)CC2)C1)NC(=O)[O-] ZINC000824599383 583847788 /nfs/dbraw/zinc/84/77/88/583847788.db2.gz NRRUVMMYFWCLCX-STQMWFEESA-N -1 1 328.413 -0.493 20 0 EBADMM C[C@H](CN(C)C(=O)[O-])C(=O)N1CCO[C@@H](CN2CCN(C)CC2)C1 ZINC000824840054 583850979 /nfs/dbraw/zinc/85/09/79/583850979.db2.gz IFYCCUBZLBDVRI-KGLIPLIRSA-N -1 1 342.440 -0.293 20 0 EBADMM C[C@@H]1C(=O)NCCN1S(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000824860323 583851402 /nfs/dbraw/zinc/85/14/02/583851402.db2.gz UAEXLODYKGWYNH-SSDOTTSWSA-N -1 1 340.340 -0.485 20 0 EBADMM C[C@@H]1C(=O)NCCN1S(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000824860323 583851405 /nfs/dbraw/zinc/85/14/05/583851405.db2.gz UAEXLODYKGWYNH-SSDOTTSWSA-N -1 1 340.340 -0.485 20 0 EBADMM C[C@@H]1C[C@H](CCNc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)CCO1 ZINC000824886325 583851800 /nfs/dbraw/zinc/85/18/00/583851800.db2.gz XCTHBBYHRYPLOS-NXEZZACHSA-N -1 1 349.395 -0.119 20 0 EBADMM C[C@@H]1C[C@@H](Nc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)C[C@H](C)O1 ZINC000824891898 583851869 /nfs/dbraw/zinc/85/18/69/583851869.db2.gz CBVQDPMWCPHIGT-AYMMMOKOSA-N -1 1 335.368 -0.368 20 0 EBADMM C[C@@H](CN1CCN(C)CC1)NC(=O)CO[C@H]1CCCN(C(=O)[O-])C1 ZINC000824908020 583852109 /nfs/dbraw/zinc/85/21/09/583852109.db2.gz PRCUZDRAJSGCCA-KBPBESRZSA-N -1 1 342.440 -0.103 20 0 EBADMM C[C@H](NC(=O)[O-])C(=O)N(CCCN(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000825170869 583856046 /nfs/dbraw/zinc/85/60/46/583856046.db2.gz FEWUPYYZLWJLCT-WDEREUQCSA-N -1 1 335.426 -0.390 20 0 EBADMM CCC[C@H]1C(=O)NCCN1C(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825248578 583856767 /nfs/dbraw/zinc/85/67/67/583856767.db2.gz WHQDKESWADTPQK-JTQLQIEISA-N -1 1 333.352 -0.167 20 0 EBADMM CCC[C@H]1C(=O)NCCN1C(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825248578 583856769 /nfs/dbraw/zinc/85/67/69/583856769.db2.gz WHQDKESWADTPQK-JTQLQIEISA-N -1 1 333.352 -0.167 20 0 EBADMM CCOC(=O)c1cnn(CC(=O)Nc2nc(C)no2)c1-c1nn[n-]n1 ZINC000825570700 583863254 /nfs/dbraw/zinc/86/32/54/583863254.db2.gz NITDJWBGOBDTLK-UHFFFAOYSA-N -1 1 347.295 -0.430 20 0 EBADMM CCS(=O)(=O)C[C@@H](C)NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825658169 583864773 /nfs/dbraw/zinc/86/47/73/583864773.db2.gz BFBBRTYPRQFLCN-SSDOTTSWSA-N -1 1 342.381 -0.355 20 0 EBADMM CCS(=O)(=O)C[C@@H](C)NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825658169 583864776 /nfs/dbraw/zinc/86/47/76/583864776.db2.gz BFBBRTYPRQFLCN-SSDOTTSWSA-N -1 1 342.381 -0.355 20 0 EBADMM CCc1nn(C)c(CC)c1CNC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000825754912 583866371 /nfs/dbraw/zinc/86/63/71/583866371.db2.gz JGPVPTCKRZCYAN-UHFFFAOYSA-N -1 1 344.383 -0.367 20 0 EBADMM CN([C@@H]1CCNC1=O)S(=O)(=O)c1ccc(F)c(-c2nn[n-]n2)c1 ZINC000825887978 583869920 /nfs/dbraw/zinc/86/99/20/583869920.db2.gz MTHZDYAZRIWDJZ-SNVBAGLBSA-N -1 1 340.340 -0.485 20 0 EBADMM C[C@H](C(=O)N1CCC[C@@H](C(N)=O)C1)N1CCSC[C@@H]1CC(=O)[O-] ZINC000825982637 583872551 /nfs/dbraw/zinc/87/25/51/583872551.db2.gz JCEWBYKLQLFYFX-UTUOFQBUSA-N -1 1 343.449 -0.009 20 0 EBADMM C[C@H]1CN(CCCNC(=O)COC2CN(C(=O)[O-])C2)C[C@H](C)O1 ZINC000826058957 583875755 /nfs/dbraw/zinc/87/57/55/583875755.db2.gz QUMWEAHEEVTCDA-RYUDHWBXSA-N -1 1 329.397 -0.019 20 0 EBADMM COc1ccc(C=O)cc1Cn1c(=O)c(-c2nn[n-]n2)cn(C)c1=O ZINC000826191030 583887356 /nfs/dbraw/zinc/88/73/56/583887356.db2.gz UYXPXJFLBHBPFB-UHFFFAOYSA-N -1 1 342.315 -0.404 20 0 EBADMM C[C@H]1CN(Cc2ccc(-c3nnn[n-]3)o2)CCN1S(C)(=O)=O ZINC000826232596 583888952 /nfs/dbraw/zinc/88/89/52/583888952.db2.gz ZUUNRHGPTSMHNS-VIFPVBQESA-N -1 1 326.382 -0.075 20 0 EBADMM C[C@H]1CN(Cc2ccc(-c3nn[n-]n3)o2)CCN1S(C)(=O)=O ZINC000826232596 583888957 /nfs/dbraw/zinc/88/89/57/583888957.db2.gz ZUUNRHGPTSMHNS-VIFPVBQESA-N -1 1 326.382 -0.075 20 0 EBADMM Cc1cc(-c2nn[nH]n2)ccc1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000826246209 583889385 /nfs/dbraw/zinc/88/93/85/583889385.db2.gz WHJSJUZFTBHSGV-LLVKDONJSA-N -1 1 341.335 -0.098 20 0 EBADMM Cc1cc(C(=O)N(C)CCCc2[nH]nc(N)c2-c2nnn[n-]2)n(C)n1 ZINC000826257306 583889851 /nfs/dbraw/zinc/88/98/51/583889851.db2.gz WSDCTXFZQJEMIW-UHFFFAOYSA-N -1 1 344.383 -0.081 20 0 EBADMM Cc1cc(C(=O)N(C)CCCc2[nH]nc(N)c2-c2nn[n-]n2)n(C)n1 ZINC000826257306 583889853 /nfs/dbraw/zinc/88/98/53/583889853.db2.gz WSDCTXFZQJEMIW-UHFFFAOYSA-N -1 1 344.383 -0.081 20 0 EBADMM Cc1cc(-c2nn[nH]n2)ccc1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000826251085 583889984 /nfs/dbraw/zinc/88/99/84/583889984.db2.gz DVPTVAHNVVVECK-UHFFFAOYSA-N -1 1 348.348 -0.051 20 0 EBADMM Cc1cc(-c2nn[nH]n2)ccc1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000826251085 583889987 /nfs/dbraw/zinc/88/99/87/583889987.db2.gz DVPTVAHNVVVECK-UHFFFAOYSA-N -1 1 348.348 -0.051 20 0 EBADMM Cc1ccc(CN(CCO)C(=O)Cn2cnc(-c3nn[n-]n3)n2)cc1 ZINC000826288147 583890526 /nfs/dbraw/zinc/89/05/26/583890526.db2.gz SUCNATNRKMPYFT-UHFFFAOYSA-N -1 1 342.363 -0.212 20 0 EBADMM Cc1oc(NC(=O)CN2CC[C@H](NC(N)=O)C2)c(-c2nn[n-]n2)c1C ZINC000826341533 583892262 /nfs/dbraw/zinc/89/22/62/583892262.db2.gz JUOXFWPTGJXERH-VIFPVBQESA-N -1 1 348.367 -0.242 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(=O)n(Cc2noc3c2CCCC3)c1=O ZINC000826357241 583893853 /nfs/dbraw/zinc/89/38/53/583893853.db2.gz XFZGUMHDOKCVCP-UHFFFAOYSA-N -1 1 329.320 -0.358 20 0 EBADMM NC(=O)c1cn(C2CN(c3ccc(Cl)c(-c4nnn[n-]4)n3)C2)nn1 ZINC000826393684 583897806 /nfs/dbraw/zinc/89/78/06/583897806.db2.gz DTVAYLWLDMTVAA-UHFFFAOYSA-N -1 1 346.742 -0.333 20 0 EBADMM NC(=O)c1cn(C2CN(c3ccc(Cl)c(-c4nn[n-]n4)n3)C2)nn1 ZINC000826393684 583897807 /nfs/dbraw/zinc/89/78/07/583897807.db2.gz DTVAYLWLDMTVAA-UHFFFAOYSA-N -1 1 346.742 -0.333 20 0 EBADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)c2ccc(-c3nn[nH]n3)[nH]2)c1 ZINC000826395257 583898555 /nfs/dbraw/zinc/89/85/55/583898555.db2.gz UGDIFWKEVCPLBA-UHFFFAOYSA-N -1 1 349.332 -0.200 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@H](CO)Cc1ccc(F)cc1 ZINC000826425060 583900821 /nfs/dbraw/zinc/90/08/21/583900821.db2.gz OFYUOFWAVUZVEM-NSHDSACASA-N -1 1 346.326 -0.683 20 0 EBADMM O=C(NCCNC(=O)c1ccc(-c2nn[n-]n2)nc1)c1ccn[nH]1 ZINC000826437416 583902283 /nfs/dbraw/zinc/90/22/83/583902283.db2.gz KMBQIIINQQMVMH-UHFFFAOYSA-N -1 1 327.308 -0.855 20 0 EBADMM O=S(=O)(NC[C@]1(O)CCOC1)c1cccc(F)c1-c1nnn[n-]1 ZINC000826489840 583905346 /nfs/dbraw/zinc/90/53/46/583905346.db2.gz BHEVTOYLEJSNNW-GFCCVEGCSA-N -1 1 343.340 -0.565 20 0 EBADMM O=S(=O)(NC[C@]1(O)CCOC1)c1cccc(F)c1-c1nn[n-]n1 ZINC000826489840 583905348 /nfs/dbraw/zinc/90/53/48/583905348.db2.gz BHEVTOYLEJSNNW-GFCCVEGCSA-N -1 1 343.340 -0.565 20 0 EBADMM O=S(=O)(NCCOCCO)c1ccc(F)cc1-c1nn[n-]n1 ZINC000826491591 583905548 /nfs/dbraw/zinc/90/55/48/583905548.db2.gz RAIMQINYFJDJDX-UHFFFAOYSA-N -1 1 331.329 -0.707 20 0 EBADMM O=S(=O)(c1ccc(F)c(-c2nn[n-]n2)c1)N1CCO[C@H](CO)C1 ZINC000826495356 583906048 /nfs/dbraw/zinc/90/60/48/583906048.db2.gz MVSDCJCPABOXFY-QMMMGPOBSA-N -1 1 343.340 -0.612 20 0 EBADMM CC(C)CN1CCO[C@@H](CNC(=O)CN2CC[C@](F)(C(=O)[O-])C2)C1 ZINC000826886310 583911940 /nfs/dbraw/zinc/91/19/40/583911940.db2.gz UIFKEUGJRLNVPS-XJKSGUPXSA-N -1 1 345.415 -0.042 20 0 EBADMM CC(C)CN1CCO[C@@H](CNC(=O)CN2CC[C@@](F)(C(=O)[O-])C2)C1 ZINC000826886307 583911965 /nfs/dbraw/zinc/91/19/65/583911965.db2.gz UIFKEUGJRLNVPS-BBRMVZONSA-N -1 1 345.415 -0.042 20 0 EBADMM CC(C)OC[C@@H](C(=O)[O-])N(C)CCNS(=O)(=O)c1cnn(C)c1 ZINC000827015526 583913930 /nfs/dbraw/zinc/91/39/30/583913930.db2.gz DQEGLXAAUNKZEI-LBPRGKRZSA-N -1 1 348.425 -0.492 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@H]2CCCN2S(C)(=O)=O)CC1 ZINC000827356338 583919559 /nfs/dbraw/zinc/91/95/59/583919559.db2.gz QNOIFNXMMNGTDJ-VXGBXAGGSA-N -1 1 347.437 -0.582 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@@H]2CCCN2S(C)(=O)=O)CC1 ZINC000827356180 583919628 /nfs/dbraw/zinc/91/96/28/583919628.db2.gz QNOIFNXMMNGTDJ-NEPJUHHUSA-N -1 1 347.437 -0.582 20 0 EBADMM C[C@@H](CNS(=O)(=O)N(C)CCC(=O)[O-])CN1CCN(C)CC1 ZINC000827502023 583922207 /nfs/dbraw/zinc/92/22/07/583922207.db2.gz GSRATGWVMXAPLS-LBPRGKRZSA-N -1 1 336.458 -0.889 20 0 EBADMM C[C@@]1(C(=O)[O-])CCN(CC(=O)c2c(N)n(C3CC3)c(=O)[nH]c2=O)C1 ZINC000828024135 583931966 /nfs/dbraw/zinc/93/19/66/583931966.db2.gz HZCUWEPXESUBNK-OAHLLOKOSA-N -1 1 336.348 -0.155 20 0 EBADMM CN(CC(=O)NCC(=O)N1CCCC1)C1CCN(C(=O)[O-])CC1 ZINC000828102877 583933752 /nfs/dbraw/zinc/93/37/52/583933752.db2.gz QZCSBYOAMQIDQI-UHFFFAOYSA-N -1 1 326.397 -0.201 20 0 EBADMM C[C@@H]1CN(CC(=O)c2c(N)n(C3CC3)c(=O)[nH]c2=O)C[C@@H]1C(=O)[O-] ZINC000828439738 583939136 /nfs/dbraw/zinc/93/91/36/583939136.db2.gz JQDDLZDOOVXTAM-APPZFPTMSA-N -1 1 336.348 -0.299 20 0 EBADMM CC[C@H](CO)N1CCN(CC(=O)N[C@H](CC(C)C)C(=O)[O-])CC1 ZINC000828890867 583948216 /nfs/dbraw/zinc/94/82/16/583948216.db2.gz OPPSZLYDTHDSRD-ZIAGYGMSSA-N -1 1 329.441 -0.010 20 0 EBADMM Cc1nc([C@@H]2CN(C(=O)COC3CN(C(=O)[O-])C3)CCO2)n[nH]1 ZINC000830678481 583969489 /nfs/dbraw/zinc/96/94/89/583969489.db2.gz OZLJMJNJEZYZPO-JTQLQIEISA-N -1 1 325.325 -0.608 20 0 EBADMM Cc1nnc(SCC(=O)N2CCN(C)C[C@@H]2CNC(=O)[O-])[nH]1 ZINC000830676798 583969533 /nfs/dbraw/zinc/96/95/33/583969533.db2.gz ADYZABCSVJRJBZ-VIFPVBQESA-N -1 1 328.398 -0.385 20 0 EBADMM CN(CCCNC(=O)CN[C@@H](C(=O)[O-])C(C)(C)C)S(C)(=O)=O ZINC000830772326 583970503 /nfs/dbraw/zinc/97/05/03/583970503.db2.gz HFZYEKJOLLKZEN-NSHDSACASA-N -1 1 337.442 -0.527 20 0 EBADMM CC[C@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)C(=O)N1CCOCC1 ZINC000830818928 583971030 /nfs/dbraw/zinc/97/10/30/583971030.db2.gz XUMUPMJXUMLSNJ-QMMMGPOBSA-N -1 1 336.801 -0.021 20 0 EBADMM CN1CCN(c2cc(C(=O)N[C@]3(C(=O)[O-])CCOC3)ccn2)CC1 ZINC000830960675 583972699 /nfs/dbraw/zinc/97/26/99/583972699.db2.gz WQDXGQCRLPDEMJ-MRXNPFEDSA-N -1 1 334.376 -0.193 20 0 EBADMM CS(=O)(=O)NCCC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831154507 583977355 /nfs/dbraw/zinc/97/73/55/583977355.db2.gz TVGIPNKBTAVIKF-UHFFFAOYSA-N -1 1 331.316 -0.937 20 0 EBADMM O=C([O-])N1C[C@H](O)C[C@H]1C(=O)N1CC[C@H](CN2CCOCC2)C1 ZINC000831271590 583981206 /nfs/dbraw/zinc/98/12/06/583981206.db2.gz DDPBYWGSTCPNNL-UPJWGTAASA-N -1 1 327.381 -0.720 20 0 EBADMM O=C([O-])N1CC(OCC(=O)N2CCN(C3CCOCC3)CC2)C1 ZINC000831859350 583993055 /nfs/dbraw/zinc/99/30/55/583993055.db2.gz YAXNVDSWPJNLBT-UHFFFAOYSA-N -1 1 327.381 -0.312 20 0 EBADMM CC[C@@H](CNS(C)(=O)=O)[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000831976160 583994201 /nfs/dbraw/zinc/99/42/01/583994201.db2.gz LPLCAPWAKKUMEG-LURJTMIESA-N -1 1 330.819 -0.331 20 0 EBADMM O=C([O-])N1CCO[C@@H](C(=O)N2CCN(C[C@H]3CCOC3)CC2)C1 ZINC000832051345 583995323 /nfs/dbraw/zinc/99/53/23/583995323.db2.gz VDUDYPWAFNECMV-CHWSQXEVSA-N -1 1 327.381 -0.454 20 0 EBADMM O=C([O-])N1CCO[C@H](CC(=O)N2CCN([C@H]3CCC[C@H]3O)CC2)C1 ZINC000832060283 583995540 /nfs/dbraw/zinc/99/55/40/583995540.db2.gz ISDGCGBSXUNEHM-HZSPNIEDSA-N -1 1 341.408 -0.187 20 0 EBADMM O=C([O-])N1CCO[C@@H](CC(=O)N2CCN(C[C@H]3CCOC3)CC2)C1 ZINC000832059466 583995571 /nfs/dbraw/zinc/99/55/71/583995571.db2.gz BBVVQMIIMQEBKJ-KGLIPLIRSA-N -1 1 341.408 -0.064 20 0 EBADMM O=C([O-])CNC(=O)CCN(CC(=O)N1CCCC1)C[C@H]1CCCO1 ZINC000833250292 584007622 /nfs/dbraw/zinc/00/76/22/584007622.db2.gz DWELAJZCDCNIJJ-CYBMUJFWSA-N -1 1 341.408 -0.319 20 0 EBADMM CC(=O)N[C@@H](CC(=O)Nc1nc2cccc(C(=O)[O-])c2[nH]1)C(N)=O ZINC000833397723 584009928 /nfs/dbraw/zinc/00/99/28/584009928.db2.gz IKDHLVBLDBGAGG-VIFPVBQESA-N -1 1 333.304 -0.420 20 0 EBADMM C[C@@H](C(=O)N1CCN(CCC(=O)[O-])C[C@H]1C)N1C(=O)CCC1=O ZINC000833619991 584012258 /nfs/dbraw/zinc/01/22/58/584012258.db2.gz JRQPEDGELZHPBS-MNOVXSKESA-N -1 1 325.365 -0.469 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@H]1CCCN1S(C)(=O)=O ZINC000833619540 584012357 /nfs/dbraw/zinc/01/23/57/584012357.db2.gz IYHAQOAJCQGEDU-NWDGAFQWSA-N -1 1 347.437 -0.582 20 0 EBADMM C[C@@H]1CN(C(=O)CCCN2C(=O)CNC2=O)CCN1CCC(=O)[O-] ZINC000833620879 584012603 /nfs/dbraw/zinc/01/26/03/584012603.db2.gz YLKIKDQOOYYPSZ-LLVKDONJSA-N -1 1 340.380 -0.674 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NCc1cc(C(N)=O)no1 ZINC000833627467 584012751 /nfs/dbraw/zinc/01/27/51/584012751.db2.gz XUZPVXVPESVDHF-SECBINFHSA-N -1 1 339.352 -0.536 20 0 EBADMM CN(CC(=O)N(CCN1CCOCC1)CC(=O)[O-])[C@@H]1CCSC1 ZINC000833691182 584014075 /nfs/dbraw/zinc/01/40/75/584014075.db2.gz KYVQGIWSEFBKTO-CYBMUJFWSA-N -1 1 345.465 -0.331 20 0 EBADMM O=C([O-])N1CC(CC(=O)N2CCC(O)(CN3CCOCC3)CC2)C1 ZINC000834068260 584025667 /nfs/dbraw/zinc/02/56/67/584025667.db2.gz SHEKMBWLWUNBHN-UHFFFAOYSA-N -1 1 341.408 -0.328 20 0 EBADMM O=C([O-])NCc1cccc(NC(=O)C(=O)NCCc2nc[nH]n2)c1 ZINC000834248045 584029962 /nfs/dbraw/zinc/02/99/62/584029962.db2.gz WNWMJDFDQARWCG-UHFFFAOYSA-N -1 1 332.320 -0.130 20 0 EBADMM C[C@H]([N-]S(=O)(=O)c1nc[nH]c1Br)C(=O)N(C)C ZINC000867186156 584045493 /nfs/dbraw/zinc/04/54/93/584045493.db2.gz HKRLZRYXSONEPU-YFKPBYRVSA-N -1 1 325.188 -0.073 20 0 EBADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)C[C@@H]2CCCC(=O)N2)CCC1 ZINC000843014435 584069632 /nfs/dbraw/zinc/06/96/32/584069632.db2.gz PPMUCEYMLSAUIX-NSHDSACASA-N -1 1 331.438 -0.025 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H](Nc2ncccn2)C1 ZINC000843942443 584084698 /nfs/dbraw/zinc/08/46/98/584084698.db2.gz SKPGTBNKTQIENM-LLVKDONJSA-N -1 1 330.348 -0.570 20 0 EBADMM O=S(=O)(N=S1(=O)CCCC1)[N-]CCN1CCC(O)CC1 ZINC000867360359 584094812 /nfs/dbraw/zinc/09/48/12/584094812.db2.gz IJWJPFPBXQPNAR-UHFFFAOYSA-N -1 1 325.456 -0.461 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)N[C@@H]3CCN(S(C)(=O)=O)C3)ccnc1-2 ZINC000844651061 584095326 /nfs/dbraw/zinc/09/53/26/584095326.db2.gz SDDNMIMTODVNBA-SECBINFHSA-N -1 1 338.393 -0.503 20 0 EBADMM Cc1nnnn1-c1ccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)cc1 ZINC000845460967 584107976 /nfs/dbraw/zinc/10/79/76/584107976.db2.gz BOPACZBSTSAYCJ-LBPRGKRZSA-N -1 1 341.335 -0.302 20 0 EBADMM COCCNC(=O)C1([N-]S(=O)(=O)c2ccc(F)nc2F)CC1 ZINC000867389412 584114272 /nfs/dbraw/zinc/11/42/72/584114272.db2.gz OUJBKEPOGRWYDJ-UHFFFAOYSA-N -1 1 335.332 -0.067 20 0 EBADMM CC1=C(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)[C@@H](C)n2nnnc2N1C ZINC000847605312 584143358 /nfs/dbraw/zinc/14/33/58/584143358.db2.gz YMMJJCYXOQTBEQ-NXEZZACHSA-N -1 1 344.383 -0.123 20 0 EBADMM CNS(=O)(=O)N1CCC[C@@H](NC(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC000849231834 584167099 /nfs/dbraw/zinc/16/70/99/584167099.db2.gz HYKNZCNARWJYBE-PHDIDXHHSA-N -1 1 333.288 -0.953 20 0 EBADMM O=S(=O)([N-]CCn1cnnn1)c1ncccc1Br ZINC000849401311 584170028 /nfs/dbraw/zinc/17/00/28/584170028.db2.gz DCAIIIGKZXJQIZ-UHFFFAOYSA-N -1 1 333.171 -0.191 20 0 EBADMM O=C([O-])[C@@H]1[C@@H](NC(=O)C(F)(F)F)CCCN1C(=O)Cn1cncn1 ZINC000852956046 584222130 /nfs/dbraw/zinc/22/21/30/584222130.db2.gz FOPVYQQCHCQACM-CBAPKCEASA-N -1 1 349.269 -0.599 20 0 EBADMM CCOC(=O)C(C)(C)O[N-]C(=O)[C@H]1CCCN(S(N)(=O)=O)C1 ZINC000855133030 584255727 /nfs/dbraw/zinc/25/57/27/584255727.db2.gz NYKPYAOWRZFFIW-VIFPVBQESA-N -1 1 337.398 -0.709 20 0 EBADMM COC[C@]1(C(=O)OC)CCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000855375418 584258100 /nfs/dbraw/zinc/25/81/00/584258100.db2.gz OOOZUXKSNVQQNF-HNNXBMFYSA-N -1 1 339.348 -0.893 20 0 EBADMM CCOC(=O)c1cc(CS(=O)(=O)[N-]CC(=O)N(C)OCC)on1 ZINC000859209172 584297321 /nfs/dbraw/zinc/29/73/21/584297321.db2.gz XSBJXLVDXGEZJD-UHFFFAOYSA-N -1 1 349.365 -0.319 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCc2cnc(OC)nc2)co1 ZINC000863445216 584370843 /nfs/dbraw/zinc/37/08/43/584370843.db2.gz VTGAWEPONANKPM-UHFFFAOYSA-N -1 1 340.361 -0.041 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2C[C@H]2C2CCOCC2)c(=O)n(C)c1=O ZINC000867881766 584420017 /nfs/dbraw/zinc/42/00/17/584420017.db2.gz IVGUDMQUUUZJDG-WDEREUQCSA-N -1 1 343.405 -0.823 20 0 EBADMM C[C@H](C(=O)N(C)C)S(=O)(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000867902142 584420611 /nfs/dbraw/zinc/42/06/11/584420611.db2.gz CSRWUDZBJWSXJQ-SSDOTTSWSA-N -1 1 345.343 -0.597 20 0 EBADMM CON(C)C(=O)[C@@H](C)NC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875907072 584508389 /nfs/dbraw/zinc/50/83/89/584508389.db2.gz RWEFWKPERZVNIA-SSDOTTSWSA-N -1 1 340.302 -0.285 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C2CCC3(COC3)CC2)c(=O)n(C)c1=O ZINC000882823943 584596063 /nfs/dbraw/zinc/59/60/63/584596063.db2.gz AKGTWCKPIHGERP-UHFFFAOYSA-N -1 1 343.405 -0.679 20 0 EBADMM CS(=O)(=O)N1CC[C@H](C[N-]S(=O)(=O)c2ccns2)C1 ZINC000885075223 584643373 /nfs/dbraw/zinc/64/33/73/584643373.db2.gz WOLQMBNIZPMWAI-MRVPVSSYSA-N -1 1 325.437 -0.297 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCOC[C@@H]1C[C@H]1CCOC1 ZINC000887802173 584682528 /nfs/dbraw/zinc/68/25/28/584682528.db2.gz CZWSEOQXVUTWTD-OLZOCXBDSA-N -1 1 337.376 -0.419 20 0 EBADMM COC(=O)Cc1cc(=O)n(-c2ccc(C(=O)NCC(=O)[O-])cc2)[nH]1 ZINC000739768558 597024892 /nfs/dbraw/zinc/02/48/92/597024892.db2.gz QOYBSKPPQFNPHL-UHFFFAOYSA-N -1 1 333.300 -0.305 20 0 EBADMM O=S(=O)(NCCNc1ncccn1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738338825 599450595 /nfs/dbraw/zinc/45/05/95/599450595.db2.gz NCOWBECBMSIDGW-UHFFFAOYSA-N -1 1 347.364 -0.558 20 0 EBADMM O=S(=O)(NCCNc1ncccn1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738338825 599450597 /nfs/dbraw/zinc/45/05/97/599450597.db2.gz NCOWBECBMSIDGW-UHFFFAOYSA-N -1 1 347.364 -0.558 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CN1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC000739274511 599779655 /nfs/dbraw/zinc/77/96/55/599779655.db2.gz OXJVMAAHPZHXGR-SRVKXCTJSA-N -1 1 348.359 -0.303 20 0 EBADMM O=C([O-])C1CCN(S(=O)(=O)NC[C@@H]2CN3CCC[C@@H]3CO2)CC1 ZINC000320757242 599785298 /nfs/dbraw/zinc/78/52/98/599785298.db2.gz JAFMLEYXWANGNB-CHWSQXEVSA-N -1 1 347.437 -0.519 20 0 EBADMM Cc1nc([C@@H]2CN(S(=O)(=O)c3c[nH]c(C(=O)[O-])c3)CCO2)n[nH]1 ZINC000738823506 601107999 /nfs/dbraw/zinc/10/79/99/601107999.db2.gz LWXUIKUCCGNGPF-JTQLQIEISA-N -1 1 341.349 -0.098 20 0 EBADMM CN(C)CC(=O)N1CCN(C(=O)[C@H]2CSCN2C(=O)[O-])CC1 ZINC000739608748 602268919 /nfs/dbraw/zinc/26/89/19/602268919.db2.gz UIFYIPRKQSQOOX-SNVBAGLBSA-N -1 1 330.410 -0.728 20 0 EBADMM CS(=O)(=O)CCCN1CCC[C@@H](C(=O)NCCNC(=O)[O-])C1 ZINC000739985470 602314836 /nfs/dbraw/zinc/31/48/36/602314836.db2.gz WESLVEIEVWZISO-LLVKDONJSA-N -1 1 335.426 -0.483 20 0 EBADMM COC1(C(=O)N2CCO[C@H](c3nn[nH]n3)C2)CCN(C(=O)[O-])CC1 ZINC000739798820 602501245 /nfs/dbraw/zinc/50/12/45/602501245.db2.gz KYPWGDSCBZKQQL-VIFPVBQESA-N -1 1 340.340 -0.741 20 0 EBADMM Cc1c(C(=O)NCc2nn[nH]n2)nnn1C1CCN(C(=O)[O-])CC1 ZINC000740038180 602544602 /nfs/dbraw/zinc/54/46/02/602544602.db2.gz OYSZEAWDAYBCCB-UHFFFAOYSA-N -1 1 335.328 -0.655 20 0 EBADMM CCN(CC(=O)N[C@H]1CCN(CCN2CCOCC2)C1)C(=O)[O-] ZINC000739394464 602771067 /nfs/dbraw/zinc/77/10/67/602771067.db2.gz WKAOMRPNAVQIMN-ZDUSSCGKSA-N -1 1 328.413 -0.491 20 0 EBADMM O=C([O-])NCCCNC(=O)C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000740650125 603045496 /nfs/dbraw/zinc/04/54/96/603045496.db2.gz JEDJKSVBXBVLRK-UHFFFAOYSA-N -1 1 332.320 -0.020 20 0 EBADMM C[C@@H]1CN(CCCNC(=O)[C@@H]2C[C@H](O)CN2C(=O)[O-])C[C@H](C)O1 ZINC000739181576 603085800 /nfs/dbraw/zinc/08/58/00/603085800.db2.gz KAJXTVWUWFHCEO-RNJOBUHISA-N -1 1 329.397 -0.285 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-]CCS(=O)(=O)NC2CC2)c1Cl ZINC000026841781 649934784 /nfs/dbraw/zinc/93/47/84/649934784.db2.gz ULUYFWIODANQTG-UHFFFAOYSA-N -1 1 342.830 -0.566 20 0 EBADMM CN(CC(=O)N[N-]C(=O)c1ccccn1)c1ncnc2[nH]cnc21 ZINC000045978422 649946053 /nfs/dbraw/zinc/94/60/53/649946053.db2.gz ZLQMKBVWURLXAR-UHFFFAOYSA-N -1 1 326.320 -0.355 20 0 EBADMM COCCN1C(=O)C(=CNc2ccccc2C(N)=O)C(=O)[N-]C1=S ZINC000060861527 649961261 /nfs/dbraw/zinc/96/12/61/649961261.db2.gz KRNAVCINFRFBHE-NTMALXAHSA-N -1 1 348.384 -0.029 20 0 EBADMM O=C1[N-]C(=S)NC(=O)/C1=C/Nc1cccc2c(=O)[nH][nH]c(=O)c12 ZINC000060863164 649961410 /nfs/dbraw/zinc/96/14/10/649961410.db2.gz SZNHHKVTIZTTLV-UHFFFAOYSA-N -1 1 331.313 -0.545 20 0 EBADMM O=C1[N-]C(=S)NC(=O)/C1=C\Nc1cccc2c(=O)[nH][nH]c(=O)c12 ZINC000060863164 649961412 /nfs/dbraw/zinc/96/14/12/649961412.db2.gz SZNHHKVTIZTTLV-UHFFFAOYSA-N -1 1 331.313 -0.545 20 0 EBADMM O=C1[N-]C(=S)NC(=O)C1=CNc1cccc2c(=O)[nH][nH]c(=O)c12 ZINC000060863164 649961414 /nfs/dbraw/zinc/96/14/14/649961414.db2.gz SZNHHKVTIZTTLV-UHFFFAOYSA-N -1 1 331.313 -0.545 20 0 EBADMM C#CCCN1CCN(C(=O)c2ccc(S(=O)(=O)[N-]C)o2)CC1 ZINC000064443813 649963751 /nfs/dbraw/zinc/96/37/51/649963751.db2.gz JDFOHMOBYAYIFR-UHFFFAOYSA-N -1 1 325.390 -0.031 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2CCc3ccccc3C2)c(=O)n(C)c1=O ZINC000070829437 649968475 /nfs/dbraw/zinc/96/84/75/649968475.db2.gz BCCWCLJETQPZHH-ZDUSSCGKSA-N -1 1 349.412 -0.080 20 0 EBADMM C[C@@H]1CS(=O)(=O)C[C@@H]1[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000712259641 650036418 /nfs/dbraw/zinc/03/64/18/650036418.db2.gz DYSDIYHJRVCBSU-RQJHMYQMSA-N -1 1 327.815 -0.215 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@H]2CC[C@@H](C3CC3)O2)c(=O)n(C)c1=O ZINC000907467504 650083484 /nfs/dbraw/zinc/08/34/84/650083484.db2.gz HKOXXYISCBMKGQ-MNOVXSKESA-N -1 1 343.405 -0.680 20 0 EBADMM COCCN(CCC(=O)[O-])C(=O)C(=O)N[C@H]1CN(C2CC2)C[C@H]1C ZINC000910490453 650110872 /nfs/dbraw/zinc/11/08/72/650110872.db2.gz URZPYMYZSLGWOL-YPMHNXCESA-N -1 1 341.408 -0.465 20 0 EBADMM CN(Cc1nc(=O)o[n-]1)C(=O)CCN1CCN(c2ccccn2)CC1 ZINC000911451101 650184924 /nfs/dbraw/zinc/18/49/24/650184924.db2.gz IZBDVOURBTYXDU-UHFFFAOYSA-N -1 1 346.391 -0.071 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H](CO)Cc1ccco1 ZINC000912544418 650207678 /nfs/dbraw/zinc/20/76/78/650207678.db2.gz OJZDWJVPWWBGLZ-VIFPVBQESA-N -1 1 343.361 -0.081 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCOc1cccnc1 ZINC000912544041 650207762 /nfs/dbraw/zinc/20/77/62/650207762.db2.gz IZMPANPOHRKFEU-UHFFFAOYSA-N -1 1 326.334 -0.051 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](CO)C[C@H](O)C(C)(C)C ZINC000912548987 650208042 /nfs/dbraw/zinc/20/80/42/650208042.db2.gz BBVWHYDDQGHFHY-WPRPVWTQSA-N -1 1 349.409 -0.367 20 0 EBADMM CSCC[C@H](NC(=O)C1CN([C@@H]2CCOC2)C1)c1nnn[n-]1 ZINC000912863466 650215380 /nfs/dbraw/zinc/21/53/80/650215380.db2.gz YVXHELAMZLDJSN-MNOVXSKESA-N -1 1 326.426 -0.169 20 0 EBADMM CO[N-]C(=O)CNC(=O)N[C@@H](CN1CCN(C)CC1)c1ccccc1 ZINC000916522759 650274627 /nfs/dbraw/zinc/27/46/27/650274627.db2.gz WJDIQFSMCJPOFS-HNNXBMFYSA-N -1 1 349.435 -0.048 20 0 EBADMM COC1(OC)CCCC[C@@H]1[N-]S(=O)(=O)CCS(C)(=O)=O ZINC000918055129 650286736 /nfs/dbraw/zinc/28/67/36/650286736.db2.gz BOQHKGAEWAJQKV-JTQLQIEISA-N -1 1 329.440 -0.118 20 0 EBADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]CCN1CCC2(C1)OCCO2 ZINC000920093507 650309297 /nfs/dbraw/zinc/30/92/97/650309297.db2.gz QVIDMECEVRACSZ-FQEVSTJZSA-N -1 1 341.455 -0.613 20 0 EBADMM CCOC(=O)C1(S(=O)(=O)NCC(=O)N2CC[NH+](C)CC2)CCC1 ZINC000920526155 650312602 /nfs/dbraw/zinc/31/26/02/650312602.db2.gz DABDXAXGUFSACN-UHFFFAOYSA-N -1 1 347.437 -0.834 20 0 EBADMM CCN1CCC[C@@H]([N-]S(=O)(=O)N=[S@](C)(=O)N(C)C)C1=O ZINC000921489731 650322514 /nfs/dbraw/zinc/32/25/14/650322514.db2.gz PBQUCOZRQKKWOG-HOGDKLEQSA-N -1 1 326.444 -0.594 20 0 EBADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCS1 ZINC000921719692 650324332 /nfs/dbraw/zinc/32/43/32/650324332.db2.gz VNXUVRFCQRLFJH-IUCAKERBSA-N -1 1 333.435 -0.354 20 0 EBADMM COC[C@H](O)C[N@H+]1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC000923255471 650336865 /nfs/dbraw/zinc/33/68/65/650336865.db2.gz NAQUQVWPOXNNNU-IWSPIJDZSA-N -1 1 328.287 -0.410 20 0 EBADMM CN(C(=O)[C@H]1CCNC(=O)C1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937211845 651679950 /nfs/dbraw/zinc/67/99/50/651679950.db2.gz PQLRSCGJWXUBMF-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)c1cn(C)nn1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937220653 651687092 /nfs/dbraw/zinc/68/70/92/651687092.db2.gz SGHXRVFOPYHVPK-JTQLQIEISA-N -1 1 330.348 -0.098 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@@H]2COC(=O)N2)C1 ZINC000937288546 651719332 /nfs/dbraw/zinc/71/93/32/651719332.db2.gz ZNJRQMKCIOMBOX-ZJUUUORDSA-N -1 1 334.332 -0.432 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C(F)F ZINC000937582415 651850954 /nfs/dbraw/zinc/85/09/54/651850954.db2.gz GWCGYOKUPFBREI-LURJTMIESA-N -1 1 326.263 -0.987 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CCC1 ZINC000937590936 651852504 /nfs/dbraw/zinc/85/25/04/651852504.db2.gz GBDZCDFZKVBKGE-JTQLQIEISA-N -1 1 330.348 -0.452 20 0 EBADMM CC(C)(F)C(=O)N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000937600044 651853448 /nfs/dbraw/zinc/85/34/48/651853448.db2.gz MZTPOSZGFGPGRH-QMMMGPOBSA-N -1 1 336.327 -0.504 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1ccc[nH]1 ZINC000937672868 651874815 /nfs/dbraw/zinc/87/48/15/651874815.db2.gz POOSVXNEKDJLJW-VIFPVBQESA-N -1 1 341.331 -0.610 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1ccon1 ZINC000937718554 651891704 /nfs/dbraw/zinc/89/17/04/651891704.db2.gz HZPCYAWKDRYFOY-QMMMGPOBSA-N -1 1 343.303 -0.950 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)C2CS(=O)(=O)C2)C1)c1ncccc1[O-] ZINC000937861519 651958047 /nfs/dbraw/zinc/95/80/47/651958047.db2.gz XGUGWJMRHWSMHY-JTQLQIEISA-N -1 1 339.373 -0.838 20 0 EBADMM CN1C[C@@H](C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)NC1=O ZINC000937863920 651958902 /nfs/dbraw/zinc/95/89/02/651958902.db2.gz KQUIXGRFHQQGIB-UWVGGRQHSA-N -1 1 333.348 -0.859 20 0 EBADMM Cn1cc(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)n(C)c1=O ZINC000937863440 651959057 /nfs/dbraw/zinc/95/90/57/651959057.db2.gz HDVYCXUNPIFJQL-JTQLQIEISA-N -1 1 345.359 -0.531 20 0 EBADMM CN1C[C@@H](C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)CCC1=O ZINC000938099393 652081450 /nfs/dbraw/zinc/08/14/50/652081450.db2.gz SHECUPUBMOAMNM-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM CCN1CCOC[C@@H]1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000938205446 652136122 /nfs/dbraw/zinc/13/61/22/652136122.db2.gz IKKDEKREKUVHLJ-QWHCGFSZSA-N -1 1 348.403 -0.161 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCC(=O)NC1 ZINC000938232249 652145551 /nfs/dbraw/zinc/14/55/51/652145551.db2.gz FPUZIXRIRTWYKR-MNOVXSKESA-N -1 1 332.360 -0.356 20 0 EBADMM CO[C@H](C)C(=O)N1CC[C@@H](N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000936807498 652151656 /nfs/dbraw/zinc/15/16/56/652151656.db2.gz SRUMTWXMMKMFMK-NXEZZACHSA-N -1 1 348.363 -0.875 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H]1CCN(C(=O)CC(N)=O)C1 ZINC000938395246 652230522 /nfs/dbraw/zinc/23/05/22/652230522.db2.gz OLSFBTUQWUFFBM-JTQLQIEISA-N -1 1 349.391 -0.180 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)Cn2ncnn2)C1 ZINC000938562941 652324390 /nfs/dbraw/zinc/32/43/90/652324390.db2.gz ZRIXPTUFDPRDQU-JTQLQIEISA-N -1 1 331.336 -0.853 20 0 EBADMM C/C=C(\C)C(=O)N1CCN(C2CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC000941365875 652696461 /nfs/dbraw/zinc/69/64/61/652696461.db2.gz ICJNASCLWWZZTF-UUILKARUSA-N -1 1 334.424 -0.597 20 0 EBADMM CO[C@@H](C)CN1CC(N2CCN(C(=O)c3n[nH]c(C)c3[O-])CC2)C1 ZINC000941380174 652704845 /nfs/dbraw/zinc/70/48/45/652704845.db2.gz CTJVXWMRWFEESF-NSHDSACASA-N -1 1 337.424 -0.099 20 0 EBADMM CN1CC[C@H](C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC000941415791 652724859 /nfs/dbraw/zinc/72/48/59/652724859.db2.gz LVWNEFPEYGFMEH-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@H]2CCC(=O)NC2)C1 ZINC000938825107 652726802 /nfs/dbraw/zinc/72/68/02/652726802.db2.gz MNTQCDCUKRWTID-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@H]2CCC(=O)NC2)C1 ZINC000938825108 652726837 /nfs/dbraw/zinc/72/68/37/652726837.db2.gz MNTQCDCUKRWTID-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2CCCN2C(=O)CCc2c[nH]nn2)c1[O-] ZINC000944302917 652740983 /nfs/dbraw/zinc/74/09/83/652740983.db2.gz WHVDZXBETQEXOS-LLVKDONJSA-N -1 1 347.379 -0.105 20 0 EBADMM C[C@H]1CN(Cc2ccnn2C)CC[C@@H]1NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC000944345950 652748784 /nfs/dbraw/zinc/74/87/84/652748784.db2.gz ILZUASHCUYCLNT-JQWIXIFHSA-N -1 1 349.395 -0.550 20 0 EBADMM CN(C(=O)CC1CC1)[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000938871330 652751147 /nfs/dbraw/zinc/75/11/47/652751147.db2.gz DMUJZODGQAYPIH-ZDUSSCGKSA-N -1 1 348.403 -0.214 20 0 EBADMM CC(C)C(=O)N(C)[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000938901694 652765519 /nfs/dbraw/zinc/76/55/19/652765519.db2.gz ODEHMFRCAULHJU-JTQLQIEISA-N -1 1 332.364 -0.254 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC[C@@H](N(C)C(=O)C(N)=O)C1 ZINC000938990600 652794042 /nfs/dbraw/zinc/79/40/42/652794042.db2.gz HGJVHGJZMAHTEH-SNVBAGLBSA-N -1 1 349.391 -0.228 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@@H]2CCNC2=O)C1 ZINC000939002083 652800421 /nfs/dbraw/zinc/80/04/21/652800421.db2.gz IVDIMYKEQNRRNH-WDEREUQCSA-N -1 1 332.360 -0.404 20 0 EBADMM CC(C)(F)C(=O)N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000939070361 652823758 /nfs/dbraw/zinc/82/37/58/652823758.db2.gz MZTPOSZGFGPGRH-MRVPVSSYSA-N -1 1 336.327 -0.504 20 0 EBADMM CO[C@@H]1CN(C(=O)c2cn[nH]c2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941601117 652825920 /nfs/dbraw/zinc/82/59/20/652825920.db2.gz OBJJOYLFEFKEOX-ZYHUDNBSSA-N -1 1 331.332 -0.220 20 0 EBADMM CO[C@@H]1CN(C(=O)c2cn(C)nn2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941602694 652826607 /nfs/dbraw/zinc/82/66/07/652826607.db2.gz IEPDFMNSDDJMTL-BXKDBHETSA-N -1 1 346.347 -0.815 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@@H]1CCC(=O)N1C ZINC000939100170 652829240 /nfs/dbraw/zinc/82/92/40/652829240.db2.gz YEQUFXPBTIDYOM-UTUOFQBUSA-N -1 1 346.387 -0.015 20 0 EBADMM CO[C@@H]1CN(C(=O)[C@]23C[C@H]2COC3)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941609405 652831388 /nfs/dbraw/zinc/83/13/88/652831388.db2.gz UVDADHUUEHFICD-ZKAWZIJESA-N -1 1 347.371 -0.221 20 0 EBADMM O=C(NC1CCN(C(=O)c2ccn[nH]2)CC1)c1n[nH]c(=O)[n-]c1=O ZINC000944542750 652844891 /nfs/dbraw/zinc/84/48/91/652844891.db2.gz LRHOWSVCWUKNKX-UHFFFAOYSA-N -1 1 333.308 -0.960 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CCO[C@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000944547836 652848425 /nfs/dbraw/zinc/84/84/25/652848425.db2.gz WLGWNDLPOXILPK-SECBINFHSA-N -1 1 349.351 -0.879 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1)c1cncnc1 ZINC000944559383 652858192 /nfs/dbraw/zinc/85/81/92/652858192.db2.gz QEXSIWXUNVNXCE-GFCCVEGCSA-N -1 1 343.343 -0.152 20 0 EBADMM CC(C)(O)CC(=O)N1CCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944560052 652858769 /nfs/dbraw/zinc/85/87/69/652858769.db2.gz HAFXRJFFQJFQNY-LLVKDONJSA-N -1 1 337.376 -0.095 20 0 EBADMM CC(C)[C@@H](O)C(=O)N1CCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944561881 652861387 /nfs/dbraw/zinc/86/13/87/652861387.db2.gz VZMRNFYAWFRORJ-BXUZGUMPSA-N -1 1 337.376 -0.239 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCN(C(=O)C(F)F)C1 ZINC000941662473 652863198 /nfs/dbraw/zinc/86/31/98/652863198.db2.gz ADKWVSBYJFANAY-RXMQYKEDSA-N -1 1 332.263 -0.508 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)[C@@H]2CCC(=O)N2)CCO1)c1ncccc1[O-] ZINC000944564252 652863215 /nfs/dbraw/zinc/86/32/15/652863215.db2.gz JBWADEPSAHXZHX-MNOVXSKESA-N -1 1 348.359 -0.977 20 0 EBADMM Cn1ccc(C(=O)N2CCO[C@@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC000944565704 652864669 /nfs/dbraw/zinc/86/46/69/652864669.db2.gz ZLTLVHUCPMEGKF-NSHDSACASA-N -1 1 345.359 -0.208 20 0 EBADMM CC[C@H](F)C(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000941663880 652865339 /nfs/dbraw/zinc/86/53/39/652865339.db2.gz IQEBYNFYYIZLFC-BDAKNGLRSA-N -1 1 336.327 -0.504 20 0 EBADMM Cn1nncc1C(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC000944579778 652878990 /nfs/dbraw/zinc/87/89/90/652878990.db2.gz NQMFUGWEIYLNEK-SNVBAGLBSA-N -1 1 346.347 -0.813 20 0 EBADMM Cn1cnc(C(=O)NC[C@@H]2CN(C(=O)c3ncccc3[O-])CCO2)n1 ZINC000944586684 652887214 /nfs/dbraw/zinc/88/72/14/652887214.db2.gz MYJMQAATCRKCRX-SNVBAGLBSA-N -1 1 346.347 -0.813 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1ncc[nH]1 ZINC000941719567 652894164 /nfs/dbraw/zinc/89/41/64/652894164.db2.gz HYZHWYPLUUYFPM-MWLCHTKSSA-N -1 1 331.332 -0.220 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)Cn1cccn1 ZINC000941819016 652921544 /nfs/dbraw/zinc/92/15/44/652921544.db2.gz JEKPSDWRDFJONE-NEPJUHHUSA-N -1 1 333.396 -0.668 20 0 EBADMM Cc1c(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)cnn1C ZINC000941822992 652923378 /nfs/dbraw/zinc/92/33/78/652923378.db2.gz FIGBMQLODNEVCZ-MFKMUULPSA-N -1 1 347.423 -0.209 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)n(C)n1 ZINC000941870281 652936044 /nfs/dbraw/zinc/93/60/44/652936044.db2.gz UENZMLCCKQFNOK-ZYHUDNBSSA-N -1 1 347.423 -0.209 20 0 EBADMM Cc1nn(C)cc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1C ZINC000941894025 652944824 /nfs/dbraw/zinc/94/48/24/652944824.db2.gz ZUEPYTQAJJYPNA-GXFFZTMASA-N -1 1 347.423 -0.209 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1cccc(=O)[nH]1 ZINC000941927772 652954189 /nfs/dbraw/zinc/95/41/89/652954189.db2.gz XIQQUCIHSCOBBH-QWRGUYRKSA-N -1 1 346.391 -0.151 20 0 EBADMM C[C@@H]1CN(C(=O)c2c3c(nn2C)CCC3)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939521655 652955880 /nfs/dbraw/zinc/95/58/80/652955880.db2.gz KXTOTHRXEWYOMV-BXKDBHETSA-N -1 1 345.407 -0.017 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1cn(C)cn1 ZINC000941950769 653006010 /nfs/dbraw/zinc/00/60/10/653006010.db2.gz OZIYJHHIVBKOFG-GHMZBOCLSA-N -1 1 333.396 -0.518 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)ncn1 ZINC000941965211 653008474 /nfs/dbraw/zinc/00/84/74/653008474.db2.gz WYHPJZFLWNHNHX-CMPLNLGQSA-N -1 1 345.407 -0.153 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)c1[O-] ZINC000941964254 653008732 /nfs/dbraw/zinc/00/87/32/653008732.db2.gz PZLKYQSGAHHHRW-VXNVDRBHSA-N -1 1 335.368 -0.112 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)c1[O-] ZINC000941964254 653008735 /nfs/dbraw/zinc/00/87/35/653008735.db2.gz PZLKYQSGAHHHRW-VXNVDRBHSA-N -1 1 335.368 -0.112 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1cnn(C)c1N ZINC000941981595 653012138 /nfs/dbraw/zinc/01/21/38/653012138.db2.gz UTLNCGNEZMCALO-GXSJLCMTSA-N -1 1 348.411 -0.936 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)C1=CCOCC1 ZINC000941984835 653012612 /nfs/dbraw/zinc/01/26/12/653012612.db2.gz OSOFQZVBHJYWRB-YPMHNXCESA-N -1 1 335.408 -0.218 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)Cc1ccnn1C ZINC000942003423 653017438 /nfs/dbraw/zinc/01/74/38/653017438.db2.gz CBLBOTDRVTXVJU-WCQYABFASA-N -1 1 347.423 -0.589 20 0 EBADMM Cc1nc(CC(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C)n[nH]1 ZINC000942033852 653025184 /nfs/dbraw/zinc/02/51/84/653025184.db2.gz QEGMUHBLQKTXKC-PSASIEDQSA-N -1 1 334.384 -0.494 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H](NC(=O)c2cocn2)C1 ZINC000939722663 653033358 /nfs/dbraw/zinc/03/33/58/653033358.db2.gz KUMZOUHEWHNPDB-SSDOTTSWSA-N -1 1 349.303 -0.471 20 0 EBADMM Cc1ncc(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)o1 ZINC000942122177 653039855 /nfs/dbraw/zinc/03/98/55/653039855.db2.gz LCKDWSPXTOZHSN-MFKMUULPSA-N -1 1 348.407 -0.026 20 0 EBADMM C[C@@H]1CN(Cc2cnn(C)c2)CC[C@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC000942305721 653078859 /nfs/dbraw/zinc/07/88/59/653078859.db2.gz VHUBPVYQYCAVKK-MWLCHTKSSA-N -1 1 347.379 -0.343 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2c[nH]c(=O)cn2)C1)c1ncccc1[O-] ZINC000940130300 653084333 /nfs/dbraw/zinc/08/43/33/653084333.db2.gz KUXONLNCGNFJKQ-SECBINFHSA-N -1 1 329.316 -0.485 20 0 EBADMM O=C(CCN1CCOCC1)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940132173 653084756 /nfs/dbraw/zinc/08/47/56/653084756.db2.gz PLWFFWXUYXBQTN-CYBMUJFWSA-N -1 1 348.403 -0.160 20 0 EBADMM CCn1cc(CC(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)nn1 ZINC000940131875 653084936 /nfs/dbraw/zinc/08/49/36/653084936.db2.gz NLSHSDJSRNRSNE-LLVKDONJSA-N -1 1 344.375 -0.028 20 0 EBADMM CCN1CCOC[C@H]1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940134389 653085145 /nfs/dbraw/zinc/08/51/45/653085145.db2.gz NLFYLNDMZWTCPH-OLZOCXBDSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@@]1(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)CCNC1=O ZINC000940139060 653085308 /nfs/dbraw/zinc/08/53/08/653085308.db2.gz PBDHOIOSQHMFFO-QLJPJBMISA-N -1 1 332.360 -0.356 20 0 EBADMM C[C@@]1(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)CNC(=O)C1 ZINC000940135888 653085324 /nfs/dbraw/zinc/08/53/24/653085324.db2.gz KTHDUDUVVSHNPO-HWPZZCPQSA-N -1 1 332.360 -0.356 20 0 EBADMM CCN1C[C@@H](C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000940135940 653085532 /nfs/dbraw/zinc/08/55/32/653085532.db2.gz NDAJKPFYEKOGQH-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2CCC[C@@H]2NC(=O)Cc2nnc[nH]2)c1[O-] ZINC000946322554 653101118 /nfs/dbraw/zinc/10/11/18/653101118.db2.gz LWNHHDDQWCUZGM-ZJUUUORDSA-N -1 1 347.379 -0.201 20 0 EBADMM CCN(C(=O)C1CC1)[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000942614301 653162943 /nfs/dbraw/zinc/16/29/43/653162943.db2.gz JDCKUSUABJRQKC-CYBMUJFWSA-N -1 1 348.403 -0.214 20 0 EBADMM CCN(C(=O)c1ccn[nH]1)[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000942685869 653176398 /nfs/dbraw/zinc/17/63/98/653176398.db2.gz YPZINTCGVKFKDW-QMMMGPOBSA-N -1 1 347.335 -0.617 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1)c1ccnnc1 ZINC000944801098 653267692 /nfs/dbraw/zinc/26/76/92/653267692.db2.gz FERFIESKHRBAFP-GFCCVEGCSA-N -1 1 343.343 -0.152 20 0 EBADMM CCC(=O)N1CCC2(CN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)CC1 ZINC000944802003 653267818 /nfs/dbraw/zinc/26/78/18/653267818.db2.gz LGMTXKLPTBNAKB-UHFFFAOYSA-N -1 1 348.403 -0.212 20 0 EBADMM CN1CCOC[C@@H]1C(=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940806504 653291662 /nfs/dbraw/zinc/29/16/62/653291662.db2.gz SWRDRQNGOPCLGG-CYBMUJFWSA-N -1 1 348.403 -0.208 20 0 EBADMM O=C(CCn1cnnn1)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940832987 653298600 /nfs/dbraw/zinc/29/86/00/653298600.db2.gz TYSWSDBPMREKTJ-UHFFFAOYSA-N -1 1 345.363 -0.462 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H](C)C2)[nH]n1 ZINC000947104017 653314829 /nfs/dbraw/zinc/31/48/29/653314829.db2.gz OKGULPMKRNAETK-MNOVXSKESA-N -1 1 333.396 -0.077 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H](C)C2)nn1C ZINC000947108872 653315887 /nfs/dbraw/zinc/31/58/87/653315887.db2.gz MEGAAPPMTAWHFA-VXGBXAGGSA-N -1 1 347.423 -0.067 20 0 EBADMM Cc1nn(C)cc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H](C)C1 ZINC000947126710 653320685 /nfs/dbraw/zinc/32/06/85/653320685.db2.gz OULSPWPRLMLPMP-CMPLNLGQSA-N -1 1 347.423 -0.067 20 0 EBADMM CCn1ccc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)n1 ZINC000943303769 653343463 /nfs/dbraw/zinc/34/34/63/653343463.db2.gz JJLMOGJTGKDOAD-VXGBXAGGSA-N -1 1 347.423 -0.035 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H](C)C2)cnn1 ZINC000947248802 653347526 /nfs/dbraw/zinc/34/75/26/653347526.db2.gz LTXRNHUHZPVDMR-WCQYABFASA-N -1 1 345.407 -0.010 20 0 EBADMM C[C@@H]1CN(C(=O)Cn2cnnn2)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC000945156796 653352290 /nfs/dbraw/zinc/35/22/90/653352290.db2.gz PQBHSRHSMBXZIL-GHMZBOCLSA-N -1 1 345.363 -0.559 20 0 EBADMM CCn1ncc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H](C)C2)n1 ZINC000947285985 653356527 /nfs/dbraw/zinc/35/65/27/653356527.db2.gz IMDOCSJKOKLUCV-WDEREUQCSA-N -1 1 348.411 -0.497 20 0 EBADMM CCn1ncc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H](C)C2)n1 ZINC000947285982 653357398 /nfs/dbraw/zinc/35/73/98/653357398.db2.gz IMDOCSJKOKLUCV-GHMZBOCLSA-N -1 1 348.411 -0.497 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1NC(=O)c1nnn(C)n1 ZINC000945276123 653386453 /nfs/dbraw/zinc/38/64/53/653386453.db2.gz BSFOJZMWJZSMCU-VHSXEESVSA-N -1 1 345.363 -0.409 20 0 EBADMM C[C@@H]1CN(C(=O)Cn2ncnn2)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC000945333935 653413222 /nfs/dbraw/zinc/41/32/22/653413222.db2.gz CGHXGFDHSCOMLX-MNOVXSKESA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)NC1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000947498114 653415178 /nfs/dbraw/zinc/41/51/78/653415178.db2.gz SFZPDTGKAZDCMF-MWLCHTKSSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CCN1Cc1cnn(C)c1 ZINC000947505786 653418836 /nfs/dbraw/zinc/41/88/36/653418836.db2.gz FGBVWVNVJDHPAH-ONGXEEELSA-N -1 1 347.379 -0.201 20 0 EBADMM O=C(Cn1cnnn1)N[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC000943614606 653434955 /nfs/dbraw/zinc/43/49/55/653434955.db2.gz KNPFUCPWOAOIHQ-XYPYZODXSA-N -1 1 345.363 -0.369 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1NC(=O)c1cnc([O-])n(C)c1=O ZINC000947657835 653450667 /nfs/dbraw/zinc/45/06/67/653450667.db2.gz MQLZWXFQWBLEQO-LDYMZIIASA-N -1 1 346.347 -0.901 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2n[nH]cc2F)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947697964 653462913 /nfs/dbraw/zinc/46/29/13/653462913.db2.gz JSSXFGZYPYIHRW-RKDXNWHRSA-N -1 1 337.359 -0.247 20 0 EBADMM O=C(NC1CCN(C(=O)[C@H]2CCNC2=O)CC1)c1ncccc1[O-] ZINC000947701773 653465864 /nfs/dbraw/zinc/46/58/64/653465864.db2.gz ZCDLDNNBDSVCFY-NSHDSACASA-N -1 1 332.360 -0.356 20 0 EBADMM C[C@H]1C[C@H]1C(=O)N1CCC(NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000943826398 653478722 /nfs/dbraw/zinc/47/87/22/653478722.db2.gz NXZCLLHNUUJGLH-GXSJLCMTSA-N -1 1 344.375 -0.206 20 0 EBADMM Cc1ccnn1CC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@H](C)C1 ZINC000947761135 653479411 /nfs/dbraw/zinc/47/94/11/653479411.db2.gz GQMLKFVIIBQZKM-CHWSQXEVSA-N -1 1 347.423 -0.217 20 0 EBADMM O=C(NC[C@H]1CCCN1C(=O)c1ccn[nH]1)c1n[nH]c(=O)[n-]c1=O ZINC000943878599 653485297 /nfs/dbraw/zinc/48/52/97/653485297.db2.gz MXVXWYVNOFXGEA-SSDOTTSWSA-N -1 1 333.308 -0.960 20 0 EBADMM C[C@@H]1CN(C(=O)CS(C)(=O)=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000947818364 653488710 /nfs/dbraw/zinc/48/87/10/653488710.db2.gz XRXHPGIUOGCJHF-NXEZZACHSA-N -1 1 341.389 -0.592 20 0 EBADMM NC(=O)CC(=O)N1CCC(NC(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000945625254 653492308 /nfs/dbraw/zinc/49/23/08/653492308.db2.gz MYVMOAKQNPOYHJ-UHFFFAOYSA-N -1 1 347.375 -0.344 20 0 EBADMM Cn1cnc(C(=O)NC[C@H]2CCCN2C(=O)c2ncccc2[O-])n1 ZINC000943976111 653500796 /nfs/dbraw/zinc/50/07/96/653500796.db2.gz BDJPGZSVODCUOB-SNVBAGLBSA-N -1 1 330.348 -0.050 20 0 EBADMM Cn1cnc(C(=O)N2CCC[C@@H]2CNC(=O)c2ncccc2[O-])n1 ZINC000944001081 653506118 /nfs/dbraw/zinc/50/61/18/653506118.db2.gz SBHFXHMCIDNBLN-SNVBAGLBSA-N -1 1 330.348 -0.050 20 0 EBADMM NC(=O)CC(=O)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])CC[C@H]21 ZINC000945934051 653519838 /nfs/dbraw/zinc/51/98/38/653519838.db2.gz XZXKGNVBHVZFHE-GHMZBOCLSA-N -1 1 332.360 -0.274 20 0 EBADMM NC(=O)C(=O)N1CCC(NC(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000948080588 653520296 /nfs/dbraw/zinc/52/02/96/653520296.db2.gz WEGIEDSAQVPDNL-UHFFFAOYSA-N -1 1 333.348 -0.734 20 0 EBADMM CC[C@H]1CN(C(C)=O)CC[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000948176266 653527928 /nfs/dbraw/zinc/52/79/28/653527928.db2.gz UWAXEQZDXVGIKY-WDEREUQCSA-N -1 1 332.364 -0.206 20 0 EBADMM CCC(=O)N1CC[C@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC[C@H]21 ZINC000945991291 653529032 /nfs/dbraw/zinc/52/90/32/653529032.db2.gz HANQBDPJUCAGIP-CMPLNLGQSA-N -1 1 344.375 -0.109 20 0 EBADMM Cc1n[nH]c(C(=O)NC2CCN(C(=O)CCc3c[nH]nn3)CC2)c1[O-] ZINC000948467112 653546934 /nfs/dbraw/zinc/54/69/34/653546934.db2.gz QBODWJIGKFIGQB-UHFFFAOYSA-N -1 1 347.379 -0.105 20 0 EBADMM Cn1nnc(C(=O)NC[C@@H]2CCC[C@@H]2NC(=O)c2ncccc2[O-])n1 ZINC000946191554 653548012 /nfs/dbraw/zinc/54/80/12/653548012.db2.gz FHMIHLMDXVLJIB-UWVGGRQHSA-N -1 1 345.363 -0.361 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2COCCN2C)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000948565875 653558960 /nfs/dbraw/zinc/55/89/60/653558960.db2.gz FOSTTWDMZJSMOW-UPJWGTAASA-N -1 1 348.403 -0.306 20 0 EBADMM Cc1c(CC(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cnn1C ZINC000948690595 653567097 /nfs/dbraw/zinc/56/70/97/653567097.db2.gz SOYYITFEJHWSFY-UHFFFAOYSA-N -1 1 333.396 -0.963 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cnc4n3CCC4)CC2)nc1=O ZINC000948828759 653574688 /nfs/dbraw/zinc/57/46/88/653574688.db2.gz QEAHCQCRUZGJOV-UHFFFAOYSA-N -1 1 331.380 -0.791 20 0 EBADMM CN(C(=O)c1c[n-]n2c1nccc2=O)[C@H]1CCCN(CC(N)=O)CC1 ZINC000948837096 653575509 /nfs/dbraw/zinc/57/55/09/653575509.db2.gz WUVWTCHQPSWRDE-NSHDSACASA-N -1 1 346.391 -0.566 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2COC(=O)N2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000948842535 653576102 /nfs/dbraw/zinc/57/61/02/653576102.db2.gz ABLHURFWFIPYKS-BBBLOLIVSA-N -1 1 334.332 -0.528 20 0 EBADMM CCCc1n[nH]cc1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000949048985 653591383 /nfs/dbraw/zinc/59/13/83/653591383.db2.gz BJUMLGDGDCBYHZ-UHFFFAOYSA-N -1 1 333.396 -0.258 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC(CNC(=O)C2CC2)CC1 ZINC000949162870 653816894 /nfs/dbraw/zinc/81/68/94/653816894.db2.gz WPSPJNTZBWXPNY-UHFFFAOYSA-N -1 1 348.403 -0.309 20 0 EBADMM O=C(c1ccn[nH]1)N1CCC2(CC1)CN(Cc1n[nH]c(=O)[n-]1)CCO2 ZINC000949308115 653859461 /nfs/dbraw/zinc/85/94/61/653859461.db2.gz VMSINUCTCAHOQI-UHFFFAOYSA-N -1 1 347.379 -0.259 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)CC2)nc1=O ZINC000949363846 653872989 /nfs/dbraw/zinc/87/29/89/653872989.db2.gz POWIXZQKKOCDFP-MOWSAHLDSA-N -1 1 333.392 -0.824 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)[C@@H]1CCNC(=O)C1)c1ncccc1[O-] ZINC000949535705 653922964 /nfs/dbraw/zinc/92/29/64/653922964.db2.gz YQEFGIJMKVFLOV-GHMZBOCLSA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1cn[nH]c(=O)c1)c1ncccc1[O-] ZINC000949538336 653923140 /nfs/dbraw/zinc/92/31/40/653923140.db2.gz IAPBIQRQFMUFLT-SNVBAGLBSA-N -1 1 329.316 -0.073 20 0 EBADMM Cn1cc(C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])ncc1=O ZINC000949538862 653923747 /nfs/dbraw/zinc/92/37/47/653923747.db2.gz SHTKGOSBDJMVFJ-JTQLQIEISA-N -1 1 343.343 -0.475 20 0 EBADMM CN1C(=O)CC[C@@H]1C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949542212 653924271 /nfs/dbraw/zinc/92/42/71/653924271.db2.gz ZDHJAKTVBBOTHT-GHMZBOCLSA-N -1 1 332.360 -0.261 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H]1CNC(=O)c1ncc[nH]1 ZINC000949828938 653982980 /nfs/dbraw/zinc/98/29/80/653982980.db2.gz ZYFBHGABUYWMHO-ZETCQYMHSA-N -1 1 348.319 -0.736 20 0 EBADMM CCN(C(=O)c1cc(C)ncn1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000949906567 653999536 /nfs/dbraw/zinc/99/95/36/653999536.db2.gz UHIULEFFWDTDCK-UHFFFAOYSA-N -1 1 331.380 -0.447 20 0 EBADMM CC(C)C(=O)N1CCC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000950065949 654029624 /nfs/dbraw/zinc/02/96/24/654029624.db2.gz MRHMGOOQNVLQTD-JTQLQIEISA-N -1 1 332.364 -0.206 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N[C@@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000951362093 654103638 /nfs/dbraw/zinc/10/36/38/654103638.db2.gz JGQPHLZQCADYDT-JHJVBQTASA-N -1 1 348.403 -0.310 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H]1CNC(=O)c1cc[nH]c1 ZINC000950382475 654112915 /nfs/dbraw/zinc/11/29/15/654112915.db2.gz MYYUFGBNNPDUGF-LBPRGKRZSA-N -1 1 345.359 -0.714 20 0 EBADMM Cc1cc(C(=O)N2CC[C@@H]2CNC(=O)c2cnc([O-])n(C)c2=O)n[nH]1 ZINC000951451364 654135063 /nfs/dbraw/zinc/13/50/63/654135063.db2.gz WBZXUIOZPZXSSU-SECBINFHSA-N -1 1 346.347 -0.838 20 0 EBADMM CN1CCOC[C@H]1C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000950494255 654147493 /nfs/dbraw/zinc/14/74/93/654147493.db2.gz NMCCBVIMLMCYPB-STQMWFEESA-N -1 1 348.403 -0.161 20 0 EBADMM CCN(C(=O)c1c(C)nnn1CC)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950497103 654150058 /nfs/dbraw/zinc/15/00/58/654150058.db2.gz MZVUWEPAOWYGNE-UHFFFAOYSA-N -1 1 348.411 -0.625 20 0 EBADMM O=C(N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1)[C@@H]1COCCO1 ZINC000950539469 654171950 /nfs/dbraw/zinc/17/19/50/654171950.db2.gz QMVPSRLGRAXJKV-AAEUAGOBSA-N -1 1 335.360 -0.077 20 0 EBADMM O=C(N[C@@H]1CCCN(C(=O)[C@H]2CCNC2=O)C1)c1ncccc1[O-] ZINC000951534723 654179147 /nfs/dbraw/zinc/17/91/47/654179147.db2.gz PGODSDCWYMNSJT-MNOVXSKESA-N -1 1 332.360 -0.356 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3ccc4cccnn43)CC2)nc1=O ZINC000951552382 654190368 /nfs/dbraw/zinc/19/03/68/654190368.db2.gz CKNZGDQULIDPQR-UHFFFAOYSA-N -1 1 341.375 -0.286 20 0 EBADMM CN1CC[C@@H](C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])C1=O ZINC000951654715 654232313 /nfs/dbraw/zinc/23/23/13/654232313.db2.gz HSPUTAHYGGICLZ-MNOVXSKESA-N -1 1 332.360 -0.404 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H]1CCCN(C(=O)C(N)=O)C1 ZINC000951861428 654323253 /nfs/dbraw/zinc/32/32/53/654323253.db2.gz YQSQOFBMLBJYAZ-JTQLQIEISA-N -1 1 349.391 -0.180 20 0 EBADMM CC(C)c1nccn1CC(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000951103786 654386791 /nfs/dbraw/zinc/38/67/91/654386791.db2.gz FUIVXUYRHQRRAS-UHFFFAOYSA-N -1 1 347.423 -0.227 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCN1C(=O)[C@H](C)OC ZINC000951221854 654422699 /nfs/dbraw/zinc/42/26/99/654422699.db2.gz ATFJPRJTUJCKCB-YUMQZZPRSA-N -1 1 340.336 -0.738 20 0 EBADMM CC(C)CC(=O)N1CC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951222588 654423825 /nfs/dbraw/zinc/42/38/25/654423825.db2.gz OCFBMIFFDJRWME-SNVBAGLBSA-N -1 1 332.364 -0.206 20 0 EBADMM CC[C@H](F)C(=O)N1CC[C@H]1CNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000951222266 654424134 /nfs/dbraw/zinc/42/41/34/654424134.db2.gz IYKLQRWTCGRDGF-YUMQZZPRSA-N -1 1 342.327 -0.025 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)NC[C@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000952202690 654424245 /nfs/dbraw/zinc/42/42/45/654424245.db2.gz RMZMWUPUZFANDY-GMTAPVOTSA-N -1 1 344.375 -0.206 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCN1C(=O)[C@H]1C[C@@H]1C ZINC000951223118 654424928 /nfs/dbraw/zinc/42/49/28/654424928.db2.gz YTQVNNZFUWOZHX-YIZRAAEISA-N -1 1 336.348 -0.117 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)C1=CCCC1)c1cc(=O)n2[n-]cnc2n1 ZINC000951224460 654425314 /nfs/dbraw/zinc/42/53/14/654425314.db2.gz FXYPISNSQKMWEZ-NSHDSACASA-N -1 1 342.359 -0.141 20 0 EBADMM C[C@H](C(=O)N1CC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1)C1CC1 ZINC000951225220 654426099 /nfs/dbraw/zinc/42/60/99/654426099.db2.gz XCHVQMMUHDYMJG-ONGXEEELSA-N -1 1 344.375 -0.206 20 0 EBADMM CCN(C(=O)[C@@H]1C[C@H]2CC[C@@H]1O2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000952300323 654437554 /nfs/dbraw/zinc/43/75/54/654437554.db2.gz RVEGJLUWCGIPRC-UPJWGTAASA-N -1 1 335.408 -0.291 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1C ZINC000952372042 654449602 /nfs/dbraw/zinc/44/96/02/654449602.db2.gz ZOPCGQWSHOHHPR-FDYHWXHSSA-N -1 1 348.403 -0.454 20 0 EBADMM CCCn1ncc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c1C ZINC000952393717 654452635 /nfs/dbraw/zinc/45/26/35/654452635.db2.gz JEOHVZGMYROQGP-UHFFFAOYSA-N -1 1 347.423 -0.019 20 0 EBADMM O=C(NC1C[C@H]2CCC[C@@H](C1)N2C(=O)[C@@H]1COCCO1)c1cnn[nH]1 ZINC000952405009 654453372 /nfs/dbraw/zinc/45/33/72/654453372.db2.gz DJAQRROJJWGIGT-CMGMYYOESA-N -1 1 349.391 -0.138 20 0 EBADMM NC(=O)C(=O)N1CCC[C@@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000952902896 654508591 /nfs/dbraw/zinc/50/85/91/654508591.db2.gz NDSQYRXBDLRNCR-MRXNPFEDSA-N -1 1 332.360 -0.273 20 0 EBADMM CN(C(=O)[C@H]1CCNC(=O)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954032807 654672122 /nfs/dbraw/zinc/67/21/22/654672122.db2.gz JAOIYVVSVZGNFI-JTQLQIEISA-N -1 1 332.360 -0.404 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cnn(C)c1N ZINC000965925408 724541906 /nfs/dbraw/zinc/54/19/06/724541906.db2.gz JXYAOBKMDKGYBY-MWLCHTKSSA-N -1 1 348.411 -0.936 20 0 EBADMM O=C([O-])N(CC(=O)N1CCO[C@@H](c2nn[nH]n2)C1)C[C@H]1CCCO1 ZINC000740491530 719420468 /nfs/dbraw/zinc/42/04/68/719420468.db2.gz LZUXLSRYFQWAMY-NXEZZACHSA-N -1 1 340.340 -0.741 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)CCc2nnc[nH]2)C1 ZINC000954124420 719569461 /nfs/dbraw/zinc/56/94/61/719569461.db2.gz ZCDHCVWBGXHIGV-UHFFFAOYSA-N -1 1 330.348 -0.179 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)CCc2nc[nH]n2)C1 ZINC000954124420 719569468 /nfs/dbraw/zinc/56/94/68/719569468.db2.gz ZCDHCVWBGXHIGV-UHFFFAOYSA-N -1 1 330.348 -0.179 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)CCc2cn[nH]n2)C1 ZINC000954127847 719570481 /nfs/dbraw/zinc/57/04/81/719570481.db2.gz IMRVZZADFGNQEG-UHFFFAOYSA-N -1 1 330.348 -0.179 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)CCc2c[nH]nn2)C1 ZINC000954127847 719570483 /nfs/dbraw/zinc/57/04/83/719570483.db2.gz IMRVZZADFGNQEG-UHFFFAOYSA-N -1 1 330.348 -0.179 20 0 EBADMM C[C@H]1CC(=O)N[C@@H](C(=O)N2CC(N(C)C(=O)c3ncccc3[O-])C2)C1 ZINC000954128214 719571682 /nfs/dbraw/zinc/57/16/82/719571682.db2.gz XQRODPSUJVNCJS-ZYHUDNBSSA-N -1 1 346.387 -0.015 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(N(C)C(=O)c2ncc[nH]2)C1 ZINC000954223443 719622204 /nfs/dbraw/zinc/62/22/04/719622204.db2.gz MBKLIJUQPDQXSO-UHFFFAOYSA-N -1 1 348.319 -0.784 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1nnn(C)n1 ZINC000966324682 724612718 /nfs/dbraw/zinc/61/27/18/724612718.db2.gz BEBLWXQYTSOZDH-DTWKUNHWSA-N -1 1 331.336 -0.799 20 0 EBADMM C[C@@H]1CN(C(=O)CN2CCOCC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966323976 724612885 /nfs/dbraw/zinc/61/28/85/724612885.db2.gz LQDFQODOKLUUPB-OLZOCXBDSA-N -1 1 348.403 -0.304 20 0 EBADMM CC[N@@H+]1CCO[C@H](C(=O)N(C)C2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000954424643 719716542 /nfs/dbraw/zinc/71/65/42/719716542.db2.gz LSQKGMREGUSDBI-AWEZNQCLSA-N -1 1 348.403 -0.209 20 0 EBADMM CN(C(=O)c1cc[nH]c1)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000954479425 719737445 /nfs/dbraw/zinc/73/74/45/719737445.db2.gz OILMLGIHQQPKEF-UHFFFAOYSA-N -1 1 341.331 -0.658 20 0 EBADMM CN(C(=O)C[C@H]1CCN(C)C1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954539241 719772376 /nfs/dbraw/zinc/77/23/76/719772376.db2.gz VSSDBOUWFMENJR-LLVKDONJSA-N -1 1 346.387 -0.062 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1cnn(C)n1 ZINC000966354125 724621614 /nfs/dbraw/zinc/62/16/14/724621614.db2.gz XIDGDVPBAWIUJC-GXSJLCMTSA-N -1 1 330.348 -0.194 20 0 EBADMM CN(C(=O)[C@@]1(C)CCNC(=O)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954648132 719817760 /nfs/dbraw/zinc/81/77/60/719817760.db2.gz PFIYQWQBMXLPML-KRWDZBQOSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@@H]1CN(C(=O)c2cn(C)nn2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966373878 724628003 /nfs/dbraw/zinc/62/80/03/724628003.db2.gz MPWHHOKEDQPBOV-NXEZZACHSA-N -1 1 330.348 -0.194 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C1CN(C(=O)C(F)F)C1 ZINC000954827552 719923285 /nfs/dbraw/zinc/92/32/85/719923285.db2.gz DXZUKRRWHVDHKZ-UHFFFAOYSA-N -1 1 332.263 -0.556 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C1CN(C(=O)c2cc[nH]n2)C1 ZINC000954827623 719923291 /nfs/dbraw/zinc/92/32/91/719923291.db2.gz GLRLSVGEYLIXHS-UHFFFAOYSA-N -1 1 348.319 -0.784 20 0 EBADMM CN(C(=O)c1cc(=O)n2nc[n-]c2n1)C1CN(C(=O)/C=C/C2CC2)C1 ZINC000954830450 719924912 /nfs/dbraw/zinc/92/49/12/719924912.db2.gz HERNYJPIJQRQEV-SNAWJCMRSA-N -1 1 342.359 -0.333 20 0 EBADMM CN(C(=O)[C@H]1CCN(C)C1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955043962 720026492 /nfs/dbraw/zinc/02/64/92/720026492.db2.gz MAJWJDKTGMOLBD-NSHDSACASA-N -1 1 332.360 -0.452 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CCN1C(=O)c1ccn[nH]1 ZINC000955575332 720194330 /nfs/dbraw/zinc/19/43/30/720194330.db2.gz YLBRKPKFZVUTNR-SFYZADRCSA-N -1 1 347.335 -0.571 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)C2CC2)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000955744506 720242999 /nfs/dbraw/zinc/24/29/99/720242999.db2.gz CRMCFKBYXLQFCI-ONGXEEELSA-N -1 1 344.375 -0.063 20 0 EBADMM Cn1nncc1C(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000955990925 720319388 /nfs/dbraw/zinc/31/93/88/720319388.db2.gz DZULSKHYLZKLIZ-JTQLQIEISA-N -1 1 330.348 -0.050 20 0 EBADMM C[C@@H]1CN(C(=O)C(C)(C)C(N)=O)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966523287 724670092 /nfs/dbraw/zinc/67/00/92/724670092.db2.gz VKMJKQGEESJVAZ-ZJUUUORDSA-N -1 1 334.376 -0.125 20 0 EBADMM C[C@@]1(NC(=O)C2CC2)CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000956614174 720467386 /nfs/dbraw/zinc/46/73/86/720467386.db2.gz LQGOCILVGJYTCU-MRXNPFEDSA-N -1 1 334.376 -0.556 20 0 EBADMM C[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1NC(=O)C1CCC1 ZINC000966613441 724698610 /nfs/dbraw/zinc/69/86/10/724698610.db2.gz TUTCDKQGDYDSNF-JOYOIKCWSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cn[nH]c(=O)c1 ZINC000966885159 724775361 /nfs/dbraw/zinc/77/53/61/724775361.db2.gz XWNXRFBCTHKFQF-MWLCHTKSSA-N -1 1 347.379 -0.756 20 0 EBADMM COCC(=O)N1CCN(C2CN(C(=O)c3ncccc3[O-])C2)CC1 ZINC000967176904 724818283 /nfs/dbraw/zinc/81/82/83/724818283.db2.gz KMTDMMZETRXOGP-UHFFFAOYSA-N -1 1 334.376 -0.598 20 0 EBADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)[C@@H]2CCC(=O)N2)C1 ZINC000956850560 722119734 /nfs/dbraw/zinc/11/97/34/722119734.db2.gz OLXFINXRQMQPMO-MGPLVRAMSA-N -1 1 332.360 -0.213 20 0 EBADMM CN1C[C@@H](C(=O)N2CC[C@](C)(NC(=O)c3ncccc3[O-])C2)NC1=O ZINC000956851787 722119869 /nfs/dbraw/zinc/11/98/69/722119869.db2.gz NDYRFGGTWOLSDD-QFYYESIMSA-N -1 1 347.375 -0.468 20 0 EBADMM C[C@@]1(NC(=O)c2cnc(C3CC3)[n-]c2=O)CCN(C(=O)C(N)=O)C1 ZINC000956927841 722135607 /nfs/dbraw/zinc/13/56/07/722135607.db2.gz SWJTWPLOPKMELG-OAHLLOKOSA-N -1 1 333.348 -0.734 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CC[C@@](C)(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000957219429 722176348 /nfs/dbraw/zinc/17/63/48/722176348.db2.gz FFAFKELNZTVRFT-XQJJYNOISA-N -1 1 344.375 -0.206 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(Cc2cncn2C)CC1 ZINC000957319844 722195287 /nfs/dbraw/zinc/19/52/87/722195287.db2.gz ZQNDHPPDVCHSJZ-UHFFFAOYSA-N -1 1 348.363 -0.412 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(Cc2nccn2C)CC1 ZINC000957319332 722195307 /nfs/dbraw/zinc/19/53/07/722195307.db2.gz JBPNMRDLOWCCHE-UHFFFAOYSA-N -1 1 348.363 -0.412 20 0 EBADMM C[C@@]1(NC(=O)[C@@H]2CNC(=O)N2)CCN(C(=O)c2ncccc2[O-])C1 ZINC000957456223 722213598 /nfs/dbraw/zinc/21/35/98/722213598.db2.gz SIWVEFIZSUCAOA-BJOHPYRUSA-N -1 1 333.348 -0.811 20 0 EBADMM CCc1ncncc1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000957676217 722232596 /nfs/dbraw/zinc/23/25/96/722232596.db2.gz XHXBHVHQMILPJB-UHFFFAOYSA-N -1 1 331.380 -0.581 20 0 EBADMM NC(=O)C(=O)N1CCC(F)(F)[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957764801 722239548 /nfs/dbraw/zinc/23/95/48/722239548.db2.gz SOIAPUDNPMPEKZ-MRVPVSSYSA-N -1 1 342.302 -0.514 20 0 EBADMM O=C(NC[C@H]1CN(Cc2cc(=O)n3[n-]ccc3n2)C[C@H]1O)C1CCC1 ZINC000957802835 722243233 /nfs/dbraw/zinc/24/32/33/722243233.db2.gz GTYTYUZHMOTGDN-GXTWGEPZSA-N -1 1 345.403 -0.268 20 0 EBADMM CC(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(C(=O)CCn1cc[n-]c(=O)c1=O)C2 ZINC000957833168 722246206 /nfs/dbraw/zinc/24/62/06/722246206.db2.gz WHJVTSHQEITOIX-AVGNSLFASA-N -1 1 334.376 -0.558 20 0 EBADMM CC(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(C(=O)c1cc(=O)n3[n-]cnc3n1)C2 ZINC000957837005 722247443 /nfs/dbraw/zinc/24/74/43/722247443.db2.gz YEXCDFKQRKFWNM-AXFHLTTASA-N -1 1 330.348 -0.453 20 0 EBADMM Cc1ccc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)o1 ZINC000957850412 722252342 /nfs/dbraw/zinc/25/23/42/722252342.db2.gz BTZSMJATJVVGGW-QWRGUYRKSA-N -1 1 335.364 -0.767 20 0 EBADMM CO[C@H]1CCCC[C@H]1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000957866013 722254365 /nfs/dbraw/zinc/25/43/65/722254365.db2.gz QWELFLGBUATLOE-OLZOCXBDSA-N -1 1 337.424 -0.042 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2CN(Cc3nccnc3C)C[C@@H]2O)c1[O-] ZINC000957985937 722282734 /nfs/dbraw/zinc/28/27/34/722282734.db2.gz LBGSBMLWRZAXHH-YPMHNXCESA-N -1 1 346.391 -0.255 20 0 EBADMM Cn1ncc(CN2C[C@H](O)[C@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC000957990658 722283769 /nfs/dbraw/zinc/28/37/69/722283769.db2.gz OAYOYGJVHJNKKH-MFKMUULPSA-N -1 1 332.364 -0.862 20 0 EBADMM O=C(NC[C@H]1CN(Cc2ncccn2)C[C@H]1O)c1ncccc1[O-] ZINC000957990239 722283852 /nfs/dbraw/zinc/28/38/52/722283852.db2.gz LONCSJCESSKCBY-WCQYABFASA-N -1 1 329.360 -0.200 20 0 EBADMM Cn1ncnc1CN1C[C@@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957995608 722285302 /nfs/dbraw/zinc/28/53/02/722285302.db2.gz OCSICANZLLMSOG-CMPLNLGQSA-N -1 1 332.364 -0.862 20 0 EBADMM CCc1occc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC000958031759 722293077 /nfs/dbraw/zinc/29/30/77/722293077.db2.gz WGDIPRWREOUWRB-JQWIXIFHSA-N -1 1 349.391 -0.514 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)Cc2cccs2)nc1=O ZINC000958093791 722303347 /nfs/dbraw/zinc/30/33/47/722303347.db2.gz JEQSZQNXYNNOLB-YABSGUDNSA-N -1 1 333.417 -0.041 20 0 EBADMM Cc1noc(C)c1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000958197777 722322686 /nfs/dbraw/zinc/32/26/86/722322686.db2.gz UORKZMVUDJUJKA-IWIIMEHWSA-N -1 1 332.364 -0.427 20 0 EBADMM C[C@H](C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)n1cccn1 ZINC000958288861 722338189 /nfs/dbraw/zinc/33/81/89/722338189.db2.gz KVZDBAQIVHZGHB-DCQANWLSSA-N -1 1 331.380 -0.888 20 0 EBADMM Cc1ncsc1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000958301173 722340821 /nfs/dbraw/zinc/34/08/21/722340821.db2.gz BWVXCNFWWKUROD-JZYVYDRUSA-N -1 1 334.405 -0.266 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(Cc3cc(=O)n4[n-]ccc4n3)C[C@H]21)c1ccon1 ZINC000958326184 722346537 /nfs/dbraw/zinc/34/65/37/722346537.db2.gz ZHEFSGJTNNGPLI-HBYGRHMLSA-N -1 1 340.343 -0.129 20 0 EBADMM Cn1[n-]c(CN2C[C@H](O)[C@H](CNC(=O)C3CC4(CCC4)C3)C2)nc1=O ZINC000958328863 722347033 /nfs/dbraw/zinc/34/70/33/722347033.db2.gz OHXVVCYIPGYKHR-OLZOCXBDSA-N -1 1 349.435 -0.402 20 0 EBADMM Cn1[n-]c(CN2C[C@H](O)[C@H](CNC(=O)[C@H]3C[C@H]4C[C@H]4C3)C2)nc1=O ZINC000958340038 722348559 /nfs/dbraw/zinc/34/85/59/722348559.db2.gz PVNSDRRZVUWHAS-SJHCENCUSA-N -1 1 335.408 -0.937 20 0 EBADMM Cc1cc(C(=O)N(C)[C@H]2CN(C(=O)c3n[nH]c(C)c3[O-])C[C@@H]2O)n[nH]1 ZINC000958669553 722414121 /nfs/dbraw/zinc/41/41/21/722414121.db2.gz PCPWOPWMBXSAET-QWRGUYRKSA-N -1 1 348.363 -0.587 20 0 EBADMM CCn1cccc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC000958685620 722417452 /nfs/dbraw/zinc/41/74/52/722417452.db2.gz TVCVIHKQXBHOMG-WCQYABFASA-N -1 1 348.407 -0.848 20 0 EBADMM CN(C(=O)c1ccnn1C)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958725749 722420565 /nfs/dbraw/zinc/42/05/65/722420565.db2.gz NOYLPIHRPZXXER-AAEUAGOBSA-N -1 1 345.359 -0.522 20 0 EBADMM Cc1cnccc1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000958779361 722431870 /nfs/dbraw/zinc/43/18/70/722431870.db2.gz RGHAIMHKPSQFFE-IMRBUKKESA-N -1 1 328.376 -0.328 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)c2ncccn2)C[C@@H]1O ZINC000958830440 722604521 /nfs/dbraw/zinc/60/45/21/722604521.db2.gz UTIDBBZWRDWUKL-JQWIXIFHSA-N -1 1 343.343 -0.465 20 0 EBADMM CN(C(=O)Cc1cnc[nH]1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958977537 722680218 /nfs/dbraw/zinc/68/02/18/722680218.db2.gz LIWPHHRWZIKVAF-AAEUAGOBSA-N -1 1 345.359 -0.603 20 0 EBADMM C[C@H](NC(=O)C1CC1)[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000959214013 722698969 /nfs/dbraw/zinc/69/89/69/722698969.db2.gz OZTLDHOPHTZYTL-GXSJLCMTSA-N -1 1 344.375 -0.206 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001018962537 728757057 /nfs/dbraw/zinc/75/70/57/728757057.db2.gz TVBDEFAKSZSGKE-MNOVXSKESA-N -1 1 344.371 -0.417 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)c1nnn(C)n1 ZINC000959454882 722723291 /nfs/dbraw/zinc/72/32/91/722723291.db2.gz VCNCHQURMMVDOS-NXEZZACHSA-N -1 1 345.363 -0.551 20 0 EBADMM O=C(CO[C@H]1CCOC1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000960007159 722782556 /nfs/dbraw/zinc/78/25/56/722782556.db2.gz LTGMKBHLJVPPIX-RYUDHWBXSA-N -1 1 339.396 -0.214 20 0 EBADMM Cn1ccnc1CNC[C@@H]1CCCCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000960059432 722786175 /nfs/dbraw/zinc/78/61/75/722786175.db2.gz AMNKMJJULQWCFY-JTQLQIEISA-N -1 1 347.379 -0.199 20 0 EBADMM CCc1ncsc1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000960188841 722804831 /nfs/dbraw/zinc/80/48/31/722804831.db2.gz IOAZJDUQOFXHQU-GDGBQDQQSA-N -1 1 348.432 -0.013 20 0 EBADMM O=C(c1cc[nH]n1)N1C[C@H]2OCCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC000960276181 722813318 /nfs/dbraw/zinc/81/33/18/722813318.db2.gz HFMCQMBYGRIDOB-WCQYABFASA-N -1 1 343.343 -0.124 20 0 EBADMM O=C(c1ccn[nH]1)N1C[C@H]2OCCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC000960276181 722813319 /nfs/dbraw/zinc/81/33/19/722813319.db2.gz HFMCQMBYGRIDOB-WCQYABFASA-N -1 1 343.343 -0.124 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)Cc1ccon1 ZINC000960500839 722834452 /nfs/dbraw/zinc/83/44/52/722834452.db2.gz SYIHHXGOFLJSCG-LBPRGKRZSA-N -1 1 334.380 -0.238 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)c1cccc(=O)[nH]1 ZINC000960521334 722836115 /nfs/dbraw/zinc/83/61/15/722836115.db2.gz SPVCLUSJWPATJP-LLVKDONJSA-N -1 1 346.391 -0.054 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@H]2OCCN(C(=O)c3cn[nH]n3)[C@H]2C1 ZINC000960612612 722844587 /nfs/dbraw/zinc/84/45/87/722844587.db2.gz MXHMCEILZNOQKI-CMPLNLGQSA-N -1 1 344.331 -0.729 20 0 EBADMM O=C(c1cc(=O)[nH]c(C2CC2)n1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019012766 728773235 /nfs/dbraw/zinc/77/32/35/728773235.db2.gz HJSKLABKSVNPTH-VIFPVBQESA-N -1 1 345.363 -0.112 20 0 EBADMM Cc1nnccc1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000960805032 722866882 /nfs/dbraw/zinc/86/68/82/722866882.db2.gz CUTMZUSIJQACPC-PJXYFTJBSA-N -1 1 329.364 -0.933 20 0 EBADMM Cc1cc(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2n[nH]c(=O)[n-]c2=O)ncn1 ZINC000960810278 722867405 /nfs/dbraw/zinc/86/74/05/722867405.db2.gz PYQJGYQRVSTMRU-URLYPYJESA-N -1 1 343.347 -0.759 20 0 EBADMM Cc1cn2c(n1)C[C@@H](C(=O)N1CC[C@H](NCc3n[nH]c(=O)[n-]3)C1)CC2 ZINC001019039244 728779063 /nfs/dbraw/zinc/77/90/63/728779063.db2.gz YDFZTTIZKPGOMI-RYUDHWBXSA-N -1 1 345.407 -0.032 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC000961427338 723017520 /nfs/dbraw/zinc/01/75/20/723017520.db2.gz PIPXHQDHKMOTHW-KXNHARMFSA-N -1 1 344.371 -0.561 20 0 EBADMM C[C@H](C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)c1cncnc1 ZINC000961587853 723041288 /nfs/dbraw/zinc/04/12/88/723041288.db2.gz KWSNOONUBKXTHO-PQFRYHKHSA-N -1 1 343.391 -0.752 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ccc(Cl)[nH]2)nc1=O ZINC000961701545 723060396 /nfs/dbraw/zinc/06/03/96/723060396.db2.gz DSYNJSKZBISVOW-JDICNCQASA-N -1 1 336.783 -0.050 20 0 EBADMM Cc1n[nH]c(C(=O)NC2CC(CN[C@H](C)c3nnnn3C)C2)c1[O-] ZINC000961725104 723063428 /nfs/dbraw/zinc/06/34/28/723063428.db2.gz PAHOZMNTVFFUBF-XNWIYYODSA-N -1 1 334.384 -0.194 20 0 EBADMM CCc1c(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)ccn1C ZINC000961766277 723075591 /nfs/dbraw/zinc/07/55/91/723075591.db2.gz TVUHSUWHFNZRCO-JYAVWHMHSA-N -1 1 344.419 -0.131 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)ccn1 ZINC000962096694 723171416 /nfs/dbraw/zinc/17/14/16/723171416.db2.gz ZUBYHSZMIZDWFW-IMRBUKKESA-N -1 1 328.376 -0.328 20 0 EBADMM Cc1cc(CN[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)ncn1 ZINC001019094618 728794743 /nfs/dbraw/zinc/79/47/43/728794743.db2.gz DGZFTZPESJMHLA-VIFPVBQESA-N -1 1 331.336 -0.614 20 0 EBADMM CC(C)c1nc(CN[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001019094923 728794994 /nfs/dbraw/zinc/79/49/94/728794994.db2.gz SNVSJVBJATWKRR-QMMMGPOBSA-N -1 1 348.367 -0.471 20 0 EBADMM CCc1nc([C@H](C)N[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001019094854 728795230 /nfs/dbraw/zinc/79/52/30/728795230.db2.gz PGWAGTJSVGXXFY-YUMQZZPRSA-N -1 1 348.367 -0.471 20 0 EBADMM CCC(=O)N[C@H]1CC12CCN(C(=O)CCn1cc[n-]c(=O)c1=O)CC2 ZINC000962222785 723198619 /nfs/dbraw/zinc/19/86/19/723198619.db2.gz IBALEXJQFBXHPD-LBPRGKRZSA-N -1 1 348.403 -0.166 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2cc3c([nH]2)CCC3)nc1=O ZINC000962274648 723213165 /nfs/dbraw/zinc/21/31/65/723213165.db2.gz WSVLDNUEBNMGBY-HBYGRHMLSA-N -1 1 342.403 -0.215 20 0 EBADMM CC(=O)N[C@@H]1CC12CCN(C(=O)CCn1cc[n-]c(=O)c1=O)CC2 ZINC000962277701 723213891 /nfs/dbraw/zinc/21/38/91/723213891.db2.gz ZSDWOPVYJFAZAF-GFCCVEGCSA-N -1 1 334.376 -0.556 20 0 EBADMM CC(=O)N[C@@H]1CC12CCN(C(=O)c1cc(=O)n3[n-]cnc3n1)CC2 ZINC000962296015 723219880 /nfs/dbraw/zinc/21/98/80/723219880.db2.gz AETZKJWKWZECBP-LLVKDONJSA-N -1 1 330.348 -0.452 20 0 EBADMM COc1cccc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)c1 ZINC000962372333 723234871 /nfs/dbraw/zinc/23/48/71/723234871.db2.gz HUXRDEVFDGQGSH-NHAGDIPZSA-N -1 1 343.387 -0.023 20 0 EBADMM Cc1c[nH]c(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1 ZINC000962442465 723254425 /nfs/dbraw/zinc/25/44/25/723254425.db2.gz AUVJUZGHRNKBEF-KOLCDFICSA-N -1 1 331.332 -0.566 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)nc1=O ZINC000962968122 723358161 /nfs/dbraw/zinc/35/81/61/723358161.db2.gz ZPTQMJVEYSJZKK-MEWNQLMLSA-N -1 1 343.431 -0.053 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H]2CC23CCOCC3)nc1=O ZINC000963147169 723385079 /nfs/dbraw/zinc/38/50/79/723385079.db2.gz WXXQLCNFUZGVGV-CZXHOFHRSA-N -1 1 347.419 -0.529 20 0 EBADMM Cc1ncc(CN[C@H]2CCN(C(=O)c3cnc([O-])n(C)c3=O)C2)o1 ZINC001019227859 728831397 /nfs/dbraw/zinc/83/13/97/728831397.db2.gz XYHQVCCYSKAWMN-JTQLQIEISA-N -1 1 333.348 -0.213 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1ncccn1)c1ncccc1[O-] ZINC000964156158 723842109 /nfs/dbraw/zinc/84/21/09/723842109.db2.gz UTHALVHLTBGHTR-NSHDSACASA-N -1 1 343.343 -0.152 20 0 EBADMM Cn1ccc(C(=O)N2CCOC[C@H]2CNC(=O)c2ncccc2[O-])n1 ZINC000964156605 723842552 /nfs/dbraw/zinc/84/25/52/723842552.db2.gz VSIZSOFRDOBQLT-LLVKDONJSA-N -1 1 345.359 -0.208 20 0 EBADMM Cn1cnc(C(=O)N2CCOC[C@H]2CNC(=O)c2ncccc2[O-])n1 ZINC000964157213 723843041 /nfs/dbraw/zinc/84/30/41/723843041.db2.gz YXXMHFKHEVCMHP-SNVBAGLBSA-N -1 1 346.347 -0.813 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1ncccc1[O-])c1cn[nH]n1 ZINC000964250383 723913688 /nfs/dbraw/zinc/91/36/88/723913688.db2.gz ZJLLXOZPTUKTAI-VIFPVBQESA-N -1 1 332.320 -0.824 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1ncccc1[O-])[C@H]1[C@@H]2COC[C@@H]21 ZINC000964312659 723964463 /nfs/dbraw/zinc/96/44/63/723964463.db2.gz JBIHWDIUAMSNPS-NMKXLXIOSA-N -1 1 347.371 -0.363 20 0 EBADMM O=C(c1nccn2ccnc12)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019400062 728878821 /nfs/dbraw/zinc/87/88/21/728878821.db2.gz KCZKYZHTAGWPDO-VIFPVBQESA-N -1 1 328.336 -0.443 20 0 EBADMM NC(=O)C(=O)N[C@H]1CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000965150192 724136474 /nfs/dbraw/zinc/13/64/74/724136474.db2.gz OUISSUAIERTSNY-JTQLQIEISA-N -1 1 347.375 -0.344 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1cnsn1 ZINC000965219096 724147028 /nfs/dbraw/zinc/14/70/28/724147028.db2.gz MZVIYVUFPLJLSS-WPRPVWTQSA-N -1 1 337.409 -0.400 20 0 EBADMM Cc1ncncc1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1C ZINC000965346999 724192086 /nfs/dbraw/zinc/19/20/86/724192086.db2.gz MCGPUCANALYCQR-GWCFXTLKSA-N -1 1 345.407 -0.153 20 0 EBADMM Cc1[nH]nc(C(=O)N2CCOC3(CN(C(=O)c4ccn[nH]4)C3)C2)c1[O-] ZINC000965351863 724193664 /nfs/dbraw/zinc/19/36/64/724193664.db2.gz BRYXTLSSSRTEDY-UHFFFAOYSA-N -1 1 346.347 -0.486 20 0 EBADMM O=C(c1ncccc1[O-])N1CCOC2(CN(C(=O)[C@@H]3CCOC3)C2)C1 ZINC000965362049 724198365 /nfs/dbraw/zinc/19/83/65/724198365.db2.gz VEEVTXWYVXYPKF-GFCCVEGCSA-N -1 1 347.371 -0.123 20 0 EBADMM O=C(c1ncc[nH]1)N1CC2(C1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC000965363573 724199430 /nfs/dbraw/zinc/19/94/30/724199430.db2.gz PQAFDFLUHNCGDN-UHFFFAOYSA-N -1 1 343.343 -0.123 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)[nH]n1 ZINC000965374050 724206642 /nfs/dbraw/zinc/20/66/42/724206642.db2.gz RLNNAGRHKKALDT-CABZTGNLSA-N -1 1 333.396 -0.220 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cnns1 ZINC000965398651 724218180 /nfs/dbraw/zinc/21/81/80/724218180.db2.gz YDJBFFWVCLDADW-RKDXNWHRSA-N -1 1 337.409 -0.400 20 0 EBADMM CCCN1C[C@@H](C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CC1=O ZINC001019446569 728886642 /nfs/dbraw/zinc/88/66/42/728886642.db2.gz VJTSPLQTFOPONJ-QWRGUYRKSA-N -1 1 336.396 -0.541 20 0 EBADMM O=C(COC1CCOCC1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019457518 728890192 /nfs/dbraw/zinc/89/01/92/728890192.db2.gz XMDBRYVURCSWJU-JTQLQIEISA-N -1 1 325.369 -0.604 20 0 EBADMM CO[C@@H](C)C(=O)N1CC2(C1)C[C@H](NC(=O)c1ncccc1[O-])CO2 ZINC000965678556 724361058 /nfs/dbraw/zinc/36/10/58/724361058.db2.gz BFANJNQWLUYNCL-QWRGUYRKSA-N -1 1 335.360 -0.078 20 0 EBADMM CCN(C(=O)[C@@H]1C[C@H]1C)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000967756316 724902969 /nfs/dbraw/zinc/90/29/69/724902969.db2.gz OGDDNPOVSKPSNM-MWLCHTKSSA-N -1 1 344.375 -0.254 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)c2nnn(C)n2)C1 ZINC000967909150 724929351 /nfs/dbraw/zinc/92/93/51/724929351.db2.gz WETBSPYJIOYYQS-UHFFFAOYSA-N -1 1 331.336 -0.703 20 0 EBADMM CCN(C(=O)c1n[nH]c(=O)[n-]c1=O)C1CN(C(=O)c2cc(C)[nH]n2)C1 ZINC000968171682 724973122 /nfs/dbraw/zinc/97/31/22/724973122.db2.gz NGNCTTQCSQGWBB-UHFFFAOYSA-N -1 1 347.335 -0.699 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1cn(C)c(=O)[nH]1 ZINC000968230849 724986919 /nfs/dbraw/zinc/98/69/19/724986919.db2.gz GCQKUCDRRYWKAA-ZJUUUORDSA-N -1 1 349.395 -0.812 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1ccnnc1 ZINC000968357855 725055143 /nfs/dbraw/zinc/05/51/43/725055143.db2.gz URRYYVKCNCIBOV-JQWIXIFHSA-N -1 1 331.380 -0.461 20 0 EBADMM C[C@@H]1CCN(CCCO)C[C@@H]1NC(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC000968431967 725062837 /nfs/dbraw/zinc/06/28/37/725062837.db2.gz SQASXOYWRSDFLC-YPMHNXCESA-N -1 1 348.407 -0.720 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)Cc1ncc[nH]1 ZINC000968471230 725070573 /nfs/dbraw/zinc/07/05/73/725070573.db2.gz BCVOSMPOJVMIRO-MNOVXSKESA-N -1 1 333.396 -0.599 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cc1ncc[nH]1 ZINC000968471232 725070582 /nfs/dbraw/zinc/07/05/82/725070582.db2.gz BCVOSMPOJVMIRO-WDEREUQCSA-N -1 1 333.396 -0.599 20 0 EBADMM Cc1nonc1CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1C ZINC000968493760 725073681 /nfs/dbraw/zinc/07/36/81/725073681.db2.gz XCJJNASRTOQEGN-JOYOIKCWSA-N -1 1 349.395 -0.631 20 0 EBADMM Cc1nn(C(C)C)cc1C(=O)N1C[C@@H](NC(=O)c2cnn[nH]2)[C@@H](O)C1 ZINC000968707223 725106261 /nfs/dbraw/zinc/10/62/61/725106261.db2.gz GPHDNIIXLMEFRX-OLZOCXBDSA-N -1 1 347.379 -0.494 20 0 EBADMM CCn1c(C)cc(C(=O)N2C[C@@H](NC(=O)c3cnn[nH]3)[C@@H](O)C2)c1C ZINC000968704842 725106336 /nfs/dbraw/zinc/10/63/36/725106336.db2.gz ZOGMXMRLCLHDGH-KGLIPLIRSA-N -1 1 346.391 -0.142 20 0 EBADMM Cc1ccc(CC(=O)N2C[C@@H](NC(=O)c3cnn[nH]3)[C@@H](O)C2)cc1 ZINC000968704817 725106344 /nfs/dbraw/zinc/10/63/44/725106344.db2.gz YXWPGLGAYDLTJR-KGLIPLIRSA-N -1 1 329.360 -0.343 20 0 EBADMM CC(C)N1C[C@H](C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CC1=O ZINC000968839536 725119026 /nfs/dbraw/zinc/11/90/26/725119026.db2.gz YSBIMGKHULPIIQ-GHMZBOCLSA-N -1 1 336.396 -0.542 20 0 EBADMM NC(=O)CC(=O)N1CCCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001019573060 728925044 /nfs/dbraw/zinc/92/50/44/728925044.db2.gz DXVNDIKPJHNYKN-SNVBAGLBSA-N -1 1 336.348 -0.990 20 0 EBADMM O=C(c1ncccc1[O-])N1[C@@H]2CC[C@H]1CN(C(=O)[C@H]1CCC(=O)N1)C2 ZINC000968886639 725125746 /nfs/dbraw/zinc/12/57/46/725125746.db2.gz UJQPSSNMDHLVSZ-GRYCIOLGSA-N -1 1 344.371 -0.119 20 0 EBADMM O=C(c1ccc2nc[nH]c2n1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969054453 725145598 /nfs/dbraw/zinc/14/55/98/725145598.db2.gz PIYUYCPMOANMOV-MRVPVSSYSA-N -1 1 328.336 -0.214 20 0 EBADMM COc1ccncc1CC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969069333 725147199 /nfs/dbraw/zinc/14/71/99/725147199.db2.gz UUUIQWPPGIPNOU-LLVKDONJSA-N -1 1 332.364 -0.153 20 0 EBADMM Cc1cnc([C@H](C)N[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)cn1 ZINC000969212182 725162117 /nfs/dbraw/zinc/16/21/17/725162117.db2.gz WDPVFBASBSSFBO-VHSXEESVSA-N -1 1 345.363 -0.053 20 0 EBADMM O=C(c1ccnc2n[nH]nc21)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969290454 725168720 /nfs/dbraw/zinc/16/87/20/725168720.db2.gz RBKDDMMPHQBFHG-SSDOTTSWSA-N -1 1 329.324 -0.819 20 0 EBADMM Cc1nccc(CCC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000969456939 725182458 /nfs/dbraw/zinc/18/24/58/725182458.db2.gz JRVKNGWCAWNULA-GFCCVEGCSA-N -1 1 331.380 -0.068 20 0 EBADMM CCc1nc[nH]c1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000969683410 725202731 /nfs/dbraw/zinc/20/27/31/725202731.db2.gz PSWUGFPQZONBOA-PWSUYJOCSA-N -1 1 345.359 -0.312 20 0 EBADMM C[C@@H](NC(=O)c1n[nH]c(=O)[n-]c1=O)C1CN(CCn2cccn2)C1 ZINC000970059126 725244706 /nfs/dbraw/zinc/24/47/06/725244706.db2.gz LHWQRUKKLFQALA-SECBINFHSA-N -1 1 333.352 -0.770 20 0 EBADMM Cc1nc([C@@H](C)N2CC([C@@H](C)NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC000970059362 725244804 /nfs/dbraw/zinc/24/48/04/725244804.db2.gz NNNZZHJORIFWKI-RNFRBKRXSA-N -1 1 348.367 -0.479 20 0 EBADMM CCCN1C[C@H](C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CC1=O ZINC000970124543 725253731 /nfs/dbraw/zinc/25/37/31/725253731.db2.gz VJTSPLQTFOPONJ-GHMZBOCLSA-N -1 1 336.396 -0.541 20 0 EBADMM O=C(COC1CCOCC1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970153986 725257291 /nfs/dbraw/zinc/25/72/91/725257291.db2.gz XMDBRYVURCSWJU-SNVBAGLBSA-N -1 1 325.369 -0.604 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N1CC[C@@H](NCc2cc(C3CC3)no2)C1 ZINC000970582710 725302153 /nfs/dbraw/zinc/30/21/53/725302153.db2.gz YOLVWODMHCRNRT-SNVBAGLBSA-N -1 1 348.363 -0.055 20 0 EBADMM CN(C)[C@H](C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)c1cccnc1 ZINC000970815169 725331500 /nfs/dbraw/zinc/33/15/00/725331500.db2.gz BGXMQQCDXGLJJR-OCCSQVGLSA-N -1 1 345.407 -0.101 20 0 EBADMM C[C@@H]1CC[C@H](C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)O1 ZINC000970831225 725332918 /nfs/dbraw/zinc/33/29/18/725332918.db2.gz SQFPWMLHIUAZEW-VCDKRKBESA-N -1 1 335.360 -0.344 20 0 EBADMM Cc1ncncc1C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000971143138 725352097 /nfs/dbraw/zinc/35/20/97/725352097.db2.gz RFPJNCPXKJLIOA-NSHDSACASA-N -1 1 331.380 -0.447 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)Cc2cnn(C)c2)C1 ZINC000971198287 725353597 /nfs/dbraw/zinc/35/35/97/725353597.db2.gz RLXMNEAQHHJITA-GFCCVEGCSA-N -1 1 333.396 -0.883 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC[C@H](N(C)[C@H]3CCC(=O)NC3=O)C2)c1[O-] ZINC000971365060 725360686 /nfs/dbraw/zinc/36/06/86/725360686.db2.gz BBAXKXDRWVSPAE-UWVGGRQHSA-N -1 1 335.364 -0.625 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000971745504 725434866 /nfs/dbraw/zinc/43/48/66/725434866.db2.gz LKXQBTKPCWCYEL-VIFPVBQESA-N -1 1 335.368 -0.808 20 0 EBADMM COc1cc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)ccn1 ZINC000971843548 725441809 /nfs/dbraw/zinc/44/18/09/725441809.db2.gz KKYKOSHTFYYMBC-LBPRGKRZSA-N -1 1 346.391 -0.142 20 0 EBADMM CN(Cc1cnn(C)c1)[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000971868806 725444127 /nfs/dbraw/zinc/44/41/27/725444127.db2.gz GEQMXTLWTGCCNG-JTQLQIEISA-N -1 1 333.352 -0.637 20 0 EBADMM COc1ncccc1C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000972228563 725481229 /nfs/dbraw/zinc/48/12/29/725481229.db2.gz SSOGTFCQISZQMZ-LLVKDONJSA-N -1 1 346.391 -0.142 20 0 EBADMM CC(C)CC(=O)N1CC[C@@]2(C1)CN(Cc1nc(=O)n(C)[n-]1)CCO2 ZINC000972235855 725482056 /nfs/dbraw/zinc/48/20/56/725482056.db2.gz XQINBNRGMVXQIX-INIZCTEOSA-N -1 1 337.424 -0.042 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@]3(CCN(C(=O)c4ccoc4)C3)C2)nc1=O ZINC000972260470 725486968 /nfs/dbraw/zinc/48/69/68/725486968.db2.gz ZRKRJTZSCHOUJQ-INIZCTEOSA-N -1 1 347.375 -0.182 20 0 EBADMM C[C@@H](C(=O)N1CC[C@@]2(C1)CN(Cc1nc(=O)n(C)[n-]1)CCO2)C1CC1 ZINC000972323285 725499571 /nfs/dbraw/zinc/49/95/71/725499571.db2.gz IXNZVNQEVDPPTB-PXAZEXFGSA-N -1 1 349.435 -0.042 20 0 EBADMM Cc1n[nH]cc1C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC000991646607 725509457 /nfs/dbraw/zinc/50/94/57/725509457.db2.gz OVXVRFASWBUBAZ-DGCLKSJQSA-N -1 1 345.359 -0.176 20 0 EBADMM O=C(NC1CN(C(=O)c2cn[nH]n2)C1)c1cnc(C2CC2)[n-]c1=O ZINC000991654117 725516063 /nfs/dbraw/zinc/51/60/63/725516063.db2.gz ODAYCUPVJBBIOZ-UHFFFAOYSA-N -1 1 329.320 -0.568 20 0 EBADMM O=C(NC1CN(C(=O)[C@H]2COC(=O)N2)C1)c1cnc(C2CC2)[n-]c1=O ZINC000991656759 725517895 /nfs/dbraw/zinc/51/78/95/725517895.db2.gz XKJICOBPQQKSRI-SNVBAGLBSA-N -1 1 347.331 -0.891 20 0 EBADMM COc1cncc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000972487703 725533798 /nfs/dbraw/zinc/53/37/98/725533798.db2.gz SVQYMHCZAGFURG-JTQLQIEISA-N -1 1 347.379 -0.747 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CC[C@]2(C1)CN(Cc1n[nH]c(=O)[n-]1)CCO2 ZINC000972492510 725534848 /nfs/dbraw/zinc/53/48/48/725534848.db2.gz MSBQCYHKWRUYFZ-CQSZACIVSA-N -1 1 348.367 -0.946 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)[C@H]1[C@@H]2COC[C@@H]21 ZINC000991685825 725541736 /nfs/dbraw/zinc/54/17/36/725541736.db2.gz ZSHWRMMDIDIFLY-GGFUIZRSSA-N -1 1 347.371 -0.629 20 0 EBADMM O=C(Cc1cnoc1)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC000991689501 725545179 /nfs/dbraw/zinc/54/51/79/725545179.db2.gz RVAMLTXELKLNBN-DGCLKSJQSA-N -1 1 346.343 -0.291 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)c1ccncn1 ZINC000991689773 725545518 /nfs/dbraw/zinc/54/55/18/725545518.db2.gz KPSJWWJGCQZCKT-GXFFZTMASA-N -1 1 343.343 -0.417 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@]3(CCN(C(=O)[C@H]4CC45CC5)C3)C2)nc1=O ZINC000972572007 725552420 /nfs/dbraw/zinc/55/24/20/725552420.db2.gz MUAPJNVOGFVVGO-PXAZEXFGSA-N -1 1 347.419 -0.288 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)c1ncccn1 ZINC000991719992 725573110 /nfs/dbraw/zinc/57/31/10/725573110.db2.gz QEAXUXYVLLKIHP-ZYHUDNBSSA-N -1 1 343.343 -0.417 20 0 EBADMM C[C@H](C(N)=O)N(C)[C@@H]1CCN(C(=O)c2ccc3oc(=O)nc-3[n-]2)C1 ZINC000972720582 725588105 /nfs/dbraw/zinc/58/81/05/725588105.db2.gz LHDFSWVZVHUETI-RKDXNWHRSA-N -1 1 333.348 -0.052 20 0 EBADMM O=C(c1cnc2n1CCC2)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051659946 735299326 /nfs/dbraw/zinc/29/93/26/735299326.db2.gz HHEHRMQMFMRIBB-JTQLQIEISA-N -1 1 347.379 -0.716 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C3CCOCC3)C(C)(C)C2)nc1=O ZINC000972741850 725589625 /nfs/dbraw/zinc/58/96/25/725589625.db2.gz PVPFPXBIPTVXSG-GFCCVEGCSA-N -1 1 337.424 -0.138 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C3=COCCO3)C(C)(C)C2)nc1=O ZINC000972771070 725591290 /nfs/dbraw/zinc/59/12/90/725591290.db2.gz FFQFCZJYLOEKJB-LLVKDONJSA-N -1 1 337.380 -0.677 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)C3=COCCO3)C(C)(C)C2)nc1=O ZINC000972771071 725592056 /nfs/dbraw/zinc/59/20/56/725592056.db2.gz FFQFCZJYLOEKJB-NSHDSACASA-N -1 1 337.380 -0.677 20 0 EBADMM C[C@H](C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C)n1cccn1 ZINC000972827692 725595811 /nfs/dbraw/zinc/59/58/11/725595811.db2.gz SOKADDHVAAEEGP-NEPJUHHUSA-N -1 1 347.423 -0.107 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)[C@@H]3CCCOC3)C(C)(C)C2)nc1=O ZINC000972851353 725597879 /nfs/dbraw/zinc/59/78/79/725597879.db2.gz DZWYGQWYMNHZOY-NEPJUHHUSA-N -1 1 337.424 -0.138 20 0 EBADMM O=C(N[C@H]1C[C@H](NC(=O)C(F)F)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000972874381 725599098 /nfs/dbraw/zinc/59/90/98/725599098.db2.gz JZOHAZSIWICUTO-IZLXSQMJSA-N -1 1 326.263 -0.940 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)c3nccnc3N)C(C)(C)C2)nc1=O ZINC000972969642 725613794 /nfs/dbraw/zinc/61/37/94/725613794.db2.gz CXRLTEWJRALEDO-VIFPVBQESA-N -1 1 346.395 -0.879 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)Cc3cc[nH]n3)C(C)(C)C2)nc1=O ZINC000972982378 725615419 /nfs/dbraw/zinc/61/54/19/725615419.db2.gz HUASEFRPFVDAPX-LLVKDONJSA-N -1 1 333.396 -0.599 20 0 EBADMM O=C(N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)[C@H]1CCNC(=O)C1 ZINC000973156465 725653383 /nfs/dbraw/zinc/65/33/83/725653383.db2.gz NGWMAHMAPFKQNU-DCAQKATOSA-N -1 1 332.360 -0.310 20 0 EBADMM O=C(N[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1=CCCC1 ZINC000973159863 725653686 /nfs/dbraw/zinc/65/36/86/725653686.db2.gz JJFTTXVZGZBRNV-XYPYZODXSA-N -1 1 342.359 -0.095 20 0 EBADMM O=C(CN1CCOCC1)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973186617 725661709 /nfs/dbraw/zinc/66/17/09/725661709.db2.gz YQMPKNKKMPWZJI-HAQNSBGRSA-N -1 1 334.376 -0.504 20 0 EBADMM CN1CCO[C@@H](C(=O)NC2CC(CNC(=O)c3ncccc3[O-])C2)C1 ZINC000992047440 725708698 /nfs/dbraw/zinc/70/86/98/725708698.db2.gz ADUSHVKUOJAXGW-ORHYLEIMSA-N -1 1 348.403 -0.258 20 0 EBADMM O=C(NCC1CC(NC(=O)[C@@H]2CCNC(=O)C2)C1)c1ncccc1[O-] ZINC000992049630 725709071 /nfs/dbraw/zinc/70/90/71/725709071.db2.gz PMMKXGOINCEKSQ-MOENNCHZSA-N -1 1 346.387 -0.062 20 0 EBADMM C[C@@]1(C(=O)NC2CC(CNC(=O)c3ncccc3[O-])C2)CNC(=O)C1 ZINC000992055187 725710832 /nfs/dbraw/zinc/71/08/32/725710832.db2.gz WYCMKVXBPGBRDA-IGCAFJPESA-N -1 1 346.387 -0.062 20 0 EBADMM C[C@H]1CC(=O)N[C@@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000992056532 725711029 /nfs/dbraw/zinc/71/10/29/725711029.db2.gz IETUHBNKSUVFCF-MWLCHTKSSA-N -1 1 332.360 -0.358 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@H](NC(=O)c2cc[nH]c2)C1 ZINC000973356365 725719043 /nfs/dbraw/zinc/71/90/43/725719043.db2.gz ANWRRWGOFKNKLJ-KYZUINATSA-N -1 1 347.331 -0.085 20 0 EBADMM CC(C)(C)C(=O)N[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000973465723 725756172 /nfs/dbraw/zinc/75/61/72/725756172.db2.gz KTLJDSHRUQJERZ-KYZUINATSA-N -1 1 332.364 -0.159 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CC(NC(=O)C2CC2)C1 ZINC000992240132 725758700 /nfs/dbraw/zinc/75/87/00/725758700.db2.gz CLVZVOJYWVJYEF-UHFFFAOYSA-N -1 1 336.348 -0.069 20 0 EBADMM CC(=O)N1CC[C@H]2[C@@H](CCCN2C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000973752706 725798524 /nfs/dbraw/zinc/79/85/24/725798524.db2.gz YEEZZTRFTVOUPH-AAEUAGOBSA-N -1 1 344.375 -0.109 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@@H](NC(=O)[C@H]2C[C@@H]2C)C1 ZINC000973826698 725805727 /nfs/dbraw/zinc/80/57/27/725805727.db2.gz LVELCVAWDCFPLS-MAUMQABQSA-N -1 1 336.348 -0.071 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2cnccn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000992985295 725849105 /nfs/dbraw/zinc/84/91/05/725849105.db2.gz XDRQXPXBLIMVGF-GHMZBOCLSA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2cnsn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993120778 725856635 /nfs/dbraw/zinc/85/66/35/725856635.db2.gz WWDIPGNKGRGAHF-DTWKUNHWSA-N -1 1 337.409 -0.257 20 0 EBADMM Cn1cc(C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)n(C)c1=O ZINC000974057226 725859607 /nfs/dbraw/zinc/85/96/07/725859607.db2.gz ZLYJUDRKZFGMEZ-AOOOYVTPSA-N -1 1 345.359 -0.485 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2cnc[nH]c2=O)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993462298 725891636 /nfs/dbraw/zinc/89/16/36/725891636.db2.gz BRSWCCMJEWGFIJ-GXSJLCMTSA-N -1 1 347.379 -0.613 20 0 EBADMM CC1(C(=O)N[C@H]2C[C@@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)CC1 ZINC000974212098 725906068 /nfs/dbraw/zinc/90/60/68/725906068.db2.gz BEXMFQOEMDXMFO-DTORHVGOSA-N -1 1 330.348 -0.405 20 0 EBADMM Cc1ncc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)n1C ZINC000993713626 725930986 /nfs/dbraw/zinc/93/09/86/725930986.db2.gz COQZYKWKDXLLNG-CMPLNLGQSA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2cnn(C)n2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993995073 725969294 /nfs/dbraw/zinc/96/92/94/725969294.db2.gz PERGYKZCXJGLQH-VHSXEESVSA-N -1 1 334.384 -0.980 20 0 EBADMM COCCN1CCC[C@@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)[C@H]1C ZINC000994011849 725971577 /nfs/dbraw/zinc/97/15/77/725971577.db2.gz SZHHNKLORNFKGP-DGCLKSJQSA-N -1 1 348.407 -0.313 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cc2ncc[nH]2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000994105107 725979401 /nfs/dbraw/zinc/97/94/01/725979401.db2.gz WJMKIFQJFBLQGT-MNOVXSKESA-N -1 1 333.396 -0.457 20 0 EBADMM Cc1nonc1CC(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1C ZINC000994128285 725982335 /nfs/dbraw/zinc/98/23/35/725982335.db2.gz STWBOABXSHZODD-WDEREUQCSA-N -1 1 349.395 -0.488 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cn2ccnc2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000994509076 726027846 /nfs/dbraw/zinc/02/78/46/726027846.db2.gz QOPOUYFKSMDKJS-NEPJUHHUSA-N -1 1 333.396 -0.526 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C)n1cncn1 ZINC000974481919 726030035 /nfs/dbraw/zinc/03/00/35/726030035.db2.gz COHBOPJQPLQAER-WDEREUQCSA-N -1 1 348.411 -0.712 20 0 EBADMM Cn1nccc1CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000974502339 726033284 /nfs/dbraw/zinc/03/32/84/726033284.db2.gz ZOAYVBKNEZQATN-GFCCVEGCSA-N -1 1 347.423 -0.589 20 0 EBADMM Cc1ccnc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)n1 ZINC000974500023 726033434 /nfs/dbraw/zinc/03/34/34/726033434.db2.gz NJPBHCFCUFFIBQ-LLVKDONJSA-N -1 1 345.407 -0.153 20 0 EBADMM Cc1nnc(CC(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)o1 ZINC000974553671 726038413 /nfs/dbraw/zinc/03/84/13/726038413.db2.gz RBVIRFMSGHGFDF-JTQLQIEISA-N -1 1 349.395 -0.631 20 0 EBADMM Cc1nnccc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000974780517 726064885 /nfs/dbraw/zinc/06/48/85/726064885.db2.gz RYTSNOJLIJZHKT-GFCCVEGCSA-N -1 1 345.407 -0.153 20 0 EBADMM O=C(c1ncccc1[O-])N1CC[C@@H]2CN(C(=O)[C@H]3CNC(=O)N3)[C@@H]2C1 ZINC000974941994 726084594 /nfs/dbraw/zinc/08/45/94/726084594.db2.gz WIAAJPDEAFRYGQ-GMTAPVOTSA-N -1 1 345.359 -0.859 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@H]3[C@@H]4COC[C@@H]43)C(C)(C)C2)nc1=O ZINC000974979119 726090615 /nfs/dbraw/zinc/09/06/15/726090615.db2.gz VJTGKCNMWOYVJI-XZUYRWCXSA-N -1 1 335.408 -0.673 20 0 EBADMM C[C@]1(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)CCNC(=O)C1 ZINC000994861501 726104323 /nfs/dbraw/zinc/10/43/23/726104323.db2.gz VTJSEZLTTWZXJJ-INIZCTEOSA-N -1 1 332.360 -0.356 20 0 EBADMM Cn1nnc(CNC[C@H]2CC[C@@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC000994906550 726113772 /nfs/dbraw/zinc/11/37/72/726113772.db2.gz BZFNBZBVPGSUDV-WDEREUQCSA-N -1 1 331.380 -0.001 20 0 EBADMM Cn1nnc(CNC[C@@H]2CC[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC000994906545 726113855 /nfs/dbraw/zinc/11/38/55/726113855.db2.gz BZFNBZBVPGSUDV-MNOVXSKESA-N -1 1 331.380 -0.001 20 0 EBADMM Cn1nnc(CNC[C@H]2CC[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC000994906548 726113975 /nfs/dbraw/zinc/11/39/75/726113975.db2.gz BZFNBZBVPGSUDV-QWRGUYRKSA-N -1 1 331.380 -0.001 20 0 EBADMM O=C(Cn1ncnn1)N1CCC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975219523 726115199 /nfs/dbraw/zinc/11/51/99/726115199.db2.gz JGBLOSZHNJWCGW-LLVKDONJSA-N -1 1 345.363 -0.558 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N1CCC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975228416 726117028 /nfs/dbraw/zinc/11/70/28/726117028.db2.gz WVOQWOWDBSTCFO-TUAOUCFPSA-N -1 1 346.387 -0.123 20 0 EBADMM O=C(NC[C@@H]1CCCN(C(=O)[C@@H]2CNC(=O)N2)C1)c1ncccc1[O-] ZINC000975229544 726117209 /nfs/dbraw/zinc/11/72/09/726117209.db2.gz YKKPDMOVUSCGFY-QWRGUYRKSA-N -1 1 347.375 -0.563 20 0 EBADMM O=C(NC[C@@H]1CCCN(C(=O)[C@H]2CCNC2=O)C1)c1ncccc1[O-] ZINC000975262946 726125359 /nfs/dbraw/zinc/12/53/59/726125359.db2.gz YQGKSCCPAZOVMA-RYUDHWBXSA-N -1 1 346.387 -0.108 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1(F)CCCC1 ZINC000994993862 726138975 /nfs/dbraw/zinc/13/89/75/726138975.db2.gz AJBSKKZGZHHHRZ-UHFFFAOYSA-N -1 1 348.338 -0.360 20 0 EBADMM Cn1cnnc1CNC[C@H]1CC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000995009015 726142469 /nfs/dbraw/zinc/14/24/69/726142469.db2.gz VAMWYRKAXGJRSS-IUCAKERBSA-N -1 1 348.367 -0.900 20 0 EBADMM O=C(CN1CCCCC1=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000995186708 726186508 /nfs/dbraw/zinc/18/65/08/726186508.db2.gz XWNRJCLMYNFPNN-UHFFFAOYSA-N -1 1 332.360 -0.260 20 0 EBADMM CC1(C)CN(C(=O)CN2CCCC2=O)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995432991 726220718 /nfs/dbraw/zinc/22/07/18/726220718.db2.gz UJMXUALQHBYMBC-SNVBAGLBSA-N -1 1 336.396 -0.541 20 0 EBADMM Nc1nccnc1C(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000976275166 726249124 /nfs/dbraw/zinc/24/91/24/726249124.db2.gz CVDOYFGXDWIBHQ-JZYVYDRUSA-N -1 1 340.343 -0.340 20 0 EBADMM Cc1c(C(=O)N[C@@H]2[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)nnn1C ZINC000976312433 726264263 /nfs/dbraw/zinc/26/42/63/726264263.db2.gz XTPBAGHVCLTXDJ-IWIIMEHWSA-N -1 1 342.359 -0.275 20 0 EBADMM O=C(N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)c1cc(=O)n2[n-]cnc2n1)C1CC1 ZINC000995569959 726275429 /nfs/dbraw/zinc/27/54/29/726275429.db2.gz GTQRMYSKOLCURO-SCVCMEIPSA-N -1 1 342.359 -0.311 20 0 EBADMM Cn1ncc(C(=O)N[C@@H]2[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)c1N ZINC000976388796 726287627 /nfs/dbraw/zinc/28/76/27/726287627.db2.gz QQEUAZRRSLLWGJ-IAZYJMLFSA-N -1 1 342.359 -0.397 20 0 EBADMM O=C(CCc1c[nH]nn1)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1O ZINC000976394849 726290759 /nfs/dbraw/zinc/29/07/59/726290759.db2.gz RBPJOMDLLZLSCT-YABSGUDNSA-N -1 1 342.359 -0.275 20 0 EBADMM O=C(CCc1cn[nH]n1)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976394849 726290760 /nfs/dbraw/zinc/29/07/60/726290760.db2.gz RBPJOMDLLZLSCT-YABSGUDNSA-N -1 1 342.359 -0.275 20 0 EBADMM O=C(CCc1c[nH]nn1)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976394849 726290762 /nfs/dbraw/zinc/29/07/62/726290762.db2.gz RBPJOMDLLZLSCT-YABSGUDNSA-N -1 1 342.359 -0.275 20 0 EBADMM Cc1c(C(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ncccc2[O-])nnn1C ZINC000976395418 726291228 /nfs/dbraw/zinc/29/12/28/726291228.db2.gz CJCRLXXLTBCUPI-IWIIMEHWSA-N -1 1 342.359 -0.275 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)c3cnc[nH]c3=O)C[C@H]21)c1ncccc1[O-] ZINC000976395209 726291234 /nfs/dbraw/zinc/29/12/34/726291234.db2.gz UOFCZIBBVWZEDV-IAZYJMLFSA-N -1 1 341.327 -0.217 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)[C@H]3[C@@H]4COC[C@@H]43)C[C@H]21)c1ncccc1[O-] ZINC000976396569 726291465 /nfs/dbraw/zinc/29/14/65/726291465.db2.gz ZZCARJDUEQZIRH-OBWQANLTSA-N -1 1 329.356 -0.134 20 0 EBADMM Cc1nnc(CC(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ncccc2[O-])o1 ZINC000976403787 726294134 /nfs/dbraw/zinc/29/41/34/726294134.db2.gz OUBNNBBWRWIJCT-MSRIBSCDSA-N -1 1 343.343 -0.092 20 0 EBADMM CC1(C)CN(C(=O)C[C@@H]2CCNC2=O)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995887282 726309745 /nfs/dbraw/zinc/30/97/45/726309745.db2.gz NUUNEHOGMLWSAZ-UWVGGRQHSA-N -1 1 336.396 -0.637 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000996175904 726341131 /nfs/dbraw/zinc/34/11/31/726341131.db2.gz MOGCUKUXTHTTHY-VIFPVBQESA-N -1 1 347.379 -0.045 20 0 EBADMM O=C(N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-])[C@@H]1COC(=O)N1 ZINC000996214112 726346557 /nfs/dbraw/zinc/34/65/57/726346557.db2.gz BZLBIUQVIXHWMK-RCWTZXSCSA-N -1 1 346.343 -0.243 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)c2cc[nH]c2C)C1 ZINC000996397665 726386297 /nfs/dbraw/zinc/38/62/97/726386297.db2.gz PYZKVIDJGXFQAQ-UHFFFAOYSA-N -1 1 347.331 -0.213 20 0 EBADMM O=C(Cc1ccn[nH]1)N1CC(NC(=O)c2ccc3oc(=O)nc-3[n-]2)C1 ZINC000996400549 726387783 /nfs/dbraw/zinc/38/77/83/726387783.db2.gz MIFYZXHGSYFCRT-UHFFFAOYSA-N -1 1 342.315 -0.165 20 0 EBADMM CC1(C)CC[C@H]1C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996405587 726388244 /nfs/dbraw/zinc/38/82/44/726388244.db2.gz JINAXKDNDQBXTG-JTQLQIEISA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(NC1CN(C(=O)c2ccncc2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996407888 726389010 /nfs/dbraw/zinc/38/90/10/726389010.db2.gz LQRZLRPVXGOTKT-UHFFFAOYSA-N -1 1 339.315 -0.933 20 0 EBADMM O=C(NC1CN(C(=O)C2(F)CCCC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996409586 726389292 /nfs/dbraw/zinc/38/92/92/726389292.db2.gz VNAVKNQJAAZBDE-UHFFFAOYSA-N -1 1 348.338 -0.360 20 0 EBADMM CC(C)[C@H](F)C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996409668 726389381 /nfs/dbraw/zinc/38/93/81/726389381.db2.gz XAZUBEAJVINSKS-NSHDSACASA-N -1 1 336.327 -0.648 20 0 EBADMM O=C(CC1CCC1)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996409568 726389466 /nfs/dbraw/zinc/38/94/66/726389466.db2.gz UXGMYAMMVPRUJA-UHFFFAOYSA-N -1 1 330.348 -0.452 20 0 EBADMM CC1(C)CN(C(=O)C[C@@H]2CCC(=O)N2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996411419 726389986 /nfs/dbraw/zinc/38/99/86/726389986.db2.gz LTRXDEFIFQGIGE-UWVGGRQHSA-N -1 1 336.396 -0.494 20 0 EBADMM C[C@H](NC(=O)c1c[n-]n2c1nccc2=O)C1CCN(CC(N)=O)CC1 ZINC000997787707 726464566 /nfs/dbraw/zinc/46/45/66/726464566.db2.gz ZEYGJTSMHJBWGJ-JTQLQIEISA-N -1 1 346.391 -0.662 20 0 EBADMM Cn1ncc(CNCC2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)CC2)n1 ZINC000997887740 726469255 /nfs/dbraw/zinc/46/92/55/726469255.db2.gz FKKZFAJMDLYLPM-UHFFFAOYSA-N -1 1 348.367 -0.947 20 0 EBADMM COc1ccnc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001051749435 735369580 /nfs/dbraw/zinc/36/95/80/735369580.db2.gz FSWQZYUFXNUZKO-LLVKDONJSA-N -1 1 348.363 -0.455 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)[C@H]1CC[C@H](F)C1 ZINC000998512859 726489670 /nfs/dbraw/zinc/48/96/70/726489670.db2.gz OWELLVMFZWZPEM-IUCAKERBSA-N -1 1 348.338 -0.504 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)[C@@H]1CC[C@@H](F)C1 ZINC000998512863 726489750 /nfs/dbraw/zinc/48/97/50/726489750.db2.gz OWELLVMFZWZPEM-RKDXNWHRSA-N -1 1 348.338 -0.504 20 0 EBADMM C[C@H]1C[C@@H]1CC(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000999097653 726507611 /nfs/dbraw/zinc/50/76/11/726507611.db2.gz XXJBKSDZWOWIPL-DTWKUNHWSA-N -1 1 330.348 -0.596 20 0 EBADMM O=C(N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-])[C@H]1CCNC1=O ZINC000999123762 726508051 /nfs/dbraw/zinc/50/80/51/726508051.db2.gz NOIICFFXVVVZCT-RHYQMDGZSA-N -1 1 344.371 -0.215 20 0 EBADMM CN(C(=O)c1cnc([O-])n(C)c1=O)C1CC(NCc2cn(C)nn2)C1 ZINC000999217300 726511476 /nfs/dbraw/zinc/51/14/76/726511476.db2.gz JDTBUDGCGZIPOB-UHFFFAOYSA-N -1 1 347.379 -0.993 20 0 EBADMM CN(C(=O)c1cnc([O-])n(C)c1=O)C1CC(NCc2ccn(C)n2)C1 ZINC000999218811 726511527 /nfs/dbraw/zinc/51/15/27/726511527.db2.gz RCJPCJQPVNMJTA-UHFFFAOYSA-N -1 1 346.391 -0.388 20 0 EBADMM CCN1C[C@H](C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CC1=O ZINC000999323882 726514198 /nfs/dbraw/zinc/51/41/98/726514198.db2.gz ZGVJGGKEBDSBMD-MNOVXSKESA-N -1 1 336.396 -0.541 20 0 EBADMM CCn1ccc(CN[C@H]2CCCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC000999623174 726525204 /nfs/dbraw/zinc/52/52/04/726525204.db2.gz WFHAJUQYJVMPFH-NSHDSACASA-N -1 1 347.379 -0.106 20 0 EBADMM O=C(c1cccc2ncnn21)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999628167 726525326 /nfs/dbraw/zinc/52/53/26/726525326.db2.gz VRFWSBYETUPYMT-SNVBAGLBSA-N -1 1 342.363 -0.053 20 0 EBADMM O=C([C@H]1CCS(=O)(=O)C1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999642217 726525737 /nfs/dbraw/zinc/52/57/37/726525737.db2.gz ZOHLUCPJTMAEDR-UWVGGRQHSA-N -1 1 343.409 -0.974 20 0 EBADMM O=C(C[C@@H]1CCCC(=O)N1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999653215 726526135 /nfs/dbraw/zinc/52/61/35/726526135.db2.gz NSGSBANRJOLKKW-WDEREUQCSA-N -1 1 336.396 -0.350 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC(NC(=O)[C@H]3CCc4[nH]cnc4C3)C2)c1[O-] ZINC000999693891 726528161 /nfs/dbraw/zinc/52/81/61/726528161.db2.gz BQSDYXJKOZAELS-VIFPVBQESA-N -1 1 344.375 -0.107 20 0 EBADMM NC(=O)c1ccc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)[nH]1 ZINC000999949764 726543668 /nfs/dbraw/zinc/54/36/68/726543668.db2.gz ZOXOXNDZHWYTOJ-UHFFFAOYSA-N -1 1 329.316 -0.531 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC001000219168 726560023 /nfs/dbraw/zinc/56/00/23/726560023.db2.gz YUSKOVQDFJJQMM-QMMMGPOBSA-N -1 1 333.352 -0.668 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC001000219167 726560048 /nfs/dbraw/zinc/56/00/48/726560048.db2.gz YUSKOVQDFJJQMM-MRVPVSSYSA-N -1 1 333.352 -0.668 20 0 EBADMM Cc1ccn(CC(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c(=O)c1 ZINC001000335722 726564319 /nfs/dbraw/zinc/56/43/19/726564319.db2.gz CUJJXDXMSMEGPE-GFCCVEGCSA-N -1 1 346.391 -0.239 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@@H](NCc2cnon2)C1 ZINC001000473190 726568022 /nfs/dbraw/zinc/56/80/22/726568022.db2.gz MRJSCYQYYHXITG-LLVKDONJSA-N -1 1 348.363 -0.910 20 0 EBADMM Cc1ncoc1C(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001000548861 726570448 /nfs/dbraw/zinc/57/04/48/726570448.db2.gz GAWPFASVYZDVDE-UHFFFAOYSA-N -1 1 332.364 -0.033 20 0 EBADMM O=C(c1cn(CC2CC2)nn1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000576221 726571800 /nfs/dbraw/zinc/57/18/00/726571800.db2.gz YHFCJWXFPNTTIO-NSHDSACASA-N -1 1 346.395 -0.094 20 0 EBADMM C[C@H]1CCN(C(=O)C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001000653387 726574198 /nfs/dbraw/zinc/57/41/98/726574198.db2.gz ZMWVNIMFPXSPHY-QWRGUYRKSA-N -1 1 336.396 -0.541 20 0 EBADMM CCn1ccnc1CN[C@H]1CCCN(C(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC001000787786 726577517 /nfs/dbraw/zinc/57/75/17/726577517.db2.gz BUIJYXCWLOECML-NSHDSACASA-N -1 1 349.395 -0.314 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)[C@H]3[C@@H]4COC[C@@H]43)CC2)nc1=O ZINC001001056897 726590035 /nfs/dbraw/zinc/59/00/35/726590035.db2.gz YGXOJKYYUXEOIP-IMRBUKKESA-N -1 1 333.392 -0.751 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)[C@@H]3CCCOC3)CC2)nc1=O ZINC001001248462 726598675 /nfs/dbraw/zinc/59/86/75/726598675.db2.gz WXCCIPFILVVMLF-CYBMUJFWSA-N -1 1 337.424 -0.137 20 0 EBADMM C[C@@H]1OCC[C@H]1C(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001001308110 726602238 /nfs/dbraw/zinc/60/22/38/726602238.db2.gz VPUWWXOCEJTZPP-WCQYABFASA-N -1 1 335.408 -0.218 20 0 EBADMM C[C@H]1OCC[C@H]1C(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001001308104 726602257 /nfs/dbraw/zinc/60/22/57/726602257.db2.gz VPUWWXOCEJTZPP-DGCLKSJQSA-N -1 1 335.408 -0.218 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)c3cncnc3)CC2)nc1=O ZINC001001335769 726602987 /nfs/dbraw/zinc/60/29/87/726602987.db2.gz DHNNSIXRJUVFTQ-UHFFFAOYSA-N -1 1 331.380 -0.460 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)[C@H]3C[C@]34CCOC4)CC2)nc1=O ZINC001001348613 726603222 /nfs/dbraw/zinc/60/32/22/726603222.db2.gz FPBFKVSDIYRWRS-DYVFJYSZSA-N -1 1 347.419 -0.217 20 0 EBADMM CC1(C)C[C@H]1C(=O)NCC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001001464264 726607550 /nfs/dbraw/zinc/60/75/50/726607550.db2.gz CKQZKGNABFIFIP-JTQLQIEISA-N -1 1 344.375 -0.348 20 0 EBADMM Cn1ncc(C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)c1N ZINC001001526235 726610490 /nfs/dbraw/zinc/61/04/90/726610490.db2.gz FOQNMXHTRGNQSQ-UHFFFAOYSA-N -1 1 330.348 -0.395 20 0 EBADMM O=C(CN1CCCCC1=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001540361 726611090 /nfs/dbraw/zinc/61/10/90/726611090.db2.gz ABSKXRYFWMPJCC-UHFFFAOYSA-N -1 1 346.387 -0.012 20 0 EBADMM CCn1nncc1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001540447 726611105 /nfs/dbraw/zinc/61/11/05/726611105.db2.gz DOUXTXVLJHQXBE-UHFFFAOYSA-N -1 1 330.348 -0.099 20 0 EBADMM NC(=O)c1coc(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)c1 ZINC001001542144 726611288 /nfs/dbraw/zinc/61/12/88/726611288.db2.gz RQCFCYIDYVYCDH-UHFFFAOYSA-N -1 1 344.327 -0.019 20 0 EBADMM O=C(NCC1CN(C(=O)C2CC(=O)NC(=O)C2)C1)c1ncccc1[O-] ZINC001001542786 726611341 /nfs/dbraw/zinc/61/13/41/726611341.db2.gz ZWNSQMMHBKXCOP-UHFFFAOYSA-N -1 1 346.343 -0.972 20 0 EBADMM O=C(NCC1CN(C(=O)c2cnc[nH]c2=O)C1)c1ncccc1[O-] ZINC001001544085 726611392 /nfs/dbraw/zinc/61/13/92/726611392.db2.gz GRNPJOZVGVMWOY-UHFFFAOYSA-N -1 1 329.316 -0.215 20 0 EBADMM CN1CC[C@H](CC(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)C1=O ZINC001001546798 726611540 /nfs/dbraw/zinc/61/15/40/726611540.db2.gz XDVMLEMOIOXEBB-GFCCVEGCSA-N -1 1 346.387 -0.156 20 0 EBADMM C[C@@]1(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)CCNC(=O)C1 ZINC001001552385 726611622 /nfs/dbraw/zinc/61/16/22/726611622.db2.gz PJTAUQNGNOTOON-QGZVFWFLSA-N -1 1 346.387 -0.108 20 0 EBADMM O=C(c1ncccc1[O-])N1CCC(=O)NCCN(C(=O)C2CC2)CC1 ZINC001001738558 726620782 /nfs/dbraw/zinc/62/07/82/726620782.db2.gz PMLWKAYFVKRJHG-UHFFFAOYSA-N -1 1 346.387 -0.012 20 0 EBADMM O=C(NCC1CN(C(=O)c2cn[nH]n2)C1)c1cnc(C2CC2)[n-]c1=O ZINC001001952553 726628072 /nfs/dbraw/zinc/62/80/72/726628072.db2.gz TVIRVFRJEHNJOF-UHFFFAOYSA-N -1 1 343.347 -0.320 20 0 EBADMM CN(C[C@H]1CCCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1C[C@H]1C(N)=O ZINC001002411559 726640517 /nfs/dbraw/zinc/64/05/17/726640517.db2.gz KAMWMSMVOVHEMP-UTUOFQBUSA-N -1 1 346.387 -0.028 20 0 EBADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)[C@H]1CCCNC1=O ZINC001002529951 726642577 /nfs/dbraw/zinc/64/25/77/726642577.db2.gz PTAGKYBYJZJCET-NSHDSACASA-N -1 1 332.360 -0.498 20 0 EBADMM Cn1ccc(CC(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC001002785129 726647823 /nfs/dbraw/zinc/64/78/23/726647823.db2.gz MZCGFFMHTGSOHR-UHFFFAOYSA-N -1 1 333.396 -0.835 20 0 EBADMM CN(C[C@H]1CCCN1C(=O)c1nnn(C)n1)C(=O)c1ncccc1[O-] ZINC001002835268 726649865 /nfs/dbraw/zinc/64/98/65/726649865.db2.gz GPUOURKYHNSRSQ-SNVBAGLBSA-N -1 1 345.363 -0.313 20 0 EBADMM Cc1cc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c(C)nn1 ZINC001002907345 726653589 /nfs/dbraw/zinc/65/35/89/726653589.db2.gz JYXNSXQDYGIELB-UHFFFAOYSA-N -1 1 345.407 -0.090 20 0 EBADMM CN(C[C@@H]1CCCN1C(=O)[C@H]1C[C@H]1C(N)=O)C(=O)c1ncccc1[O-] ZINC001003013580 726658628 /nfs/dbraw/zinc/65/86/28/726658628.db2.gz ZMTJKDBYJOTRKO-TUAOUCFPSA-N -1 1 346.387 -0.028 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)c3ccc(C(N)=O)o3)CC2)nc1=O ZINC001003279170 726667411 /nfs/dbraw/zinc/66/74/11/726667411.db2.gz XFVFQEGAUZAWAW-UHFFFAOYSA-N -1 1 348.363 -0.805 20 0 EBADMM C/C=C(\C)C(=O)N1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001003318733 726669617 /nfs/dbraw/zinc/66/96/17/726669617.db2.gz HWBKXLBNPNKDSH-YCRREMRBSA-N -1 1 330.348 -0.428 20 0 EBADMM O=C(NCC1CN(C(=O)c2ccoc2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001003319287 726669620 /nfs/dbraw/zinc/66/96/20/726669620.db2.gz MUUAYTLIKWYDQW-UHFFFAOYSA-N -1 1 342.315 -0.487 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001003808509 726691428 /nfs/dbraw/zinc/69/14/28/726691428.db2.gz PYSGLYICVMNIID-UHFFFAOYSA-N -1 1 333.396 -0.157 20 0 EBADMM NC(=O)c1coc(C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)c1 ZINC001004385543 726706124 /nfs/dbraw/zinc/70/61/24/726706124.db2.gz IKQRALVIIYHQEV-UHFFFAOYSA-N -1 1 344.327 -0.019 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)Cn1ncnn1 ZINC001004859377 726718055 /nfs/dbraw/zinc/71/80/55/726718055.db2.gz GCSQSTPUYFPCCR-NXEZZACHSA-N -1 1 335.372 -0.944 20 0 EBADMM C[C@H]1CCN(C(=O)C2CC2)C[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001005053823 726723923 /nfs/dbraw/zinc/72/39/23/726723923.db2.gz FQRJBKIHAVKVIG-JOYOIKCWSA-N -1 1 344.375 -0.206 20 0 EBADMM Cc1ncn(C)c1C(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005537456 726735574 /nfs/dbraw/zinc/73/55/74/726735574.db2.gz LZQOCDDHWXRNKE-UHFFFAOYSA-N -1 1 347.423 -0.113 20 0 EBADMM CN(C(=O)c1cn[nH]c(=O)c1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005538846 726735578 /nfs/dbraw/zinc/73/55/78/726735578.db2.gz IRMPPPSAKPNWDB-UHFFFAOYSA-N -1 1 347.379 -0.659 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC([C@@H](C)NC(=O)C2CC2)C1 ZINC001005542087 726735760 /nfs/dbraw/zinc/73/57/60/726735760.db2.gz MOYJUSBFQGMLMN-SSDOTTSWSA-N -1 1 336.348 -0.117 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2COCCN2C)C1 ZINC001005950298 726749565 /nfs/dbraw/zinc/74/95/65/726749565.db2.gz IWQYAXLXWFUXAU-WCQYABFASA-N -1 1 348.403 -0.306 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)CN2CCCC2=O)C1 ZINC001005950829 726749571 /nfs/dbraw/zinc/74/95/71/726749571.db2.gz KJDPEXDCOGCISX-LLVKDONJSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)c1cccnn1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001006174389 726757529 /nfs/dbraw/zinc/75/75/29/726757529.db2.gz UJXPRLRUFSZNQG-UHFFFAOYSA-N -1 1 331.380 -0.365 20 0 EBADMM C/C=C(/C)C(=O)N1CC([C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001006461711 726770133 /nfs/dbraw/zinc/77/01/33/726770133.db2.gz FJAATZSBKBFFFW-FWAPLPHYSA-N -1 1 344.375 -0.040 20 0 EBADMM C[C@@H](NC(=O)[C@H]1C[C@@H]1C)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001006627751 726776626 /nfs/dbraw/zinc/77/66/26/726776626.db2.gz VCBOBPOFXQBIBE-LOWVWBTDSA-N -1 1 348.403 -0.454 20 0 EBADMM Cc1nnsc1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001006696523 726780495 /nfs/dbraw/zinc/78/04/95/726780495.db2.gz CCIKAHAQBOPGLG-VIFPVBQESA-N -1 1 337.409 -0.337 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)C[C@@H]3COC(=O)C3)C2)nc1=O ZINC001007099905 726789201 /nfs/dbraw/zinc/78/92/01/726789201.db2.gz MEIIPENHXLFRAM-WDEREUQCSA-N -1 1 337.380 -0.858 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1)n1cncn1 ZINC001007165460 726789859 /nfs/dbraw/zinc/78/98/59/726789859.db2.gz RJWUZVUYNCZTCH-GHMZBOCLSA-N -1 1 334.384 -0.958 20 0 EBADMM Cc1nnc(CC(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)o1 ZINC001007265772 726791349 /nfs/dbraw/zinc/79/13/49/726791349.db2.gz CWJCOYJRJIKMJC-JTQLQIEISA-N -1 1 335.368 -0.877 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1)c1ccnn1C ZINC001007358161 726792750 /nfs/dbraw/zinc/79/27/50/726792750.db2.gz SJFBVBPISDSCJI-NWDGAFQWSA-N -1 1 347.423 -0.274 20 0 EBADMM CC(=O)N[C@@H]1CC[C@@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@@H]2C1 ZINC001009765131 726999560 /nfs/dbraw/zinc/99/95/60/726999560.db2.gz HGWUFIRKINYQIV-GRYCIOLGSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@@H]1C[C@H](CNC(=O)c2nnn(C)n2)CN1C(=O)c1ncccc1[O-] ZINC001010122068 727032840 /nfs/dbraw/zinc/03/28/40/727032840.db2.gz KUUUNHJYIUXUOF-NXEZZACHSA-N -1 1 345.363 -0.409 20 0 EBADMM CC(=O)N1CC2(C1)CCCN(C(=O)CCn1cc[n-]c(=O)c1=O)C2 ZINC001010438296 727094250 /nfs/dbraw/zinc/09/42/50/727094250.db2.gz HPELOKNSODELES-UHFFFAOYSA-N -1 1 334.376 -0.602 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(C(=O)[C@@H](C)OC)CC1 ZINC001010560835 727107388 /nfs/dbraw/zinc/10/73/88/727107388.db2.gz YCZKHICYAWWDGA-MRVPVSSYSA-N -1 1 340.336 -0.784 20 0 EBADMM CC1CC(C(=O)N2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)CC2)C1 ZINC001010964369 727169912 /nfs/dbraw/zinc/16/99/12/727169912.db2.gz HTPGGKKATBAKOF-UHFFFAOYSA-N -1 1 348.403 -0.356 20 0 EBADMM Cc1ncccc1CC(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051821455 735413472 /nfs/dbraw/zinc/41/34/72/735413472.db2.gz BNYUIKPCDFVZDQ-CYBMUJFWSA-N -1 1 346.391 -0.227 20 0 EBADMM CN1CCOC[C@H]1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011024993 727180944 /nfs/dbraw/zinc/18/09/44/727180944.db2.gz OSELDCXJDHKZAM-LBPRGKRZSA-N -1 1 334.376 -0.598 20 0 EBADMM NC(=O)[C@@H]1CC[C@H](C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC001011035719 727186240 /nfs/dbraw/zinc/18/62/40/727186240.db2.gz FZLYDERXJDYJCL-NEPJUHHUSA-N -1 1 346.387 -0.027 20 0 EBADMM Cc1ccn(CC(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001051828495 735418984 /nfs/dbraw/zinc/41/89/84/735418984.db2.gz DHBCZANZPPHTRJ-LLVKDONJSA-N -1 1 335.368 -0.968 20 0 EBADMM Cc1ccc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)c(=O)[nH]1 ZINC001051844394 735425026 /nfs/dbraw/zinc/42/50/26/735425026.db2.gz HCUBTOZXOJJFRD-SNVBAGLBSA-N -1 1 348.363 -0.450 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CCN1C(=O)c1ccn[nH]1 ZINC001011523748 727536806 /nfs/dbraw/zinc/53/68/06/727536806.db2.gz XCGBKNYKNYHYGB-BQBZGAKWSA-N -1 1 333.308 -0.961 20 0 EBADMM Cc1ncc(C(=O)N2CC[C@H](NC(=O)Cn3c(=O)[n-][nH]c3=O)[C@@H]2C)[nH]1 ZINC001011567450 727542981 /nfs/dbraw/zinc/54/29/81/727542981.db2.gz NSVVHCXPVLMUNS-CBAPKCEASA-N -1 1 349.351 -0.860 20 0 EBADMM CC1(C(=O)N2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC2)CCC1 ZINC001011637733 727552375 /nfs/dbraw/zinc/55/23/75/727552375.db2.gz NKRSLSDPQRHQOQ-UHFFFAOYSA-N -1 1 344.375 -0.108 20 0 EBADMM C[C@H]1[C@H](NC(=O)C2CC2)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001011899600 727599949 /nfs/dbraw/zinc/59/99/49/727599949.db2.gz QQSHENPIOCUECP-CMPLNLGQSA-N -1 1 334.376 -0.558 20 0 EBADMM C[C@@H]1[C@H](NC(=O)CC2CC2)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001011900640 727600221 /nfs/dbraw/zinc/60/02/21/727600221.db2.gz YPLDXDMONOAFHY-DGCLKSJQSA-N -1 1 348.403 -0.168 20 0 EBADMM C[C@@H]1[C@H](NC(=O)C(F)F)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001011913573 727602623 /nfs/dbraw/zinc/60/26/23/727602623.db2.gz NONBJNLEISJDNE-RNFRBKRXSA-N -1 1 340.290 -0.598 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1c[nH]c(=O)cn1 ZINC001012019567 727624224 /nfs/dbraw/zinc/62/42/24/727624224.db2.gz HVICFDZSNZEAPU-UWVGGRQHSA-N -1 1 343.343 -0.097 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)Cc1nnc[nH]1 ZINC001012090967 727636795 /nfs/dbraw/zinc/63/67/95/727636795.db2.gz FWBUHXHJLXNSLL-UWVGGRQHSA-N -1 1 330.348 -0.133 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CN1C(=O)C1CC1 ZINC001012135872 727639735 /nfs/dbraw/zinc/63/97/35/727639735.db2.gz SLMMDPQOEOQJAF-SCZZXKLOSA-N -1 1 330.348 -0.453 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CN1C(=O)CC1CC1 ZINC001012157208 727640730 /nfs/dbraw/zinc/64/07/30/727640730.db2.gz BXMSLCZPLFQNKB-KOLCDFICSA-N -1 1 344.375 -0.063 20 0 EBADMM Cc1cc(C(=O)N2C[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C[C@H]2C)n[nH]1 ZINC001012353398 727656456 /nfs/dbraw/zinc/65/64/56/727656456.db2.gz BEIWYJYVNMBIJY-HTQZYQBOSA-N -1 1 347.335 -0.653 20 0 EBADMM Cc1ncc(C(=O)N2C[C@@H](NC(=O)Cn3c(=O)[n-][nH]c3=O)C[C@@H]2C)[nH]1 ZINC001012392102 727660393 /nfs/dbraw/zinc/66/03/93/727660393.db2.gz NILNCQORXVSQNO-CBAPKCEASA-N -1 1 349.351 -0.860 20 0 EBADMM C[C@@H](CC(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)n1cccn1 ZINC001051896432 735452065 /nfs/dbraw/zinc/45/20/65/735452065.db2.gz HQVMXVJUXCMTMI-RYUDHWBXSA-N -1 1 349.395 -0.325 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2nnn(C)n2)CN1C(=O)c1ncccc1[O-] ZINC001012506342 727673263 /nfs/dbraw/zinc/67/32/63/727673263.db2.gz NUKSPDOYBVNLFL-BDAKNGLRSA-N -1 1 331.336 -0.656 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)Cc2nc[nH]n2)CN1C(=O)c1ncccc1[O-] ZINC001012513531 727674108 /nfs/dbraw/zinc/67/41/08/727674108.db2.gz VDXZEISBUKOOOA-VHSXEESVSA-N -1 1 330.348 -0.133 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)Cc2nnc[nH]2)CN1C(=O)c1ncccc1[O-] ZINC001012513531 727674111 /nfs/dbraw/zinc/67/41/11/727674111.db2.gz VDXZEISBUKOOOA-VHSXEESVSA-N -1 1 330.348 -0.133 20 0 EBADMM C[C@H]1C[C@H](NC(=O)C(N)=O)CN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001012616119 727691019 /nfs/dbraw/zinc/69/10/19/727691019.db2.gz FFYNVUQIRYSXMD-CBAPKCEASA-N -1 1 333.348 -0.736 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)C(N)=O)CN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001012616121 727691200 /nfs/dbraw/zinc/69/12/00/727691200.db2.gz FFYNVUQIRYSXMD-VXNVDRBHSA-N -1 1 333.348 -0.736 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@@H](NC(=O)C(F)F)C[C@H]1C ZINC001013318854 727793709 /nfs/dbraw/zinc/79/37/09/727793709.db2.gz WBWSYADVFTTZTE-RITPCOANSA-N -1 1 346.290 -0.119 20 0 EBADMM C[C@H]1C[C@H](NC(=O)C2CC2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001013329224 727794443 /nfs/dbraw/zinc/79/44/43/727794443.db2.gz UJIFFEVELLDKHY-WPRPVWTQSA-N -1 1 330.348 -0.453 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1nnn(C)n1 ZINC001013661301 727827321 /nfs/dbraw/zinc/82/73/21/727827321.db2.gz ZSIMMSZWJJYNSJ-IUCAKERBSA-N -1 1 331.336 -0.656 20 0 EBADMM Cn1ncc(Cl)c1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001014448662 727956626 /nfs/dbraw/zinc/95/66/26/727956626.db2.gz ZKQYRGOOCHIWPK-MRVPVSSYSA-N -1 1 339.787 -0.500 20 0 EBADMM Cn1cc(Cl)c(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001014541075 727966353 /nfs/dbraw/zinc/96/63/53/727966353.db2.gz PLEDYXQKXYXPQJ-MRVPVSSYSA-N -1 1 339.787 -0.500 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ccnc4[nH]cnc43)C2)nc1=O ZINC001014588646 727973186 /nfs/dbraw/zinc/97/31/86/727973186.db2.gz BTMDHGIPAHUHJJ-SECBINFHSA-N -1 1 342.363 -0.616 20 0 EBADMM COc1nc(C)ncc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001014640158 727985732 /nfs/dbraw/zinc/98/57/32/727985732.db2.gz PGTZQUMCKBTTRY-SNVBAGLBSA-N -1 1 347.379 -0.780 20 0 EBADMM CCC(=O)N[C@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H]1C ZINC001014657526 727988859 /nfs/dbraw/zinc/98/88/59/727988859.db2.gz ZYTXNGAIAOTCLT-ZJUUUORDSA-N -1 1 332.364 -0.063 20 0 EBADMM Cc1[nH]nc(Cl)c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001014667725 727990363 /nfs/dbraw/zinc/99/03/63/727990363.db2.gz CAYMYCFMEUWYCM-QMMMGPOBSA-N -1 1 339.787 -0.202 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)Cc3cc(C4CC4)no3)C2)nc1=O ZINC001014688671 727991789 /nfs/dbraw/zinc/99/17/89/727991789.db2.gz FPGJLIOYCKNQQS-LLVKDONJSA-N -1 1 346.391 -0.093 20 0 EBADMM COCc1cncc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001014777598 728007587 /nfs/dbraw/zinc/00/75/87/728007587.db2.gz AALGMOJJJCZIFT-ZDUSSCGKSA-N -1 1 346.391 -0.346 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ncc(F)cc3F)C2)nc1=O ZINC001014951744 728039335 /nfs/dbraw/zinc/03/93/35/728039335.db2.gz QUQUZIXUZSYNIT-SECBINFHSA-N -1 1 338.318 -0.214 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)Cn3cc(C4CC4)cn3)C2)nc1=O ZINC001015014487 728057216 /nfs/dbraw/zinc/05/72/16/728057216.db2.gz NRLWGLGJYROFRA-ZDUSSCGKSA-N -1 1 345.407 -0.427 20 0 EBADMM CN(C)c1ccc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001015049349 728063363 /nfs/dbraw/zinc/06/33/63/728063363.db2.gz KIFNEJLGABVYKE-GFCCVEGCSA-N -1 1 345.407 -0.426 20 0 EBADMM CCc1c(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001015079710 728072029 /nfs/dbraw/zinc/07/20/29/728072029.db2.gz BDGSMEJFAMQOAU-JTQLQIEISA-N -1 1 333.396 -0.591 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cccn4nccc34)C2)nc1=O ZINC001015094414 728077271 /nfs/dbraw/zinc/07/72/71/728077271.db2.gz QRCZBWQLJQZRAC-NSHDSACASA-N -1 1 341.375 -0.240 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2nnn(C)n2)CCCN1C(=O)c1ncccc1[O-] ZINC001015129778 728089776 /nfs/dbraw/zinc/08/97/76/728089776.db2.gz VEUKDCAGAIRPLR-UWVGGRQHSA-N -1 1 345.363 -0.266 20 0 EBADMM Cc1nc(C)c(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nc1C ZINC001015197995 728111968 /nfs/dbraw/zinc/11/19/68/728111968.db2.gz FPFFEWPQNSCUAA-LBPRGKRZSA-N -1 1 345.407 -0.172 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3onc4c3CCCC4)C2)nc1=O ZINC001015265864 728392063 /nfs/dbraw/zinc/39/20/63/728392063.db2.gz UTEHLRDSPKUKQO-SNVBAGLBSA-N -1 1 346.391 -0.021 20 0 EBADMM NC(=O)C(=O)N[C@H]1CC[C@@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001015556475 728423521 /nfs/dbraw/zinc/42/35/21/728423521.db2.gz ZNZDYJKORKKRTG-SCZZXKLOSA-N -1 1 347.375 -0.440 20 0 EBADMM Cn1cc([C@@H]2C[C@H]2C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001015732526 728430616 /nfs/dbraw/zinc/43/06/16/728430616.db2.gz AARMVRDHWMLEFC-RWMBFGLXSA-N -1 1 345.407 -0.664 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCN(Cc2ccnn2C)C1 ZINC001015988708 728440561 /nfs/dbraw/zinc/44/05/61/728440561.db2.gz FKMYJTXKTYTYEP-SECBINFHSA-N -1 1 348.363 -0.366 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CC(NC(=O)C2(C)CC2)C1 ZINC001016766224 728504442 /nfs/dbraw/zinc/50/44/42/728504442.db2.gz MOLWAMWTZMSIKV-UHFFFAOYSA-N -1 1 344.375 -0.063 20 0 EBADMM CN(C(=O)[C@H]1CCN(C)C1=O)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016889895 728522821 /nfs/dbraw/zinc/52/28/21/728522821.db2.gz YSURIHKXSXDZSU-MCIGGMRASA-N -1 1 346.387 -0.015 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)c2ccc(=O)[nH]n2)C1 ZINC001017038883 728539380 /nfs/dbraw/zinc/53/93/80/728539380.db2.gz ZMDDXHNOHSLKEQ-JTQLQIEISA-N -1 1 347.379 -0.010 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)c2nccnc2N)C1 ZINC001017082657 728545768 /nfs/dbraw/zinc/54/57/68/728545768.db2.gz ULLLZQMHVODJSJ-JTQLQIEISA-N -1 1 346.395 -0.133 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)[C@H]2COC(=O)N2)C1 ZINC001017122080 728549123 /nfs/dbraw/zinc/54/91/23/728549123.db2.gz ZAHBJDZBGSPOHX-VHSXEESVSA-N -1 1 338.368 -0.711 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)[C@H]2COC(=O)N2)C1 ZINC001017122078 728549351 /nfs/dbraw/zinc/54/93/51/728549351.db2.gz ZAHBJDZBGSPOHX-NXEZZACHSA-N -1 1 338.368 -0.711 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)[C@H]2CCNC2=O)C1 ZINC001017179142 728552460 /nfs/dbraw/zinc/55/24/60/728552460.db2.gz DWFQMHFSWIHOMO-MNOVXSKESA-N -1 1 336.396 -0.683 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)c2cnn(C)n2)C1 ZINC001017229633 728556455 /nfs/dbraw/zinc/55/64/55/728556455.db2.gz AUHDTDPKRXIGIP-SNVBAGLBSA-N -1 1 334.384 -0.377 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)Cc2nnc[nH]2)C1 ZINC001017246060 728558336 /nfs/dbraw/zinc/55/83/36/728558336.db2.gz LRKWYXNHQBYYIZ-JTQLQIEISA-N -1 1 334.384 -0.459 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)C2CCOCC2)nc1=O ZINC001017285964 728561220 /nfs/dbraw/zinc/56/12/20/728561220.db2.gz DXLQSSRBWJVYEY-BETUJISGSA-N -1 1 335.408 -0.290 20 0 EBADMM O=C(NCC1=CCN(C(=O)[C@H]2CCNC2=O)CC1)c1ncccc1[O-] ZINC001017400644 728571970 /nfs/dbraw/zinc/57/19/70/728571970.db2.gz RODBOFBFLBVHIX-LBPRGKRZSA-N -1 1 344.371 -0.188 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)[C@@H]2CCCCO2)nc1=O ZINC001017477395 728580128 /nfs/dbraw/zinc/58/01/28/728580128.db2.gz FLKGCBCSBHBWJJ-XQQFMLRXSA-N -1 1 335.408 -0.147 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)[C@H]2CCCCO2)nc1=O ZINC001017477393 728580143 /nfs/dbraw/zinc/58/01/43/728580143.db2.gz FLKGCBCSBHBWJJ-FRRDWIJNSA-N -1 1 335.408 -0.147 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)c2csnn2)nc1=O ZINC001017774040 728609888 /nfs/dbraw/zinc/60/98/88/728609888.db2.gz OVTIXTAPTLEEQV-DTORHVGOSA-N -1 1 335.393 -0.551 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)Cn1cnnn1 ZINC001018098740 728633173 /nfs/dbraw/zinc/63/31/73/728633173.db2.gz JRFOVLZLIGYXIX-GHMZBOCLSA-N -1 1 345.363 -0.417 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)Cn1cnnn1 ZINC001018098746 728633422 /nfs/dbraw/zinc/63/34/22/728633422.db2.gz JRFOVLZLIGYXIX-WDEREUQCSA-N -1 1 345.363 -0.417 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2cnn[nH]2)CN1C(=O)Cc1cnn(C)c1 ZINC001018251919 728650358 /nfs/dbraw/zinc/65/03/58/728650358.db2.gz SXIKKGNELAUGEY-ZYHUDNBSSA-N -1 1 331.380 -0.110 20 0 EBADMM CCC(=O)N1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CC[C@@H]1C ZINC001018273761 728652707 /nfs/dbraw/zinc/65/27/07/728652707.db2.gz CKYQKXQGFDJJJB-VHSXEESVSA-N -1 1 332.364 -0.063 20 0 EBADMM CCC(=O)N1CC2(C1)CCN(C(=O)c1cc(=O)n3[n-]cnc3n1)C2 ZINC001018647932 728683690 /nfs/dbraw/zinc/68/36/90/728683690.db2.gz NSJQTQBCQFRLFA-UHFFFAOYSA-N -1 1 330.348 -0.498 20 0 EBADMM O=C([C@H]1CCC(=O)N1)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001018876059 728697477 /nfs/dbraw/zinc/69/74/77/728697477.db2.gz IVLQQFDZWDBUAO-LLVKDONJSA-N -1 1 344.371 -0.260 20 0 EBADMM NC(=O)N1CCCC[C@@H]1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019633992 728950691 /nfs/dbraw/zinc/95/06/91/728950691.db2.gz GABVVMGFQHCAKN-VHSXEESVSA-N -1 1 337.384 -0.866 20 0 EBADMM C[C@H](CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1)N1CCCC1=O ZINC001019642129 728954419 /nfs/dbraw/zinc/95/44/19/728954419.db2.gz AVKCIDYFRGAQJO-MNOVXSKESA-N -1 1 336.396 -0.398 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H](NCc2ccon2)C1 ZINC001019647722 728960494 /nfs/dbraw/zinc/96/04/94/728960494.db2.gz ZBNXZZTUHNYIAE-VIFPVBQESA-N -1 1 335.320 -0.111 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N1CC[C@H](NCc2cc(C3CC3)no2)C1 ZINC001019710848 728976446 /nfs/dbraw/zinc/97/64/46/728976446.db2.gz YOLVWODMHCRNRT-JTQLQIEISA-N -1 1 348.363 -0.055 20 0 EBADMM Cn1nnc2ccc(C(=O)N3CC[C@H](NCc4n[nH]c(=O)[n-]4)C3)cc21 ZINC001019711509 728976522 /nfs/dbraw/zinc/97/65/22/728976522.db2.gz IBMBZQCQTBOTED-JTQLQIEISA-N -1 1 342.363 -0.204 20 0 EBADMM O=C([C@H]1CCc2nncn2C1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019807282 728997250 /nfs/dbraw/zinc/99/72/50/728997250.db2.gz GWIPTKMZDNZWEM-UWVGGRQHSA-N -1 1 332.368 -0.945 20 0 EBADMM O=C(C[C@@H]1CCS(=O)(=O)C1)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001020366651 729147849 /nfs/dbraw/zinc/14/78/49/729147849.db2.gz UNOYSAOMYFLZGP-GUBZILKMSA-N -1 1 343.409 -0.928 20 0 EBADMM NC(=O)NCC(=O)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])CC2 ZINC001060893942 738409528 /nfs/dbraw/zinc/40/95/28/738409528.db2.gz WBVAVFMYNXDCQJ-UHFFFAOYSA-N -1 1 347.375 -0.480 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)[C@H]1C ZINC001020411507 729161504 /nfs/dbraw/zinc/16/15/04/729161504.db2.gz KQKSSBOQCGIXOH-NAKRPEOUSA-N -1 1 344.375 -0.207 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@@H]1CCNC1=O ZINC001020452558 729171111 /nfs/dbraw/zinc/17/11/11/729171111.db2.gz ILIBVKFIHWZQRC-AXFHLTTASA-N -1 1 332.360 -0.358 20 0 EBADMM Cn1ccnc1CN[C@H]1C[C@H](NC(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001020459679 729175834 /nfs/dbraw/zinc/17/58/34/729175834.db2.gz YFNYVHAWBUCPJS-MGCOHNPYSA-N -1 1 332.364 -0.730 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1C[C@@H]2CCN(C(=O)C3CC3)[C@@H]2C1 ZINC001020884851 729250404 /nfs/dbraw/zinc/25/04/04/729250404.db2.gz NLHCWAAJBVUROM-CMPLNLGQSA-N -1 1 342.359 -0.500 20 0 EBADMM O=C(NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1)[C@@H]1COC(=O)N1 ZINC001021248403 729298478 /nfs/dbraw/zinc/29/84/78/729298478.db2.gz RMFJZFSEQYBAQT-UPBARMNASA-N -1 1 336.352 -0.770 20 0 EBADMM C[C@@]1(C(=O)NC2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[n-]2)CNC(=O)C1 ZINC001021333227 729306118 /nfs/dbraw/zinc/30/61/18/729306118.db2.gz KWGYUEABPDTARH-MNVHQWBDSA-N -1 1 348.407 -0.352 20 0 EBADMM Cc1c(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)cnn1C ZINC001023069611 729592903 /nfs/dbraw/zinc/59/29/03/729592903.db2.gz QACRVPYRASGOLH-DGCLKSJQSA-N -1 1 345.359 -0.556 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)c1cnns1 ZINC001023127494 729614484 /nfs/dbraw/zinc/61/44/84/729614484.db2.gz RIKUFKSUVLYGLR-VXNVDRBHSA-N -1 1 335.345 -0.746 20 0 EBADMM Cc1ocnc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001023156579 729622720 /nfs/dbraw/zinc/62/27/20/729622720.db2.gz NOEYQXWVCONLKK-MWLCHTKSSA-N -1 1 332.316 -0.301 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)[C@@H]1CCCOC1 ZINC001023187624 729632078 /nfs/dbraw/zinc/63/20/78/729632078.db2.gz BVRGCCBHECGUFI-NQBHXWOUSA-N -1 1 335.360 -0.485 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](CNC(=O)C3=CCOCC3)C2)nc1=O ZINC001023329105 729664625 /nfs/dbraw/zinc/66/46/25/729664625.db2.gz ZQKIPWQOXGRABU-LBPRGKRZSA-N -1 1 335.408 -0.217 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1 ZINC001023334841 729665471 /nfs/dbraw/zinc/66/54/71/729665471.db2.gz NFRNLNYDDYFOJM-GFCCVEGCSA-N -1 1 345.407 -0.151 20 0 EBADMM O=C(C[C@@H]1COC(=O)C1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001023405707 729680715 /nfs/dbraw/zinc/68/07/15/729680715.db2.gz RTDOAGHNQSGNAX-HOSYDEDBSA-N -1 1 349.343 -0.958 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)[C@H]2C[C@@H]2C(F)F)C[C@H]1O)c1ncccc1[O-] ZINC001023488342 729701948 /nfs/dbraw/zinc/70/19/48/729701948.db2.gz DFSICURPCQQORV-WYOJIJJFSA-N -1 1 341.314 -0.010 20 0 EBADMM Cc1ccnc(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001023488670 729702431 /nfs/dbraw/zinc/70/24/31/729702431.db2.gz RHDYUIGWBNSBFS-ZYHUDNBSSA-N -1 1 343.343 -0.499 20 0 EBADMM COc1nc(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)co1 ZINC001023492174 729703995 /nfs/dbraw/zinc/70/39/95/729703995.db2.gz ILKBOSLWCALXLW-LDYMZIIASA-N -1 1 348.315 -0.601 20 0 EBADMM Cc1nonc1C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023492106 729704029 /nfs/dbraw/zinc/70/40/29/729704029.db2.gz FJQFVXRMGRCBFK-PSASIEDQSA-N -1 1 333.304 -0.906 20 0 EBADMM Cc1nccn1CC(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023493422 729704629 /nfs/dbraw/zinc/70/46/29/729704629.db2.gz CQICFUOGDHKCBS-DGCLKSJQSA-N -1 1 345.359 -0.706 20 0 EBADMM C[C@@H]1CCO[C@@H]1C(=O)NC[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001023596954 729734223 /nfs/dbraw/zinc/73/42/23/729734223.db2.gz CGSMBPRQPFSKAZ-BZPMIXESSA-N -1 1 337.424 -0.138 20 0 EBADMM CCn1ncc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)n1 ZINC001023602230 729736155 /nfs/dbraw/zinc/73/61/55/729736155.db2.gz WHLIHLYIJILBDL-ZYHUDNBSSA-N -1 1 346.347 -0.986 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](CNC(=O)c3ccncn3)C2)nc1=O ZINC001023655473 729748502 /nfs/dbraw/zinc/74/85/02/729748502.db2.gz JDQSLQXQJHCXRC-LLVKDONJSA-N -1 1 331.380 -0.460 20 0 EBADMM Cc1nonc1CNC[C@@H]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001024054809 729835616 /nfs/dbraw/zinc/83/56/16/729835616.db2.gz VSYHXQIQPSRFPW-VIFPVBQESA-N -1 1 349.351 -0.384 20 0 EBADMM Cn1ncnc1CNC[C@@H]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001024053618 729835741 /nfs/dbraw/zinc/83/57/41/729835741.db2.gz GPORRSUDNZKEBN-VIFPVBQESA-N -1 1 348.367 -0.947 20 0 EBADMM Cc1ncc(CC(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)o1 ZINC001024147943 729851543 /nfs/dbraw/zinc/85/15/43/729851543.db2.gz ZTYJDWMFPRJKMD-DGCLKSJQSA-N -1 1 346.343 -0.372 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H]2CNC(=O)c2cnccn2)nc1=O ZINC001024310236 729872160 /nfs/dbraw/zinc/87/21/60/729872160.db2.gz JOYZZOOMSUCTDN-LLVKDONJSA-N -1 1 331.380 -0.317 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H]2CNC(=O)c2ccc(=O)[nH]n2)nc1=O ZINC001024311067 729872581 /nfs/dbraw/zinc/87/25/81/729872581.db2.gz UWOJJPTTYXZDDC-JTQLQIEISA-N -1 1 347.379 -0.612 20 0 EBADMM Cc1ncncc1C(=O)NC[C@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001024340156 729874832 /nfs/dbraw/zinc/87/48/32/729874832.db2.gz VHXOCHWMEHIEIC-GFCCVEGCSA-N -1 1 345.407 -0.009 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)n(C)n1 ZINC001024349980 729875541 /nfs/dbraw/zinc/87/55/41/729875541.db2.gz HVUILZAWIIQRQE-LBPRGKRZSA-N -1 1 347.423 -0.065 20 0 EBADMM CN1CC(C(=O)NC[C@H]2CCCCN2Cc2n[nH]c(=O)[n-]2)=NC1=O ZINC001024633098 729914163 /nfs/dbraw/zinc/91/41/63/729914163.db2.gz FNSYUBIITHCFEN-SECBINFHSA-N -1 1 335.368 -0.266 20 0 EBADMM Cn1ncc(C(=O)NC[C@@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001024706301 729920245 /nfs/dbraw/zinc/92/02/45/729920245.db2.gz WPSPZVICGYAMJI-JTQLQIEISA-N -1 1 334.384 -0.979 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H]2CNC(=O)c2cccnn2)nc1=O ZINC001024728498 729922853 /nfs/dbraw/zinc/92/28/53/729922853.db2.gz WSOJPLPVRZSJHM-NSHDSACASA-N -1 1 331.380 -0.317 20 0 EBADMM Cn1cccc(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)c1=O ZINC001025141642 730005173 /nfs/dbraw/zinc/00/51/73/730005173.db2.gz YMOVCULXJKZFCC-LLVKDONJSA-N -1 1 346.391 -0.007 20 0 EBADMM Cc1cnoc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001025448041 730042229 /nfs/dbraw/zinc/04/22/29/730042229.db2.gz QPVNAHMNSTXCSQ-MWLCHTKSSA-N -1 1 332.316 -0.301 20 0 EBADMM CC[C@@H]1OCCC[C@@H]1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001025810461 730094387 /nfs/dbraw/zinc/09/43/87/730094387.db2.gz HNPBWMSWTACSKI-DKBOKBLXSA-N -1 1 349.435 -0.140 20 0 EBADMM CC[C@H]1OCCC[C@@H]1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001025810462 730094551 /nfs/dbraw/zinc/09/45/51/730094551.db2.gz HNPBWMSWTACSKI-RLPMIEJPSA-N -1 1 349.435 -0.140 20 0 EBADMM CCC(=O)N[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C12CCC2 ZINC001025826690 730098111 /nfs/dbraw/zinc/09/81/11/730098111.db2.gz ATGRQSVTUHPWAX-WDEREUQCSA-N -1 1 344.375 -0.015 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)[C@@H]1CCCO1 ZINC001026027356 730116377 /nfs/dbraw/zinc/11/63/77/730116377.db2.gz ZUXBVFJDOPIEMS-JLDUMIBSSA-N -1 1 335.408 -0.530 20 0 EBADMM Cc1noc(CNC[C@H]2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)n1 ZINC001027190874 730195843 /nfs/dbraw/zinc/19/58/43/730195843.db2.gz BGESDHSPBTZNJM-SECBINFHSA-N -1 1 337.340 -0.981 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)[C@H]1CCCN(C(=O)CCc2c[nH]nn2)C1 ZINC001027583515 730227483 /nfs/dbraw/zinc/22/74/83/730227483.db2.gz YUOUHVICEFCHRX-NSHDSACASA-N -1 1 334.384 -0.316 20 0 EBADMM CCn1nncc1C(=O)NC[C@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001027872506 730244949 /nfs/dbraw/zinc/24/49/49/730244949.db2.gz VCOWSLLIYZKELB-SNVBAGLBSA-N -1 1 334.384 -0.886 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H]2CNC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)nc1=O ZINC001028039799 730260310 /nfs/dbraw/zinc/26/03/10/730260310.db2.gz RBIOZUBNMIYLGQ-JWOJSXKRSA-N -1 1 347.419 -0.388 20 0 EBADMM CCn1ccc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001028179873 730272561 /nfs/dbraw/zinc/27/25/61/730272561.db2.gz QCNNQEMXRFCUJV-LLVKDONJSA-N -1 1 333.396 -0.281 20 0 EBADMM CCc1ncncc1C(=O)NC[C@@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001028321527 730283419 /nfs/dbraw/zinc/28/34/19/730283419.db2.gz JYGBGRHADLKELL-NSHDSACASA-N -1 1 345.407 -0.145 20 0 EBADMM CCc1cc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)nn1C ZINC001028350106 730287769 /nfs/dbraw/zinc/28/77/69/730287769.db2.gz CZIKJPQOPGMRHW-GFCCVEGCSA-N -1 1 347.423 -0.201 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)c3cccc(=O)[nH]3)C2)nc1=O ZINC001028464026 730296682 /nfs/dbraw/zinc/29/66/82/730296682.db2.gz JJIICHQNYUMGAM-JTQLQIEISA-N -1 1 332.364 -0.539 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)c3cc4n(n3)CCC4)C2)nc1=O ZINC001028585595 730317248 /nfs/dbraw/zinc/31/72/48/730317248.db2.gz SUVPVLKVVADMHW-NSHDSACASA-N -1 1 345.407 -0.497 20 0 EBADMM Cc1nc([C@H](C)N2CC[C@@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001028660616 730333664 /nfs/dbraw/zinc/33/36/64/730333664.db2.gz AAXGJZBGLJCXIM-CBAPKCEASA-N -1 1 348.367 -0.478 20 0 EBADMM Cc1ncc(CN2CC[C@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC001028661783 730334525 /nfs/dbraw/zinc/33/45/25/730334525.db2.gz PDPJHKDLQRWDRE-SECBINFHSA-N -1 1 334.336 -0.169 20 0 EBADMM COc1nn(C)cc1C(=O)NC[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001028737312 730347459 /nfs/dbraw/zinc/34/74/59/730347459.db2.gz TYFMELVJAXODBI-SNVBAGLBSA-N -1 1 349.395 -0.898 20 0 EBADMM O=C(NC[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCCc2n[nH]nc21 ZINC001028766085 730353704 /nfs/dbraw/zinc/35/37/04/730353704.db2.gz MIAGGGCHPVOBSL-NXEZZACHSA-N -1 1 346.395 -0.313 20 0 EBADMM CCn1nc(C)c(C(=O)NC[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001029021227 730385259 /nfs/dbraw/zinc/38/52/59/730385259.db2.gz MNJZBUJVWMGSHQ-NSHDSACASA-N -1 1 348.411 -0.720 20 0 EBADMM Cc1cc(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)no1 ZINC001029203840 730393034 /nfs/dbraw/zinc/39/30/34/730393034.db2.gz MVXHGPHFEZHBPB-PHIMTYICSA-N -1 1 332.364 -0.106 20 0 EBADMM Cn1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)c1 ZINC001029272064 730395911 /nfs/dbraw/zinc/39/59/11/730395911.db2.gz CUTSXOSSNSAVSQ-BETUJISGSA-N -1 1 330.392 -0.064 20 0 EBADMM C[C@@H]1CC[C@H](C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)O1 ZINC001029483692 730407567 /nfs/dbraw/zinc/40/75/67/730407567.db2.gz WXMRVFFWPGMDEA-FVCCEPFGSA-N -1 1 335.408 -0.149 20 0 EBADMM CCn1cc(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)nn1 ZINC001029530861 730409386 /nfs/dbraw/zinc/40/93/86/730409386.db2.gz LGZYHXWOTKKEBX-PHIMTYICSA-N -1 1 346.395 -0.791 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)c2cc(=O)n(C)cn2)C1 ZINC001029757924 730495297 /nfs/dbraw/zinc/49/52/97/730495297.db2.gz LLPODGUJLLEXNG-SNVBAGLBSA-N -1 1 347.379 -0.802 20 0 EBADMM Cc1ccn(CC(=O)N2CC[C@@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001029844318 730512119 /nfs/dbraw/zinc/51/21/19/730512119.db2.gz DSOLDAZWSTUXMG-LBPRGKRZSA-N -1 1 333.396 -0.004 20 0 EBADMM CN(Cc1ccn(C)n1)C[C@H]1CCN(C(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC001029894403 730519758 /nfs/dbraw/zinc/51/97/58/730519758.db2.gz HZFDMLAJFKCXBJ-LLVKDONJSA-N -1 1 349.395 -0.597 20 0 EBADMM O=C(CN1CC(NC(=O)c2ncccc2[O-])C1)Nc1nncs1 ZINC001030241536 730557970 /nfs/dbraw/zinc/55/79/70/730557970.db2.gz GVZUSNPUHVICCW-UHFFFAOYSA-N -1 1 334.361 -0.309 20 0 EBADMM O=C(NC1CN(CCN2CCCS2(=O)=O)C1)c1ncccc1[O-] ZINC001030241585 730558019 /nfs/dbraw/zinc/55/80/19/730558019.db2.gz IHTMLWLDBIMFCJ-UHFFFAOYSA-N -1 1 340.405 -0.763 20 0 EBADMM Cc1cc(NC(=O)CN2CC(NC(=O)c3ncccc3[O-])C2)n(C)n1 ZINC001030243575 730558311 /nfs/dbraw/zinc/55/83/11/730558311.db2.gz IIFAHVIVDZPUHO-UHFFFAOYSA-N -1 1 344.375 -0.118 20 0 EBADMM CC[C@@H](C)n1nccc1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030383836 730576635 /nfs/dbraw/zinc/57/66/35/730576635.db2.gz NROKHYRKOFCUIO-SNVBAGLBSA-N -1 1 333.396 -0.110 20 0 EBADMM CC(=O)Nc1cccnc1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030412490 730582057 /nfs/dbraw/zinc/58/20/57/730582057.db2.gz KOTBMHKSMRUAGX-UHFFFAOYSA-N -1 1 345.363 -0.924 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3[nH]cnc3C(F)(F)F)C2)nc1=O ZINC001030445891 730587142 /nfs/dbraw/zinc/58/71/42/730587142.db2.gz ODYLLOVWYOMDRA-UHFFFAOYSA-N -1 1 345.285 -0.536 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3n[nH]c4c3CCCC4)C2)nc1=O ZINC001030599059 730610558 /nfs/dbraw/zinc/61/05/58/730610558.db2.gz QVCMRXQPVLXTPM-UHFFFAOYSA-N -1 1 331.380 -0.676 20 0 EBADMM COc1ccc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c(F)c1 ZINC001030622739 730615561 /nfs/dbraw/zinc/61/55/61/730615561.db2.gz IFJCZUHDAJTOCR-UHFFFAOYSA-N -1 1 335.339 -0.130 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)C[C@H]3CC[C@H](C4CC4)O3)C2)nc1=O ZINC001030713994 730627377 /nfs/dbraw/zinc/62/73/77/730627377.db2.gz SGGSETYSBRULRO-CHWSQXEVSA-N -1 1 335.408 -0.244 20 0 EBADMM Cc1cc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c2nccn2c1 ZINC001030736332 730630240 /nfs/dbraw/zinc/63/02/40/730630240.db2.gz NKFDXPHTHPZFGD-UHFFFAOYSA-N -1 1 341.375 -0.321 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)C3(C4CC4)CCOCC3)C2)nc1=O ZINC001030738327 730630817 /nfs/dbraw/zinc/63/08/17/730630817.db2.gz AZBUNHAFSHDPQB-UHFFFAOYSA-N -1 1 335.408 -0.384 20 0 EBADMM Cc1nn(C)c(Cl)c1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030762935 730634387 /nfs/dbraw/zinc/63/43/87/730634387.db2.gz FSYANGQXPSCUQO-UHFFFAOYSA-N -1 1 339.787 -0.582 20 0 EBADMM CC(C)Oc1cncc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001030788385 730639115 /nfs/dbraw/zinc/63/91/15/730639115.db2.gz IFPBHWSCKBCBMK-UHFFFAOYSA-N -1 1 346.391 -0.095 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3c[nH]nc3[C@H]3CCCO3)C2)nc1=O ZINC001030830800 730645299 /nfs/dbraw/zinc/64/52/99/730645299.db2.gz XPTHTXUDIGJYAB-LLVKDONJSA-N -1 1 347.379 -0.703 20 0 EBADMM Cn1cc2c(n1)CCC[C@@H]2C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030850279 730648426 /nfs/dbraw/zinc/64/84/26/730648426.db2.gz SGKDREANOSXXJZ-NSHDSACASA-N -1 1 345.407 -0.738 20 0 EBADMM Cn1cc2c(n1)CCC[C@H]2C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030850278 730648466 /nfs/dbraw/zinc/64/84/66/730648466.db2.gz SGKDREANOSXXJZ-LLVKDONJSA-N -1 1 345.407 -0.738 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ccc4n[nH]cc4c3)C2)nc1=O ZINC001030860778 730649552 /nfs/dbraw/zinc/64/95/52/730649552.db2.gz AOYZMLIIEOUOAG-UHFFFAOYSA-N -1 1 327.348 -0.401 20 0 EBADMM CC(C)c1nccn1CC(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031034616 730666620 /nfs/dbraw/zinc/66/66/20/730666620.db2.gz YAWUHUSPLZLAJX-UHFFFAOYSA-N -1 1 333.396 -0.571 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)Cc3csc(C4CC4)n3)C2)nc1=O ZINC001031055877 730669165 /nfs/dbraw/zinc/66/91/65/730669165.db2.gz ITSXZSGEIDNWIJ-UHFFFAOYSA-N -1 1 348.432 -0.015 20 0 EBADMM O=C(NC1CN(C[C@@H]2C[C@@]23CCOC3)C1)c1c[n-]n2c1nccc2=O ZINC001031069291 730670844 /nfs/dbraw/zinc/67/08/44/730670844.db2.gz XDOIRNDPBGEQER-APPDUMDISA-N -1 1 343.387 -0.137 20 0 EBADMM CC(C)Cn1cc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001031152399 730681506 /nfs/dbraw/zinc/68/15/06/730681506.db2.gz IIAPVCOZLYINIJ-UHFFFAOYSA-N -1 1 333.396 -0.425 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(Cc2nc(C)no2)C1 ZINC001031249752 730693495 /nfs/dbraw/zinc/69/34/95/730693495.db2.gz GTCVJLOROQILRS-UHFFFAOYSA-N -1 1 336.308 -0.798 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(Cc2cn(C)nc2C)C1 ZINC001031249920 730693703 /nfs/dbraw/zinc/69/37/03/730693703.db2.gz LSBBIPDXAPQWFT-UHFFFAOYSA-N -1 1 348.363 -0.447 20 0 EBADMM Cc1noc(C)c1CN1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001031250145 730693835 /nfs/dbraw/zinc/69/38/35/730693835.db2.gz VPSOYUTUZPDOAM-UHFFFAOYSA-N -1 1 343.347 -0.363 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN([C@@H](C)c2nnc(C)[nH]2)C1 ZINC001031250195 730693859 /nfs/dbraw/zinc/69/38/59/730693859.db2.gz XNLUNUVURGWHKB-LURJTMIESA-N -1 1 349.351 -0.502 20 0 EBADMM C[C@H](c1csnn1)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001031251664 730695033 /nfs/dbraw/zinc/69/50/33/730695033.db2.gz UOBCWKPVZMEQPM-SSDOTTSWSA-N -1 1 346.376 -0.556 20 0 EBADMM Cc1nccnc1CN1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001031252474 730695523 /nfs/dbraw/zinc/69/55/23/730695523.db2.gz HACJMHKEAQSDDL-UHFFFAOYSA-N -1 1 340.347 -0.870 20 0 EBADMM CN1CCc2ccc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)cc21 ZINC001031332553 730704341 /nfs/dbraw/zinc/70/43/41/730704341.db2.gz HGNBSDHNCQVSKP-UHFFFAOYSA-N -1 1 342.403 -0.285 20 0 EBADMM C[C@@H]1c2ccccc2O[C@@H]1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031485157 730728676 /nfs/dbraw/zinc/72/86/76/730728676.db2.gz DRJAAXUWMARHON-BMIGLBTASA-N -1 1 343.387 -0.027 20 0 EBADMM CC[C@H]1OCCC[C@H]1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031722307 730757357 /nfs/dbraw/zinc/75/73/57/730757357.db2.gz SJUNCZLZAKOBAO-CHWSQXEVSA-N -1 1 337.424 -0.138 20 0 EBADMM CCc1cccnc1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031798216 730770311 /nfs/dbraw/zinc/77/03/11/730770311.db2.gz ZDIHJBOKUQVNBP-UHFFFAOYSA-N -1 1 330.392 -0.072 20 0 EBADMM Cc1nc([C@H](C)N2CC(CNC(=O)c3cnc([O-])n(C)c3=O)C2)n[nH]1 ZINC001031895126 730786767 /nfs/dbraw/zinc/78/67/67/730786767.db2.gz NWAJRQBGBDCKKH-QMMMGPOBSA-N -1 1 347.379 -0.665 20 0 EBADMM CC(C)c1cc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001032095966 730811833 /nfs/dbraw/zinc/81/18/33/730811833.db2.gz JYEODZWFROXFQL-UHFFFAOYSA-N -1 1 347.423 -0.173 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@@H]3CC34CCOCC4)C2)nc1=O ZINC001032232055 730833211 /nfs/dbraw/zinc/83/32/11/730833211.db2.gz RNLMJQWLYFXPBY-LBPRGKRZSA-N -1 1 335.408 -0.527 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)/C=C/c2ccc[nH]2)nc1=O ZINC001032374056 730847295 /nfs/dbraw/zinc/84/72/95/730847295.db2.gz JXFBIBSKHXMKJO-WWKJKZQJSA-N -1 1 328.376 -0.065 20 0 EBADMM Cc1c(CC(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)cnn1C ZINC001032438477 730860420 /nfs/dbraw/zinc/86/04/20/730860420.db2.gz AUIWUSRJACPMKR-STQMWFEESA-N -1 1 345.407 -0.822 20 0 EBADMM CCc1n[nH]cc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032492772 730873931 /nfs/dbraw/zinc/87/39/31/730873931.db2.gz XEVKMEQOJTUVLG-UWVGGRQHSA-N -1 1 331.380 -0.507 20 0 EBADMM COc1ccnc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)c1 ZINC001032555725 730887708 /nfs/dbraw/zinc/88/77/08/730887708.db2.gz BQFWGYBZTYYCOJ-QWRGUYRKSA-N -1 1 344.375 -0.389 20 0 EBADMM COCc1cc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)no1 ZINC001032668768 730907428 /nfs/dbraw/zinc/90/74/28/730907428.db2.gz NKUNXMZGNGVZGF-UWVGGRQHSA-N -1 1 348.363 -0.658 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)CCc2cscn2)nc1=O ZINC001032813572 730936235 /nfs/dbraw/zinc/93/62/35/730936235.db2.gz ZUVADGPIIHPOBM-RYUDHWBXSA-N -1 1 348.432 -0.017 20 0 EBADMM CN(C(=O)C[C@@H]1COC(=O)C1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033012963 730956981 /nfs/dbraw/zinc/95/69/81/730956981.db2.gz ZUKJLQUJNBZXRI-QWRGUYRKSA-N -1 1 337.380 -0.906 20 0 EBADMM CN(C(=O)c1ccc(F)cn1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033028294 730959711 /nfs/dbraw/zinc/95/97/11/730959711.db2.gz XALFXHHWYBUZQG-LLVKDONJSA-N -1 1 334.355 -0.011 20 0 EBADMM Cc1cc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1 ZINC001033045964 730962615 /nfs/dbraw/zinc/96/26/15/730962615.db2.gz HGAWXMPBPZKUQT-LBPRGKRZSA-N -1 1 331.380 -0.447 20 0 EBADMM Cc1ncc(CC(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)o1 ZINC001033140499 730976044 /nfs/dbraw/zinc/97/60/44/730976044.db2.gz XVWPQKSANKDCCC-NSHDSACASA-N -1 1 334.380 -0.320 20 0 EBADMM CCn1nnc(C)c1C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033142297 730976128 /nfs/dbraw/zinc/97/61/28/730976128.db2.gz RCPKKXFVYNWYRN-NSHDSACASA-N -1 1 348.411 -0.625 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033169659 730981584 /nfs/dbraw/zinc/98/15/84/730981584.db2.gz PKYMNGYSQHOYJO-VIFPVBQESA-N -1 1 335.368 -0.808 20 0 EBADMM CN(C(=O)Cc1cncs1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033168328 730981930 /nfs/dbraw/zinc/98/19/30/730981930.db2.gz PXRZORWELSENFC-JTQLQIEISA-N -1 1 336.421 -0.160 20 0 EBADMM Cc1nc([C@@H](C)N2CC[C@@H](N(C)C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001033238447 730993758 /nfs/dbraw/zinc/99/37/58/730993758.db2.gz PBBGFITXUYOXSC-VXNVDRBHSA-N -1 1 348.367 -0.383 20 0 EBADMM COc1ccc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001033253919 730996578 /nfs/dbraw/zinc/99/65/78/730996578.db2.gz PFGVGQXERYMQFC-LBPRGKRZSA-N -1 1 346.391 -0.142 20 0 EBADMM CCN(C(=O)[C@@H]1CCCOC1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033773173 731072200 /nfs/dbraw/zinc/07/22/00/731072200.db2.gz OLEGXLXWDUCSAA-OLZOCXBDSA-N -1 1 337.424 -0.042 20 0 EBADMM CCN(C(=O)c1c[nH]c(C)n1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033775982 731072855 /nfs/dbraw/zinc/07/28/55/731072855.db2.gz MSWHOHRYECEDQA-LLVKDONJSA-N -1 1 333.396 -0.123 20 0 EBADMM CCN(C(=O)C1=CCOCC1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033813434 731076309 /nfs/dbraw/zinc/07/63/09/731076309.db2.gz LMMQYPCBIXKFDE-CYBMUJFWSA-N -1 1 335.408 -0.122 20 0 EBADMM CCN(C(=O)c1n[nH]nc1C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033916236 731086696 /nfs/dbraw/zinc/08/66/96/731086696.db2.gz MOWHQTJALVLRNK-JTQLQIEISA-N -1 1 334.384 -0.728 20 0 EBADMM CCN(C(=O)c1nonc1C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034054499 731101447 /nfs/dbraw/zinc/10/14/47/731101447.db2.gz HYXKODZEXZWBQQ-SNVBAGLBSA-N -1 1 335.368 -0.464 20 0 EBADMM Cn1cc(C(=O)N[C@@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001034095298 731102910 /nfs/dbraw/zinc/10/29/10/731102910.db2.gz SQWKSLHDQVSNIY-GFCCVEGCSA-N -1 1 333.396 -0.374 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H](NC(=O)c3cc[nH]c(=O)c3)C2)nc1=O ZINC001034151148 731106088 /nfs/dbraw/zinc/10/60/88/731106088.db2.gz ABZQVHHFDDONPB-GFCCVEGCSA-N -1 1 346.391 -0.007 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)ncn1 ZINC001034168899 731107000 /nfs/dbraw/zinc/10/70/00/731107000.db2.gz YBYONBQWJYCVIX-GFCCVEGCSA-N -1 1 345.407 -0.009 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1 ZINC001034183997 731108687 /nfs/dbraw/zinc/10/86/87/731108687.db2.gz CKDPIDFMQNMZIS-ZDUSSCGKSA-N -1 1 345.407 -0.009 20 0 EBADMM Cc1n[nH]cc1C(=O)N[C@@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034335460 731121872 /nfs/dbraw/zinc/12/18/72/731121872.db2.gz QJUKJWZOZVIIRR-LLVKDONJSA-N -1 1 333.396 -0.076 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H](NC(=O)c3ccc(=O)[nH]c3)C2)nc1=O ZINC001034517675 731137512 /nfs/dbraw/zinc/13/75/12/731137512.db2.gz CIYBFZDBPRWRPV-LBPRGKRZSA-N -1 1 346.391 -0.007 20 0 EBADMM COCCn1ccc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)n1 ZINC001034991801 731174131 /nfs/dbraw/zinc/17/41/31/731174131.db2.gz PFQRDBPKWWFLJU-LLVKDONJSA-N -1 1 349.395 -0.252 20 0 EBADMM O=C([C@H]1CCNC(=O)CC1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035000324 731175050 /nfs/dbraw/zinc/17/50/50/731175050.db2.gz LHJDSNDCAZHPAY-GHMZBOCLSA-N -1 1 336.396 -0.493 20 0 EBADMM O=C([C@H]1CCCCC(=O)N1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035045325 731180005 /nfs/dbraw/zinc/18/00/05/731180005.db2.gz IJKURZMSXMLTGV-GHMZBOCLSA-N -1 1 336.396 -0.350 20 0 EBADMM O=C(c1cn(CC2CC2)nn1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035087653 731184454 /nfs/dbraw/zinc/18/44/54/731184454.db2.gz SADPPIYEBGTZGU-LLVKDONJSA-N -1 1 346.395 -0.094 20 0 EBADMM O=C(Cn1ncnn1)N1CCC2(CCN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035185544 731195009 /nfs/dbraw/zinc/19/50/09/731195009.db2.gz BWMAEEBGSAYUPL-UHFFFAOYSA-N -1 1 347.383 -0.989 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)c3ccccc3F)C2)nc1=O ZINC001035262358 731201876 /nfs/dbraw/zinc/20/18/76/731201876.db2.gz BJRZZSLDYRLCSE-LLVKDONJSA-N -1 1 349.366 -0.122 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)[C@@H]3CC=CCC3)C2)nc1=O ZINC001035295579 731207265 /nfs/dbraw/zinc/20/72/65/731207265.db2.gz ZYCRRPHNKMNQIS-CHWSQXEVSA-N -1 1 335.408 -0.218 20 0 EBADMM O=C(CN1CCO[C@H](CNC(=O)c2ncccc2[O-])C1)NC1CC1 ZINC001035344313 731222077 /nfs/dbraw/zinc/22/20/77/731222077.db2.gz PPTBLSQGVVEHTJ-GFCCVEGCSA-N -1 1 334.376 -0.504 20 0 EBADMM Cn1nncc1CN1CCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001035344429 731222499 /nfs/dbraw/zinc/22/24/99/731222499.db2.gz RCZHUWVOQRGLQS-LBPRGKRZSA-N -1 1 332.364 -0.454 20 0 EBADMM CN1CC[C@@H](N2CCO[C@@H](CNC(=O)c3ncccc3[O-])C2)C1=O ZINC001035345066 731222513 /nfs/dbraw/zinc/22/25/13/731222513.db2.gz VLPAXJMERXOJOY-NWDGAFQWSA-N -1 1 334.376 -0.552 20 0 EBADMM C[C@H]1CCCN(C(=O)Cn2nccn2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036553541 731262249 /nfs/dbraw/zinc/26/22/49/731262249.db2.gz RDSUXOJVCAAGKM-QWRGUYRKSA-N -1 1 334.384 -0.481 20 0 EBADMM CC[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC[C@@H]1NCc1cnon1 ZINC001037888509 731271140 /nfs/dbraw/zinc/27/11/40/731271140.db2.gz LZUYJFDONXNBRZ-SCZZXKLOSA-N -1 1 349.351 -0.304 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@@H]2C[C@H]2c2ccncc2)nc1=O ZINC001038272292 731301012 /nfs/dbraw/zinc/30/10/12/731301012.db2.gz HFVSUMNNWBXNJL-MELADBBJSA-N -1 1 342.403 -0.002 20 0 EBADMM CCCn1nccc1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038295280 731303746 /nfs/dbraw/zinc/30/37/46/731303746.db2.gz FJFLKMUTYFYFQG-NSHDSACASA-N -1 1 333.396 -0.281 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2ccc3nc[nH]c3n2)nc1=O ZINC001038386297 731316928 /nfs/dbraw/zinc/31/69/28/731316928.db2.gz MVBQCDALIZVSLH-SECBINFHSA-N -1 1 342.363 -0.616 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@H]2CCc3nccn3C2)nc1=O ZINC001038427027 731323665 /nfs/dbraw/zinc/32/36/65/731323665.db2.gz NCFWFQNULCCGQM-RYUDHWBXSA-N -1 1 345.407 -0.742 20 0 EBADMM CCc1c(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)cnn1C ZINC001038453389 731328107 /nfs/dbraw/zinc/32/81/07/731328107.db2.gz BFOFNLLHFKIJHR-JTQLQIEISA-N -1 1 333.396 -0.591 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cncc3[nH]ccc32)nc1=O ZINC001038465396 731331077 /nfs/dbraw/zinc/33/10/77/731331077.db2.gz LSWRCXCXNWQYJU-SNVBAGLBSA-N -1 1 341.375 -0.011 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cnc3nccn3c2)nc1=O ZINC001038513884 731337801 /nfs/dbraw/zinc/33/78/01/731337801.db2.gz MKXZWTVMYQCMOD-NSHDSACASA-N -1 1 342.363 -0.845 20 0 EBADMM Cn1nccc1CCN1CC[C@H]1CNC(=O)c1cnc([O-])n(C)c1=O ZINC001038582266 731346548 /nfs/dbraw/zinc/34/65/48/731346548.db2.gz DVOMDASYZLWYEM-LBPRGKRZSA-N -1 1 346.391 -0.734 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cnc3n2CCCC3)nc1=O ZINC001038625020 731355604 /nfs/dbraw/zinc/35/56/04/731355604.db2.gz UWJSIWXFYDKWIS-LLVKDONJSA-N -1 1 345.407 -0.355 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@@H]2CCc3cccnc32)nc1=O ZINC001038639686 731358633 /nfs/dbraw/zinc/35/86/33/731358633.db2.gz UBSKUFFCMMZIQP-QWHCGFSZSA-N -1 1 342.403 -0.076 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2ccc3n[nH]cc3c2)nc1=O ZINC001038638802 731358655 /nfs/dbraw/zinc/35/86/55/731358655.db2.gz KSTXPWYUZFTPQT-LBPRGKRZSA-N -1 1 341.375 -0.011 20 0 EBADMM COc1ncccc1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038669095 731363007 /nfs/dbraw/zinc/36/30/07/731363007.db2.gz MZAWWZKWHJNDLH-JTQLQIEISA-N -1 1 332.364 -0.484 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cc(C(F)F)n[nH]2)nc1=O ZINC001038692034 731365119 /nfs/dbraw/zinc/36/51/19/731365119.db2.gz RSERHHQWLHKHCY-SSDOTTSWSA-N -1 1 341.322 -0.227 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@H]2Cc3ccncc3C2)nc1=O ZINC001038704695 731367191 /nfs/dbraw/zinc/36/71/91/731367191.db2.gz NLFXLSLBSDFBDQ-JSGCOSHPSA-N -1 1 342.403 -0.391 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cc(C3CC3)no2)nc1=O ZINC001038752284 731371197 /nfs/dbraw/zinc/37/11/97/731371197.db2.gz OKVXCRQWKIZUMY-JTQLQIEISA-N -1 1 332.364 -0.022 20 0 EBADMM CC(C)c1cc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)n(C)n1 ZINC001038879234 731387811 /nfs/dbraw/zinc/38/78/11/731387811.db2.gz SSUWDQWRFABLMR-LLVKDONJSA-N -1 1 347.423 -0.030 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCN1Cc1cnn(C)c1 ZINC001038916791 731391525 /nfs/dbraw/zinc/39/15/25/731391525.db2.gz IRTCOQKGONSBGI-SNVBAGLBSA-N -1 1 348.363 -0.366 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@H]2Cc3cccnc3C2)nc1=O ZINC001038968740 731397232 /nfs/dbraw/zinc/39/72/32/731397232.db2.gz MAKFBFSBZWGHIL-STQMWFEESA-N -1 1 342.403 -0.391 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@@H]2CCc3c[nH]nc3C2)nc1=O ZINC001039045415 731411072 /nfs/dbraw/zinc/41/10/72/731411072.db2.gz GPLRHMWNJHEDHX-ZYHUDNBSSA-N -1 1 345.407 -0.673 20 0 EBADMM NC(=O)CC(=O)N1CCCC[C@H]1[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001039195109 731422418 /nfs/dbraw/zinc/42/24/18/731422418.db2.gz BRRYEMBQMIDFIE-MNOVXSKESA-N -1 1 336.396 -0.411 20 0 EBADMM CO[C@H](C(=O)NC[C@@]1(O)CCN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC001039597035 731458523 /nfs/dbraw/zinc/45/85/23/731458523.db2.gz OPTTWUBSSJZBIW-YOEHRIQHSA-N -1 1 349.387 -0.095 20 0 EBADMM Cn1[n-]c(CN2CCC[C@]3(CCN(C(=O)[C@@H]4CCOC4)C3)C2)nc1=O ZINC001040097984 731596017 /nfs/dbraw/zinc/59/60/17/731596017.db2.gz YRBMBHXMQCZOHN-DYVFJYSZSA-N -1 1 349.435 -0.041 20 0 EBADMM O=C(Cc1ccon1)NC[C@H]1COCCN1C(=O)c1ncccc1[O-] ZINC001061451619 738548453 /nfs/dbraw/zinc/54/84/53/738548453.db2.gz JNANFXVTDKBBLI-LBPRGKRZSA-N -1 1 346.343 -0.025 20 0 EBADMM C[C@]1(C(=O)NC[C@@]2(O)CCN(C(=O)c3ncccc3[O-])C2)CCOC1 ZINC001040697112 731836084 /nfs/dbraw/zinc/83/60/84/731836084.db2.gz PGCRGUBHWNIWQD-IRXDYDNUSA-N -1 1 349.387 -0.093 20 0 EBADMM O=C(NC[C@@]1(O)CCN(C(=O)c2ncccc2[O-])C1)c1n[nH]cc1F ZINC001040834717 731893915 /nfs/dbraw/zinc/89/39/15/731893915.db2.gz LPLRUCVFELAWPN-HNNXBMFYSA-N -1 1 349.322 -0.344 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(CCN(C(=O)c4cnccn4)C3)C2)nc1=O ZINC001041031690 731967147 /nfs/dbraw/zinc/96/71/47/731967147.db2.gz WWUYFUVUUNPFDQ-INIZCTEOSA-N -1 1 343.391 -0.363 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1)C1CC1 ZINC001041098767 731989419 /nfs/dbraw/zinc/98/94/19/731989419.db2.gz DVIKZAZHZPKPMK-UHFFFAOYSA-N -1 1 332.360 -0.227 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)N1CC[C@@]2(CCN(CCF)C2)C1 ZINC001041745042 732212052 /nfs/dbraw/zinc/21/20/52/732212052.db2.gz PJEOZWRFEYXQRD-MRXNPFEDSA-N -1 1 348.382 -0.035 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(CCN(C(=O)c4cnon4)C3)C2)nc1=O ZINC001041748159 732213069 /nfs/dbraw/zinc/21/30/69/732213069.db2.gz JAXAUTVFWUEMOX-AWEZNQCLSA-N -1 1 333.352 -0.770 20 0 EBADMM Cc1cc(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)nn1C ZINC001041750109 732213556 /nfs/dbraw/zinc/21/35/56/732213556.db2.gz FPKZRMHUGNIGRL-UHFFFAOYSA-N -1 1 345.359 -0.554 20 0 EBADMM C[C@@H]1CN(c2ccc3nnnn3n2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001041896500 732250052 /nfs/dbraw/zinc/25/00/52/732250052.db2.gz FJUPENPSIUNFBG-NXEZZACHSA-N -1 1 340.347 -0.125 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)c4ccon4)C[C@@H]32)nc1=O ZINC001041950038 732262024 /nfs/dbraw/zinc/26/20/24/732262024.db2.gz NVPXDISSONRPFQ-JQWIXIFHSA-N -1 1 332.364 -0.167 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)c1cscn1 ZINC001042017727 732277678 /nfs/dbraw/zinc/27/76/78/732277678.db2.gz MZQJNZCQEMHKRT-UHFFFAOYSA-N -1 1 334.357 -0.139 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)C4=CCOCC4)C[C@@H]32)nc1=O ZINC001042024916 732280488 /nfs/dbraw/zinc/28/04/88/732280488.db2.gz AJSJXGDJQGGUBT-OCCSQVGLSA-N -1 1 347.419 -0.122 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)c4csnn4)C[C@@H]32)nc1=O ZINC001042168191 732341103 /nfs/dbraw/zinc/34/11/03/732341103.db2.gz SKYCJPNDEQELSP-KOLCDFICSA-N -1 1 349.420 -0.304 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)c4ccnnc4)C[C@@H]32)nc1=O ZINC001042245630 732374480 /nfs/dbraw/zinc/37/44/80/732374480.db2.gz REOIYAHCYULXQF-YPMHNXCESA-N -1 1 343.391 -0.365 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)c4ncccn4)C[C@H]32)nc1=O ZINC001042279271 732388586 /nfs/dbraw/zinc/38/85/86/732388586.db2.gz ICBOXGZWUHVMJU-NWDGAFQWSA-N -1 1 343.391 -0.365 20 0 EBADMM Cc1nc[nH]c1C(=O)N1CC[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C1 ZINC001042383295 732422639 /nfs/dbraw/zinc/42/26/39/732422639.db2.gz PSELCKOTXNPGIK-NWDGAFQWSA-N -1 1 345.407 -0.123 20 0 EBADMM O=C(C[C@@H]1COC(=O)C1)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001042555654 732476503 /nfs/dbraw/zinc/47/65/03/732476503.db2.gz ZJHAWXDIFHRXND-JTQLQIEISA-N -1 1 349.343 -0.957 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCCCO1 ZINC001042569727 732482483 /nfs/dbraw/zinc/48/24/83/732482483.db2.gz ZPSSWSFWKFUUPS-LBPRGKRZSA-N -1 1 335.360 -0.341 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C[C@@H]2CCS(=O)(=O)C2)C1 ZINC001042646111 732512866 /nfs/dbraw/zinc/51/28/66/732512866.db2.gz KCNIQEHQALEANL-NSHDSACASA-N -1 1 339.417 -0.022 20 0 EBADMM CC(C)(C)C(=O)C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042712528 732546215 /nfs/dbraw/zinc/54/62/15/732546215.db2.gz BVZMNTQMCPREFD-UHFFFAOYSA-N -1 1 335.360 -0.295 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2cccnc2)C1)c1ncccc1[O-] ZINC001042712941 732546656 /nfs/dbraw/zinc/54/66/56/732546656.db2.gz LDRDCJBPRFNSCD-UHFFFAOYSA-N -1 1 328.328 -0.201 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ccc(F)nc2)C1)c1ncccc1[O-] ZINC001042713037 732546769 /nfs/dbraw/zinc/54/67/69/732546769.db2.gz LVCDKDBERSIMJI-UHFFFAOYSA-N -1 1 346.318 -0.062 20 0 EBADMM CS[C@H](C)C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042713390 732547247 /nfs/dbraw/zinc/54/72/47/732547247.db2.gz WKNWBRYUCYUPJI-SECBINFHSA-N -1 1 325.390 -0.158 20 0 EBADMM O=C(Cc1ncc[nH]1)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042716368 732548317 /nfs/dbraw/zinc/54/83/17/732548317.db2.gz LPUVMJDXRODFLU-UHFFFAOYSA-N -1 1 331.332 -0.944 20 0 EBADMM COCC1(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)CC1 ZINC001042719548 732549851 /nfs/dbraw/zinc/54/98/51/732549851.db2.gz FOLHZCWGNZLULH-UHFFFAOYSA-N -1 1 335.360 -0.483 20 0 EBADMM CCC[C@@H](OC)C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042719525 732549992 /nfs/dbraw/zinc/54/99/92/732549992.db2.gz DRIATKGNLXXNTC-GFCCVEGCSA-N -1 1 337.376 -0.095 20 0 EBADMM C[C@@H](C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1)[C@H]1CCCO1 ZINC001042719306 732550008 /nfs/dbraw/zinc/55/00/08/732550008.db2.gz ACECFFSXQJUVGS-DGCLKSJQSA-N -1 1 349.387 -0.095 20 0 EBADMM COCC[C@@H](C)C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042720093 732551137 /nfs/dbraw/zinc/55/11/37/732551137.db2.gz QCTAZNQIIZTRAY-LLVKDONJSA-N -1 1 337.376 -0.237 20 0 EBADMM Cc1nccc(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)n1 ZINC001042720118 732551305 /nfs/dbraw/zinc/55/13/05/732551305.db2.gz QXLXHKWJFURLEJ-UHFFFAOYSA-N -1 1 343.343 -0.497 20 0 EBADMM Cc1cn(C)nc1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001042984306 732698375 /nfs/dbraw/zinc/69/83/75/732698375.db2.gz WBBFMOMGZBRGMQ-UHFFFAOYSA-N -1 1 345.359 -0.554 20 0 EBADMM CCc1nnc(C)cc1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043131848 732779712 /nfs/dbraw/zinc/77/97/12/732779712.db2.gz ZZUQOSBKNVLSPI-UHFFFAOYSA-N -1 1 345.407 -0.274 20 0 EBADMM CC(C)c1n[nH]cc1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043195793 732817514 /nfs/dbraw/zinc/81/75/14/732817514.db2.gz BCODRJBJXSUQTD-UHFFFAOYSA-N -1 1 333.396 -0.089 20 0 EBADMM CN(C)c1ccc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001043195872 732817630 /nfs/dbraw/zinc/81/76/30/732817630.db2.gz FWICYVMURWHXSH-UHFFFAOYSA-N -1 1 345.407 -0.474 20 0 EBADMM CN(C(=O)c1cnn2c1OCCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043227282 732835928 /nfs/dbraw/zinc/83/59/28/732835928.db2.gz PYLUBXOWAJJNDE-UHFFFAOYSA-N -1 1 347.379 -0.956 20 0 EBADMM CN(C(=O)c1cc2n(n1)CCCO2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043299223 732862983 /nfs/dbraw/zinc/86/29/83/732862983.db2.gz XDNHCFHUGJNBOJ-UHFFFAOYSA-N -1 1 347.379 -0.956 20 0 EBADMM CN(C(=O)C[C@H]1CC(C)(C)CO1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043317831 732868018 /nfs/dbraw/zinc/86/80/18/732868018.db2.gz PLPYQCLPHUYPSK-LBPRGKRZSA-N -1 1 337.424 -0.044 20 0 EBADMM CC(C)n1cc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001044105912 733288233 /nfs/dbraw/zinc/28/82/33/733288233.db2.gz VHXGFQUNRNKUMD-UHFFFAOYSA-N -1 1 334.384 -0.763 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C1CN(Cc2nccn2C)C1 ZINC001044180200 733319593 /nfs/dbraw/zinc/31/95/93/733319593.db2.gz GRFTVSMBYWLRJZ-UHFFFAOYSA-N -1 1 348.363 -0.414 20 0 EBADMM CN(C(=O)[C@H]1CCc2[nH]nnc2C1)C1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001044181013 733320782 /nfs/dbraw/zinc/32/07/82/733320782.db2.gz OQXJGFXUGHBVLQ-QMMMGPOBSA-N -1 1 332.368 -0.924 20 0 EBADMM CN(C(=O)[C@H]1CCc2nn[nH]c2C1)C1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001044181013 733320787 /nfs/dbraw/zinc/32/07/87/733320787.db2.gz OQXJGFXUGHBVLQ-QMMMGPOBSA-N -1 1 332.368 -0.924 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(Cc2cncn2C)C1 ZINC001044182396 733322043 /nfs/dbraw/zinc/32/20/43/733322043.db2.gz UALXTQUBMRRFKE-UHFFFAOYSA-N -1 1 342.363 -0.893 20 0 EBADMM CO[C@@H]1CCCC[C@H]1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044356179 733397223 /nfs/dbraw/zinc/39/72/23/733397223.db2.gz OOHPRIDWGSRQNU-CHWSQXEVSA-N -1 1 337.424 -0.044 20 0 EBADMM CCOc1cnc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001044372639 733403531 /nfs/dbraw/zinc/40/35/31/733403531.db2.gz NNZIZBPHDNDGHQ-UHFFFAOYSA-N -1 1 347.379 -0.747 20 0 EBADMM Cc1nnc(CN[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)[C@@H](C)C2)[nH]1 ZINC001044664507 733474687 /nfs/dbraw/zinc/47/46/87/733474687.db2.gz TWOKGWXZMVCPCT-IONNQARKSA-N -1 1 348.367 -0.508 20 0 EBADMM C[C@H]1C[C@H](NCc2nncn2C)CCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001044663486 733474761 /nfs/dbraw/zinc/47/47/61/733474761.db2.gz LJCQGOQHQFFUGB-DTWKUNHWSA-N -1 1 348.367 -0.806 20 0 EBADMM C[C@H]1C[C@H](NCc2cn(C)nn2)CCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001044663298 733474893 /nfs/dbraw/zinc/47/48/93/733474893.db2.gz JSTGCSKKPMCZBX-DTWKUNHWSA-N -1 1 348.367 -0.806 20 0 EBADMM C[C@H]1C[C@H](NCc2cnon2)CCN1C(=O)c1cnc([O-])n(C)c1=O ZINC001044709539 733487618 /nfs/dbraw/zinc/48/76/18/733487618.db2.gz XQQVUXFZTYHDAM-VHSXEESVSA-N -1 1 348.363 -0.348 20 0 EBADMM Cc1c(CC(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)cnn1C ZINC001045063445 733569643 /nfs/dbraw/zinc/56/96/43/733569643.db2.gz ROHDROGLVDVYBC-LBPRGKRZSA-N -1 1 333.396 -0.124 20 0 EBADMM O=C([C@H]1CCCc2nn[nH]c21)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045174420 733610430 /nfs/dbraw/zinc/61/04/30/733610430.db2.gz KWQIURYFKXYSBG-UWVGGRQHSA-N -1 1 346.395 -0.171 20 0 EBADMM O=C([C@H]1CCCS1(=O)=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045317180 733667893 /nfs/dbraw/zinc/66/78/93/733667893.db2.gz NDRMPLLCIKMMJP-VHSXEESVSA-N -1 1 343.409 -0.832 20 0 EBADMM O=C([C@@H]1CCc2nncn2C1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045321656 733668221 /nfs/dbraw/zinc/66/82/21/733668221.db2.gz FNWBTKCVOUEFPY-MNOVXSKESA-N -1 1 346.395 -0.555 20 0 EBADMM Cn1cc(C(=O)NC2(C)CCN(Cc3nc(=O)n(C)[n-]3)CC2)nn1 ZINC001045422257 733692426 /nfs/dbraw/zinc/69/24/26/733692426.db2.gz JCFQENHLDZCROB-UHFFFAOYSA-N -1 1 334.384 -0.979 20 0 EBADMM Cc1cnoc1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001045675720 733751715 /nfs/dbraw/zinc/75/17/15/733751715.db2.gz NFAPXCAUOTYNRO-UHFFFAOYSA-N -1 1 332.316 -0.299 20 0 EBADMM O=C(c1ccn[nH]1)N1CCN([C@@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001046009545 733797930 /nfs/dbraw/zinc/79/79/30/733797930.db2.gz VEYBGGSTCCTCLA-LLVKDONJSA-N -1 1 346.395 -0.734 20 0 EBADMM Cn1[n-]c(CN2CC[C@](C)(NC(=O)c3cn4c(n3)CCC4)C2)nc1=O ZINC001046327877 733909414 /nfs/dbraw/zinc/90/94/14/733909414.db2.gz RLPXHQMYDVBMHK-INIZCTEOSA-N -1 1 345.407 -0.355 20 0 EBADMM Cn1cc(CN2CC[C@@](C)(NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)cn1 ZINC001046452977 733939579 /nfs/dbraw/zinc/93/95/79/733939579.db2.gz DXDWURZEROBOMO-CQSZACIVSA-N -1 1 333.352 -0.589 20 0 EBADMM Cn1[n-]c(CN2CC[C@](C)(NC(=O)c3cn(C)ccc3=O)C2)nc1=O ZINC001046475322 733944434 /nfs/dbraw/zinc/94/44/34/733944434.db2.gz YRNDEUMZWRSGAZ-INIZCTEOSA-N -1 1 346.391 -0.799 20 0 EBADMM Cc1nc(CN2CC[C@](C)(NC(=O)c3cnc([O-])n(C)c3=O)C2)n[nH]1 ZINC001046534558 733957321 /nfs/dbraw/zinc/95/73/21/733957321.db2.gz GVKRNXSEXAUAMD-HNNXBMFYSA-N -1 1 347.379 -0.693 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)N[C@@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001046560924 733965863 /nfs/dbraw/zinc/96/58/63/733965863.db2.gz CCZJVEJRLAEXMK-HNNXBMFYSA-N -1 1 347.379 -0.693 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](C)(NC(=O)c3csc(=O)[nH]3)C2)nc1=O ZINC001046562778 733965960 /nfs/dbraw/zinc/96/59/60/733965960.db2.gz QHFVKSGNANIZBS-CYBMUJFWSA-N -1 1 338.393 -0.335 20 0 EBADMM C[C@@]1(NC(=O)[C@@H]2CCCc3n[nH]nc32)CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001046574690 733968676 /nfs/dbraw/zinc/96/86/76/733968676.db2.gz KXDOVRBFUVAHGO-RFAUZJTJSA-N -1 1 346.395 -0.171 20 0 EBADMM CNC(=O)[C@@H](C)N1CC[C@](C)(NC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001046684844 734003300 /nfs/dbraw/zinc/00/33/00/734003300.db2.gz SHPKTZWPAOHMFX-HWPZZCPQSA-N -1 1 346.391 -0.649 20 0 EBADMM CCc1nc[nH]c1C(=O)N[C@@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001046803275 734029245 /nfs/dbraw/zinc/02/92/45/734029245.db2.gz SUTSZSQVYMVYEM-HNNXBMFYSA-N -1 1 333.396 -0.212 20 0 EBADMM COc1cccnc1C(=O)N[C@@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001046800362 734029379 /nfs/dbraw/zinc/02/93/79/734029379.db2.gz CKAZLQXJWUAKEV-INIZCTEOSA-N -1 1 346.391 -0.094 20 0 EBADMM CCn1nc(C)c(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001046880084 734049195 /nfs/dbraw/zinc/04/91/95/734049195.db2.gz SOLASSVYXDZYDK-HNNXBMFYSA-N -1 1 348.411 -0.577 20 0 EBADMM Cn1nccc1CC(=O)N[C@@H]1C[C@H]2C[C@@H](C1)[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001047061525 734088032 /nfs/dbraw/zinc/08/80/32/734088032.db2.gz QMZFOPBPIZAEQN-LOWVWBTDSA-N -1 1 345.407 -0.044 20 0 EBADMM Cn1nccc1CC(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047061525 734088035 /nfs/dbraw/zinc/08/80/35/734088035.db2.gz QMZFOPBPIZAEQN-LOWVWBTDSA-N -1 1 345.407 -0.044 20 0 EBADMM CN(C(=O)C[C@H]1C=CCC1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047298738 734121302 /nfs/dbraw/zinc/12/13/02/734121302.db2.gz NELJTVCCNJZGAU-AVGNSLFASA-N -1 1 335.408 -0.532 20 0 EBADMM Cc1ccc(C(=O)N(C)[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)n1C ZINC001047300884 734121992 /nfs/dbraw/zinc/12/19/92/734121992.db2.gz OITLYMZZZYASJI-STQMWFEESA-N -1 1 348.407 -0.927 20 0 EBADMM Cc1cccnc1C(=O)N(C)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047338694 734142454 /nfs/dbraw/zinc/14/24/54/734142454.db2.gz DFZZXFOCQOXGRB-RYUDHWBXSA-N -1 1 346.391 -0.871 20 0 EBADMM C[C@@H](C(=O)N(C)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O)n1cccc1 ZINC001047351635 734146985 /nfs/dbraw/zinc/14/69/85/734146985.db2.gz DBPKFOODIRPUCG-AVGNSLFASA-N -1 1 348.407 -0.825 20 0 EBADMM CCn1ncc(CN2C[C@H](O)[C@@H](N(C)C(=O)c3ncccc3[O-])C2)n1 ZINC001047358529 734151929 /nfs/dbraw/zinc/15/19/29/734151929.db2.gz CEDJUBHZYPUDRD-JSGCOSHPSA-N -1 1 346.391 -0.284 20 0 EBADMM CN(C(=O)[C@H]1C[C@@H]1C(F)F)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047364192 734155135 /nfs/dbraw/zinc/15/51/35/734155135.db2.gz DUOYHPWXNQLVBO-XKNYDFJKSA-N -1 1 345.350 -0.987 20 0 EBADMM Cc1cc(C)c(C(=O)N(C)[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)[nH]1 ZINC001047430574 734190846 /nfs/dbraw/zinc/19/08/46/734190846.db2.gz JETOACUJDDCBAC-RYUDHWBXSA-N -1 1 348.407 -0.629 20 0 EBADMM C[C@@H](O)CN1C[C@H](O)[C@@H](N(C)C(=O)c2ccc3oc(=O)nc-3[n-]2)C1 ZINC001047597047 734274230 /nfs/dbraw/zinc/27/42/30/734274230.db2.gz GAPQZEQFJDJLKM-MIMYLULJSA-N -1 1 336.348 -0.574 20 0 EBADMM C[C@H]1CN(C(=O)c2cnc([O-])n(C)c2=O)C[C@@H]1CNCc1ccon1 ZINC001048338757 734394063 /nfs/dbraw/zinc/39/40/63/734394063.db2.gz NRLNIUDLKUPTLD-QWRGUYRKSA-N -1 1 347.375 -0.028 20 0 EBADMM O=C(NC[C@H]1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1)[C@@H]1CCNC1=O ZINC001048553157 734442290 /nfs/dbraw/zinc/44/22/90/734442290.db2.gz JKQFAHZBKQEJEF-WRWGMCAJSA-N -1 1 348.407 -0.494 20 0 EBADMM O=C(NC[C@H]1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1)[C@@H]1CNC(=O)N1 ZINC001048557693 734443440 /nfs/dbraw/zinc/44/34/40/734443440.db2.gz FJSFDWFLEYYHPZ-VLEAKVRGSA-N -1 1 349.395 -0.949 20 0 EBADMM COC[C@@H](C)C(=O)N1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001048721884 734482520 /nfs/dbraw/zinc/48/25/20/734482520.db2.gz BAAHKRVRGYAMCO-MDZLAQPJSA-N -1 1 337.376 -0.287 20 0 EBADMM C[C@H]1CCN(C(=O)C(=O)N2C[C@H]3CN(Cc4n[nH]c(=O)[n-]4)C[C@H]3C2)C1 ZINC001049161521 734669715 /nfs/dbraw/zinc/66/97/15/734669715.db2.gz XBQVGDPWZRJTNE-SDDRHHMPSA-N -1 1 348.407 -0.731 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@H]1CCCCN1C(=O)C1CC1 ZINC001061955607 738840058 /nfs/dbraw/zinc/84/00/58/738840058.db2.gz SDBFMDBCLJWQAB-CYBMUJFWSA-N -1 1 348.403 -0.166 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@H]2CCCN3C(=O)C2=COCCO2)nc1=O ZINC001049305312 734706102 /nfs/dbraw/zinc/70/61/02/734706102.db2.gz XOOJGTOSGQTXEF-NEPJUHHUSA-N -1 1 349.391 -0.438 20 0 EBADMM Cn1nncc1C(=O)N1CCC[C@@H]2[C@H]1CCN2Cc1nc(=O)n(C)[n-]1 ZINC001049451728 734733923 /nfs/dbraw/zinc/73/39/23/734733923.db2.gz UPLAFHWRVQMTTQ-GHMZBOCLSA-N -1 1 346.395 -0.884 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@H]2CCCN3C(=O)c2ncc[nH]2)nc1=O ZINC001049472436 734738138 /nfs/dbraw/zinc/73/81/38/734738138.db2.gz UGBPDGBZTJJWJO-MNOVXSKESA-N -1 1 331.380 -0.289 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CCC[C@@H]2[C@H]1CCN2Cc1n[nH]c(=O)[n-]1 ZINC001049630387 734767689 /nfs/dbraw/zinc/76/76/89/734767689.db2.gz LJHLCLNNRSLJCX-NXEZZACHSA-N -1 1 332.368 -0.184 20 0 EBADMM C[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)[C@@H]1CCN(C(=O)C2CC2)C1 ZINC001049686749 734778832 /nfs/dbraw/zinc/77/88/32/734778832.db2.gz SGIUBYCGBTZZDI-WCQYABFASA-N -1 1 348.403 -0.310 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@H]2CCCN3C(=O)c2n[nH]cc2F)nc1=O ZINC001049701733 734780824 /nfs/dbraw/zinc/78/08/24/734780824.db2.gz DQILPDZGTXPCGL-GHMZBOCLSA-N -1 1 349.370 -0.150 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@H]2CCCN3C(=O)c2n[nH]cc2F)nc1=O ZINC001049701736 734780926 /nfs/dbraw/zinc/78/09/26/734780926.db2.gz DQILPDZGTXPCGL-MNOVXSKESA-N -1 1 349.370 -0.150 20 0 EBADMM Cn1ncc(C(=O)N2CCC[C@H]3[C@H]2CCN3Cc2nc(=O)n(C)[n-]2)n1 ZINC001049788394 734790140 /nfs/dbraw/zinc/79/01/40/734790140.db2.gz NLNYBZIGXLQDHK-NWDGAFQWSA-N -1 1 346.395 -0.884 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@H]2CCCN3C(=O)c2ccncn2)nc1=O ZINC001049793681 734791132 /nfs/dbraw/zinc/79/11/32/734791132.db2.gz PUDMXFXWXQIDQM-CHWSQXEVSA-N -1 1 343.391 -0.223 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@H]2CCCN3C(=O)c2cccnn2)nc1=O ZINC001049806714 734792439 /nfs/dbraw/zinc/79/24/39/734792439.db2.gz KJKQQSYXSAWRHZ-OLZOCXBDSA-N -1 1 343.391 -0.223 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCCN(C(=O)[C@@H]4CCCOC4)[C@@H]3C2)nc1=O ZINC001049992475 734821558 /nfs/dbraw/zinc/82/15/58/734821558.db2.gz FDMZPXFOZCETFD-BFHYXJOUSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1cnc(C(=O)N2CCC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)c1 ZINC001050020290 734824795 /nfs/dbraw/zinc/82/47/95/734824795.db2.gz FAMPVOIRVJBESY-WCQYABFASA-N -1 1 345.407 -0.422 20 0 EBADMM CO[C@H](C(=O)N1CCC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)C1CC1 ZINC001050037467 734828878 /nfs/dbraw/zinc/82/88/78/734828878.db2.gz BMVZDBJDEHBSCG-GUTXKFCHSA-N -1 1 349.435 -0.044 20 0 EBADMM NC(=O)CN1CCC2(CN(C(=O)c3ccc4oc(=O)nc-4[n-]3)C2)CC1 ZINC001050727867 734950910 /nfs/dbraw/zinc/95/09/10/734950910.db2.gz NEQPEQFPFRBLQN-UHFFFAOYSA-N -1 1 345.359 -0.048 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)c2ccc(F)cc2)nc1=O ZINC001050792807 734960758 /nfs/dbraw/zinc/96/07/58/734960758.db2.gz SGEWYWGPLJOTCY-ZDUSSCGKSA-N -1 1 349.366 -0.122 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)[C@H]2[C@@H]3CCC[C@@H]32)nc1=O ZINC001050857363 734979792 /nfs/dbraw/zinc/97/97/92/734979792.db2.gz VAOAEOIMTZCSMB-CIQGVGRVSA-N -1 1 335.408 -0.529 20 0 EBADMM Cc1ncoc1C(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001050862530 734981949 /nfs/dbraw/zinc/98/19/49/734981949.db2.gz TYTIBRWENUOKTF-SNVBAGLBSA-N -1 1 336.352 -0.964 20 0 EBADMM Cc1nc(C(=O)NC[C@H]2COCCN2Cc2nc(=O)n(C)[n-]2)co1 ZINC001050883278 734988107 /nfs/dbraw/zinc/98/81/07/734988107.db2.gz XCPOPCCIOLKFAA-JTQLQIEISA-N -1 1 336.352 -0.964 20 0 EBADMM O=C(CN1CCOC[C@@H]1CNC(=O)c1ncccc1[O-])N1CCCC1 ZINC001050888315 734989704 /nfs/dbraw/zinc/98/97/04/734989704.db2.gz UFXWGQBEMXFPRM-ZDUSSCGKSA-N -1 1 348.403 -0.160 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)[C@H]2C[C@@H]2C(F)F)nc1=O ZINC001050896730 734993856 /nfs/dbraw/zinc/99/38/56/734993856.db2.gz XGNNBSVENDIYIS-GUBZILKMSA-N -1 1 345.350 -0.673 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)c2ccsn2)nc1=O ZINC001050971546 735020907 /nfs/dbraw/zinc/02/09/07/735020907.db2.gz JHFVAJLFJLHDNI-VIFPVBQESA-N -1 1 338.393 -0.804 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2COCCN2Cc2nc(=O)n(C)[n-]2)c(C)[nH]1 ZINC001051145617 735076902 /nfs/dbraw/zinc/07/69/02/735076902.db2.gz KCIYPRRMVQZTFY-GFCCVEGCSA-N -1 1 348.407 -0.316 20 0 EBADMM CNC(=O)CN1CCOC[C@@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001051153655 735079078 /nfs/dbraw/zinc/07/90/78/735079078.db2.gz QETNVOIQNAQFIG-NSHDSACASA-N -1 1 349.391 -0.764 20 0 EBADMM CCCN1CCOC[C@@H]1CNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001051206533 735098789 /nfs/dbraw/zinc/09/87/89/735098789.db2.gz LDXAIJKEHPSNAG-VIFPVBQESA-N -1 1 326.353 -0.263 20 0 EBADMM Cc1cnc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001051412297 735141850 /nfs/dbraw/zinc/14/18/50/735141850.db2.gz IVBGUNHQZJZWEY-JTQLQIEISA-N -1 1 333.352 -0.760 20 0 EBADMM Cc1noc(C)c1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051427708 735149323 /nfs/dbraw/zinc/14/93/23/735149323.db2.gz WMOOQTCELMCYMG-JTQLQIEISA-N -1 1 336.352 -0.254 20 0 EBADMM Cc1ccc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001051445343 735154477 /nfs/dbraw/zinc/15/44/77/735154477.db2.gz UUNIDGMQXNNGAR-LBPRGKRZSA-N -1 1 332.364 -0.155 20 0 EBADMM Cc1cccnc1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051531938 735222572 /nfs/dbraw/zinc/22/25/72/735222572.db2.gz CZBYIDLLQWQFIP-NSHDSACASA-N -1 1 332.364 -0.155 20 0 EBADMM Cc1nscc1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051558401 735231900 /nfs/dbraw/zinc/23/19/00/735231900.db2.gz KQRVBYPDMUDEQU-VIFPVBQESA-N -1 1 338.393 -0.094 20 0 EBADMM Cn1ncc(CNC[C@H]2CN(C(=O)c3ncccc3[O-])CCO2)n1 ZINC001051567587 735238863 /nfs/dbraw/zinc/23/88/63/735238863.db2.gz YOBOUXLGOGCMHH-LBPRGKRZSA-N -1 1 332.364 -0.454 20 0 EBADMM CC(C)n1nccc1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051638230 735279195 /nfs/dbraw/zinc/27/91/95/735279195.db2.gz PZRLUTAQIVNFLJ-LLVKDONJSA-N -1 1 349.395 -0.082 20 0 EBADMM CNC(=O)NCC(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001052422208 735611653 /nfs/dbraw/zinc/61/16/53/735611653.db2.gz QIKKVSMYJOMSAS-GHMZBOCLSA-N -1 1 349.391 -0.460 20 0 EBADMM CNC(=O)NCC(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001052422212 735611671 /nfs/dbraw/zinc/61/16/71/735611671.db2.gz QIKKVSMYJOMSAS-QWRGUYRKSA-N -1 1 349.391 -0.460 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNC(=O)C1(C(N)=O)CC1 ZINC001052423123 735611756 /nfs/dbraw/zinc/61/17/56/735611756.db2.gz VAHAJWRBQWTSFK-MNOVXSKESA-N -1 1 346.387 -0.123 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)C[C@H]2C=CCC2)CCO3)nc1=O ZINC001053147789 735759279 /nfs/dbraw/zinc/75/92/79/735759279.db2.gz DBQYANBKYISCMD-ZDUSSCGKSA-N -1 1 347.419 -0.122 20 0 EBADMM Cn1cccc1C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053160013 735762684 /nfs/dbraw/zinc/76/26/84/735762684.db2.gz DAGUQJDVXSMZQJ-UHFFFAOYSA-N -1 1 346.391 -0.826 20 0 EBADMM CCC(CC)C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053163034 735763895 /nfs/dbraw/zinc/76/38/95/735763895.db2.gz PNELKYFSXXIHAD-UHFFFAOYSA-N -1 1 337.424 -0.042 20 0 EBADMM C[C@H](C(=O)N(C)C)N1CC2(C1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC001053232443 735786259 /nfs/dbraw/zinc/78/62/59/735786259.db2.gz NGYNKQNQTRAIGK-GFCCVEGCSA-N -1 1 348.403 -0.209 20 0 EBADMM O=C(c1ncccc1[O-])N1CCOC2(CN([C@@H]3CCCNC3=O)C2)C1 ZINC001053232667 735786324 /nfs/dbraw/zinc/78/63/24/735786324.db2.gz VZSSEIZLVMODCU-GFCCVEGCSA-N -1 1 346.387 -0.407 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)[C@@]2(C)C=CCC2)CCO3)nc1=O ZINC001053379423 735855002 /nfs/dbraw/zinc/85/50/02/735855002.db2.gz ALCGXFZHZXFCAO-INIZCTEOSA-N -1 1 347.419 -0.122 20 0 EBADMM C[C@@H]1C[C@@H]1CC(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053410419 735865049 /nfs/dbraw/zinc/86/50/49/735865049.db2.gz OJPLWUBFDNNEJZ-VXGBXAGGSA-N -1 1 335.408 -0.432 20 0 EBADMM C[C@@H]1CC[C@H](C(=O)N2CCOC3(CN(Cc4nc(=O)n(C)[n-]4)C3)C2)C1 ZINC001053458632 735881295 /nfs/dbraw/zinc/88/12/95/735881295.db2.gz WSROFOFGBIBBQG-OLZOCXBDSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)Cc2ccco2)CCO3)nc1=O ZINC001053481080 735896298 /nfs/dbraw/zinc/89/62/98/735896298.db2.gz TXKLVJBFBPCWBN-UHFFFAOYSA-N -1 1 347.375 -0.643 20 0 EBADMM CC(C)[C@@H]1C[C@H]1C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053490706 735901126 /nfs/dbraw/zinc/90/11/26/735901126.db2.gz QGSLOACYAVXOLS-QWHCGFSZSA-N -1 1 349.435 -0.186 20 0 EBADMM Cc1c[nH]cc1C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053534010 735919649 /nfs/dbraw/zinc/91/96/49/735919649.db2.gz PKTXQAGVQMBBMS-UHFFFAOYSA-N -1 1 346.391 -0.528 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CC[C@H](CNC(=O)c2ccc[nH]2)O3)nc1=O ZINC001053574133 735929373 /nfs/dbraw/zinc/92/93/73/735929373.db2.gz VHLHOGMFONOGIY-LLVKDONJSA-N -1 1 346.391 -0.400 20 0 EBADMM CNC(=O)[C@@H](C)N1CC2(C1)CC[C@@H](CNC(=O)c1ncccc1[O-])O2 ZINC001053594101 735936308 /nfs/dbraw/zinc/93/63/08/735936308.db2.gz DMSYHQAEYFJTDJ-NEPJUHHUSA-N -1 1 348.403 -0.115 20 0 EBADMM C[C@H](C(N)=O)N1CC2(C1)CC[C@H](CNC(=O)c1ncccc1[O-])O2 ZINC001053594971 735937525 /nfs/dbraw/zinc/93/75/25/735937525.db2.gz WIZOXFCWROYBCP-GHMZBOCLSA-N -1 1 334.376 -0.376 20 0 EBADMM C[C@H](C(=O)N(C)C)N1CC2(C1)C[C@@H](NC(=O)c1ncccc1[O-])CO2 ZINC001053784354 736014034 /nfs/dbraw/zinc/01/40/34/736014034.db2.gz MCICEAHUVNYOMC-VXGBXAGGSA-N -1 1 348.403 -0.163 20 0 EBADMM Cn1nnnc1CN1CC2(C1)C[C@@H](NC(=O)c1ncccc1[O-])CO2 ZINC001053784312 736014196 /nfs/dbraw/zinc/01/41/96/736014196.db2.gz KOYIUZHPECSUEH-SNVBAGLBSA-N -1 1 345.363 -0.916 20 0 EBADMM Cc1nnc(CN2CC3(C2)C[C@H](NC(=O)c2ncccc2[O-])CO3)[nH]1 ZINC001053784590 736014634 /nfs/dbraw/zinc/01/46/34/736014634.db2.gz RTTXSBLSVMUGNV-NSHDSACASA-N -1 1 344.375 -0.013 20 0 EBADMM Cn1ncc(CN2CC3(C2)C[C@@H](NC(=O)c2ncccc2[O-])CO3)n1 ZINC001053784701 736014808 /nfs/dbraw/zinc/01/48/08/736014808.db2.gz VBIFBJCNOWJPLW-LLVKDONJSA-N -1 1 344.375 -0.311 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)C2(C)CCC2)CO3)nc1=O ZINC001053895969 736082664 /nfs/dbraw/zinc/08/26/64/736082664.db2.gz QKODWQMAKOAYNT-NSHDSACASA-N -1 1 335.408 -0.242 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)[C@]2(C)C=CCC2)CO3)nc1=O ZINC001053895483 736082896 /nfs/dbraw/zinc/08/28/96/736082896.db2.gz GYINZJQZULHWBC-BLLLJJGKSA-N -1 1 347.419 -0.076 20 0 EBADMM CC(C)N1CC2(C1)C[C@H](NC(=O)c1cc(=O)n3[n-]cnc3n1)CO2 ZINC001053981755 736132380 /nfs/dbraw/zinc/13/23/80/736132380.db2.gz UJJGJMXRSUASEE-JTQLQIEISA-N -1 1 332.364 -0.601 20 0 EBADMM C[C@H]1CN(C(=O)c2cnc(N(C)C)cn2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054657973 736227306 /nfs/dbraw/zinc/22/73/06/736227306.db2.gz OMEKVKHUNQOAGE-GXSJLCMTSA-N -1 1 346.395 -0.383 20 0 EBADMM C[C@H]1CN(C(=O)c2ccnc3ccnn32)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054746063 736238721 /nfs/dbraw/zinc/23/87/21/736238721.db2.gz HBWYLJIVXZSPIV-VHSXEESVSA-N -1 1 342.363 -0.197 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnn3ncccc23)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054745669 736238873 /nfs/dbraw/zinc/23/88/73/736238873.db2.gz DMYBBTJODHLSLX-MWLCHTKSSA-N -1 1 342.363 -0.197 20 0 EBADMM CCn1nnc(C)c1C(=O)N1C[C@@H](C)[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001054752866 736240599 /nfs/dbraw/zinc/24/05/99/736240599.db2.gz LMFBOBJAWOKDNW-SCZZXKLOSA-N -1 1 334.384 -0.320 20 0 EBADMM CCn1nnc(C)c1C(=O)N1C[C@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001054752870 736240688 /nfs/dbraw/zinc/24/06/88/736240688.db2.gz LMFBOBJAWOKDNW-WCBMZHEXSA-N -1 1 334.384 -0.320 20 0 EBADMM COCCn1nccc1C(=O)N1C[C@@H](C)[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001054768034 736243419 /nfs/dbraw/zinc/24/34/19/736243419.db2.gz JBVVOPKYEFJNQU-MNOVXSKESA-N -1 1 349.395 -0.397 20 0 EBADMM C[C@H]1CN(C(=O)c2cccc(C(N)=O)c2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054812514 736248521 /nfs/dbraw/zinc/24/85/21/736248521.db2.gz KYEATAMZEDTXTF-CABZTGNLSA-N -1 1 344.375 -0.141 20 0 EBADMM CCn1ccc(CN[C@H]2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@@H]2C)n1 ZINC001054859006 736257028 /nfs/dbraw/zinc/25/70/28/736257028.db2.gz FXOBITZLORZIOB-ONGXEEELSA-N -1 1 347.379 -0.251 20 0 EBADMM CCn1ccnc1CN[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@H]1C ZINC001054862786 736258205 /nfs/dbraw/zinc/25/82/05/736258205.db2.gz LHOLMNYHNXUZCH-UWVGGRQHSA-N -1 1 347.379 -0.251 20 0 EBADMM C[C@H]1CN(C(=O)c2ccc(C(N)=O)o2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054886172 736262751 /nfs/dbraw/zinc/26/27/51/736262751.db2.gz ZXAWVLNLSICRSB-JGVFFNPUSA-N -1 1 334.336 -0.548 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccc3nnnn3c2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054894958 736264953 /nfs/dbraw/zinc/26/49/53/736264953.db2.gz BODUCTPBKANYCH-SCZZXKLOSA-N -1 1 343.351 -0.802 20 0 EBADMM CCn1cc(CC(=O)N2C[C@@H](C)[C@@H](NCc3n[nH]c(=O)[n-]3)C2)nn1 ZINC001054925513 736269384 /nfs/dbraw/zinc/26/93/84/736269384.db2.gz QGLDOWVTPUHRBF-KOLCDFICSA-N -1 1 334.384 -0.699 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccn3nnnc3c2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054969604 736275584 /nfs/dbraw/zinc/27/55/84/736275584.db2.gz SCAOMVFFGXBSMK-SCZZXKLOSA-N -1 1 343.351 -0.802 20 0 EBADMM CN(C)C(=O)CC(=O)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC001055300114 736327120 /nfs/dbraw/zinc/32/71/20/736327120.db2.gz YXHINUONWHJPEB-TXEJJXNPSA-N -1 1 346.387 -0.204 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCN(c2ncccn2)CC1 ZINC001055857000 736523979 /nfs/dbraw/zinc/52/39/79/736523979.db2.gz MPSZZQGMAAIQRI-UHFFFAOYSA-N -1 1 326.320 -0.830 20 0 EBADMM Cc1nccc(N2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC2)n1 ZINC001055857336 736524058 /nfs/dbraw/zinc/52/40/58/736524058.db2.gz YOFMVMHOSZWNAE-UHFFFAOYSA-N -1 1 340.347 -0.522 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(c2cc(C)ncn2)CC1 ZINC001055857971 736524703 /nfs/dbraw/zinc/52/47/03/736524703.db2.gz OZQJXODPTSCRKK-UHFFFAOYSA-N -1 1 346.347 -0.043 20 0 EBADMM NC(=O)NCC(=O)N1CCC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001056594954 736748796 /nfs/dbraw/zinc/74/87/96/736748796.db2.gz HJWZBSAKJXXBEH-WDEREUQCSA-N -1 1 347.375 -0.482 20 0 EBADMM O=C(NCCN(CCO)C(=O)C1CCOCC1)c1ncccc1[O-] ZINC001056770724 736796679 /nfs/dbraw/zinc/79/66/79/736796679.db2.gz SOYSHHVGKNUEJY-UHFFFAOYSA-N -1 1 337.376 -0.236 20 0 EBADMM O=C(CCn1cncn1)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001056991974 736911369 /nfs/dbraw/zinc/91/13/69/736911369.db2.gz QWVJJHJDLYALDJ-UHFFFAOYSA-N -1 1 348.363 -0.980 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCC(Nc2ncccn2)CC1 ZINC001057346766 737176391 /nfs/dbraw/zinc/17/63/91/737176391.db2.gz VFPFPSWXJFGEGD-UHFFFAOYSA-N -1 1 340.347 -0.076 20 0 EBADMM Cc1nn(C)cc1C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410118 737229983 /nfs/dbraw/zinc/22/99/83/737229983.db2.gz CSPAOYPAIYVQMF-UHFFFAOYSA-N -1 1 347.375 -0.306 20 0 EBADMM Cc1cc(CC(=O)NCCN(CCO)C(=O)c2ncccc2[O-])n[nH]1 ZINC001057410231 737230000 /nfs/dbraw/zinc/23/00/00/737230000.db2.gz IZXANVJNNJNYGV-UHFFFAOYSA-N -1 1 347.375 -0.388 20 0 EBADMM Cc1cc(CC(=O)NCCN(CCO)C(=O)c2ncccc2[O-])[nH]n1 ZINC001057410231 737230007 /nfs/dbraw/zinc/23/00/07/737230007.db2.gz IZXANVJNNJNYGV-UHFFFAOYSA-N -1 1 347.375 -0.388 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccc1[O-])[C@H]1CCCOC1 ZINC001057410279 737230096 /nfs/dbraw/zinc/23/00/96/737230096.db2.gz KPIHQBXJKGAYJH-LBPRGKRZSA-N -1 1 337.376 -0.236 20 0 EBADMM CCCOCC(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410078 737230156 /nfs/dbraw/zinc/23/01/56/737230156.db2.gz BROPAOLLIDKOSR-UHFFFAOYSA-N -1 1 325.365 -0.236 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccc1[O-])C1=CCOCC1 ZINC001057410083 737230232 /nfs/dbraw/zinc/23/02/32/737230232.db2.gz BUHYIWKTOVLPBD-UHFFFAOYSA-N -1 1 335.360 -0.315 20 0 EBADMM CC(C)OCC(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410594 737230477 /nfs/dbraw/zinc/23/04/77/737230477.db2.gz SSDHWZBLNDLDJM-UHFFFAOYSA-N -1 1 325.365 -0.237 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccc1[O-])c1ccncn1 ZINC001057410418 737230866 /nfs/dbraw/zinc/23/08/66/737230866.db2.gz QVZJIPCVCDSYGP-UHFFFAOYSA-N -1 1 331.332 -0.558 20 0 EBADMM Cc1ccnn1CC(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057413396 737234073 /nfs/dbraw/zinc/23/40/73/737234073.db2.gz UMDGBRVTBVIWKD-UHFFFAOYSA-N -1 1 347.375 -0.457 20 0 EBADMM Cn1cncc1C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057416855 737236229 /nfs/dbraw/zinc/23/62/29/737236229.db2.gz KGOAFQVVBJSKBU-UHFFFAOYSA-N -1 1 333.348 -0.615 20 0 EBADMM O=C(CCc1cn[nH]c1)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057416613 737236341 /nfs/dbraw/zinc/23/63/41/737236341.db2.gz FEOGRRTUULPCFW-UHFFFAOYSA-N -1 1 347.375 -0.306 20 0 EBADMM Cc1cnn(C)c1C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057417629 737236606 /nfs/dbraw/zinc/23/66/06/737236606.db2.gz XICJFOWCKYGQQV-UHFFFAOYSA-N -1 1 347.375 -0.306 20 0 EBADMM Cc1nc[nH]c1C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057417063 737236828 /nfs/dbraw/zinc/23/68/28/737236828.db2.gz OCYYVTDBNMDMFY-UHFFFAOYSA-N -1 1 333.348 -0.317 20 0 EBADMM CC(C)[C@@H](O)C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057485665 737287042 /nfs/dbraw/zinc/28/70/42/737287042.db2.gz PDRQWOFCQUCHEX-CYBMUJFWSA-N -1 1 325.365 -0.645 20 0 EBADMM O=C(Cn1ccnc1)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057994038 737581821 /nfs/dbraw/zinc/58/18/21/737581821.db2.gz HDZVCYZKGWCMBP-UHFFFAOYSA-N -1 1 333.348 -0.765 20 0 EBADMM Cc1n[nH]cc1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001058269196 737747237 /nfs/dbraw/zinc/74/72/37/737747237.db2.gz KNWORBJKRACNSU-UHFFFAOYSA-N -1 1 333.348 -0.317 20 0 EBADMM O=C(N[C@@H]1CCN(c2ncc(F)cn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001058403214 737815246 /nfs/dbraw/zinc/81/52/46/737815246.db2.gz JNOAARZSCXBHIO-SECBINFHSA-N -1 1 344.310 -0.645 20 0 EBADMM Cc1conc1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001058821254 738007123 /nfs/dbraw/zinc/00/71/23/738007123.db2.gz JGFKWKIWNSNFSE-UHFFFAOYSA-N -1 1 334.332 -0.052 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CC[C@H](Nc2cnc(F)cn2)C1 ZINC001058929728 738077528 /nfs/dbraw/zinc/07/75/28/738077528.db2.gz MBTMVSKRQPPKLF-QMMMGPOBSA-N -1 1 344.310 -0.327 20 0 EBADMM O=C(Cc1cnc[nH]1)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001058948481 738088262 /nfs/dbraw/zinc/08/82/62/738088262.db2.gz ZLKGOHMCAOWERB-UHFFFAOYSA-N -1 1 333.348 -0.696 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)NCC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059516127 738188102 /nfs/dbraw/zinc/18/81/02/738188102.db2.gz GBBBXOQPODOOGT-UTUOFQBUSA-N -1 1 346.387 -0.123 20 0 EBADMM CCC(=O)NCC[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001059936608 738281201 /nfs/dbraw/zinc/28/12/01/738281201.db2.gz ONHAHRVKNBJBQK-GFCCVEGCSA-N -1 1 336.392 -0.309 20 0 EBADMM O=C(NCC[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC001059970569 738285909 /nfs/dbraw/zinc/28/59/09/738285909.db2.gz ZWACXFOTBWEBMK-SNVBAGLBSA-N -1 1 344.375 -0.204 20 0 EBADMM C[C@H]1C[C@H]1C(=O)NCC1(NC(=O)CCn2cc[n-]c(=O)c2=O)CCC1 ZINC001062436341 738915635 /nfs/dbraw/zinc/91/56/35/738915635.db2.gz VVCOQXWWCMSOGX-NWDGAFQWSA-N -1 1 348.403 -0.262 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(c2ccc3nnnn3n2)C1 ZINC001062923749 739023882 /nfs/dbraw/zinc/02/38/82/739023882.db2.gz ZNQLPJAJQWFFLK-SNVBAGLBSA-N -1 1 340.347 -0.029 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)NC1(CNC(=O)CCn2cc[n-]c(=O)c2=O)CCC1 ZINC001063650582 739172356 /nfs/dbraw/zinc/17/23/56/739172356.db2.gz CPZMBSBCXAZYQA-NEPJUHHUSA-N -1 1 348.403 -0.262 20 0 EBADMM O=C(Cc1nc[nH]n1)NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001065074123 739511371 /nfs/dbraw/zinc/51/13/71/739511371.db2.gz SZXSRKYDHGKBJO-SNVBAGLBSA-N -1 1 346.347 -0.895 20 0 EBADMM O=C(Cc1nnc[nH]1)NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001065074123 739511380 /nfs/dbraw/zinc/51/13/80/739511380.db2.gz SZXSRKYDHGKBJO-SNVBAGLBSA-N -1 1 346.347 -0.895 20 0 EBADMM CC(C)C(=O)NC[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)CCO1 ZINC001065370797 739679499 /nfs/dbraw/zinc/67/94/99/739679499.db2.gz XBNZSEKYXWCSDJ-SNVBAGLBSA-N -1 1 348.363 -0.969 20 0 EBADMM CCC(=O)N1CCC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001066318954 739944376 /nfs/dbraw/zinc/94/43/76/739944376.db2.gz JXGXMGQJWVHCAA-LBPRGKRZSA-N -1 1 336.392 -0.166 20 0 EBADMM CN(CCCN(C)C(=O)C1(C(N)=O)CC1)C(=O)c1ncccc1[O-] ZINC001067254847 740113623 /nfs/dbraw/zinc/11/36/23/740113623.db2.gz PWMMFUSFMRWIOG-UHFFFAOYSA-N -1 1 334.376 -0.027 20 0 EBADMM CC(=O)NCC(=O)N1CC2(C1)C[C@H](NC(=O)c1ncccc1[O-])CO2 ZINC001068825189 740455794 /nfs/dbraw/zinc/45/57/94/740455794.db2.gz KVUGFZMGBFPJJR-NSHDSACASA-N -1 1 348.359 -0.977 20 0 EBADMM C[C@@H]1[C@@H](Nc2ncccn2)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001068870793 740476315 /nfs/dbraw/zinc/47/63/15/740476315.db2.gz HQYKKYUXLGLJOU-NEPJUHHUSA-N -1 1 344.375 -0.182 20 0 EBADMM C[C@@H](C(N)=O)N1CCn2ncc(CNC(=O)c3ncccc3[O-])c2C1 ZINC001069858802 740593449 /nfs/dbraw/zinc/59/34/49/740593449.db2.gz OIZMKLZLXAOCTC-JTQLQIEISA-N -1 1 344.375 -0.397 20 0 EBADMM COC[C@@H](C)N1C[C@@H](NC(=O)c2[nH]nc(C)c2[O-])[C@@H](n2ccnn2)C1 ZINC001069909715 740614188 /nfs/dbraw/zinc/61/41/88/740614188.db2.gz MKDAKDHHALDBPS-JLLWLGSASA-N -1 1 349.395 -0.295 20 0 EBADMM COC(=O)NCC(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648483 740908682 /nfs/dbraw/zinc/90/86/82/740908682.db2.gz LJSIMYQVTWEPIT-SNVBAGLBSA-N -1 1 336.348 -0.136 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2ccnn2C)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071383631 741130884 /nfs/dbraw/zinc/13/08/84/741130884.db2.gz KNWACLIWOGLLSJ-WDEREUQCSA-N -1 1 333.396 -0.375 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2cnn(C)c2N)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071448645 741138762 /nfs/dbraw/zinc/13/87/62/741138762.db2.gz XZYXPOCTMSHHOE-ZJUUUORDSA-N -1 1 348.411 -0.793 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2csnn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071711965 741199988 /nfs/dbraw/zinc/19/99/88/741199988.db2.gz HCFGDZYXMBEQPI-IUCAKERBSA-N -1 1 337.409 -0.257 20 0 EBADMM COC(=O)NCC(=O)N1C[C@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001071715584 741201376 /nfs/dbraw/zinc/20/13/76/741201376.db2.gz YXGVYADOWRXLPS-UWVGGRQHSA-N -1 1 336.348 -0.280 20 0 EBADMM Cc1nn[nH]c1C(=O)N[C@@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071732537 741206589 /nfs/dbraw/zinc/20/65/89/741206589.db2.gz WFTGVNUOOZWITC-WCBMZHEXSA-N -1 1 334.384 -0.682 20 0 EBADMM Cc1ncc(C(=O)N[C@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)n1C ZINC001071769373 741214243 /nfs/dbraw/zinc/21/42/43/741214243.db2.gz PURIFWLQIQAGPF-PWSUYJOCSA-N -1 1 347.423 -0.067 20 0 EBADMM Cc1c[nH]c(C(=O)N[C@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001071881353 741238328 /nfs/dbraw/zinc/23/83/28/741238328.db2.gz YCRSVRNNOKDYAN-QWRGUYRKSA-N -1 1 333.396 -0.077 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2ncccn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071919650 741245519 /nfs/dbraw/zinc/24/55/19/741245519.db2.gz FRZKQVUBUUGBDO-WDEREUQCSA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C1(C(N)=O)CC1 ZINC001071952288 741263233 /nfs/dbraw/zinc/26/32/33/741263233.db2.gz KHNDMICBQVALGA-UWVGGRQHSA-N -1 1 332.360 -0.371 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C1(C(N)=O)CC1 ZINC001071952289 741263371 /nfs/dbraw/zinc/26/33/71/741263371.db2.gz KHNDMICBQVALGA-VHSXEESVSA-N -1 1 332.360 -0.371 20 0 EBADMM CNC(=O)NCCC(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001071953393 741264274 /nfs/dbraw/zinc/26/42/74/741264274.db2.gz YRBUEHGUCDNYFG-QWRGUYRKSA-N -1 1 349.391 -0.317 20 0 EBADMM CNC(=O)C1(C(=O)N[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C)CC1 ZINC001071953408 741264281 /nfs/dbraw/zinc/26/42/81/741264281.db2.gz YYZSBANQMMPNFB-MNOVXSKESA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@@H]1CN(C(=O)C2(C)CC2)C[C@@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001072250501 741339668 /nfs/dbraw/zinc/33/96/68/741339668.db2.gz RJHTVYPXNWTZFB-NEPJUHHUSA-N -1 1 348.403 -0.310 20 0 EBADMM CCOCC(=O)N[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1C ZINC001072426277 741395188 /nfs/dbraw/zinc/39/51/88/741395188.db2.gz FXIBCYGLEOTMDJ-ONGXEEELSA-N -1 1 348.363 -0.969 20 0 EBADMM COCCC(=O)N[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1C ZINC001072427530 741395766 /nfs/dbraw/zinc/39/57/66/741395766.db2.gz WHBZYCVPUHLCNG-GXSJLCMTSA-N -1 1 348.363 -0.969 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)C4=COCCO4)C3)C2)nc1=O ZINC001072437813 741400253 /nfs/dbraw/zinc/40/02/53/741400253.db2.gz WWFWNCHHACFPRN-UHFFFAOYSA-N -1 1 335.364 -0.969 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC3(C2)CCN([C@H]2CCCNC2=O)C3)c1[O-] ZINC001072625275 741446049 /nfs/dbraw/zinc/44/60/49/741446049.db2.gz CSHYZSXNTJARTA-NSHDSACASA-N -1 1 333.392 -0.150 20 0 EBADMM Cn1nnc(CN2CCC3(CN(C(=O)c4ncccc4[O-])C3)C2)n1 ZINC001072654114 741454105 /nfs/dbraw/zinc/45/41/05/741454105.db2.gz ZJOBASGEODPPFI-UHFFFAOYSA-N -1 1 329.364 -0.341 20 0 EBADMM CCn1ccnc1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072671576 741457839 /nfs/dbraw/zinc/45/78/39/741457839.db2.gz CLROOCCSBLKBCK-UHFFFAOYSA-N -1 1 345.407 -0.327 20 0 EBADMM O=C(CCc1cnc[nH]1)N1CC2(C1)CCN(Cc1n[nH]c(=O)[n-]1)C2 ZINC001072803729 741489911 /nfs/dbraw/zinc/48/99/11/741489911.db2.gz GYWJSIHJEBMPSG-UHFFFAOYSA-N -1 1 331.380 -0.100 20 0 EBADMM CO[C@@H]1CC[C@H](C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)C1 ZINC001072868001 741508926 /nfs/dbraw/zinc/50/89/26/741508926.db2.gz WZKFVUFGAPDSQD-QWHCGFSZSA-N -1 1 349.435 -0.042 20 0 EBADMM Cc1nocc1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072938902 741525500 /nfs/dbraw/zinc/52/55/00/741525500.db2.gz QSBRFXGMZXCPFA-UHFFFAOYSA-N -1 1 332.364 -0.247 20 0 EBADMM CNC(=O)NCC(=O)NC1(C)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001072968995 741529242 /nfs/dbraw/zinc/52/92/42/741529242.db2.gz JBILVKRJHGFDPH-UHFFFAOYSA-N -1 1 349.391 -0.173 20 0 EBADMM CC1(C)CN(C(=O)CNC(N)=O)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC001073373512 741603244 /nfs/dbraw/zinc/60/32/44/741603244.db2.gz UTJQSAWUTBALJP-NSHDSACASA-N -1 1 349.391 -0.188 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)c4ccc(=O)[nH]c4)C3)C2)nc1=O ZINC001073403135 741606865 /nfs/dbraw/zinc/60/68/65/741606865.db2.gz YULTWUZVUXLRSV-UHFFFAOYSA-N -1 1 344.375 -0.443 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@H](CNC(=O)c3cocn3)C2)nc1=O ZINC001073556735 741652259 /nfs/dbraw/zinc/65/22/59/741652259.db2.gz NBMANVXKRYMPGA-SNVBAGLBSA-N -1 1 336.352 -0.883 20 0 EBADMM Cn1nnc(CN2CCCO[C@@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC001073584464 741669099 /nfs/dbraw/zinc/66/90/99/741669099.db2.gz UMJAXPCLDNDDIJ-NSHDSACASA-N -1 1 347.379 -0.668 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@H](CNC(=O)[C@H]3CC3(F)F)C2)nc1=O ZINC001073597713 741678638 /nfs/dbraw/zinc/67/86/38/741678638.db2.gz GLMYGWADAITBLT-NXEZZACHSA-N -1 1 345.350 -0.529 20 0 EBADMM O=C(NC[C@H]1CN(CCF)CCCO1)c1cc(=O)n2nc[n-]c2n1 ZINC001073856924 741766865 /nfs/dbraw/zinc/76/68/65/741766865.db2.gz UVIBATFGBUFGNS-JTQLQIEISA-N -1 1 338.343 -0.792 20 0 EBADMM C[C@@H]1CN(C(=O)CCNC(N)=O)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC001073901243 741782619 /nfs/dbraw/zinc/78/26/19/741782619.db2.gz UDVIMBNBRRSLSX-MNOVXSKESA-N -1 1 349.391 -0.188 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCCN1C(=O)[C@@H]1CCOC1 ZINC001073964282 741796279 /nfs/dbraw/zinc/79/62/79/741796279.db2.gz IZYQQXDUYPWMHV-CHWSQXEVSA-N -1 1 337.424 -0.042 20 0 EBADMM CC(C)=CC(=O)N1CC[C@@H]2OCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1 ZINC001074151827 741842615 /nfs/dbraw/zinc/84/26/15/741842615.db2.gz UIIHKCDHYFPNQJ-STQMWFEESA-N -1 1 335.408 -0.124 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H]3CCN(C(=O)c4cocn4)C[C@H]32)nc1=O ZINC001074190203 741855898 /nfs/dbraw/zinc/85/58/98/741855898.db2.gz NFQISVZTFIYFLW-NEPJUHHUSA-N -1 1 348.363 -0.788 20 0 EBADMM O=C(Cc1ccn[nH]1)N1CC[C@H]2OCCN(Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001074199368 741858764 /nfs/dbraw/zinc/85/87/64/741858764.db2.gz BAUPTYXRKSZNIQ-NWDGAFQWSA-N -1 1 347.379 -0.722 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H]3CCN(C(=O)/C=C/C4CC4)C[C@@H]32)nc1=O ZINC001074202352 741860647 /nfs/dbraw/zinc/86/06/47/741860647.db2.gz XFCAWOYZXAGIJV-OOPLNXAUSA-N -1 1 347.419 -0.124 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H]3CCN(C(=O)C4(C)CC4)C[C@@H]32)nc1=O ZINC001074253192 741874352 /nfs/dbraw/zinc/87/43/52/741874352.db2.gz TVMPYFVSUFLEHT-NWDGAFQWSA-N -1 1 335.408 -0.290 20 0 EBADMM CO[C@H](C)C(=O)NCC1(O)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001074558600 741996295 /nfs/dbraw/zinc/99/62/95/741996295.db2.gz GXNCGEAOMUFLHK-LLVKDONJSA-N -1 1 337.376 -0.095 20 0 EBADMM O=C(NCC1(O)CCN(C(=O)c2cnon2)CC1)c1ncccc1[O-] ZINC001074687999 742066775 /nfs/dbraw/zinc/06/67/75/742066775.db2.gz HFSDUQPHGQDTNR-UHFFFAOYSA-N -1 1 347.331 -0.433 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CCNC(N)=O ZINC001074943083 742139996 /nfs/dbraw/zinc/13/99/96/742139996.db2.gz CXIZGNPVEHBZAD-WDEREUQCSA-N -1 1 349.391 -0.045 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CNC(N)=O ZINC001074943617 742140392 /nfs/dbraw/zinc/14/03/92/742140392.db2.gz KTSMJIXWDYVWNT-UWVGGRQHSA-N -1 1 335.364 -0.435 20 0 EBADMM C[C@@H](CCNC(=O)[C@H]1CCCO1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001075518077 742240995 /nfs/dbraw/zinc/24/09/95/742240995.db2.gz UNYOOEJKXGYUQK-GXSJLCMTSA-N -1 1 348.363 -0.779 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)C4=COCCC4)[C@@H]3C2)nc1=O ZINC001075601615 742259741 /nfs/dbraw/zinc/25/97/41/742259741.db2.gz PIPLXSAYLISPDO-WCQYABFASA-N -1 1 333.392 -0.165 20 0 EBADMM CC[C@@H](C)C(=O)NCC[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001075663507 742269342 /nfs/dbraw/zinc/26/93/42/742269342.db2.gz DYUPMFKNBRYSIM-NEPJUHHUSA-N -1 1 338.408 -0.016 20 0 EBADMM C[C@@H](CCNC(=O)c1ccn[nH]1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001075700875 742276155 /nfs/dbraw/zinc/27/61/55/742276155.db2.gz HZQJRRRWOKKDFM-QMMMGPOBSA-N -1 1 344.335 -0.921 20 0 EBADMM Cn1[nH]c(CN2C[C@@H]3CCN(C(=O)c4ncccc4[O-])[C@@H]3C2)nc1=O ZINC001075722443 742281505 /nfs/dbraw/zinc/28/15/05/742281505.db2.gz UGFUACBQLFIUHE-WDEREUQCSA-N -1 1 344.375 -0.445 20 0 EBADMM Cc1ccc(C(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)nn1 ZINC001075779276 742296738 /nfs/dbraw/zinc/29/67/38/742296738.db2.gz CDYGDHOVZSCPFN-WCQYABFASA-N -1 1 343.391 -0.447 20 0 EBADMM COC1CC(C(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)C1 ZINC001075922808 742329844 /nfs/dbraw/zinc/32/98/44/742329844.db2.gz MNHBZNBZRSJMOM-YWPUVAFDSA-N -1 1 335.408 -0.434 20 0 EBADMM Cn1cncc1C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001075998535 742355174 /nfs/dbraw/zinc/35/51/74/742355174.db2.gz XPUOFQLQQUAASB-CMPLNLGQSA-N -1 1 331.380 -0.812 20 0 EBADMM C/C=C(\C)C(=O)NCC[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001076092023 742375398 /nfs/dbraw/zinc/37/53/98/742375398.db2.gz BRWQFROUYUAZQO-QNCMIEPLSA-N -1 1 336.392 -0.096 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)Cc4cnoc4)[C@@H]3C2)nc1=O ZINC001076162616 742391444 /nfs/dbraw/zinc/39/14/44/742391444.db2.gz JULQYTUKFQMJJB-NWDGAFQWSA-N -1 1 332.364 -0.628 20 0 EBADMM C[C@@H](CCNC(=O)[C@H]1COCCN1C)NC(=O)c1ncccc1[O-] ZINC001076205349 742404254 /nfs/dbraw/zinc/40/42/54/742404254.db2.gz ASHMALBCWFCASO-NWDGAFQWSA-N -1 1 336.392 -0.258 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CN(C)CCO1 ZINC001076244067 742419098 /nfs/dbraw/zinc/41/90/98/742419098.db2.gz HSDJHFUIEZCLEU-WCQYABFASA-N -1 1 336.392 -0.258 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@H]1COCCN1C ZINC001076245280 742419227 /nfs/dbraw/zinc/41/92/27/742419227.db2.gz VUTQZFFZACEYFL-NWDGAFQWSA-N -1 1 336.392 -0.258 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@]1(C)CNC(=O)C1 ZINC001076248187 742419687 /nfs/dbraw/zinc/41/96/87/742419687.db2.gz CELMBEMTXIIDDE-QFYYESIMSA-N -1 1 334.376 -0.062 20 0 EBADMM C[C@H](C(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-])S(C)(=O)=O ZINC001076246235 742419749 /nfs/dbraw/zinc/41/97/49/742419749.db2.gz KBPVQCMYJMRPNQ-VHSXEESVSA-N -1 1 343.405 -0.155 20 0 EBADMM Cc1ccnn1CC(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001076305859 742434407 /nfs/dbraw/zinc/43/44/07/742434407.db2.gz VNEJPQYXDDNMKA-QWHCGFSZSA-N -1 1 345.407 -0.654 20 0 EBADMM Cc1nonc1CC(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001076415503 742461964 /nfs/dbraw/zinc/46/19/64/742461964.db2.gz RZKIMRGRFNAXHO-CMPLNLGQSA-N -1 1 347.379 -0.925 20 0 EBADMM Cc1oncc1CN1C[C@@H]2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)[C@@H]2C1 ZINC001076499202 742481367 /nfs/dbraw/zinc/48/13/67/742481367.db2.gz BDUGPJFLAAIJBW-CMPLNLGQSA-N -1 1 348.363 -0.281 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)c3cccc(F)c3)C2)nc1=O ZINC001076702721 742538822 /nfs/dbraw/zinc/53/88/22/742538822.db2.gz KMTHQRMHUGIDNB-VXGBXAGGSA-N -1 1 335.339 -0.777 20 0 EBADMM O=C(CN1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1)Nc1ccon1 ZINC001076917360 742642684 /nfs/dbraw/zinc/64/26/84/742642684.db2.gz YYZVTAWTVYIKNC-MWLCHTKSSA-N -1 1 347.331 -0.811 20 0 EBADMM CCn1ccc(CN2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001076917230 742642848 /nfs/dbraw/zinc/64/28/48/742642848.db2.gz WHQPGELJCRMDIE-TZMCWYRMSA-N -1 1 331.376 -0.021 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)c3ccoc3Cl)C2)nc1=O ZINC001076927579 742648391 /nfs/dbraw/zinc/64/83/91/742648391.db2.gz SRVQYKSVFRXSNI-RKDXNWHRSA-N -1 1 341.755 -0.670 20 0 EBADMM Cc1oc(C)c(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c1C ZINC001077344658 742909140 /nfs/dbraw/zinc/90/91/40/742909140.db2.gz UQZZURHJJYZNQU-VXGBXAGGSA-N -1 1 349.391 -0.398 20 0 EBADMM Cc1cncc(C)c1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001077382553 742937017 /nfs/dbraw/zinc/93/70/17/742937017.db2.gz WWCGTVJJQYKGAG-VXGBXAGGSA-N -1 1 346.391 -0.905 20 0 EBADMM C/C=C(\C)C(=O)N[C@@H](C)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001077402492 742956417 /nfs/dbraw/zinc/95/64/17/742956417.db2.gz QHOVKQQATXVKQL-QNCMIEPLSA-N -1 1 336.392 -0.096 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC[C@H](C)NC(=O)C(F)F ZINC001077488331 743013938 /nfs/dbraw/zinc/01/39/38/743013938.db2.gz MDLLKDUDCROAIN-YFKPBYRVSA-N -1 1 334.279 -0.214 20 0 EBADMM C[C@@H](CCNC(=O)c1n[nH]c(=O)[n-]c1=O)NC(=O)CN1CCCC1 ZINC001077578272 743093815 /nfs/dbraw/zinc/09/38/15/743093815.db2.gz VIUHMHBKHRSIJT-VIFPVBQESA-N -1 1 338.368 -0.997 20 0 EBADMM C[C@@H](CCNC(=O)[C@@H]1C[C@H]1C)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001077745888 743225782 /nfs/dbraw/zinc/22/57/82/743225782.db2.gz GRZCGLCBXJDZJY-KXUCPTDWSA-N -1 1 332.364 -0.302 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)cc1 ZINC001078066867 743444996 /nfs/dbraw/zinc/44/49/96/743444996.db2.gz OHSJJJJOYCOGPM-CHWSQXEVSA-N -1 1 331.376 -0.608 20 0 EBADMM CCN1CCCC[C@@H]1C(=O)N[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1O ZINC001078228164 743546750 /nfs/dbraw/zinc/54/67/50/743546750.db2.gz GDOOMLWFWXFGPN-IJLUTSLNSA-N -1 1 338.412 -0.954 20 0 EBADMM Cn1cc(C(=O)N2CCCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001078331134 743588402 /nfs/dbraw/zinc/58/84/02/743588402.db2.gz QGROSFFFBHDYJD-NSHDSACASA-N -1 1 335.368 -0.735 20 0 EBADMM CCn1cc(C(=O)N2CCCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001078361614 743607772 /nfs/dbraw/zinc/60/77/72/743607772.db2.gz JNNZQBBIWXSCQH-GFCCVEGCSA-N -1 1 349.395 -0.252 20 0 EBADMM Cc1cc(CC(=O)N2CCCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC001078375415 743614121 /nfs/dbraw/zinc/61/41/21/743614121.db2.gz LLCBPCYSCDFPPV-GFCCVEGCSA-N -1 1 349.395 -0.508 20 0 EBADMM Cn1ncnc1CNC[C@H]1CN(C(=O)c2ncccc2[O-])CCCO1 ZINC001078384432 743618137 /nfs/dbraw/zinc/61/81/37/743618137.db2.gz WIGAYZMESYUMJM-LBPRGKRZSA-N -1 1 346.391 -0.063 20 0 EBADMM Cc1n[nH]cc1C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078439827 743649209 /nfs/dbraw/zinc/64/92/09/743649209.db2.gz ZZVPRVFJXDLPBZ-SNVBAGLBSA-N -1 1 335.368 -0.437 20 0 EBADMM O=C([C@H]1[C@@H]2COC[C@@H]21)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078449844 743652794 /nfs/dbraw/zinc/65/27/94/743652794.db2.gz LGYGNHDYYVQBCN-DCQANWLSSA-N -1 1 337.380 -0.890 20 0 EBADMM C[C@@]1(C(=O)N2CCCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)CCOC1 ZINC001078494165 743676940 /nfs/dbraw/zinc/67/69/40/743676940.db2.gz VIRPPKKBYZNVFE-XHDPSFHLSA-N -1 1 339.396 -0.356 20 0 EBADMM Cc1nonc1C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078514439 743687104 /nfs/dbraw/zinc/68/71/04/743687104.db2.gz PGWXLTJEZVOOMP-VIFPVBQESA-N -1 1 337.340 -0.777 20 0 EBADMM O=C(N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2)c1cc[n+]([O-])cc1 ZINC001078546229 743690654 /nfs/dbraw/zinc/69/06/54/743690654.db2.gz VFUDPXMFWOVXLS-RYUDHWBXSA-N -1 1 344.375 -0.026 20 0 EBADMM Cc1nnc(CC(=O)N[C@@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)o1 ZINC001078619054 743708944 /nfs/dbraw/zinc/70/89/44/743708944.db2.gz BCYPPIOTMVPHFS-NXEZZACHSA-N -1 1 347.379 -0.039 20 0 EBADMM CCn1nncc1C(=O)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078619297 743709593 /nfs/dbraw/zinc/70/95/93/743709593.db2.gz GURHNTLHEJPGQO-WDEREUQCSA-N -1 1 346.395 -0.048 20 0 EBADMM CN1C[C@@H](C(=O)NC[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000979917906 805632688 /nfs/dbraw/zinc/63/26/88/805632688.db2.gz JXSFOGJSJNTSSA-SRVKXCTJSA-N -1 1 346.387 -0.110 20 0 EBADMM NC(=O)[C@@H]1CC[C@@H]1C(=O)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000980115014 805746113 /nfs/dbraw/zinc/74/61/13/805746113.db2.gz MWQYEMWGSUURHC-KKOKHZNYSA-N -1 1 346.387 -0.077 20 0 EBADMM O=C(NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)[C@H]1CNC(=O)N1 ZINC000980351417 805858130 /nfs/dbraw/zinc/85/81/30/805858130.db2.gz HIBQHZWHNNJCNO-OPRDCNLKSA-N -1 1 333.348 -0.907 20 0 EBADMM Cc1nccc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000980431337 805893934 /nfs/dbraw/zinc/89/39/34/805893934.db2.gz NSRDNIUDWYMKEK-LLVKDONJSA-N -1 1 331.380 -0.447 20 0 EBADMM Cc1nonc1CNC[C@H]1C[C@@H](C)N(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000980641429 805972298 /nfs/dbraw/zinc/97/22/98/805972298.db2.gz FDDMUMCRYFAHHK-VXNVDRBHSA-N -1 1 349.351 -0.385 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)c3cncc(F)c3)CC2)nc1=O ZINC000980957688 806046196 /nfs/dbraw/zinc/04/61/96/806046196.db2.gz FRPKIHBBTXEJCD-UHFFFAOYSA-N -1 1 334.355 -0.009 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)C[C@@H]3COC(=O)C3)CC2)nc1=O ZINC000980973979 806050734 /nfs/dbraw/zinc/05/07/34/806050734.db2.gz MSTKKIHIZMBLOL-NSHDSACASA-N -1 1 337.380 -0.904 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)C[C@@](C)(O)C3CC3)CC2)nc1=O ZINC000980999841 806055107 /nfs/dbraw/zinc/05/51/07/806055107.db2.gz QBCITIVHLDMUPU-MRXNPFEDSA-N -1 1 337.424 -0.306 20 0 EBADMM O=C(c1ncccc1[O-])N1CCCN(CCN2C(=O)CNC2=O)CC1 ZINC000981026383 806062319 /nfs/dbraw/zinc/06/23/19/806062319.db2.gz CZNAVRBQARCDHW-UHFFFAOYSA-N -1 1 347.375 -0.513 20 0 EBADMM Cc1nonc1CN[C@@H]1CCC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000981203825 806108235 /nfs/dbraw/zinc/10/82/35/806108235.db2.gz ZNGHXJLNOWMIJB-RKDXNWHRSA-N -1 1 349.351 -0.195 20 0 EBADMM CCOc1cc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)[nH]n1 ZINC000981417202 806167748 /nfs/dbraw/zinc/16/77/48/806167748.db2.gz DAWXVLHSQXIGEA-UHFFFAOYSA-N -1 1 349.395 -0.422 20 0 EBADMM Cc1cc(C)n(CC(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC000981583926 806208489 /nfs/dbraw/zinc/20/84/89/806208489.db2.gz MIKSONPRBDSMCH-UHFFFAOYSA-N -1 1 347.423 -0.344 20 0 EBADMM C/C=C(\C)C(=O)N1CC[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000982626877 806594277 /nfs/dbraw/zinc/59/42/77/806594277.db2.gz VIFBNGSOLWAEMP-JNZVDCKRSA-N -1 1 344.375 -0.038 20 0 EBADMM Cn1ncc(C(=O)NC[C@H]2CCN(C(=O)c3ncccc3[O-])C2)c1N ZINC000982669394 806625148 /nfs/dbraw/zinc/62/51/48/806625148.db2.gz CIBNXWLIYSPIIT-SNVBAGLBSA-N -1 1 344.375 -0.005 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1CCC(=O)N1 ZINC000982670538 806626197 /nfs/dbraw/zinc/62/61/97/806626197.db2.gz LNWNQWQFTBOTHP-WDEREUQCSA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CNC(=O)N1 ZINC000982673465 806630422 /nfs/dbraw/zinc/63/04/22/806630422.db2.gz OXLNGVMCXMQLFW-ZJUUUORDSA-N -1 1 333.348 -0.953 20 0 EBADMM C[C@H]1C[C@H](C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)CCO1 ZINC000982965565 806807111 /nfs/dbraw/zinc/80/71/11/806807111.db2.gz AFVMAJHTQHMSGR-QWHCGFSZSA-N -1 1 337.424 -0.042 20 0 EBADMM CCO[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1CNC(C)=O ZINC000983085557 806874159 /nfs/dbraw/zinc/87/41/59/806874159.db2.gz JKDXJSBIIYOMOK-ZYHUDNBSSA-N -1 1 348.363 -0.969 20 0 EBADMM C[C@@H]1CN(c2ccc(C(=O)NN3CC(=O)[N-]C3=O)cn2)C[C@@H](C)O1 ZINC000030041096 803741652 /nfs/dbraw/zinc/74/16/52/803741652.db2.gz RILUIVVHBZCNCF-NXEZZACHSA-N -1 1 333.348 -0.108 20 0 EBADMM NC(=O)[C@@H]1CCCN(c2ccc(C(=O)NN3CC(=O)[N-]C3=O)cc2)C1 ZINC000053762191 803747353 /nfs/dbraw/zinc/74/73/53/803747353.db2.gz UTIZSXYVLMPPPI-LLVKDONJSA-N -1 1 345.359 -0.415 20 0 EBADMM C[C@H]1CN(C(=O)Cn2cncn2)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000959601605 803816173 /nfs/dbraw/zinc/81/61/73/803816173.db2.gz QRBKRSXTPITRTK-NWDGAFQWSA-N -1 1 344.375 -0.097 20 0 EBADMM C/C=C(/C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000976859482 803911153 /nfs/dbraw/zinc/91/11/53/803911153.db2.gz OSXPAODLBOSEAT-AFHWHPFNSA-N -1 1 342.359 -0.430 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1[C@H]2CN(C(=O)[C@H]3C[C@H]3C)C[C@H]21 ZINC000976862894 803915003 /nfs/dbraw/zinc/91/50/03/803915003.db2.gz ULVXLDLWMYGEDX-KGDYZURWSA-N -1 1 348.359 -0.261 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)c3ncccn3)C(C)(C)C2)nc1=O ZINC000977392419 804304510 /nfs/dbraw/zinc/30/45/10/804304510.db2.gz RFFMASLNLPOPQK-SNVBAGLBSA-N -1 1 331.380 -0.461 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@@H]1CCNC(=O)C1 ZINC000977555686 804380898 /nfs/dbraw/zinc/38/08/98/804380898.db2.gz MJCOAMOIBMZOTG-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1c[nH]c(=O)cn1)C(=O)c1ncccc1[O-] ZINC000977601969 804409020 /nfs/dbraw/zinc/40/90/20/804409020.db2.gz QRQKDVROTDYVSD-JTQLQIEISA-N -1 1 343.343 -0.143 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1cnnn1C)C(=O)c1ncccc1[O-] ZINC000977605787 804410228 /nfs/dbraw/zinc/41/02/28/804410228.db2.gz CEOLQPUNBDEDSU-SNVBAGLBSA-N -1 1 330.348 -0.098 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)[C@H]1CCC(=O)N1)C(=O)c1ncccc1[O-] ZINC000977623187 804420328 /nfs/dbraw/zinc/42/03/28/804420328.db2.gz XNOVBESEHHYSPG-WDEREUQCSA-N -1 1 332.360 -0.261 20 0 EBADMM CC(=O)N1CC(C(=O)N(C)C[C@@H]2CCN2C(=O)c2ncccc2[O-])C1 ZINC000977647036 804436995 /nfs/dbraw/zinc/43/69/95/804436995.db2.gz ONFCKKBSQSIBSS-ZDUSSCGKSA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@@H]1CCCNC1=O ZINC000977863594 804532130 /nfs/dbraw/zinc/53/21/30/804532130.db2.gz LXPBWNWOOIDQBQ-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@@H]1CCC(=O)NC1 ZINC000977967502 804593778 /nfs/dbraw/zinc/59/37/78/804593778.db2.gz HOLKTLMBFUDYDC-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM COCC(=O)N1CC[C@@H]1CN(C)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000978055274 804636692 /nfs/dbraw/zinc/63/66/92/804636692.db2.gz PFPOLKBPOZCESR-MRVPVSSYSA-N -1 1 340.336 -0.784 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C[C@@H]1CCN1C(=O)C1CC1 ZINC000978059939 804638541 /nfs/dbraw/zinc/63/85/41/804638541.db2.gz YRSCSXCTLDGFEB-VIFPVBQESA-N -1 1 336.348 -0.021 20 0 EBADMM Cc1cc(CN2C[C@@H]3[C@@H](CNC(=O)c4n[nH]c(=O)[n-]c4=O)[C@@H]3C2)on1 ZINC000978418498 804830360 /nfs/dbraw/zinc/83/03/60/804830360.db2.gz XLCOUWCXNHIQFE-RTCCRHLQSA-N -1 1 346.347 -0.313 20 0 EBADMM CN1CCOC[C@@H]1C(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000978741905 804954019 /nfs/dbraw/zinc/95/40/19/804954019.db2.gz LNLOTCGETGKBSV-FRRDWIJNSA-N -1 1 348.403 -0.258 20 0 EBADMM C[C@@]1(C(=O)N[C@H]2C[C@@H](CNC(=O)c3ncccc3[O-])C2)CCNC1=O ZINC000978742641 804954084 /nfs/dbraw/zinc/95/40/84/804954084.db2.gz MWXUSIKRZDJZSL-VGTOOOLASA-N -1 1 346.387 -0.062 20 0 EBADMM CN1CC[C@H](C(=O)NC[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)C1=O ZINC000979151577 805178001 /nfs/dbraw/zinc/17/80/01/805178001.db2.gz QDVIDAYNYCECIG-GRYCIOLGSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2cc[n+]([O-])cc2)CCO1 ZINC000979170070 805187129 /nfs/dbraw/zinc/18/71/29/805187129.db2.gz BKWJYJMCHLGVIX-ZYHUDNBSSA-N -1 1 348.363 -0.837 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2cccnc2)CCO1 ZINC000979173174 805188698 /nfs/dbraw/zinc/18/86/98/805188698.db2.gz CTAVBQOJFTYTPT-JQWIXIFHSA-N -1 1 332.364 -0.075 20 0 EBADMM O=C(NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)[C@@H]1CNC(=O)N1 ZINC000979204250 805208067 /nfs/dbraw/zinc/20/80/67/805208067.db2.gz HIBQHZWHNNJCNO-AEJSXWLSSA-N -1 1 333.348 -0.907 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2ccnn2C)CCO1 ZINC000979233346 805225592 /nfs/dbraw/zinc/22/55/92/805225592.db2.gz VIIWPBIVYQUNOK-ONGXEEELSA-N -1 1 335.368 -0.737 20 0 EBADMM CCc1cc(C(=O)N2CCO[C@@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC000979245875 805237774 /nfs/dbraw/zinc/23/77/74/805237774.db2.gz CLXRYQXPDSLMKI-BXKDBHETSA-N -1 1 349.395 -0.185 20 0 EBADMM Cc1cc(CC(=O)N2CCO[C@@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC000979261475 805246165 /nfs/dbraw/zinc/24/61/65/805246165.db2.gz ZUVGMMIQLHNJNA-CMPLNLGQSA-N -1 1 349.395 -0.510 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)nn1C ZINC000979262497 805248264 /nfs/dbraw/zinc/24/82/64/805248264.db2.gz RZRUPQBYIHVKNF-JQWIXIFHSA-N -1 1 349.395 -0.428 20 0 EBADMM Cc1nc(C(=O)N2CCO[C@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)co1 ZINC000979267530 805250495 /nfs/dbraw/zinc/25/04/95/805250495.db2.gz BRWTUGOJOROVJF-KWQFWETISA-N -1 1 336.352 -0.174 20 0 EBADMM Cc1nc(C(=O)N2CCO[C@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)co1 ZINC000979267529 805250691 /nfs/dbraw/zinc/25/06/91/805250691.db2.gz BRWTUGOJOROVJF-KCJUWKMLSA-N -1 1 336.352 -0.174 20 0 EBADMM Cc1nnccc1C(=O)N1CCO[C@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979328362 805298141 /nfs/dbraw/zinc/29/81/41/805298141.db2.gz SEJQVJDNFJMARD-PWSUYJOCSA-N -1 1 347.379 -0.372 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2ccsn2)CCO1 ZINC000979333509 805300653 /nfs/dbraw/zinc/30/06/53/805300653.db2.gz PJFVGXFQQZVQIB-SCZZXKLOSA-N -1 1 338.393 -0.014 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)CC2(O)CCC2)CCO1 ZINC000979338097 805305740 /nfs/dbraw/zinc/30/57/40/805305740.db2.gz TYBGAMVHYBEBEG-GHMZBOCLSA-N -1 1 339.396 -0.479 20 0 EBADMM Cc1nc(C)c(C(=O)N2CCO[C@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC000979390992 805345828 /nfs/dbraw/zinc/34/58/28/805345828.db2.gz NFEPSSNBVJGOPJ-KWQFWETISA-N -1 1 349.395 -0.130 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2ccc(=O)[nH]c2)CCO1 ZINC000979423090 805366796 /nfs/dbraw/zinc/36/67/96/805366796.db2.gz CIKNOHDMYYZBKO-GXSJLCMTSA-N -1 1 348.363 -0.370 20 0 EBADMM C[C@H]1C[C@H]1C(=O)NC[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000979425051 805369989 /nfs/dbraw/zinc/36/99/89/805369989.db2.gz IWTGHPDVUNJGRA-XWLWVQCSSA-N -1 1 344.375 -0.302 20 0 EBADMM Cc1nccc(C(=O)N2CCO[C@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000979434102 805375263 /nfs/dbraw/zinc/37/52/63/805375263.db2.gz QUHDTTKEXKRJOA-SKDRFNHKSA-N -1 1 347.379 -0.372 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2ccn(C)n2)CCO1 ZINC000979442880 805382599 /nfs/dbraw/zinc/38/25/99/805382599.db2.gz GWBAMGVQQVOUKK-ONGXEEELSA-N -1 1 335.368 -0.737 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)Cc2ccc[nH]2)CCO1 ZINC000979457199 805391464 /nfs/dbraw/zinc/39/14/64/805391464.db2.gz LULOJVSZHWJOAW-ZYHUDNBSSA-N -1 1 334.380 -0.213 20 0 EBADMM CN1CC[C@@H](C(=O)N[C@H]2C[C@H](CNC(=O)c3ncccc3[O-])C2)C1=O ZINC000979670201 805479823 /nfs/dbraw/zinc/47/98/23/805479823.db2.gz WLSJJDSAFPARLO-SRVKXCTJSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@@]1(C(=O)N[C@H]2C[C@H](CNC(=O)c3ncccc3[O-])C2)CCNC1=O ZINC000979673371 805481794 /nfs/dbraw/zinc/48/17/94/805481794.db2.gz MWXUSIKRZDJZSL-CZIZLABSSA-N -1 1 346.387 -0.062 20 0 EBADMM O=C(NC[C@H]1C[C@H](NC(=O)[C@H]2CCCNC2=O)C1)c1ncccc1[O-] ZINC000979675881 805483028 /nfs/dbraw/zinc/48/30/28/805483028.db2.gz VZGINNJQSWMVHC-SRVKXCTJSA-N -1 1 346.387 -0.062 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2C[C@H](CN[C@@H](C)c3nnnn3C)C2)c1[O-] ZINC000983487435 807024251 /nfs/dbraw/zinc/02/42/51/807024251.db2.gz PAHOZMNTVFFUBF-GUBZILKMSA-N -1 1 334.384 -0.194 20 0 EBADMM Cn1nnc(C(=O)N[C@H]2CCC[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC000983572848 807039367 /nfs/dbraw/zinc/03/93/67/807039367.db2.gz HXEOHGWYUNOKOK-UWVGGRQHSA-N -1 1 345.363 -0.218 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)[C@H]1CCCN(C(=O)C2CC2)C1 ZINC000983746844 807091025 /nfs/dbraw/zinc/09/10/25/807091025.db2.gz GOAMSTFGNBVOPP-NSHDSACASA-N -1 1 344.375 -0.109 20 0 EBADMM CN(C(=O)c1n[nH]c(=O)[n-]c1=O)[C@@H]1CCCN(C(=O)c2ccn[nH]2)C1 ZINC000983928107 807122587 /nfs/dbraw/zinc/12/25/87/807122587.db2.gz ZKUZOQOJQREPOH-MRVPVSSYSA-N -1 1 347.335 -0.617 20 0 EBADMM CN(C(=O)[C@H]1CCNC1=O)[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984109743 807167579 /nfs/dbraw/zinc/16/75/79/807167579.db2.gz DWMQZBORYRRZGO-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(N[C@H]1C[C@H](CNCc2nncs2)C1)c1n[nH]c(=O)[n-]c1=O ZINC000984216291 807220249 /nfs/dbraw/zinc/22/02/49/807220249.db2.gz SOAOFRSMUSVARU-LJGSYFOKSA-N -1 1 337.365 -0.568 20 0 EBADMM CCC(=O)N(C)[C@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000984406429 807282309 /nfs/dbraw/zinc/28/23/09/807282309.db2.gz IJXYAAMWRIOAJG-JTQLQIEISA-N -1 1 332.364 -0.109 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN1C(=O)COC ZINC000985160204 807458011 /nfs/dbraw/zinc/45/80/11/807458011.db2.gz ALOASXPHJRWFQB-GXSJLCMTSA-N -1 1 348.363 -0.827 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)c2cc[n+]([O-])cc2)CC1 ZINC000985236664 807467762 /nfs/dbraw/zinc/46/77/62/807467762.db2.gz TXIAFHDVXUJTAC-UHFFFAOYSA-N -1 1 332.364 -0.120 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)CN2CCCC2=O)CC1 ZINC000985260804 807473059 /nfs/dbraw/zinc/47/30/59/807473059.db2.gz HDYLOWSFSOTQLA-UHFFFAOYSA-N -1 1 336.396 -0.445 20 0 EBADMM CCn1cc(C(=O)N2CCC(N(C)Cc3n[nH]c(=O)[n-]3)CC2)nn1 ZINC000985719725 807569777 /nfs/dbraw/zinc/56/97/77/807569777.db2.gz IFARWJDPMWLSRS-UHFFFAOYSA-N -1 1 334.384 -0.142 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)c2ccc(=O)n(C)n2)CC1 ZINC000985781665 807579853 /nfs/dbraw/zinc/57/98/53/807579853.db2.gz ZIQLKMZHWVOMAU-UHFFFAOYSA-N -1 1 347.379 -0.659 20 0 EBADMM Cc1cccn(CC(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)c1=O ZINC000986267320 807660831 /nfs/dbraw/zinc/66/08/31/807660831.db2.gz CMTAERCOQBAFQY-RYUDHWBXSA-N -1 1 346.391 -0.240 20 0 EBADMM COc1cc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)ncn1 ZINC000986297828 807670151 /nfs/dbraw/zinc/67/01/51/807670151.db2.gz GTVLXKBKZODUJU-RKDXNWHRSA-N -1 1 333.352 -0.298 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc2n[nH]nc2n1 ZINC000986334585 807677146 /nfs/dbraw/zinc/67/71/46/807677146.db2.gz KIPFMPMGOREMDT-SFYZADRCSA-N -1 1 343.351 -0.431 20 0 EBADMM Cc1cc(=O)c(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)cn1C ZINC000986399517 807695432 /nfs/dbraw/zinc/69/54/32/807695432.db2.gz NLBNBQHPYVJLOW-ZYHUDNBSSA-N -1 1 346.391 -0.090 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc2nnnn2c1 ZINC000986554480 807733502 /nfs/dbraw/zinc/73/35/02/807733502.db2.gz BJCKIBQGKNNNJZ-PSASIEDQSA-N -1 1 343.351 -0.659 20 0 EBADMM COc1nn(C)cc1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000986662115 807758966 /nfs/dbraw/zinc/75/89/66/807758966.db2.gz HIPZHFIROJXWLD-WCBMZHEXSA-N -1 1 335.368 -0.355 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc(C(N)=O)[nH]1 ZINC000986985361 807814342 /nfs/dbraw/zinc/81/43/42/807814342.db2.gz NSIZSOMERGYDAJ-SFYZADRCSA-N -1 1 333.352 -0.670 20 0 EBADMM C[C@@H]1[C@H](NCc2cnon2)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000987196722 807866177 /nfs/dbraw/zinc/86/61/77/807866177.db2.gz MJJUFCAWBGMUID-ZYHUDNBSSA-N -1 1 348.363 -0.911 20 0 EBADMM COc1ccc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)nn1 ZINC000987316700 807891905 /nfs/dbraw/zinc/89/19/05/807891905.db2.gz JNVQZSHRAKQAGE-BDAKNGLRSA-N -1 1 333.352 -0.298 20 0 EBADMM C[C@@H]1[C@@H](NCc2ccon2)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000987353355 807899211 /nfs/dbraw/zinc/89/92/11/807899211.db2.gz OIDSOCRYFIEQFN-KOLCDFICSA-N -1 1 343.347 -0.202 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)[C@H]2COCCO2)CCN1C(=O)c1ncccc1[O-] ZINC000987371922 807902208 /nfs/dbraw/zinc/90/22/08/807902208.db2.gz DALLGWFGCNRXOE-NTZNESFSSA-N -1 1 335.360 -0.078 20 0 EBADMM C[C@H]1[C@H](NCc2ccn(C)n2)CCN1C(=O)Cn1c(=O)[n-][nH]c1=O ZINC000987422581 807915200 /nfs/dbraw/zinc/91/52/00/807915200.db2.gz RIJSMIQEXVHBLL-GXSJLCMTSA-N -1 1 335.368 -0.798 20 0 EBADMM C[C@@H]1[C@H](NCc2cnns2)CCN1C(=O)Cn1c(=O)[n-][nH]c1=O ZINC000987424313 807916265 /nfs/dbraw/zinc/91/62/65/807916265.db2.gz ZTWYWXMJFITEIA-VXNVDRBHSA-N -1 1 339.381 -0.680 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1noc2c1COCC2 ZINC000987549678 807954597 /nfs/dbraw/zinc/95/45/97/807954597.db2.gz FDUYWHYXHTZTBY-WPRPVWTQSA-N -1 1 348.363 -0.036 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cncc(C(N)=O)c1 ZINC000987555506 807955926 /nfs/dbraw/zinc/95/59/26/807955926.db2.gz FRTSNQGVYBDCPA-KWQFWETISA-N -1 1 345.363 -0.603 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)[C@H]2CCC(=O)N2)C1)C(=O)c1ncccc1[O-] ZINC000987827712 808029315 /nfs/dbraw/zinc/02/93/15/808029315.db2.gz WUWDRHMYLMZLDF-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1)C(=O)c1ccn[nH]1 ZINC000988156947 808131125 /nfs/dbraw/zinc/13/11/25/808131125.db2.gz FPSJVJLGTBDOFE-MRVPVSSYSA-N -1 1 347.335 -0.760 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)[C@@H]2CNC(=O)N2)C1)C(=O)c1ncccc1[O-] ZINC000988269910 808175642 /nfs/dbraw/zinc/17/56/42/808175642.db2.gz CAVYOTGPPRVFBT-QWRGUYRKSA-N -1 1 347.375 -0.611 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnc(N(C)C)cn1 ZINC000988845613 808300747 /nfs/dbraw/zinc/30/07/47/808300747.db2.gz TXXYKUOONBBSSX-VHSXEESVSA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnc2n[nH]nc2c1 ZINC000988972235 808339193 /nfs/dbraw/zinc/33/91/93/808339193.db2.gz HWNJHEADAGITIV-VXNVDRBHSA-N -1 1 343.351 -0.431 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCN(C(=O)C(F)F)[C@@H]1C ZINC000989042758 808355642 /nfs/dbraw/zinc/35/56/42/808355642.db2.gz IHRJOFSPERMWDX-RITPCOANSA-N -1 1 346.290 -0.119 20 0 EBADMM C[C@@H]1C[C@@H](NCc2cnns2)CN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000989109782 808376153 /nfs/dbraw/zinc/37/61/53/808376153.db2.gz GWKKVYHUVJPPPO-RNFRBKRXSA-N -1 1 337.365 -0.473 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cc(C(N)=O)cn1C ZINC000989113805 808378966 /nfs/dbraw/zinc/37/89/66/808378966.db2.gz VADZKBIFTZRDEX-SCZZXKLOSA-N -1 1 347.379 -0.660 20 0 EBADMM C[C@H]1C[C@H](NCc2nccn2C)CN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000989122299 808382078 /nfs/dbraw/zinc/38/20/78/808382078.db2.gz XIXSNLOVKXYHRK-IUCAKERBSA-N -1 1 333.352 -0.591 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnc2nccn2c1 ZINC000989180094 808394503 /nfs/dbraw/zinc/39/45/03/808394503.db2.gz MXOFYUGLPQSBEW-KOLCDFICSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCc1nccn1C ZINC000989221146 808407228 /nfs/dbraw/zinc/40/72/28/808407228.db2.gz JDZHCSHAMPARSP-GHMZBOCLSA-N -1 1 333.396 -0.044 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnn2cccnc12 ZINC000989284782 808427133 /nfs/dbraw/zinc/42/71/33/808427133.db2.gz VHVBSOYILQGUPC-NXEZZACHSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cc(C(N)=O)co1 ZINC000989442858 808475778 /nfs/dbraw/zinc/47/57/78/808475778.db2.gz LSDXIBDHLRJRAB-IONNQARKSA-N -1 1 334.336 -0.405 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCc1cnn(C)n1 ZINC000989454504 808479785 /nfs/dbraw/zinc/47/97/85/808479785.db2.gz UGZFJRKLTNMZPN-ONGXEEELSA-N -1 1 334.384 -0.649 20 0 EBADMM CCn1cnc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)c1 ZINC000989493294 808489569 /nfs/dbraw/zinc/48/95/69/808489569.db2.gz YRXNCHIVCDUQIT-UHFFFAOYSA-N -1 1 333.396 -0.327 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc2nncn2c1 ZINC000989550157 808504135 /nfs/dbraw/zinc/50/41/35/808504135.db2.gz NOBLQURTBBXUFI-MWLCHTKSSA-N -1 1 342.363 -0.054 20 0 EBADMM CCCn1cc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)nn1 ZINC000989618248 808533987 /nfs/dbraw/zinc/53/39/87/808533987.db2.gz HCTSOHHMNNLCSM-ZJUUUORDSA-N -1 1 334.384 -0.095 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)Cn3ccccc3=O)CC2)nc1=O ZINC000989638242 808543137 /nfs/dbraw/zinc/54/31/37/808543137.db2.gz RLAPHCSUYHXOSG-UHFFFAOYSA-N -1 1 346.391 -0.995 20 0 EBADMM Cc1noc(C)c1CC(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000989643580 808544486 /nfs/dbraw/zinc/54/44/86/808544486.db2.gz JOERIQFJOABHKK-UHFFFAOYSA-N -1 1 348.407 -0.010 20 0 EBADMM Cc1ccc(=O)n(CC(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)n1 ZINC000989704234 808563141 /nfs/dbraw/zinc/56/31/41/808563141.db2.gz QYKHALDVKIQTDH-MNOVXSKESA-N -1 1 347.379 -0.845 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCc1cnn(C)c1 ZINC000989825501 808605934 /nfs/dbraw/zinc/60/59/34/808605934.db2.gz GRWZMJXXFZHYKW-ZYHUDNBSSA-N -1 1 333.396 -0.044 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cc(C(N)=O)ccn1 ZINC000989874383 808615381 /nfs/dbraw/zinc/61/53/81/808615381.db2.gz WVARUTDTFWAVDL-SCZZXKLOSA-N -1 1 345.363 -0.603 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)C4=COCCO4)CCC[C@H]23)nc1=O ZINC000989929258 808620668 /nfs/dbraw/zinc/62/06/68/808620668.db2.gz UJQJKOPMDCFCAG-BLLLJJGKSA-N -1 1 349.391 -0.390 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)c4cn[nH]c4)CCC[C@@H]23)nc1=O ZINC000989974397 808629650 /nfs/dbraw/zinc/62/96/50/808629650.db2.gz VBVAKPPTOPUDJC-ABAIWWIYSA-N -1 1 331.380 -0.242 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(NC(=O)C2CCCC2)C1 ZINC000990036569 808642074 /nfs/dbraw/zinc/64/20/74/808642074.db2.gz LVMMLOSAQZPAQI-UHFFFAOYSA-N -1 1 334.376 -0.556 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1cccs1 ZINC000990115159 808658281 /nfs/dbraw/zinc/65/82/81/808658281.db2.gz SFETYHXFRRPUAW-UHFFFAOYSA-N -1 1 344.356 -0.267 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)c2cocn2)C1 ZINC000990469716 808801024 /nfs/dbraw/zinc/80/10/24/808801024.db2.gz JEMXGCGWKROPGQ-UHFFFAOYSA-N -1 1 335.276 -0.861 20 0 EBADMM CO[C@@H](C(=O)N1CC(NC(=O)c2ncccc2[O-])C1)[C@@H]1CCOC1 ZINC000990976110 809023205 /nfs/dbraw/zinc/02/32/05/809023205.db2.gz VXZKZJWAVCODKG-QMTHXVAHSA-N -1 1 335.360 -0.221 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)[nH]1 ZINC000990977819 809025147 /nfs/dbraw/zinc/02/51/47/809025147.db2.gz LXNLTRCGZZGAHA-UHFFFAOYSA-N -1 1 329.316 -0.531 20 0 EBADMM Cn1cc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)n(C)c1=O ZINC000990978444 809025400 /nfs/dbraw/zinc/02/54/00/809025400.db2.gz QHGYTFAXVNJUEW-UHFFFAOYSA-N -1 1 331.332 -0.921 20 0 EBADMM O=C(Cc1ccoc1)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000990984431 809030604 /nfs/dbraw/zinc/03/06/04/809030604.db2.gz KLYXQUTXRILFOA-UHFFFAOYSA-N -1 1 346.343 -0.911 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ccon2)C[C@H]1O)c1ncccc1[O-] ZINC000991412482 809430926 /nfs/dbraw/zinc/43/09/26/809430926.db2.gz XHDXUEIGZGRAGS-JOYOIKCWSA-N -1 1 332.316 -0.219 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2csnn2)C[C@H]1O)c1ncccc1[O-] ZINC000991422382 809443863 /nfs/dbraw/zinc/44/38/63/809443863.db2.gz HEFVIHBXIHWZML-LDYMZIIASA-N -1 1 349.372 -0.356 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cccnn2)C[C@@H]1O)c1ncccc1[O-] ZINC000991430061 809459755 /nfs/dbraw/zinc/45/97/55/809459755.db2.gz SMRNSINWVKPTGW-GWCFXTLKSA-N -1 1 343.343 -0.417 20 0 EBADMM Cn1nccc1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991430217 809459835 /nfs/dbraw/zinc/45/98/35/809459835.db2.gz SSTFPCNFASTPBE-GXFFZTMASA-N -1 1 345.359 -0.474 20 0 EBADMM CCC(=O)N1CCC[C@@H]1CN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001001974689 809682146 /nfs/dbraw/zinc/68/21/46/809682146.db2.gz AQLQSNLTCZVKKV-SNVBAGLBSA-N -1 1 332.364 -0.109 20 0 EBADMM CCC(=O)N[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC[C@@H]1C ZINC001004273179 809818580 /nfs/dbraw/zinc/81/85/80/809818580.db2.gz IJUSXAPCZJXQFV-ONGXEEELSA-N -1 1 332.364 -0.206 20 0 EBADMM CC1(C)CN(C(=O)Cn2cnnn2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001008066338 810071615 /nfs/dbraw/zinc/07/16/15/810071615.db2.gz ABUUJPGAUWFDAJ-LLVKDONJSA-N -1 1 345.363 -0.559 20 0 EBADMM COc1ccnc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001008153141 810091009 /nfs/dbraw/zinc/09/10/09/810091009.db2.gz OYOGLOQWALJXAS-NSHDSACASA-N -1 1 346.391 -0.094 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001008252446 810119966 /nfs/dbraw/zinc/11/99/66/810119966.db2.gz QOLBIJBFRMQRQH-JTQLQIEISA-N -1 1 347.379 -0.693 20 0 EBADMM O=C(N[C@@H]1CCCN(Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCCc2n[nH]nc21 ZINC001008345200 810140787 /nfs/dbraw/zinc/14/07/87/810140787.db2.gz UGIRIYKGYUQLDL-NXEZZACHSA-N -1 1 346.395 -0.171 20 0 EBADMM CC1(C)CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)[C@@H]1CCNC1=O ZINC001008399735 810152674 /nfs/dbraw/zinc/15/26/74/810152674.db2.gz GWBTURHGNMFYEJ-PWSUYJOCSA-N -1 1 346.387 -0.110 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@@H]2[C@@H](CNC(=O)C3CC3)[C@@H]2C1 ZINC001008676508 810213405 /nfs/dbraw/zinc/21/34/05/810213405.db2.gz OZROAEDOYBUFSV-OWUUHHOZSA-N -1 1 348.359 -0.259 20 0 EBADMM CO[C@@H](C)CN1CCC[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001008894774 810222576 /nfs/dbraw/zinc/22/25/76/810222576.db2.gz ZGHZBJJCNCVTAI-RYUDHWBXSA-N -1 1 348.407 -0.313 20 0 EBADMM O=C(N[C@@H]1CCCN(Cc2ccon2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001009199678 810233655 /nfs/dbraw/zinc/23/36/55/810233655.db2.gz CPNKDBHZMSVSSY-SNVBAGLBSA-N -1 1 343.347 -0.200 20 0 EBADMM CCc1ncncc1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001009550037 810245924 /nfs/dbraw/zinc/24/59/24/810245924.db2.gz BXWWVBRKMSTRCP-LLVKDONJSA-N -1 1 345.407 -0.145 20 0 EBADMM C[C@@H]1CN(C(=O)COC[C@H]2CCOC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001215754801 810342735 /nfs/dbraw/zinc/34/27/35/810342735.db2.gz LICXZWQEKFYYDJ-GRYCIOLGSA-N -1 1 339.396 -0.500 20 0 EBADMM CNC(=O)CCCCC(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001216919420 810398474 /nfs/dbraw/zinc/39/84/74/810398474.db2.gz WEGYOMCMBWQMBP-GHMZBOCLSA-N -1 1 338.412 -0.247 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)Cc1nnc[nH]1 ZINC001014082934 810412121 /nfs/dbraw/zinc/41/21/21/810412121.db2.gz MAIPLQRHMZVIBW-NXEZZACHSA-N -1 1 330.348 -0.133 20 0 EBADMM CC/C=C(/C)C(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21 ZINC001217435017 810433079 /nfs/dbraw/zinc/43/30/79/810433079.db2.gz KCFGGIWGYYZTOL-DBUDNELGSA-N -1 1 335.408 -0.124 20 0 EBADMM CC(C)[C@@H]1CCO[C@@H]1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001014452325 810433315 /nfs/dbraw/zinc/43/33/15/810433315.db2.gz OYKJNKQSALINHD-OBJOEFQTSA-N -1 1 337.424 -0.140 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cnn4cccnc34)C2)nc1=O ZINC001015481057 810490757 /nfs/dbraw/zinc/49/07/57/810490757.db2.gz BTNOHXRYWOVIHL-SNVBAGLBSA-N -1 1 342.363 -0.845 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@H]3CCCc4nc[nH]c43)C2)nc1=O ZINC001015688824 810563121 /nfs/dbraw/zinc/56/31/21/810563121.db2.gz DGEVUJXDIZRINU-QWRGUYRKSA-N -1 1 345.407 -0.358 20 0 EBADMM O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCc2[nH]nnc2C1 ZINC001015991449 810644567 /nfs/dbraw/zinc/64/45/67/810644567.db2.gz BGWYTEOTFVHARH-BDAKNGLRSA-N -1 1 332.368 -0.876 20 0 EBADMM O=C(N[C@H]1CCN(Cc2ncccn2)C1)c1cc(=O)n2nc[n-]c2n1 ZINC001015992210 810646505 /nfs/dbraw/zinc/64/65/05/810646505.db2.gz MGJWGEGWODVXTD-JTQLQIEISA-N -1 1 340.347 -0.788 20 0 EBADMM CCC[C@H](C)CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001219340596 810663872 /nfs/dbraw/zinc/66/38/72/810663872.db2.gz JEUDTNLQBMFACY-TUAOUCFPSA-N -1 1 325.413 -0.404 20 0 EBADMM Cc1ncccc1CN1CC[C@H](NC(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC001016112356 810669342 /nfs/dbraw/zinc/66/93/42/810669342.db2.gz XGMMSYPQZGQQDT-LBPRGKRZSA-N -1 1 332.364 -0.217 20 0 EBADMM CN(C(=O)Cn1cnnn1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016225410 810704985 /nfs/dbraw/zinc/70/49/85/810704985.db2.gz BXTHIEZBXFKFIX-UHFFFAOYSA-N -1 1 331.336 -0.807 20 0 EBADMM CN1CCCC[C@H]1C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[n-]2)CCO1 ZINC001035415616 810785763 /nfs/dbraw/zinc/78/57/63/810785763.db2.gz CGWWKOTZAYGOES-RYUDHWBXSA-N -1 1 338.412 -0.688 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)[C@@H]3CC34CCC4)C2)nc1=O ZINC001035524505 810874321 /nfs/dbraw/zinc/87/43/21/810874321.db2.gz GCVMJDSRDJUEDR-NEPJUHHUSA-N -1 1 335.408 -0.384 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)c2ccon2)CC3)nc1=O ZINC001035661393 810982500 /nfs/dbraw/zinc/98/25/00/810982500.db2.gz OFOMULFWBRIJBH-UHFFFAOYSA-N -1 1 332.364 -0.165 20 0 EBADMM Cc1nonc1CN[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@H]1C ZINC001036093193 811096065 /nfs/dbraw/zinc/09/60/65/811096065.db2.gz LJLRNRPGZYKBSR-IONNQARKSA-N -1 1 349.351 -0.385 20 0 EBADMM Nc1nc2c(ncn2CC(=O)Nc2[n-]c(=O)nc3nc[nH]c32)c(=O)[nH]1 ZINC001220471704 811120358 /nfs/dbraw/zinc/12/03/58/811120358.db2.gz XZIFCPBQCXAHEO-UHFFFAOYSA-N -1 1 342.279 -0.875 20 0 EBADMM C[C@H]1CCCN(C(=O)CS(C)(=O)=O)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036520789 811168234 /nfs/dbraw/zinc/16/82/34/811168234.db2.gz GEYOQNSCUMSFNW-VHSXEESVSA-N -1 1 345.425 -0.728 20 0 EBADMM C[C@@H]1CCCN(C(=O)CS(C)(=O)=O)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036520787 811168788 /nfs/dbraw/zinc/16/87/88/811168788.db2.gz GEYOQNSCUMSFNW-NXEZZACHSA-N -1 1 345.425 -0.728 20 0 EBADMM C[C@H]1CCCN(C(=O)c2nccnc2N)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036523792 811169694 /nfs/dbraw/zinc/16/96/94/811169694.db2.gz ASCZTFKHWMEUFV-UWVGGRQHSA-N -1 1 346.395 -0.087 20 0 EBADMM C[C@@H]1CCCN(C(=O)c2cn(C)nn2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036545757 811173085 /nfs/dbraw/zinc/17/30/85/811173085.db2.gz ACNHLNWAGGUPFJ-MWLCHTKSSA-N -1 1 334.384 -0.331 20 0 EBADMM O=C(C1=COCCO1)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036635769 811188346 /nfs/dbraw/zinc/18/83/46/811188346.db2.gz OGHXLJOEJXIGGC-QWRGUYRKSA-N -1 1 335.364 -0.179 20 0 EBADMM Nc1nccnc1C(=O)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036670408 811202288 /nfs/dbraw/zinc/20/22/88/811202288.db2.gz SZPJNKSIABZUSX-UWVGGRQHSA-N -1 1 344.379 -0.381 20 0 EBADMM O=C(CCn1ccnn1)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036700336 811213864 /nfs/dbraw/zinc/21/38/64/811213864.db2.gz FHJOAXSNTKHIQO-NWDGAFQWSA-N -1 1 346.395 -0.385 20 0 EBADMM O=C(Cc1ncc[nH]1)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036851751 811288829 /nfs/dbraw/zinc/28/88/29/811288829.db2.gz REKRXLSXXZBOIR-GHMZBOCLSA-N -1 1 331.380 -0.101 20 0 EBADMM Cn1cncc1CC(=O)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036866658 811295984 /nfs/dbraw/zinc/29/59/84/811295984.db2.gz IVJOGYKEWLIORF-YPMHNXCESA-N -1 1 345.407 -0.091 20 0 EBADMM Cn1nnc(CNC[C@H]2CCC[C@H]2NC(=O)c2ncccc2[O-])n1 ZINC001036991691 811327304 /nfs/dbraw/zinc/32/73/04/811327304.db2.gz YMZCSCCUYRSSSV-GHMZBOCLSA-N -1 1 331.380 -0.001 20 0 EBADMM Cn1ncc(CNC[C@@H]2CCC[C@@H]2NC(=O)c2n[nH]c(=O)[n-]c2=O)n1 ZINC001037038134 811347936 /nfs/dbraw/zinc/34/79/36/811347936.db2.gz IPCZRZVFSDCBST-WPRPVWTQSA-N -1 1 348.367 -0.900 20 0 EBADMM CC(C)C[C@H](N)C(=O)N[C@@H](C)C(=O)n1[n-]c2ccnc(=O)c-2c1N ZINC001221237742 811422779 /nfs/dbraw/zinc/42/27/79/811422779.db2.gz XSDXPCNBCJKGQG-IUCAKERBSA-N -1 1 334.380 -0.223 20 0 EBADMM CCO[C@H](CC)C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001221372186 811447724 /nfs/dbraw/zinc/44/77/24/811447724.db2.gz KCJYZMCBFYESAT-JHJVBQTASA-N -1 1 337.424 -0.044 20 0 EBADMM CC[C@@H](C)OCC(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001221484471 811465688 /nfs/dbraw/zinc/46/56/88/811465688.db2.gz SSSDTEHKJRBOTG-JHJVBQTASA-N -1 1 337.424 -0.044 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C)n1cccn1 ZINC001079439084 811541383 /nfs/dbraw/zinc/54/13/83/811541383.db2.gz SCOMUADKHHZTQW-GRYCIOLGSA-N -1 1 333.396 -0.497 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)n(C)n1 ZINC001079464245 811549155 /nfs/dbraw/zinc/54/91/55/811549155.db2.gz YZOJAZBREISRLH-MWLCHTKSSA-N -1 1 333.396 -0.599 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cc1cccnc1 ZINC001079576484 811595981 /nfs/dbraw/zinc/59/59/81/811595981.db2.gz QKPCLIGPWOGXGJ-DGCLKSJQSA-N -1 1 330.392 -0.317 20 0 EBADMM CCC(=O)NCC(=O)N1CC(N(CC)C(=O)c2ncccc2[O-])C1 ZINC001079652907 811639183 /nfs/dbraw/zinc/63/91/83/811639183.db2.gz MIBFAAIIWDCZSY-UHFFFAOYSA-N -1 1 334.376 -0.014 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)Cc3ccon3)CC2)nc1=O ZINC001222691395 811656389 /nfs/dbraw/zinc/65/63/89/811656389.db2.gz KMRGILCQYWFJES-UHFFFAOYSA-N -1 1 334.380 -0.333 20 0 EBADMM Cc1ncc(CC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)o1 ZINC001079842607 811754105 /nfs/dbraw/zinc/75/41/05/811754105.db2.gz KCUCDYMEOUOUPP-BXKDBHETSA-N -1 1 334.380 -0.416 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001079890985 811773172 /nfs/dbraw/zinc/77/31/72/811773172.db2.gz RAAZKNYIQBOVLY-DNRKLUKYSA-N -1 1 331.380 -0.026 20 0 EBADMM O=[N+]([O-])O[C@@H]1CO[C@@H]2[C@@H](OCCCC[P@@](=O)([O-])O)CO[C@H]12 ZINC001225021819 811787943 /nfs/dbraw/zinc/78/79/43/811787943.db2.gz IZVGPWUMBXHLTD-SGIHWFKDSA-N -1 1 327.226 -0.296 20 0 EBADMM O=S(=O)([O-])C[C@@H](CN1CCOCC1)Oc1cccc2[nH]nnc21 ZINC001225991239 811841747 /nfs/dbraw/zinc/84/17/47/811841747.db2.gz XVEICSCSOJZBQL-SNVBAGLBSA-N -1 1 342.377 -0.075 20 0 EBADMM COc1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)cn1 ZINC001080084843 811889844 /nfs/dbraw/zinc/88/98/44/811889844.db2.gz QMWOGTCVPREWCK-ZYHUDNBSSA-N -1 1 346.391 -0.238 20 0 EBADMM CCN(C(=O)CCn1cc[n-]c(=O)c1=O)C1CN(C(=O)C2CCC2)C1 ZINC001080100436 811898903 /nfs/dbraw/zinc/89/89/03/811898903.db2.gz ZVQKXPFZXHTGOH-UHFFFAOYSA-N -1 1 348.403 -0.214 20 0 EBADMM CCN(C(=O)CCn1cc[n-]c(=O)c1=O)C1CN(C(=O)C2CC2)C1 ZINC001080100299 811898961 /nfs/dbraw/zinc/89/89/61/811898961.db2.gz VEGRSBAYSRBGBB-UHFFFAOYSA-N -1 1 334.376 -0.604 20 0 EBADMM CCC(=O)N[C@H](C)C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001227506573 811927041 /nfs/dbraw/zinc/92/70/41/811927041.db2.gz YFXUMXHARWLTEV-SNVBAGLBSA-N -1 1 338.412 -0.896 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)nc(C)n1 ZINC001080610176 812090965 /nfs/dbraw/zinc/09/09/65/812090965.db2.gz FCZRFJRFSZGVSL-NOZJJQNGSA-N -1 1 345.407 -0.234 20 0 EBADMM CCn1ncc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)c1C ZINC001080660815 812120786 /nfs/dbraw/zinc/12/07/86/812120786.db2.gz UIABBUKZXBGGBJ-ZWNOBZJWSA-N -1 1 347.423 -0.116 20 0 EBADMM COc1cccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)n1 ZINC001080699924 812148892 /nfs/dbraw/zinc/14/88/92/812148892.db2.gz CPBOJCRYWLMXJM-ZYHUDNBSSA-N -1 1 346.391 -0.238 20 0 EBADMM COc1cccnc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001080846092 812280186 /nfs/dbraw/zinc/28/01/86/812280186.db2.gz LDRUVTGPXWRSQL-GHMZBOCLSA-N -1 1 346.391 -0.238 20 0 EBADMM C[C@@H]1CN(Cc2cnn(C)c2)C[C@H]1NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001080852480 812286774 /nfs/dbraw/zinc/28/67/74/812286774.db2.gz GDUZOSSMGKKIHT-MWLCHTKSSA-N -1 1 335.368 -0.941 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)CC1CCOCC1 ZINC001231322133 812292605 /nfs/dbraw/zinc/29/26/05/812292605.db2.gz NNFAVQDJIDBXPY-ZDUSSCGKSA-N -1 1 337.424 -0.042 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)C[C@@H]1COC(=O)C1 ZINC001233654129 812326267 /nfs/dbraw/zinc/32/62/67/812326267.db2.gz OTEPPUKOSWEXSN-WDEREUQCSA-N -1 1 337.380 -0.906 20 0 EBADMM COC(=O)c1nc[n-]c(=O)c1OC(COC(C)=O)COC(C)=O ZINC001233758543 812340160 /nfs/dbraw/zinc/34/01/60/812340160.db2.gz KZMFPHBRDHKDOZ-UHFFFAOYSA-N -1 1 328.277 -0.158 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)Cc1ccnn1C ZINC001233966526 812372866 /nfs/dbraw/zinc/37/28/66/812372866.db2.gz NDNIGTRWYSJNTM-LBPRGKRZSA-N -1 1 333.396 -0.883 20 0 EBADMM CCOC(=O)CC[C@H](Oc1c(C(N)=O)nc[n-]c1=O)C(=O)OCC ZINC001234468656 812429383 /nfs/dbraw/zinc/42/93/83/812429383.db2.gz ROJNFXPNHKJXHO-QMMMGPOBSA-N -1 1 341.320 -0.065 20 0 EBADMM C[S@@](=O)CCCCNC(=S)Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001247020981 812595604 /nfs/dbraw/zinc/59/56/04/812595604.db2.gz XVHWADMAYQJHPP-SXPOAWKSSA-N -1 1 336.464 -0.855 20 0 EBADMM CN(C)c1nc(NC[C@@H](O)CN2CCOCC2)c(N=O)c(=O)[n-]1 ZINC001251005874 812612637 /nfs/dbraw/zinc/61/26/37/812612637.db2.gz FXDVVCGKIIFQJY-SECBINFHSA-N -1 1 326.357 -0.249 20 0 EBADMM O=C(COCC1CC1)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001081297125 812681415 /nfs/dbraw/zinc/68/14/15/812681415.db2.gz GZRAYSLVGHBDSM-OCCSQVGLSA-N -1 1 349.387 -0.237 20 0 EBADMM COc1ccc(NC(=O)C(=O)NCCCO)cc1[N-]S(C)(=O)=O ZINC001254122531 812697107 /nfs/dbraw/zinc/69/71/07/812697107.db2.gz NVEFLFYWOZOGED-UHFFFAOYSA-N -1 1 345.377 -0.496 20 0 EBADMM Cc1nc([C@H]2COCCN2C(=O)CCn2cc[n-]c(=O)c2=O)no1 ZINC001254590276 812707361 /nfs/dbraw/zinc/70/73/61/812707361.db2.gz CDKKFQHQNBMYFT-SNVBAGLBSA-N -1 1 335.320 -0.782 20 0 EBADMM CC(C)(C)C(=O)C(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001081348966 812781274 /nfs/dbraw/zinc/78/12/74/812781274.db2.gz KIKYPUICEFCFDR-JQWIXIFHSA-N -1 1 349.387 -0.049 20 0 EBADMM O=S(=O)([N-]C(CO)(CO)CO)c1cc(F)c(F)cc1Cl ZINC001260288331 812831209 /nfs/dbraw/zinc/83/12/09/812831209.db2.gz LHCJWXDCJTTYFD-UHFFFAOYSA-N -1 1 331.724 -0.388 20 0 EBADMM CC(=O)Nc1ncc(S(=O)(=O)[N-]c2cc(=O)[nH]c(=O)n2C)s1 ZINC001260709427 812847035 /nfs/dbraw/zinc/84/70/35/812847035.db2.gz IKROYICUELKUQU-UHFFFAOYSA-N -1 1 345.362 -0.298 20 0 EBADMM COCCCC(=O)N1C[C@@H](CNC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001081424156 812909977 /nfs/dbraw/zinc/90/99/77/812909977.db2.gz ATOMLNSRZVKPQY-DGCLKSJQSA-N -1 1 337.376 -0.237 20 0 EBADMM COCCCC(=O)N1C[C@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001081424155 812910036 /nfs/dbraw/zinc/91/00/36/812910036.db2.gz ATOMLNSRZVKPQY-AAEUAGOBSA-N -1 1 337.376 -0.237 20 0 EBADMM CC(C)OCC(=O)N1C[C@@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001081424835 812913924 /nfs/dbraw/zinc/91/39/24/812913924.db2.gz KBUPEVAOKVETFP-WCQYABFASA-N -1 1 337.376 -0.239 20 0 EBADMM COc1cncc(C(=O)OCCc2c(C)nc3nc[n-]n3c2=O)n1 ZINC001263380862 813112360 /nfs/dbraw/zinc/11/23/60/813112360.db2.gz CXGHNXBGMUVBMK-UHFFFAOYSA-N -1 1 330.304 -0.076 20 0 EBADMM Cc1cc(CN2CCN(c3nnc(Cc4nn[n-]n4)n3C)CC2)on1 ZINC001263485038 813113274 /nfs/dbraw/zinc/11/32/74/813113274.db2.gz OWZHNTLUVURIAH-UHFFFAOYSA-N -1 1 344.383 -0.462 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N(C)CC(=O)N1CCOCC1 ZINC001263720404 813115965 /nfs/dbraw/zinc/11/59/65/813115965.db2.gz LUWCDQMDLAGQGC-UHFFFAOYSA-N -1 1 326.378 -0.290 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@@H](C)n1cccc1 ZINC001081532217 813135326 /nfs/dbraw/zinc/13/53/26/813135326.db2.gz KAUIZTXGJJULFR-JHJVBQTASA-N -1 1 348.407 -0.514 20 0 EBADMM CO[C@@H]1CN(CCn2cccn2)C[C@H]1NC(=O)c1[nH]nc(C)c1[O-] ZINC001081540632 813144487 /nfs/dbraw/zinc/14/44/87/813144487.db2.gz KWDQFTYSLRQZJX-VXGBXAGGSA-N -1 1 334.380 -0.251 20 0 EBADMM CO[C@@H]1CN([C@@H]2CCN(C)C2=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001081578994 813227019 /nfs/dbraw/zinc/22/70/19/813227019.db2.gz HRDMLJHEQUFKMC-NQBHXWOUSA-N -1 1 334.376 -0.553 20 0 EBADMM O=C(Cn1ccnc1)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001081581119 813234599 /nfs/dbraw/zinc/23/45/99/813234599.db2.gz XMKPIHIAYROOGW-WCQYABFASA-N -1 1 345.359 -0.767 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cc1ccoc1 ZINC001081581536 813235736 /nfs/dbraw/zinc/23/57/36/813235736.db2.gz KAXMVMHNWZTSDC-VXGBXAGGSA-N -1 1 335.364 -0.741 20 0 EBADMM Cn1[n-]c(CN2CCN(CCNC(=O)[C@@]3(C)C=CCC3)CC2)nc1=O ZINC001266290091 813514947 /nfs/dbraw/zinc/51/49/47/813514947.db2.gz MZMNWZFROMNEEN-KRWDZBQOSA-N -1 1 348.451 -0.302 20 0 EBADMM Cc1conc1CN(C)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001266340137 813537759 /nfs/dbraw/zinc/53/77/59/813537759.db2.gz LOMPIAKDWVCBFJ-UHFFFAOYSA-N -1 1 335.364 -0.529 20 0 EBADMM COc1cc(CNCCN(C)C(=O)c2n[nH]c(=O)[n-]c2=O)sn1 ZINC001267397438 813877980 /nfs/dbraw/zinc/87/79/80/813877980.db2.gz DPEDNQBONFCGBI-UHFFFAOYSA-N -1 1 340.365 -0.390 20 0 EBADMM CO[C@@H]1CN(C[C@@H](C)O)C[C@H]1NC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001082324262 813894576 /nfs/dbraw/zinc/89/45/76/813894576.db2.gz IMCVKFHFVGDYMZ-HLUHBDAQSA-N -1 1 336.348 -0.262 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)CNC(=O)c1ccsc1 ZINC001267470982 813909577 /nfs/dbraw/zinc/90/95/77/813909577.db2.gz RAQAVMXNOHOZIA-UHFFFAOYSA-N -1 1 338.393 -0.450 20 0 EBADMM CC(C)N(CCCNC(=O)[C@H]1CCCC(=O)N1)Cc1n[nH]c(=O)[n-]1 ZINC001267503549 813917899 /nfs/dbraw/zinc/91/78/99/813917899.db2.gz IDBVGSJDDZGSRW-LLVKDONJSA-N -1 1 338.412 -0.104 20 0 EBADMM Cn1nccc1C(=O)NC1CN(CCC[N-]C(=O)C(F)(F)F)C1 ZINC001267639250 813964636 /nfs/dbraw/zinc/96/46/36/813964636.db2.gz AXKCTRIQYLFGQS-UHFFFAOYSA-N -1 1 333.314 -0.097 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2CCn3cncc3C2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082601229 813977261 /nfs/dbraw/zinc/97/72/61/813977261.db2.gz RAURWVVFZFLVDL-NTZNESFSSA-N -1 1 345.407 -0.094 20 0 EBADMM C[C@@H]1CCN(C(=O)C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001082850494 814015702 /nfs/dbraw/zinc/01/57/02/814015702.db2.gz XDJDISRNFGVLJW-GMTAPVOTSA-N -1 1 336.396 -0.685 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)C[C@@H]4C=CCC4)[C@H]3C2)nc1=O ZINC001082993963 814044561 /nfs/dbraw/zinc/04/45/61/814044561.db2.gz SRUMZWVTSFGIFG-HZSPNIEDSA-N -1 1 347.419 -0.124 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N1CCC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001267875330 814060794 /nfs/dbraw/zinc/06/07/94/814060794.db2.gz JKAPFMFACZNZLQ-PWSUYJOCSA-N -1 1 338.412 -0.247 20 0 EBADMM O=C(c1ncccc1[O-])N1CCO[C@@H]2CN([C@H]3CCCNC3=O)C[C@@H]21 ZINC001083059853 814098863 /nfs/dbraw/zinc/09/88/63/814098863.db2.gz YYWRKOLJGMYVRA-SGMGOOAPSA-N -1 1 346.387 -0.409 20 0 EBADMM Cc1cocc1C(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21 ZINC001083166611 814177448 /nfs/dbraw/zinc/17/74/48/814177448.db2.gz BWQGWSBIJMYJMB-QWHCGFSZSA-N -1 1 347.375 -0.265 20 0 EBADMM O=C(CN1CCCC1)N1CCO[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@@H]21 ZINC001083275594 814254934 /nfs/dbraw/zinc/25/49/34/814254934.db2.gz MWVVAIIPZFAEAJ-NWDGAFQWSA-N -1 1 336.396 -0.982 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)c3ccc(F)cc3)[C@@H](O)C2)nc1=O ZINC001083310251 814275976 /nfs/dbraw/zinc/27/59/76/814275976.db2.gz KSEHJKNKECOEIO-NEPJUHHUSA-N -1 1 335.339 -0.777 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)c3ccc(Cl)o3)[C@@H](O)C2)nc1=O ZINC001083341256 814302384 /nfs/dbraw/zinc/30/23/84/814302384.db2.gz RMBYIMOYFRXERB-SFYZADRCSA-N -1 1 341.755 -0.670 20 0 EBADMM Cc1nc(CNC[C@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)oc1C ZINC001268709340 814359785 /nfs/dbraw/zinc/35/97/85/814359785.db2.gz QREIIAAMQLBANQ-JTQLQIEISA-N -1 1 349.391 -0.174 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CN(CCc3ccnn3C)C[C@@H]2O)c1[O-] ZINC001083409971 814383472 /nfs/dbraw/zinc/38/34/72/814383472.db2.gz OTFKQVRIEJPVSE-NEPJUHHUSA-N -1 1 334.380 -0.825 20 0 EBADMM C[C@@H](c1nncn1C)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001083423118 814403223 /nfs/dbraw/zinc/40/32/23/814403223.db2.gz FYKOZGGGSRCWEM-UMNHJUIQSA-N -1 1 332.364 -0.548 20 0 EBADMM CCc1nnc(CN2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)o1 ZINC001083423274 814404240 /nfs/dbraw/zinc/40/42/40/814404240.db2.gz NNSHXGXLXZZRJF-KOLCDFICSA-N -1 1 333.348 -0.292 20 0 EBADMM Cc1nnsc1CN1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001083423293 814404257 /nfs/dbraw/zinc/40/42/57/814404257.db2.gz OFUHGYJPZJDYBL-KOLCDFICSA-N -1 1 335.389 -0.078 20 0 EBADMM CCOCCN1CC2(CN(C(=O)c3ncccc3[O-])C2)OCC1=O ZINC001268843601 814410429 /nfs/dbraw/zinc/41/04/29/814410429.db2.gz XKIWUWDBHLSUJB-UHFFFAOYSA-N -1 1 335.360 -0.123 20 0 EBADMM O=C(c1ncccc1[O-])N1CC[C@@]2(C1)CN(C1COC1)C(=O)CO2 ZINC001268845097 814410473 /nfs/dbraw/zinc/41/04/73/814410473.db2.gz GBUAFATVDGDPRB-MRXNPFEDSA-N -1 1 333.344 -0.371 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@@H]3CCCC4(CC4)C3)[C@@H](O)C2)nc1=O ZINC001083457501 814449216 /nfs/dbraw/zinc/44/92/16/814449216.db2.gz RSZKNRVQUODPSL-UPJWGTAASA-N -1 1 349.435 -0.260 20 0 EBADMM CC[C@@]1(C(=O)N[C@@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)CCNC1=O ZINC001269080322 814520218 /nfs/dbraw/zinc/52/02/18/814520218.db2.gz ZGVMICHTUHFSAM-ZUZCIYMTSA-N -1 1 336.396 -0.589 20 0 EBADMM COCCCNC(=O)CN1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001269121605 814540920 /nfs/dbraw/zinc/54/09/20/814540920.db2.gz GKTMRCGPUJDOAC-GFCCVEGCSA-N -1 1 336.392 -0.256 20 0 EBADMM O=C(N[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@@H]1O)c1[nH]nc2c1CCCC2 ZINC001083597835 814604653 /nfs/dbraw/zinc/60/46/53/814604653.db2.gz QJXVPKOAQYFCLN-MNOVXSKESA-N -1 1 347.379 -0.913 20 0 EBADMM O=C(N[C@@H]1CN(CC2=CCCCC2)C[C@@H]1O)c1n[nH]c(=O)[n-]c1=O ZINC001083606932 814617199 /nfs/dbraw/zinc/61/71/99/814617199.db2.gz XFCUMRKCSGHNMP-MNOVXSKESA-N -1 1 335.364 -0.442 20 0 EBADMM COCCN(C)C(=O)C1=NO[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]12 ZINC001269346833 814651102 /nfs/dbraw/zinc/65/11/02/814651102.db2.gz LAPXZQUOVFUOHY-CMPLNLGQSA-N -1 1 348.359 -0.281 20 0 EBADMM CC[C@H](C(N)=O)N1C(=O)COCC12CN(C(=O)c1ccc([O-])cn1)C2 ZINC001269354334 814658415 /nfs/dbraw/zinc/65/84/15/814658415.db2.gz GRQWFRXWUOHBOT-GFCCVEGCSA-N -1 1 348.359 -0.896 20 0 EBADMM Cc1ncc(CO)c(C(=O)N2C[C@]3(F)CN(C)C(=O)[C@]3(F)C2)c1[O-] ZINC001269418655 814684248 /nfs/dbraw/zinc/68/42/48/814684248.db2.gz ADXPSVOUHBVJHO-HUUCEWRRSA-N -1 1 341.314 -0.068 20 0 EBADMM CC[C@@H](C)N1C(=O)C[C@]2(CCCN(C(=O)Cc3nn[n-]n3)C2)C1=O ZINC001269576517 814734185 /nfs/dbraw/zinc/73/41/85/814734185.db2.gz NXAJJHNSCZJUQI-BMIGLBTASA-N -1 1 334.380 -0.092 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC[C@]12CCN(Cc1ccncc1)C2=O ZINC001269578238 814735338 /nfs/dbraw/zinc/73/53/38/814735338.db2.gz XMNMSNGDMCEWSN-MRXNPFEDSA-N -1 1 341.375 -0.069 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC[C@]12CCN(CC1CCOCC1)C2=O ZINC001269577818 814735825 /nfs/dbraw/zinc/73/58/25/814735825.db2.gz OJPFTWXHDHTBLN-MRXNPFEDSA-N -1 1 348.407 -0.238 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@@H]3C[C@H]3c3ccco3)[C@@H](O)C2)nc1=O ZINC001083794459 815613104 /nfs/dbraw/zinc/61/31/04/815613104.db2.gz VDPUKSFUFOINIM-KKOKHZNYSA-N -1 1 347.375 -0.834 20 0 EBADMM Cn1ncnc1C(=O)N1C[C@@H]2CCN(C(=O)c3ccc([O-])cn3)[C@@H]2C1 ZINC001269891288 815629454 /nfs/dbraw/zinc/62/94/54/815629454.db2.gz IPBGRHCQGRCNIR-GXFFZTMASA-N -1 1 342.359 -0.098 20 0 EBADMM O=C(N[C@@H]1CN(Cc2cnon2)C[C@@H]1O)c1cnc(C2CC2)[n-]c1=O ZINC001083839612 815642632 /nfs/dbraw/zinc/64/26/32/815642632.db2.gz HZZKNWJUJOBEKJ-NEPJUHHUSA-N -1 1 346.347 -0.582 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CCc2onc(C(=O)[N-]c3nnco3)c2C1 ZINC001270135570 815696398 /nfs/dbraw/zinc/69/63/98/815696398.db2.gz WXXFFBBRWTYSEX-UHFFFAOYSA-N -1 1 344.291 -0.065 20 0 EBADMM Cn1nnnc1NC(=O)[C@@]12C[C@@H]1CN(C(=O)c1ccc([O-])cn1)C2 ZINC001270138963 815697626 /nfs/dbraw/zinc/69/76/26/815697626.db2.gz NNVZLOTUFLOFSE-XLKFXECMSA-N -1 1 329.320 -0.589 20 0 EBADMM Cc1cc(CN2C[C@H]3C[C@@H](C2)N(C(=O)Cc2nn[n-]n2)C3)n(C)n1 ZINC001270182291 815712424 /nfs/dbraw/zinc/71/24/24/815712424.db2.gz BNOBMLMEAPDOPT-YPMHNXCESA-N -1 1 330.396 -0.483 20 0 EBADMM Cc1cc(CN2C[C@H]3CC[C@@H](C2)N3C(=O)Cc2nn[n-]n2)n(C)n1 ZINC001270183221 815714943 /nfs/dbraw/zinc/71/49/43/815714943.db2.gz SZMHSWYHFCCUCZ-TXEJJXNPSA-N -1 1 330.396 -0.340 20 0 EBADMM Cn1ncnc1C(=O)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001270257628 815736060 /nfs/dbraw/zinc/73/60/60/815736060.db2.gz CLVGOQJVLIFUFM-UHFFFAOYSA-N -1 1 342.359 -0.096 20 0 EBADMM CCc1cc(C)c(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)o1 ZINC001083956887 815739366 /nfs/dbraw/zinc/73/93/66/815739366.db2.gz CERDLXSANBYAEU-NEPJUHHUSA-N -1 1 349.391 -0.453 20 0 EBADMM CC(C)n1cccc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001084061775 815807895 /nfs/dbraw/zinc/80/78/95/815807895.db2.gz QFTHCHPMRHEKTF-YPMHNXCESA-N -1 1 348.407 -0.534 20 0 EBADMM CCCCCN1CCO[C@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001270657011 815844959 /nfs/dbraw/zinc/84/49/59/815844959.db2.gz HGCWPBSVGBHDCB-SNVBAGLBSA-N -1 1 325.369 -0.096 20 0 EBADMM Cc1cc(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)on1 ZINC001084183584 815863516 /nfs/dbraw/zinc/86/35/16/815863516.db2.gz DVAKJQYVNZETPV-GHMZBOCLSA-N -1 1 332.364 -0.249 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)C[C@@H]4CCCO4)[C@@H]3C2)nc1=O ZINC001084200451 815864713 /nfs/dbraw/zinc/86/47/13/815864713.db2.gz JIVYVVKNIRWUPP-FRRDWIJNSA-N -1 1 335.408 -0.290 20 0 EBADMM Cn1nccc1C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001084226412 815870050 /nfs/dbraw/zinc/87/00/50/815870050.db2.gz RDBVSTAAEREBTJ-ZYHUDNBSSA-N -1 1 331.380 -0.812 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)C[C@@](C)(O)C4CC4)[C@@H]3C2)nc1=O ZINC001084290307 815882448 /nfs/dbraw/zinc/88/24/48/815882448.db2.gz RVGUVLUVUBVOIR-CJBNDPTMSA-N -1 1 349.435 -0.308 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4cncnc4)[C@@H]3C2)nc1=O ZINC001084296555 815884820 /nfs/dbraw/zinc/88/48/20/815884820.db2.gz PZUVRRQXRBQVNF-ZYHUDNBSSA-N -1 1 329.364 -0.755 20 0 EBADMM CC(C)(C)NC(=O)C[C@H]1C[C@@H]2CN(C(=O)Cc3nn[n-]n3)C[C@@H]2O1 ZINC001270785419 815888860 /nfs/dbraw/zinc/88/88/60/815888860.db2.gz UGMDSZDATJBNEK-MXWKQRLJSA-N -1 1 336.396 -0.337 20 0 EBADMM CC(C)(C)NC(=O)[C@@H]1COCCC12CN(C(=O)Cc1nn[n-]n1)C2 ZINC001270785855 815889051 /nfs/dbraw/zinc/88/90/51/815889051.db2.gz WZDVJDIRDYRZIT-JTQLQIEISA-N -1 1 336.396 -0.478 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)CCc4ncc[nH]4)[C@@H]3C2)nc1=O ZINC001084312367 815889180 /nfs/dbraw/zinc/88/91/80/815889180.db2.gz MQKNSLOGBCYORA-VXGBXAGGSA-N -1 1 345.407 -0.503 20 0 EBADMM CCN(C(=O)[C@@H]1CCC2(CN(C(=O)Cc3nn[n-]n3)C2)O1)C(C)C ZINC001270787917 815890139 /nfs/dbraw/zinc/89/01/39/815890139.db2.gz BDICXJHABAHUFA-NSHDSACASA-N -1 1 336.396 -0.241 20 0 EBADMM O=C(C[C@H]1C[C@@H]2CN(C(=O)Cc3nn[n-]n3)C[C@@H]2O1)NCC(F)F ZINC001270787967 815890648 /nfs/dbraw/zinc/89/06/48/815890648.db2.gz CEHLQFRHRVEGMH-HLTSFMKQSA-N -1 1 344.322 -0.871 20 0 EBADMM O=C(Cc1nn[n-]n1)N1Cc2ccnn2CC[C@H]1C(=O)N1CC=CC1 ZINC001270788185 815890817 /nfs/dbraw/zinc/89/08/17/815890817.db2.gz COHDOYUQVXPGFU-LBPRGKRZSA-N -1 1 342.363 -0.862 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@@H]2C[C@@]21C(=O)N1Cc2cncnc2C1 ZINC001270788923 815891678 /nfs/dbraw/zinc/89/16/78/815891678.db2.gz JRORZUJVQXXGMH-BMIGLBTASA-N -1 1 340.347 -0.934 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC2(C[C@@H]2C(=O)NCc2c[nH]cn2)CC1 ZINC001270788670 815891725 /nfs/dbraw/zinc/89/17/25/815891725.db2.gz ISMLBSCWAFDBCY-LLVKDONJSA-N -1 1 344.379 -0.590 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)CC4=CCOCC4)[C@@H]3C2)nc1=O ZINC001084634442 815954418 /nfs/dbraw/zinc/95/44/18/815954418.db2.gz RDEUOGFSIOKJPC-ZIAGYGMSSA-N -1 1 347.419 -0.122 20 0 EBADMM O=C(N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)[C@H]1CCC(=O)NC1 ZINC001085254772 816033125 /nfs/dbraw/zinc/03/31/25/816033125.db2.gz XKRCKWFYOVKLCO-HBNTYKKESA-N -1 1 336.396 -0.447 20 0 EBADMM NC(=O)c1cc(C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)c[nH]1 ZINC001085424751 816048831 /nfs/dbraw/zinc/04/88/31/816048831.db2.gz GXEBHVWLGBCFTH-NXEZZACHSA-N -1 1 347.379 -0.232 20 0 EBADMM CC(C)C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@]1(C)CCNC1=O ZINC001271294561 816066198 /nfs/dbraw/zinc/06/61/98/816066198.db2.gz PRTWPTOANBZKND-BMIGLBTASA-N -1 1 338.412 -0.343 20 0 EBADMM CN(C[C@@H]1CCN1CCS(C)(=O)=O)C(=O)c1ncccc1[O-] ZINC001085562623 816072413 /nfs/dbraw/zinc/07/24/13/816072413.db2.gz QEHIVBAUXDWWEO-NSHDSACASA-N -1 1 327.406 -0.022 20 0 EBADMM CN(C[C@@H]1CCN1CC(=O)N1CCOCC1)C(=O)c1ncccc1[O-] ZINC001085562677 816072739 /nfs/dbraw/zinc/07/27/39/816072739.db2.gz RIMXIWOTTCCPQX-ZDUSSCGKSA-N -1 1 348.403 -0.208 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1cnc2n1CCC2 ZINC001085647302 816096612 /nfs/dbraw/zinc/09/66/12/816096612.db2.gz IABACMUJLWPWEN-NSHDSACASA-N -1 1 345.407 -0.402 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085656039 816100868 /nfs/dbraw/zinc/10/08/68/816100868.db2.gz DUMXDWVMDRJZCU-SECBINFHSA-N -1 1 335.368 -0.808 20 0 EBADMM CCn1cc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)c(C)n1 ZINC001085848988 816162272 /nfs/dbraw/zinc/16/22/72/816162272.db2.gz MCSHWWGSUCDNFO-GFCCVEGCSA-N -1 1 347.423 -0.020 20 0 EBADMM CCn1cc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)c(C)n1 ZINC001085848989 816162358 /nfs/dbraw/zinc/16/23/58/816162358.db2.gz MCSHWWGSUCDNFO-LBPRGKRZSA-N -1 1 347.423 -0.020 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1cnn2cc[nH]c12 ZINC001085944322 816184372 /nfs/dbraw/zinc/18/43/72/816184372.db2.gz GBIPVJNONDEFMC-JTQLQIEISA-N -1 1 344.379 -0.569 20 0 EBADMM CCNC(=O)CC(=O)N1CCC[C@@H](C)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001271706231 816189351 /nfs/dbraw/zinc/18/93/51/816189351.db2.gz XITPUOCHJQDWLP-MNOVXSKESA-N -1 1 338.412 -0.247 20 0 EBADMM CCc1ncncc1C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085976702 816194222 /nfs/dbraw/zinc/19/42/22/816194222.db2.gz DDVBLMOYPFMWBA-LLVKDONJSA-N -1 1 345.407 -0.193 20 0 EBADMM Cn1ccc(CN[C@H]2C[C@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001086418112 816326923 /nfs/dbraw/zinc/32/69/23/816326923.db2.gz AUOGBSCSXSKTHB-CZMCAQCFSA-N -1 1 333.352 -0.686 20 0 EBADMM Cc1nnc([C@H](C)N[C@H]2C[C@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC001086418481 816327897 /nfs/dbraw/zinc/32/78/97/816327897.db2.gz UUSSTBNTSJQQKU-XVYDVKMFSA-N -1 1 348.367 -0.431 20 0 EBADMM C[C@H](C(=O)N1CC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1)n1cncn1 ZINC001272409836 816424962 /nfs/dbraw/zinc/42/49/62/816424962.db2.gz OWVVAZWUKPDFAL-GHMZBOCLSA-N -1 1 334.384 -0.309 20 0 EBADMM Cc1cc2ccc(C(=O)NC[C@@H](O)CNCc3n[nH]c(=O)[n-]3)cn2c1 ZINC001272434921 816429687 /nfs/dbraw/zinc/42/96/87/816429687.db2.gz LTFQGYPNFSZLOI-ZDUSSCGKSA-N -1 1 344.375 -0.048 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1C[C@@H](c2cnn(C)c2)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001086991050 816438317 /nfs/dbraw/zinc/43/83/17/816438317.db2.gz HKGARSYBEZMLFZ-FOUMNBMASA-N -1 1 345.407 -0.016 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CC[C@@H](C)C[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001087197148 816472338 /nfs/dbraw/zinc/47/23/38/816472338.db2.gz ZQVVNHUZGGHYKE-SCZZXKLOSA-N -1 1 334.384 -0.033 20 0 EBADMM CC(C)NCc1cc(O)nc(CNC(=O)c2cnc([O-])n(C)c2=O)n1 ZINC001087258787 816490250 /nfs/dbraw/zinc/49/02/50/816490250.db2.gz BZJGJSMJIIFXBV-UHFFFAOYSA-N -1 1 348.363 -0.591 20 0 EBADMM CN(C)S(=O)(=O)N1C[C@H]2C[C@@H](C1)N2C(=O)c1cncc([O-])c1 ZINC001272673274 816504674 /nfs/dbraw/zinc/50/46/74/816504674.db2.gz VQZGRIXCHMIHTC-PHIMTYICSA-N -1 1 326.378 -0.508 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2ccc(=O)[nH]n2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087491853 816525196 /nfs/dbraw/zinc/52/51/96/816525196.db2.gz SVFCICMKLCMBRJ-GXSJLCMTSA-N -1 1 347.379 -0.613 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)Cn2cc(C)cn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087513238 816527294 /nfs/dbraw/zinc/52/72/94/816527294.db2.gz IMRZIBNITALOQQ-QWHCGFSZSA-N -1 1 347.423 -0.217 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2cn(C)nc2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087521078 816528512 /nfs/dbraw/zinc/52/85/12/816528512.db2.gz KRJUJKHODZYPOK-QWHCGFSZSA-N -1 1 347.423 -0.067 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)Cc2ccon2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087522443 816528922 /nfs/dbraw/zinc/52/89/22/816528922.db2.gz DYYINJVAGHYCAN-NWDGAFQWSA-N -1 1 334.380 -0.192 20 0 EBADMM Cn1nnc(CN2CC[C@@H]3CN(C(=O)c4ncccc4[O-])C[C@@H]3C2)n1 ZINC001087944061 816585879 /nfs/dbraw/zinc/58/58/79/816585879.db2.gz HCLLEBDFFITQCC-NEPJUHHUSA-N -1 1 343.391 -0.095 20 0 EBADMM Cc1cnoc1C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C1 ZINC001088158021 816639626 /nfs/dbraw/zinc/63/96/26/816639626.db2.gz SNGCZAMWLHJJCA-NEPJUHHUSA-N -1 1 346.391 -0.001 20 0 EBADMM COCCOCN1C(=O)COCC12CN(Cc1ncccc1[O-])C2 ZINC001273325948 816645366 /nfs/dbraw/zinc/64/53/66/816645366.db2.gz SLKGVAPZTDKLQR-UHFFFAOYSA-N -1 1 337.376 -0.179 20 0 EBADMM CC[C@H](C(N)=O)N1C[C@]2(CC[N@@H+](Cc3ncccc3O)C2)OCC1=O ZINC001273326253 816645518 /nfs/dbraw/zinc/64/55/18/816645518.db2.gz YRKBHNBASLDQRX-CXAGYDPISA-N -1 1 348.403 -0.146 20 0 EBADMM CC(C)(C(N)=O)C(=O)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088329920 816665279 /nfs/dbraw/zinc/66/52/79/816665279.db2.gz KPFGHGUSLNRPPU-SNVBAGLBSA-N -1 1 338.412 -0.117 20 0 EBADMM O=C(c1c[nH]c(=O)cn1)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088370748 816672459 /nfs/dbraw/zinc/67/24/59/816672459.db2.gz RINJXEAOZLBXPF-SNVBAGLBSA-N -1 1 347.379 -0.232 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088383011 816675539 /nfs/dbraw/zinc/67/55/39/816675539.db2.gz ROZMRJHUTUVEGP-AXFHLTTASA-N -1 1 336.396 -0.508 20 0 EBADMM Cc1nnsc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001088464535 816686948 /nfs/dbraw/zinc/68/69/48/816686948.db2.gz CPHLNFLRZWPKMJ-BDAKNGLRSA-N -1 1 337.409 -0.339 20 0 EBADMM Cc1c(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)cnn1C ZINC001088471209 816688839 /nfs/dbraw/zinc/68/88/39/816688839.db2.gz ZHFCIMRPEMECKV-PWSUYJOCSA-N -1 1 333.396 -0.457 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cc[nH]c(=O)c2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088516040 816694823 /nfs/dbraw/zinc/69/48/23/816694823.db2.gz YHJAKWKTOCDFMS-KOLCDFICSA-N -1 1 332.364 -0.398 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)cnn1 ZINC001088541535 816699067 /nfs/dbraw/zinc/69/90/67/816699067.db2.gz JQAYDVINYNWIMN-PWSUYJOCSA-N -1 1 331.380 -0.400 20 0 EBADMM CCn1ccc(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)n1 ZINC001088580130 816708256 /nfs/dbraw/zinc/70/82/56/816708256.db2.gz UAUOTQKDGXODQB-YPMHNXCESA-N -1 1 347.423 -0.354 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)C2(F)CCOCC2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088611301 816711926 /nfs/dbraw/zinc/71/19/26/816711926.db2.gz KCIQEPOEKQIREW-MNOVXSKESA-N -1 1 341.387 -0.294 20 0 EBADMM CCn1nnc(C)c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001088617753 816713334 /nfs/dbraw/zinc/71/33/34/816713334.db2.gz YCMZAJCSUNLHRI-MNOVXSKESA-N -1 1 348.411 -0.579 20 0 EBADMM CCc1c(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)cnn1C ZINC001088699885 816728018 /nfs/dbraw/zinc/72/80/18/816728018.db2.gz JVGQDXNMZUVYJD-PWSUYJOCSA-N -1 1 347.423 -0.203 20 0 EBADMM COc1ccnc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)c1 ZINC001088789151 816739930 /nfs/dbraw/zinc/73/99/30/816739930.db2.gz XOUCSAHZULHJAG-PWSUYJOCSA-N -1 1 346.391 -0.095 20 0 EBADMM COc1cccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)n1 ZINC001088951781 816768074 /nfs/dbraw/zinc/76/80/74/816768074.db2.gz GXXGMVPRAHFQQJ-MNOVXSKESA-N -1 1 346.391 -0.095 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cc2cncn2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088981350 816775265 /nfs/dbraw/zinc/77/52/65/816775265.db2.gz OOJVOZUDSMRUIT-PWSUYJOCSA-N -1 1 333.396 -0.836 20 0 EBADMM Cn1[n-]c(CNCc2cn([C@H]3CCN(C(=O)C4CC4)C3)nn2)nc1=O ZINC001089574307 816875719 /nfs/dbraw/zinc/87/57/19/816875719.db2.gz NNUGWVMIVPICJY-LBPRGKRZSA-N -1 1 346.395 -0.827 20 0 EBADMM CCCC(=O)N1CC[C@@H](n2cc(CNCc3nc(=O)n(C)[n-]3)nn2)C1 ZINC001089576907 816877166 /nfs/dbraw/zinc/87/71/66/816877166.db2.gz TZYKBLCZUCPUPP-GFCCVEGCSA-N -1 1 348.411 -0.437 20 0 EBADMM CCNCc1cn([C@@H]2CCN(C(=O)c3cnc([O-])n(C)c3=O)C2)nn1 ZINC001089587751 816882925 /nfs/dbraw/zinc/88/29/25/816882925.db2.gz VBFWIQLCIATMDJ-LLVKDONJSA-N -1 1 347.379 -0.726 20 0 EBADMM O=C(c1c[nH]c(=O)c(=O)[n-]1)N1CC2(C1)CCN(Cc1cn[nH]c1)C2 ZINC001274501487 816984780 /nfs/dbraw/zinc/98/47/80/816984780.db2.gz FKGZFCYMGOYIFG-UHFFFAOYSA-N -1 1 330.348 -0.866 20 0 EBADMM Cc1ccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)o1 ZINC001090048974 816986192 /nfs/dbraw/zinc/98/61/92/816986192.db2.gz GEOGTOSOVXIOJW-WDEREUQCSA-N -1 1 335.364 -0.625 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)n1C ZINC001090064550 817003850 /nfs/dbraw/zinc/00/38/50/817003850.db2.gz KXWNLHSDXPRLDY-DGCLKSJQSA-N -1 1 348.407 -0.879 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c(C)o1 ZINC001090065465 817005419 /nfs/dbraw/zinc/00/54/19/817005419.db2.gz RMMNSZOAOUUYMO-STQMWFEESA-N -1 1 349.391 -0.317 20 0 EBADMM Cc1ccncc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001090157988 817077289 /nfs/dbraw/zinc/07/72/89/817077289.db2.gz DISOJGIFDPZKDE-CHWSQXEVSA-N -1 1 346.391 -0.823 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)co1 ZINC001090224337 817146046 /nfs/dbraw/zinc/14/60/46/817146046.db2.gz DJQBRXLJXVCQMZ-NWDGAFQWSA-N -1 1 335.364 -0.625 20 0 EBADMM CCc1occc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001090233597 817162765 /nfs/dbraw/zinc/16/27/65/817162765.db2.gz IYXQIDVQNPZDQA-NWDGAFQWSA-N -1 1 349.391 -0.371 20 0 EBADMM Cc1cc[nH]c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001090235595 817164890 /nfs/dbraw/zinc/16/48/90/817164890.db2.gz ZCYRCKKQROOPOM-QWRGUYRKSA-N -1 1 334.380 -0.890 20 0 EBADMM Cc1cc[nH]c1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001090235591 817164925 /nfs/dbraw/zinc/16/49/25/817164925.db2.gz ZCYRCKKQROOPOM-GHMZBOCLSA-N -1 1 334.380 -0.890 20 0 EBADMM CC(C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O)=C1CCC1 ZINC001090253909 817189746 /nfs/dbraw/zinc/18/97/46/817189746.db2.gz GJULPCFKJKOVGF-QWHCGFSZSA-N -1 1 335.408 -0.340 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H](C)NC(=O)c1cnc2nccn2c1 ZINC001275453354 817221228 /nfs/dbraw/zinc/22/12/28/817221228.db2.gz XDZNEIISASVVLG-RKDXNWHRSA-N -1 1 330.352 -0.150 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C34CCC(CC3)C4)[C@@H](O)C2)nc1=O ZINC001090364021 817305146 /nfs/dbraw/zinc/30/51/46/817305146.db2.gz SGGVMPXQGBEFDY-HASVCBABSA-N -1 1 349.435 -0.260 20 0 EBADMM CN(C)C(=O)c1nnc2n1CCN(C(=O)c1ccc(F)c(=O)[n-]1)C2 ZINC001276303504 817382716 /nfs/dbraw/zinc/38/27/16/817382716.db2.gz WQFYRLLFWZVIFP-UHFFFAOYSA-N -1 1 334.311 -0.124 20 0 EBADMM CN(C)S(=O)(=O)N1C[C@H]2CN(Cc3ncccc3[O-])C[C@@H](C1)O2 ZINC001276594406 817435092 /nfs/dbraw/zinc/43/50/92/817435092.db2.gz VAKJQDPWXSYJGF-TXEJJXNPSA-N -1 1 342.421 -0.521 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C3(C4CC4)CCC3)[C@H](O)C2)nc1=O ZINC001090463740 817437337 /nfs/dbraw/zinc/43/73/37/817437337.db2.gz PGJFBMMSSLQYON-CHWSQXEVSA-N -1 1 349.435 -0.260 20 0 EBADMM C[C@H]1Cc2nnc(C(=O)N=c3nn[n-]n3C)n2CCN1CC1CCC1 ZINC001277298607 817544311 /nfs/dbraw/zinc/54/43/11/817544311.db2.gz XGNPPERKKHKSDX-JTQLQIEISA-N -1 1 345.411 -0.478 20 0 EBADMM C[C@H]1Cc2nnc(C(=O)N=c3nn[n-]n3C)n2CCN1CC(C)(C)C ZINC001278104388 817698775 /nfs/dbraw/zinc/69/87/75/817698775.db2.gz NBFQVRKYVKGBFA-JTQLQIEISA-N -1 1 347.427 -0.232 20 0 EBADMM Cc1c[nH]cc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001090804830 817758440 /nfs/dbraw/zinc/75/84/40/817758440.db2.gz KWHNEXWWNCXZDB-NEPJUHHUSA-N -1 1 334.380 -0.890 20 0 EBADMM C[C@H]1C[C@H](C)CC2(C1)NC(=O)N(CC(=O)N(C)c1nn[n-]n1)C2=O ZINC001279188454 817910901 /nfs/dbraw/zinc/91/09/01/817910901.db2.gz VOGJBCLLSULZMH-IUCAKERBSA-N -1 1 335.368 -0.091 20 0 EBADMM Cc1nc(C)c(F)c(NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001093761333 818097141 /nfs/dbraw/zinc/09/71/41/818097141.db2.gz BPDUZMIFEDNKAD-UHFFFAOYSA-N -1 1 346.326 -0.195 20 0 EBADMM C[C@H](O)CN1CC(n2cc(CNC(=O)c3ncccc3[O-])nn2)C1 ZINC001094286673 818208071 /nfs/dbraw/zinc/20/80/71/818208071.db2.gz LHPZHJAUDXCMOW-JTQLQIEISA-N -1 1 332.364 -0.454 20 0 EBADMM Cc1cc(C)nc(NCCCNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001094499094 818277339 /nfs/dbraw/zinc/27/73/39/818277339.db2.gz KBVVYPTVTINXSO-UHFFFAOYSA-N -1 1 346.391 -0.048 20 0 EBADMM O=C(NCCCNc1nccnc1F)c1cc(=O)n2[n-]cnc2n1 ZINC001094502593 818278052 /nfs/dbraw/zinc/27/80/52/818278052.db2.gz SJRMXXVYQFAUJG-UHFFFAOYSA-N -1 1 332.299 -0.421 20 0 EBADMM CCN1CCN(C(=O)c2ncccc2[O-])[C@@H]2CS(=O)(=O)C[C@@H]21 ZINC001094625854 818304605 /nfs/dbraw/zinc/30/46/05/818304605.db2.gz CEHQMKOEEZVBOF-WDEREUQCSA-N -1 1 325.390 -0.270 20 0 EBADMM CN(C[C@H]1CCN1C(=O)CC1CC1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001282046040 818344848 /nfs/dbraw/zinc/34/48/48/818344848.db2.gz BPEJJVRZDOANOE-CYBMUJFWSA-N -1 1 348.403 -0.214 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2nccnc2N)C3)nc1=O ZINC001095250856 818591313 /nfs/dbraw/zinc/59/13/13/818591313.db2.gz VLYQBAQLJLITCJ-UTLUCORTSA-N -1 1 344.379 -0.984 20 0 EBADMM CCn1nccc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001095349879 818608159 /nfs/dbraw/zinc/60/81/59/818608159.db2.gz NBUDFABGDGBIHJ-WOPDTQHZSA-N -1 1 345.407 -0.140 20 0 EBADMM Cn1cnnc1COCC(=O)NCCCNC(=O)c1ncccc1[O-] ZINC001283230153 818708759 /nfs/dbraw/zinc/70/87/59/818708759.db2.gz ALLILZACQZIDFE-UHFFFAOYSA-N -1 1 348.363 -0.631 20 0 EBADMM Cc1nc(C)c(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)[nH]1 ZINC001096028720 818723329 /nfs/dbraw/zinc/72/33/29/818723329.db2.gz RZRMFEUHXRSNLP-WOPDTQHZSA-N -1 1 345.407 -0.016 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2ncccn2)C3)nc1=O ZINC001096217475 818745938 /nfs/dbraw/zinc/74/59/38/818745938.db2.gz KLKVTRQOXHPREN-VWYCJHECSA-N -1 1 329.364 -0.566 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CCN(c2nccnc2F)C1 ZINC001096402631 818817541 /nfs/dbraw/zinc/81/75/41/818817541.db2.gz GASGHXZDEJYATK-JTQLQIEISA-N -1 1 348.338 -0.749 20 0 EBADMM Cc1cnc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)cn1 ZINC001096758593 818880910 /nfs/dbraw/zinc/88/09/10/818880910.db2.gz SVCUABFUXUDJAV-WZRBSPASSA-N -1 1 343.391 -0.258 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)c2cnsn2)C3)nc1=O ZINC001096845364 818895913 /nfs/dbraw/zinc/89/59/13/818895913.db2.gz CAFAQJJCSGLMIB-MRTMQBJTSA-N -1 1 335.393 -0.505 20 0 EBADMM O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2CCn1cccn1)c1n[nH]c(=O)[n-]c1=O ZINC001097446239 818992068 /nfs/dbraw/zinc/99/20/68/818992068.db2.gz QWCUAPJTUSCKOT-MXWKQRLJSA-N -1 1 345.363 -0.485 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)c2csnn2)C3)nc1=O ZINC001097590838 819007492 /nfs/dbraw/zinc/00/74/92/819007492.db2.gz LRBBXNBUDDDHGS-MRTMQBJTSA-N -1 1 335.393 -0.505 20 0 EBADMM CC1(C)C[C@@H]1C(=O)N[C@H]1C[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001284048213 819079027 /nfs/dbraw/zinc/07/90/27/819079027.db2.gz NNSDBNNPIUUHPT-IJLUTSLNSA-N -1 1 348.403 -0.264 20 0 EBADMM C[C@H](CCNC(=O)[C@]1(C)CCNC1=O)NC(=O)c1ncccc1[O-] ZINC001284459067 819245330 /nfs/dbraw/zinc/24/53/30/819245330.db2.gz QKLKQTWVMIRYQL-HWPZZCPQSA-N -1 1 334.376 -0.062 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H](O)CN(C)C(=O)[C@@H]1CC=CCC1 ZINC001284484020 819252508 /nfs/dbraw/zinc/25/25/08/819252508.db2.gz YKZMTSRSDXNHQA-OLZOCXBDSA-N -1 1 337.424 -0.284 20 0 EBADMM C[C@@H]1C[C@@H]1CC(=O)N(C)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001284554156 819285823 /nfs/dbraw/zinc/28/58/23/819285823.db2.gz HELAHCOUVAPTAS-VXGBXAGGSA-N -1 1 336.392 -0.453 20 0 EBADMM CCC(C)(C)C(=O)NCCN(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001284577330 819294190 /nfs/dbraw/zinc/29/41/90/819294190.db2.gz WHKGFJZAQXWRME-UHFFFAOYSA-N -1 1 338.408 -0.063 20 0 EBADMM CC(C)(C)/C=C\C(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001284892633 819392320 /nfs/dbraw/zinc/39/23/20/819392320.db2.gz KHKAAGRCXRVFCX-PLNGDYQASA-N -1 1 344.375 -0.040 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCC[C@]3(NC(=O)c3ccnnc3)C2)nc1=O ZINC001099048650 819410179 /nfs/dbraw/zinc/41/01/79/819410179.db2.gz HDDOHFQYRFSYJN-LRDDRELGSA-N -1 1 343.391 -0.317 20 0 EBADMM Cn1ncc(C(=O)N[C@]23CCC[C@H]2CN(Cc2nc(=O)n(C)[n-]2)C3)n1 ZINC001099080807 819414475 /nfs/dbraw/zinc/41/44/75/819414475.db2.gz VRSGFQKGYMZTBF-BONVTDFDSA-N -1 1 346.395 -0.979 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCC[C@]3(NC(=O)c3ncccn3)C2)nc1=O ZINC001099089222 819415008 /nfs/dbraw/zinc/41/50/08/819415008.db2.gz OKZOMKQZJICEQV-ZBEGNZNMSA-N -1 1 343.391 -0.317 20 0 EBADMM Cn1[n-]c(CN[C@@H]2CN(C(=O)C3CC3)C[C@H]2C(F)(F)F)nc1=O ZINC001099196060 819433099 /nfs/dbraw/zinc/43/30/99/819433099.db2.gz WSTQDKDJQURLBG-RKDXNWHRSA-N -1 1 333.314 -0.003 20 0 EBADMM CC1(C(=O)NC2CC(CNC(=O)CCn3cc[n-]c(=O)c3=O)C2)CC1 ZINC001285212196 819511570 /nfs/dbraw/zinc/51/15/70/819511570.db2.gz TXUFZCNHLRUWKY-UHFFFAOYSA-N -1 1 348.403 -0.262 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1CC(CNC(=O)C(F)F)C1 ZINC001285228959 819518792 /nfs/dbraw/zinc/51/87/92/819518792.db2.gz GFEPEGPQXZNXGZ-UHFFFAOYSA-N -1 1 344.318 -0.797 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)CC(F)(F)F)[C@@H](O)C2)nc1=O ZINC001099711494 819607867 /nfs/dbraw/zinc/60/78/67/819607867.db2.gz ADUMEIZZCNPAHW-YUMQZZPRSA-N -1 1 337.302 -0.888 20 0 EBADMM CC1(C)C[C@@H]1C(=O)NC/C=C/CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001285527411 819644372 /nfs/dbraw/zinc/64/43/72/819644372.db2.gz FOLKGRKBJQRDMG-HMDXOVGESA-N -1 1 344.375 -0.134 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)/C=C\C(C)(C)C)[C@@H](O)C2)nc1=O ZINC001099750711 819655233 /nfs/dbraw/zinc/65/52/33/819655233.db2.gz SEYSPPMTQSGEIF-RAUBUVQISA-N -1 1 337.424 -0.238 20 0 EBADMM C[C@@H](CNC(=O)CC1CC1)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001285570620 819668581 /nfs/dbraw/zinc/66/85/81/819668581.db2.gz SITMKMOAEQKIQC-NSHDSACASA-N -1 1 336.392 -0.310 20 0 EBADMM C[C@H](CNC(=O)c1ccoc1)N(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001285588688 819682538 /nfs/dbraw/zinc/68/25/38/819682538.db2.gz IONSIMMIZGEAGP-SECBINFHSA-N -1 1 344.331 -0.099 20 0 EBADMM CCC1(C(=O)NC/C=C\CNC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001285593866 819685417 /nfs/dbraw/zinc/68/54/17/819685417.db2.gz GLQWFNATWHMCSW-ARJAWSKDSA-N -1 1 348.403 -0.095 20 0 EBADMM C[C@@H](CNC(=O)c1cc[nH]c1)N(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001285709304 819733572 /nfs/dbraw/zinc/73/35/72/819733572.db2.gz ILZMVQHSAYQZTH-VIFPVBQESA-N -1 1 343.347 -0.364 20 0 EBADMM C[C@H](C(=O)N1CC[C@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O)C1CC1 ZINC001285874080 819800509 /nfs/dbraw/zinc/80/05/09/819800509.db2.gz KAUOONICPUFINC-AAEUAGOBSA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@@H](C(=O)N1CC[C@@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O)C1CC1 ZINC001285874081 819800738 /nfs/dbraw/zinc/80/07/38/819800738.db2.gz KAUOONICPUFINC-DGCLKSJQSA-N -1 1 348.403 -0.310 20 0 EBADMM O=C(C=C1CCC1)NC1(CNC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001285943749 819838541 /nfs/dbraw/zinc/83/85/41/819838541.db2.gz JJUICDVTXFBZOG-UHFFFAOYSA-N -1 1 346.387 -0.198 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1(NC(=O)[C@H]2CC23CC3)CC1 ZINC001285943727 819838828 /nfs/dbraw/zinc/83/88/28/819838828.db2.gz IIXOBKYTHTUPAO-LLVKDONJSA-N -1 1 346.387 -0.508 20 0 EBADMM C[C@@]1(CNC(=O)[C@H]2CCNC2=O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001286120057 819908081 /nfs/dbraw/zinc/90/80/81/819908081.db2.gz HAUCFQVMWNQHST-GTNSWQLSSA-N -1 1 346.387 -0.108 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C3(CCF)CC3)[C@@H](O)C2)nc1=O ZINC001099933733 819934261 /nfs/dbraw/zinc/93/42/61/819934261.db2.gz TVTBBIJRSQTKED-MNOVXSKESA-N -1 1 341.387 -0.700 20 0 EBADMM C/C=C(\C)C(=O)N1CC([C@@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001286203696 819947904 /nfs/dbraw/zinc/94/79/04/819947904.db2.gz RDJRFPKSWVIALW-KBVBDRTLSA-N -1 1 348.403 -0.144 20 0 EBADMM C[C@H](NC(=O)C1(C(N)=O)CC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001286210427 819950662 /nfs/dbraw/zinc/95/06/62/819950662.db2.gz NNTQCTCOLANCJA-VIFPVBQESA-N -1 1 332.360 -0.371 20 0 EBADMM C[C@H](CN(C)C(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)[C@H]1CC12CC2 ZINC001287664106 820092564 /nfs/dbraw/zinc/09/25/64/820092564.db2.gz ZPDYQRHDQFWOAD-VXGBXAGGSA-N -1 1 348.403 -0.310 20 0 EBADMM CCC(=O)N1CCC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)[C@H]1C ZINC001288011003 820211799 /nfs/dbraw/zinc/21/17/99/820211799.db2.gz SEFUXWKRTMHXDT-NEPJUHHUSA-N -1 1 336.392 -0.168 20 0 EBADMM CCN(CCNC(=O)c1ncccc1[O-])c1ccc2nnnn2n1 ZINC001100515532 820452471 /nfs/dbraw/zinc/45/24/71/820452471.db2.gz TZJXFDCTRKNONX-UHFFFAOYSA-N -1 1 328.336 -0.124 20 0 EBADMM CCN(CCNC(=O)c1cc(=O)n2[n-]cnc2n1)c1nc(C)ns1 ZINC001100567538 820476260 /nfs/dbraw/zinc/47/62/60/820476260.db2.gz QHDKICCNULYSIX-UHFFFAOYSA-N -1 1 348.392 -0.166 20 0 EBADMM CCN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)c1ccc(C)nn1 ZINC001100571967 820476527 /nfs/dbraw/zinc/47/65/27/820476527.db2.gz GPDIFFALBQNCFO-UHFFFAOYSA-N -1 1 346.391 -0.332 20 0 EBADMM CCN(CCNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)c1ncccn1 ZINC001100567324 820476697 /nfs/dbraw/zinc/47/66/97/820476697.db2.gz GTJDZTIMPKUMGE-UHFFFAOYSA-N -1 1 334.336 -0.057 20 0 EBADMM CC[C@@H](SC)C(=O)NCCNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001292645605 820575159 /nfs/dbraw/zinc/57/51/59/820575159.db2.gz WIYXFZPZNGXGCE-SSDOTTSWSA-N -1 1 344.393 -0.116 20 0 EBADMM CO[C@@H](C)CC(=O)NCCCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001293187697 820718267 /nfs/dbraw/zinc/71/82/67/820718267.db2.gz QWDNISYKOUJQLW-VIFPVBQESA-N -1 1 336.352 -0.921 20 0 EBADMM CN(C)C(=O)CC(=O)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001293763354 820821960 /nfs/dbraw/zinc/82/19/60/820821960.db2.gz QBENYMBARFGKDU-UHFFFAOYSA-N -1 1 334.376 -0.014 20 0 EBADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)C1CC(=O)NC(=O)C1 ZINC001294037688 820860315 /nfs/dbraw/zinc/86/03/15/820860315.db2.gz WZUOECOSXUYANS-UHFFFAOYSA-N -1 1 348.359 -0.582 20 0 EBADMM Cc1cc(NCCN(C)C(=O)CCn2cc[n-]c(=O)c2=O)ncn1 ZINC001101687038 820879083 /nfs/dbraw/zinc/87/90/83/820879083.db2.gz VLAJVSGHBUACQB-UHFFFAOYSA-N -1 1 332.364 -0.404 20 0 EBADMM Cc1nccc(NCCN(C)C(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001101687137 820879176 /nfs/dbraw/zinc/87/91/76/820879176.db2.gz ZEDKYTGJJJXAEU-UHFFFAOYSA-N -1 1 332.364 -0.404 20 0 EBADMM C[C@H](C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C)n1cncn1 ZINC001101892092 820947525 /nfs/dbraw/zinc/94/75/25/820947525.db2.gz KDVUMOHZVPGHJV-GMTAPVOTSA-N -1 1 334.384 -0.453 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)Cc1cncnc1 ZINC001101927672 820964693 /nfs/dbraw/zinc/96/46/93/820964693.db2.gz KXPPQARMWCEWDF-ZYHUDNBSSA-N -1 1 331.380 -0.273 20 0 EBADMM O=C(NC[C@@H](O)CN1CCCC1=O)c1ccc2n[n-]c(=S)n2c1 ZINC001294895484 821016417 /nfs/dbraw/zinc/01/64/17/821016417.db2.gz VBYVKZSJELVPFP-SNVBAGLBSA-N -1 1 335.389 -0.269 20 0 EBADMM C[C@H](CNC(=O)c1cccn1C)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001295422822 821092083 /nfs/dbraw/zinc/09/20/83/821092083.db2.gz PZKKYMNKCUFQDH-SECBINFHSA-N -1 1 343.347 -0.696 20 0 EBADMM Cc1ocnc1C(=O)NC[C@@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001295435087 821094081 /nfs/dbraw/zinc/09/40/81/821094081.db2.gz UHFMLVCSXDQOOG-SECBINFHSA-N -1 1 349.347 -0.842 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)c1cccnc1 ZINC001295569049 821125847 /nfs/dbraw/zinc/12/58/47/821125847.db2.gz KGYQFVPWPCGRDS-NSHDSACASA-N -1 1 345.359 -0.744 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)[C@H]1CC1(F)F ZINC001295569504 821126499 /nfs/dbraw/zinc/12/64/99/821126499.db2.gz NENXQOXGQXGUHB-DTWKUNHWSA-N -1 1 344.318 -0.797 20 0 EBADMM C[C@H](CCNC(=O)C1(C)CC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001295866572 821179697 /nfs/dbraw/zinc/17/96/97/821179697.db2.gz FOCBCVALWRIUKK-LLVKDONJSA-N -1 1 336.392 -0.262 20 0 EBADMM CN(CCNC(=O)c1ccccn1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001295988964 821192134 /nfs/dbraw/zinc/19/21/34/821192134.db2.gz RHKDLZLKZKDEDZ-UHFFFAOYSA-N -1 1 341.331 -0.685 20 0 EBADMM CCNC(=O)CC(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102887785 821225496 /nfs/dbraw/zinc/22/54/96/821225496.db2.gz BMJDHSSVOGLMBZ-GHMZBOCLSA-N -1 1 338.412 -0.389 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)[C@@H]1COC(=O)N1 ZINC001102936498 821234718 /nfs/dbraw/zinc/23/47/18/821234718.db2.gz IPOUACNLZFPHLR-BBBLOLIVSA-N -1 1 338.368 -0.807 20 0 EBADMM CNC(=O)NCC(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001103058885 821251083 /nfs/dbraw/zinc/25/10/83/821251083.db2.gz OKVFESRRFLRDGV-NXEZZACHSA-N -1 1 339.400 -0.987 20 0 EBADMM NC(=O)C1(C(=O)N(CCNC(=O)c2ncccc2[O-])C2CC2)CC1 ZINC001296567698 821267274 /nfs/dbraw/zinc/26/72/74/821267274.db2.gz BIXSWCANQSXMFN-UHFFFAOYSA-N -1 1 332.360 -0.227 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001103349866 821296011 /nfs/dbraw/zinc/29/60/11/821296011.db2.gz ULHKNUURJIRQSF-SSKLVLDBSA-N -1 1 335.408 -0.023 20 0 EBADMM CCC1(C(=O)N2CC(CNC(=O)CCn3cc[n-]c(=O)c3=O)C2)CC1 ZINC001297233480 821344154 /nfs/dbraw/zinc/34/41/54/821344154.db2.gz WPTVUAKACPRTOE-UHFFFAOYSA-N -1 1 348.403 -0.309 20 0 EBADMM Cc1ccc(NC[C@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)nn1 ZINC001104017682 821386994 /nfs/dbraw/zinc/38/69/94/821386994.db2.gz QDYIMZYYPLNUMQ-NSHDSACASA-N -1 1 346.391 -0.110 20 0 EBADMM Cc1ccnc(NC[C@@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001104017348 821387047 /nfs/dbraw/zinc/38/70/47/821387047.db2.gz HNOLIXDFWIFMBL-NSHDSACASA-N -1 1 346.391 -0.110 20 0 EBADMM Cc1nccc(NC[C@@H](C)CNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001104037086 821391982 /nfs/dbraw/zinc/39/19/82/821391982.db2.gz QFGKKSZTPMFNIV-SECBINFHSA-N -1 1 342.363 -0.006 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC/C=C\CNC(=O)C1=CCCC1 ZINC001298347934 821514073 /nfs/dbraw/zinc/51/40/73/821514073.db2.gz LKVIVZJAGZSRDC-ARJAWSKDSA-N -1 1 346.387 -0.174 20 0 EBADMM O=C(NC/C=C\CNC(=O)[C@H]1CCC(=O)NC1)c1ncccc1[O-] ZINC001298357013 821516082 /nfs/dbraw/zinc/51/60/82/821516082.db2.gz OYARNIJUJFLRJX-BVBSBALXSA-N -1 1 332.360 -0.284 20 0 EBADMM CC(C)(C)C(=O)NC/C=C\CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001298475858 821555554 /nfs/dbraw/zinc/55/55/54/821555554.db2.gz URGHRPIFIRDPRN-PLNGDYQASA-N -1 1 336.392 -0.239 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1(CNC(=O)[C@@H]2CC23CC3)CC1 ZINC001299255079 821671860 /nfs/dbraw/zinc/67/18/60/821671860.db2.gz WDUKKWDPFLEOML-NSHDSACASA-N -1 1 346.387 -0.508 20 0 EBADMM CNC(=O)CC(=O)N1CC[C@@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC001299305317 821675343 /nfs/dbraw/zinc/67/53/43/821675343.db2.gz YLRSYKBILHMDNQ-QGZVFWFLSA-N -1 1 346.387 -0.012 20 0 EBADMM CC(C)C(=O)N[C@@]1(C)CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001299502298 821699985 /nfs/dbraw/zinc/69/99/85/821699985.db2.gz CHHMQBKUCHJVKH-INIZCTEOSA-N -1 1 336.392 -0.310 20 0 EBADMM CN(CCN(C)c1cc(F)ncn1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001105428311 821748445 /nfs/dbraw/zinc/74/84/45/821748445.db2.gz GYUDTDHPQSGHLK-UHFFFAOYSA-N -1 1 346.326 -0.445 20 0 EBADMM CCC1(CC)NC(=O)N(CC(=O)N2CC[C@@H](c3nn[n-]n3)C2)C1=O ZINC001302936761 821753119 /nfs/dbraw/zinc/75/31/19/821753119.db2.gz JRYOECATHVXMPM-SECBINFHSA-N -1 1 335.368 -0.374 20 0 EBADMM COC[C@H](NC(=O)[C@H]1CC[C@@H](C(F)(F)F)NC1=O)c1nn[n-]n1 ZINC001303578601 821757823 /nfs/dbraw/zinc/75/78/23/821757823.db2.gz POLBFBDANJHIJB-VQVTYTSYSA-N -1 1 336.274 -0.540 20 0 EBADMM COC[C@H](NC(=O)[C@@H]1CC[C@H](C(F)(F)F)NC1=O)c1nn[n-]n1 ZINC001303578600 821757979 /nfs/dbraw/zinc/75/79/79/821757979.db2.gz POLBFBDANJHIJB-LYFYHCNISA-N -1 1 336.274 -0.540 20 0 EBADMM COCCOCCCC[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001309139300 821775477 /nfs/dbraw/zinc/77/54/77/821775477.db2.gz RGXFGNFUPXEBPJ-UHFFFAOYSA-N -1 1 335.382 -0.793 20 0 EBADMM Cn1cnc(CCCNC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)n1 ZINC001312921070 821797849 /nfs/dbraw/zinc/79/78/49/821797849.db2.gz PLVQMIJUAZFNQM-UHFFFAOYSA-N -1 1 347.379 -0.185 20 0 EBADMM O=C(NCCCN(Cc1n[nH]c(=O)[n-]1)C1CC1)[C@H]1CCNC(=O)C1 ZINC001316914711 821915772 /nfs/dbraw/zinc/91/57/72/821915772.db2.gz XDASDUMWIIEFDP-JTQLQIEISA-N -1 1 336.396 -0.493 20 0 EBADMM CC(C)COCCC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001316970735 821952792 /nfs/dbraw/zinc/95/27/92/821952792.db2.gz PBHNBHROZYILQS-GFCCVEGCSA-N -1 1 325.413 -0.138 20 0 EBADMM Cc1cc(NC(=O)CSCC(=O)NN2CC(=O)[N-]C2=O)no1 ZINC001317800683 822215234 /nfs/dbraw/zinc/21/52/34/822215234.db2.gz HTSZWDVGOOVAOR-UHFFFAOYSA-N -1 1 327.322 -0.762 20 0 EBADMM C[C@@H](CCNc1nccnc1F)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001106383495 822260293 /nfs/dbraw/zinc/26/02/93/822260293.db2.gz VXXNRIJBRGDDIP-QMMMGPOBSA-N -1 1 346.326 -0.033 20 0 EBADMM CCN(CCNc1nccnc1F)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001106800284 822333224 /nfs/dbraw/zinc/33/32/24/822333224.db2.gz OLVVELQKVPJDSK-UHFFFAOYSA-N -1 1 346.326 -0.079 20 0 EBADMM CN1CC[C@@H](C(=O)N[C@](C)(CNCc2n[nH]c(=O)[n-]2)C2CC2)C1=O ZINC001318711444 822353760 /nfs/dbraw/zinc/35/37/60/822353760.db2.gz NZYPLLJHADOYJI-ZUZCIYMTSA-N -1 1 336.396 -0.637 20 0 EBADMM CN1CC[C@@H](C(=O)N[C@@](C)(CNCc2n[nH]c(=O)[n-]2)C2CC2)C1=O ZINC001318711442 822353937 /nfs/dbraw/zinc/35/39/37/822353937.db2.gz NZYPLLJHADOYJI-BONVTDFDSA-N -1 1 336.396 -0.637 20 0 EBADMM COc1cc(F)cc(F)c1S(=O)(=O)[N-]CCNS(C)(=O)=O ZINC001318715631 822354626 /nfs/dbraw/zinc/35/46/26/822354626.db2.gz ZJKGJTDVMOZCMX-UHFFFAOYSA-N -1 1 344.361 -0.199 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cnc2cc[nH]cc-2c1=O ZINC001128366694 828450168 /nfs/dbraw/zinc/45/01/68/828450168.db2.gz BQLWUWWTXMJCOQ-UHFFFAOYSA-N -1 1 329.320 -0.734 20 0 EBADMM CC[C@H](C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1n1ccnn1 ZINC001128710154 828513836 /nfs/dbraw/zinc/51/38/36/828513836.db2.gz AVKFDCXDJVYVBA-TUAOUCFPSA-N -1 1 348.411 -0.712 20 0 EBADMM Cc1nccc(NC/C=C/CNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001107258015 823830731 /nfs/dbraw/zinc/83/07/31/823830731.db2.gz VTAFFKDTPJJWEP-NSCUHMNNSA-N -1 1 344.375 -0.190 20 0 EBADMM Cn1cnc2[nH]c(=O)cc(C(=O)NCCNCc3n[nH]c(=O)[n-]3)c21 ZINC001129199189 828579354 /nfs/dbraw/zinc/57/93/54/828579354.db2.gz FJJNWDIFXJRBGX-UHFFFAOYSA-N -1 1 332.324 -0.983 20 0 EBADMM CC(C)CC(=O)NC[C@@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107702558 823909952 /nfs/dbraw/zinc/90/99/52/823909952.db2.gz NRXYNAOSWAIGGQ-HNNXBMFYSA-N -1 1 325.413 -0.138 20 0 EBADMM CSCC(=O)NC[C@@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107718622 823919905 /nfs/dbraw/zinc/91/99/05/823919905.db2.gz MOZBXYKLEAWBEJ-ZDUSSCGKSA-N -1 1 329.426 -0.822 20 0 EBADMM Cn1cccc1C(=O)NC[C@@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107746802 823935158 /nfs/dbraw/zinc/93/51/58/823935158.db2.gz KBSCNWCZTGPONF-INIZCTEOSA-N -1 1 348.407 -0.532 20 0 EBADMM Cc1[nH]ccc1C(=O)NC[C@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107856666 824001210 /nfs/dbraw/zinc/00/12/10/824001210.db2.gz BRQMEXLHUSWEGJ-MRXNPFEDSA-N -1 1 348.407 -0.234 20 0 EBADMM Cn1[n-]c(CN2CCO[C@](C)(CNC(=O)C3=CCCC3)C2)nc1=O ZINC001107865746 824004341 /nfs/dbraw/zinc/00/43/41/824004341.db2.gz CZWUTNBRPZPJEI-MRXNPFEDSA-N -1 1 335.408 -0.074 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@](C)(CNC(=O)[C@H]3CC3(F)F)C2)nc1=O ZINC001107921568 824042002 /nfs/dbraw/zinc/04/20/02/824042002.db2.gz AGKUWERXSNHCBK-RNCFNFMXSA-N -1 1 345.350 -0.529 20 0 EBADMM CCCCN1CCO[C@@](C)(CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001108052023 824107140 /nfs/dbraw/zinc/10/71/40/824107140.db2.gz VDMXCOATNPQBKD-AWEZNQCLSA-N -1 1 325.369 -0.096 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@](C)(CNC(=O)CCC(F)F)C2)nc1=O ZINC001108074286 824116666 /nfs/dbraw/zinc/11/66/66/824116666.db2.gz GAUJLJPGLLKBQW-AWEZNQCLSA-N -1 1 347.366 -0.139 20 0 EBADMM CC(C)CN1CCO[C@@](C)(CNC(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001108113902 824130240 /nfs/dbraw/zinc/13/02/40/824130240.db2.gz YBNUEGXSKJGKCD-INIZCTEOSA-N -1 1 338.408 -0.037 20 0 EBADMM CC(C)[C@H](F)C(=O)NC[C@@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001108141078 824139331 /nfs/dbraw/zinc/13/93/31/824139331.db2.gz ULCGPNOPELNEEX-WFASDCNBSA-N -1 1 343.403 -0.190 20 0 EBADMM CC(C)[C@@H](F)C(=O)NC[C@@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001108141075 824139526 /nfs/dbraw/zinc/13/95/26/824139526.db2.gz ULCGPNOPELNEEX-DOMZBBRYSA-N -1 1 343.403 -0.190 20 0 EBADMM Cc1c[nH]cc1C(=O)NC[C@@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001108397709 824260730 /nfs/dbraw/zinc/26/07/30/824260730.db2.gz XWZZZWNAAPGAQT-INIZCTEOSA-N -1 1 348.407 -0.234 20 0 EBADMM Cc1c[nH]cc1C(=O)NC[C@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001108397710 824260748 /nfs/dbraw/zinc/26/07/48/824260748.db2.gz XWZZZWNAAPGAQT-MRXNPFEDSA-N -1 1 348.407 -0.234 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cnn(CC(F)(F)F)c1 ZINC001129541754 828633285 /nfs/dbraw/zinc/63/32/85/828633285.db2.gz BMMMQZUQJPXHQM-UHFFFAOYSA-N -1 1 333.274 -0.211 20 0 EBADMM Cc1nccc(NC[C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001108461481 824295527 /nfs/dbraw/zinc/29/55/27/824295527.db2.gz SKOOKIZGRWNBMB-QMMMGPOBSA-N -1 1 328.336 -0.254 20 0 EBADMM Cc1ccc(NC[C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)nn1 ZINC001108461225 824295801 /nfs/dbraw/zinc/29/58/01/824295801.db2.gz DKVQAOXDLRXWSI-VIFPVBQESA-N -1 1 328.336 -0.254 20 0 EBADMM CCOCCN1CC(N2C[C@@H](NC(=O)c3ncccc3[O-])CC2=O)C1 ZINC001108534307 824346398 /nfs/dbraw/zinc/34/63/98/824346398.db2.gz LYNNXJXBNRLKGQ-LBPRGKRZSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@H](CN(C)c1nccn2nnnc12)NC(=O)c1ncccc1[O-] ZINC001108946550 824458990 /nfs/dbraw/zinc/45/89/90/824458990.db2.gz NFRYZIULZMMJHL-SECBINFHSA-N -1 1 328.336 -0.125 20 0 EBADMM Cc1ccnc(N(C)C[C@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001109020614 824473323 /nfs/dbraw/zinc/47/33/23/824473323.db2.gz PDGMWSWWRLLYDT-LBPRGKRZSA-N -1 1 346.391 -0.334 20 0 EBADMM Cc1cc(N(C)C[C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)ncn1 ZINC001109040198 824475918 /nfs/dbraw/zinc/47/59/18/824475918.db2.gz WSBKVUHMEFAPHE-SNVBAGLBSA-N -1 1 342.363 -0.229 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)COCC2CC2)C3)nc1=O ZINC001110324583 824703263 /nfs/dbraw/zinc/70/32/63/824703263.db2.gz AUFPAMUUSPDTHX-UPJWGTAASA-N -1 1 335.408 -0.244 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1ccnn1CC(F)(F)F ZINC001129999555 828712111 /nfs/dbraw/zinc/71/21/11/828712111.db2.gz IVQTUGNRYCSYHN-UHFFFAOYSA-N -1 1 333.274 -0.211 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1ccn(CC(F)(F)F)n1 ZINC001130001246 828713104 /nfs/dbraw/zinc/71/31/04/828713104.db2.gz IKGOKEZTNOSORP-UHFFFAOYSA-N -1 1 333.274 -0.211 20 0 EBADMM COCC1(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)CCCC1 ZINC001112933585 825698547 /nfs/dbraw/zinc/69/85/47/825698547.db2.gz YCKMPPXIPREHAC-UHFFFAOYSA-N -1 1 337.424 -0.041 20 0 EBADMM C[C@@H](Nc1nccnc1F)[C@H](C)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001113118451 825764339 /nfs/dbraw/zinc/76/43/39/825764339.db2.gz HBUBTZSALQQUPD-SFYZADRCSA-N -1 1 346.326 -0.034 20 0 EBADMM COCCCCCN1CCN(C(=O)Cn2c(=O)[n-][nH]c2=O)CC1 ZINC001113583602 825929055 /nfs/dbraw/zinc/92/90/55/825929055.db2.gz VOBAXQGTPIOONL-UHFFFAOYSA-N -1 1 327.385 -0.350 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)N(C)c1ncccn1 ZINC001113655752 825967261 /nfs/dbraw/zinc/96/72/61/825967261.db2.gz QFQOGJJFSMGNFA-LLVKDONJSA-N -1 1 332.364 -0.642 20 0 EBADMM C[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)N(C)c1nccnc1F ZINC001113656999 825967386 /nfs/dbraw/zinc/96/73/86/825967386.db2.gz CJPVAHUXRQSKHA-MRVPVSSYSA-N -1 1 346.326 -0.399 20 0 EBADMM CC(C)COCCC(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001113932386 826078210 /nfs/dbraw/zinc/07/82/10/826078210.db2.gz RHFFCAZUHNCSPJ-JYAVWHMHSA-N -1 1 337.424 -0.283 20 0 EBADMM CCO[C@@H](C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)C1CC1 ZINC001114290281 826196113 /nfs/dbraw/zinc/19/61/13/826196113.db2.gz FJZKDFDGFFNRGP-UVLXDEKHSA-N -1 1 335.408 -0.530 20 0 EBADMM COCCCOCC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001179880975 833021297 /nfs/dbraw/zinc/02/12/97/833021297.db2.gz JZRTUEOTXAAMLB-QWRGUYRKSA-N -1 1 327.385 -0.358 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1[C@H]2CN(Cc3ccon3)C[C@H]21 ZINC001114987104 826392853 /nfs/dbraw/zinc/39/28/53/826392853.db2.gz UTFOLQHELRKTOK-IMRBUKKESA-N -1 1 345.359 -0.839 20 0 EBADMM O=C([O-])C(F)(F)C(F)(F)C(=O)N[C@@H]1COCCC12OCCO2 ZINC001180176767 833052472 /nfs/dbraw/zinc/05/24/72/833052472.db2.gz NZFZXBIQTQCVPN-ZCFIWIBFSA-N -1 1 331.218 -0.010 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cc(=O)n2[n-]cnc2n1)Nc1ncccn1 ZINC001115778166 826583943 /nfs/dbraw/zinc/58/39/43/826583943.db2.gz SLPRWTYSSYTEOW-VIFPVBQESA-N -1 1 328.336 -0.220 20 0 EBADMM CC(=O)N1CCc2cc(NC(=O)C(=O)NCc3nn[n-]n3)ccc21 ZINC001116103780 826599525 /nfs/dbraw/zinc/59/95/25/826599525.db2.gz NZDNFVBBXBSELP-UHFFFAOYSA-N -1 1 329.320 -0.636 20 0 EBADMM O=C(c1cncc(-n2ccnn2)c1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001116935079 826653832 /nfs/dbraw/zinc/65/38/32/826653832.db2.gz NTFJSUOSSUSVJD-NSHDSACASA-N -1 1 327.308 -0.611 20 0 EBADMM CN(C)c1nc(NC(=O)[C@@H]2CSCC(=O)N2)c(N=O)c(=O)[n-]1 ZINC001180550155 833090147 /nfs/dbraw/zinc/09/01/47/833090147.db2.gz QNNQXXFHFQYTFD-YFKPBYRVSA-N -1 1 326.338 -0.184 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N(CCO)CC(F)F)ccnc1-2 ZINC001117441497 826750399 /nfs/dbraw/zinc/75/03/99/826750399.db2.gz RDGGVRNOEXOCCT-UHFFFAOYSA-N -1 1 327.291 -0.634 20 0 EBADMM CNS(=O)(=O)c1cc(C(=O)[N-]c2nn3cnnc3s2)co1 ZINC001119042450 827053528 /nfs/dbraw/zinc/05/35/28/827053528.db2.gz NKFDSUGQODMFAB-UHFFFAOYSA-N -1 1 328.335 -0.061 20 0 EBADMM CN(C[C@@H](O)CNc1nccn2nnnc12)C(=O)c1ncccc1[O-] ZINC001124329636 827815560 /nfs/dbraw/zinc/81/55/60/827815560.db2.gz NSDBZVVOUBFKFE-VIFPVBQESA-N -1 1 344.335 -0.835 20 0 EBADMM COc1cc(CC(=O)C(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)ccc1O ZINC001184248458 833324677 /nfs/dbraw/zinc/32/46/77/833324677.db2.gz KFYADRXWHMPVHL-UHFFFAOYSA-N -1 1 334.288 -0.066 20 0 EBADMM C[C@@H](NCCNC(=O)CCCn1c(=O)[n-][nH]c1=O)c1cnccn1 ZINC001130556585 828882738 /nfs/dbraw/zinc/88/27/38/828882738.db2.gz OGHADEQLOIKHBX-SNVBAGLBSA-N -1 1 335.368 -0.274 20 0 EBADMM Cc1cnc([C@@H](C)NCCNC(=O)CCc2n[nH]c(=O)[n-]c2=O)cn1 ZINC001130757099 828929982 /nfs/dbraw/zinc/92/99/82/828929982.db2.gz QLSGSLMOMQPLEV-SNVBAGLBSA-N -1 1 347.379 -0.219 20 0 EBADMM Cc1cc(CNCCNC(=O)CCc2n[nH]c(=O)[n-]c2=O)ncn1 ZINC001130757274 828930089 /nfs/dbraw/zinc/93/00/89/828930089.db2.gz ZOEDUCHKBPWZMK-UHFFFAOYSA-N -1 1 333.352 -0.780 20 0 EBADMM C[C@@H](NC(=O)C(C)(C)C)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001184763435 833339426 /nfs/dbraw/zinc/33/94/26/833339426.db2.gz WMLLPLOMCDTCMK-NXEZZACHSA-N -1 1 338.412 -0.248 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)CCn2cccn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001131822633 829228593 /nfs/dbraw/zinc/22/85/93/829228593.db2.gz ZAPAURGCLOYXBA-OLZOCXBDSA-N -1 1 347.423 -0.136 20 0 EBADMM CCCCN1CCOC[C@H]1C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001131822944 829231227 /nfs/dbraw/zinc/23/12/27/829231227.db2.gz YPHFNKRWYMSLNY-NSHDSACASA-N -1 1 326.401 -0.783 20 0 EBADMM O=C(CNC(=O)Nc1ccccc1)NCCNCc1n[nH]c(=O)[n-]1 ZINC001132064699 829316537 /nfs/dbraw/zinc/31/65/37/829316537.db2.gz AWDQXUJXYQBJKP-UHFFFAOYSA-N -1 1 333.352 -0.462 20 0 EBADMM C[C@H](C[C@@H](C)NC(=O)CC1CS(=O)(=O)C1)NCc1n[nH]c(=O)[n-]1 ZINC001134805043 829849212 /nfs/dbraw/zinc/84/92/12/829849212.db2.gz NOFUNNMWTPSJSD-RKDXNWHRSA-N -1 1 345.425 -0.682 20 0 EBADMM C[C@H](C[C@H](C)NC(=O)c1n[nH]c(=O)[n-]c1=O)NCc1nncn1C ZINC001134863608 829860322 /nfs/dbraw/zinc/86/03/22/829860322.db2.gz KJBTXBAAWJHGHK-SFYZADRCSA-N -1 1 336.356 -0.902 20 0 EBADMM CCn1nnc(C)c1CNCCNC(=O)c1c[n-]n2c1nccc2=O ZINC001134938660 829873186 /nfs/dbraw/zinc/87/31/86/829873186.db2.gz FDMRGAUDGKTOOO-UHFFFAOYSA-N -1 1 344.379 -0.538 20 0 EBADMM O=C(NCCNCc1n[nH]c(C2CC2)n1)c1c[n-]n2c1nccc2=O ZINC001134938890 829873867 /nfs/dbraw/zinc/87/38/67/829873867.db2.gz KZWBMANBACXVLR-UHFFFAOYSA-N -1 1 342.363 -0.462 20 0 EBADMM C[C@H](NCCNC(=O)c1c[n-]n2c1nccc2=O)c1cnccn1 ZINC001134942088 829876116 /nfs/dbraw/zinc/87/61/16/829876116.db2.gz YSVMSVQDOAWJGC-JTQLQIEISA-N -1 1 327.348 -0.107 20 0 EBADMM Cc1cccnc1CNCCNC(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC001135465517 829971238 /nfs/dbraw/zinc/97/12/38/829971238.db2.gz JLKZTJGJPWAWHZ-UHFFFAOYSA-N -1 1 341.375 -0.319 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1ccc(-c2nc[nH]n2)cc1 ZINC001146578729 830208980 /nfs/dbraw/zinc/20/89/80/830208980.db2.gz PYIAPDARBQVPJT-UHFFFAOYSA-N -1 1 328.336 -0.185 20 0 EBADMM O=C(Nc1cc(=O)n(-c2ccccc2)[n-]1)C1=C[C@@H](O)[C@@H](O)[C@H](O)C1 ZINC001146617232 830213816 /nfs/dbraw/zinc/21/38/16/830213816.db2.gz YWPPMJOPQDNMAI-LALPHHSUSA-N -1 1 331.328 -0.071 20 0 EBADMM C[C@@H](NC(=O)CC(C)(C)C)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001147376548 830330667 /nfs/dbraw/zinc/33/06/67/830330667.db2.gz IZEXFUWEBLKDOP-SECBINFHSA-N -1 1 326.401 -0.343 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1ccc(N2CCCC2)nn1 ZINC001147428390 830342060 /nfs/dbraw/zinc/34/20/60/830342060.db2.gz FDSLNLOPZXNBRH-UHFFFAOYSA-N -1 1 332.368 -0.580 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)COCC(F)F)C3)C2)nc1=O ZINC001147972365 830432734 /nfs/dbraw/zinc/43/27/34/830432734.db2.gz OTZBATCCLYZPQT-UHFFFAOYSA-N -1 1 345.350 -0.576 20 0 EBADMM CCC[C@H](OC)C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001148017421 830439082 /nfs/dbraw/zinc/43/90/82/830439082.db2.gz NPAUBMUUNLZXQU-LBPRGKRZSA-N -1 1 337.424 -0.042 20 0 EBADMM CSCC[C@H](NC(=O)CN1CCCCC(=O)C1=O)c1nn[n-]n1 ZINC001148260798 830462311 /nfs/dbraw/zinc/46/23/11/830462311.db2.gz LLFJVXMHBVXBLM-VIFPVBQESA-N -1 1 340.409 -0.308 20 0 EBADMM CSCC[C@H](NC(=O)CCCN1C(=O)CNC1=O)c1nn[n-]n1 ZINC001148261403 830462737 /nfs/dbraw/zinc/46/27/37/830462737.db2.gz SOFDANODWONRNK-QMMMGPOBSA-N -1 1 341.397 -0.558 20 0 EBADMM CN(C(=O)CCCC[C@@H]1SC[C@H]2NC(=O)N[C@H]12)c1nn[n-]n1 ZINC001149220086 830618946 /nfs/dbraw/zinc/61/89/46/830618946.db2.gz PTGPCFCSNBCODR-WEDXCCLWSA-N -1 1 325.398 -0.112 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@H](CNC(=O)/C=C/C3CC3)C2)nc1=O ZINC001149522406 830684703 /nfs/dbraw/zinc/68/47/03/830684703.db2.gz WOHYLHGQQNNEJX-URWSZGRFSA-N -1 1 335.408 -0.218 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@@H](CNC(=O)/C=C\C3CC3)C2)nc1=O ZINC001149522405 830685081 /nfs/dbraw/zinc/68/50/81/830685081.db2.gz WOHYLHGQQNNEJX-SZZPACECSA-N -1 1 335.408 -0.218 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2CN(CCOCCO)CCCO2)c1[O-] ZINC001149540958 830689514 /nfs/dbraw/zinc/68/95/14/830689514.db2.gz CWVUSAJSIGSECH-LBPRGKRZSA-N -1 1 342.396 -0.747 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@H](CNC(=O)CC(C)(F)F)C2)nc1=O ZINC001150259956 830852627 /nfs/dbraw/zinc/85/26/27/830852627.db2.gz UFWBMXAAQQOHRJ-SNVBAGLBSA-N -1 1 347.366 -0.139 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cnn(-c2ccccc2)n1 ZINC001150563725 830933702 /nfs/dbraw/zinc/93/37/02/830933702.db2.gz AUNJZPHRMPWBCK-UHFFFAOYSA-N -1 1 328.336 -0.389 20 0 EBADMM O=C(CN1CCc2sccc2C1)NCCNCc1n[nH]c(=O)[n-]1 ZINC001152201766 831141194 /nfs/dbraw/zinc/14/11/94/831141194.db2.gz GRQDRYCZDARVGC-UHFFFAOYSA-N -1 1 336.421 -0.164 20 0 EBADMM C[C@H](OC[C@@H]1CCCCO1)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001153179382 831214816 /nfs/dbraw/zinc/21/48/16/831214816.db2.gz WRWQVUWFPFLQEE-QWRGUYRKSA-N -1 1 327.385 -0.310 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@H](O)C(F)(F)C(F)(F)F ZINC001153276525 831219322 /nfs/dbraw/zinc/21/93/22/831219322.db2.gz HZJCNXLYFFBKCF-LURJTMIESA-N -1 1 345.224 -0.399 20 0 EBADMM O=C(NCCN1CCCS1(=O)=O)c1c[n-]c2cccnc2c1=O ZINC001153863192 831280360 /nfs/dbraw/zinc/28/03/60/831280360.db2.gz LNPMTJDMVAQDLS-UHFFFAOYSA-N -1 1 336.373 -0.312 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1Cc2nc(C3CC3)[nH]c(=O)c2C1 ZINC001153976481 831297848 /nfs/dbraw/zinc/29/78/48/831297848.db2.gz QMJXSBKHKIQSMJ-UHFFFAOYSA-N -1 1 343.343 -0.158 20 0 EBADMM CCN(Cc1cnn(C)c1)[C@H](C)CNC(=O)c1cnc([O-])n(C)c1=O ZINC001154569303 831348056 /nfs/dbraw/zinc/34/80/56/831348056.db2.gz KSKRDEPTPXTLNP-LLVKDONJSA-N -1 1 348.407 -0.140 20 0 EBADMM CC(=O)N[C@@](C)(C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001187035000 833450628 /nfs/dbraw/zinc/45/06/28/833450628.db2.gz SCCPIFWBTLFDQR-IAQYHMDHSA-N -1 1 336.396 -0.494 20 0 EBADMM CCOC(=O)NCCCC(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001155515768 831411170 /nfs/dbraw/zinc/41/11/70/831411170.db2.gz GNAJYCKUPNQVEA-UHFFFAOYSA-N -1 1 326.357 -0.464 20 0 EBADMM C[C@H](NC(=O)CCc1[nH]c(O)nc1O)c1n[n-]c(C(F)(F)F)n1 ZINC001157235114 831545975 /nfs/dbraw/zinc/54/59/75/831545975.db2.gz UVWFXAPQAMROMY-CRCLSJGQSA-N -1 1 334.258 -0.011 20 0 EBADMM C[C@H](NC(=O)CCc1[nH]c(O)nc1O)c1nnc(C(F)(F)F)[n-]1 ZINC001157235114 831545981 /nfs/dbraw/zinc/54/59/81/831545981.db2.gz UVWFXAPQAMROMY-CRCLSJGQSA-N -1 1 334.258 -0.011 20 0 EBADMM CNC(=O)CC(=O)N1CCCC[C@@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001157865800 831600240 /nfs/dbraw/zinc/60/02/40/831600240.db2.gz UUQPZBBCYIYGEL-LLVKDONJSA-N -1 1 338.412 -0.943 20 0 EBADMM C[C@@H](NCCCNC(=O)CCc1n[nH]c(=O)[n-]c1=O)c1ncccn1 ZINC001161715009 831985634 /nfs/dbraw/zinc/98/56/34/831985634.db2.gz BKHYFQKFPWPLTD-SNVBAGLBSA-N -1 1 347.379 -0.138 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C1(C(F)F)CC1 ZINC001212277214 837595860 /nfs/dbraw/zinc/59/58/60/837595860.db2.gz GVFYXXLUWVZPCY-RKDXNWHRSA-N -1 1 345.350 -0.531 20 0 EBADMM O=C(CN1CCCC1=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001163355397 832105363 /nfs/dbraw/zinc/10/53/63/832105363.db2.gz XRBLMXBQBZBMLB-UHFFFAOYSA-N -1 1 336.396 -0.491 20 0 EBADMM CCc1cnc(CNCCCNC(=O)c2cnc([O-])n(C)c2=O)o1 ZINC001163781200 832137498 /nfs/dbraw/zinc/13/74/98/832137498.db2.gz AITDIHQFPIKETD-UHFFFAOYSA-N -1 1 335.364 -0.054 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001165634101 832231617 /nfs/dbraw/zinc/23/16/17/832231617.db2.gz AAKMFTXIDMNPGO-UHFFFAOYSA-N -1 1 335.368 -0.063 20 0 EBADMM Cn1ncc(CNCC2(CCNC(=O)c3n[nH]c(=O)[n-]c3=O)CC2)n1 ZINC001165992849 832245033 /nfs/dbraw/zinc/24/50/33/832245033.db2.gz LKUYUSOVTKFGLC-UHFFFAOYSA-N -1 1 348.367 -0.899 20 0 EBADMM CN(CCCNC(=O)CCS(C)(=O)=O)C(=O)c1ncccc1[O-] ZINC001351676467 832267921 /nfs/dbraw/zinc/26/79/21/832267921.db2.gz DXXYKGOTWYGLOX-UHFFFAOYSA-N -1 1 343.405 -0.200 20 0 EBADMM CC[C@@H](NC(C)=O)C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167303818 832325654 /nfs/dbraw/zinc/32/56/54/832325654.db2.gz ROQCRJJPLUTNSP-LLVKDONJSA-N -1 1 338.412 -0.199 20 0 EBADMM Cc1nonc1CNCCCNC(=O)c1c[n-]n2c1nccc2=O ZINC001167783806 832372548 /nfs/dbraw/zinc/37/25/48/832372548.db2.gz PFWPYJDESXDLIA-UHFFFAOYSA-N -1 1 331.336 -0.376 20 0 EBADMM CNC(=O)c1cncc(C=CC(=O)N2CCOC[C@@H]2c2nn[n-]n2)c1 ZINC001170375060 832503054 /nfs/dbraw/zinc/50/30/54/832503054.db2.gz FJKZUGYQKVJUMO-ZZKXABKFSA-N -1 1 343.347 -0.432 20 0 EBADMM O=C(NC[C@]12COCCN1C(=O)COC2)C(=O)c1ccc([O-])cc1 ZINC001171794085 832576115 /nfs/dbraw/zinc/57/61/15/832576115.db2.gz PYLFTSFAPIEVOL-MRXNPFEDSA-N -1 1 334.328 -0.681 20 0 EBADMM NC(=O)[C@H](C1CC1)N(CC1CC1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001171945018 832579626 /nfs/dbraw/zinc/57/96/26/832579626.db2.gz QRAWVEAPOQVLAG-ZDUSSCGKSA-N -1 1 334.376 -0.571 20 0 EBADMM O=C(Cc1nn[n-]n1)N1Cc2nccn2C[C@@H](Cn2cccn2)C1 ZINC001176840365 832694434 /nfs/dbraw/zinc/69/44/34/832694434.db2.gz JECKBBOUCWYMCS-LLVKDONJSA-N -1 1 327.352 -0.506 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC2(C[C@H](C(=O)NC3CCC3)CO2)CC1 ZINC001176841049 832694574 /nfs/dbraw/zinc/69/45/74/832694574.db2.gz PPUGQKJPOWIQPN-NSHDSACASA-N -1 1 348.407 -0.191 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)CCn1ccnc1 ZINC001176883245 832700494 /nfs/dbraw/zinc/70/04/94/832700494.db2.gz GEOIJOICUOVVJO-LBPRGKRZSA-N -1 1 348.363 -0.685 20 0 EBADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)CN1CCN(c2ncccn2)CC1 ZINC001176973735 832722369 /nfs/dbraw/zinc/72/23/69/832722369.db2.gz GAFOLAFYLIQBNP-UHFFFAOYSA-N -1 1 346.351 -0.979 20 0 EBADMM Cc1ccnn1CC(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001177054242 832742320 /nfs/dbraw/zinc/74/23/20/832742320.db2.gz XCHKJMVKUREGSW-LBPRGKRZSA-N -1 1 348.363 -0.767 20 0 EBADMM O=CNc1nc(CC(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)cs1 ZINC001178036623 832815472 /nfs/dbraw/zinc/81/54/72/832815472.db2.gz BIFYVYUFZSSARW-UHFFFAOYSA-N -1 1 335.305 -0.078 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCCn1ccnn1 ZINC001178883651 832927254 /nfs/dbraw/zinc/92/72/54/832927254.db2.gz MLDWJOCZJRYWQD-QWRGUYRKSA-N -1 1 334.384 -0.339 20 0 EBADMM CCO[C@H](CC)C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001187100545 833900118 /nfs/dbraw/zinc/90/01/18/833900118.db2.gz DMCQLTXBQYSYQJ-YNEHKIRRSA-N -1 1 337.424 -0.044 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)COC(C)(C)C)[C@@H]3C2)nc1=O ZINC001187605572 833946641 /nfs/dbraw/zinc/94/66/41/833946641.db2.gz LMBSDLBMQJVQRR-NWDGAFQWSA-N -1 1 337.424 -0.044 20 0 EBADMM Cc1noc(CCCC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001187818481 833971464 /nfs/dbraw/zinc/97/14/64/833971464.db2.gz PGWAWVIQBSSZHV-SNVBAGLBSA-N -1 1 335.368 -0.085 20 0 EBADMM CS(=O)(=O)N1CCC([N-]S(=O)(=O)c2nccs2)CC1 ZINC001187908583 833979578 /nfs/dbraw/zinc/97/95/78/833979578.db2.gz LLYVPDDNYACKFG-UHFFFAOYSA-N -1 1 325.437 -0.155 20 0 EBADMM CCOCCO[C@@H](C)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001188090056 833999495 /nfs/dbraw/zinc/99/94/95/833999495.db2.gz AVOMXXBNGPTAKT-WDEREUQCSA-N -1 1 327.385 -0.358 20 0 EBADMM CCCCOCC(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001189480023 834160713 /nfs/dbraw/zinc/16/07/13/834160713.db2.gz JSNUUHZYSAUPME-GFCCVEGCSA-N -1 1 325.413 -0.042 20 0 EBADMM COC[C@@H](C)CC(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001189605601 834172508 /nfs/dbraw/zinc/17/25/08/834172508.db2.gz QWQUBMWCXJWVRU-RWMBFGLXSA-N -1 1 337.424 -0.186 20 0 EBADMM CCOC(=O)CCCCCNC(=O)Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001189684881 834178384 /nfs/dbraw/zinc/17/83/84/834178384.db2.gz IHMJOIWATORZDK-SECBINFHSA-N -1 1 344.393 -0.056 20 0 EBADMM COC(=O)CNC(=O)CNC(=O)c1cnc(-c2ccccc2)[n-]c1=O ZINC001190268265 834250523 /nfs/dbraw/zinc/25/05/23/834250523.db2.gz VKEJGSXXDZHZCV-UHFFFAOYSA-N -1 1 344.327 -0.132 20 0 EBADMM COC(=O)CNC(=O)CNC(=O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190629165 834304477 /nfs/dbraw/zinc/30/44/77/834304477.db2.gz LIYHXRQPLBXHDX-UHFFFAOYSA-N -1 1 345.315 -0.737 20 0 EBADMM CCC[C@@H](OC)C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001191697591 834533084 /nfs/dbraw/zinc/53/30/84/834533084.db2.gz WEVPJOWWRHWXCO-NWDGAFQWSA-N -1 1 325.413 -0.044 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCO[C@@H]1CC1(F)F ZINC001192277503 834635838 /nfs/dbraw/zinc/63/58/38/834635838.db2.gz VFUNUFJHDXAWPU-SSDOTTSWSA-N -1 1 325.293 -0.101 20 0 EBADMM Cn1ccc(=O)n(CCC[N-]S(=O)(=O)c2ccns2)c1=O ZINC001193257849 834823174 /nfs/dbraw/zinc/82/31/74/834823174.db2.gz HJEXOGTXCHKNKX-UHFFFAOYSA-N -1 1 330.391 -0.628 20 0 EBADMM COC(=O)[C@H](Cc1cncn1C)[N-]S(=O)(=O)C[C@H]1CCCO1 ZINC001193299408 834829273 /nfs/dbraw/zinc/82/92/73/834829273.db2.gz QHYHWLVKDCEPLE-NEPJUHHUSA-N -1 1 331.394 -0.397 20 0 EBADMM COCCCOCC(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001193339995 834837991 /nfs/dbraw/zinc/83/79/91/834837991.db2.gz WORGTZISZWAXBA-LBPRGKRZSA-N -1 1 341.412 -0.806 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)C(C)(C)C(C)(C)C)C2)nc1=O ZINC001195337858 835215946 /nfs/dbraw/zinc/21/59/46/835215946.db2.gz GKQLMCRUKJKFPZ-GHMZBOCLSA-N -1 1 339.440 -0.158 20 0 EBADMM Cc1c[nH]nc1C(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001195909184 835309225 /nfs/dbraw/zinc/30/92/25/835309225.db2.gz MGHJWSRHYYZMDG-JTQLQIEISA-N -1 1 334.336 -0.627 20 0 EBADMM COC(=O)CCCCS(=O)(=O)[N-][C@H]1COCCC12OCCO2 ZINC001196975734 835489922 /nfs/dbraw/zinc/48/99/22/835489922.db2.gz NPJNHAOKIHYQDG-NSHDSACASA-N -1 1 337.394 -0.219 20 0 EBADMM CC(C)C[C@H](C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001197243925 835526707 /nfs/dbraw/zinc/52/67/07/835526707.db2.gz HOIUBGXTUYTKTG-QJPTWQEYSA-N -1 1 325.413 -0.548 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)C(C)(C)C(C)(F)F)C2)nc1=O ZINC001198193613 835652279 /nfs/dbraw/zinc/65/22/79/835652279.db2.gz KTHJMIBICCLTFN-RKDXNWHRSA-N -1 1 347.366 -0.549 20 0 EBADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1cnc(-c2cnccn2)nc1 ZINC001199287037 835787852 /nfs/dbraw/zinc/78/78/52/835787852.db2.gz VLAUUUHDXFPCNE-UHFFFAOYSA-N -1 1 326.276 -0.031 20 0 EBADMM CO[C@H](C)CC(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001200062658 835902394 /nfs/dbraw/zinc/90/23/94/835902394.db2.gz NRHZULRNQCKIML-MNOVXSKESA-N -1 1 327.385 -0.358 20 0 EBADMM COC[C@H](C)CC(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001201747998 836186903 /nfs/dbraw/zinc/18/69/03/836186903.db2.gz CBSOOUDEBKLTEZ-NEPJUHHUSA-N -1 1 341.412 -0.110 20 0 EBADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@@H](CC(N)=O)C(N)=O ZINC001201769234 836190860 /nfs/dbraw/zinc/19/08/60/836190860.db2.gz OZJRWOHCNQNYES-BYPYZUCNSA-N -1 1 326.787 -0.887 20 0 EBADMM NC(=O)C1(C(=O)N[C@@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)CC1 ZINC001203338353 836422517 /nfs/dbraw/zinc/42/25/17/836422517.db2.gz ALXDVFPEASCICN-DTWKUNHWSA-N -1 1 334.380 -0.707 20 0 EBADMM COCCCOCC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210551517 837296929 /nfs/dbraw/zinc/29/69/29/837296929.db2.gz ISLRLGYHLZIRLS-LLVKDONJSA-N -1 1 327.385 -0.356 20 0 EBADMM CCNC(=O)CCCC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210737720 837327156 /nfs/dbraw/zinc/32/71/56/837327156.db2.gz YHIXNFWHRGCPCY-NSHDSACASA-N -1 1 338.412 -0.103 20 0 EBADMM C[C@@H]1COCCN1c1ccc(NC(=O)C(=O)NCc2nn[n-]n2)cc1 ZINC001318767792 838032219 /nfs/dbraw/zinc/03/22/19/838032219.db2.gz SCGXIMWOZYVTLC-SNVBAGLBSA-N -1 1 345.363 -0.320 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1ccc(N2CCCC2=O)c(F)c1 ZINC001318993354 838057225 /nfs/dbraw/zinc/05/72/25/838057225.db2.gz BQWKUJJFPTYHIV-UHFFFAOYSA-N -1 1 347.310 -0.280 20 0 EBADMM CCc1nc(S(=O)(=O)CC(=O)NCCCN2CCCC2=O)n[n-]1 ZINC001319192066 838084763 /nfs/dbraw/zinc/08/47/63/838084763.db2.gz MEKPPZNYACVWDJ-UHFFFAOYSA-N -1 1 343.409 -0.731 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)CN(C)CCNS(C)(=O)=O)cc1 ZINC001319327826 838111521 /nfs/dbraw/zinc/11/15/21/838111521.db2.gz BTWWPRGCBJTTHU-UHFFFAOYSA-N -1 1 343.405 -0.567 20 0 EBADMM COc1ccc(NC(=O)C(=O)NCCO)cc1[N-]S(C)(=O)=O ZINC001319796870 838180631 /nfs/dbraw/zinc/18/06/31/838180631.db2.gz TWGUWSKULDARCW-UHFFFAOYSA-N -1 1 331.350 -0.886 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1cccnc1OCC(F)F ZINC001320403776 838767480 /nfs/dbraw/zinc/76/74/80/838767480.db2.gz USXBJWIIAUYRDD-UHFFFAOYSA-N -1 1 327.251 -0.507 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1ccc(-c2csnn2)cc1 ZINC001321982571 839116964 /nfs/dbraw/zinc/11/69/64/839116964.db2.gz DSMJWHFIEGDFCR-UHFFFAOYSA-N -1 1 330.333 -0.027 20 0 EBADMM C[C@@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)c1cn2cccnc2n1 ZINC001322195792 839152871 /nfs/dbraw/zinc/15/28/71/839152871.db2.gz JUMVCNYJBDRHOX-JTQLQIEISA-N -1 1 344.379 -0.599 20 0 EBADMM O=C(Cn1cc(C(=O)NN2CC(=O)[N-]C2=O)cn1)NC1CCCCC1 ZINC001322253757 839163365 /nfs/dbraw/zinc/16/33/65/839163365.db2.gz OLPAFHFOBDHUIN-UHFFFAOYSA-N -1 1 348.363 -0.471 20 0 EBADMM Cc1cc(NC(=O)[C@H](C)SCC(=O)NN2CC(=O)[N-]C2=O)no1 ZINC001322696675 839237760 /nfs/dbraw/zinc/23/77/60/839237760.db2.gz FZTVYGSSPRFJAL-ZETCQYMHSA-N -1 1 341.349 -0.374 20 0 EBADMM COCCCN(C)C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC001323183545 839366597 /nfs/dbraw/zinc/36/65/97/839366597.db2.gz VADOZHJJYSSYGE-UHFFFAOYSA-N -1 1 333.366 -0.848 20 0 EBADMM C[C@@H](NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)c1cnn(C)c1 ZINC001323659650 839494650 /nfs/dbraw/zinc/49/46/50/839494650.db2.gz PVENLERBOYNNLT-SECBINFHSA-N -1 1 327.348 -0.109 20 0 EBADMM C[C@@H](CO[C@@H]1CCOC1)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001323664727 839495811 /nfs/dbraw/zinc/49/58/11/839495811.db2.gz MGMODOVCORPFSH-WDEREUQCSA-N -1 1 347.375 -0.410 20 0 EBADMM Cn1ccnc1-c1cccc(NC(=O)C(=O)NN2CC(=O)[N-]C2=O)c1 ZINC001323711078 839505987 /nfs/dbraw/zinc/50/59/87/839505987.db2.gz LKUDZESAZUKXOM-UHFFFAOYSA-N -1 1 342.315 -0.391 20 0 EBADMM CCOCCC(=O)N1CC[C@]2(CC[N@H+](Cc3nc(=O)n(C)[nH]3)C2)C1 ZINC001323846150 839540693 /nfs/dbraw/zinc/54/06/93/839540693.db2.gz SMVBILULJZTNLZ-INIZCTEOSA-N -1 1 337.424 -0.041 20 0 EBADMM NC(=O)Cc1ccc(CNC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC001324127971 839594776 /nfs/dbraw/zinc/59/47/76/839594776.db2.gz GMQHMNCLTJTDLC-UHFFFAOYSA-N -1 1 330.344 -0.729 20 0 EBADMM COCCO[C@@H]1C[C@H](CO)CN(Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC001324747087 839709232 /nfs/dbraw/zinc/70/92/32/839709232.db2.gz WXRVPCZNBDFFCE-GXTWGEPZSA-N -1 1 336.392 -0.132 20 0 EBADMM C[C@H]1CN(CCCNC(=O)C(=O)NCCCC(=O)[O-])C[C@H](C)O1 ZINC001326279714 840079839 /nfs/dbraw/zinc/07/98/39/840079839.db2.gz YYIMECWNKLRVQP-RYUDHWBXSA-N -1 1 329.397 -0.417 20 0 EBADMM O=C(CCCn1cncn1)N1CC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001326406090 840100790 /nfs/dbraw/zinc/10/07/90/840100790.db2.gz ZGWBVMHMCSQWSA-GFCCVEGCSA-N -1 1 348.411 -0.090 20 0 EBADMM CC(C)NC(=O)CN(C)C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001326754182 840193483 /nfs/dbraw/zinc/19/34/83/840193483.db2.gz UCKVCCIDDKZZFR-UHFFFAOYSA-N -1 1 332.364 -0.737 20 0 EBADMM Cc1c(C(F)(F)F)nn(C)c1NC(=O)C(=O)NCc1nn[n-]n1 ZINC001326771977 840195810 /nfs/dbraw/zinc/19/58/10/840195810.db2.gz CUHCFQZAESRZAI-UHFFFAOYSA-N -1 1 332.246 -0.485 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCC[C@@](C)(CO)C3)ccnc1-2 ZINC001326775505 840196913 /nfs/dbraw/zinc/19/69/13/840196913.db2.gz IXOVSPYMSSAJFG-MRXNPFEDSA-N -1 1 331.376 -0.099 20 0 EBADMM O=C(Nc1ccc2c(c1)OCCCO2)C(=O)NN1CC(=O)[N-]C1=O ZINC001326806664 840206220 /nfs/dbraw/zinc/20/62/20/840206220.db2.gz GWFHWSPPSKFMIJ-UHFFFAOYSA-N -1 1 334.288 -0.631 20 0 EBADMM O=C(CCOCC(F)F)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001326980532 840259631 /nfs/dbraw/zinc/25/96/31/840259631.db2.gz VEUMFWLHYFDKDL-SECBINFHSA-N -1 1 349.338 -0.501 20 0 EBADMM CNC(=O)[C@@H](C)CN(C)C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001329098267 840841234 /nfs/dbraw/zinc/84/12/34/840841234.db2.gz DWFSLQGSKOEPNN-VIFPVBQESA-N -1 1 332.364 -0.879 20 0 EBADMM Cc1[nH]ccc1C(=O)NCCOCCN(C)Cc1nc(=O)n(C)[n-]1 ZINC001331110680 841338142 /nfs/dbraw/zinc/33/81/42/841338142.db2.gz NBBWYTXMFNCQLY-UHFFFAOYSA-N -1 1 336.396 -0.377 20 0 EBADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCc4nnn(C)c4C3)nc2n1 ZINC001331208605 841371260 /nfs/dbraw/zinc/37/12/60/841371260.db2.gz AMJFSAZFEIOBKV-QMMMGPOBSA-N -1 1 342.363 -0.148 20 0 EBADMM Cc1cnc2nc(C(=O)N(C)C[C@H](C)NCc3n[nH]c(=O)[n-]3)nn2c1 ZINC001331476689 841414772 /nfs/dbraw/zinc/41/47/72/841414772.db2.gz WRRDYIOAKSHFNG-VIFPVBQESA-N -1 1 345.367 -0.493 20 0 EBADMM COCCCOCC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001332437492 841641774 /nfs/dbraw/zinc/64/17/74/841641774.db2.gz MYMFWDUPHBLRLU-NSHDSACASA-N -1 1 341.412 -0.110 20 0 EBADMM C[C@H]1COCC[C@H]1C(=O)N(C)CCOCCNCc1n[nH]c(=O)[n-]1 ZINC001333251454 841836034 /nfs/dbraw/zinc/83/60/34/841836034.db2.gz VDRNCUSYNFKFMQ-NWDGAFQWSA-N -1 1 341.412 -0.253 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCc1noc(C(F)(F)F)n1 ZINC001334339893 842036433 /nfs/dbraw/zinc/03/64/33/842036433.db2.gz LEKDFOXSNKUHFK-UHFFFAOYSA-N -1 1 333.226 -0.355 20 0 EBADMM CO[N-]C(=O)CNC(=O)C(=O)N1CCN(C2CCCCC2)CC1 ZINC001336302308 842432660 /nfs/dbraw/zinc/43/26/60/842432660.db2.gz UZCZJMRTNRCXPZ-UHFFFAOYSA-N -1 1 326.397 -0.743 20 0 EBADMM CN(CCOCCNC(=O)c1ncccc1[O-])C(=O)Cc1nnc[nH]1 ZINC001336576692 842497949 /nfs/dbraw/zinc/49/79/49/842497949.db2.gz KMTQSDRPZIXAFD-UHFFFAOYSA-N -1 1 348.363 -0.647 20 0 EBADMM CC[C@@H](F)C(=O)N(C)C[C@@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001337378069 842607679 /nfs/dbraw/zinc/60/76/79/842607679.db2.gz OMQJIZYCLHLSSY-GHMZBOCLSA-N -1 1 342.371 -0.362 20 0 EBADMM CNC(=O)CC(=O)NC[C@@H]1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001338350001 842786163 /nfs/dbraw/zinc/78/61/63/842786163.db2.gz LHHBZKYCEHLIFI-MNOVXSKESA-N -1 1 334.376 -0.062 20 0 EBADMM C[C@H](CNC(=O)[C@@]1(C(=O)[O-])CNCCO1)N1CCc2ccccc21 ZINC001340044615 842965666 /nfs/dbraw/zinc/96/56/66/842965666.db2.gz KYZMBWVIXMBPBZ-SJKOYZFVSA-N -1 1 333.388 -0.003 20 0 EBADMM C[C@@H](C(=O)NN1CC(=O)[N-]C1=O)c1ccc(S(C)(=O)=O)cc1 ZINC001340109492 842971452 /nfs/dbraw/zinc/97/14/52/842971452.db2.gz HRWJEVOTUSUBFO-MRVPVSSYSA-N -1 1 325.346 -0.223 20 0 EBADMM O=C([O-])[C@]1(C(=O)NC[C@@H]2CC[C@@H](C(F)(F)F)O2)CNCCO1 ZINC001340929640 843045723 /nfs/dbraw/zinc/04/57/23/843045723.db2.gz CJNKYJHGFXEFLE-DKCNOQQISA-N -1 1 326.271 -0.344 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2CCN(c3ncccc3F)CC2)CNCCO1 ZINC001341285674 843071760 /nfs/dbraw/zinc/07/17/60/843071760.db2.gz VYABLNYDWPGGBP-OAHLLOKOSA-N -1 1 338.339 -0.688 20 0 EBADMM COCCOCCCCNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001342382428 843159291 /nfs/dbraw/zinc/15/92/91/843159291.db2.gz KONLOJNAZALLCA-UHFFFAOYSA-N -1 1 349.391 -0.160 20 0 EBADMM O=C(CCCN1C(=O)CNC1=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC001343005296 843191842 /nfs/dbraw/zinc/19/18/42/843191842.db2.gz PUFALQPAHJQFQN-QMMMGPOBSA-N -1 1 339.381 -0.852 20 0 EBADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C(=O)N2CC=C[C@H]2CO)C1 ZINC001345773497 843437568 /nfs/dbraw/zinc/43/75/68/843437568.db2.gz SXGPDFJEFSVBGW-TVQRCGJNSA-N -1 1 349.309 -0.585 20 0 EBADMM CCO[N-]C(=O)CNC(=O)C(=O)NC[C@@H](c1ccccc1)N(C)C ZINC001346341046 843505957 /nfs/dbraw/zinc/50/59/57/843505957.db2.gz FGBFENXKZMQTCF-ZDUSSCGKSA-N -1 1 336.392 -0.411 20 0 EBADMM Cn1cc(-c2nn(C)cc2NC(=O)CCn2cc[n-]c(=O)c2=O)cn1 ZINC001346461511 843520180 /nfs/dbraw/zinc/52/01/80/843520180.db2.gz FVYOCPWPPWJSKU-UHFFFAOYSA-N -1 1 343.347 -0.301 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCN2CCOC[C@@]2(CO)C1 ZINC001348441889 843698049 /nfs/dbraw/zinc/69/80/49/843698049.db2.gz LYHSQPWZPHIQCZ-AWEZNQCLSA-N -1 1 340.405 -0.702 20 0 EBADMM O=C(NCCCNC(=O)C1CCOCC1)c1cc(=O)n2[n-]cnc2n1 ZINC001349594714 843920415 /nfs/dbraw/zinc/92/04/15/843920415.db2.gz NYFMLCCFKMYINY-UHFFFAOYSA-N -1 1 348.363 -0.920 20 0 EBADMM O=C(NCCCNC(=O)c1cc(=O)n2[n-]cnc2n1)c1ccoc1 ZINC001349694511 843928512 /nfs/dbraw/zinc/92/85/12/843928512.db2.gz QWHZWYJCAROFFU-UHFFFAOYSA-N -1 1 330.304 -0.440 20 0 EBADMM CN(C)S(=O)(=O)c1ccc(O)c(C(=O)NC[C@](C)(O)C(=O)[O-])c1 ZINC001610904692 970783824 /nfs/dbraw/zinc/78/38/24/970783824.db2.gz VZRKUDLXYNOAJB-ZDUSSCGKSA-N -1 1 346.361 -0.792 20 0 EBADMM Cn1cc([C@@H]2CN(CC(=O)NCC(=O)[O-])CC23CCOCC3)cn1 ZINC001611277416 970966763 /nfs/dbraw/zinc/96/67/63/970966763.db2.gz ABFXJLDEZAHZPI-ZDUSSCGKSA-N -1 1 336.392 -0.183 20 0 EBADMM O=C([O-])c1ccc(CCNC(=O)NCC(=O)N2CCNCC2)cc1 ZINC000324747485 971154008 /nfs/dbraw/zinc/15/40/08/971154008.db2.gz PASWPJXDTPDCSS-UHFFFAOYSA-N -1 1 334.376 -0.342 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)CCNc1cnc(-c2nnn[n-]2)cn1 ZINC001573469739 946011976 /nfs/dbraw/zinc/01/19/76/946011976.db2.gz XHGLOKCCEUGUJN-MRVPVSSYSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)CCNc1cnc(-c2nn[n-]n2)cn1 ZINC001573469739 946011982 /nfs/dbraw/zinc/01/19/82/946011982.db2.gz XHGLOKCCEUGUJN-MRVPVSSYSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N1CC[C@@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001573470359 946023470 /nfs/dbraw/zinc/02/34/70/946023470.db2.gz DEBWWNPRBFXTEP-PSASIEDQSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N1CC[C@@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001573470359 946023482 /nfs/dbraw/zinc/02/34/82/946023482.db2.gz DEBWWNPRBFXTEP-PSASIEDQSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@@H](C)CCNc1nccnc1-c1nnn[n-]1 ZINC001573476063 946111739 /nfs/dbraw/zinc/11/17/39/946111739.db2.gz CORMWXKHSSWILH-DTWKUNHWSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@@H](C)CCNc1nccnc1-c1nn[n-]n1 ZINC001573476063 946111747 /nfs/dbraw/zinc/11/17/47/946111747.db2.gz CORMWXKHSSWILH-DTWKUNHWSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@H](C)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001573477105 946130086 /nfs/dbraw/zinc/13/00/86/946130086.db2.gz MTOHFJWETBGUEN-BDAKNGLRSA-N -1 1 347.383 -0.655 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@H](C)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001573477105 946130091 /nfs/dbraw/zinc/13/00/91/946130091.db2.gz MTOHFJWETBGUEN-BDAKNGLRSA-N -1 1 347.383 -0.655 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NCC1(Nc2cncc(-c3nnn[n-]3)n2)CC1 ZINC001573479959 946168096 /nfs/dbraw/zinc/16/80/96/946168096.db2.gz INSVLTDIWRFVBT-QMMMGPOBSA-N -1 1 345.367 -0.758 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NCC1(Nc2cncc(-c3nn[n-]n3)n2)CC1 ZINC001573479959 946168102 /nfs/dbraw/zinc/16/81/02/946168102.db2.gz INSVLTDIWRFVBT-QMMMGPOBSA-N -1 1 345.367 -0.758 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@@H](C)CNc1nccnc1-c1nnn[n-]1 ZINC001573485484 946227275 /nfs/dbraw/zinc/22/72/75/946227275.db2.gz UCOVVWAZBQGYCQ-JGVFFNPUSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@@H](C)CNc1nccnc1-c1nn[n-]n1 ZINC001573485484 946227285 /nfs/dbraw/zinc/22/72/85/946227285.db2.gz UCOVVWAZBQGYCQ-JGVFFNPUSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@H]1C[C@@H](Nc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001573486693 946243832 /nfs/dbraw/zinc/24/38/32/946243832.db2.gz ILKKYJUFLSINSQ-QNSHHTMESA-N -1 1 345.367 -0.760 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@H]1C[C@@H](Nc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001573486693 946243841 /nfs/dbraw/zinc/24/38/41/946243841.db2.gz ILKKYJUFLSINSQ-QNSHHTMESA-N -1 1 345.367 -0.760 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@H]1C[C@@H](Nc2cncc(-c3nnn[n-]3)n2)C1 ZINC001573486944 946246986 /nfs/dbraw/zinc/24/69/86/946246986.db2.gz JKQJRKMXRXZEOV-QNSHHTMESA-N -1 1 345.367 -0.760 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@H]1C[C@@H](Nc2cncc(-c3nn[n-]n3)n2)C1 ZINC001573486944 946246996 /nfs/dbraw/zinc/24/69/96/946246996.db2.gz JKQJRKMXRXZEOV-QNSHHTMESA-N -1 1 345.367 -0.760 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@H]1C[C@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001573488180 946267448 /nfs/dbraw/zinc/26/74/48/946267448.db2.gz ZVRULEGCEMBOLH-HGNGGELXSA-N -1 1 345.367 -0.760 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@H]1C[C@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001573488180 946267460 /nfs/dbraw/zinc/26/74/60/946267460.db2.gz ZVRULEGCEMBOLH-HGNGGELXSA-N -1 1 345.367 -0.760 20 0 EBADMM CC(=O)NCC(=O)N(C)[C@H](C)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001573497074 946339557 /nfs/dbraw/zinc/33/95/57/946339557.db2.gz KAPYSZICPGYLMU-MRVPVSSYSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)NCC(=O)N(C)[C@H](C)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001573497074 946339567 /nfs/dbraw/zinc/33/95/67/946339567.db2.gz KAPYSZICPGYLMU-MRVPVSSYSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)NCC(=O)N(C)C[C@@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001573501420 946380596 /nfs/dbraw/zinc/38/05/96/946380596.db2.gz OPIXTXPEGPYQEO-MRVPVSSYSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)NCC(=O)N(C)C[C@@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001573501420 946380607 /nfs/dbraw/zinc/38/06/07/946380607.db2.gz OPIXTXPEGPYQEO-MRVPVSSYSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)NCC(=O)N1C[C@@H](Nc2cncc(-c3nnn[n-]3)n2)C[C@H]1C ZINC001573502477 946388704 /nfs/dbraw/zinc/38/87/04/946388704.db2.gz WLFKGCGSHJBSTI-SCZZXKLOSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N1C[C@@H](Nc2cncc(-c3nn[n-]n3)n2)C[C@H]1C ZINC001573502477 946388712 /nfs/dbraw/zinc/38/87/12/946388712.db2.gz WLFKGCGSHJBSTI-SCZZXKLOSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N1CCC[C@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001573507248 946445473 /nfs/dbraw/zinc/44/54/73/946445473.db2.gz WBVUCQIQEPBFEW-JTQLQIEISA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)NCC(=O)N1CCC[C@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001573507248 946445486 /nfs/dbraw/zinc/44/54/86/946445486.db2.gz WBVUCQIQEPBFEW-JTQLQIEISA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)[C@H](C)Nc1nc(C)cc(-c2nnn[n-]2)n1 ZINC001573510741 946479591 /nfs/dbraw/zinc/47/95/91/946479591.db2.gz KMISUAAVEMUFLB-BDAKNGLRSA-N -1 1 347.383 -0.594 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)[C@H](C)Nc1nc(C)cc(-c2nn[n-]n2)n1 ZINC001573510741 946479593 /nfs/dbraw/zinc/47/95/93/946479593.db2.gz KMISUAAVEMUFLB-BDAKNGLRSA-N -1 1 347.383 -0.594 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)[C@@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001573511126 946482902 /nfs/dbraw/zinc/48/29/02/946482902.db2.gz NCIDNJHKOMQAKI-HTQZYQBOSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)[C@@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001573511126 946482907 /nfs/dbraw/zinc/48/29/07/946482907.db2.gz NCIDNJHKOMQAKI-HTQZYQBOSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)NCC(=O)N[C@H](CNc1ccc(-c2nnn[n-]2)nn1)C1CC1 ZINC001573511416 946485424 /nfs/dbraw/zinc/48/54/24/946485424.db2.gz POJAIALMURPJCL-LLVKDONJSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)N[C@H](CNc1ccc(-c2nn[n-]n2)nn1)C1CC1 ZINC001573511416 946485425 /nfs/dbraw/zinc/48/54/25/946485425.db2.gz POJAIALMURPJCL-LLVKDONJSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)NC[C@@H](Nc1ccc(-c2nnn[n-]2)nn1)C1CC1 ZINC001573517172 946566908 /nfs/dbraw/zinc/56/69/08/946566908.db2.gz DPGCFTVYGHTUAX-LLVKDONJSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)NC[C@@H](Nc1ccc(-c2nn[n-]n2)nn1)C1CC1 ZINC001573517172 946566919 /nfs/dbraw/zinc/56/69/19/946566919.db2.gz DPGCFTVYGHTUAX-LLVKDONJSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)NCC[C@H](C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001573520573 946599451 /nfs/dbraw/zinc/59/94/51/946599451.db2.gz KSKIWMHXGWPZAK-QMMMGPOBSA-N -1 1 333.356 -0.901 20 0 EBADMM CC(=O)NCC(=O)NCC[C@H](C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001573520573 946599457 /nfs/dbraw/zinc/59/94/57/946599457.db2.gz KSKIWMHXGWPZAK-QMMMGPOBSA-N -1 1 333.356 -0.901 20 0 EBADMM CC(=O)NCC(=O)NCC[C@@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001573520931 946603093 /nfs/dbraw/zinc/60/30/93/946603093.db2.gz PZTXUYVVPXHIPB-MRVPVSSYSA-N -1 1 333.356 -0.901 20 0 EBADMM CC(=O)NCC(=O)NCC[C@@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001573520931 946603098 /nfs/dbraw/zinc/60/30/98/946603098.db2.gz PZTXUYVVPXHIPB-MRVPVSSYSA-N -1 1 333.356 -0.901 20 0 EBADMM C[C@H](C(=O)N(C)C[C@H](O)CNc1cncc(-c2nnn[n-]2)n1)C1CC1 ZINC001573595645 947425272 /nfs/dbraw/zinc/42/52/72/947425272.db2.gz HEZBGUJBEWAAIF-GXSJLCMTSA-N -1 1 346.395 -0.066 20 0 EBADMM C[C@H](C(=O)N(C)C[C@H](O)CNc1cncc(-c2nn[n-]n2)n1)C1CC1 ZINC001573595645 947425276 /nfs/dbraw/zinc/42/52/76/947425276.db2.gz HEZBGUJBEWAAIF-GXSJLCMTSA-N -1 1 346.395 -0.066 20 0 EBADMM C[C@@H](C(=O)N(C)CCNc1ccc(-c2nnn[n-]2)nn1)n1cccn1 ZINC001573604725 947515172 /nfs/dbraw/zinc/51/51/72/947515172.db2.gz QJKZKHBAAXXAGN-JTQLQIEISA-N -1 1 342.367 -0.015 20 0 EBADMM C[C@@H](C(=O)N(C)CCNc1ccc(-c2nn[n-]n2)nn1)n1cccn1 ZINC001573604725 947515179 /nfs/dbraw/zinc/51/51/79/947515179.db2.gz QJKZKHBAAXXAGN-JTQLQIEISA-N -1 1 342.367 -0.015 20 0 EBADMM C[C@@H](C(=O)N1CCC2(COC(=O)N2)CC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573628165 947748440 /nfs/dbraw/zinc/74/84/40/947748440.db2.gz FUNSQJSSMMYWAV-QMMMGPOBSA-N -1 1 347.339 -0.880 20 0 EBADMM C[C@H](C(=O)N1CCC[C@@H](c2ncon2)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573628827 947765451 /nfs/dbraw/zinc/76/54/51/947765451.db2.gz OHTQLBJBWTUJNJ-RKDXNWHRSA-N -1 1 344.339 -0.192 20 0 EBADMM CC(=O)CCCC(=O)NC[C@@H](CO)Nc1nccnc1-c1nnn[n-]1 ZINC001573381296 947873416 /nfs/dbraw/zinc/87/34/16/947873416.db2.gz SPEQSIWTPHYUEJ-JTQLQIEISA-N -1 1 348.367 -0.695 20 0 EBADMM CC(=O)CCCC(=O)NC[C@@H](CO)Nc1nccnc1-c1nn[n-]n1 ZINC001573381296 947873422 /nfs/dbraw/zinc/87/34/22/947873422.db2.gz SPEQSIWTPHYUEJ-JTQLQIEISA-N -1 1 348.367 -0.695 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)CCN(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001573389580 947926791 /nfs/dbraw/zinc/92/67/91/947926791.db2.gz TYYVINKCPDQTFQ-UHFFFAOYSA-N -1 1 347.383 -0.970 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)CCN(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001573389580 947926796 /nfs/dbraw/zinc/92/67/96/947926796.db2.gz TYYVINKCPDQTFQ-UHFFFAOYSA-N -1 1 347.383 -0.970 20 0 EBADMM CC(=O)N(C)CC(=O)N1CC[C@H](Nc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001573391436 947935504 /nfs/dbraw/zinc/93/55/04/947935504.db2.gz IFTWROVELHGRAR-JTQLQIEISA-N -1 1 345.367 -0.852 20 0 EBADMM CC(=O)N(C)CC(=O)N1CC[C@H](Nc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001573391436 947935508 /nfs/dbraw/zinc/93/55/08/947935508.db2.gz IFTWROVELHGRAR-JTQLQIEISA-N -1 1 345.367 -0.852 20 0 EBADMM CC(=O)N(C)CC(=O)N1CC[C@H](Nc2cncc(-c3nnn[n-]3)n2)C1 ZINC001573393904 947960453 /nfs/dbraw/zinc/96/04/53/947960453.db2.gz QKOWYHQQSRDOIE-JTQLQIEISA-N -1 1 345.367 -0.852 20 0 EBADMM CC(=O)N(C)CC(=O)N1CC[C@H](Nc2cncc(-c3nn[n-]n3)n2)C1 ZINC001573393904 947960458 /nfs/dbraw/zinc/96/04/58/947960458.db2.gz QKOWYHQQSRDOIE-JTQLQIEISA-N -1 1 345.367 -0.852 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)CCNc1nccnc1-c1nnn[n-]1 ZINC001573397597 947974304 /nfs/dbraw/zinc/97/43/04/947974304.db2.gz CLKLQCYDHYNWLL-VIFPVBQESA-N -1 1 347.383 -0.558 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)CCNc1nccnc1-c1nn[n-]n1 ZINC001573397597 947974314 /nfs/dbraw/zinc/97/43/14/947974314.db2.gz CLKLQCYDHYNWLL-VIFPVBQESA-N -1 1 347.383 -0.558 20 0 EBADMM C[C@@H](C(=O)N[C@@H](c1ncon1)C1CC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573657096 947996232 /nfs/dbraw/zinc/99/62/32/947996232.db2.gz KKQTWGNUEUWGJZ-POYBYMJQSA-N -1 1 330.312 -0.330 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@H](C)N(C)c1nccnc1-c1nnn[n-]1 ZINC001573403759 948012575 /nfs/dbraw/zinc/01/25/75/948012575.db2.gz OFFIMLJIOPZOIB-VIFPVBQESA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@H](C)N(C)c1nccnc1-c1nn[n-]n1 ZINC001573403759 948012585 /nfs/dbraw/zinc/01/25/85/948012585.db2.gz OFFIMLJIOPZOIB-VIFPVBQESA-N -1 1 347.383 -0.924 20 0 EBADMM C[C@H](C(=O)N[C@@H]1C[C@@H]2CCCCN2C1=O)n1cnc(-c2nn[n-]n2)n1 ZINC001573665297 948071750 /nfs/dbraw/zinc/07/17/50/948071750.db2.gz KKIGNIYHDDFACK-KXUCPTDWSA-N -1 1 345.367 -0.711 20 0 EBADMM C[C@@H](C(=O)NC[C@@H](CO)Nc1cnc(-c2nnn[n-]2)cn1)C1CCC1 ZINC001573681577 948208135 /nfs/dbraw/zinc/20/81/35/948208135.db2.gz WONHHBDAFJJUMW-KOLCDFICSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](C(=O)NC[C@@H](CO)Nc1cnc(-c2nn[n-]n2)cn1)C1CCC1 ZINC001573681577 948208145 /nfs/dbraw/zinc/20/81/45/948208145.db2.gz WONHHBDAFJJUMW-KOLCDFICSA-N -1 1 346.395 -0.018 20 0 EBADMM CC(=O)N1CCC(NC(=O)Cn2cc(-c3nn[n-]n3)ccc2=O)CC1 ZINC001573434134 948208473 /nfs/dbraw/zinc/20/84/73/948208473.db2.gz PHBXZLPPALUYOI-UHFFFAOYSA-N -1 1 345.363 -0.845 20 0 EBADMM C[C@@H](C(=O)NC[C@@H](CO)Nc1ccnc(-c2nn[n-]n2)n1)C(C)(C)C ZINC001573681949 948218485 /nfs/dbraw/zinc/21/84/85/948218485.db2.gz ZMEHWNPRYLRHIS-UWVGGRQHSA-N -1 1 348.411 -0.350 20 0 EBADMM CC(=O)N1CCC2(CC1)CN(c1ccnc(-c3nn[n-]n3)n1)CCO2 ZINC001573439822 948254333 /nfs/dbraw/zinc/25/43/33/948254333.db2.gz SZVNTLNAPUSZLT-UHFFFAOYSA-N -1 1 344.379 -0.126 20 0 EBADMM C[C@H](C(=O)NC[C@H](O)CNc1cncc(-c2nnn[n-]2)n1)C1CC1 ZINC001573692368 948262796 /nfs/dbraw/zinc/26/27/96/948262796.db2.gz OFUBPTGLKQSROR-WCBMZHEXSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@H](C(=O)NC[C@H](O)CNc1cncc(-c2nn[n-]n2)n1)C1CC1 ZINC001573692368 948262805 /nfs/dbraw/zinc/26/28/05/948262805.db2.gz OFUBPTGLKQSROR-WCBMZHEXSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@@H](C(=O)NCCN(C)c1nccnc1-c1nnn[n-]1)n1cncn1 ZINC001573718720 948518899 /nfs/dbraw/zinc/51/88/99/948518899.db2.gz JMOIPCCELYCQIS-VIFPVBQESA-N -1 1 343.355 -0.938 20 0 EBADMM C[C@@H](C(=O)NCCN(C)c1nccnc1-c1nn[n-]n1)n1cncn1 ZINC001573718720 948518905 /nfs/dbraw/zinc/51/89/05/948518905.db2.gz JMOIPCCELYCQIS-VIFPVBQESA-N -1 1 343.355 -0.938 20 0 EBADMM C[C@@H](C(=O)NCc1cnn(CCF)c1)n1cnc(-c2nn[n-]n2)n1 ZINC001573729704 948571410 /nfs/dbraw/zinc/57/14/10/948571410.db2.gz JETDJOUZHJYQEQ-QMMMGPOBSA-N -1 1 334.319 -0.498 20 0 EBADMM C[C@H](C(=O)N[C@@H](C)CNc1nccnc1-c1nnn[n-]1)n1cncn1 ZINC001573731046 948587461 /nfs/dbraw/zinc/58/74/61/948587461.db2.gz CQVKWQCYRSMVID-DTWKUNHWSA-N -1 1 343.355 -0.574 20 0 EBADMM C[C@H](C(=O)N[C@@H](C)CNc1nccnc1-c1nn[n-]n1)n1cncn1 ZINC001573731046 948587462 /nfs/dbraw/zinc/58/74/62/948587462.db2.gz CQVKWQCYRSMVID-DTWKUNHWSA-N -1 1 343.355 -0.574 20 0 EBADMM C[C@@H](C(=O)NCc1ccc(C(N)=O)o1)n1cnc(-c2nn[n-]n2)n1 ZINC001573731409 948591472 /nfs/dbraw/zinc/59/14/72/948591472.db2.gz WQPCNZQZTAHFKC-LURJTMIESA-N -1 1 331.296 -0.973 20 0 EBADMM O=C(N[C@H]1C[C@@H](O)[C@@H](O)C1)c1cc(F)c(-c2nnn[n-]2)c(F)c1 ZINC001570923426 948661527 /nfs/dbraw/zinc/66/15/27/948661527.db2.gz XXJQYUBQXQRWHU-DSIYZXSISA-N -1 1 325.275 -0.241 20 0 EBADMM O=C(N[C@H]1C[C@@H](O)[C@@H](O)C1)c1cc(F)c(-c2nn[n-]n2)c(F)c1 ZINC001570923426 948661531 /nfs/dbraw/zinc/66/15/31/948661531.db2.gz XXJQYUBQXQRWHU-DSIYZXSISA-N -1 1 325.275 -0.241 20 0 EBADMM O=C(CCNc1ccnc(-c2nn[n-]n2)n1)NCc1ccccn1 ZINC001570925206 948664551 /nfs/dbraw/zinc/66/45/51/948664551.db2.gz BMBHXVCWIAEUCW-UHFFFAOYSA-N -1 1 325.336 -0.408 20 0 EBADMM O=C(NCCn1cnc(-c2nn[n-]n2)n1)[C@H]1CCn2ccnc2C1 ZINC001570926977 948700255 /nfs/dbraw/zinc/70/02/55/948700255.db2.gz LWTRRJIBIACDBD-VIFPVBQESA-N -1 1 328.340 -0.967 20 0 EBADMM Cn1cncc1[C@@H]1C[C@H]1C(=O)NCCn1cnc(-c2nn[n-]n2)n1 ZINC001570926940 948700842 /nfs/dbraw/zinc/70/08/42/948700842.db2.gz KMAPYENMSUJYNM-RKDXNWHRSA-N -1 1 328.340 -0.888 20 0 EBADMM O=c1[nH]cc(-c2nn[n-]n2)c(=O)n1C[C@@H]1COc2ccccc2O1 ZINC001570927573 948714397 /nfs/dbraw/zinc/71/43/97/948714397.db2.gz SWLWRIIJVVGPEC-MRVPVSSYSA-N -1 1 328.288 -0.031 20 0 EBADMM Cc1[nH]c(=O)[nH]c(=O)c1NC(=O)Cc1ccc(-c2nn[n-]n2)nc1 ZINC001570927905 948720442 /nfs/dbraw/zinc/72/04/42/948720442.db2.gz XKIHLXOKJNTOTR-UHFFFAOYSA-N -1 1 328.292 -0.047 20 0 EBADMM Cc1cc(O)ccc1CC(=O)NCCn1cnc(-c2nn[n-]n2)n1 ZINC001570927884 948721584 /nfs/dbraw/zinc/72/15/84/948721584.db2.gz XAIDJGVFEGDEJI-UHFFFAOYSA-N -1 1 328.336 -0.169 20 0 EBADMM NC(=O)Cn1ccc(NC(=O)Nc2ccnc(-c3nnn[n-]3)c2)n1 ZINC001570927956 948722892 /nfs/dbraw/zinc/72/28/92/948722892.db2.gz YLKVNFRPILIYQX-UHFFFAOYSA-N -1 1 328.296 -0.413 20 0 EBADMM NC(=O)Cn1ccc(NC(=O)Nc2ccnc(-c3nn[n-]n3)c2)n1 ZINC001570927956 948722896 /nfs/dbraw/zinc/72/28/96/948722896.db2.gz YLKVNFRPILIYQX-UHFFFAOYSA-N -1 1 328.296 -0.413 20 0 EBADMM O=C([C@@H]1CCOC1)N1CC[C@@H](Nc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001570928644 948737427 /nfs/dbraw/zinc/73/74/27/948737427.db2.gz UBKNIZKCMJXCQF-NXEZZACHSA-N -1 1 330.352 -0.294 20 0 EBADMM O=C([C@@H]1CCOC1)N1CC[C@@H](Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001570928644 948737430 /nfs/dbraw/zinc/73/74/30/948737430.db2.gz UBKNIZKCMJXCQF-NXEZZACHSA-N -1 1 330.352 -0.294 20 0 EBADMM CO[C@@H](C)C(=O)N1C[C@@H]2C[C@H]1CN2c1nccnc1-c1nnn[n-]1 ZINC001570928932 948747842 /nfs/dbraw/zinc/74/78/42/948747842.db2.gz XHICPOVYYVZUKV-GUBZILKMSA-N -1 1 330.352 -0.519 20 0 EBADMM CO[C@@H](C)C(=O)N1C[C@@H]2C[C@H]1CN2c1nccnc1-c1nn[n-]n1 ZINC001570928932 948747850 /nfs/dbraw/zinc/74/78/50/948747850.db2.gz XHICPOVYYVZUKV-GUBZILKMSA-N -1 1 330.352 -0.519 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)NCC[C@H]1CNC(=O)C1 ZINC001570930047 948798343 /nfs/dbraw/zinc/79/83/43/948798343.db2.gz ZQUXTNGWBJBWNV-MRVPVSSYSA-N -1 1 331.336 -0.468 20 0 EBADMM COCC(=O)N1C[C@H](C)[C@H](CNc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001570930341 948811384 /nfs/dbraw/zinc/81/13/84/948811384.db2.gz HYCPBAVPOSXSRU-VHSXEESVSA-N -1 1 332.368 -0.191 20 0 EBADMM COCC(=O)N1C[C@H](C)[C@H](CNc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001570930341 948811405 /nfs/dbraw/zinc/81/14/05/948811405.db2.gz HYCPBAVPOSXSRU-VHSXEESVSA-N -1 1 332.368 -0.191 20 0 EBADMM CC(C)(O)CC(=O)N1CC[C@@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001570930641 948822268 /nfs/dbraw/zinc/82/22/68/948822268.db2.gz OBGBXMRNOJDEGF-SECBINFHSA-N -1 1 332.368 -0.170 20 0 EBADMM CC(C)(O)CC(=O)N1CC[C@@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001570930641 948822275 /nfs/dbraw/zinc/82/22/75/948822275.db2.gz OBGBXMRNOJDEGF-SECBINFHSA-N -1 1 332.368 -0.170 20 0 EBADMM C[C@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)[C@@H]1COCCO1 ZINC001574682209 948828437 /nfs/dbraw/zinc/82/84/37/948828437.db2.gz QBEXRJPUNIRQAX-KOLCDFICSA-N -1 1 348.367 -0.621 20 0 EBADMM C[C@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)[C@@H]1COCCO1 ZINC001574682209 948828458 /nfs/dbraw/zinc/82/84/58/948828458.db2.gz QBEXRJPUNIRQAX-KOLCDFICSA-N -1 1 348.367 -0.621 20 0 EBADMM CC(C)[C@@H](O)C(=O)N1CC[C@@H](Nc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001570931114 948837851 /nfs/dbraw/zinc/83/78/51/948837851.db2.gz RZQQSHZUCIDHGK-BXKDBHETSA-N -1 1 332.368 -0.314 20 0 EBADMM CC(C)[C@@H](O)C(=O)N1CC[C@@H](Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001570931114 948837870 /nfs/dbraw/zinc/83/78/70/948837870.db2.gz RZQQSHZUCIDHGK-BXKDBHETSA-N -1 1 332.368 -0.314 20 0 EBADMM CCC(=O)N1CCO[C@H]([C@H](C)Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570931426 948847191 /nfs/dbraw/zinc/84/71/91/948847191.db2.gz XVRBJHAVKHHNJC-UWVGGRQHSA-N -1 1 332.368 -0.484 20 0 EBADMM CC(F)(F)CC(=O)NC[C@H](O)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575233854 948892912 /nfs/dbraw/zinc/89/29/12/948892912.db2.gz MJUUHELQTBDIKM-SSDOTTSWSA-N -1 1 342.310 -0.987 20 0 EBADMM O=C(CC1C(=O)N=CNC1=O)NCc1nc(-c2nnn[n-]2)cs1 ZINC001570933451 948895901 /nfs/dbraw/zinc/89/59/01/948895901.db2.gz BOIGRGLGYSGQKC-UHFFFAOYSA-N -1 1 334.321 -0.617 20 0 EBADMM O=C(CC1C(=O)N=CNC1=O)NCc1nc(-c2nn[n-]n2)cs1 ZINC001570933451 948895927 /nfs/dbraw/zinc/89/59/27/948895927.db2.gz BOIGRGLGYSGQKC-UHFFFAOYSA-N -1 1 334.321 -0.617 20 0 EBADMM C[C@@H](CNC(=O)CCn1ccnc1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574922953 948926998 /nfs/dbraw/zinc/92/69/98/948926998.db2.gz AEYZTWSYOYOIRX-JTQLQIEISA-N -1 1 342.367 -0.718 20 0 EBADMM C[C@H]1CN(C(=O)Nc2ccnc(-c3nnn[n-]3)c2)CCS1(=O)=O ZINC001570935279 948975935 /nfs/dbraw/zinc/97/59/35/948975935.db2.gz NBVBXYZRDSWZMW-QMMMGPOBSA-N -1 1 337.365 -0.088 20 0 EBADMM C[C@H]1CN(C(=O)Nc2ccnc(-c3nn[n-]n3)c2)CCS1(=O)=O ZINC001570935279 948975945 /nfs/dbraw/zinc/97/59/45/948975945.db2.gz NBVBXYZRDSWZMW-QMMMGPOBSA-N -1 1 337.365 -0.088 20 0 EBADMM CO[C@@H]1COCC[C@H]1CNC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC001570936141 949015455 /nfs/dbraw/zinc/01/54/55/949015455.db2.gz WENOCFUZXJSFBX-GXSJLCMTSA-N -1 1 336.352 -0.127 20 0 EBADMM CO[C@@H]1COCC[C@H]1CNC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC001570936141 949015473 /nfs/dbraw/zinc/01/54/73/949015473.db2.gz WENOCFUZXJSFBX-GXSJLCMTSA-N -1 1 336.352 -0.127 20 0 EBADMM CCOC(=O)[C@H](C)[C@@H](C)Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[n-]n1 ZINC001570936136 949016035 /nfs/dbraw/zinc/01/60/35/949016035.db2.gz VXNVZBPQUVVZOX-RNFRBKRXSA-N -1 1 337.340 -0.334 20 0 EBADMM CS[C@@H](C)CNC(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570936763 949054070 /nfs/dbraw/zinc/05/40/70/949054070.db2.gz NXKQHRXWFXHVDD-ZETCQYMHSA-N -1 1 339.381 -0.613 20 0 EBADMM C[C@@H](CNC(=O)CNC(=O)C1CC1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574928324 949060318 /nfs/dbraw/zinc/06/03/18/949060318.db2.gz OUVFHFXQWAISOO-QMMMGPOBSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@@H](CNC(=O)CNC(=O)C1CC1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574928324 949060336 /nfs/dbraw/zinc/06/03/36/949060336.db2.gz OUVFHFXQWAISOO-QMMMGPOBSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccc[nH]2)C[C@H]1Nc1ccnc(-c2nn[n-]n2)n1 ZINC001570936983 949065824 /nfs/dbraw/zinc/06/58/24/949065824.db2.gz VTCRYAFJQFRLJK-MWLCHTKSSA-N -1 1 339.363 -0.021 20 0 EBADMM C[C@H](C(=O)N1CC[C@H](c2ncccn2)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001570937530 949078151 /nfs/dbraw/zinc/07/81/51/949078151.db2.gz FQTKSKFROKGWAG-ZJUUUORDSA-N -1 1 340.351 -0.175 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnon2)C[C@@H]1Nc1cnc(-c2nnn[n-]2)cn1 ZINC001570938541 949105546 /nfs/dbraw/zinc/10/55/46/949105546.db2.gz FNYRPESTJKDHAD-XCBNKYQSSA-N -1 1 342.323 -0.388 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnon2)C[C@@H]1Nc1cnc(-c2nn[n-]n2)cn1 ZINC001570938541 949105555 /nfs/dbraw/zinc/10/55/55/949105555.db2.gz FNYRPESTJKDHAD-XCBNKYQSSA-N -1 1 342.323 -0.388 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)c1cnn(C)n1 ZINC001574696992 949147315 /nfs/dbraw/zinc/14/73/15/949147315.db2.gz WREHHPHOBMLINC-MRVPVSSYSA-N -1 1 343.355 -0.594 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)c1cnn(C)n1 ZINC001574696992 949147338 /nfs/dbraw/zinc/14/73/38/949147338.db2.gz WREHHPHOBMLINC-MRVPVSSYSA-N -1 1 343.355 -0.594 20 0 EBADMM Cc1conc1C(=O)N[C@@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C1 ZINC001570939632 949149846 /nfs/dbraw/zinc/14/98/46/949149846.db2.gz GNDAOIBEIUZNDY-SECBINFHSA-N -1 1 341.335 -0.038 20 0 EBADMM Cc1conc1C(=O)N[C@@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C1 ZINC001570939632 949149864 /nfs/dbraw/zinc/14/98/64/949149864.db2.gz GNDAOIBEIUZNDY-SECBINFHSA-N -1 1 341.335 -0.038 20 0 EBADMM O=C(c1cnco1)N1CC[C@@H](CNc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570940125 949170126 /nfs/dbraw/zinc/17/01/26/949170126.db2.gz KFSDATYLXYPDSZ-VIFPVBQESA-N -1 1 341.335 -0.359 20 0 EBADMM C[C@@H]1C[C@H](Nc2nccnc2-c2nnn[n-]2)CN1C(=O)c1nc[nH]n1 ZINC001570940176 949173982 /nfs/dbraw/zinc/17/39/82/949173982.db2.gz KQGPEFICNPMZCJ-SFYZADRCSA-N -1 1 341.339 -0.510 20 0 EBADMM C[C@@H]1C[C@H](Nc2nccnc2-c2nn[n-]n2)CN1C(=O)c1nc[nH]n1 ZINC001570940176 949173994 /nfs/dbraw/zinc/17/39/94/949173994.db2.gz KQGPEFICNPMZCJ-SFYZADRCSA-N -1 1 341.339 -0.510 20 0 EBADMM CC(C)CC(=O)N(C)C[C@@H](O)CN(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001574099517 949179907 /nfs/dbraw/zinc/17/99/07/949179907.db2.gz LWTLLVXSKYWNFC-NSHDSACASA-N -1 1 348.411 -0.042 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H](C)CC(N)=O)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574698373 949186336 /nfs/dbraw/zinc/18/63/36/949186336.db2.gz JUVLEHOUZLRGKP-IUCAKERBSA-N -1 1 347.383 -0.573 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H](C)CC(N)=O)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574698373 949186350 /nfs/dbraw/zinc/18/63/50/949186350.db2.gz JUVLEHOUZLRGKP-IUCAKERBSA-N -1 1 347.383 -0.573 20 0 EBADMM CC(C)(C(N)=O)N1CCN(C(=O)c2coc(-c3nnn[n-]3)c2)CC1 ZINC001573787907 949191902 /nfs/dbraw/zinc/19/19/02/949191902.db2.gz DOQAYAIQDKUBLW-UHFFFAOYSA-N -1 1 333.352 -0.519 20 0 EBADMM CC(C)(C(N)=O)N1CCN(C(=O)c2coc(-c3nn[n-]n3)c2)CC1 ZINC001573787907 949191911 /nfs/dbraw/zinc/19/19/11/949191911.db2.gz DOQAYAIQDKUBLW-UHFFFAOYSA-N -1 1 333.352 -0.519 20 0 EBADMM CC(C)(C(N)=O)C(=O)N[C@H]1C[C@@H](Nc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001573788149 949192705 /nfs/dbraw/zinc/19/27/05/949192705.db2.gz HAWNLOVICBDFMZ-OCAPTIKFSA-N -1 1 345.367 -0.773 20 0 EBADMM CC(C)(C(N)=O)C(=O)N[C@H]1C[C@@H](Nc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001573788149 949192718 /nfs/dbraw/zinc/19/27/18/949192718.db2.gz HAWNLOVICBDFMZ-OCAPTIKFSA-N -1 1 345.367 -0.773 20 0 EBADMM C[C@H]1CCC[C@H](CNC(=O)Cn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)O1 ZINC001576151649 949230378 /nfs/dbraw/zinc/23/03/78/949230378.db2.gz HUVWTOKSSIZCHW-DTWKUNHWSA-N -1 1 349.351 -0.797 20 0 EBADMM COC(=O)c1cc(-c2nn[n-]n2)c(=O)n(CC(=O)c2ccnn2C)c1 ZINC001570942324 949279009 /nfs/dbraw/zinc/27/90/09/949279009.db2.gz KBSTWAGCAASXEX-UHFFFAOYSA-N -1 1 343.303 -0.569 20 0 EBADMM CC(C)(C)C(=O)C(=O)NC[C@H](O)CNc1nccnc1-c1nnn[n-]1 ZINC001573793736 949306522 /nfs/dbraw/zinc/30/65/22/949306522.db2.gz XRBJUAWWZLKEIN-MRVPVSSYSA-N -1 1 348.367 -0.839 20 0 EBADMM CC(C)(C)C(=O)C(=O)NC[C@H](O)CNc1nccnc1-c1nn[n-]n1 ZINC001573793736 949306542 /nfs/dbraw/zinc/30/65/42/949306542.db2.gz XRBJUAWWZLKEIN-MRVPVSSYSA-N -1 1 348.367 -0.839 20 0 EBADMM CC(C)(C)C(=O)N1CCO[C@@H](C(=O)Nc2c[nH]nc2-c2nn[n-]n2)C1 ZINC001573795265 949321270 /nfs/dbraw/zinc/32/12/70/949321270.db2.gz KMAIUFSDPPPCCQ-SECBINFHSA-N -1 1 348.367 -0.198 20 0 EBADMM C[C@H]1CCC[C@@]1(O)CNC(=O)NCCn1cnc(-c2nn[n-]n2)n1 ZINC001576154241 949329409 /nfs/dbraw/zinc/32/94/09/949329409.db2.gz OHTJJDVLSQGNBE-TVQRCGJNSA-N -1 1 335.372 -0.692 20 0 EBADMM C[C@H](CNC(=O)Cc1ccc[nH]1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574942108 949334157 /nfs/dbraw/zinc/33/41/57/949334157.db2.gz VSRFFLNQTACMSB-SECBINFHSA-N -1 1 327.352 -0.434 20 0 EBADMM C[C@@H](CNC(=O)Cc1c[nH]cn1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001574942239 949345729 /nfs/dbraw/zinc/34/57/29/949345729.db2.gz LNQMBBWIVAPUNI-SECBINFHSA-N -1 1 342.367 -0.791 20 0 EBADMM C[C@H](CNC(=O)Cc1cc[nH]n1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001574942449 949357359 /nfs/dbraw/zinc/35/73/59/949357359.db2.gz OPDNZIBSXBHAQP-SECBINFHSA-N -1 1 342.367 -0.437 20 0 EBADMM C[C@H](CNC(=O)Cc1cc[nH]n1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001574942449 949357386 /nfs/dbraw/zinc/35/73/86/949357386.db2.gz OPDNZIBSXBHAQP-SECBINFHSA-N -1 1 342.367 -0.437 20 0 EBADMM C[C@@H](CN(C)C(=O)C1=COCCO1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574704282 949380000 /nfs/dbraw/zinc/38/00/00/949380000.db2.gz LXOOUTXYPAYWCL-VIFPVBQESA-N -1 1 346.351 -0.196 20 0 EBADMM C[C@@H](CN(C)C(=O)C1=COCCO1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574704282 949380022 /nfs/dbraw/zinc/38/00/22/949380022.db2.gz LXOOUTXYPAYWCL-VIFPVBQESA-N -1 1 346.351 -0.196 20 0 EBADMM C[C@H](NC(=O)CC(C)(C)O)[C@H](C)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575263130 949388239 /nfs/dbraw/zinc/38/82/39/949388239.db2.gz DYDMMNFCTKMAQT-IUCAKERBSA-N -1 1 334.384 -0.455 20 0 EBADMM C[C@@H](CNC(=O)Cc1ncc[nH]1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001574945981 949422874 /nfs/dbraw/zinc/42/28/74/949422874.db2.gz QXMKHSVCNFJADX-SECBINFHSA-N -1 1 342.367 -0.213 20 0 EBADMM C[C@@H](CNC(=O)Cc1ncc[nH]1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001574945981 949422885 /nfs/dbraw/zinc/42/28/85/949422885.db2.gz QXMKHSVCNFJADX-SECBINFHSA-N -1 1 342.367 -0.213 20 0 EBADMM CC1=NS(=O)(=O)N(C)C=C1C(=O)Nc1n[nH]cc1-c1nnn[n-]1 ZINC001575866913 949425349 /nfs/dbraw/zinc/42/53/49/949425349.db2.gz VUMAGAIXYJVIJX-UHFFFAOYSA-N -1 1 337.325 -0.937 20 0 EBADMM CC1=NS(=O)(=O)N(C)C=C1C(=O)Nc1n[nH]cc1-c1nn[n-]n1 ZINC001575866913 949425360 /nfs/dbraw/zinc/42/53/60/949425360.db2.gz VUMAGAIXYJVIJX-UHFFFAOYSA-N -1 1 337.325 -0.937 20 0 EBADMM C[C@H](CNC(=O)Cc1cnn(C)c1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574946335 949433849 /nfs/dbraw/zinc/43/38/49/949433849.db2.gz VDLHXGQPQNPMLC-SECBINFHSA-N -1 1 342.367 -0.451 20 0 EBADMM C[C@H](CNC(=O)Cc1cnn(C)c1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574946335 949433861 /nfs/dbraw/zinc/43/38/61/949433861.db2.gz VDLHXGQPQNPMLC-SECBINFHSA-N -1 1 342.367 -0.451 20 0 EBADMM CC(C)CC(=O)N[C@@H]1CCN(c2nccnc2-c2nnn[n-]2)C[C@@H]1O ZINC001574116995 949441646 /nfs/dbraw/zinc/44/16/46/949441646.db2.gz IWZKNBIRUUDPLX-MNOVXSKESA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)CC(=O)N[C@@H]1CCN(c2nccnc2-c2nn[n-]n2)C[C@@H]1O ZINC001574116995 949441664 /nfs/dbraw/zinc/44/16/64/949441664.db2.gz IWZKNBIRUUDPLX-MNOVXSKESA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@H](CNC(=O)Cn1cncn1)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001574947468 949447997 /nfs/dbraw/zinc/44/79/97/949447997.db2.gz BBOVBDILLZSAGD-VIFPVBQESA-N -1 1 343.355 -0.887 20 0 EBADMM C[C@H](CNC(=O)Cn1cncn1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001574947468 949448009 /nfs/dbraw/zinc/44/80/09/949448009.db2.gz BBOVBDILLZSAGD-VIFPVBQESA-N -1 1 343.355 -0.887 20 0 EBADMM C[C@H](NC(=O)C1CCOCC1)[C@H](C)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575266256 949470978 /nfs/dbraw/zinc/47/09/78/949470978.db2.gz YBRIUQXTLFHXOR-UWVGGRQHSA-N -1 1 346.395 -0.190 20 0 EBADMM O=C(N[C@H]1CCN(c2nccnc2-c2nnn[n-]2)C1)C1CCOCC1 ZINC001570945561 949488512 /nfs/dbraw/zinc/48/85/12/949488512.db2.gz DXJCFGLEQZKATP-NSHDSACASA-N -1 1 344.379 -0.222 20 0 EBADMM O=C(N[C@H]1CCN(c2nccnc2-c2nn[n-]n2)C1)C1CCOCC1 ZINC001570945561 949488528 /nfs/dbraw/zinc/48/85/28/949488528.db2.gz DXJCFGLEQZKATP-NSHDSACASA-N -1 1 344.379 -0.222 20 0 EBADMM CNS(=O)(=O)c1ccc(Cn2cc(-c3nn[n-]n3)ccc2=O)cc1 ZINC001570945595 949488958 /nfs/dbraw/zinc/48/89/58/949488958.db2.gz AHOJWYCEYJGXLQ-UHFFFAOYSA-N -1 1 346.372 -0.015 20 0 EBADMM O=C(NC[C@H]1CCCN1c1cncc(-c2nnn[n-]2)n1)[C@H]1CCOC1 ZINC001570945699 949491902 /nfs/dbraw/zinc/49/19/02/949491902.db2.gz HUSXJODLWHRIRD-WDEREUQCSA-N -1 1 344.379 -0.222 20 0 EBADMM O=C(NC[C@H]1CCCN1c1cncc(-c2nn[n-]n2)n1)[C@H]1CCOC1 ZINC001570945699 949491910 /nfs/dbraw/zinc/49/19/10/949491910.db2.gz HUSXJODLWHRIRD-WDEREUQCSA-N -1 1 344.379 -0.222 20 0 EBADMM CCC(=O)N1CCC[C@H](N(CCO)c2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570945636 949494330 /nfs/dbraw/zinc/49/43/30/949494330.db2.gz ATNGZRQZGDNGGN-NSHDSACASA-N -1 1 346.395 -0.144 20 0 EBADMM CC(C)c1oncc1NC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570945771 949500029 /nfs/dbraw/zinc/50/00/29/949500029.db2.gz DALWWRDQDJOBCY-UHFFFAOYSA-N -1 1 346.307 -0.121 20 0 EBADMM CN(C(=O)[C@@H]1CCOC1)[C@H]1CCN(c2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570946200 949522739 /nfs/dbraw/zinc/52/27/39/949522739.db2.gz OEEFKJHAUXBXAQ-MNOVXSKESA-N -1 1 344.379 -0.270 20 0 EBADMM CC[C@H](C)C(=O)N1CCO[C@H](CNc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570946834 949548739 /nfs/dbraw/zinc/54/87/39/949548739.db2.gz RACWCNSBPMLWCP-WDEREUQCSA-N -1 1 346.395 -0.236 20 0 EBADMM O=C(NC[C@@H]1CCCN1c1nccnc1-c1nnn[n-]1)[C@H]1CCOC1 ZINC001570946850 949548781 /nfs/dbraw/zinc/54/87/81/949548781.db2.gz RQPRPTPGMVAUDP-QWRGUYRKSA-N -1 1 344.379 -0.222 20 0 EBADMM O=C(NC[C@@H]1CCCN1c1nccnc1-c1nn[n-]n1)[C@H]1CCOC1 ZINC001570946850 949548796 /nfs/dbraw/zinc/54/87/96/949548796.db2.gz RQPRPTPGMVAUDP-QWRGUYRKSA-N -1 1 344.379 -0.222 20 0 EBADMM CCO[C@H]1C[C@@H]1C(=O)N[C@H]1C[C@@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570947403 949569820 /nfs/dbraw/zinc/56/98/20/949569820.db2.gz YXQPASIQUMDJHN-VLEAKVRGSA-N -1 1 344.379 -0.437 20 0 EBADMM O=C(C[C@H]1CCCO1)N1CCN(c2nccnc2-c2nnn[n-]2)CC1 ZINC001570947446 949570440 /nfs/dbraw/zinc/57/04/40/949570440.db2.gz ZSAOMZCOOROLBI-LLVKDONJSA-N -1 1 344.379 -0.126 20 0 EBADMM O=C(C[C@H]1CCCO1)N1CCN(c2nccnc2-c2nn[n-]n2)CC1 ZINC001570947446 949570453 /nfs/dbraw/zinc/57/04/53/949570453.db2.gz ZSAOMZCOOROLBI-LLVKDONJSA-N -1 1 344.379 -0.126 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)N1CCN(CCO)[C@H](C)C1 ZINC001570948174 949597989 /nfs/dbraw/zinc/59/79/89/949597989.db2.gz HZBLETFGAOTCQG-SNVBAGLBSA-N -1 1 347.379 -0.586 20 0 EBADMM C[C@@H](CNC(=O)[C@@H]1CCC(=O)N1C)Nc1nccnc1-c1nnn[n-]1 ZINC001574957163 949598044 /nfs/dbraw/zinc/59/80/44/949598044.db2.gz RPWWZKSANBNJDZ-IUCAKERBSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@H](CNC(=O)[C@@H]1CCC(=O)N1C)Nc1nccnc1-c1nn[n-]n1 ZINC001574957163 949598065 /nfs/dbraw/zinc/59/80/65/949598065.db2.gz RPWWZKSANBNJDZ-IUCAKERBSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)Nc2[nH]nc(N3CCOCC3)c2-c2nnn[n-]2)CO1 ZINC001570949073 949630455 /nfs/dbraw/zinc/63/04/55/949630455.db2.gz LRNRJDBSMBURJN-RKDXNWHRSA-N -1 1 348.367 -0.210 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)Nc2[nH]nc(N3CCOCC3)c2-c2nn[n-]n2)CO1 ZINC001570949073 949630465 /nfs/dbraw/zinc/63/04/65/949630465.db2.gz LRNRJDBSMBURJN-RKDXNWHRSA-N -1 1 348.367 -0.210 20 0 EBADMM C[C@@H]1C[C@H](C(=O)Nc2[nH]nc(N3CCOCC3)c2-c2nnn[n-]2)CO1 ZINC001570949070 949630686 /nfs/dbraw/zinc/63/06/86/949630686.db2.gz LRNRJDBSMBURJN-BDAKNGLRSA-N -1 1 348.367 -0.210 20 0 EBADMM C[C@@H]1C[C@H](C(=O)Nc2[nH]nc(N3CCOCC3)c2-c2nn[n-]n2)CO1 ZINC001570949070 949630702 /nfs/dbraw/zinc/63/07/02/949630702.db2.gz LRNRJDBSMBURJN-BDAKNGLRSA-N -1 1 348.367 -0.210 20 0 EBADMM COC(=O)[C@H]1CN(c2cc(-c3nnn[n-]3)nc(C)n2)C[C@H]1C(=O)OC ZINC001570949520 949645958 /nfs/dbraw/zinc/64/59/58/949645958.db2.gz VYEJOXPFHOODBK-DTORHVGOSA-N -1 1 347.335 -0.636 20 0 EBADMM COC(=O)[C@H]1CN(c2cc(-c3nn[n-]n3)nc(C)n2)C[C@H]1C(=O)OC ZINC001570949520 949645970 /nfs/dbraw/zinc/64/59/70/949645970.db2.gz VYEJOXPFHOODBK-DTORHVGOSA-N -1 1 347.335 -0.636 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)CCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)CCO1 ZINC001570950518 949684156 /nfs/dbraw/zinc/68/41/56/949684156.db2.gz KHOPQMGUPRRBDV-BDAKNGLRSA-N -1 1 349.351 -0.797 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)NCCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)[C@H](C)O1 ZINC001570950665 949694421 /nfs/dbraw/zinc/69/44/21/949694421.db2.gz PYHBRGSLUQEUSQ-HRDYMLBCSA-N -1 1 349.351 -0.941 20 0 EBADMM CO[C@@](C)(C(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)C1CC1 ZINC001570950785 949703955 /nfs/dbraw/zinc/70/39/55/949703955.db2.gz UQGDSGQSMXBOSO-CQSZACIVSA-N -1 1 349.351 -0.940 20 0 EBADMM CNC(=O)CN1CCCN(C(=O)c2ccc(-c3nnn[n-]3)s2)CC1 ZINC001570951098 949721925 /nfs/dbraw/zinc/72/19/25/949721925.db2.gz GPCVEPQFMNVMOO-UHFFFAOYSA-N -1 1 349.420 -0.178 20 0 EBADMM CNC(=O)CN1CCCN(C(=O)c2ccc(-c3nn[n-]n3)s2)CC1 ZINC001570951098 949721946 /nfs/dbraw/zinc/72/19/46/949721946.db2.gz GPCVEPQFMNVMOO-UHFFFAOYSA-N -1 1 349.420 -0.178 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CCC(=O)N1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001574964560 949780016 /nfs/dbraw/zinc/78/00/16/949780016.db2.gz YBTHGVAKPKMOOY-DTWKUNHWSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CCC(=O)N1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001574964560 949780024 /nfs/dbraw/zinc/78/00/24/949780024.db2.gz YBTHGVAKPKMOOY-DTWKUNHWSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(C)(C)[C@H](NC(N)=O)C(=O)NCc1ccnc(-c2nnn[n-]2)c1 ZINC001573825165 949862120 /nfs/dbraw/zinc/86/21/20/949862120.db2.gz LIFLQEBUUWCGGD-SNVBAGLBSA-N -1 1 332.368 -0.039 20 0 EBADMM CC(C)(C)[C@H](NC(N)=O)C(=O)NCc1ccnc(-c2nn[n-]n2)c1 ZINC001573825165 949862143 /nfs/dbraw/zinc/86/21/43/949862143.db2.gz LIFLQEBUUWCGGD-SNVBAGLBSA-N -1 1 332.368 -0.039 20 0 EBADMM CC1(C(=O)N[C@H]2CCN(c3ccc(-c4nnn[n-]4)nn3)C[C@@H]2O)CC1 ZINC001575569796 949930206 /nfs/dbraw/zinc/93/02/06/949930206.db2.gz OBFHYBQIDDONQM-ONGXEEELSA-N -1 1 344.379 -0.487 20 0 EBADMM CC1(C(=O)N[C@H]2CCN(c3ccc(-c4nn[n-]n4)nn3)C[C@@H]2O)CC1 ZINC001575569796 949930226 /nfs/dbraw/zinc/93/02/26/949930226.db2.gz OBFHYBQIDDONQM-ONGXEEELSA-N -1 1 344.379 -0.487 20 0 EBADMM CC1(C(=O)N[C@H]2CCN(c3nccnc3-c3nnn[n-]3)C[C@H]2O)CC1 ZINC001575570610 949942591 /nfs/dbraw/zinc/94/25/91/949942591.db2.gz TUIBUCZQOMGEDF-VHSXEESVSA-N -1 1 344.379 -0.487 20 0 EBADMM CC1(C(=O)N[C@H]2CCN(c3nccnc3-c3nn[n-]n3)C[C@H]2O)CC1 ZINC001575570610 949942614 /nfs/dbraw/zinc/94/26/14/949942614.db2.gz TUIBUCZQOMGEDF-VHSXEESVSA-N -1 1 344.379 -0.487 20 0 EBADMM CC1(C(=O)NC[C@@H](O)CNc2nccnc2-c2nnn[n-]2)CCC1 ZINC001575575065 950042609 /nfs/dbraw/zinc/04/26/09/950042609.db2.gz DBGHHXSDZVYBML-VIFPVBQESA-N -1 1 332.368 -0.264 20 0 EBADMM CC1(C(=O)NC[C@@H](O)CNc2nccnc2-c2nn[n-]n2)CCC1 ZINC001575575065 950042632 /nfs/dbraw/zinc/04/26/32/950042632.db2.gz DBGHHXSDZVYBML-VIFPVBQESA-N -1 1 332.368 -0.264 20 0 EBADMM CC(C)(C)CC(=O)NC[C@@H](O)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001573836277 950078079 /nfs/dbraw/zinc/07/80/79/950078079.db2.gz IYMMIGOKRKTXRK-VIFPVBQESA-N -1 1 334.384 -0.596 20 0 EBADMM CC1(C(=O)NC[C@]2(O)CCN(c3ccnc(-c4nn[n-]n4)n3)C2)CC1 ZINC001575583114 950138688 /nfs/dbraw/zinc/13/86/88/950138688.db2.gz OKAXRQPMAXDMOP-OAHLLOKOSA-N -1 1 344.379 -0.486 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1C[C@H]1C(N)=O)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574740736 950231307 /nfs/dbraw/zinc/23/13/07/950231307.db2.gz FSAHZUMOWDMJCP-YIZRAAEISA-N -1 1 345.367 -0.963 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1C[C@H]1C(N)=O)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574740736 950231328 /nfs/dbraw/zinc/23/13/28/950231328.db2.gz FSAHZUMOWDMJCP-YIZRAAEISA-N -1 1 345.367 -0.963 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cn[nH]n1)Nc1nccnc1-c1nnn[n-]1 ZINC001574742213 950274764 /nfs/dbraw/zinc/27/47/64/950274764.db2.gz IMKYZCFXIAIFEV-ZETCQYMHSA-N -1 1 329.328 -0.653 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cn[nH]n1)Nc1nccnc1-c1nn[n-]n1 ZINC001574742213 950274782 /nfs/dbraw/zinc/27/47/82/950274782.db2.gz IMKYZCFXIAIFEV-ZETCQYMHSA-N -1 1 329.328 -0.653 20 0 EBADMM C[C@@H](CC(=O)NC[C@H](O)CNc1cnc(-c2nnn[n-]2)cn1)C1CC1 ZINC001574498491 950276351 /nfs/dbraw/zinc/27/63/51/950276351.db2.gz OGHFNNVUTLKOQO-GXSJLCMTSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](CC(=O)NC[C@H](O)CNc1cnc(-c2nn[n-]n2)cn1)C1CC1 ZINC001574498491 950276368 /nfs/dbraw/zinc/27/63/68/950276368.db2.gz OGHFNNVUTLKOQO-GXSJLCMTSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@]1(C(=O)NCCNc2ccnc(-c3nn[n-]n3)n2)CCCOC1 ZINC001575593388 950310718 /nfs/dbraw/zinc/31/07/18/950310718.db2.gz NRFPOQHTVDVIPZ-AWEZNQCLSA-N -1 1 332.368 -0.577 20 0 EBADMM C[C@]1(C(=O)NCc2ccnc(-c3nnn[n-]3)c2)CCCS1(=O)=O ZINC001575599049 950422461 /nfs/dbraw/zinc/42/24/61/950422461.db2.gz BJCZXAARTPASBW-CYBMUJFWSA-N -1 1 336.377 -0.155 20 0 EBADMM C[C@]1(C(=O)NCc2ccnc(-c3nn[n-]n3)c2)CCCS1(=O)=O ZINC001575599049 950422478 /nfs/dbraw/zinc/42/24/78/950422478.db2.gz BJCZXAARTPASBW-CYBMUJFWSA-N -1 1 336.377 -0.155 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ccnn1C)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574760171 950656707 /nfs/dbraw/zinc/65/67/07/950656707.db2.gz GSUKLEYJUOEFOV-VIFPVBQESA-N -1 1 342.367 -0.615 20 0 EBADMM C[C@H]1CC[N@H+](Cc2cc(=O)n3[n-]cc(-c4nn[n-]n4)c3n2)[C@H]1CO ZINC001576215024 950687267 /nfs/dbraw/zinc/68/72/67/950687267.db2.gz UIGWHVMTAUAOGP-KWQFWETISA-N -1 1 330.352 -0.182 20 0 EBADMM C[C@H]1CC[N@@H+](Cc2cc(=O)n3[n-]cc(-c4nn[n-]n4)c3n2)[C@H]1CO ZINC001576215024 950687277 /nfs/dbraw/zinc/68/72/77/950687277.db2.gz UIGWHVMTAUAOGP-KWQFWETISA-N -1 1 330.352 -0.182 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cn(C)cn1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574761591 950695475 /nfs/dbraw/zinc/69/54/75/950695475.db2.gz SUWLSYKYGCOPFB-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cn(C)cn1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574761591 950695489 /nfs/dbraw/zinc/69/54/89/950695489.db2.gz SUWLSYKYGCOPFB-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CN(C)C(=O)c1cocn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574766148 950807696 /nfs/dbraw/zinc/80/76/96/950807696.db2.gz KRDSXMZYVXTETA-MRVPVSSYSA-N -1 1 329.324 -0.361 20 0 EBADMM CC(C)CCCC(=O)NC[C@H](O)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001574189306 950874052 /nfs/dbraw/zinc/87/40/52/950874052.db2.gz IEXAEYXYWXXIAQ-LLVKDONJSA-N -1 1 348.411 -0.206 20 0 EBADMM C[C@H](CN(C)C(=O)c1n[nH]cc1F)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574768517 950891257 /nfs/dbraw/zinc/89/12/57/950891257.db2.gz YHKASXPFRZDJJN-SSDOTTSWSA-N -1 1 346.330 -0.487 20 0 EBADMM CC1(C)C[C@H](NC(=O)Cn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)CCO1 ZINC001575640576 950895445 /nfs/dbraw/zinc/89/54/45/950895445.db2.gz IWXPUDZAHXQRSH-MRVPVSSYSA-N -1 1 349.351 -0.797 20 0 EBADMM CCOC(=O)N1C[C@H](CO)[C@H](NCc2cc(C(=O)[O-])nn2C)C1 ZINC001590873385 951004728 /nfs/dbraw/zinc/00/47/28/951004728.db2.gz KUMUBAKGDYFBQV-BXKDBHETSA-N -1 1 326.353 -0.343 20 0 EBADMM C[C@H](CNC(=O)c1cccnn1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575031764 951205576 /nfs/dbraw/zinc/20/55/76/951205576.db2.gz IJLSPPNTXYLYMW-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@H](CNC(=O)c1cccnn1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575031764 951205589 /nfs/dbraw/zinc/20/55/89/951205589.db2.gz IJLSPPNTXYLYMW-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@H](CNC(=O)c1ccnn1C)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575034924 951261058 /nfs/dbraw/zinc/26/10/58/951261058.db2.gz QQNKPVFAPXFMPN-MRVPVSSYSA-N -1 1 328.340 -0.958 20 0 EBADMM C[C@@H](CNC(=O)c1ccnnc1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001575035028 951263263 /nfs/dbraw/zinc/26/32/63/951263263.db2.gz SRCHQNSGAPFSHK-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1ccnnc1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575035028 951263276 /nfs/dbraw/zinc/26/32/76/951263276.db2.gz SRCHQNSGAPFSHK-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1ccsn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575038197 951315842 /nfs/dbraw/zinc/31/58/42/951315842.db2.gz HFNIRRVESZZGMP-ZETCQYMHSA-N -1 1 331.365 -0.235 20 0 EBADMM C[C@@H](CNC(=O)c1ccon1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575040025 951336598 /nfs/dbraw/zinc/33/65/98/951336598.db2.gz SXKNSWSQGLCDPK-QMMMGPOBSA-N -1 1 329.324 -0.101 20 0 EBADMM C[C@@H](CNC(=O)c1ccon1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575040025 951336611 /nfs/dbraw/zinc/33/66/11/951336611.db2.gz SXKNSWSQGLCDPK-QMMMGPOBSA-N -1 1 329.324 -0.101 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)nn1)CNc1nccnc1-c1nnn[n-]1 ZINC001575043559 951397634 /nfs/dbraw/zinc/39/76/34/951397634.db2.gz UPPUDQFNAWXQNM-QMMMGPOBSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)nn1)CNc1nccnc1-c1nn[n-]n1 ZINC001575043559 951397648 /nfs/dbraw/zinc/39/76/48/951397648.db2.gz UPPUDQFNAWXQNM-QMMMGPOBSA-N -1 1 343.355 -0.737 20 0 EBADMM CCS(=O)(=O)C[C@H](C)NC(=O)NC1CCN(CC(=O)[O-])CC1 ZINC001593277349 951416864 /nfs/dbraw/zinc/41/68/64/951416864.db2.gz IRIKQIWCXYRKGI-JTQLQIEISA-N -1 1 335.426 -0.342 20 0 EBADMM C[C@H](CNC(=O)c1cnccn1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001575045764 951432394 /nfs/dbraw/zinc/43/23/94/951432394.db2.gz HEQNZZMAOPRVGI-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@H](CNC(=O)c1cnccn1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575045764 951432400 /nfs/dbraw/zinc/43/24/00/951432400.db2.gz HEQNZZMAOPRVGI-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@H](CNC(=O)c1cncn1C)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575045768 951433003 /nfs/dbraw/zinc/43/30/03/951433003.db2.gz HHBVZHHKUKHISS-VIFPVBQESA-N -1 1 342.367 -0.710 20 0 EBADMM C[C@H](CNC(=O)c1cncnc1)CNc1nccnc1-c1nnn[n-]1 ZINC001575045934 951434782 /nfs/dbraw/zinc/43/47/82/951434782.db2.gz JQBPNEZIFQJKSV-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@H](CNC(=O)c1cncnc1)CNc1nccnc1-c1nn[n-]n1 ZINC001575045934 951434791 /nfs/dbraw/zinc/43/47/91/951434791.db2.gz JQBPNEZIFQJKSV-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@H](CNC(=O)c1cnccn1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001575045944 951436534 /nfs/dbraw/zinc/43/65/34/951436534.db2.gz JTXVKEUKDVWPAD-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@H](CNC(=O)c1cnccn1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575045944 951436546 /nfs/dbraw/zinc/43/65/46/951436546.db2.gz JTXVKEUKDVWPAD-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@H](CNC(=O)c1cnco1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575046639 951443237 /nfs/dbraw/zinc/44/32/37/951443237.db2.gz QBKXEMYRJUBZJR-MRVPVSSYSA-N -1 1 329.324 -0.101 20 0 EBADMM C[C@H](CNC(=O)c1cnco1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575046639 951443249 /nfs/dbraw/zinc/44/32/49/951443249.db2.gz QBKXEMYRJUBZJR-MRVPVSSYSA-N -1 1 329.324 -0.101 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)n1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575052567 951469784 /nfs/dbraw/zinc/46/97/84/951469784.db2.gz MDHQEDLDNVKMKR-MRVPVSSYSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)n1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575053302 951477372 /nfs/dbraw/zinc/47/73/72/951477372.db2.gz SGZRISDNTHEKDF-MRVPVSSYSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)n1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575053302 951477379 /nfs/dbraw/zinc/47/73/79/951477379.db2.gz SGZRISDNTHEKDF-MRVPVSSYSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@@H](CNC(=O)c1cnns1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575053741 951483421 /nfs/dbraw/zinc/48/34/21/951483421.db2.gz DMHYBFJEHPWOSB-LURJTMIESA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@@H](CNC(=O)c1cnns1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575053741 951483440 /nfs/dbraw/zinc/48/34/40/951483440.db2.gz DMHYBFJEHPWOSB-LURJTMIESA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@@H](CNC(=O)c1cnsn1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575055251 951501503 /nfs/dbraw/zinc/50/15/03/951501503.db2.gz OYJSJNRWAOKMQW-SSDOTTSWSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@@H](CNC(=O)c1cnsn1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575055251 951501514 /nfs/dbraw/zinc/50/15/14/951501514.db2.gz OYJSJNRWAOKMQW-SSDOTTSWSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@H](CNC(=O)c1cnsn1)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001575055538 951507107 /nfs/dbraw/zinc/50/71/07/951507107.db2.gz WORNXTDASTVVFC-ZETCQYMHSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@H](CNC(=O)c1cnsn1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001575055538 951507115 /nfs/dbraw/zinc/50/71/15/951507115.db2.gz WORNXTDASTVVFC-ZETCQYMHSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@@H](CNC(=O)c1cnsn1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575055506 951507417 /nfs/dbraw/zinc/50/74/17/951507417.db2.gz VYUNEAPHIDOKJL-ZETCQYMHSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@@H](CNC(=O)c1cnsn1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575055506 951507424 /nfs/dbraw/zinc/50/74/24/951507424.db2.gz VYUNEAPHIDOKJL-ZETCQYMHSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@H](CNC(=O)c1cnsn1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575055505 951507646 /nfs/dbraw/zinc/50/76/46/951507646.db2.gz VYUNEAPHIDOKJL-SSDOTTSWSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@H](CNC(=O)c1cnsn1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575055505 951507652 /nfs/dbraw/zinc/50/76/52/951507652.db2.gz VYUNEAPHIDOKJL-SSDOTTSWSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@@H](CNC(=O)c1cnon1)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001575055598 951510262 /nfs/dbraw/zinc/51/02/62/951510262.db2.gz XZUSGJYHNNCXAA-SSDOTTSWSA-N -1 1 330.312 -0.482 20 0 EBADMM C[C@@H](CNC(=O)c1cnon1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001575055598 951510267 /nfs/dbraw/zinc/51/02/67/951510267.db2.gz XZUSGJYHNNCXAA-SSDOTTSWSA-N -1 1 330.312 -0.482 20 0 EBADMM C[C@H](CNC(=O)c1cocn1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575059259 951539526 /nfs/dbraw/zinc/53/95/26/951539526.db2.gz YHTIOYJATXCTIP-MRVPVSSYSA-N -1 1 329.324 -0.101 20 0 EBADMM C[C@@H](CNC(=O)c1ncccn1)Nc1nccnc1-c1nnn[n-]1 ZINC001575068047 951629402 /nfs/dbraw/zinc/62/94/02/951629402.db2.gz WKABPFKSWOUCRN-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@@H](CNC(=O)c1ncccn1)Nc1nccnc1-c1nn[n-]n1 ZINC001575068047 951629406 /nfs/dbraw/zinc/62/94/06/951629406.db2.gz WKABPFKSWOUCRN-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM O=C([O-])CS(=O)(=O)CCNCc1ccc(-c2nncnn2)cc1 ZINC001595125481 952012380 /nfs/dbraw/zinc/01/23/80/952012380.db2.gz WRNMTMGLSUERDN-UHFFFAOYSA-N -1 1 337.361 -0.477 20 0 EBADMM C[C@@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)Cc1ncc[nH]1 ZINC001575136984 952132269 /nfs/dbraw/zinc/13/22/69/952132269.db2.gz YUPVRWMIHHOQFX-VIFPVBQESA-N -1 1 342.367 -0.119 20 0 EBADMM C[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)Cc1ncc[nH]1 ZINC001575136984 952132276 /nfs/dbraw/zinc/13/22/76/952132276.db2.gz YUPVRWMIHHOQFX-VIFPVBQESA-N -1 1 342.367 -0.119 20 0 EBADMM C[C@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)Cc1ncc[nH]1 ZINC001575136983 952133019 /nfs/dbraw/zinc/13/30/19/952133019.db2.gz YUPVRWMIHHOQFX-SECBINFHSA-N -1 1 342.367 -0.119 20 0 EBADMM C[C@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)Cc1ncc[nH]1 ZINC001575136983 952133022 /nfs/dbraw/zinc/13/30/22/952133022.db2.gz YUPVRWMIHHOQFX-SECBINFHSA-N -1 1 342.367 -0.119 20 0 EBADMM C[C@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)c1ccnn1C ZINC001575137273 952135665 /nfs/dbraw/zinc/13/56/65/952135665.db2.gz WAURNSPNQYIARO-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)c1ccnn1C ZINC001575137273 952135672 /nfs/dbraw/zinc/13/56/72/952135672.db2.gz WAURNSPNQYIARO-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)CC1(O)CCC1 ZINC001575141509 952145112 /nfs/dbraw/zinc/14/51/12/952145112.db2.gz HRNPPBXMBBBKQZ-JTQLQIEISA-N -1 1 346.395 -0.358 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)C[C@@H]1CCOC1 ZINC001575143989 952160996 /nfs/dbraw/zinc/16/09/96/952160996.db2.gz SWVUCWLKCTUKBH-MNOVXSKESA-N -1 1 346.395 -0.236 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1cnn(C)c1 ZINC001575144688 952169437 /nfs/dbraw/zinc/16/94/37/952169437.db2.gz KLKVWAPVOYKUTK-VIFPVBQESA-N -1 1 342.367 -0.615 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)Cn1ccnc1 ZINC001575144956 952176045 /nfs/dbraw/zinc/17/60/45/952176045.db2.gz ZORDPBYZDOFXSS-SNVBAGLBSA-N -1 1 342.367 -0.766 20 0 EBADMM C[C@@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)Cc1ncc[nH]1 ZINC001575155128 952235585 /nfs/dbraw/zinc/23/55/85/952235585.db2.gz WNLNXFXLHVBUGG-VIFPVBQESA-N -1 1 342.367 -0.119 20 0 EBADMM C[C@@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)C1=COCCO1 ZINC001575156189 952248214 /nfs/dbraw/zinc/24/82/14/952248214.db2.gz QQCMFGQXDAODON-VIFPVBQESA-N -1 1 346.351 -0.196 20 0 EBADMM C[C@@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)C1=COCCO1 ZINC001575156189 952248221 /nfs/dbraw/zinc/24/82/21/952248221.db2.gz QQCMFGQXDAODON-VIFPVBQESA-N -1 1 346.351 -0.196 20 0 EBADMM C[C@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)[C@H]1COC(=O)N1 ZINC001575158081 952269748 /nfs/dbraw/zinc/26/97/48/952269748.db2.gz RUHULUFMRWDSNP-VXNVDRBHSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)[C@H]1COC(=O)N1 ZINC001575158081 952269752 /nfs/dbraw/zinc/26/97/52/952269752.db2.gz RUHULUFMRWDSNP-VXNVDRBHSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)c1ccn(C)n1 ZINC001575158562 952272521 /nfs/dbraw/zinc/27/25/21/952272521.db2.gz WKEHKOMWKASDJE-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)c1ccn(C)n1 ZINC001575158562 952272526 /nfs/dbraw/zinc/27/25/26/952272526.db2.gz WKEHKOMWKASDJE-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)[C@H]1CCOC1 ZINC001575174775 952380650 /nfs/dbraw/zinc/38/06/50/952380650.db2.gz SNFPFPGPPPUAPZ-UWVGGRQHSA-N -1 1 332.368 -0.048 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)[C@H]1CCOC1 ZINC001575174775 952380655 /nfs/dbraw/zinc/38/06/55/952380655.db2.gz SNFPFPGPPPUAPZ-UWVGGRQHSA-N -1 1 332.368 -0.048 20 0 EBADMM C[C@](Cn1cccn1)(NC(=O)c1ccc(-c2nnn[n-]2)nc1)C(N)=O ZINC001575207941 952536887 /nfs/dbraw/zinc/53/68/87/952536887.db2.gz WPXBOXRISQVFLV-CQSZACIVSA-N -1 1 341.335 -0.868 20 0 EBADMM C[C@](Cn1cccn1)(NC(=O)c1ccc(-c2nn[n-]n2)nc1)C(N)=O ZINC001575207941 952536892 /nfs/dbraw/zinc/53/68/92/952536892.db2.gz WPXBOXRISQVFLV-CQSZACIVSA-N -1 1 341.335 -0.868 20 0 EBADMM O=C([O-])[C@H]1CC(=O)N(CN2CC[C@H](C(=O)NCC(F)(F)F)C2)C1 ZINC001594899048 954601588 /nfs/dbraw/zinc/60/15/88/954601588.db2.gz YUHQHMBYGIOCQW-IUCAKERBSA-N -1 1 337.298 -0.123 20 0 EBADMM O=C([O-])C1CCN(S(=O)(=O)N2CC[C@H](c3nc[nH]n3)C2)CC1 ZINC001594935065 954851384 /nfs/dbraw/zinc/85/13/84/954851384.db2.gz JMWMQVLRRYWSLM-JTQLQIEISA-N -1 1 329.382 -0.365 20 0 EBADMM COC(=O)[C@H]1CN(C)CCN(C(=O)N[C@@H]2CC[C@H](C(=O)[O-])C2)C1 ZINC001593829033 955131211 /nfs/dbraw/zinc/13/12/11/955131211.db2.gz UGFXLLASIVKHQH-SDDRHHMPSA-N -1 1 327.381 -0.014 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)c2cn(CC(=O)[O-])nn2)C1 ZINC001603028851 972087267 /nfs/dbraw/zinc/08/72/67/972087267.db2.gz BTIWPADUYOYRMX-LLVKDONJSA-N -1 1 325.369 -0.551 20 0 EBADMM C[C@@H](CN(C)C(=O)C(=O)NC[C@H]1CCN1C1CCOCC1)C(=O)[O-] ZINC001589020499 955466264 /nfs/dbraw/zinc/46/62/64/955466264.db2.gz UDMBWDGQNCZIRY-WCQYABFASA-N -1 1 341.408 -0.465 20 0 EBADMM Cn1cc([C@H]2C[C@@H](C(=O)[O-])CN(CC(=O)N3CCOCC3)C2)cn1 ZINC001594534674 955560511 /nfs/dbraw/zinc/56/05/11/955560511.db2.gz AGRDBAUMHOKVBT-QWHCGFSZSA-N -1 1 336.392 -0.231 20 0 EBADMM C[C@@H](CNC(=O)C(=O)N1CCC(C)(N2CCOCC2)CC1)C(=O)[O-] ZINC001589038860 955574077 /nfs/dbraw/zinc/57/40/77/955574077.db2.gz IRVYPNBHZBGQQF-LBPRGKRZSA-N -1 1 341.408 -0.463 20 0 EBADMM CO[C@](C)(C(=O)[O-])C(=O)N1CCN([C@@H]2CCNC(=O)CC2)CC1 ZINC001593922313 956094027 /nfs/dbraw/zinc/09/40/27/956094027.db2.gz KTRRNYPYUNCEIS-NHYWBVRUSA-N -1 1 327.381 -0.711 20 0 EBADMM C[C@@](O)(CNC(=O)CCN1CCN(c2ccccn2)CC1)C(=O)[O-] ZINC001589139455 956260226 /nfs/dbraw/zinc/26/02/26/956260226.db2.gz UQJOOJPPLPCSOZ-MRXNPFEDSA-N -1 1 336.392 -0.455 20 0 EBADMM CC1(C)CN(C(=O)NCCOCCOCC(=O)[O-])CCN1CCO ZINC001589230416 956820101 /nfs/dbraw/zinc/82/01/01/956820101.db2.gz WBOZQYIJBXTKBV-UHFFFAOYSA-N -1 1 347.412 -0.798 20 0 EBADMM COCCN1CC[C@@H](NC(=O)C(=O)NC2CCC(C(=O)[O-])CC2)C1 ZINC001594014395 957016974 /nfs/dbraw/zinc/01/69/74/957016974.db2.gz CLVWKMXWMFFAJF-WXRRBKDZSA-N -1 1 341.408 -0.417 20 0 EBADMM CS(=O)(=O)N1CCCC[C@@H]1C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000390270521 972272831 /nfs/dbraw/zinc/27/28/31/972272831.db2.gz KCVKWXNONDKXPY-WDEREUQCSA-N -1 1 344.393 -0.664 20 0 EBADMM C[C@H](NC(=O)N1C[C@@H]2COC[C@]2(C(=O)[O-])C1)[C@@H]1CN(C)CCN1C ZINC001603227392 972345841 /nfs/dbraw/zinc/34/58/41/972345841.db2.gz NLTANUWXBSHZLA-RSUWNVLCSA-N -1 1 340.424 -0.637 20 0 EBADMM O=C([O-])c1cc(S(=O)(=O)NCC(=O)N2CCNCC2)cs1 ZINC000113250751 958443405 /nfs/dbraw/zinc/44/34/05/958443405.db2.gz BWWRZUNREGCEQG-UHFFFAOYSA-N -1 1 333.391 -0.844 20 0 EBADMM C[C@H]([C@@H](C)S(C)(=O)=O)N(C)C(=O)NC1CCN(CC(=O)[O-])CC1 ZINC001588607116 959483139 /nfs/dbraw/zinc/48/31/39/959483139.db2.gz PSGLXMQEVLSFJD-GHMZBOCLSA-N -1 1 349.453 -0.002 20 0 EBADMM C[C@H]1CN(C2CCOCC2)CCN1C(=O)[C@H]1CC(C(=O)[O-])=NO1 ZINC001594246025 959569228 /nfs/dbraw/zinc/56/92/28/959569228.db2.gz SEMKZVAOJMCRDS-GXFFZTMASA-N -1 1 325.365 -0.072 20 0 EBADMM C[C@H]1CN(C2CCOCC2)CCN1C(=O)C(=O)NCCC(=O)[O-] ZINC001594245997 959570118 /nfs/dbraw/zinc/57/01/18/959570118.db2.gz QHKSIOPHPLYOBV-NSHDSACASA-N -1 1 327.381 -0.711 20 0 EBADMM CS(=O)(=O)Cc1nc(CNC(=O)C2(C(=O)[O-])CC=CC2)n[nH]1 ZINC001604921625 972485919 /nfs/dbraw/zinc/48/59/19/972485919.db2.gz UHXOLWMYMPOSPY-UHFFFAOYSA-N -1 1 328.350 -0.614 20 0 EBADMM CN(CC(=O)Nc1nc2n(c1C(=O)[O-])CCOC2)[C@@H]1CCC[C@H]1O ZINC001604102764 972510522 /nfs/dbraw/zinc/51/05/22/972510522.db2.gz JGBVRJQCVKDYCR-NXEZZACHSA-N -1 1 338.364 -0.105 20 0 EBADMM CN1C[C@@H]2CC[C@@H](NC(=O)C3(C(=O)[O-])CCS(=O)(=O)CC3)[C@@H]2C1 ZINC001574021403 961962526 /nfs/dbraw/zinc/96/25/26/961962526.db2.gz BDDMDNGCBHUYQE-QJPTWQEYSA-N -1 1 344.433 -0.278 20 0 EBADMM CC(C)(C)OC(=O)COCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001571174109 962079722 /nfs/dbraw/zinc/07/97/22/962079722.db2.gz HGZSQKADKZWZQE-JTQLQIEISA-N -1 1 327.337 -0.120 20 0 EBADMM CN1CC[C@H](N2CCC(NC(=O)CSCC(=O)[O-])CC2)C1=O ZINC001588871150 962083636 /nfs/dbraw/zinc/08/36/36/962083636.db2.gz XRPCIEZCFMMHMT-NSHDSACASA-N -1 1 329.422 -0.385 20 0 EBADMM O=C([O-])C1=NO[C@H](C(=O)N2CCCN(C[C@H]3CCCO3)CC2)C1 ZINC001574640226 962339615 /nfs/dbraw/zinc/33/96/15/962339615.db2.gz LZEQCIYQIVOKMX-YPMHNXCESA-N -1 1 325.365 -0.071 20 0 EBADMM O=C([O-])[C@H]1C[C@@H]1C(=O)N[C@H]1C[C@H](NCc2nnc3n2CCOC3)C1 ZINC001571223348 962561740 /nfs/dbraw/zinc/56/17/40/962561740.db2.gz GIDSELPGESLRBM-NAKRPEOUSA-N -1 1 335.364 -0.734 20 0 EBADMM CN(C(=O)Cc1ncn[nH]1)C1CCN(C(=O)[C@@H]2C[C@H]2C(=O)[O-])CC1 ZINC001571224481 962575770 /nfs/dbraw/zinc/57/57/70/962575770.db2.gz XJPODBPUJBSCTL-GHMZBOCLSA-N -1 1 335.364 -0.483 20 0 EBADMM O=C([O-])CCCCNC(=O)NC[C@@]1(CO)COC[C@@H]2CCC[N@H+]21 ZINC001574701996 962683902 /nfs/dbraw/zinc/68/39/02/962683902.db2.gz GMQBGAAMVLSBHG-SWLSCSKDSA-N -1 1 329.397 -0.234 20 0 EBADMM O=C([O-])CCCCNC(=O)NC[C@@]1(CO)COC[C@@H]2CCCN21 ZINC001574701996 962683911 /nfs/dbraw/zinc/68/39/11/962683911.db2.gz GMQBGAAMVLSBHG-SWLSCSKDSA-N -1 1 329.397 -0.234 20 0 EBADMM O=C([O-])[C@@H](Cc1cnc[nH]1)NC(=O)CS[C@H]1CCS(=O)(=O)C1 ZINC000314066458 963707238 /nfs/dbraw/zinc/70/72/38/963707238.db2.gz KHIQQORLZUKARD-VHSXEESVSA-N -1 1 347.418 -0.558 20 0 EBADMM O=C([O-])C1=NO[C@H](C(=O)N2CCC[C@@H](N3CCOCC3)CC2)C1 ZINC001605963612 972939818 /nfs/dbraw/zinc/93/98/18/972939818.db2.gz GIQICFUQWVNGKL-YPMHNXCESA-N -1 1 325.365 -0.071 20 0 EBADMM COC(=O)CC[C@H](NC(=O)C(C)(C)CN1CCOCC1)C(=O)[O-] ZINC001604318252 972988577 /nfs/dbraw/zinc/98/85/77/972988577.db2.gz QLJBJMUIFBOYEN-NSHDSACASA-N -1 1 330.381 -0.133 20 0 EBADMM C[C@@H]1CC[C@@H](C(=O)[O-])CN1CCNS(=O)(=O)c1cnn(C)c1 ZINC001603391916 973013444 /nfs/dbraw/zinc/01/34/44/973013444.db2.gz NFJDHWIBPZVMNH-GHMZBOCLSA-N -1 1 330.410 -0.117 20 0 EBADMM O=C([O-])[C@@H]1CS(=O)(=O)CCN1C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001606053702 973160293 /nfs/dbraw/zinc/16/02/93/973160293.db2.gz IWCNHIWTJJGUOT-KCJUWKMLSA-N -1 1 327.362 -0.460 20 0 EBADMM COC[C@]1(C(=O)[O-])CN(C(=O)NCc2n[nH]c(C(C)C)n2)CCO1 ZINC001604550363 973554941 /nfs/dbraw/zinc/55/49/41/973554941.db2.gz KNXNYTDNHBJLFX-AWEZNQCLSA-N -1 1 341.368 -0.060 20 0 EBADMM COC[C@]1(C(=O)[O-])CN(C(=O)C2CN([C@H]3CCOC3)C2)CCO1 ZINC001604550439 973556325 /nfs/dbraw/zinc/55/63/25/973556325.db2.gz IDXNSCYSSJWHLQ-WFASDCNBSA-N -1 1 328.365 -0.964 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)N2C[C@H](C(=O)[O-])C[C@H](C)C2)C1 ZINC001604612362 973729501 /nfs/dbraw/zinc/72/95/01/973729501.db2.gz DKMMJAIEXZWZPL-XQQFMLRXSA-N -1 1 341.408 -0.608 20 0 EBADMM CN(C)C(=O)c1ccc(CNCCS(=O)(=O)CC(=O)[O-])cc1 ZINC001604045945 974575677 /nfs/dbraw/zinc/57/56/77/974575677.db2.gz MZDSXGOKKUQAFU-UHFFFAOYSA-N -1 1 328.390 -0.023 20 0 EBADMM CN(C)CCN(CC(=O)[O-])S(=O)(=O)CCOCC(F)(F)F ZINC001604067486 974604199 /nfs/dbraw/zinc/60/41/99/974604199.db2.gz RLUJVHDKNOQCLJ-UHFFFAOYSA-N -1 1 336.332 -0.157 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@@H]2CCc3nnnn3CC2)CC1 ZINC001592410733 978005651 /nfs/dbraw/zinc/00/56/51/978005651.db2.gz OHGHPKJHMIBZSB-NWDGAFQWSA-N -1 1 336.396 -0.367 20 0 EBADMM C[C@@H](CN1CCN(C)CC1)NC(=O)CN1CCC[C@H](C(=O)[O-])C1=O ZINC001592615996 978578264 /nfs/dbraw/zinc/57/82/64/978578264.db2.gz OTRGVIOIZORESL-STQMWFEESA-N -1 1 340.424 -0.938 20 0 EBADMM C[C@@H](CNC(=O)NC[C@H]1CCO[C@H]1C(=O)[O-])N1CCN(C)CC1 ZINC001592672278 979075353 /nfs/dbraw/zinc/07/53/53/979075353.db2.gz BEZLVZFXICNGLO-YNEHKIRRSA-N -1 1 328.413 -0.589 20 0 EBADMM CC[C@H](CO)N1CCN(C(=O)CN2CCC[C@H](C(=O)[O-])C2=O)CC1 ZINC001595174664 979757485 /nfs/dbraw/zinc/75/74/85/979757485.db2.gz SXDYJRGHNPFLFI-OLZOCXBDSA-N -1 1 341.408 -0.775 20 0 EBADMM CC[C@@H](NC(=O)c1cc(C(=O)N[C@@H](CC)C(=O)OC)n[nH]1)C(=O)[O-] ZINC001595264105 980269954 /nfs/dbraw/zinc/26/99/54/980269954.db2.gz ULHUFIYNAGYHQF-SFYZADRCSA-N -1 1 340.336 -0.316 20 0 EBADMM CCC/C=C/[C@H](O)C(=O)N(CCN1CCN(C)CC1)CC(=O)[O-] ZINC001595625852 981753603 /nfs/dbraw/zinc/75/36/03/981753603.db2.gz JHRMVCVMEVHYMO-GJBLVYBDSA-N -1 1 327.425 -0.136 20 0 EBADMM CC[C@H](C)[C@H](NC(=O)CN1CCN(CC(=O)[O-])CC1)C(=O)OC ZINC001594894257 982317720 /nfs/dbraw/zinc/31/77/20/982317720.db2.gz NZYYJEOWLSKKMZ-FZMZJTMJSA-N -1 1 329.397 -0.608 20 0 EBADMM CCN(C(=O)[C@H](C)N[C@H](C(=O)[O-])C1CC1)[C@H]1CCS(=O)(=O)C1 ZINC001596128096 983471407 /nfs/dbraw/zinc/47/14/07/983471407.db2.gz RXWFHDJSOHQPGJ-DLOVCJGASA-N -1 1 332.422 -0.137 20 0 EBADMM C[C@@H]1CN(C(=O)NC[C@H]2CCO[C@H]2C(=O)[O-])C[C@H](C)N1CCO ZINC001599921250 985137012 /nfs/dbraw/zinc/13/70/12/985137012.db2.gz ZKMUOFJAVUWPBS-YVECIDJPSA-N -1 1 329.397 -0.427 20 0 EBADMM CC(=O)N1CCN(C(=O)CN[C@H](C(=O)[O-])C2CCOCC2)CC1 ZINC001589079533 985238532 /nfs/dbraw/zinc/23/85/32/985238532.db2.gz GZTNDEFURZPQJN-AWEZNQCLSA-N -1 1 327.381 -0.854 20 0 EBADMM CC(=O)N1CCN(C(=O)[C@H](C)N[C@H](C[C@@H]2CCCO2)C(=O)[O-])CC1 ZINC001589080334 985244345 /nfs/dbraw/zinc/24/43/45/985244345.db2.gz WNSZQMGYAWZZOK-FPMFFAJLSA-N -1 1 341.408 -0.323 20 0 EBADMM C[C@@H]1CN(C(=O)NC[C@H]2CCO[C@H]2C(=O)[O-])CCN1CC(C)(C)O ZINC001594476314 985492133 /nfs/dbraw/zinc/49/21/33/985492133.db2.gz WKQPGBOLWVRHCT-JHJVBQTASA-N -1 1 343.424 -0.037 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)CN1CCCS1(=O)=O ZINC001594514882 985856537 /nfs/dbraw/zinc/85/65/37/985856537.db2.gz KAJTWERSINOZAO-LLVKDONJSA-N -1 1 333.410 -0.971 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NC[C@H]1COCCN1C ZINC001594515654 985880563 /nfs/dbraw/zinc/88/05/63/985880563.db2.gz JOZHOHGYDKNJEE-STQMWFEESA-N -1 1 328.413 -0.493 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NC[C@@H]1CCCNC1=O ZINC001594516307 985899674 /nfs/dbraw/zinc/89/96/74/985899674.db2.gz NTDIPLBTIAXEHT-RYUDHWBXSA-N -1 1 326.397 -0.297 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NCCCc1cnn(C)n1 ZINC001594519175 985944871 /nfs/dbraw/zinc/94/48/71/985944871.db2.gz MSDRMYLPZOAWMX-GFCCVEGCSA-N -1 1 338.412 -0.062 20 0 EBADMM C[C@@H](C(=O)NCC(=O)[O-])N1C[C@@H]2COC[C@]2(C(=O)OC(C)(C)C)C1 ZINC001589400330 986566558 /nfs/dbraw/zinc/56/65/58/986566558.db2.gz KHWJBXAZVVRCDT-LYOVBCGYSA-N -1 1 342.392 -0.134 20 0 EBADMM CCC(=O)N[C@@H](CCSC)C(=O)N1CCN(CC(=O)[O-])CC1 ZINC001594708273 987165332 /nfs/dbraw/zinc/16/53/32/987165332.db2.gz RONACSXURFPKPH-NSHDSACASA-N -1 1 331.438 -0.137 20 0 EBADMM CC(C)(C)N1CC[C@@H]1CNC(=O)C(=O)NC[C@H]1CCO[C@H]1C(=O)[O-] ZINC001589815739 988255867 /nfs/dbraw/zinc/25/58/67/988255867.db2.gz QQBOPBXKEXHJND-IJLUTSLNSA-N -1 1 341.408 -0.419 20 0 EBADMM CCc1c[nH]c(CC(=O)N(CCN2CCN(C)CC2)CC(=O)[O-])n1 ZINC001597625693 988574036 /nfs/dbraw/zinc/57/40/36/988574036.db2.gz CWICXDMMHPCHIH-UHFFFAOYSA-N -1 1 337.424 -0.325 20 0 EBADMM C[C@@H]1CN(C(=O)C(=O)NC[C@H]2CCN2C(C)(C)C)C[C@@H](C(=O)[O-])O1 ZINC001599851072 989013391 /nfs/dbraw/zinc/01/33/91/989013391.db2.gz MPUMYZMVPRPXRK-UTUOFQBUSA-N -1 1 341.408 -0.324 20 0 EBADMM CC(C)(CN1CCOCC1)C(=O)N[C@H](CC1OCCO1)C(=O)[O-] ZINC001590703056 990434594 /nfs/dbraw/zinc/43/45/94/990434594.db2.gz TUZHNTXJKQTEQG-LLVKDONJSA-N -1 1 330.381 -0.323 20 0 EBADMM CC(C)(CO)N1CCN(C(=O)Cn2cccc(C(=O)[O-])c2=O)CC1 ZINC001590783247 990598997 /nfs/dbraw/zinc/59/89/97/990598997.db2.gz DJIPWXPAKINYQX-UHFFFAOYSA-N -1 1 337.376 -0.538 20 0 EBADMM CN(C)S(=O)(=O)n1ccnc1CNC[C@]1(C(=O)[O-])CCOC1 ZINC001598282459 991165885 /nfs/dbraw/zinc/16/58/85/991165885.db2.gz LCJGUSUQNFXEAX-GFCCVEGCSA-N -1 1 332.382 -0.882 20 0 EBADMM CN(C[C@H](O)CN(C)c1ccnc(C(=O)[O-])n1)C(=O)c1ccn[nH]1 ZINC001598433121 991808446 /nfs/dbraw/zinc/80/84/46/991808446.db2.gz RDSZHLKYLATZDJ-SECBINFHSA-N -1 1 334.336 -0.533 20 0 EBADMM CC(C)C[C@H](NC(=O)CN1CCN(C[C@@H](C)O)C[C@H]1C)C(=O)[O-] ZINC001591168087 991944530 /nfs/dbraw/zinc/94/45/30/991944530.db2.gz SVGPWMDLCIWSMA-MCIONIFRSA-N -1 1 329.441 -0.011 20 0 EBADMM CS(=O)(=O)c1ccc(/C=C/C(=O)N2CCN(CC(=O)[O-])CC2)o1 ZINC001599770732 992241059 /nfs/dbraw/zinc/24/10/59/992241059.db2.gz WEUYWMRXXKQTKD-DUXPYHPUSA-N -1 1 342.373 -0.075 20 0 EBADMM CN(CCNC(=O)[C@H]1C[C@@H]1C(=O)[O-])CC1CCS(=O)(=O)CC1 ZINC001598489734 992268025 /nfs/dbraw/zinc/26/80/25/992268025.db2.gz WRBWBDFMVCJIRM-RYUDHWBXSA-N -1 1 332.422 -0.420 20 0 EBADMM CC(C)CNC(=O)CNC(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C ZINC001591371115 992407063 /nfs/dbraw/zinc/40/70/63/992407063.db2.gz ZJZGZRPVFVQYSM-LBPRGKRZSA-N -1 1 328.413 -0.051 20 0 EBADMM CC(C)CS(=O)(=O)CCC(=O)N[C@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001591417503 992498600 /nfs/dbraw/zinc/49/86/00/992498600.db2.gz FATBPRNDYRGYSE-LLVKDONJSA-N -1 1 331.394 -0.018 20 0 EBADMM Cn1cc(C(=O)[O-])cc1S(=O)(=O)NCC1(O)CCN(C)CC1 ZINC001598586946 993398792 /nfs/dbraw/zinc/39/87/92/993398792.db2.gz YEGQCPXGMFKGQM-UHFFFAOYSA-N -1 1 331.394 -0.542 20 0 EBADMM CN1CCN(C)[C@H](CNC(=O)C(=O)N2CCC(CC(=O)[O-])CC2)C1 ZINC001598612252 993800898 /nfs/dbraw/zinc/80/08/98/993800898.db2.gz QGWQLRPGFVYSLO-CYBMUJFWSA-N -1 1 340.424 -0.938 20 0 EBADMM CN1CCN(C(=O)c2coc(S(N)(=O)=O)c2)C[C@H](C(=O)[O-])C1 ZINC001598613233 993823570 /nfs/dbraw/zinc/82/35/70/993823570.db2.gz MXGAZGPSNLKBKQ-SECBINFHSA-N -1 1 331.350 -0.985 20 0 EBADMM CN1CCN(C)[C@H](CNC(=O)NCc2ncc(C(=O)[O-])s2)C1 ZINC001598614977 993861772 /nfs/dbraw/zinc/86/17/72/993861772.db2.gz UNPIDBPTFRMRKB-SECBINFHSA-N -1 1 327.410 -0.114 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)/C=C/c2cncc(O)c2)CC1 ZINC001598617679 993922560 /nfs/dbraw/zinc/92/25/60/993922560.db2.gz BUQZWWDEAKUPSH-NSCUHMNNSA-N -1 1 348.403 -0.039 20 0 EBADMM CNC(=O)[C@@]1(C)CCN(CN2C(=O)N[C@](C)(CCC(=O)[O-])C2=O)C1 ZINC001598635420 994239307 /nfs/dbraw/zinc/23/93/07/994239307.db2.gz DTWBWRMQYCBCQJ-LSDHHAIUSA-N -1 1 340.380 -0.423 20 0 EBADMM CNC(=O)c1cncc(/C=C/C(=O)N2CCN(CC(=O)[O-])CC2)c1 ZINC001598662270 994617520 /nfs/dbraw/zinc/61/75/20/994617520.db2.gz XARHVPPNNVCDTG-NSCUHMNNSA-N -1 1 332.360 -0.317 20 0 EBADMM COC[C@@H]1CCN(C(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)C1 ZINC001599203952 997370679 /nfs/dbraw/zinc/37/06/79/997370679.db2.gz RBNLJUCOKGUKMS-GFCCVEGCSA-N -1 1 341.408 -0.511 20 0 EBADMM COC1CCN(C(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)CC1 ZINC001599117260 997676530 /nfs/dbraw/zinc/67/65/30/997676530.db2.gz YRFFIVLUSKQVJR-UHFFFAOYSA-N -1 1 341.408 -0.369 20 0 EBADMM CO[C@@H]1CCn2cc(C(=O)N3CCN(C)C[C@H](C(=O)[O-])C3)nc2C1 ZINC001599128209 997891203 /nfs/dbraw/zinc/89/12/03/997891203.db2.gz SVFQBHCHTPMLNP-NWDGAFQWSA-N -1 1 336.392 -0.067 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)N2CC[C@@H](C(=O)[O-])[C@H]2C)C1 ZINC001599240915 998039070 /nfs/dbraw/zinc/03/90/70/998039070.db2.gz UQIYPAHTQPDDEA-GRYCIOLGSA-N -1 1 327.381 -0.855 20 0 EBADMM COCCNC(=O)[C@@H]1CC[C@@H](C)N(CN2C[C@H](C(=O)[O-])CC2=O)C1 ZINC001599242665 998073536 /nfs/dbraw/zinc/07/35/36/998073536.db2.gz SFFJSLCOQLVYQJ-JHJVBQTASA-N -1 1 341.408 -0.260 20 0 EBADMM COc1cc(C(=O)N(CCN2CCN(C)CC2)CC(=O)[O-])ccn1 ZINC001599350794 998089042 /nfs/dbraw/zinc/08/90/42/998089042.db2.gz XFKVYILNGLQAKY-UHFFFAOYSA-N -1 1 336.392 -0.136 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H](CO)C(=O)[O-])oc1Cl ZINC001598954202 998210632 /nfs/dbraw/zinc/21/06/32/998210632.db2.gz PEDIYSCJYFATLT-RXMQYKEDSA-N -1 1 327.698 -0.557 20 0 EBADMM COC[C@@](C)(CC(=O)OC)NS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC001599151224 998301838 /nfs/dbraw/zinc/30/18/38/998301838.db2.gz QXZKWGMVIQOXAY-LLVKDONJSA-N -1 1 336.322 -0.381 20 0 EBADMM COC[C@@H](NC(=O)[C@H](Cc1cnc[nH]1)NC(=O)CC(C)C)C(=O)[O-] ZINC001599165838 998540697 /nfs/dbraw/zinc/54/06/97/998540697.db2.gz HCPOLMHDXHQLFP-NWDGAFQWSA-N -1 1 340.380 -0.301 20 0 EBADMM COC[C@H](NC(=O)[C@H](Cc1cnc[nH]1)NC(=O)CC(C)C)C(=O)[O-] ZINC001599165839 998540793 /nfs/dbraw/zinc/54/07/93/998540793.db2.gz HCPOLMHDXHQLFP-RYUDHWBXSA-N -1 1 340.380 -0.301 20 0 EBADMM COC[C@H](NC(=O)CCN1CCN(c2ccccn2)CC1)C(=O)[O-] ZINC001599168507 998577780 /nfs/dbraw/zinc/57/77/80/998577780.db2.gz DYJPNRITGJHYQR-ZDUSSCGKSA-N -1 1 336.392 -0.191 20 0 EBADMM C[C@H]1[C@H](Nc2ncc(C(=O)[O-])cn2)CCN1C(=O)Cc1ncn[nH]1 ZINC001594192302 998752301 /nfs/dbraw/zinc/75/23/01/998752301.db2.gz JJMOAKUZNSCELS-WCBMZHEXSA-N -1 1 331.336 -0.063 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)CO1 ZINC001594248867 998949426 /nfs/dbraw/zinc/94/94/26/998949426.db2.gz HQLVNENMGFLJFR-MNOVXSKESA-N -1 1 327.381 -0.713 20 0 EBADMM C[C@@H]1C[C@@H](N2CCOCC2)CN1[C@H]1CCN(CCC(=O)[O-])C1=O ZINC001594249269 998952426 /nfs/dbraw/zinc/95/24/26/998952426.db2.gz HCEOUWVELDKPFZ-MCIONIFRSA-N -1 1 325.409 -0.143 20 0 EBADMM C[C@H]1C[C@H](NC(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)CCO1 ZINC001594249866 998956653 /nfs/dbraw/zinc/95/66/53/998956653.db2.gz PVVFXCKFTUBBBX-NWDGAFQWSA-N -1 1 341.408 -0.323 20 0 EBADMM COC(CN(CCC(=O)[O-])C(=O)[C@H]1CN2CCN1C[C@H]2C)OC ZINC001599047745 999163367 /nfs/dbraw/zinc/16/33/67/999163367.db2.gz XLOYKDMNTXZOJO-VXGBXAGGSA-N -1 1 329.397 -0.703 20 0 EBADMM CO[C@@]1(C(=O)[O-])CCN(C(=O)NC[C@H](C)N2CCN(C)CC2)C1 ZINC001599072272 999341499 /nfs/dbraw/zinc/34/14/99/999341499.db2.gz JMJGWKVZCLSSEB-WFASDCNBSA-N -1 1 328.413 -0.493 20 0 EBADMM C[C@@H]1CCN(C(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)[C@@H]1CO ZINC001594392501 999479916 /nfs/dbraw/zinc/47/99/16/999479916.db2.gz GNORBKFCKRWQDI-VXGBXAGGSA-N -1 1 341.408 -0.777 20 0 EBADMM C[C@H]1CN(C(=O)C(=O)N2C[C@@H](C(=O)[O-])Oc3ccccc32)CCN1 ZINC001594456359 999659843 /nfs/dbraw/zinc/65/98/43/999659843.db2.gz YTJXLJIJNJSFQJ-GWCFXTLKSA-N -1 1 333.344 -0.315 20 0 EBADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-]C[C@@H](O)c1cncs1 ZINC001364076421 1132198152 /nfs/dbraw/zinc/19/81/52/1132198152.db2.gz UEQCKHRJUAGLLH-LWESTGQBSA-N -1 1 328.441 -0.415 20 0 EBADMM CCOC(=O)CC(C(F)(F)F)S(=O)(=O)[N-]c1nnn(C)n1 ZINC001364914722 1132482426 /nfs/dbraw/zinc/48/24/26/1132482426.db2.gz DCTWUBOFTBZEDF-YFKPBYRVSA-N -1 1 331.276 -0.164 20 0 EBADMM CCS(=O)(=O)NCCN(C)CCNC(=O)c1ncccc1[O-] ZINC001480857296 1125789737 /nfs/dbraw/zinc/78/97/37/1125789737.db2.gz UGZZXYVTICLAID-UHFFFAOYSA-N -1 1 330.410 -0.612 20 0 EBADMM Cc1nc(C)c(CC(=O)NCCN(C)Cc2nc(=O)n(C)[n-]2)s1 ZINC001480891897 1125835192 /nfs/dbraw/zinc/83/51/92/1125835192.db2.gz FUXSPSOJFWQPPY-UHFFFAOYSA-N -1 1 338.437 -0.028 20 0 EBADMM C[C@@H](CC(=O)NCCN(C)Cc1nc(=O)n(C)[n-]1)c1cnn(C)c1 ZINC001480894896 1125840236 /nfs/dbraw/zinc/84/02/36/1125840236.db2.gz NNCFNHSKETXRKF-NSHDSACASA-N -1 1 335.412 -0.416 20 0 EBADMM Cc1occc(=O)c1OCC(=O)N(C)CCNCc1n[nH]c(=O)[n-]1 ZINC001691386449 1125855690 /nfs/dbraw/zinc/85/56/90/1125855690.db2.gz ZEXLZMZCUZPBLF-UHFFFAOYSA-N -1 1 337.336 -0.601 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCN(C)C(=O)[C@@H](C)OC ZINC001408633347 1125896479 /nfs/dbraw/zinc/89/64/79/1125896479.db2.gz KUNKTEQVHVJWSZ-SSDOTTSWSA-N -1 1 328.325 -0.881 20 0 EBADMM CCNC(=O)CC(=O)NCCN(CC)Cc1cc(=O)n2[n-]ccc2n1 ZINC001480971489 1125901938 /nfs/dbraw/zinc/90/19/38/1125901938.db2.gz STJVAIIGYXDXEY-UHFFFAOYSA-N -1 1 348.407 -0.513 20 0 EBADMM CCCN(CCNC(=O)c1c[n-]n2c1nccc2=O)[C@H](C)C(N)=O ZINC001481151108 1125931320 /nfs/dbraw/zinc/93/13/20/1125931320.db2.gz VDPAWBPBAWZUNN-SNVBAGLBSA-N -1 1 334.380 -0.662 20 0 EBADMM CN(CCNC(=O)[C@@H]1CC12CC2)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001408703839 1125931735 /nfs/dbraw/zinc/93/17/35/1125931735.db2.gz DUNSVSZJOBRVFV-VIFPVBQESA-N -1 1 330.348 -0.594 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)C[C@@H]1NC(=O)c2ccccc21 ZINC001481294500 1125968248 /nfs/dbraw/zinc/96/82/48/1125968248.db2.gz MGOXSJPMYQYOKT-LBPRGKRZSA-N -1 1 344.375 -0.067 20 0 EBADMM CCCN(C(=O)Cn1ccnc1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001481412239 1125983574 /nfs/dbraw/zinc/98/35/74/1125983574.db2.gz SGWBWDZJKNBLHG-CYBMUJFWSA-N -1 1 347.423 -0.182 20 0 EBADMM Cc1cc(CN2CC(NC(=O)CCn3cc[n-]c(=O)c3=O)C2)ncn1 ZINC001481589629 1126019668 /nfs/dbraw/zinc/01/96/68/1126019668.db2.gz BHFOCWVITNDXFF-UHFFFAOYSA-N -1 1 344.375 -0.974 20 0 EBADMM COCCCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001481674822 1126036973 /nfs/dbraw/zinc/03/69/73/1126036973.db2.gz YWDFQNLMSPOZLV-QWRGUYRKSA-N -1 1 337.380 -0.002 20 0 EBADMM CCO[C@H](C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)C1CC1 ZINC001481731196 1126047786 /nfs/dbraw/zinc/04/77/86/1126047786.db2.gz YRODPCQIIYPBAF-OCCSQVGLSA-N -1 1 337.424 -0.044 20 0 EBADMM CC[C@H](CNC(=O)Cn1nnc2c1CCCC2)NCc1n[nH]c(=O)[n-]1 ZINC001481852059 1126061712 /nfs/dbraw/zinc/06/17/12/1126061712.db2.gz MKOVTAISBADMDD-SNVBAGLBSA-N -1 1 348.411 -0.335 20 0 EBADMM CC[C@@H](CNC(=O)CN1CCCCCC1=O)NCc1n[nH]c(=O)[n-]1 ZINC001481874131 1126063255 /nfs/dbraw/zinc/06/32/55/1126063255.db2.gz DTTAEFDCRVSDNP-NSHDSACASA-N -1 1 338.412 -0.103 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)c1ccc(C(N)=O)s1 ZINC001482346050 1126135459 /nfs/dbraw/zinc/13/54/59/1126135459.db2.gz XXZCUBAYZHOIFP-ZETCQYMHSA-N -1 1 338.393 -0.079 20 0 EBADMM CCO[C@@H](CS(=O)(=O)[N-]CC(=O)N=S(C)(C)=O)C1CC1 ZINC001414295352 1126165659 /nfs/dbraw/zinc/16/56/59/1126165659.db2.gz YKNQKMABQKGCIO-JTQLQIEISA-N -1 1 326.440 -0.025 20 0 EBADMM COC[C@@H](C)CC(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001409101128 1126200399 /nfs/dbraw/zinc/20/03/99/1126200399.db2.gz JCCKYPQBNFIBIV-VIFPVBQESA-N -1 1 348.363 -0.969 20 0 EBADMM O=C(NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C12CC(C(F)(F)F)(C1)C2 ZINC001482800742 1126295378 /nfs/dbraw/zinc/29/53/78/1126295378.db2.gz YAGQQKPYNUSKNQ-PUVKNVPGSA-N -1 1 349.313 -0.190 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)CNC(=O)c1ccccn1 ZINC001482970315 1126307730 /nfs/dbraw/zinc/30/77/30/1126307730.db2.gz SJRDIXUWQCLJIN-UHFFFAOYSA-N -1 1 347.379 -0.727 20 0 EBADMM C[C@@H](NC(=O)C(C)(C)N1CCOCC1)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001409379680 1126360906 /nfs/dbraw/zinc/36/09/06/1126360906.db2.gz PCROHUYWXMNGTE-WDEREUQCSA-N -1 1 340.428 -0.396 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H](C)NC(=O)COCc1ccnn1C ZINC001409391707 1126362665 /nfs/dbraw/zinc/36/26/65/1126362665.db2.gz UACHQFOEHDYMHD-NXEZZACHSA-N -1 1 337.384 -0.557 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CNC(=O)c2ccccc21 ZINC001483236342 1126382347 /nfs/dbraw/zinc/38/23/47/1126382347.db2.gz YDEMKNUUFKUVMS-JOYOIKCWSA-N -1 1 344.375 -0.368 20 0 EBADMM Cc1ccc(C(=O)NC[C@@H](C)N(C)Cc2nc(=O)n(C)[n-]2)c(=O)[nH]1 ZINC001409621906 1126384509 /nfs/dbraw/zinc/38/45/09/1126384509.db2.gz DYRWBKJBPHWWEE-SNVBAGLBSA-N -1 1 334.380 -0.232 20 0 EBADMM C[C@H](C(=O)NC1(CCO)CN(Cc2nc(=O)n(C)[n-]2)C1)C1CCC1 ZINC001409719414 1126399925 /nfs/dbraw/zinc/39/99/25/1126399925.db2.gz BLBQCBHCRXSVRT-NSHDSACASA-N -1 1 337.424 -0.402 20 0 EBADMM Cn1[n-]c(CN2CC(CCO)(NC(=O)[C@]34C[C@H]3CCC4)C2)nc1=O ZINC001409733374 1126402727 /nfs/dbraw/zinc/40/27/27/1126402727.db2.gz CIQOHVIZNFOUQM-BDJLRTHQSA-N -1 1 335.408 -0.648 20 0 EBADMM C[C@@H](CNCc1cc2n(n1)CCC2)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001483245901 1126409902 /nfs/dbraw/zinc/40/99/02/1126409902.db2.gz ZYRCPAKSWCCJTC-QMMMGPOBSA-N -1 1 333.352 -0.666 20 0 EBADMM COCC1(CC(=O)N[C@@H](C)CN(C)Cc2nc(=O)n(C)[n-]2)CC1 ZINC001483338230 1126417026 /nfs/dbraw/zinc/41/70/26/1126417026.db2.gz WEBAKFLMHZRLTG-NSHDSACASA-N -1 1 325.413 -0.138 20 0 EBADMM C[C@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)C1(F)CCOCC1 ZINC001483346120 1126418183 /nfs/dbraw/zinc/41/81/83/1126418183.db2.gz LIVZPXVPEDDSOW-SNVBAGLBSA-N -1 1 329.376 -0.436 20 0 EBADMM O=C(N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)c1cnn2ccncc12 ZINC001483566634 1126435450 /nfs/dbraw/zinc/43/54/50/1126435450.db2.gz RYVOXLXLTDAAJL-LLVKDONJSA-N -1 1 342.363 -0.149 20 0 EBADMM Cc1nnccc1C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCOCC1 ZINC001484184909 1126513607 /nfs/dbraw/zinc/51/36/07/1126513607.db2.gz PXFRGDFINKILBS-UHFFFAOYSA-N -1 1 347.379 -0.322 20 0 EBADMM Cn1[n-]c(CN2CC(O)(CNC(=O)Cc3ccccc3)C2)nc1=O ZINC001484279372 1126553221 /nfs/dbraw/zinc/55/32/21/1126553221.db2.gz FKTCPBJTERORBG-UHFFFAOYSA-N -1 1 331.376 -0.986 20 0 EBADMM CCc1cccc(C(=O)NCC2(O)CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001484277045 1126550581 /nfs/dbraw/zinc/55/05/81/1126550581.db2.gz CZQSVQXQJCYRMH-UHFFFAOYSA-N -1 1 346.391 -0.958 20 0 EBADMM Cn1nnnc1CN1CCC[C@](CO)(NC(=O)c2ncccc2[O-])C1 ZINC001484433044 1126577656 /nfs/dbraw/zinc/57/76/56/1126577656.db2.gz BDKOANUTFZCJCP-HNNXBMFYSA-N -1 1 347.379 -0.932 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1(NCc2ccon2)CCC1 ZINC001484657289 1126614456 /nfs/dbraw/zinc/61/44/56/1126614456.db2.gz BVJMDSQTAFDYSB-UHFFFAOYSA-N -1 1 347.375 -0.257 20 0 EBADMM Cc1ncn(C)c1C(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCOCC1 ZINC001484864519 1126646982 /nfs/dbraw/zinc/64/69/82/1126646982.db2.gz JGDKAJMNLXZDOG-UHFFFAOYSA-N -1 1 349.395 -0.379 20 0 EBADMM CNC(=O)NC(=O)CN1CC[C@H](NC(=O)c2ncccc2[O-])C[C@H]1C ZINC001484971788 1126659840 /nfs/dbraw/zinc/65/98/40/1126659840.db2.gz HFUWNPFUUSOLCT-MNOVXSKESA-N -1 1 349.391 -0.175 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)c1cc(CN(C)C)on1 ZINC001485169464 1126678013 /nfs/dbraw/zinc/67/80/13/1126678013.db2.gz OGWWOKAGVBZLIJ-IUCAKERBSA-N -1 1 337.384 -0.144 20 0 EBADMM Cc1nccc(CCC(=O)NC[C@@H](C)N(C)Cc2nc(=O)n(C)[n-]2)n1 ZINC001485332549 1126689463 /nfs/dbraw/zinc/68/94/63/1126689463.db2.gz QQINAPZLEMJEAU-LLVKDONJSA-N -1 1 347.423 -0.224 20 0 EBADMM Cc1nn(C)cc1CN(C)[C@@H](C)CNC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001485378456 1126694837 /nfs/dbraw/zinc/69/48/37/1126694837.db2.gz VCAHQBJTJYCISL-VIFPVBQESA-N -1 1 337.384 -0.632 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)CC3(O)CCC3)C(C)(C)C2)nc1=O ZINC001485567711 1126761311 /nfs/dbraw/zinc/76/13/11/1126761311.db2.gz OWPWPJQBJRRFQW-NSHDSACASA-N -1 1 337.424 -0.260 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1CCCc2nn[nH]c21)NCc1n[nH]c(=O)[n-]1 ZINC001485916186 1126915503 /nfs/dbraw/zinc/91/55/03/1126915503.db2.gz LPDSFVFOFOBEJT-RKDXNWHRSA-N -1 1 334.384 -0.315 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1C[C@H]1c1cnn(C)c1)NCc1n[nH]c(=O)[n-]1 ZINC001485924402 1126916412 /nfs/dbraw/zinc/91/64/12/1126916412.db2.gz AJCYVARDFYQADY-ZMLRMANQSA-N -1 1 333.396 -0.016 20 0 EBADMM CC(=O)N[C@@H](C(=O)N(C)C[C@H](C)NCc1n[nH]c(=O)[n-]1)C(C)C ZINC001485948468 1126918824 /nfs/dbraw/zinc/91/88/24/1126918824.db2.gz XLLITZYRCWUOLE-JOYOIKCWSA-N -1 1 326.401 -0.393 20 0 EBADMM CNC(=O)CCCCC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC001486134925 1127045117 /nfs/dbraw/zinc/04/51/17/1127045117.db2.gz NDYSHNBYQQYQHO-WDEREUQCSA-N -1 1 338.412 -0.104 20 0 EBADMM NC(=O)NC(=O)CN1CC[C@@]2(NC(=O)c3ncccc3[O-])CCC[C@H]12 ZINC001486152119 1127051035 /nfs/dbraw/zinc/05/10/35/1127051035.db2.gz ODFDVKHUBGSUDO-ZBEGNZNMSA-N -1 1 347.375 -0.291 20 0 EBADMM CN(C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)c1ccc2c(c1)CCO2 ZINC001486302876 1127107173 /nfs/dbraw/zinc/10/71/73/1127107173.db2.gz YCVJNVFNURTHAF-GFCCVEGCSA-N -1 1 347.375 -0.332 20 0 EBADMM CC(C)C(=O)NCC1CC(NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001486607386 1127218328 /nfs/dbraw/zinc/21/83/28/1127218328.db2.gz LPVGSTMINXHROI-UHFFFAOYSA-N -1 1 336.392 -0.406 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H](C)NC(=O)CN1CCCNC1=O ZINC001486686660 1127236297 /nfs/dbraw/zinc/23/62/97/1127236297.db2.gz GEKVEQWQWVRJHK-WDEREUQCSA-N -1 1 349.391 -0.175 20 0 EBADMM C[C@H](NC(=O)CN1CCCNC1=O)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001486686659 1127236552 /nfs/dbraw/zinc/23/65/52/1127236552.db2.gz GEKVEQWQWVRJHK-QWRGUYRKSA-N -1 1 349.391 -0.175 20 0 EBADMM C[C@]1(C(=O)N2CC(CCO)(NC(=O)c3ncccc3[O-])C2)CCOC1 ZINC001487030547 1127373632 /nfs/dbraw/zinc/37/36/32/1127373632.db2.gz OJGVXLBHIOYZER-INIZCTEOSA-N -1 1 349.387 -0.093 20 0 EBADMM CC(C)(O)CC(=O)NC1(CCO)CN(C(=O)c2ncccc2[O-])C1 ZINC001487031254 1127374625 /nfs/dbraw/zinc/37/46/25/1127374625.db2.gz MQHAJMZKZGIMQA-UHFFFAOYSA-N -1 1 337.376 -0.359 20 0 EBADMM COC[C@H](OC)C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC001410043512 1127522369 /nfs/dbraw/zinc/52/23/69/1127522369.db2.gz ZFFBDTQRPJLCDM-QWRGUYRKSA-N -1 1 341.412 -0.904 20 0 EBADMM CCn1cc(C(=O)NC[C@H](O)CNC(=O)c2ncccc2[O-])cn1 ZINC001410089795 1127550942 /nfs/dbraw/zinc/55/09/42/1127550942.db2.gz KOUUFIZCVQJJQF-NSHDSACASA-N -1 1 333.348 -0.476 20 0 EBADMM CSC[C@@H](C)C(=O)NC[C@H](O)CNC(=O)c1ncccc1[O-] ZINC001410096938 1127558748 /nfs/dbraw/zinc/55/87/48/1127558748.db2.gz VIOYERXEOSEQNG-ZJUUUORDSA-N -1 1 327.406 -0.007 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)CCn1ncn(C)c1=O ZINC001410258206 1127661267 /nfs/dbraw/zinc/66/12/67/1127661267.db2.gz XZWBPCWRIJKFLE-SNVBAGLBSA-N -1 1 348.363 -0.993 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001410369171 1127690779 /nfs/dbraw/zinc/69/07/79/1127690779.db2.gz VEXLXHVXIFZXSA-DTWKUNHWSA-N -1 1 349.391 -0.181 20 0 EBADMM C[C@@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)CCC(F)F ZINC001410419790 1127709816 /nfs/dbraw/zinc/70/98/16/1127709816.db2.gz MMXWPUKIEDOGEH-ZETCQYMHSA-N -1 1 342.306 -0.303 20 0 EBADMM Cc1cnc(C(=O)NC[C@@H](CO)NCc2nc(=O)n(C)[n-]2)c(C)c1 ZINC001410699937 1127827202 /nfs/dbraw/zinc/82/72/02/1127827202.db2.gz MDLJBTMQKJADPT-NSHDSACASA-N -1 1 334.380 -0.999 20 0 EBADMM Cc1ccc([C@@H](C)C(=O)NC[C@H](CO)NCc2nc(=O)n(C)[n-]2)o1 ZINC001410729985 1127847652 /nfs/dbraw/zinc/84/76/52/1127847652.db2.gz OCVGBWLOFYWJIX-GHMZBOCLSA-N -1 1 337.380 -0.620 20 0 EBADMM CC(C)c1oncc1C(=O)NC[C@H](CO)NCc1nc(=O)n(C)[n-]1 ZINC001410783587 1127887724 /nfs/dbraw/zinc/88/77/24/1127887724.db2.gz WPGLKRJMSXLOSJ-SECBINFHSA-N -1 1 338.368 -0.900 20 0 EBADMM Cc1cccc(OCC(=O)NC[C@@H](CO)NCc2nc(=O)n(C)[n-]2)c1 ZINC001410796008 1127897723 /nfs/dbraw/zinc/89/77/23/1127897723.db2.gz YDCHNCISIZPPOH-LBPRGKRZSA-N -1 1 349.391 -0.937 20 0 EBADMM CCC[C@@H](NC(N)=O)C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001410906083 1127939708 /nfs/dbraw/zinc/93/97/08/1127939708.db2.gz WRDWCOAYPIHOEC-LLVKDONJSA-N -1 1 349.391 -0.093 20 0 EBADMM CC1(C)CN(C(=O)CNC(=O)C2CC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001410936514 1127948561 /nfs/dbraw/zinc/94/85/61/1127948561.db2.gz JYHKVXSJLNMWES-JTQLQIEISA-N -1 1 336.396 -0.637 20 0 EBADMM COCC1(C(=O)N(C)C[C@@H](O)CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001411037769 1127983326 /nfs/dbraw/zinc/98/33/26/1127983326.db2.gz CYPMZXVKXHIGAH-NSHDSACASA-N -1 1 341.412 -0.374 20 0 EBADMM COCc1ccc(C(=O)N(C)C[C@H](O)CNCc2n[nH]c(=O)[n-]2)o1 ZINC001411062991 1127995714 /nfs/dbraw/zinc/99/57/14/1127995714.db2.gz ONGCNNLVUAWALR-SECBINFHSA-N -1 1 339.352 -0.528 20 0 EBADMM COc1ccsc1C(=O)N(C)C[C@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001411122833 1128024308 /nfs/dbraw/zinc/02/43/08/1128024308.db2.gz IWFQCRIJNJPYMS-MRVPVSSYSA-N -1 1 341.393 -0.197 20 0 EBADMM COc1ccsc1C(=O)N(C)C[C@@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001411122837 1128024363 /nfs/dbraw/zinc/02/43/63/1128024363.db2.gz IWFQCRIJNJPYMS-QMMMGPOBSA-N -1 1 341.393 -0.197 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H](O)CN(C)C(=O)C1(C)CCC1 ZINC001411166319 1128060591 /nfs/dbraw/zinc/06/05/91/1128060591.db2.gz BIQYUAOCLLHVSZ-NSHDSACASA-N -1 1 325.413 -0.450 20 0 EBADMM C[C@H](C(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C)S(C)(=O)=O ZINC001411205858 1128091295 /nfs/dbraw/zinc/09/12/95/1128091295.db2.gz BGXFTTYPSZRVME-RKDXNWHRSA-N -1 1 347.441 -0.436 20 0 EBADMM COCCN(CCNC(=O)c1cncnc1C)Cc1nc(=O)n(C)[n-]1 ZINC001411214659 1128093423 /nfs/dbraw/zinc/09/34/23/1128093423.db2.gz HIZSAKKYQCZHQK-UHFFFAOYSA-N -1 1 349.395 -0.915 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4ncccn4)C[C@]3(C)C2)nc1=O ZINC001092121958 1128182565 /nfs/dbraw/zinc/18/25/65/1128182565.db2.gz PEWUFYOFZRQAEB-BZNIZROVSA-N -1 1 343.391 -0.508 20 0 EBADMM CCn1ncc(C(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C)n1 ZINC001092591903 1128255362 /nfs/dbraw/zinc/25/53/62/1128255362.db2.gz OWGSTPXIIYDSBR-NXEZZACHSA-N -1 1 334.384 -0.380 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)c1cc(=O)n(C)cn1 ZINC001092693154 1128260851 /nfs/dbraw/zinc/26/08/51/1128260851.db2.gz MQJHILRRIQTPON-NXEZZACHSA-N -1 1 347.379 -0.898 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)[C@H]1CCN(C)C1=O ZINC001092897135 1128285759 /nfs/dbraw/zinc/28/57/59/1128285759.db2.gz FCLUARMAMMFFSF-GMTAPVOTSA-N -1 1 336.396 -0.827 20 0 EBADMM COc1ccc(C(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C)nn1 ZINC001093107342 1128295264 /nfs/dbraw/zinc/29/52/64/1128295264.db2.gz FNGQQPMTNYYAEM-NXEZZACHSA-N -1 1 347.379 -0.193 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CC(n2cc(CNCc3nc(=O)n(C)[n-]3)nn2)C1 ZINC001093302005 1128313320 /nfs/dbraw/zinc/31/33/20/1128313320.db2.gz CIICAGDJSOTPPD-BXKDBHETSA-N -1 1 346.395 -0.971 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CC(n2cc(CNCc3nc(=O)n(C)[n-]3)nn2)C1 ZINC001093302009 1128313527 /nfs/dbraw/zinc/31/35/27/1128313527.db2.gz CIICAGDJSOTPPD-CABZTGNLSA-N -1 1 346.395 -0.971 20 0 EBADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)[C@@H](C)NC(=O)C1CCC1 ZINC001411340722 1128334947 /nfs/dbraw/zinc/33/49/47/1128334947.db2.gz LNOCEOAVLJJENI-RKDXNWHRSA-N -1 1 332.364 -0.159 20 0 EBADMM CN(C(=O)Cn1cnnn1)[C@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001487630637 1128392156 /nfs/dbraw/zinc/39/21/56/1128392156.db2.gz ITFYZTJZJZDLPM-LLVKDONJSA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1CC12CC2)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001487922412 1128453428 /nfs/dbraw/zinc/45/34/28/1128453428.db2.gz XYUYKNGNOVLYGP-ZJUUUORDSA-N -1 1 344.375 -0.206 20 0 EBADMM CO[C@H](C)CCC(=O)NC[C@@H](CO)NC(=O)c1ncccc1[O-] ZINC001487974130 1128469633 /nfs/dbraw/zinc/46/96/33/1128469633.db2.gz CEDZTILINVXKQE-MNOVXSKESA-N -1 1 325.365 -0.191 20 0 EBADMM CN(C[C@H](O)CNC(=O)c1ncccc1[O-])C(=O)Cc1ccon1 ZINC001488342590 1128728286 /nfs/dbraw/zinc/72/82/86/1128728286.db2.gz ZRBFEDLQHMLNST-LLVKDONJSA-N -1 1 334.332 -0.433 20 0 EBADMM O=C(Cn1cnnn1)NCC1=CCN(C(=O)c2ncccc2[O-])CC1 ZINC001488607498 1128804086 /nfs/dbraw/zinc/80/40/86/1128804086.db2.gz DUZXZLSMIALXHK-UHFFFAOYSA-N -1 1 343.347 -0.638 20 0 EBADMM CO[C@H](C(=O)NC[C@H]1CCCN1Cc1nc(=O)n(C)[n-]1)C(C)C ZINC001493134167 1128806901 /nfs/dbraw/zinc/80/69/01/1128806901.db2.gz VIMUCWHSXIOSNQ-YPMHNXCESA-N -1 1 325.413 -0.140 20 0 EBADMM C[C@H](CCCCNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CNC(=O)N1 ZINC001489147871 1128919486 /nfs/dbraw/zinc/91/94/86/1128919486.db2.gz RLHILNYALGJQPE-MNOVXSKESA-N -1 1 349.391 -0.127 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)C[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001489716711 1129051386 /nfs/dbraw/zinc/05/13/86/1129051386.db2.gz MMZIZBATZRMGDQ-GFCCVEGCSA-N -1 1 334.376 -0.062 20 0 EBADMM C[C@H](CC(N)=O)C(=O)N1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001489735083 1129056436 /nfs/dbraw/zinc/05/64/36/1129056436.db2.gz PUEGBPUMIXDMNJ-MNOVXSKESA-N -1 1 334.376 -0.028 20 0 EBADMM O=C(NCCN1CCN([C@H]2CCNC2=O)CC1)c1ncccc1[O-] ZINC001490487667 1129190798 /nfs/dbraw/zinc/19/07/98/1129190798.db2.gz JYQXITKGZGNBAJ-LBPRGKRZSA-N -1 1 333.392 -0.977 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CCN(Cc2nccs2)C1 ZINC001490566772 1129226849 /nfs/dbraw/zinc/22/68/49/1129226849.db2.gz CSCCYNDKVUDGPP-NSHDSACASA-N -1 1 349.416 -0.226 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)CNC(=O)C1CC1 ZINC001411527785 1129310520 /nfs/dbraw/zinc/31/05/20/1129310520.db2.gz CQZVMJBBIYUPCN-JTQLQIEISA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)CN1CCCNC1=O ZINC001411531294 1129311346 /nfs/dbraw/zinc/31/13/46/1129311346.db2.gz JWPHGCWBDHYCQC-LLVKDONJSA-N -1 1 349.391 -0.221 20 0 EBADMM C[C@@H](CNC(=O)C1CS(=O)(=O)C1)N(C)C(=O)c1ncccc1[O-] ZINC001411574602 1129322435 /nfs/dbraw/zinc/32/24/35/1129322435.db2.gz ZAYPKNSJAAWXMR-VIFPVBQESA-N -1 1 341.389 -0.592 20 0 EBADMM O=C(NC1(CCO)CN(C(=O)c2ncccc2[O-])C1)c1ccn[nH]1 ZINC001411738669 1129360355 /nfs/dbraw/zinc/36/03/55/1129360355.db2.gz FYCQHARKQQHXAG-UHFFFAOYSA-N -1 1 331.332 -0.483 20 0 EBADMM NC(=O)c1ccccc1N1CC[C@@H](NC(=O)CCc2nn[n-]n2)C1 ZINC001411791234 1129386057 /nfs/dbraw/zinc/38/60/57/1129386057.db2.gz ZSXCXDBGNCNDCO-SNVBAGLBSA-N -1 1 329.364 -0.374 20 0 EBADMM CCCC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)Cn1ncnn1 ZINC001411872009 1129395629 /nfs/dbraw/zinc/39/56/29/1129395629.db2.gz SYEUQLQJURAFBH-NSHDSACASA-N -1 1 347.379 -0.121 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1CC12CCS(=O)(=O)CC2)c1nn[n-]n1 ZINC001411992206 1129422051 /nfs/dbraw/zinc/42/20/51/1129422051.db2.gz RYPSVOVRCGDFKA-VHSXEESVSA-N -1 1 327.410 -0.024 20 0 EBADMM CC1(C)CN(C(=O)CNC(N)=O)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001412046496 1129434664 /nfs/dbraw/zinc/43/46/64/1129434664.db2.gz NTPIGCCXRLBJBG-JTQLQIEISA-N -1 1 335.364 -0.578 20 0 EBADMM C[C@@H]1CNc2c(C(=O)N3CCC(O)(c4nn[n-]n4)CC3)cnn2C1 ZINC001412058730 1129437630 /nfs/dbraw/zinc/43/76/30/1129437630.db2.gz UJCULCREYJOVDT-SECBINFHSA-N -1 1 332.368 -0.418 20 0 EBADMM C[C@@H]1CN(C(=O)c2c[nH]c3nc(=O)[n-]c(=O)c-3c2)C[C@H](C)N1CCO ZINC001412136348 1129458997 /nfs/dbraw/zinc/45/89/97/1129458997.db2.gz QWALLTZGNZUSND-AOOOYVTPSA-N -1 1 347.375 -0.037 20 0 EBADMM CN(C(=O)CNC(N)=O)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001412276005 1129494305 /nfs/dbraw/zinc/49/43/05/1129494305.db2.gz LNJFHULFPMBNMX-UHFFFAOYSA-N -1 1 335.364 -0.482 20 0 EBADMM CS(=O)(=O)CC1(NC(=O)c2cnc(C3CC3)[n-]c2=O)COC1 ZINC001412297805 1129498048 /nfs/dbraw/zinc/49/80/48/1129498048.db2.gz MXBORLFLVPPSCW-UHFFFAOYSA-N -1 1 327.362 -0.397 20 0 EBADMM CC(C)[C@@H](CCNC(=O)[C@@H]1CNC(=O)N1)NC(=O)c1ncccc1[O-] ZINC001412321296 1129501682 /nfs/dbraw/zinc/50/16/82/1129501682.db2.gz JLHDDNNQYATITM-MNOVXSKESA-N -1 1 349.391 -0.271 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)CN(C)C(=O)[C@@H](C)OC ZINC001412465968 1129535374 /nfs/dbraw/zinc/53/53/74/1129535374.db2.gz ZNYIFFIZQNYMGS-JGVFFNPUSA-N -1 1 342.352 -0.492 20 0 EBADMM CCO[C@H](CC)C(=O)N[C@@H](CO)CNC(=O)c1ncccc1[O-] ZINC001412808937 1129665061 /nfs/dbraw/zinc/66/50/61/1129665061.db2.gz LGCNXEDNTJFVHQ-ZYHUDNBSSA-N -1 1 325.365 -0.191 20 0 EBADMM CCn1cc(C(=O)N[C@@H](CO)CNC(=O)c2ncccc2[O-])c(C)n1 ZINC001412809841 1129665896 /nfs/dbraw/zinc/66/58/96/1129665896.db2.gz MJGUGIOOOSVONX-LLVKDONJSA-N -1 1 347.375 -0.167 20 0 EBADMM CC(C)(C)OC(=O)N1C[C@@H](O)[C@@H](CNC(=O)CCc2nn[n-]n2)C1 ZINC001412829186 1129685329 /nfs/dbraw/zinc/68/53/29/1129685329.db2.gz IEHKFSDEMYEPPF-VHSXEESVSA-N -1 1 340.384 -0.524 20 0 EBADMM CC(C)NC(=O)CN(C)CC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC001412853867 1129730999 /nfs/dbraw/zinc/73/09/99/1129730999.db2.gz MREKKTOFHYUPBH-JTQLQIEISA-N -1 1 341.441 -0.727 20 0 EBADMM COCCC1(C(=O)NC[C@@H](CO)NC(=O)c2ncccc2[O-])CC1 ZINC001412863044 1129743819 /nfs/dbraw/zinc/74/38/19/1129743819.db2.gz QNQYRXAHFZFYMD-NSHDSACASA-N -1 1 337.376 -0.189 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCO[C@]2(CCO[C@@H]2C)C1 ZINC001412914115 1129780833 /nfs/dbraw/zinc/78/08/33/1129780833.db2.gz GFCMBSXNVJURQO-XLKFXECMSA-N -1 1 325.321 -0.084 20 0 EBADMM Cc1nn(C)c(Cl)c1C(=O)NC[C@@H](CO)NC(=O)c1cnn[nH]1 ZINC001412917815 1129782139 /nfs/dbraw/zinc/78/21/39/1129782139.db2.gz PNPVHJNAPLXYJM-ZETCQYMHSA-N -1 1 341.759 -0.979 20 0 EBADMM COC(=O)c1cn(CCNC(=O)c2cnc(C3CC3)[n-]c2=O)nn1 ZINC001412932508 1129795960 /nfs/dbraw/zinc/79/59/60/1129795960.db2.gz PEGCAMRJZVPFFP-UHFFFAOYSA-N -1 1 332.320 -0.132 20 0 EBADMM COc1cc2[n-]cc(C(=O)N3C[C@H](O)[C@@H](CO)C3)c(=O)c2c(OC)c1 ZINC001413067337 1129912910 /nfs/dbraw/zinc/91/29/10/1129912910.db2.gz HPTUHUONMRZGLF-RNCFNFMXSA-N -1 1 348.355 -0.030 20 0 EBADMM CSc1nc(CNC(=O)CN(C)S(=O)(=O)N(C)C)cc(=O)[n-]1 ZINC001413196088 1129946515 /nfs/dbraw/zinc/94/65/15/1129946515.db2.gz MRKZXSDSMNEISN-UHFFFAOYSA-N -1 1 349.438 -0.742 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1C[C@@H](O)C[C@@]1(C)CO ZINC001413291243 1129991160 /nfs/dbraw/zinc/99/11/60/1129991160.db2.gz MHUZTLQWMJJBBB-ZBEGNZNMSA-N -1 1 334.376 -0.062 20 0 EBADMM CN(C(=O)CCNS(=O)(=O)c1ccc(F)c(F)c1)c1nn[n-]n1 ZINC001413330198 1130020058 /nfs/dbraw/zinc/02/00/58/1130020058.db2.gz YMYQAUCOMOKXOF-UHFFFAOYSA-N -1 1 346.319 -0.191 20 0 EBADMM COCCC(=O)N(C)C[C@@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001413343548 1130031337 /nfs/dbraw/zinc/03/13/37/1130031337.db2.gz WGVXHUQDCKJKJM-LLVKDONJSA-N -1 1 325.365 -0.285 20 0 EBADMM CN(C)S(=O)(=O)CCS(=O)(=O)[N-]Cc1nc(C(F)F)no1 ZINC001413384176 1130067591 /nfs/dbraw/zinc/06/75/91/1130067591.db2.gz YMDZISVURSEBSF-UHFFFAOYSA-N -1 1 348.353 -0.682 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC2N=NC(=O)N2C)c(C(F)(F)F)n1 ZINC001413426412 1130083595 /nfs/dbraw/zinc/08/35/95/1130083595.db2.gz UJYCQNLKVKPLAJ-UHFFFAOYSA-N -1 1 340.287 -0.249 20 0 EBADMM CCS(=O)(=O)N(C)CC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001413456782 1130095101 /nfs/dbraw/zinc/09/51/01/1130095101.db2.gz VTNOGSNKMSCRQJ-UHFFFAOYSA-N -1 1 344.393 -0.847 20 0 EBADMM COc1cc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)ccc1OCC(N)=O ZINC001413466713 1130098047 /nfs/dbraw/zinc/09/80/47/1130098047.db2.gz HFDSBXROFDGLQL-JTQLQIEISA-N -1 1 346.347 -0.298 20 0 EBADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)CS(C)(=O)=O ZINC001413544522 1130129604 /nfs/dbraw/zinc/12/96/04/1130129604.db2.gz CPYLALSZNBCVLT-MRVPVSSYSA-N -1 1 330.366 -0.311 20 0 EBADMM COc1cnc([C@@H]2CCCN2C(=O)CN2CCOCC2=O)[n-]c1=O ZINC001413762615 1130261546 /nfs/dbraw/zinc/26/15/46/1130261546.db2.gz GQULUXVAMZEZTM-JTQLQIEISA-N -1 1 336.348 -0.287 20 0 EBADMM CC(C)(C)/C=C/C(=O)NCCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001491188751 1130354823 /nfs/dbraw/zinc/35/48/23/1130354823.db2.gz YRMLQGXEFBDIRN-SNAWJCMRSA-N -1 1 332.364 -0.134 20 0 EBADMM Cc1[nH]ccc1C(=O)NCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001491214075 1130362618 /nfs/dbraw/zinc/36/26/18/1130362618.db2.gz MKBQYJKGZIJWOC-UHFFFAOYSA-N -1 1 333.348 -0.891 20 0 EBADMM O=C(Cc1ccoc1)NCCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001491247908 1130374147 /nfs/dbraw/zinc/37/41/47/1130374147.db2.gz KCSSPGRSCZCAHU-UHFFFAOYSA-N -1 1 330.304 -0.901 20 0 EBADMM CC(C)([N-]C(=O)C(F)(F)F)C(=O)NCCNC(=O)c1cnn[nH]1 ZINC001491343466 1130406966 /nfs/dbraw/zinc/40/69/66/1130406966.db2.gz KPQFPVHLLVQJOB-UHFFFAOYSA-N -1 1 336.274 -0.892 20 0 EBADMM CN(CCNC(=O)c1c[nH]nc1C(C)(C)C)Cc1nc(=O)n(C)[n-]1 ZINC001492493740 1130699623 /nfs/dbraw/zinc/69/96/23/1130699623.db2.gz BMWVYUYARPAGSV-UHFFFAOYSA-N -1 1 335.412 -0.009 20 0 EBADMM C[C@@H]1CN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CC(=O)N1C ZINC001413914814 1130741938 /nfs/dbraw/zinc/74/19/38/1130741938.db2.gz DCGQQCMYJITOJY-SECBINFHSA-N -1 1 335.364 -0.373 20 0 EBADMM O=C(NC[C@H](O)CNC(=O)[C@]12CCC[C@H]1OCC2)c1ncccc1[O-] ZINC001416755810 1130792556 /nfs/dbraw/zinc/79/25/56/1130792556.db2.gz XQBZLDINUCECPD-PPHDSNJXSA-N -1 1 349.387 -0.047 20 0 EBADMM CCOCC(=O)NCC1CN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC001416067044 1130842361 /nfs/dbraw/zinc/84/23/61/1130842361.db2.gz XJGXLDSFUGUJRX-UHFFFAOYSA-N -1 1 340.336 -0.879 20 0 EBADMM CS[C@@H](C)C(=O)N1CC(NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001356777147 1130935185 /nfs/dbraw/zinc/93/51/85/1130935185.db2.gz PNHTYBNBYOPFIY-VIFPVBQESA-N -1 1 340.405 -0.995 20 0 EBADMM C[C@H]1C[C@H]1C(=O)N1CC(CNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001356823233 1130961599 /nfs/dbraw/zinc/96/15/99/1130961599.db2.gz UULVSRZFAPEMAE-CMPLNLGQSA-N -1 1 334.376 -0.843 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCC[C@H]1CNC(=O)C1CC1 ZINC001357346805 1131070059 /nfs/dbraw/zinc/07/00/59/1131070059.db2.gz KXXMGVYRLUFXDR-QWHCGFSZSA-N -1 1 348.403 -0.262 20 0 EBADMM O=C(NC[C@H](O)CNC(=O)c1ncccc1[O-])c1ccc(F)nc1 ZINC001357400504 1131079487 /nfs/dbraw/zinc/07/94/87/1131079487.db2.gz STBZZBZXHGIDHW-JTQLQIEISA-N -1 1 334.307 -0.158 20 0 EBADMM C[C@H](C(=O)NC/C=C/CNC(=O)c1cc(=O)n2[n-]cnc2n1)C1CC1 ZINC001357701058 1131117681 /nfs/dbraw/zinc/11/76/81/1131117681.db2.gz NSLCZBHEPDANRC-PBKGFPTLSA-N -1 1 344.375 -0.134 20 0 EBADMM O=C(C[C@@H]1CCNC1=O)NC/C=C/CNC(=O)c1ncccc1[O-] ZINC001357749891 1131122839 /nfs/dbraw/zinc/12/28/39/1131122839.db2.gz AGAPGNVGTVARPE-GXFZAYBSSA-N -1 1 332.360 -0.284 20 0 EBADMM COC(=O)CC[C@@H]1CC[C@H](C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)O1 ZINC001361925870 1131481133 /nfs/dbraw/zinc/48/11/33/1131481133.db2.gz PDTCFJNKDKFAJO-HBNTYKKESA-N -1 1 339.352 -0.400 20 0 EBADMM CSc1ncc(C(=O)N2CC[C@H](NS(C)(=O)=O)C2)c(=O)[n-]1 ZINC001361945239 1131484832 /nfs/dbraw/zinc/48/48/32/1131484832.db2.gz AWPBSJKLVHULRF-ZETCQYMHSA-N -1 1 332.407 -0.332 20 0 EBADMM CCC(CC)[C@@H](CNC(=O)Cn1c(=O)[n-][nH]c1=O)N1CCOCC1 ZINC001362032554 1131500522 /nfs/dbraw/zinc/50/05/22/1131500522.db2.gz ZGLJPHWCEZVWQV-GFCCVEGCSA-N -1 1 341.412 -0.058 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC(N2CCNC2=O)CC1 ZINC001362064534 1131508196 /nfs/dbraw/zinc/50/81/96/1131508196.db2.gz KKLPMOAXDZACCM-UHFFFAOYSA-N -1 1 337.336 -0.474 20 0 EBADMM COCCN(CCC(=O)OC)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001362129835 1131521959 /nfs/dbraw/zinc/52/19/59/1131521959.db2.gz HZHUWGFOASGVGZ-UHFFFAOYSA-N -1 1 329.309 -0.452 20 0 EBADMM O=C(CCCc1nn[n-]n1)NCCS(=O)(=O)N1CCSCC1 ZINC001362138764 1131523425 /nfs/dbraw/zinc/52/34/25/1131523425.db2.gz ZGEKMVCGPXRXKV-UHFFFAOYSA-N -1 1 348.454 -0.983 20 0 EBADMM O=C([C@@H]1COCCN1CC(F)F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362209663 1131536616 /nfs/dbraw/zinc/53/66/16/1131536616.db2.gz QGSFXECPRSBYHV-ZJUUUORDSA-N -1 1 330.339 -0.128 20 0 EBADMM O=C([C@H]1COCCN1CC(F)F)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362209633 1131536911 /nfs/dbraw/zinc/53/69/11/1131536911.db2.gz QGSFXECPRSBYHV-NXEZZACHSA-N -1 1 330.339 -0.128 20 0 EBADMM COC(=O)c1cccn(CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)c1=O ZINC001362209702 1131537446 /nfs/dbraw/zinc/53/74/46/1131537446.db2.gz QJRCSUQXRWZTNI-JTQLQIEISA-N -1 1 346.347 -0.446 20 0 EBADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)NC1(C(N)=O)CC1 ZINC001362249215 1131544767 /nfs/dbraw/zinc/54/47/67/1131544767.db2.gz LQGDYTHQYKRNDS-UHFFFAOYSA-N -1 1 327.344 -0.183 20 0 EBADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCC(=O)N1CCOCC1 ZINC001362396124 1131575462 /nfs/dbraw/zinc/57/54/62/1131575462.db2.gz NJRHKFPFQBGXLS-UHFFFAOYSA-N -1 1 326.378 -0.199 20 0 EBADMM O=C(C1=CS(=O)(=O)CCC1)N1CCSC[C@H]1c1nn[n-]n1 ZINC001362403752 1131576369 /nfs/dbraw/zinc/57/63/69/1131576369.db2.gz BYSGTPRVKSYEOQ-VIFPVBQESA-N -1 1 329.407 -0.091 20 0 EBADMM CSc1ncc(C(=O)N[C@@H](CO)CN2CCOCC2)c(=O)[n-]1 ZINC001362544636 1131607144 /nfs/dbraw/zinc/60/71/44/1131607144.db2.gz OISMESAAEAYJSV-SECBINFHSA-N -1 1 328.394 -0.673 20 0 EBADMM CS(=O)(=O)N=S1(=O)CCN(C(=O)c2cnncc2[O-])CC1 ZINC001362643157 1131631050 /nfs/dbraw/zinc/63/10/50/1131631050.db2.gz WOYZLENFULQODB-UHFFFAOYSA-N -1 1 334.379 -0.934 20 0 EBADMM CCC[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)CCN1CCOC ZINC001362643312 1131631088 /nfs/dbraw/zinc/63/10/88/1131631088.db2.gz GWXQFRUYVAYTCP-JTQLQIEISA-N -1 1 325.369 -0.144 20 0 EBADMM CCOC(=O)c1nc([C@H](C)NC(=O)C2CCS(=O)(=O)CC2)n[n-]1 ZINC001362661973 1131635563 /nfs/dbraw/zinc/63/55/63/1131635563.db2.gz UOIWVWFZBDBUGW-QMMMGPOBSA-N -1 1 344.393 -0.017 20 0 EBADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C2CCS(=O)(=O)CC2)[n-]1 ZINC001362661973 1131635567 /nfs/dbraw/zinc/63/55/67/1131635567.db2.gz UOIWVWFZBDBUGW-QMMMGPOBSA-N -1 1 344.393 -0.017 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C2CCS(=O)(=O)CC2)n1 ZINC001362661973 1131635569 /nfs/dbraw/zinc/63/55/69/1131635569.db2.gz UOIWVWFZBDBUGW-QMMMGPOBSA-N -1 1 344.393 -0.017 20 0 EBADMM O=C([C@@H]1C[C@@H](O)CN1c1ccncn1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362690655 1131642594 /nfs/dbraw/zinc/64/25/94/1131642594.db2.gz UYPSASVBWLJGDW-UTUOFQBUSA-N -1 1 344.379 -0.665 20 0 EBADMM CCO[C@H](C(=O)N1CCC(O)(c2nn[n-]n2)CC1)C1CCOCC1 ZINC001362733070 1131657933 /nfs/dbraw/zinc/65/79/33/1131657933.db2.gz VCQQKXSPQVQTTG-LBPRGKRZSA-N -1 1 339.396 -0.159 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CCS(=O)(=O)[C@@H]2C)co1 ZINC001362756150 1131664625 /nfs/dbraw/zinc/66/46/25/1131664625.db2.gz CCSNTGPLLBQNKL-VXNVDRBHSA-N -1 1 336.391 -0.507 20 0 EBADMM CC(C)(C)OC(=O)N1CC[C@@H](NC(=O)CCc2nn[n-]n2)[C@H](O)C1 ZINC001362792859 1131675791 /nfs/dbraw/zinc/67/57/91/1131675791.db2.gz JSSBCRCIQBLXSY-NXEZZACHSA-N -1 1 340.384 -0.381 20 0 EBADMM O=C([C@@H]1CC(=O)N(Cc2ccccn2)C1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001362824731 1131686613 /nfs/dbraw/zinc/68/66/13/1131686613.db2.gz YASFTMJEVYTTFG-VXGBXAGGSA-N -1 1 341.375 -0.041 20 0 EBADMM COC(=O)c1ccnc(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)c1 ZINC001363027503 1131753159 /nfs/dbraw/zinc/75/31/59/1131753159.db2.gz IOUHHKNGVXGODV-UHFFFAOYSA-N -1 1 332.320 -0.495 20 0 EBADMM O=C(c1cnncc1[O-])N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC001363103625 1131786086 /nfs/dbraw/zinc/78/60/86/1131786086.db2.gz BQUUQTWPHBUMIQ-JTQLQIEISA-N -1 1 326.378 -0.178 20 0 EBADMM CCOC(=O)N1CCC(C(=O)N2CCOC[C@H]2c2nn[n-]n2)CC1 ZINC001363116094 1131790292 /nfs/dbraw/zinc/79/02/92/1131790292.db2.gz IWNFGBPZOGYFRU-NSHDSACASA-N -1 1 338.368 -0.032 20 0 EBADMM O=C(Cn1cc(Br)cn1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001363117214 1131791454 /nfs/dbraw/zinc/79/14/54/1131791454.db2.gz SXZQFLHALMUQMS-MRVPVSSYSA-N -1 1 342.157 -0.241 20 0 EBADMM COC(=O)[C@]1(C)C[C@H](OC)CN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001363131186 1131794411 /nfs/dbraw/zinc/79/44/11/1131794411.db2.gz VLMSWPPDQPIEAU-WJWGPLDTSA-N -1 1 341.320 -0.311 20 0 EBADMM CS(=O)(=O)N1CCC[C@H]1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001363280916 1131868732 /nfs/dbraw/zinc/86/87/32/1131868732.db2.gz BGLZDSUZCLWXHL-JTQLQIEISA-N -1 1 328.398 -0.670 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@](CO)(NC(=O)OC(C)(C)C)C2)nc1=O ZINC001363318933 1131894709 /nfs/dbraw/zinc/89/47/09/1131894709.db2.gz TXPHYRGJGICFBQ-OAHLLOKOSA-N -1 1 341.412 -0.040 20 0 EBADMM Cn1[n-]c(CN2CCC[C@](CO)(NC(=O)OC(C)(C)C)C2)nc1=O ZINC001363318925 1131895108 /nfs/dbraw/zinc/89/51/08/1131895108.db2.gz TXPHYRGJGICFBQ-HNNXBMFYSA-N -1 1 341.412 -0.040 20 0 EBADMM CCOC(=O)[C@H](CSC)NC(=O)CNC(=O)c1ncccc1[O-] ZINC001363398895 1131931696 /nfs/dbraw/zinc/93/16/96/1131931696.db2.gz CSIVYTMKNINTLJ-VIFPVBQESA-N -1 1 341.389 -0.072 20 0 EBADMM CN1CC(=O)Nc2cc(C(=O)N3CCOC[C@H]3c3nn[n-]n3)cnc21 ZINC001363408934 1131937675 /nfs/dbraw/zinc/93/76/75/1131937675.db2.gz QKOHIAQBOZKFID-JTQLQIEISA-N -1 1 344.335 -0.803 20 0 EBADMM COC[C@@H](NC(=O)CNC(=O)c1cc(F)cc(F)c1)c1nn[n-]n1 ZINC001363410690 1131937985 /nfs/dbraw/zinc/93/79/85/1131937985.db2.gz FJKQJOINMKIUOW-SNVBAGLBSA-N -1 1 340.290 -0.288 20 0 EBADMM O=C(CNC(=O)OCC(F)(F)F)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001363409317 1131938165 /nfs/dbraw/zinc/93/81/65/1131938165.db2.gz FMBFXBMQEDTTNW-ZCFIWIBFSA-N -1 1 338.246 -0.612 20 0 EBADMM CO[C@H]1CCn2cc(C(=O)N3CCOC[C@H]3c3nn[n-]n3)nc2C1 ZINC001363411221 1131938480 /nfs/dbraw/zinc/93/84/80/1131938480.db2.gz ZGUYGRIMNXCRNI-ONGXEEELSA-N -1 1 333.352 -0.429 20 0 EBADMM CNS(=O)(=O)c1csc(C(=O)N[C@@H](COC)c2nn[n-]n2)c1 ZINC001363429302 1131945504 /nfs/dbraw/zinc/94/55/04/1131945504.db2.gz CVOUHRKULBVDAW-ZETCQYMHSA-N -1 1 346.394 -0.713 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@@H](C(=O)N(C)C)C2)o1 ZINC001363445575 1131952354 /nfs/dbraw/zinc/95/23/54/1131952354.db2.gz GEKMNSOQTDKMBM-SECBINFHSA-N -1 1 329.378 -0.262 20 0 EBADMM C[C@@H]1CN(CCNC(=O)CNC(=O)c2ncccc2[O-])[C@H](C)CO1 ZINC001363473194 1131963755 /nfs/dbraw/zinc/96/37/55/1131963755.db2.gz FGTANKFTKDVGLF-VXGBXAGGSA-N -1 1 336.392 -0.258 20 0 EBADMM NS(=O)(=O)Cc1ccc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)cc1 ZINC001363528967 1131996085 /nfs/dbraw/zinc/99/60/85/1131996085.db2.gz VTFBSGFJGZZTKU-NSHDSACASA-N -1 1 336.377 -0.382 20 0 EBADMM COC1(c2cnnn2C)CN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC001363551413 1132009204 /nfs/dbraw/zinc/00/92/04/1132009204.db2.gz ICLYLUBWGMPLMQ-UHFFFAOYSA-N -1 1 346.347 -0.970 20 0 EBADMM Cc1c[nH]c(CC(N)=O)c1C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001363582795 1132022520 /nfs/dbraw/zinc/02/25/20/1132022520.db2.gz LCDISJVYRDWQAR-SNVBAGLBSA-N -1 1 331.380 -0.041 20 0 EBADMM COC[C@@H](NC(=O)c1cnc2c(c1)NC(=O)CN2C)c1nn[n-]n1 ZINC001363595154 1132027387 /nfs/dbraw/zinc/02/73/87/1132027387.db2.gz ZKOYKFXJRMATEN-SECBINFHSA-N -1 1 332.324 -0.900 20 0 EBADMM CS(=O)(=O)N1CCC[C@H](C(=O)N2CCC(c3nn[n-]n3)CC2)C1 ZINC001363658144 1132047320 /nfs/dbraw/zinc/04/73/20/1132047320.db2.gz FVFMKDRJHKDALB-NSHDSACASA-N -1 1 342.425 -0.423 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)[C@H]2CC(=O)N(CCOC)C2)n[n-]1 ZINC001363701431 1132061729 /nfs/dbraw/zinc/06/17/29/1132061729.db2.gz AFDDIZJNNGEQIR-VIFPVBQESA-N -1 1 339.352 -0.908 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H]2CC(=O)N(CCOC)C2)n1 ZINC001363701431 1132061732 /nfs/dbraw/zinc/06/17/32/1132061732.db2.gz AFDDIZJNNGEQIR-VIFPVBQESA-N -1 1 339.352 -0.908 20 0 EBADMM COC(=O)c1cn(CC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)nn1 ZINC001363703101 1132062592 /nfs/dbraw/zinc/06/25/92/1132062592.db2.gz IHZUORRLMUHFBO-UHFFFAOYSA-N -1 1 349.269 -0.798 20 0 EBADMM CO[C@@]1(C(=O)N(Cc2nn[n-]n2)CC(C)C)CCS(=O)(=O)C1 ZINC001363797231 1132091284 /nfs/dbraw/zinc/09/12/84/1132091284.db2.gz AANWGZOBLDKRGG-LBPRGKRZSA-N -1 1 331.398 -0.612 20 0 EBADMM CNC(=O)NC[C@H]1CN(Cc2nc3c(c(=O)[n-]2)COCC3)CCO1 ZINC001363806028 1132094432 /nfs/dbraw/zinc/09/44/32/1132094432.db2.gz KPKPLORKFUPPBL-JTQLQIEISA-N -1 1 337.380 -0.615 20 0 EBADMM CCOC1CC(O)(C[N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C)C1 ZINC001363860082 1132113964 /nfs/dbraw/zinc/11/39/64/1132113964.db2.gz HFOZVMYIOCOIDQ-MYKWLYEMSA-N -1 1 329.444 -0.675 20 0 EBADMM COC(=O)[C@H]1CN(C)C(=O)CN1C(=O)c1cnc(SC)[n-]c1=O ZINC001363862027 1132114131 /nfs/dbraw/zinc/11/41/31/1132114131.db2.gz CZMHUNUQAUZDIX-MRVPVSSYSA-N -1 1 340.361 -0.640 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCN(CC(F)F)C1 ZINC001363923354 1132130501 /nfs/dbraw/zinc/13/05/01/1132130501.db2.gz AAHRQOCREUYGEG-ZETCQYMHSA-N -1 1 338.336 -0.186 20 0 EBADMM C[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)[C@@H](O)c1ccccc1 ZINC001363924117 1132130798 /nfs/dbraw/zinc/13/07/98/1132130798.db2.gz CVZFPSLQJPAGEE-JOYOIKCWSA-N -1 1 339.373 -0.114 20 0 EBADMM Cc1noc(C(C)(C)[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)n1 ZINC001363980168 1132152176 /nfs/dbraw/zinc/15/21/76/1132152176.db2.gz NOBFGAISJMZPBK-UHFFFAOYSA-N -1 1 329.338 -0.609 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC(C)(C)[C@@]1(O)CCOC1 ZINC001364014378 1132166389 /nfs/dbraw/zinc/16/63/89/1132166389.db2.gz YXTPUMKPBNCYEW-CYBMUJFWSA-N -1 1 347.393 -0.348 20 0 EBADMM COC[C@@H]([N-]S(=O)(=O)c1n[nH]cc1C(=O)OC)C1CCOCC1 ZINC001364015834 1132166939 /nfs/dbraw/zinc/16/69/39/1132166939.db2.gz WSCATYOEOBNVMM-LLVKDONJSA-N -1 1 347.393 -0.084 20 0 EBADMM COc1cc(S(=O)(=O)[N-]C[C@]2(OCCO)CCOC2)sn1 ZINC001364028734 1132171890 /nfs/dbraw/zinc/17/18/90/1132171890.db2.gz PBUNEVFQYMZETN-LLVKDONJSA-N -1 1 338.407 -0.402 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@H]2CCCCN(C)C2=O)[n-]n1 ZINC001364027752 1132172066 /nfs/dbraw/zinc/17/20/66/1132172066.db2.gz RKRSLJNOXYAYRK-JTQLQIEISA-N -1 1 344.393 -0.172 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@H]2CCCCN(C)C2=O)n[n-]1 ZINC001364027752 1132172073 /nfs/dbraw/zinc/17/20/73/1132172073.db2.gz RKRSLJNOXYAYRK-JTQLQIEISA-N -1 1 344.393 -0.172 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@@]2(OC)CCSC2)[n-]n1 ZINC001364045714 1132181163 /nfs/dbraw/zinc/18/11/63/1132181163.db2.gz JJIMPWSSQYJBGJ-NSHDSACASA-N -1 1 335.407 -0.003 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@@]2(OC)CCSC2)n[n-]1 ZINC001364045714 1132181166 /nfs/dbraw/zinc/18/11/66/1132181166.db2.gz JJIMPWSSQYJBGJ-NSHDSACASA-N -1 1 335.407 -0.003 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]CCS(=O)(=O)N1CCCC1 ZINC001364051481 1132184891 /nfs/dbraw/zinc/18/48/91/1132184891.db2.gz QIDYCZSZAPYLHH-UHFFFAOYSA-N -1 1 338.411 -0.731 20 0 EBADMM C[C@H]([N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C)c1nnnn1C1CC1 ZINC001364052301 1132185191 /nfs/dbraw/zinc/18/51/91/1132185191.db2.gz FPZAZPHLWDVOEJ-SVWIBVJCSA-N -1 1 337.431 -0.522 20 0 EBADMM COC(=O)[C@@]1(OC)CCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC001364052934 1132186114 /nfs/dbraw/zinc/18/61/14/1132186114.db2.gz FSZPGYJNOORKAT-OAHLLOKOSA-N -1 1 337.332 -0.693 20 0 EBADMM COC(=O)[C@@H](CC1OCCO1)[N-]S(=O)(=O)CC[C@@H]1CCOC1 ZINC001364066751 1132193149 /nfs/dbraw/zinc/19/31/49/1132193149.db2.gz AAEZHWHOLMFCOP-WDEREUQCSA-N -1 1 337.394 -0.363 20 0 EBADMM CO[C@]12CCC[C@@]1([N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)CCO2 ZINC001364080566 1132200222 /nfs/dbraw/zinc/20/02/22/1132200222.db2.gz CIGCUMSQBGVRCX-OLZOCXBDSA-N -1 1 345.377 -0.550 20 0 EBADMM CC[C@H](CN1CCOCC1)NS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001364119177 1132213094 /nfs/dbraw/zinc/21/30/94/1132213094.db2.gz UEWSZBRFXQTOPV-SNVBAGLBSA-N -1 1 346.409 -0.415 20 0 EBADMM CC[C@H](CN1CCOCC1)NS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001364119177 1132213102 /nfs/dbraw/zinc/21/31/02/1132213102.db2.gz UEWSZBRFXQTOPV-SNVBAGLBSA-N -1 1 346.409 -0.415 20 0 EBADMM COC(=O)[C@@]1(C[N-]S(=O)(=O)c2ncn(C)c2Cl)CCOC1 ZINC001364180161 1132228290 /nfs/dbraw/zinc/22/82/90/1132228290.db2.gz NUUXAXMEFOPYAF-LLVKDONJSA-N -1 1 337.785 -0.069 20 0 EBADMM Cn1cc(N2C[C@@H](C(=O)NCc3nc([O-])cc(=O)[nH]3)CCC2=O)cn1 ZINC001364208196 1132238000 /nfs/dbraw/zinc/23/80/00/1132238000.db2.gz ULGFVNKINULGBJ-VIFPVBQESA-N -1 1 346.347 -0.319 20 0 EBADMM CN(C)S(=O)(=O)CCC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001364260285 1132254236 /nfs/dbraw/zinc/25/42/36/1132254236.db2.gz YVWNMIYWEGNXGH-GFCCVEGCSA-N -1 1 338.393 -0.313 20 0 EBADMM C[C@@]1(C2CCN(Cc3cc(=O)n4[n-]c(N)nc4n3)CC2)COC(=O)N1 ZINC001364520736 1132349159 /nfs/dbraw/zinc/34/91/59/1132349159.db2.gz YJVKCTCNNIVOBV-HNNXBMFYSA-N -1 1 347.379 -0.290 20 0 EBADMM O=c1cc(CN2CCC3(CC2)C[C@H](O)CNC3=O)nc2cc[n-]n21 ZINC001364546403 1132358427 /nfs/dbraw/zinc/35/84/27/1132358427.db2.gz AHPXHDABASXPHD-LBPRGKRZSA-N -1 1 331.376 -0.514 20 0 EBADMM CCCNC(=O)C1CCN(Cc2cc(=O)n3[n-]c(N)nc3n2)CC1 ZINC001364652748 1132385449 /nfs/dbraw/zinc/38/54/49/1132385449.db2.gz FPWYSEGNQVIHCG-UHFFFAOYSA-N -1 1 333.396 -0.262 20 0 EBADMM CN(C)S(=O)(=O)c1ccc(C(=O)NC2(c3nn[n-]n3)CCC2)o1 ZINC001364662469 1132387370 /nfs/dbraw/zinc/38/73/70/1132387370.db2.gz KKAATYGMZRYQSZ-UHFFFAOYSA-N -1 1 340.365 -0.148 20 0 EBADMM O=S(=O)([N-]C[C@]1(O)CCOC1)c1nc[nH]c1Br ZINC001364796096 1132429095 /nfs/dbraw/zinc/42/90/95/1132429095.db2.gz FHGAFYNKHDGIRN-MRVPVSSYSA-N -1 1 326.172 -0.398 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CSC[C@@H]2C(=O)N(C)C)[n-]n1 ZINC001364799522 1132431220 /nfs/dbraw/zinc/43/12/20/1132431220.db2.gz XMQVMVQJAHSTGH-MRVPVSSYSA-N -1 1 348.406 -0.652 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CSC[C@@H]2C(=O)N(C)C)n[n-]1 ZINC001364799522 1132431223 /nfs/dbraw/zinc/43/12/23/1132431223.db2.gz XMQVMVQJAHSTGH-MRVPVSSYSA-N -1 1 348.406 -0.652 20 0 EBADMM CCS(=O)(=O)CCN(C)S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001364814391 1132436500 /nfs/dbraw/zinc/43/65/00/1132436500.db2.gz MDKGFSJATAJKAB-UHFFFAOYSA-N -1 1 339.395 -0.749 20 0 EBADMM CCS(=O)(=O)CCN(C)S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001364814391 1132436502 /nfs/dbraw/zinc/43/65/02/1132436502.db2.gz MDKGFSJATAJKAB-UHFFFAOYSA-N -1 1 339.395 -0.749 20 0 EBADMM C[C@@]1(CC(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)CCS(=O)(=O)N1 ZINC001364819580 1132439652 /nfs/dbraw/zinc/43/96/52/1132439652.db2.gz SDYMUEJCKABQNA-MFKMUULPSA-N -1 1 342.425 -0.547 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[S@@](=O)CC2(C)C)[n-]n1 ZINC001364848263 1132451699 /nfs/dbraw/zinc/45/16/99/1132451699.db2.gz CPVJYGWMENMSAA-HXUWFJFHSA-N -1 1 335.407 -0.272 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[S@@](=O)CC2(C)C)n[n-]1 ZINC001364848263 1132451702 /nfs/dbraw/zinc/45/17/02/1132451702.db2.gz CPVJYGWMENMSAA-HXUWFJFHSA-N -1 1 335.407 -0.272 20 0 EBADMM CNS(=O)(=O)CC[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC001364851807 1132453753 /nfs/dbraw/zinc/45/37/53/1132453753.db2.gz QFGXWLUAYXBKPP-UHFFFAOYSA-N -1 1 336.317 -0.744 20 0 EBADMM COC(=O)N1CC([N-]S(=O)(=O)c2nc[nH]c2Br)C1 ZINC001364853640 1132454613 /nfs/dbraw/zinc/45/46/13/1132454613.db2.gz SCIUOFLOBABBCL-UHFFFAOYSA-N -1 1 339.171 -0.099 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)C2CCN(OC)CC2)[n-]n1 ZINC001364857732 1132456873 /nfs/dbraw/zinc/45/68/73/1132456873.db2.gz IKUHCIJPACUVNU-UHFFFAOYSA-N -1 1 332.382 -0.157 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)C2CCN(OC)CC2)n[n-]1 ZINC001364857732 1132456875 /nfs/dbraw/zinc/45/68/75/1132456875.db2.gz IKUHCIJPACUVNU-UHFFFAOYSA-N -1 1 332.382 -0.157 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C/C=C\c2cccnc2)c(=O)n(C)c1=O ZINC001364880257 1132466330 /nfs/dbraw/zinc/46/63/30/1132466330.db2.gz JELXSKIFFCCICS-XQRVVYSFSA-N -1 1 336.373 -0.529 20 0 EBADMM CCS(=O)(CC)=NS(=O)(=O)[N-]C[C@H]1CCO[C@H]1C(=O)OC ZINC001364905638 1132477833 /nfs/dbraw/zinc/47/78/33/1132477833.db2.gz QHTTWMUHONYIJM-NXEZZACHSA-N -1 1 342.439 -0.093 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](CO)C[C@H]1CCCO1 ZINC001364933157 1132492204 /nfs/dbraw/zinc/49/22/04/1132492204.db2.gz FTMJMFSIUQDCKN-NXEZZACHSA-N -1 1 347.393 -0.205 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCC2CC3(C2)OCCO3)[n-]n1 ZINC001364951205 1132499264 /nfs/dbraw/zinc/49/92/64/1132499264.db2.gz DLVZCWKCMBXKJP-UHFFFAOYSA-N -1 1 331.350 -0.372 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCC2CC3(C2)OCCO3)n[n-]1 ZINC001364951205 1132499266 /nfs/dbraw/zinc/49/92/66/1132499266.db2.gz DLVZCWKCMBXKJP-UHFFFAOYSA-N -1 1 331.350 -0.372 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCN2CCOC[C@H]2C)[n-]n1 ZINC001365034026 1132524832 /nfs/dbraw/zinc/52/48/32/1132524832.db2.gz HSSYUKKFOWVNSZ-SECBINFHSA-N -1 1 332.382 -0.805 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCN2CCOC[C@H]2C)n[n-]1 ZINC001365034026 1132524841 /nfs/dbraw/zinc/52/48/41/1132524841.db2.gz HSSYUKKFOWVNSZ-SECBINFHSA-N -1 1 332.382 -0.805 20 0 EBADMM NC(=O)[C@]12CCC[C@H]1CN(C(=O)CNC(=O)c1ncccc1[O-])C2 ZINC001365043040 1132527617 /nfs/dbraw/zinc/52/76/17/1132527617.db2.gz DNFCXJZYTHJRJV-QFYYESIMSA-N -1 1 332.360 -0.369 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NCc1cnc2n1CCOC2 ZINC001365147426 1132560085 /nfs/dbraw/zinc/56/00/85/1132560085.db2.gz JIMBURMVAUOBRF-UHFFFAOYSA-N -1 1 331.332 -0.440 20 0 EBADMM COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001365197912 1132577211 /nfs/dbraw/zinc/57/72/11/1132577211.db2.gz JHYSMHXTVDMTMV-IUCAKERBSA-N -1 1 348.363 -0.471 20 0 EBADMM CCn1[n-]nnc1=NC(=O)N1CC[C@](C)(NC(=O)C(F)(F)F)C1 ZINC001365199425 1132577899 /nfs/dbraw/zinc/57/78/99/1132577899.db2.gz FKWLBEWJUFHMSW-JTQLQIEISA-N -1 1 335.290 -0.210 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N1CCN2C(=O)NC[C@@H]2C1 ZINC001365236940 1132587794 /nfs/dbraw/zinc/58/77/94/1132587794.db2.gz BRDQNNBHCHTZJA-SECBINFHSA-N -1 1 348.363 -0.826 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N1CCN2C(=O)NC[C@H]2C1 ZINC001365236951 1132588220 /nfs/dbraw/zinc/58/82/20/1132588220.db2.gz BRDQNNBHCHTZJA-VIFPVBQESA-N -1 1 348.363 -0.826 20 0 EBADMM COC(=O)[C@@H](O)C[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC001365388628 1132648127 /nfs/dbraw/zinc/64/81/27/1132648127.db2.gz IRUHGOAMMXAVEL-YFKPBYRVSA-N -1 1 331.272 -0.749 20 0 EBADMM CN(C)[S@@](C)(=O)=NS(=O)(=O)[N-]CC1(S(C)(=O)=O)CCC1 ZINC001365394672 1132649871 /nfs/dbraw/zinc/64/98/71/1132649871.db2.gz IXJUNMCXASEQPL-IBGZPJMESA-N -1 1 347.484 -0.638 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1ccc(C)n(C)c1=O ZINC001365400465 1132652955 /nfs/dbraw/zinc/65/29/55/1132652955.db2.gz MRGQRPVMXBZFID-UHFFFAOYSA-N -1 1 340.361 -0.318 20 0 EBADMM COC(=O)[C@@](C)(CO)[N-]S(=O)(=O)c1c(C)nn(C)c1Cl ZINC001365418917 1132661945 /nfs/dbraw/zinc/66/19/45/1132661945.db2.gz YDHVEVPBIWJWRS-SNVBAGLBSA-N -1 1 325.774 -0.416 20 0 EBADMM COCC1(C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)CCCC1 ZINC001365423258 1132664775 /nfs/dbraw/zinc/66/47/75/1132664775.db2.gz PZHUDLGBIIYVKK-UHFFFAOYSA-N -1 1 331.394 -0.029 20 0 EBADMM CN(C)[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@@H]1CN(C2CC2)C(=O)O1 ZINC001365429210 1132668827 /nfs/dbraw/zinc/66/88/27/1132668827.db2.gz UJDVNLNHWORYEK-YBYGRFCBSA-N -1 1 340.427 -0.622 20 0 EBADMM CCOC(=O)c1nc([C@@H](C)NS(=O)(=O)C[C@H]2CCOC2)n[n-]1 ZINC001365438350 1132674996 /nfs/dbraw/zinc/67/49/96/1132674996.db2.gz VSWHHUIKRYYLCO-BDAKNGLRSA-N -1 1 332.382 -0.002 20 0 EBADMM CCOC(=O)c1nnc([C@@H](C)NS(=O)(=O)C[C@H]2CCOC2)[n-]1 ZINC001365438350 1132675001 /nfs/dbraw/zinc/67/50/01/1132675001.db2.gz VSWHHUIKRYYLCO-BDAKNGLRSA-N -1 1 332.382 -0.002 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](C)NS(=O)(=O)C[C@H]2CCOC2)n1 ZINC001365438350 1132675008 /nfs/dbraw/zinc/67/50/08/1132675008.db2.gz VSWHHUIKRYYLCO-BDAKNGLRSA-N -1 1 332.382 -0.002 20 0 EBADMM CCOC(=O)C12CC(C1)CN2S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001365442113 1132680159 /nfs/dbraw/zinc/68/01/59/1132680159.db2.gz DNUXFVIILLHDSJ-UHFFFAOYSA-N -1 1 343.361 -0.088 20 0 EBADMM CCOC(=O)C12CC(C1)CN2S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001365442113 1132680163 /nfs/dbraw/zinc/68/01/63/1132680163.db2.gz DNUXFVIILLHDSJ-UHFFFAOYSA-N -1 1 343.361 -0.088 20 0 EBADMM COc1nscc1S(=O)(=O)[N-]C1CCN(CC(N)=O)CC1 ZINC001365452367 1132684128 /nfs/dbraw/zinc/68/41/28/1132684128.db2.gz DXEPRAHAWDTQRO-UHFFFAOYSA-N -1 1 334.423 -0.620 20 0 EBADMM COC[C@H](CS(=O)(=O)NCc1nc2c(c(=O)[n-]1)COCC2)OC ZINC001365453907 1132686112 /nfs/dbraw/zinc/68/61/12/1132686112.db2.gz JGGYHCYEQBOESF-SECBINFHSA-N -1 1 347.393 -0.664 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@@H]2CCOC[C@H]2OC)[n-]n1 ZINC001365456864 1132688219 /nfs/dbraw/zinc/68/82/19/1132688219.db2.gz ZFRLYKJTVJROLN-NXEZZACHSA-N -1 1 333.366 -0.379 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@@H]2CCOC[C@H]2OC)n[n-]1 ZINC001365456864 1132688222 /nfs/dbraw/zinc/68/82/22/1132688222.db2.gz ZFRLYKJTVJROLN-NXEZZACHSA-N -1 1 333.366 -0.379 20 0 EBADMM CCN1C[C@H](S(=O)(=O)[N-][C@@H](C[C@H]2CCCO2)C(=O)OC)CC1=O ZINC001365459170 1132691614 /nfs/dbraw/zinc/69/16/14/1132691614.db2.gz QEGYXMXNIVGCPT-UTUOFQBUSA-N -1 1 348.421 -0.363 20 0 EBADMM COC(=O)C1([N-]S(=O)(=O)c2cc(OC)ns2)CC(CO)C1 ZINC001365470190 1132699220 /nfs/dbraw/zinc/69/92/20/1132699220.db2.gz HLVDZQFMTRRHTC-UHFFFAOYSA-N -1 1 336.391 -0.256 20 0 EBADMM O=C(CNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)NCC1CC1 ZINC001365664925 1132753473 /nfs/dbraw/zinc/75/34/73/1132753473.db2.gz WZYCUGKQKVCHRU-UHFFFAOYSA-N -1 1 335.364 -0.420 20 0 EBADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)C(C)(C)C ZINC001365679334 1132759487 /nfs/dbraw/zinc/75/94/87/1132759487.db2.gz YEDSWZAAMVQIRF-SECBINFHSA-N -1 1 347.393 -0.258 20 0 EBADMM CCOC(=O)[C@H](C)[C@@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001365683992 1132761768 /nfs/dbraw/zinc/76/17/68/1132761768.db2.gz KMIJTANDODMQIH-HTQZYQBOSA-N -1 1 333.366 -0.648 20 0 EBADMM CCOC(=O)[C@H]([N-]S(=O)(=O)[C@@H]1COC[C@H]1O)C1CCOCC1 ZINC001365697278 1132766457 /nfs/dbraw/zinc/76/64/57/1132766457.db2.gz LLEUBINPCDYJIP-IJLUTSLNSA-N -1 1 337.394 -0.976 20 0 EBADMM O=S(=O)([N-][C@@H]1CS(=O)(=O)C[C@H]1O)c1cc(F)ccc1F ZINC001365725912 1132777400 /nfs/dbraw/zinc/77/74/00/1132777400.db2.gz HMNSSOXKPAYRNV-RKDXNWHRSA-N -1 1 327.330 -0.599 20 0 EBADMM CCOCC1(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)CC1 ZINC001365793728 1132801666 /nfs/dbraw/zinc/80/16/66/1132801666.db2.gz CPPGMLZGDKTVMX-GFCCVEGCSA-N -1 1 337.424 -0.042 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)CC(C)(C)O)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001365869168 1132846817 /nfs/dbraw/zinc/84/68/17/1132846817.db2.gz KCWAGGFTNKDROE-WDEREUQCSA-N -1 1 325.413 -0.262 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)CCc2cncn2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001365901563 1132877569 /nfs/dbraw/zinc/87/75/69/1132877569.db2.gz NPPNDAATNPTJNC-YPMHNXCESA-N -1 1 347.423 -0.446 20 0 EBADMM Cc1ccoc1C(=O)NCCN1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001365955228 1132913923 /nfs/dbraw/zinc/91/39/23/1132913923.db2.gz STVKLIQLNFFMNK-UHFFFAOYSA-N -1 1 348.407 -0.443 20 0 EBADMM O=C(CN1CC[C@H](NC(=O)c2ncccc2[O-])C1)NC[C@H]1CCOC1 ZINC001365974867 1132936531 /nfs/dbraw/zinc/93/65/31/1132936531.db2.gz FCJDLLHCHNQWCD-OLZOCXBDSA-N -1 1 348.403 -0.256 20 0 EBADMM CC(=O)Nc1ccc(C(=O)NCCN(C)Cc2nc(=O)n(C)[n-]2)nc1 ZINC001366534057 1133172282 /nfs/dbraw/zinc/17/22/82/1133172282.db2.gz AXVYLABTEAYPRW-UHFFFAOYSA-N -1 1 347.379 -0.676 20 0 EBADMM COCC1(C(=O)NCCN(C)Cc2nc(=O)n(C)[n-]2)CCCC1 ZINC001366538062 1133174626 /nfs/dbraw/zinc/17/46/26/1133174626.db2.gz OFTGBHKHGKIFKW-UHFFFAOYSA-N -1 1 325.413 -0.137 20 0 EBADMM Cn1[n-]c(CN(CCNC(=O)CCn2ccnc2)C2CC2)nc1=O ZINC001366748317 1133281935 /nfs/dbraw/zinc/28/19/35/1133281935.db2.gz WOCMZRDMIMBGCK-UHFFFAOYSA-N -1 1 333.396 -0.524 20 0 EBADMM Cn1cc(CCC(=O)NCC[C@@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)nn1 ZINC001366852049 1133320507 /nfs/dbraw/zinc/32/05/07/1133320507.db2.gz MJUOGEPGOJZRJF-LLVKDONJSA-N -1 1 348.411 -0.400 20 0 EBADMM CC[C@@H](C)C(=O)N(C)CCN1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001366853079 1133320583 /nfs/dbraw/zinc/32/05/83/1133320583.db2.gz AIOQVEJGLMUEHI-CYBMUJFWSA-N -1 1 338.456 -0.270 20 0 EBADMM COC[C@H](C)OC[C@H](O)CN1CC(NC(=O)c2ncccc2[O-])C1 ZINC001366917545 1133361381 /nfs/dbraw/zinc/36/13/81/1133361381.db2.gz QWPMUWDXYXEXJM-WCQYABFASA-N -1 1 339.392 -0.386 20 0 EBADMM CN(C(=O)COc1cccnc1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001367046683 1133451607 /nfs/dbraw/zinc/45/16/07/1133451607.db2.gz AGZKKEIHHXYQQR-LBPRGKRZSA-N -1 1 346.391 -0.385 20 0 EBADMM CN(C(=O)COc1cccnc1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001367046679 1133451677 /nfs/dbraw/zinc/45/16/77/1133451677.db2.gz AGZKKEIHHXYQQR-GFCCVEGCSA-N -1 1 346.391 -0.385 20 0 EBADMM O=C(CCn1cncn1)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001367144380 1133487568 /nfs/dbraw/zinc/48/75/68/1133487568.db2.gz AZGXPPLDGSKFFC-UHFFFAOYSA-N -1 1 334.384 -0.289 20 0 EBADMM CCn1ccc(CNC[C@@H](C)N(C)C(=O)Cn2c(=O)[n-][nH]c2=O)n1 ZINC001367402477 1133579467 /nfs/dbraw/zinc/57/94/67/1133579467.db2.gz BAWSDXHMYUGYSD-SNVBAGLBSA-N -1 1 337.384 -0.458 20 0 EBADMM CC(C)[C@@H](CNC(=O)c1ccc(=O)n(C)c1)NCc1n[nH]c(=O)[n-]1 ZINC001367496294 1133603415 /nfs/dbraw/zinc/60/34/15/1133603415.db2.gz YHVQOQMAGNJRRH-LLVKDONJSA-N -1 1 334.380 -0.247 20 0 EBADMM COc1cccc(F)c1C(=O)NC[C@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001367587982 1133658532 /nfs/dbraw/zinc/65/85/32/1133658532.db2.gz JELGAFLFUGXVNG-MRVPVSSYSA-N -1 1 339.327 -0.462 20 0 EBADMM C[C@H](CNCc1nccn1C)NC(=O)CCc1n[nH]c(=O)[n-]c1=O ZINC001367684521 1133745725 /nfs/dbraw/zinc/74/57/25/1133745725.db2.gz NRYVJIVATFEQOO-SECBINFHSA-N -1 1 335.368 -0.757 20 0 EBADMM CC(C)[C@@H](O)C(=O)N[C@H](C)CN(C)Cc1cc(=O)n2[n-]ccc2n1 ZINC001367801725 1133789953 /nfs/dbraw/zinc/78/99/53/1133789953.db2.gz BNGCLONIEGUIGO-IAQYHMDHSA-N -1 1 335.408 -0.024 20 0 EBADMM COc1cc(C(=O)N[C@@H](C)CN(C)Cc2nc(=O)n(C)[n-]2)ccn1 ZINC001367810945 1133795393 /nfs/dbraw/zinc/79/53/93/1133795393.db2.gz ADKSZUCLPNLIJZ-JTQLQIEISA-N -1 1 334.380 -0.238 20 0 EBADMM COc1ccc(CC(=O)N[C@@H](C)CN(C)Cc2nc(=O)n(C)[n-]2)nc1 ZINC001367835639 1133806774 /nfs/dbraw/zinc/80/67/74/1133806774.db2.gz PVLDBLCLMOONIS-NSHDSACASA-N -1 1 348.407 -0.309 20 0 EBADMM C[C@H](C(N)=O)N(C)C[C@H](C)NC(=O)C(C)(C)[N-]C(=O)C(F)(F)F ZINC001367860510 1133818522 /nfs/dbraw/zinc/81/85/22/1133818522.db2.gz JLELYXAHFFFFOO-JGVFFNPUSA-N -1 1 340.346 -0.246 20 0 EBADMM C[C@H](c1nnnn1C)N1CC[C@@](O)(CNC(=O)c2ncccc2[O-])C1 ZINC001368268316 1134069457 /nfs/dbraw/zinc/06/94/57/1134069457.db2.gz UJDWXMWQDHZOPR-MEBBXXQBSA-N -1 1 347.379 -0.762 20 0 EBADMM CCNC(=O)CCC(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001368453558 1134237670 /nfs/dbraw/zinc/23/76/70/1134237670.db2.gz WYLFNYRLLPPHLF-LLVKDONJSA-N -1 1 338.412 -0.103 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)NC[C@H]1Cc2ccccc2CN1CCO ZINC001368614691 1134326588 /nfs/dbraw/zinc/32/65/88/1134326588.db2.gz PYXROEDGSVYGCB-CYBMUJFWSA-N -1 1 347.375 -0.775 20 0 EBADMM CCC(=O)NCC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@H](C)C1 ZINC001368692059 1134392438 /nfs/dbraw/zinc/39/24/38/1134392438.db2.gz IIHYDFJZXVZVHH-GHMZBOCLSA-N -1 1 338.412 -0.896 20 0 EBADMM Cn1[n-]c(CN2CCC(CO)(NC(=O)c3ccoc3)CC2)nc1=O ZINC001368755694 1134419302 /nfs/dbraw/zinc/41/93/02/1134419302.db2.gz MFONJTYEGVSMLJ-UHFFFAOYSA-N -1 1 335.364 -0.542 20 0 EBADMM CN(C(=O)CN1CCCC1=O)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001369199882 1134614303 /nfs/dbraw/zinc/61/43/03/1134614303.db2.gz CSMKORMGGOZMIK-LLVKDONJSA-N -1 1 336.396 -0.541 20 0 EBADMM CN(C(=O)CNC(=O)C1CC1)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001369203777 1134615501 /nfs/dbraw/zinc/61/55/01/1134615501.db2.gz WKWQWHCRUIRULF-LLVKDONJSA-N -1 1 336.396 -0.637 20 0 EBADMM Cc1n[nH]c(=O)c(C(=O)N(C)C[C@H](C)NCc2n[nH]c(=O)[n-]2)c1C ZINC001369266707 1134634999 /nfs/dbraw/zinc/63/49/99/1134634999.db2.gz AONSUWSNUNMGNZ-ZETCQYMHSA-N -1 1 335.368 -0.127 20 0 EBADMM C[C@H](CN(C)C(=O)COC[C@H]1CCCO1)NCc1n[nH]c(=O)[n-]1 ZINC001369292048 1134642437 /nfs/dbraw/zinc/64/24/37/1134642437.db2.gz AOHOGDFDVWWHCK-GHMZBOCLSA-N -1 1 327.385 -0.358 20 0 EBADMM COc1ccc(C(=O)NC[C@@H](CO)NCc2nc(=O)n(C)[n-]2)c(C)c1 ZINC001369330330 1134672488 /nfs/dbraw/zinc/67/24/88/1134672488.db2.gz ASZZCTOKIGEJPY-NSHDSACASA-N -1 1 349.391 -0.694 20 0 EBADMM C[C@@H](Cc1ccccc1)C(=O)NC[C@H](CO)NCc1nc(=O)n(C)[n-]1 ZINC001369379200 1134739047 /nfs/dbraw/zinc/73/90/47/1134739047.db2.gz RWZRLSKBTCBDEW-GXTWGEPZSA-N -1 1 347.419 -0.446 20 0 EBADMM CN(C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)c1sccc1F ZINC001369459675 1134799803 /nfs/dbraw/zinc/79/98/03/1134799803.db2.gz HIGXOGCKYGUZRW-SSDOTTSWSA-N -1 1 329.357 -0.066 20 0 EBADMM CCc1cccnc1C(=O)N(C)C[C@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001369470381 1134822032 /nfs/dbraw/zinc/82/20/32/1134822032.db2.gz FWENCSQDYODXGI-LLVKDONJSA-N -1 1 334.380 -0.310 20 0 EBADMM CC(C)n1ccc(C(=O)N(C)C[C@H](O)CNCc2n[nH]c(=O)[n-]2)n1 ZINC001369472977 1134828537 /nfs/dbraw/zinc/82/85/37/1134828537.db2.gz OKEXPKDNUHYUFS-SNVBAGLBSA-N -1 1 337.384 -0.490 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H](O)CN(C)C(=O)C1(F)CCCC1 ZINC001369500963 1134886067 /nfs/dbraw/zinc/88/60/67/1134886067.db2.gz AOYKSDROZGOXRI-LLVKDONJSA-N -1 1 343.403 -0.358 20 0 EBADMM CC(C)(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CCNC(=O)C1 ZINC001369536036 1134926644 /nfs/dbraw/zinc/92/66/44/1134926644.db2.gz AINUDAJKJIZOSP-VHSXEESVSA-N -1 1 338.412 -0.343 20 0 EBADMM COCC(C)(C)C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001369830437 1135038255 /nfs/dbraw/zinc/03/82/55/1135038255.db2.gz JEZAKBWMMGLVIN-NSHDSACASA-N -1 1 325.413 -0.138 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)COCC(F)F)C2)nc1=O ZINC001369840800 1135042446 /nfs/dbraw/zinc/04/24/46/1135042446.db2.gz ZVYGTHPBCFXIFL-SECBINFHSA-N -1 1 333.339 -0.529 20 0 EBADMM C[C@@H](CC(N)=O)C(=O)N1CC([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001370097772 1135199479 /nfs/dbraw/zinc/19/94/79/1135199479.db2.gz ALHQHWOXZQPQDQ-UWVGGRQHSA-N -1 1 334.376 -0.125 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001370202750 1135247329 /nfs/dbraw/zinc/24/73/29/1135247329.db2.gz ZBOPJZIYILITTI-XYJRDEOASA-N -1 1 346.387 -0.254 20 0 EBADMM C[C@]1(C(=O)N[C@H](CO)CNC(=O)c2ncccc2[O-])CCCOC1 ZINC001370679718 1135488234 /nfs/dbraw/zinc/48/82/34/1135488234.db2.gz ODGUTRYHKYZHRX-ZBEGNZNMSA-N -1 1 337.376 -0.189 20 0 EBADMM CO[C@@H](C(=O)N[C@@H](CO)CNC(=O)c1ncccc1[O-])C1CCC1 ZINC001370682710 1135492013 /nfs/dbraw/zinc/49/20/13/1135492013.db2.gz QZUOCRHQXGFKBJ-BXUZGUMPSA-N -1 1 337.376 -0.191 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@H]1C ZINC001370814577 1135655311 /nfs/dbraw/zinc/65/53/11/1135655311.db2.gz DJQZAYJEOLLKEJ-CMPLNLGQSA-N -1 1 334.376 -0.015 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@H]1C ZINC001370814590 1135655398 /nfs/dbraw/zinc/65/53/98/1135655398.db2.gz DJQZAYJEOLLKEJ-JQWIXIFHSA-N -1 1 334.376 -0.015 20 0 EBADMM CCn1cc(C(=O)N(C)C[C@H](O)CNC(=O)c2ncccc2[O-])nn1 ZINC001371029054 1135794320 /nfs/dbraw/zinc/79/43/20/1135794320.db2.gz CPCBRTIVSGLRPP-SNVBAGLBSA-N -1 1 348.363 -0.739 20 0 EBADMM CO[C@H](C)C(=O)N(C)C1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001371181530 1135858186 /nfs/dbraw/zinc/85/81/86/1135858186.db2.gz XBXADSLJGSEBBD-XNWIYYODSA-N -1 1 348.363 -0.828 20 0 EBADMM NC(=O)NCCC(=O)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001371292078 1135879885 /nfs/dbraw/zinc/87/98/85/1135879885.db2.gz GEPLVXRLFBDHMZ-UHFFFAOYSA-N -1 1 347.375 -0.480 20 0 EBADMM CCO[C@H](CC)C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001371522038 1135947869 /nfs/dbraw/zinc/94/78/69/1135947869.db2.gz DYTZDBPOZJWUAB-KGYLQXTDSA-N -1 1 337.376 -0.096 20 0 EBADMM COC(=O)NCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@@H]1C ZINC001371789021 1136021351 /nfs/dbraw/zinc/02/13/51/1136021351.db2.gz GYLOKJMCRSZNPV-ZJUUUORDSA-N -1 1 336.348 -0.138 20 0 EBADMM C[C@@H](CC(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1)NC(N)=O ZINC001372176018 1136128129 /nfs/dbraw/zinc/12/81/29/1136128129.db2.gz JMIIAYVOHSICFO-QWRGUYRKSA-N -1 1 349.391 -0.045 20 0 EBADMM Cc1nn(C)cc1C(=O)NCCN(Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001372775990 1136289084 /nfs/dbraw/zinc/28/90/84/1136289084.db2.gz IIMUCGULTJGAQX-UHFFFAOYSA-N -1 1 333.396 -0.455 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)CCc1cnn(C)n1 ZINC001372837970 1136300972 /nfs/dbraw/zinc/30/09/72/1136300972.db2.gz VIRNSMMGFIAWOT-JTQLQIEISA-N -1 1 332.364 -0.217 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CCNC(=O)CC1 ZINC001372838676 1136301573 /nfs/dbraw/zinc/30/15/73/1136301573.db2.gz WNOSXOGSRGKLII-GHMZBOCLSA-N -1 1 334.376 -0.062 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CCN(C)C(=O)C1)NC(=O)c1ncccc1[O-] ZINC001372959908 1136338833 /nfs/dbraw/zinc/33/88/33/1136338833.db2.gz IUSQNVZNUXRJEL-QWRGUYRKSA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CCCN(C(N)=O)C1)NC(=O)c1ncccc1[O-] ZINC001372968239 1136341699 /nfs/dbraw/zinc/34/16/99/1136341699.db2.gz ITEYZQWVTQPYKF-MNOVXSKESA-N -1 1 349.391 -0.188 20 0 EBADMM C[C@@H](CNC(=O)[C@@H]1CCCN(C(N)=O)C1)NC(=O)c1ncccc1[O-] ZINC001372968245 1136341846 /nfs/dbraw/zinc/34/18/46/1136341846.db2.gz ITEYZQWVTQPYKF-WDEREUQCSA-N -1 1 349.391 -0.188 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H](C)NC(=O)[C@@H]1CC1(F)F ZINC001372984779 1136346804 /nfs/dbraw/zinc/34/68/04/1136346804.db2.gz CTVMYBOPQOXODQ-WDSKDSINSA-N -1 1 346.290 -0.214 20 0 EBADMM CNC(=O)NC(=O)CN1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001373369460 1136436959 /nfs/dbraw/zinc/43/69/59/1136436959.db2.gz INBYHYVPDSRFNW-SNVBAGLBSA-N -1 1 335.364 -0.563 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCN(C)C(=O)[C@@H]1CC12CC2 ZINC001373572469 1136499558 /nfs/dbraw/zinc/49/95/58/1136499558.db2.gz GSZLSXGEHXDXQN-QMMMGPOBSA-N -1 1 336.348 -0.115 20 0 EBADMM CCC(=O)N1CC[C@H](C(=O)NC[C@@H](CC)NCc2n[nH]c(=O)[n-]2)C1 ZINC001373809827 1136565226 /nfs/dbraw/zinc/56/52/26/1136565226.db2.gz BFALKQXUDCWHHL-WDEREUQCSA-N -1 1 338.412 -0.247 20 0 EBADMM CC(=O)CCCC(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001374122648 1136627560 /nfs/dbraw/zinc/62/75/60/1136627560.db2.gz UDHODCWPEBEOFH-GFCCVEGCSA-N -1 1 339.396 -0.815 20 0 EBADMM CCn1nncc1C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001374159088 1136641578 /nfs/dbraw/zinc/64/15/78/1136641578.db2.gz UYRPLAMQDNASOE-UHFFFAOYSA-N -1 1 334.384 -0.046 20 0 EBADMM O=C(NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1)[C@H]1CCCC(=O)N1 ZINC001374163199 1136642100 /nfs/dbraw/zinc/64/21/00/1136642100.db2.gz ZAXSVOLBUYJBIZ-SNVBAGLBSA-N -1 1 336.396 -0.302 20 0 EBADMM C[C@@H](CNCc1cnns1)N(C)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001374256687 1136660174 /nfs/dbraw/zinc/66/01/74/1136660174.db2.gz TZSOVAWTJYCTSK-LURJTMIESA-N -1 1 325.354 -0.615 20 0 EBADMM CC(C)[C@H](CNC(=O)[C@H]1CCc2nncn2C1)NCc1n[nH]c(=O)[n-]1 ZINC001374449238 1136690649 /nfs/dbraw/zinc/69/06/49/1136690649.db2.gz DUCKKAMEYGOLBU-QWRGUYRKSA-N -1 1 348.411 -0.405 20 0 EBADMM CN(CCc1ccns1)CCN(C)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001374513351 1136706484 /nfs/dbraw/zinc/70/64/84/1136706484.db2.gz ZIHMERBMPAAUPH-UHFFFAOYSA-N -1 1 338.393 -0.014 20 0 EBADMM C[C@H](NC(N)=O)C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001374523481 1136708771 /nfs/dbraw/zinc/70/87/71/1136708771.db2.gz IHFCDXXWSHHXOD-UWVGGRQHSA-N -1 1 335.364 -0.435 20 0 EBADMM CC(=O)N[C@H](C(=O)N[C@H](C)CNCc1n[nH]c(=O)[n-]1)C1CCCC1 ZINC001374783356 1136831984 /nfs/dbraw/zinc/83/19/84/1136831984.db2.gz GRRBRHITARMWKJ-RNCFNFMXSA-N -1 1 338.412 -0.201 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CC1(CS(C)(=O)=O)CC1 ZINC001374887387 1136864751 /nfs/dbraw/zinc/86/47/51/1136864751.db2.gz WAOAWPOYSOIWGL-VIFPVBQESA-N -1 1 345.425 -0.681 20 0 EBADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C[C@@H]1CCNC1=O ZINC001375062158 1136904679 /nfs/dbraw/zinc/90/46/79/1136904679.db2.gz XAJAZLHZFIKFCZ-WDEREUQCSA-N -1 1 334.376 -0.062 20 0 EBADMM Cc1cc(C)n(CC(=O)N[C@H](C)CN(C)Cc2nc(=O)n(C)[n-]2)n1 ZINC001375073914 1136908396 /nfs/dbraw/zinc/90/83/96/1136908396.db2.gz QBKZSSRMTWQICB-LLVKDONJSA-N -1 1 335.412 -0.442 20 0 EBADMM CC[C@@H](CNC(=O)C[C@@H]1CCNC1=O)NC(=O)c1ncccc1[O-] ZINC001375104424 1136914823 /nfs/dbraw/zinc/91/48/23/1136914823.db2.gz CKECJINBXUJBNM-QWRGUYRKSA-N -1 1 334.376 -0.062 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2C[C@H](C(N)=O)C2)c(C(F)(F)F)n1 ZINC000625427384 376198049 /nfs/dbraw/zinc/19/80/49/376198049.db2.gz WSHYLBQOZGTBCC-IZLXSQMJSA-N -1 1 326.300 -0.019 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H](C)N2CCOCC2)o1 ZINC000030682729 376033504 /nfs/dbraw/zinc/03/35/04/376033504.db2.gz VCLOCDWTNCXBQY-JTQLQIEISA-N -1 1 331.394 -0.362 20 0 EBADMM Cn1c(=O)[nH]c(=O)c2c(C(=O)Nc3nn[n-]n3)cc(C3CC3)nc21 ZINC000605530440 376527760 /nfs/dbraw/zinc/52/77/60/376527760.db2.gz UDZFMKZQTDXBLD-UHFFFAOYSA-N -1 1 328.292 -0.323 20 0 EBADMM CCOC(=O)N1CCC[C@@H](C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)C1 ZINC000370859329 376592437 /nfs/dbraw/zinc/59/24/37/376592437.db2.gz GGKRUXRLDYXVKH-GHMZBOCLSA-N -1 1 338.368 -0.032 20 0 EBADMM C[C@@H](CN(C)C(=O)CNS(=O)(=O)c1ccccc1)c1nn[n-]n1 ZINC000566277211 376634914 /nfs/dbraw/zinc/63/49/14/376634914.db2.gz AANYPMIFIQRQPW-JTQLQIEISA-N -1 1 338.393 -0.260 20 0 EBADMM CC1(C)CC(NC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C1 ZINC000620741779 376673374 /nfs/dbraw/zinc/67/33/74/376673374.db2.gz DZFSMYBNFKOJFJ-UHFFFAOYSA-N -1 1 329.378 -0.038 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1CC(=O)N(C)[C@H]1c1ccnn1C)c1nn[n-]n1 ZINC000560370967 376686128 /nfs/dbraw/zinc/68/61/28/376686128.db2.gz FEFIYRRFOVMTAI-GIPNMCIBSA-N -1 1 346.395 -0.285 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)CN2CCc3ccccc3C2=O)n1 ZINC000448114038 376899014 /nfs/dbraw/zinc/89/90/14/376899014.db2.gz PERVZXAFLKKMFV-UHFFFAOYSA-N -1 1 349.372 -0.155 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)CN2CCc3ccccc3C2=O)[n-]1 ZINC000448114038 376899022 /nfs/dbraw/zinc/89/90/22/376899022.db2.gz PERVZXAFLKKMFV-UHFFFAOYSA-N -1 1 349.372 -0.155 20 0 EBADMM Cn1[n-]c(CN2CCN(CC(=O)Nc3ccccc3)CC2)nc1=O ZINC000562881601 377012062 /nfs/dbraw/zinc/01/20/62/377012062.db2.gz JUVQLPYSAKIRIT-UHFFFAOYSA-N -1 1 330.392 -0.135 20 0 EBADMM O=C(CN1CCCN(C(=O)CCCc2nn[n-]n2)CC1)N1CCCC1 ZINC000635556298 377026567 /nfs/dbraw/zinc/02/65/67/377026567.db2.gz MVMUTHGLIGSCRI-UHFFFAOYSA-N -1 1 349.439 -0.321 20 0 EBADMM C[C@H]1CN(S(=O)(=O)N[C@H](Cc2cnc[nH]2)C(=O)[O-])C[C@H](C)O1 ZINC000318550836 377292288 /nfs/dbraw/zinc/29/22/88/377292288.db2.gz HPXXUXSYMUDJRN-ATZCPNFKSA-N -1 1 332.382 -0.651 20 0 EBADMM C[C@@H](CC(F)(F)F)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000362093424 377432151 /nfs/dbraw/zinc/43/21/51/377432151.db2.gz BXIDLOOMVRCBCE-LURJTMIESA-N -1 1 329.300 -0.297 20 0 EBADMM COC(=O)c1c(F)ccc(NC(=O)C(=O)NCc2nn[n-]n2)c1C ZINC000589400609 377411786 /nfs/dbraw/zinc/41/17/86/377411786.db2.gz FKUCQJLBMAGYRF-UHFFFAOYSA-N -1 1 336.283 -0.311 20 0 EBADMM CCOC(=O)c1c[n-]c(NC(=O)CN(C)[C@H]2CCS(=O)(=O)C2)n1 ZINC000590356747 377479881 /nfs/dbraw/zinc/47/98/81/377479881.db2.gz OTAPQHGUMXPJPQ-VIFPVBQESA-N -1 1 344.393 -0.356 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N2CC(CC3CC3)C2)o1 ZINC000623041259 377493692 /nfs/dbraw/zinc/49/36/92/377493692.db2.gz CZQZISBVHODMEG-UHFFFAOYSA-N -1 1 341.389 -0.085 20 0 EBADMM COC(=O)C1(CS(=O)(=O)[N-][C@]2(C(=O)OC)CCSC2)CC1 ZINC000601380348 377553070 /nfs/dbraw/zinc/55/30/70/377553070.db2.gz UGVBQVICVWALGW-GFCCVEGCSA-N -1 1 337.419 -0.092 20 0 EBADMM COC(=O)[C@@]1([N-]S(=O)(=O)CCCS(C)(=O)=O)CCSC1 ZINC000340071558 291677215 /nfs/dbraw/zinc/67/72/15/291677215.db2.gz SIWCOZPQPOJJRP-SNVBAGLBSA-N -1 1 345.464 -0.611 20 0 EBADMM COC(=O)[C@@](C)(CO)[N-]S(=O)(=O)N1CCc2ccccc2C1 ZINC000601436601 377573750 /nfs/dbraw/zinc/57/37/50/377573750.db2.gz JANZRKBAGAXPQK-CQSZACIVSA-N -1 1 328.390 -0.197 20 0 EBADMM O=C([N-]OC[C@H]1CCOC1)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000364542273 377623454 /nfs/dbraw/zinc/62/34/54/377623454.db2.gz JKLCMVWSFRMZEV-KBPBESRZSA-N -1 1 341.408 -0.749 20 0 EBADMM COC(=O)[C@H](CO)[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000592043576 377781173 /nfs/dbraw/zinc/78/11/73/377781173.db2.gz PDBPXBXLLYBIGC-ZETCQYMHSA-N -1 1 328.268 -0.087 20 0 EBADMM COC(=O)[C@H]1C[C@@H](O)CN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000592462899 377832879 /nfs/dbraw/zinc/83/28/79/377832879.db2.gz CFWHMHAJVRVIRH-VHSXEESVSA-N -1 1 331.328 -0.081 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)[C@@H](C)C(=O)N(C)C)c1ccnn1C ZINC000592096254 377792123 /nfs/dbraw/zinc/79/21/23/377792123.db2.gz JPNHUGMBKDHFNE-WCBMZHEXSA-N -1 1 332.382 -0.970 20 0 EBADMM COC(=O)[C@H]1CNCCCN1C(=O)c1c[nH]c2nc(=O)[n-]c(=O)c-2c1 ZINC000592963636 377866321 /nfs/dbraw/zinc/86/63/21/377866321.db2.gz LMSIPWSBQRJMED-SNVBAGLBSA-N -1 1 347.331 -0.587 20 0 EBADMM Cc1c([C@@H](C)[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)cnn1C ZINC000026829801 378135543 /nfs/dbraw/zinc/13/55/43/378135543.db2.gz XFXCHWWCYVDMTM-MRVPVSSYSA-N -1 1 341.393 -0.835 20 0 EBADMM COC(=O)C[C@H](O)C[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000599463041 378245659 /nfs/dbraw/zinc/24/56/59/378245659.db2.gz UQUYGUFEEFQOFB-QMMMGPOBSA-N -1 1 335.334 -0.423 20 0 EBADMM COC(=O)[C@@H]([N-]S(=O)(=O)[C@H]1C[C@@H]2CC[C@H]1O2)c1ccnn1C ZINC000603365770 378401010 /nfs/dbraw/zinc/40/10/10/378401010.db2.gz HOFLFBXVOVSJNW-IELRGYKMSA-N -1 1 329.378 -0.127 20 0 EBADMM NC(=O)c1cc(C[N-]S(=O)(=O)c2cccc3c2OCO3)on1 ZINC000337766108 378694027 /nfs/dbraw/zinc/69/40/27/378694027.db2.gz MSWIIVFLGPLUMR-UHFFFAOYSA-N -1 1 325.302 -0.019 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@H]1CC(=O)N2CCCC[C@@H]12 ZINC000338193928 378744207 /nfs/dbraw/zinc/74/42/07/378744207.db2.gz KYNSHDPQLVWTOS-QWRGUYRKSA-N -1 1 332.360 -0.213 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@@H]1CN1CCOCC1 ZINC000337918028 378707166 /nfs/dbraw/zinc/70/71/66/378707166.db2.gz WGHBLEUNWLJTST-CYBMUJFWSA-N -1 1 348.403 -0.160 20 0 EBADMM CCS(=O)(=O)C[C@@H](C)N(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000337920568 378707539 /nfs/dbraw/zinc/70/75/39/378707539.db2.gz YPTLGHATPVRERU-SNVBAGLBSA-N -1 1 343.405 -0.201 20 0 EBADMM CC(=O)c1ccc(S(=O)(=O)N[C@H](C)C(=O)Nc2nnn[n-]2)cc1 ZINC000338570538 378792608 /nfs/dbraw/zinc/79/26/08/378792608.db2.gz DJVPLALVRMYAHP-SSDOTTSWSA-N -1 1 338.349 -0.292 20 0 EBADMM CC(=O)c1ccc(S(=O)(=O)N[C@H](C)C(=O)Nc2nn[n-]n2)cc1 ZINC000338570538 378792610 /nfs/dbraw/zinc/79/26/10/378792610.db2.gz DJVPLALVRMYAHP-SSDOTTSWSA-N -1 1 338.349 -0.292 20 0 EBADMM Cn1nnnc1-c1cccc(NC(=O)c2cc(=O)n3[n-]cnc3n2)c1 ZINC000338718953 378806708 /nfs/dbraw/zinc/80/67/08/378806708.db2.gz BEBCJFYJFNCFKI-UHFFFAOYSA-N -1 1 337.303 -0.140 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H](CC(=O)OC)C(=O)OC)co1 ZINC000339366551 378911355 /nfs/dbraw/zinc/91/13/55/378911355.db2.gz OJIKIVQFYWCNRP-QMMMGPOBSA-N -1 1 348.333 -0.978 20 0 EBADMM COCC1(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCCC1 ZINC000452049818 379079520 /nfs/dbraw/zinc/07/95/20/379079520.db2.gz TXGRGKURFRFILQ-UHFFFAOYSA-N -1 1 345.421 -0.431 20 0 EBADMM CCO[C@@H]1CCCC[C@H]1[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000452069177 379080986 /nfs/dbraw/zinc/08/09/86/379080986.db2.gz PJUMDAMQYGMQRJ-GHMZBOCLSA-N -1 1 345.421 -0.290 20 0 EBADMM CCOC(=O)COCCNC(=O)CNC(=O)c1ncccc1[O-] ZINC000452693977 379094905 /nfs/dbraw/zinc/09/49/05/379094905.db2.gz AFDRECZSCLNJEB-UHFFFAOYSA-N -1 1 325.321 -0.787 20 0 EBADMM O=C([N-]OCc1cccnc1)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000269508652 379377194 /nfs/dbraw/zinc/37/71/94/379377194.db2.gz GTPLDHQXCQGROY-HNNXBMFYSA-N -1 1 348.403 -0.190 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CC(=O)N(C2CC2)C1 ZINC000269818138 379411126 /nfs/dbraw/zinc/41/11/26/379411126.db2.gz NKUOYVIUGARONZ-QMMMGPOBSA-N -1 1 342.377 -0.372 20 0 EBADMM COC[C@@H](CS(=O)(=O)[N-][C@H]1CN(c2ccccc2)C1=O)OC ZINC000641438439 379421770 /nfs/dbraw/zinc/42/17/70/379421770.db2.gz JMJSZXLKTAASTB-STQMWFEESA-N -1 1 328.390 -0.017 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H](O)c2cnn(C)c2)c1 ZINC000270016861 379425348 /nfs/dbraw/zinc/42/53/48/379425348.db2.gz NLMPXJRYNAPDSH-JTQLQIEISA-N -1 1 329.334 -0.188 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CCN(C(C)C)C2=O)co1 ZINC000270031826 379427977 /nfs/dbraw/zinc/42/79/77/379427977.db2.gz GZXHCMAHADYWMJ-SNVBAGLBSA-N -1 1 329.378 -0.073 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@H]1[N-]S(=O)(=O)[C@@H]1C[C@@H]2CC[C@H]1O2 ZINC000641605357 379453653 /nfs/dbraw/zinc/45/36/53/379453653.db2.gz ADCSVSWCKFJDFX-VPJKUYQRSA-N -1 1 325.408 -0.962 20 0 EBADMM O=C([C@H]1CN(Cc2nc(=O)[n-][nH]2)c2ccccc2O1)N1CCOCC1 ZINC000271213184 379508468 /nfs/dbraw/zinc/50/84/68/379508468.db2.gz WCGZZRNFLFTJKN-CYBMUJFWSA-N -1 1 345.359 -0.276 20 0 EBADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-]CC(=O)N1CCOCC1 ZINC000271958980 379553881 /nfs/dbraw/zinc/55/38/81/379553881.db2.gz LEZYHYTUCXBDNT-UHFFFAOYSA-N -1 1 336.801 -0.481 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCC[C@H]2CCCCO2)c(=O)n(C)c1=O ZINC000273863122 379664481 /nfs/dbraw/zinc/66/44/81/379664481.db2.gz UZBJSRHIKUNMJD-LLVKDONJSA-N -1 1 345.421 -0.288 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)N[C@H](Cc2cnc[nH]2)C(=O)[O-])c1 ZINC000275007055 379730854 /nfs/dbraw/zinc/73/08/54/379730854.db2.gz BIALUNRULFAZBV-SECBINFHSA-N -1 1 343.317 -0.237 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCN(C[C@H](C)O)CC2)co1 ZINC000275141216 379737607 /nfs/dbraw/zinc/73/76/07/379737607.db2.gz OHRXZQSVSNEPRQ-JTQLQIEISA-N -1 1 331.394 -0.674 20 0 EBADMM CCS(=O)(=O)N[C@H](C)C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614389289 379925632 /nfs/dbraw/zinc/92/56/32/379925632.db2.gz KYJNSFFQSCCMIH-BDAKNGLRSA-N -1 1 332.382 -0.191 20 0 EBADMM CN1NN=C2C1=NCC[C@H]2C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614474830 379933415 /nfs/dbraw/zinc/93/34/15/379933415.db2.gz YTTGPFGTEAQGTH-SECBINFHSA-N -1 1 333.352 -0.294 20 0 EBADMM O=C(NC[C@@H]1CN2CCN1CCC2)c1c[nH]c2nc(=O)[n-]c(=O)c-2c1 ZINC000614512322 379937252 /nfs/dbraw/zinc/93/72/52/379937252.db2.gz PVIUVCVDWIPKQI-LLVKDONJSA-N -1 1 344.375 -0.444 20 0 EBADMM CCCNC(=O)CN(C)C(=O)C[NH+]1CCC(c2nc(=O)[nH][nH]2)CC1 ZINC000280974692 380001909 /nfs/dbraw/zinc/00/19/09/380001909.db2.gz KUJIPTHYKUVFAU-UHFFFAOYSA-N -1 1 338.412 -0.738 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC(=O)N(C)[C@@H](C)C1 ZINC000282350964 380071774 /nfs/dbraw/zinc/07/17/74/380071774.db2.gz LSUWDLXRMKXOKP-VIFPVBQESA-N -1 1 331.376 -0.129 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC(=O)N(C)[C@H](C)C1 ZINC000282350957 380071949 /nfs/dbraw/zinc/07/19/49/380071949.db2.gz LSUWDLXRMKXOKP-SECBINFHSA-N -1 1 331.376 -0.129 20 0 EBADMM COCCN1CN(CC(=O)[N-]C(=O)c2ccc(OC)cc2)CC1=O ZINC000282344102 380072081 /nfs/dbraw/zinc/07/20/81/380072081.db2.gz AFBSORBYKVXHLG-UHFFFAOYSA-N -1 1 335.360 -0.300 20 0 EBADMM CCN(CC(F)F)C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000544535666 380075571 /nfs/dbraw/zinc/07/55/71/380075571.db2.gz OKDOMOBKNRQPNP-UHFFFAOYSA-N -1 1 339.320 -0.230 20 0 EBADMM Cn1cc(C(C)(C)[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)cn1 ZINC000547410316 380136003 /nfs/dbraw/zinc/13/60/03/380136003.db2.gz KDJHCUNOHRPMIQ-UHFFFAOYSA-N -1 1 341.393 -0.969 20 0 EBADMM CCOC(=O)CCCS(=O)(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000548203333 380188409 /nfs/dbraw/zinc/18/84/09/380188409.db2.gz ZETVFPLSESJSLO-JTQLQIEISA-N -1 1 333.366 -0.332 20 0 EBADMM CCOC(=O)CCS(=O)(=O)N[C@H](CN1CCCCC1)C(=O)[O-] ZINC000548211250 380190770 /nfs/dbraw/zinc/19/07/70/380190770.db2.gz AZBBEOVDIMVHOW-LLVKDONJSA-N -1 1 336.410 -0.202 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CCCS2(=O)=O)o1 ZINC000360107551 380258205 /nfs/dbraw/zinc/25/82/05/380258205.db2.gz KYXLEUPNCIWLLS-MRVPVSSYSA-N -1 1 337.375 -0.078 20 0 EBADMM CCN1CCN(c2ccc(CNC(=O)c3n[nH]c(=O)[n-]3)cn2)CC1 ZINC000080353723 380227011 /nfs/dbraw/zinc/22/70/11/380227011.db2.gz VSELATHHMOJXSP-UHFFFAOYSA-N -1 1 331.380 -0.435 20 0 EBADMM COC(=O)C(C)(C)CS(=O)(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000548767954 380229032 /nfs/dbraw/zinc/22/90/32/380229032.db2.gz KTJXLKTVHIAAGK-VIFPVBQESA-N -1 1 333.366 -0.476 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@]2(O)C[NH+]3CCC2CC3)co1 ZINC000552210825 380291831 /nfs/dbraw/zinc/29/18/31/380291831.db2.gz XENSBQXNMBXUAS-CQSZACIVSA-N -1 1 343.405 -0.626 20 0 EBADMM CC(C)N1CCN(C(=O)C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CC1=O ZINC000287945668 380383863 /nfs/dbraw/zinc/38/38/63/380383863.db2.gz HXAVWEQOTVZVJO-UNOMPAQXSA-N -1 1 344.375 -0.641 20 0 EBADMM CC(C)N1CCN(C(=O)C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CC1 ZINC000287367019 380346711 /nfs/dbraw/zinc/34/67/11/380346711.db2.gz GXGONTLLFCJRMW-UYRXBGFRSA-N -1 1 330.392 -0.167 20 0 EBADMM NC(=O)c1[nH]nnc1NC(=O)c1ccc(=O)n(-c2ccccc2)n1 ZINC000362420097 380402430 /nfs/dbraw/zinc/40/24/30/380402430.db2.gz FBLOSFKZKYUYPD-UHFFFAOYSA-N -1 1 325.288 -0.298 20 0 EBADMM Cn1[n-]cc2/c(=N\C(=O)C(=O)N[C@@H]3CC(=O)N(C4CC4)C3)ccnc1-2 ZINC000288720492 380417160 /nfs/dbraw/zinc/41/71/60/380417160.db2.gz IWZFDYXRBHVCAM-TUOMYPBUSA-N -1 1 342.359 -0.840 20 0 EBADMM O=C([C@H]1COCCN1C1CCCC1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000369123888 380543212 /nfs/dbraw/zinc/54/32/12/380543212.db2.gz BSXWLXMUOZWWTN-CHWSQXEVSA-N -1 1 336.396 -0.257 20 0 EBADMM CC(C)(C)[C@@H](NS(C)(=O)=O)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000372070752 380686960 /nfs/dbraw/zinc/68/69/60/380686960.db2.gz DNMRLZMKAJTDDD-IUCAKERBSA-N -1 1 346.413 -0.937 20 0 EBADMM CNC(=O)Cn1nc([N-]S(=O)(=O)N2C[C@H](C)OC[C@H]2C)cc1C ZINC000340939965 380649361 /nfs/dbraw/zinc/64/93/61/380649361.db2.gz OFJNQOMDETZMHP-MNOVXSKESA-N -1 1 345.425 -0.297 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCc1ncn(C)n1 ZINC000336855532 380745237 /nfs/dbraw/zinc/74/52/37/380745237.db2.gz XDHHTEYPHZNAJU-UHFFFAOYSA-N -1 1 328.354 -0.764 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CS(=O)(=O)C[C@H]2C)o1 ZINC000341965682 380747841 /nfs/dbraw/zinc/74/78/41/380747841.db2.gz LYDBBDANHBRZTQ-HTQZYQBOSA-N -1 1 337.375 -0.222 20 0 EBADMM CC[C@@](COC)([N-]S(=O)(=O)N(C)CCC(=O)OC)C(=O)OC ZINC000416515650 380783458 /nfs/dbraw/zinc/78/34/58/380783458.db2.gz MVFKMNYZXBMODG-LBPRGKRZSA-N -1 1 340.398 -0.716 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CC(=O)N([C@H]3C[C@@H]3C)C2)co1 ZINC000374334956 380789191 /nfs/dbraw/zinc/78/91/91/380789191.db2.gz YFTPWIIQAUCZNQ-GDPRMGEGSA-N -1 1 341.389 -0.073 20 0 EBADMM C[C@@H](C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)CC(F)(F)F ZINC000416608731 380790604 /nfs/dbraw/zinc/79/06/04/380790604.db2.gz SECHSONWZGCBEM-SSDOTTSWSA-N -1 1 343.327 -0.049 20 0 EBADMM CCCc1ncc(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)o1 ZINC000577468340 380824551 /nfs/dbraw/zinc/82/45/51/380824551.db2.gz DIYWMJIDXSGRBO-UHFFFAOYSA-N -1 1 342.377 -0.497 20 0 EBADMM CC1=C(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)[C@H](C)n2ncnc2N1C ZINC000375996088 380883542 /nfs/dbraw/zinc/88/35/42/380883542.db2.gz XCEPWOPTKNQHPL-UWVGGRQHSA-N -1 1 345.367 -0.324 20 0 EBADMM C[C@H](O)CNS(=O)(=O)CCCS(=O)(=O)[N-]c1ccccn1 ZINC000349608912 380892963 /nfs/dbraw/zinc/89/29/63/380892963.db2.gz YXEDTAKGZPDKSM-JTQLQIEISA-N -1 1 337.423 -0.486 20 0 EBADMM CCN1CCO[C@@H](C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])C1 ZINC000378416323 381028704 /nfs/dbraw/zinc/02/87/04/381028704.db2.gz RJJDWCRGPDYPNQ-GFCCVEGCSA-N -1 1 329.378 -0.301 20 0 EBADMM C[C@@H](O)CN(C)S(=O)(=O)CCCS(=O)(=O)[N-]c1c[nH]cn1 ZINC000579613682 381002570 /nfs/dbraw/zinc/00/25/70/381002570.db2.gz ZGKMOPFFNKJDLR-SECBINFHSA-N -1 1 340.427 -0.816 20 0 EBADMM CC(C)[C@H](O)CCNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000346369728 381058391 /nfs/dbraw/zinc/05/83/91/381058391.db2.gz BPINEQUFWKBHRL-SECBINFHSA-N -1 1 347.393 -0.820 20 0 EBADMM COC(=O)c1c(C)c(C)sc1NC(=O)C(=O)NCc1nn[n-]n1 ZINC000610379275 381122261 /nfs/dbraw/zinc/12/22/61/381122261.db2.gz GKZUGQQFUYPOML-UHFFFAOYSA-N -1 1 338.349 -0.080 20 0 EBADMM CCc1nc(CNC(=O)c2cc(S(N)(=O)=O)ccc2[O-])n[nH]1 ZINC000615450309 381108269 /nfs/dbraw/zinc/10/82/69/381108269.db2.gz HMUDZCGALUHNQF-UHFFFAOYSA-N -1 1 325.350 -0.350 20 0 EBADMM Cc1ncc(S(N)(=O)=O)cc1C(=O)NC(C)(C)c1nn[n-]n1 ZINC000582794679 381076906 /nfs/dbraw/zinc/07/69/06/381076906.db2.gz GQSNLYSRCUVZGU-UHFFFAOYSA-N -1 1 325.354 -0.784 20 0 EBADMM Cc1cccc([N-]S(=O)(=O)CCCS(=O)(=O)NCCO)n1 ZINC000349609222 381150942 /nfs/dbraw/zinc/15/09/42/381150942.db2.gz ZWPCTBVUGWQRAR-UHFFFAOYSA-N -1 1 337.423 -0.566 20 0 EBADMM Cc1ccnc([N-]S(=O)(=O)CCCS(=O)(=O)NCCO)c1 ZINC000349607838 381152367 /nfs/dbraw/zinc/15/23/67/381152367.db2.gz VLMLXQNJMQLACT-UHFFFAOYSA-N -1 1 337.423 -0.566 20 0 EBADMM O=C(CCNS(=O)(=O)c1ccc(F)cc1)NN1CC(=O)[N-]C1=O ZINC000029019522 381169869 /nfs/dbraw/zinc/16/98/69/381169869.db2.gz SJEMRRYPBFJCTB-UHFFFAOYSA-N -1 1 344.324 -0.923 20 0 EBADMM C[C@H](C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])N1CCOCC1 ZINC000347726580 381253926 /nfs/dbraw/zinc/25/39/26/381253926.db2.gz WDSBUNDIYAQJJG-SECBINFHSA-N -1 1 329.378 -0.301 20 0 EBADMM CCCS(=O)(=O)N1CCC[C@H]1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348298701 381358877 /nfs/dbraw/zinc/35/88/77/381358877.db2.gz SQBMNLIEZIWAPT-VIFPVBQESA-N -1 1 328.398 -0.491 20 0 EBADMM COC(=O)C1(CS(=O)(=O)[N-][C@@H]2CC(=O)N(C)C2=O)CCCC1 ZINC000349933204 381494845 /nfs/dbraw/zinc/49/48/45/381494845.db2.gz QDSOZZMTGYETFM-SECBINFHSA-N -1 1 332.378 -0.604 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N2CCCCCO2)o1 ZINC000351881729 381691738 /nfs/dbraw/zinc/69/17/38/381691738.db2.gz NNJNYRGGBITAPD-UHFFFAOYSA-N -1 1 331.350 -0.399 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@@H]2C(=O)N(C)C)co1 ZINC000332645651 381831663 /nfs/dbraw/zinc/83/16/63/381831663.db2.gz LFXHENHKFMZNFF-SNVBAGLBSA-N -1 1 329.378 -0.120 20 0 EBADMM CCC1(C)CN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C1 ZINC000428832784 381969432 /nfs/dbraw/zinc/96/94/32/381969432.db2.gz XEZRZMOWSWKNHU-UHFFFAOYSA-N -1 1 329.378 -0.085 20 0 EBADMM CC(C)(CCS(C)(=O)=O)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000352551414 381999793 /nfs/dbraw/zinc/99/97/93/381999793.db2.gz XOEXUBHQBIMLRB-UHFFFAOYSA-N -1 1 343.405 -0.154 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NC(C)(C)CS(C)(=O)=O)co1 ZINC000352600380 382009111 /nfs/dbraw/zinc/00/91/11/382009111.db2.gz PWSOIJUNXCDSIK-UHFFFAOYSA-N -1 1 338.407 -0.259 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC[C@]1(O)CCOC1 ZINC000403196559 382015464 /nfs/dbraw/zinc/01/54/64/382015464.db2.gz YBYPVKFRSJEGHY-LBPRGKRZSA-N -1 1 333.366 -0.594 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]c2ccc(-n3cnnn3)cc2)cc1C(N)=O ZINC000352719397 382024357 /nfs/dbraw/zinc/02/43/57/382024357.db2.gz JUQJMZJQYKXRFE-UHFFFAOYSA-N -1 1 347.360 -0.100 20 0 EBADMM COc1cccc(COC[C@H](O)C[N@H+]2CCN3C(=O)NC(=O)[C@@H]3C2)c1 ZINC000352772216 382030858 /nfs/dbraw/zinc/03/08/58/382030858.db2.gz QEVPXIJQZVTCMY-HIFRSBDPSA-N -1 1 349.387 -0.191 20 0 EBADMM COC(=O)c1cc2cc(NC(=O)C(=O)NCc3nn[n-]n3)ccc2o1 ZINC000609952971 382092431 /nfs/dbraw/zinc/09/24/31/382092431.db2.gz OSGYTIQPHNPLGJ-UHFFFAOYSA-N -1 1 344.287 -0.013 20 0 EBADMM CCO[C@H]1C[C@@](CO)(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1(C)C ZINC000444586191 382151361 /nfs/dbraw/zinc/15/13/61/382151361.db2.gz LZZDZYXBUJDWMF-BONVTDFDSA-N -1 1 335.364 -0.287 20 0 EBADMM CN(C[C@H](O)CO)C(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000353963097 382186889 /nfs/dbraw/zinc/18/68/89/382186889.db2.gz NOQMHKYDVAAKFT-VIFPVBQESA-N -1 1 334.394 -0.218 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)C(=O)N1CCCC1 ZINC000322477759 285972081 /nfs/dbraw/zinc/97/20/81/285972081.db2.gz RRWLIHKWNKHJGN-VIFPVBQESA-N -1 1 344.393 -0.124 20 0 EBADMM CCN(C(=O)c1ccc(S(=O)(=O)[N-]C)o1)[C@@H]1CC(=O)NC1=O ZINC000299535954 155160265 /nfs/dbraw/zinc/16/02/65/155160265.db2.gz PFPLBTHUPKEMSS-SSDOTTSWSA-N -1 1 329.334 -0.935 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@@H](C(=O)NC)C2)co1 ZINC000330438093 155270289 /nfs/dbraw/zinc/27/02/89/155270289.db2.gz BRNIWTGXQALKAN-SECBINFHSA-N -1 1 329.378 -0.214 20 0 EBADMM CCC[C@H]1C(=O)NCCN1C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000330569538 155287596 /nfs/dbraw/zinc/28/75/96/155287596.db2.gz MDSKROFRQCVVEN-VIFPVBQESA-N -1 1 329.378 -0.072 20 0 EBADMM Cc1cnc([C@H](C)[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)s1 ZINC000175625945 154109763 /nfs/dbraw/zinc/10/97/63/154109763.db2.gz YQMPYNPBFBWFEU-QMMMGPOBSA-N -1 1 344.418 -0.112 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)[C@H](CO)SC ZINC000230193030 154167589 /nfs/dbraw/zinc/16/75/89/154167589.db2.gz UQDWPBBKMMXMJG-CBAPKCEASA-N -1 1 337.423 -0.023 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCCC[C@H]2CNC(N)=O)o1 ZINC000263742235 154262835 /nfs/dbraw/zinc/26/28/35/154262835.db2.gz RCBISDFSAYPXMG-VIFPVBQESA-N -1 1 344.393 -0.149 20 0 EBADMM O=C(CCCNC1=NS(=O)(=O)c2ccccc21)Nc1nnn[n-]1 ZINC000263981878 154270811 /nfs/dbraw/zinc/27/08/11/154270811.db2.gz BSVVYMIKELOQEF-UHFFFAOYSA-N -1 1 335.349 -0.343 20 0 EBADMM O=C(CCCNC1=NS(=O)(=O)c2ccccc21)Nc1nn[n-]n1 ZINC000263981878 154270815 /nfs/dbraw/zinc/27/08/15/154270815.db2.gz BSVVYMIKELOQEF-UHFFFAOYSA-N -1 1 335.349 -0.343 20 0 EBADMM COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)Nc2nnn[n-]2)cc1 ZINC000264011215 154271866 /nfs/dbraw/zinc/27/18/66/154271866.db2.gz LJMKBULDJFVEBB-ZETCQYMHSA-N -1 1 326.338 -0.486 20 0 EBADMM COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)Nc2nn[n-]n2)cc1 ZINC000264011215 154271867 /nfs/dbraw/zinc/27/18/67/154271867.db2.gz LJMKBULDJFVEBB-ZETCQYMHSA-N -1 1 326.338 -0.486 20 0 EBADMM CC(C)CNC(=O)N1CCC[C@@H](C(=O)NN2CC(=O)[N-]C2=O)C1 ZINC000266043377 154333955 /nfs/dbraw/zinc/33/39/55/154333955.db2.gz XPRSGSVXQAQWOY-SNVBAGLBSA-N -1 1 325.369 -0.353 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2[C@@H]3CCO[C@H]3C2(C)C)c(=O)n(C)c1=O ZINC000266030231 154334145 /nfs/dbraw/zinc/33/41/45/154334145.db2.gz SAENBNSVUREBQQ-JMJZKYOTSA-N -1 1 343.405 -0.824 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2C[C@H]2Cc2ccccc2)c(=O)n(C)c1=O ZINC000266186846 154340171 /nfs/dbraw/zinc/34/01/71/154340171.db2.gz MEHFFJKKEJGBQC-OLZOCXBDSA-N -1 1 349.412 -0.007 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@@H](CC(N)=O)C2)o1 ZINC000266881062 154358148 /nfs/dbraw/zinc/35/81/48/154358148.db2.gz AICDYXJGCJNKNF-VIFPVBQESA-N -1 1 329.378 -0.085 20 0 EBADMM O=C(CS(=O)(=O)c1nc[n-]n1)NCCOc1cccc(F)c1 ZINC000267934815 154396257 /nfs/dbraw/zinc/39/62/57/154396257.db2.gz XFRYJRGNNMDBST-UHFFFAOYSA-N -1 1 328.325 -0.087 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000290665236 155007903 /nfs/dbraw/zinc/00/79/03/155007903.db2.gz FZBGAPQLVMAEKQ-QMMMGPOBSA-N -1 1 338.411 -0.875 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@]2(O)CCOC2)c(C(F)(F)F)n1 ZINC000293580221 155048341 /nfs/dbraw/zinc/04/83/41/155048341.db2.gz TVPQCFPSAWTPMO-SECBINFHSA-N -1 1 329.300 -0.131 20 0 EBADMM NC(=O)c1ccc(OCC(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)cc1 ZINC000294417793 155061130 /nfs/dbraw/zinc/06/11/30/155061130.db2.gz OGSRFPSPJICLOM-NSHDSACASA-N -1 1 345.359 -0.018 20 0 EBADMM CC(=O)OCCCS(=O)(=O)N1CCC(c2nc(=O)[n-][nH]2)CC1 ZINC000294687714 155063534 /nfs/dbraw/zinc/06/35/34/155063534.db2.gz SYNXANKVQXFPPE-UHFFFAOYSA-N -1 1 332.382 -0.440 20 0 EBADMM CC[C@@H](C)[C@@H](C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)OC ZINC000295394322 155067321 /nfs/dbraw/zinc/06/73/21/155067321.db2.gz VBDWGQZGJNJBCZ-NXEZZACHSA-N -1 1 333.410 -0.577 20 0 EBADMM CC[C@@H](C)[C@H](C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)OC ZINC000295394331 155067713 /nfs/dbraw/zinc/06/77/13/155067713.db2.gz VBDWGQZGJNJBCZ-ZJUUUORDSA-N -1 1 333.410 -0.577 20 0 EBADMM C[C@@H]1C[C@H](N2CCOCC2)CN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000342279466 156023767 /nfs/dbraw/zinc/02/37/67/156023767.db2.gz GEXSUKLQHLTTEK-OLZOCXBDSA-N -1 1 348.403 -0.161 20 0 EBADMM CN(C)c1nccc(CNC(=O)CNC(=O)c2ncccc2[O-])n1 ZINC000343040374 156088259 /nfs/dbraw/zinc/08/82/59/156088259.db2.gz DJXRGZBZSVHCDT-UHFFFAOYSA-N -1 1 330.348 -0.311 20 0 EBADMM CNC(=O)Cn1cc(NC(=O)CNC(=O)c2ncccc2[O-])cn1 ZINC000343211264 156113467 /nfs/dbraw/zinc/11/34/67/156113467.db2.gz MMXZBKUPWHSEJO-UHFFFAOYSA-N -1 1 332.320 -0.902 20 0 EBADMM C[C@@H]1[C@@H](C)S(=O)(=O)CCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000343704302 156159398 /nfs/dbraw/zinc/15/93/98/156159398.db2.gz GWVJRAMGIDPOGI-NXEZZACHSA-N -1 1 341.389 -0.449 20 0 EBADMM COCCc1noc(CN(C)C(=O)CNC(=O)c2ncccc2[O-])n1 ZINC000343825964 156171374 /nfs/dbraw/zinc/17/13/74/156171374.db2.gz ISEZVIKGISXUKA-UHFFFAOYSA-N -1 1 349.347 -0.253 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])Nc1n[nH]c(SCCO)n1 ZINC000343853949 156173236 /nfs/dbraw/zinc/17/32/36/156173236.db2.gz UHDHAYBVTCEJOX-UHFFFAOYSA-N -1 1 338.349 -0.642 20 0 EBADMM Cc1oc(S(N)(=O)=O)cc1C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000344791468 156266174 /nfs/dbraw/zinc/26/61/74/156266174.db2.gz IMJCMQDNPBQQRV-UHFFFAOYSA-N -1 1 340.365 -0.052 20 0 EBADMM COC[C@@H](C)CNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000345112996 156302791 /nfs/dbraw/zinc/30/27/91/156302791.db2.gz NSQVBVNIQHDJNB-QMMMGPOBSA-N -1 1 333.366 -0.945 20 0 EBADMM Cc1[nH]c(=O)sc1S(=O)(=O)[N-]CCNC(=O)c1cncnc1 ZINC000345483714 156337201 /nfs/dbraw/zinc/33/72/01/156337201.db2.gz LNNANQUCTQOKOZ-UHFFFAOYSA-N -1 1 343.390 -0.345 20 0 EBADMM CC(C)SCCNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000345501128 156338548 /nfs/dbraw/zinc/33/85/48/156338548.db2.gz HAZQSIRSQSELFY-UHFFFAOYSA-N -1 1 349.434 -0.085 20 0 EBADMM C[C@H]1OCC[C@]1(C)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000345556841 156345453 /nfs/dbraw/zinc/34/54/53/156345453.db2.gz NSACJCMBUXPIJD-OQPBUACISA-N -1 1 345.377 -0.660 20 0 EBADMM CO[C@H](C)CCNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000345967142 156375423 /nfs/dbraw/zinc/37/54/23/156375423.db2.gz DETVDMTWPKNAJM-MRVPVSSYSA-N -1 1 333.366 -0.802 20 0 EBADMM COC(=O)[C@@H]1CN(C(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)CCO1 ZINC000355617639 157016047 /nfs/dbraw/zinc/01/60/47/157016047.db2.gz NDOKGAZNTBRZMR-LBPRGKRZSA-N -1 1 348.359 -0.005 20 0 EBADMM CNC(=O)CN1CCC(NC(=O)c2cnc3c(cnn3C)c2O)CC1 ZINC000355698595 157020439 /nfs/dbraw/zinc/02/04/39/157020439.db2.gz OLCCRLUEBHKQHI-UHFFFAOYSA-N -1 1 346.391 -0.386 20 0 EBADMM CS(=O)(=O)CC(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-] ZINC000356357324 157060695 /nfs/dbraw/zinc/06/06/95/157060695.db2.gz NAADWXLYQWRMIO-UHFFFAOYSA-N -1 1 348.402 -0.184 20 0 EBADMM CC(C)(C)[C@H](O)C[C@H](CO)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000356668711 157085934 /nfs/dbraw/zinc/08/59/34/157085934.db2.gz GYCBFXRCNKTDTO-ZYHUDNBSSA-N -1 1 339.392 -0.209 20 0 EBADMM COC(=O)c1cn(CCNC(=O)c2c[n-]c3c(cnn3C)c2=O)nn1 ZINC000356900472 157100895 /nfs/dbraw/zinc/10/08/95/157100895.db2.gz ZANWKTCUNMBFQF-UHFFFAOYSA-N -1 1 345.319 -0.518 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCNS(C)(=O)=O)o1 ZINC000358844038 157281041 /nfs/dbraw/zinc/28/10/41/157281041.db2.gz WYUBMQPLKZTPIY-UHFFFAOYSA-N -1 1 340.379 -0.716 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H](C)N2CCOCC2)c1 ZINC000359674092 157336556 /nfs/dbraw/zinc/33/65/56/157336556.db2.gz CACAMYDYFXXYPG-JTQLQIEISA-N -1 1 343.405 -0.041 20 0 EBADMM CCN1CCOC[C@H]1C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000359682599 157336952 /nfs/dbraw/zinc/33/69/52/157336952.db2.gz ONFUSKHIHBGQQG-LBPRGKRZSA-N -1 1 343.405 -0.041 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2COCCN2C)c1 ZINC000359699846 157338493 /nfs/dbraw/zinc/33/84/93/157338493.db2.gz LZXWMYODGMHWTP-NSHDSACASA-N -1 1 329.378 -0.431 20 0 EBADMM COC(=O)C1(CS(=O)(=O)[N-]Cc2nc(N(C)C)no2)CCC1 ZINC000360200619 157365262 /nfs/dbraw/zinc/36/52/62/157365262.db2.gz XQXQVZWVCXZQKL-UHFFFAOYSA-N -1 1 332.382 -0.102 20 0 EBADMM Cn1nnc(CNC(=O)c2ccc(S(=O)(=O)[N-]C(C)(C)C)o2)n1 ZINC000362945896 157474730 /nfs/dbraw/zinc/47/47/30/157474730.db2.gz VLPOADQOAWFUND-UHFFFAOYSA-N -1 1 342.381 -0.190 20 0 EBADMM Cn1cnn(CCC(=O)N[C@H](c2nn[n-]n2)c2ccccc2)c1=O ZINC000655391223 419048984 /nfs/dbraw/zinc/04/89/84/419048984.db2.gz DTCRICFFYGORSY-LBPRGKRZSA-N -1 1 328.336 -0.609 20 0 EBADMM CO[C@@H](CNC(=O)OC(C)(C)C)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000497143454 419066499 /nfs/dbraw/zinc/06/64/99/419066499.db2.gz IJHWOJHDUFJSQY-QMMMGPOBSA-N -1 1 326.357 -0.155 20 0 EBADMM N=c1nc(N2CCN(C(=O)[C@H]3CCCS(=O)(=O)C3)CC2)s[n-]1 ZINC000651467443 419201908 /nfs/dbraw/zinc/20/19/08/419201908.db2.gz IIBJUEOJVDZNKZ-VIFPVBQESA-N -1 1 345.450 -0.576 20 0 EBADMM CCN1C[C@H](CNC(=O)c2coc(S(=O)(=O)[N-]C)c2)CC1=O ZINC000652834911 419467446 /nfs/dbraw/zinc/46/74/46/419467446.db2.gz ULXWPKSUIQECKH-VIFPVBQESA-N -1 1 329.378 -0.214 20 0 EBADMM CCN1C[C@H](CNC(=O)c2ccc(S(=O)(=O)[N-]C)o2)CC1=O ZINC000652841030 419469914 /nfs/dbraw/zinc/46/99/14/419469914.db2.gz ZHVKHPOFDFNMOS-VIFPVBQESA-N -1 1 329.378 -0.214 20 0 EBADMM Cn1c(CS(=O)(=O)c2n[n-]c(C3CC3)n2)cc(=O)n(C)c1=O ZINC000520358668 419559092 /nfs/dbraw/zinc/55/90/92/419559092.db2.gz BFPGBVXJXQQCRI-UHFFFAOYSA-N -1 1 325.350 -0.947 20 0 EBADMM O=C(CNC(=O)NCc1ccco1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000533113732 419964844 /nfs/dbraw/zinc/96/48/44/419964844.db2.gz QOEPJYAVABXZPX-SNVBAGLBSA-N -1 1 333.352 -0.002 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](CO)c2ccnn2C)c1 ZINC000516314631 420511480 /nfs/dbraw/zinc/51/14/80/420511480.db2.gz CNFCIKVOHFDGDH-VIFPVBQESA-N -1 1 329.334 -0.188 20 0 EBADMM CS(=O)(=O)Cc1noc([N-]C(=O)c2csc(NC3CC3)n2)n1 ZINC000342501324 420576563 /nfs/dbraw/zinc/57/65/63/420576563.db2.gz QZOAIJQKPHJVJH-UHFFFAOYSA-N -1 1 343.390 0.319 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCN(C(=O)OC)CC2)co1 ZINC000494003227 420581252 /nfs/dbraw/zinc/58/12/52/420581252.db2.gz YEHAYTKVXBXEJP-UHFFFAOYSA-N -1 1 331.350 -0.288 20 0 EBADMM Cn1[n-]c(COC(=O)[C@H]2C[C@H](O)CN2C(=O)OC(C)(C)C)nc1=O ZINC000496081268 420617641 /nfs/dbraw/zinc/61/76/41/420617641.db2.gz AAAKEKCLMDIHOT-DTWKUNHWSA-N -1 1 342.352 -0.478 20 0 EBADMM COCCO[C@H]1COCC[C@@H]1[N-]S(=O)(=O)c1c(C)onc1N ZINC000349583228 420626439 /nfs/dbraw/zinc/62/64/39/420626439.db2.gz QFMQVDZMGKUINP-UWVGGRQHSA-N -1 1 335.382 -0.336 20 0 EBADMM CC(C)[N@H+](C)CC(=O)N1CC[C@@H]([NH+](C)Cc2cc(=O)[nH]c(N)n2)C1 ZINC000331250291 420630666 /nfs/dbraw/zinc/63/06/66/420630666.db2.gz SEYRIIXBUJNNMF-CYBMUJFWSA-N -1 1 336.440 0.137 20 0 EBADMM Cn1[n-]c(COC(=O)C2(O)CN(C(=O)OC(C)(C)C)C2)nc1=O ZINC000496608447 420640622 /nfs/dbraw/zinc/64/06/22/420640622.db2.gz XHBKWEUOXZNXPP-UHFFFAOYSA-N -1 1 328.325 -0.867 20 0 EBADMM CC(C)(C)OC(=O)N1CCOC[C@@H]1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000496682718 420642924 /nfs/dbraw/zinc/64/29/24/420642924.db2.gz UIGVLNFOXVQYHZ-SECBINFHSA-N -1 1 338.368 -0.059 20 0 EBADMM COC(=O)CC[C@H]([N-]S(=O)(=O)c1c(C)onc1N)C(=O)OC ZINC000349451665 420646565 /nfs/dbraw/zinc/64/65/65/420646565.db2.gz JBFPLWZYGOVPKS-ZETCQYMHSA-N -1 1 335.338 -0.662 20 0 EBADMM CC(C)(C)c1nc(=NC(=O)NCC(=O)N2CCNCC2)s[n-]1 ZINC000516854938 420694475 /nfs/dbraw/zinc/69/44/75/420694475.db2.gz CCQMBBPNQWSEST-UHFFFAOYSA-N -1 1 326.426 -0.189 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1CCCN(S(C)(=O)=O)C1)c1nn[n-]n1 ZINC000529019806 420756660 /nfs/dbraw/zinc/75/66/60/420756660.db2.gz JDKZIALMGISBCX-UWVGGRQHSA-N -1 1 330.414 -0.567 20 0 EBADMM CN1CC[C@@H](C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)S1(=O)=O ZINC000649210043 420791145 /nfs/dbraw/zinc/79/11/45/420791145.db2.gz GNZNVNYSUCMJHX-WDEREUQCSA-N -1 1 336.377 -0.561 20 0 EBADMM COC1CCN(S(=O)(=O)[N-]c2ccn(CCC(N)=O)n2)CC1 ZINC000656622746 420898604 /nfs/dbraw/zinc/89/86/04/420898604.db2.gz KRIDAYXMKYSASI-UHFFFAOYSA-N -1 1 331.398 -0.474 20 0 EBADMM CC[C@@H](COCC1CC1)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000657057550 420912340 /nfs/dbraw/zinc/91/23/40/420912340.db2.gz LOSCBXAAVKKNBH-NSHDSACASA-N -1 1 345.421 -0.433 20 0 EBADMM Cc1ccncc1CC[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000657083033 420913986 /nfs/dbraw/zinc/91/39/86/420913986.db2.gz SEPDWKPPZJKWSR-UHFFFAOYSA-N -1 1 338.389 -0.692 20 0 EBADMM CO[C@H](CS(=O)(=O)[N-][C@H]1CS(=O)(=O)C[C@H]1OC)C(C)C ZINC000657100442 420914875 /nfs/dbraw/zinc/91/48/75/420914875.db2.gz LWHFSBHACFCABI-HBNTYKKESA-N -1 1 329.440 -0.611 20 0 EBADMM CO[C@H](CC(C)C)CS(=O)(=O)[N-][C@H]1CS(=O)(=O)C[C@@H]1OC ZINC000657098553 420915798 /nfs/dbraw/zinc/91/57/98/420915798.db2.gz ALUXROKPEYQTMZ-WOPDTQHZSA-N -1 1 343.467 -0.221 20 0 EBADMM Cn1nc(NC(=O)CNC(=O)c2ncccc2[O-])cc1OC(F)F ZINC000427955708 421192278 /nfs/dbraw/zinc/19/22/78/421192278.db2.gz BDKZIWCBWFPOHX-UHFFFAOYSA-N -1 1 341.274 0.491 20 0 EBADMM Cc1cc(C[C@@H](C)NC(=O)N2CCS(=O)(=O)[C@H](C(=O)[O-])C2)n[nH]1 ZINC000424403902 265060669 /nfs/dbraw/zinc/06/06/69/265060669.db2.gz FTWBPMASOXCFOD-KCJUWKMLSA-N -1 1 344.393 -0.458 20 0 EBADMM CC(C)(NC(=O)c1cccc([C@]2(C)NC(=O)NC2=O)c1)c1nn[n-]n1 ZINC000426855892 265098635 /nfs/dbraw/zinc/09/86/35/265098635.db2.gz HZKAGKCAJGTWJB-HNNXBMFYSA-N -1 1 343.347 -0.081 20 0 EBADMM O=C(CNC(=O)c1ccc([O-])cc1F)NCCN1CCOCC1 ZINC000436880607 265243878 /nfs/dbraw/zinc/24/38/78/265243878.db2.gz OQVJQEPZOSKGBY-UHFFFAOYSA-N -1 1 325.340 -0.291 20 0 EBADMM C[S@@](=O)C1(CNC(=O)CNC(=O)c2ncccc2[O-])CCC1 ZINC000438120006 265252562 /nfs/dbraw/zinc/25/25/62/265252562.db2.gz LFTFCQJPXCIDHD-JOCHJYFZSA-N -1 1 325.390 -0.066 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H](O)COCC1CC1 ZINC000443220141 265341546 /nfs/dbraw/zinc/34/15/46/265341546.db2.gz HYNUHZXAYRDPCV-JTQLQIEISA-N -1 1 347.393 -0.348 20 0 EBADMM CC[C@H](C[C@@H](C)CO)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000443339695 265347876 /nfs/dbraw/zinc/34/78/76/265347876.db2.gz DIVSCLBSKHEVTI-NXEZZACHSA-N -1 1 333.410 -0.841 20 0 EBADMM COC(=O)[C@@H]([N-]S(=O)(=O)N1CCc2ccccc2C1)[C@@H](C)O ZINC000444206461 265361554 /nfs/dbraw/zinc/36/15/54/265361554.db2.gz QSUXBIVRMTYTTD-MFKMUULPSA-N -1 1 328.390 -0.199 20 0 EBADMM CSCCN(C)C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000446661493 265368435 /nfs/dbraw/zinc/36/84/35/265368435.db2.gz JNUYXLRJUDJJET-UHFFFAOYSA-N -1 1 335.407 -0.522 20 0 EBADMM COC(=O)C1([N-]S(=O)(=O)[C@H](C)C(=O)N(C)C)CCSCC1 ZINC000447753753 265378860 /nfs/dbraw/zinc/37/88/60/265378860.db2.gz NBPJBUDHRQCXLC-SECBINFHSA-N -1 1 338.451 -0.179 20 0 EBADMM CN(C)CCN(C(=O)c1ncccc1[O-])[C@@H]1CCS(=O)(=O)C1 ZINC000275116763 289125621 /nfs/dbraw/zinc/12/56/21/289125621.db2.gz GWVJSDNZISXPSR-LLVKDONJSA-N -1 1 327.406 -0.022 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC(F)(F)C(F)F)c(=O)n(C)c1=O ZINC000192131498 294271290 /nfs/dbraw/zinc/27/12/90/294271290.db2.gz OMIPRJBVZJUXOV-UHFFFAOYSA-N -1 1 333.263 -0.737 20 0 EBADMM COCc1cc(N2CCN(c3nc(=N)[n-]s3)CC2)n2ncnc2n1 ZINC000345633476 545943928 /nfs/dbraw/zinc/94/39/28/545943928.db2.gz GBHKALBRGRQSRP-UHFFFAOYSA-N -1 1 347.408 -0.139 20 0 EBADMM CS(=O)(=O)N1CCC[C@H](C(=O)NC2(c3nn[n-]n3)CCCC2)C1 ZINC000528598891 545973882 /nfs/dbraw/zinc/97/38/82/545973882.db2.gz IYZBFQUYEPORRF-JTQLQIEISA-N -1 1 342.425 -0.243 20 0 EBADMM COc1cc(OC)cc(N2C[C@@H](C(=O)NCc3nn[n-]n3)CC2=O)c1 ZINC000674551506 546169379 /nfs/dbraw/zinc/16/93/79/546169379.db2.gz AYBVPSZJBSPAIK-VIFPVBQESA-N -1 1 346.347 -0.114 20 0 EBADMM CCNC(=O)Nc1ccc(C)c(NC(=O)C(=O)NCc2nn[n-]n2)c1 ZINC000683866394 546355910 /nfs/dbraw/zinc/35/59/10/546355910.db2.gz KCVNCGVZVVAHQJ-UHFFFAOYSA-N -1 1 346.351 -0.096 20 0 EBADMM COc1cc(NC(=O)C(=O)NCc2nn[n-]n2)cc(-n2cccn2)c1 ZINC000684298935 546368408 /nfs/dbraw/zinc/36/84/08/546368408.db2.gz QLIOFCBWQPOOSR-UHFFFAOYSA-N -1 1 342.319 -0.351 20 0 EBADMM COCc1nc(N2CCN([C@H]3CCS(=O)(=O)C3)CC2)cc(=O)[n-]1 ZINC000891578404 582558872 /nfs/dbraw/zinc/55/88/72/582558872.db2.gz YPHGXJUIJFJNFG-NSHDSACASA-N -1 1 342.421 -0.362 20 0 EBADMM COCc1nc(N2CCN(S(=O)(=O)N(C)C)CC2)cc(=O)[n-]1 ZINC000891724235 582560243 /nfs/dbraw/zinc/56/02/43/582560243.db2.gz AZWKLTIVZKUOGW-UHFFFAOYSA-N -1 1 331.398 -0.743 20 0 EBADMM O=C(CNC(=O)c1cc2c(s1)CCCC2)NN1CC(=O)[N-]C1=O ZINC000726798301 582621532 /nfs/dbraw/zinc/62/15/32/582621532.db2.gz OKFISQVVJVSLDX-UHFFFAOYSA-N -1 1 336.373 -0.060 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1cnn(C[C@H]2CCCO2)c1 ZINC000726871663 582623518 /nfs/dbraw/zinc/62/35/18/582623518.db2.gz PZKPSCCCIRQSMY-GFCCVEGCSA-N -1 1 333.348 -0.059 20 0 EBADMM C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)NCc1ccco1 ZINC000727852751 582661507 /nfs/dbraw/zinc/66/15/07/582661507.db2.gz AZMZWLLFSGKNER-SNVBAGLBSA-N -1 1 334.332 -0.659 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(c2cccnn2)CC1 ZINC000727854025 582661833 /nfs/dbraw/zinc/66/18/33/582661833.db2.gz QEZHCFVRPSKESP-UHFFFAOYSA-N -1 1 330.348 -0.935 20 0 EBADMM NC(=O)c1cccc(CCNC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000727854895 582662236 /nfs/dbraw/zinc/66/22/36/582662236.db2.gz AUMCBIAXQPUDTA-UHFFFAOYSA-N -1 1 330.344 -0.616 20 0 EBADMM COC[C@@]1(C(=O)[N-]S(=O)(=O)[C@H]2C[C@@H]3CC[C@H]2C3)CNCCO1 ZINC000901060857 582790303 /nfs/dbraw/zinc/79/03/03/582790303.db2.gz GFKRAMIUQDEPRS-OWTLIXCDSA-N -1 1 332.422 -0.374 20 0 EBADMM CSC(C)(C)CNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000746330549 582796901 /nfs/dbraw/zinc/79/69/01/582796901.db2.gz CDNMQPRMICOVKX-UHFFFAOYSA-N -1 1 349.434 -0.085 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(=O)N(CC(F)(F)F)C1 ZINC000754470447 582833382 /nfs/dbraw/zinc/83/33/82/582833382.db2.gz IHBGYXNYXVIQNC-UHFFFAOYSA-N -1 1 334.254 -0.883 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCCN1C(=O)CNC1=O ZINC000731446364 582837303 /nfs/dbraw/zinc/83/73/03/582837303.db2.gz HSFNUXGKKOWEES-UHFFFAOYSA-N -1 1 347.331 -0.311 20 0 EBADMM CCN1CCN(c2ccc(CNC(=O)c3cc(=O)[nH][n-]3)cn2)CC1 ZINC000731872063 582841526 /nfs/dbraw/zinc/84/15/26/582841526.db2.gz VUSJEOWFBGJBNM-UHFFFAOYSA-N -1 1 330.392 -0.284 20 0 EBADMM O=C(CN1C(=O)COc2ccccc21)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000731854989 582841564 /nfs/dbraw/zinc/84/15/64/582841564.db2.gz NWUDQVXMMPKWLI-GFCCVEGCSA-N -1 1 344.331 -0.475 20 0 EBADMM C[C@@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)NCc2nn[n-]n2)C1=O ZINC000731873392 582841850 /nfs/dbraw/zinc/84/18/50/582841850.db2.gz RDJTXMCNOXNVAY-AWEZNQCLSA-N -1 1 347.310 -0.578 20 0 EBADMM C[C@]1(c2ccc(F)cc2)NC(=O)N(CC(=O)NCc2nn[n-]n2)C1=O ZINC000731873393 582841934 /nfs/dbraw/zinc/84/19/34/582841934.db2.gz RDJTXMCNOXNVAY-CQSZACIVSA-N -1 1 347.310 -0.578 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@@H](N2CCCC2=O)C1 ZINC000732301067 582847135 /nfs/dbraw/zinc/84/71/35/582847135.db2.gz ZZDLXNPDHNZDAK-GFCCVEGCSA-N -1 1 334.376 -0.460 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N(CCO)CCc1ccccc1 ZINC000733002586 582855419 /nfs/dbraw/zinc/85/54/19/582855419.db2.gz OXUPPGPBACOWKV-UHFFFAOYSA-N -1 1 331.372 -0.010 20 0 EBADMM CCCN(C(=O)CCn1cc[n-]c(=O)c1=O)[C@@H]1CCS(=O)(=O)C1 ZINC000733128999 582855951 /nfs/dbraw/zinc/85/59/51/582855951.db2.gz VCQFGOOIVSZAMV-LLVKDONJSA-N -1 1 343.405 -0.648 20 0 EBADMM CCS(=O)(=O)C[C@@H](C)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000733185297 582858024 /nfs/dbraw/zinc/85/80/24/582858024.db2.gz XZWXVTHNIHDYNW-SNVBAGLBSA-N -1 1 331.394 -0.792 20 0 EBADMM CC(C)OC(=O)CCNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735891951 582909778 /nfs/dbraw/zinc/90/97/78/582909778.db2.gz ATVSVLRHVNHBIL-UHFFFAOYSA-N -1 1 340.365 -0.118 20 0 EBADMM CC(C)OC(=O)CCNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735891951 582909780 /nfs/dbraw/zinc/90/97/80/582909780.db2.gz ATVSVLRHVNHBIL-UHFFFAOYSA-N -1 1 340.365 -0.118 20 0 EBADMM C[C@H](CNc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C)Cn1ccnc1 ZINC000736026486 582913301 /nfs/dbraw/zinc/91/33/01/582913301.db2.gz ORODWIMTCOIMHF-SECBINFHSA-N -1 1 345.367 -0.791 20 0 EBADMM C[C@@H](C(=O)NC1CC1)N1CCN(CCC(=O)N(C)CC(=O)[O-])CC1 ZINC000736212531 582924670 /nfs/dbraw/zinc/92/46/70/582924670.db2.gz UFJHDLMSHVUTJV-LBPRGKRZSA-N -1 1 340.424 -0.796 20 0 EBADMM CC(C)CN1CCO[C@@H](CNC(=O)CN2CCO[C@H](C(=O)[O-])C2)C1 ZINC000736443012 582934244 /nfs/dbraw/zinc/93/42/44/582934244.db2.gz KIEOQUDCPZIJNA-KBPBESRZSA-N -1 1 343.424 -0.755 20 0 EBADMM CCCC[C@H](COC)Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000736438607 582934470 /nfs/dbraw/zinc/93/44/70/582934470.db2.gz OGBWUCJXJBEVBL-SECBINFHSA-N -1 1 337.384 -0.119 20 0 EBADMM CCCCOC(=O)CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736460784 582934916 /nfs/dbraw/zinc/93/49/16/582934916.db2.gz QIEFUDYAGJDXFA-UHFFFAOYSA-N -1 1 340.365 -0.117 20 0 EBADMM CCCCOC(=O)CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736460784 582934918 /nfs/dbraw/zinc/93/49/18/582934918.db2.gz QIEFUDYAGJDXFA-UHFFFAOYSA-N -1 1 340.365 -0.117 20 0 EBADMM CCn1cc(-c2nn[n-]n2)c(=O)n(CCOC[C@H]2CCCO2)c1=O ZINC000736713646 582951129 /nfs/dbraw/zinc/95/11/29/582951129.db2.gz KCSCEOAZGAIGIB-SNVBAGLBSA-N -1 1 336.352 -0.594 20 0 EBADMM CN(C)C(=O)N1CCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000736751988 582953110 /nfs/dbraw/zinc/95/31/10/582953110.db2.gz RCQGCPFKIBKDGF-UHFFFAOYSA-N -1 1 330.352 -0.299 20 0 EBADMM CN(C)C(=O)N1CCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)CC1 ZINC000736751988 582953112 /nfs/dbraw/zinc/95/31/12/582953112.db2.gz RCQGCPFKIBKDGF-UHFFFAOYSA-N -1 1 330.352 -0.299 20 0 EBADMM CC[C@@H](C)[C@H](NC(=O)CN1CCN(CC(C)(C)O)CC1)C(=O)[O-] ZINC000736888642 582970211 /nfs/dbraw/zinc/97/02/11/582970211.db2.gz VLYYAQLIQYDPDA-OCCSQVGLSA-N -1 1 329.441 -0.010 20 0 EBADMM COC(=O)c1ccccc1NC(=O)Cn1cnnc1-c1nn[n-]n1 ZINC000736957146 582977581 /nfs/dbraw/zinc/97/75/81/582977581.db2.gz LXUCYSDNVCNLTD-UHFFFAOYSA-N -1 1 328.292 -0.117 20 0 EBADMM COCCCNC(=O)CN(C)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736987545 582979620 /nfs/dbraw/zinc/97/96/20/582979620.db2.gz WWGBXPIBRKQSSM-UHFFFAOYSA-N -1 1 333.352 -0.514 20 0 EBADMM COCCCNC(=O)CN(C)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736987545 582979624 /nfs/dbraw/zinc/97/96/24/582979624.db2.gz WWGBXPIBRKQSSM-UHFFFAOYSA-N -1 1 333.352 -0.514 20 0 EBADMM CCCc1nnc([C@@H]2CN(CC(=O)NCCC(=O)[O-])CCO2)[nH]1 ZINC000737049704 582985952 /nfs/dbraw/zinc/98/59/52/582985952.db2.gz BDSKTYMGTUHVIV-JTQLQIEISA-N -1 1 325.369 -0.279 20 0 EBADMM CCCc1n[nH]c([C@@H]2CN(CC(=O)NCCC(=O)[O-])CCO2)n1 ZINC000737049704 582985954 /nfs/dbraw/zinc/98/59/54/582985954.db2.gz BDSKTYMGTUHVIV-JTQLQIEISA-N -1 1 325.369 -0.279 20 0 EBADMM Cc1ccn2c(c1)ncc(C(=O)N1CCO[C@H](c3nn[n-]n3)C1)c2=O ZINC000737435688 583008027 /nfs/dbraw/zinc/00/80/27/583008027.db2.gz HXKJFUCVABWGPY-NSHDSACASA-N -1 1 341.331 -0.270 20 0 EBADMM CN(CCCC(=O)N1CCO[C@H](CN2CCN(C)CC2)C1)C(=O)[O-] ZINC000737526400 583012125 /nfs/dbraw/zinc/01/21/25/583012125.db2.gz NPGHMIZEIALKJL-CQSZACIVSA-N -1 1 342.440 -0.149 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NC[C@H](O)CC(C)(C)C)n(C)c1=O ZINC000737595081 583018350 /nfs/dbraw/zinc/01/83/50/583018350.db2.gz FLCKLOWIABEKTO-MRVPVSSYSA-N -1 1 337.384 -0.527 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N[C@@H]2[C@H]3CCO[C@H]3C2(C)C)n(C)c1=O ZINC000737597102 583018989 /nfs/dbraw/zinc/01/89/89/583018989.db2.gz PSPMCIDQEXSDLN-SZEHBUNVSA-N -1 1 347.379 -0.511 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCc1cccc(-c2nn[nH]n2)c1 ZINC000737863681 583029842 /nfs/dbraw/zinc/02/98/42/583029842.db2.gz TVIDQKCUCRQHEK-UHFFFAOYSA-N -1 1 341.331 -0.577 20 0 EBADMM COc1ccnc(N2CCN(CCC(=O)N(C)CC(=O)[O-])CC2)n1 ZINC000738144013 583043623 /nfs/dbraw/zinc/04/36/23/583043623.db2.gz XRZNTIVKWYQBPD-UHFFFAOYSA-N -1 1 337.380 -0.460 20 0 EBADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCO[C@H](Cn2cccn2)C1 ZINC000738259535 583046605 /nfs/dbraw/zinc/04/66/05/583046605.db2.gz RADPHHLCEAIQSQ-LBPRGKRZSA-N -1 1 340.347 -0.001 20 0 EBADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCO[C@H](Cn2cccn2)C1 ZINC000738259535 583046606 /nfs/dbraw/zinc/04/66/06/583046606.db2.gz RADPHHLCEAIQSQ-LBPRGKRZSA-N -1 1 340.347 -0.001 20 0 EBADMM O=C1CN(S(=O)(=O)c2cccc(F)c2-c2nnn[n-]2)CCN1 ZINC000738306439 583049256 /nfs/dbraw/zinc/04/92/56/583049256.db2.gz HSGFGQLRVYGLRV-UHFFFAOYSA-N -1 1 326.313 -0.874 20 0 EBADMM O=C1CN(S(=O)(=O)c2cccc(F)c2-c2nn[n-]n2)CCN1 ZINC000738306439 583049257 /nfs/dbraw/zinc/04/92/57/583049257.db2.gz HSGFGQLRVYGLRV-UHFFFAOYSA-N -1 1 326.313 -0.874 20 0 EBADMM C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000745736698 583099955 /nfs/dbraw/zinc/09/99/55/583099955.db2.gz CDOVDLQSEVXUPG-HZMBPMFUSA-N -1 1 348.355 -0.238 20 0 EBADMM NC(=O)c1ccc(OCCC(=O)N2CCO[C@H](c3nn[n-]n3)C2)cc1 ZINC000748707878 583122424 /nfs/dbraw/zinc/12/24/24/583122424.db2.gz CYCCYUWIGSVNRO-LBPRGKRZSA-N -1 1 346.347 -0.332 20 0 EBADMM CC(C)OCCS(=O)(=O)[N-]N=c1ccc(S(N)(=O)=O)c[nH]1 ZINC000749011302 583124715 /nfs/dbraw/zinc/12/47/15/583124715.db2.gz JBGXGOGZDPIKFM-UHFFFAOYSA-N -1 1 338.411 -0.600 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCNc1ncccn1 ZINC000751044539 583141432 /nfs/dbraw/zinc/14/14/32/583141432.db2.gz TYJGAHOUOPCVSS-UHFFFAOYSA-N -1 1 340.365 -0.233 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@@H](Cn2ccnn2)C1 ZINC000752254652 583152849 /nfs/dbraw/zinc/15/28/49/583152849.db2.gz YQLYUPCIPVJYII-GFCCVEGCSA-N -1 1 332.364 -0.543 20 0 EBADMM Cc1nc(S(=O)(=O)[N-]C2(C(N)=O)CC2)c(Br)n1C ZINC000805034858 583159437 /nfs/dbraw/zinc/15/94/37/583159437.db2.gz WODPWHHPKHNJFL-UHFFFAOYSA-N -1 1 337.199 -0.213 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CCN(c2ncccc2F)C1 ZINC000755578547 583175961 /nfs/dbraw/zinc/17/59/61/583175961.db2.gz OXOYRKHGPLAACH-NSHDSACASA-N -1 1 347.350 -0.144 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCN(c2ncccc2F)C1 ZINC000755578546 583176018 /nfs/dbraw/zinc/17/60/18/583176018.db2.gz OXOYRKHGPLAACH-LLVKDONJSA-N -1 1 347.350 -0.144 20 0 EBADMM CNC(=O)[C@@H](O)C[N-]S(=O)(=O)c1sccc1Br ZINC000757728956 583195517 /nfs/dbraw/zinc/19/55/17/583195517.db2.gz SOBMQHSASMHLGB-LURJTMIESA-N -1 1 343.224 -0.104 20 0 EBADMM CCOC(=O)c1c(C)[n-]nc1S(=O)(=O)N=c1nc(C)[nH]n1C ZINC000758428528 583201839 /nfs/dbraw/zinc/20/18/39/583201839.db2.gz JNSDEIGZWFLABM-UHFFFAOYSA-N -1 1 328.354 -0.446 20 0 EBADMM CCO[C@@H]1C[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)[C@]12CCCO2 ZINC000759204891 583211119 /nfs/dbraw/zinc/21/11/19/583211119.db2.gz LSZFRDSLFUCYNU-XHBSWPGZSA-N -1 1 337.376 -0.231 20 0 EBADMM O=C([C@H]1CC(=O)N(c2cn[nH]c2)C1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000765109541 583278349 /nfs/dbraw/zinc/27/83/49/583278349.db2.gz LFBFXUGPZRHSRL-ZJUUUORDSA-N -1 1 330.352 -0.318 20 0 EBADMM Cn1[n-]c(COC(=O)CNC(=O)c2ccc(F)c(F)c2)nc1=O ZINC000765469330 583282708 /nfs/dbraw/zinc/28/27/08/583282708.db2.gz PNYKIQRLJUVZSX-UHFFFAOYSA-N -1 1 326.259 -0.140 20 0 EBADMM Cn1[n-]c(COC(=O)Cc2cccc(NS(C)(=O)=O)c2)nc1=O ZINC000765475378 583283390 /nfs/dbraw/zinc/28/33/90/583283390.db2.gz YLLLYHRAPZZMAL-UHFFFAOYSA-N -1 1 340.361 -0.234 20 0 EBADMM CNS(=O)(=O)c1csc(C(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000765488242 583283417 /nfs/dbraw/zinc/28/34/17/583283417.db2.gz WYRPMUBYNDBXQA-UHFFFAOYSA-N -1 1 332.363 -0.565 20 0 EBADMM Cc1ccc(S(N)(=O)=O)c(C(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000765503964 583284067 /nfs/dbraw/zinc/28/40/67/583284067.db2.gz WNCBTCDRCWSCFE-UHFFFAOYSA-N -1 1 326.334 -0.579 20 0 EBADMM NC(=O)[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1ccc(F)cc1 ZINC000766494909 583294671 /nfs/dbraw/zinc/29/46/71/583294671.db2.gz OZFOATVETPOVNV-GFCCVEGCSA-N -1 1 334.307 -0.591 20 0 EBADMM COC(=O)CCCS(=O)(=O)[N-]C(=O)CCCCc1cn[nH]n1 ZINC000774096869 583380166 /nfs/dbraw/zinc/38/01/66/583380166.db2.gz QZOUIDGJPBDDGS-UHFFFAOYSA-N -1 1 332.382 -0.083 20 0 EBADMM CN(C)S(=O)(=O)N1CCN(CCC[N-]C(=O)C(F)(F)F)CC1 ZINC000774555802 583386484 /nfs/dbraw/zinc/38/64/84/583386484.db2.gz MWXIGUGWZWRXAD-UHFFFAOYSA-N -1 1 346.375 -0.521 20 0 EBADMM CCOC(=O)COCCOC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000805516696 583387328 /nfs/dbraw/zinc/38/73/28/583387328.db2.gz KVHKGYYTXQJZJH-UHFFFAOYSA-N -1 1 335.334 -0.076 20 0 EBADMM CN1C(=O)C(=O)N(CC(=O)OCCC[N-]C(=O)C(F)(F)F)C1=O ZINC000774947048 583392822 /nfs/dbraw/zinc/39/28/22/583392822.db2.gz BBKXKACIWRDPLH-UHFFFAOYSA-N -1 1 339.226 -0.981 20 0 EBADMM COC(=O)[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1cccc(O)c1 ZINC000775796176 583399047 /nfs/dbraw/zinc/39/90/47/583399047.db2.gz KQGFYZYZYFAJLL-ZDUSSCGKSA-N -1 1 347.327 -0.337 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1COc2ccccc2C1=O ZINC000775793899 583399173 /nfs/dbraw/zinc/39/91/73/583399173.db2.gz RSBKYMUDQWHJTF-LLVKDONJSA-N -1 1 329.312 -0.313 20 0 EBADMM COCCOc1cc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)ccn1 ZINC000777391025 583413196 /nfs/dbraw/zinc/41/31/96/583413196.db2.gz FPDZREVQLVTSMI-LLVKDONJSA-N -1 1 334.336 -0.166 20 0 EBADMM CC(C)[C@H](OC(=O)c1cn[n-]n1)C(=O)N[C@@]1(C)CCS(=O)(=O)C1 ZINC000805603420 583418918 /nfs/dbraw/zinc/41/89/18/583418918.db2.gz MRAWKNFWYBYGET-GWCFXTLKSA-N -1 1 344.393 -0.321 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)N2CCOC[C@@H]2C(=O)[O-])C1 ZINC000780361257 583448484 /nfs/dbraw/zinc/44/84/84/583448484.db2.gz VVEUFTOJELDLDJ-CHWSQXEVSA-N -1 1 329.397 -0.162 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C(C)(C)C2CCOCC2)c(=O)n(C)c1=O ZINC000785395960 583496756 /nfs/dbraw/zinc/49/67/56/583496756.db2.gz BRDLMDZZYFJLII-UHFFFAOYSA-N -1 1 345.421 -0.433 20 0 EBADMM Cn1ccc(COC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)n1 ZINC000786196949 583506932 /nfs/dbraw/zinc/50/69/32/583506932.db2.gz IMPMMGAPJFOTJO-UHFFFAOYSA-N -1 1 342.333 -0.866 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)OCCn2cccn2)o1 ZINC000786197721 583507085 /nfs/dbraw/zinc/50/70/85/583507085.db2.gz ONDMPXDPJJSIOB-UHFFFAOYSA-N -1 1 342.333 -0.903 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@H]2CCOC2)o1 ZINC000786196640 583507176 /nfs/dbraw/zinc/50/71/76/583507176.db2.gz HRIOZNBCZDCUGG-QMMMGPOBSA-N -1 1 332.334 -0.764 20 0 EBADMM Cc1ccc(C[C@H](CO)NC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC000787377583 583518457 /nfs/dbraw/zinc/51/84/57/583518457.db2.gz LVFOVQYDPRLZRC-CQSZACIVSA-N -1 1 331.372 -0.045 20 0 EBADMM Cc1ccc(C[C@@H](CO)NC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC000787377327 583518529 /nfs/dbraw/zinc/51/85/29/583518529.db2.gz LVFOVQYDPRLZRC-AWEZNQCLSA-N -1 1 331.372 -0.045 20 0 EBADMM Cn1nncc1S(=O)(=O)[N-]C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000793277081 583565199 /nfs/dbraw/zinc/56/51/99/583565199.db2.gz YQKCSZZFSKHYRD-UHFFFAOYSA-N -1 1 333.333 -0.281 20 0 EBADMM COC(=O)c1ccc(C(=O)OCC(=O)N2CCCC[C@@H]2C(N)=O)[n-]1 ZINC000796343853 583595660 /nfs/dbraw/zinc/59/56/60/583595660.db2.gz ITPWUWHAUMVSND-LLVKDONJSA-N -1 1 337.332 -0.176 20 0 EBADMM O=C([C@@H]1CC(c2cccnc2)=NO1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000798270086 583603668 /nfs/dbraw/zinc/60/36/68/583603668.db2.gz JDDOBEGRMYMTNJ-LBPRGKRZSA-N -1 1 343.347 -0.402 20 0 EBADMM O=C(NCCS(=O)(=O)N1CCOCC1)C(=O)c1ccc([O-])cc1 ZINC000806714888 583653816 /nfs/dbraw/zinc/65/38/16/583653816.db2.gz JMDHCYLHOJALPT-UHFFFAOYSA-N -1 1 342.373 -0.647 20 0 EBADMM CC[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806871065 583655079 /nfs/dbraw/zinc/65/50/79/583655079.db2.gz RNOCXTMAEZLNFA-NXEZZACHSA-N -1 1 346.391 -0.300 20 0 EBADMM C[C@@H]1CC[C@H](C(N)=O)CN1C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806990091 583656465 /nfs/dbraw/zinc/65/64/65/583656465.db2.gz XECZKGSTXBJCQN-ZJUUUORDSA-N -1 1 344.375 -0.607 20 0 EBADMM CN(C[C@H](O)C(F)(F)F)C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000807020009 583656616 /nfs/dbraw/zinc/65/66/16/583656616.db2.gz DMCJONFNGGVEJA-VIFPVBQESA-N -1 1 345.281 -0.338 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H](C(=O)N2CCCC2)C1 ZINC000807818654 583663434 /nfs/dbraw/zinc/66/34/34/583663434.db2.gz LXPAZTRMPDKWRB-LBPRGKRZSA-N -1 1 334.376 -0.602 20 0 EBADMM COC(=O)C[C@@]1([N-]S(=O)(=O)c2c(C)onc2N)CCCOC1 ZINC000809832450 583680614 /nfs/dbraw/zinc/68/06/14/583680614.db2.gz QGNRNJZWVDOARF-LBPRGKRZSA-N -1 1 333.366 -0.044 20 0 EBADMM Cc1noc(C)c1S(=O)(=O)NCC(=O)N1CC[N@@H+](C)[C@@H](C)C1 ZINC000810441873 583684392 /nfs/dbraw/zinc/68/43/92/583684392.db2.gz AGJLZIUKZVJOKR-VIFPVBQESA-N -1 1 330.410 -0.268 20 0 EBADMM COC[C@@H]([N-]S(=O)(=O)CC1(C(=O)OC)CCCCC1)C(N)=O ZINC000813686019 583700741 /nfs/dbraw/zinc/70/07/41/583700741.db2.gz CSQVMIGRRIGWKN-SNVBAGLBSA-N -1 1 336.410 -0.470 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCS(C)(=O)=O)c(C(F)(F)F)n1 ZINC000814993041 583707087 /nfs/dbraw/zinc/70/70/87/583707087.db2.gz WEAXTNIMMAWRPV-UHFFFAOYSA-N -1 1 335.329 -0.238 20 0 EBADMM C[C@@H](c1ccccc1)[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C(N)=O ZINC000816800393 583721755 /nfs/dbraw/zinc/72/17/55/583721755.db2.gz YABBMGMNHFAHEP-SMDDNHRTSA-N -1 1 344.371 -0.300 20 0 EBADMM O=C([O-])[C@H]1CN(C(=O)Cn2c(=O)c3ccccc3[nH]c2=S)CCO1 ZINC000819307214 583757194 /nfs/dbraw/zinc/75/71/94/583757194.db2.gz NWHJJSIJACWJHM-LLVKDONJSA-N -1 1 349.368 -0.003 20 0 EBADMM O=C(C1=NN(CCO)C(=O)CC1)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000819628302 583759210 /nfs/dbraw/zinc/75/92/10/583759210.db2.gz OGJZGNMOEBCCHM-VIFPVBQESA-N -1 1 337.336 -0.548 20 0 EBADMM CC(=O)N1CCC(NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)CC1 ZINC000819789542 583761170 /nfs/dbraw/zinc/76/11/70/583761170.db2.gz SUOLYQIQZMSTHH-UHFFFAOYSA-N -1 1 333.356 -0.267 20 0 EBADMM CC(=O)N1CCC(NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)CC1 ZINC000819789542 583761171 /nfs/dbraw/zinc/76/11/71/583761171.db2.gz SUOLYQIQZMSTHH-UHFFFAOYSA-N -1 1 333.356 -0.267 20 0 EBADMM CC(=O)N[C@@H]1CCCN(C(=O)Cn2cccc(-c3nn[n-]n3)c2=O)C1 ZINC000819802769 583761341 /nfs/dbraw/zinc/76/13/41/583761341.db2.gz IYZXVYGGXAEQEW-LLVKDONJSA-N -1 1 345.363 -0.845 20 0 EBADMM C[C@@H](CC[S@](C)=O)NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820249327 583769267 /nfs/dbraw/zinc/76/92/67/583769267.db2.gz UTBMLEGINKBCLR-QNLYAUKNSA-N -1 1 326.386 -0.121 20 0 EBADMM C[C@@H](CC[S@](C)=O)NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820249327 583769269 /nfs/dbraw/zinc/76/92/69/583769269.db2.gz UTBMLEGINKBCLR-QNLYAUKNSA-N -1 1 326.386 -0.121 20 0 EBADMM C[C@@H]1CN(C(=O)Cn2cnc(-c3nn[n-]n3)n2)C[C@H](C(F)(F)F)O1 ZINC000820735514 583778762 /nfs/dbraw/zinc/77/87/62/583778762.db2.gz SNNGLQKDLFDIGY-RNFRBKRXSA-N -1 1 346.273 -0.364 20 0 EBADMM C[C@@H]1CN(c2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)C[C@H](C)S1 ZINC000820769332 583779335 /nfs/dbraw/zinc/77/93/35/583779335.db2.gz SLFMGWWQJWOOFY-OCAPTIKFSA-N -1 1 337.409 -0.406 20 0 EBADMM C[C@H]1CN(c2nccnc2-c2nnn[n-]2)CCN1C(=O)c1cn[nH]n1 ZINC000820788556 583780201 /nfs/dbraw/zinc/78/02/01/583780201.db2.gz JODSPFYOBJXGKP-QMMMGPOBSA-N -1 1 341.339 -0.874 20 0 EBADMM C[C@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1C(=O)c1cn[nH]n1 ZINC000820788556 583780204 /nfs/dbraw/zinc/78/02/04/583780204.db2.gz JODSPFYOBJXGKP-QMMMGPOBSA-N -1 1 341.339 -0.874 20 0 EBADMM CCN(CC(=O)NC)S(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000821164557 583786290 /nfs/dbraw/zinc/78/62/90/583786290.db2.gz HPBJMYXOOHABGC-UHFFFAOYSA-N -1 1 342.356 -0.238 20 0 EBADMM CCN(C[C@@H]1CCOC1)c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000821207931 583786565 /nfs/dbraw/zinc/78/65/65/583786565.db2.gz YRALSXZRUQFZGC-VIFPVBQESA-N -1 1 335.368 -0.873 20 0 EBADMM CCOC(=O)CN(CC(N)=O)C(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821330593 583788799 /nfs/dbraw/zinc/78/87/99/583788799.db2.gz OQEDATFOLPLPNF-UHFFFAOYSA-N -1 1 338.349 -0.581 20 0 EBADMM CCOC(=O)CN(CC(N)=O)C(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821330593 583788800 /nfs/dbraw/zinc/78/88/00/583788800.db2.gz OQEDATFOLPLPNF-UHFFFAOYSA-N -1 1 338.349 -0.581 20 0 EBADMM CCOC(=O)[C@@H](CC1CC1)NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821319318 583788939 /nfs/dbraw/zinc/78/89/39/583788939.db2.gz CWHSMFFBJXWMER-SECBINFHSA-N -1 1 334.340 -0.694 20 0 EBADMM CCO[C@H]1C[C@H](NC(=O)Cn2cnc(-c3nn[n-]n3)n2)C12CCC2 ZINC000821361161 583789690 /nfs/dbraw/zinc/78/96/90/583789690.db2.gz TWXCUIHTPBHKHK-UWVGGRQHSA-N -1 1 332.368 -0.078 20 0 EBADMM CCOCC(=O)N1CCN(c2nccnc2-c2nnn[n-]2)C[C@@H]1C ZINC000821367907 583790041 /nfs/dbraw/zinc/79/00/41/583790041.db2.gz OOGKJLIAIPHIIR-JTQLQIEISA-N -1 1 332.368 -0.270 20 0 EBADMM CCOCC(=O)N1CCN(c2nccnc2-c2nn[n-]n2)C[C@@H]1C ZINC000821367907 583790042 /nfs/dbraw/zinc/79/00/42/583790042.db2.gz OOGKJLIAIPHIIR-JTQLQIEISA-N -1 1 332.368 -0.270 20 0 EBADMM CN(CCOc1ccccc1)C(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821605103 583795310 /nfs/dbraw/zinc/79/53/10/583795310.db2.gz VKVYQXARKUBDTJ-UHFFFAOYSA-N -1 1 328.336 -0.004 20 0 EBADMM COC(=O)[C@@H](CNC(=O)Cn1cnc(-c2nn[n-]n2)n1)CC(C)C ZINC000821640638 583798604 /nfs/dbraw/zinc/79/86/04/583798604.db2.gz LZDRFBLNVHOOEU-SECBINFHSA-N -1 1 336.356 -0.590 20 0 EBADMM CO[C@@H](CNC(=O)Cn1cnc(-c2nn[n-]n2)n1)c1ccccc1 ZINC000821677460 583800426 /nfs/dbraw/zinc/80/04/26/583800426.db2.gz XJBKCLUUPMMBRH-NSHDSACASA-N -1 1 328.336 -0.038 20 0 EBADMM COc1cccc(C(=O)NCC(=O)Nc2n[nH]cc2-c2nnn[n-]2)c1 ZINC000821860257 583804868 /nfs/dbraw/zinc/80/48/68/583804868.db2.gz ZOLNLGPAFXPXGA-UHFFFAOYSA-N -1 1 342.319 -0.033 20 0 EBADMM COc1cccc(C(=O)NCC(=O)Nc2n[nH]cc2-c2nn[n-]n2)c1 ZINC000821860257 583804870 /nfs/dbraw/zinc/80/48/70/583804870.db2.gz ZOLNLGPAFXPXGA-UHFFFAOYSA-N -1 1 342.319 -0.033 20 0 EBADMM COc1cccc(CCNC(=O)Cn2cnc(-c3nn[n-]n3)n2)n1 ZINC000821869044 583805016 /nfs/dbraw/zinc/80/50/16/583805016.db2.gz ZJULTZJHQMUURW-UHFFFAOYSA-N -1 1 329.324 -0.779 20 0 EBADMM CS(=O)(=O)N[C@H]1CCC[C@@H]1CNc1nccnc1-c1nnn[n-]1 ZINC000821931622 583805955 /nfs/dbraw/zinc/80/59/55/583805955.db2.gz DZOFWQAWAPWSOC-BDAKNGLRSA-N -1 1 338.397 -0.214 20 0 EBADMM CS(=O)(=O)N[C@H]1CCC[C@@H]1CNc1nccnc1-c1nn[n-]n1 ZINC000821931622 583805957 /nfs/dbraw/zinc/80/59/57/583805957.db2.gz DZOFWQAWAPWSOC-BDAKNGLRSA-N -1 1 338.397 -0.214 20 0 EBADMM CS(=O)(=O)NCCC(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000821937596 583806366 /nfs/dbraw/zinc/80/63/66/583806366.db2.gz NZRKQEMZZLNRNI-UHFFFAOYSA-N -1 1 326.338 -0.550 20 0 EBADMM Cc1cc(F)ccc1[C@@H](O)Cn1c(=O)c(-c2nn[n-]n2)cn(C)c1=O ZINC000822206143 583808395 /nfs/dbraw/zinc/80/83/95/583808395.db2.gz VQXLHLSXTVUMBO-LBPRGKRZSA-N -1 1 346.322 -0.092 20 0 EBADMM Cc1cc(C[C@@H](C)Nc2c(-c3nnn[n-]3)c(=O)n(C)c(=O)n2C)[nH]n1 ZINC000822199824 583808477 /nfs/dbraw/zinc/80/84/77/583808477.db2.gz JEXWLUYHFALBBZ-SSDOTTSWSA-N -1 1 345.367 -0.661 20 0 EBADMM Cc1cc(C[C@@H](C)Nc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)n[nH]1 ZINC000822199824 583808479 /nfs/dbraw/zinc/80/84/79/583808479.db2.gz JEXWLUYHFALBBZ-SSDOTTSWSA-N -1 1 345.367 -0.661 20 0 EBADMM Cc1cc(C[C@@H](C)Nc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)[nH]n1 ZINC000822199824 583808482 /nfs/dbraw/zinc/80/84/82/583808482.db2.gz JEXWLUYHFALBBZ-SSDOTTSWSA-N -1 1 345.367 -0.661 20 0 EBADMM O=C(CN1CC[C@H](c2ccccc2)NC1=O)NN1CC(=O)[N-]C1=O ZINC000822326567 583809750 /nfs/dbraw/zinc/80/97/50/583809750.db2.gz MDSGHDSWMRFVKF-LLVKDONJSA-N -1 1 331.332 -0.274 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N[C@@H]2CCOC3(CCC3)C2)n(C)c1=O ZINC000822599305 583813265 /nfs/dbraw/zinc/81/32/65/583813265.db2.gz CKVAPHSCLRJVDT-SECBINFHSA-N -1 1 347.379 -0.222 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CCSC[C@@H]2CCO)n1 ZINC000822609067 583813724 /nfs/dbraw/zinc/81/37/24/583813724.db2.gz IWYYZTMEPGZFTE-QMMMGPOBSA-N -1 1 338.397 -0.068 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CCSC[C@@H]2CCO)n1 ZINC000822609067 583813726 /nfs/dbraw/zinc/81/37/26/583813726.db2.gz IWYYZTMEPGZFTE-QMMMGPOBSA-N -1 1 338.397 -0.068 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CCN3C(=O)OC[C@@H]3C2)n1 ZINC000822609081 583813888 /nfs/dbraw/zinc/81/38/88/583813888.db2.gz JEARCVMLJIRSHR-ZETCQYMHSA-N -1 1 333.312 -0.732 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CCN3C(=O)OC[C@@H]3C2)n1 ZINC000822609081 583813891 /nfs/dbraw/zinc/81/38/91/583813891.db2.gz JEARCVMLJIRSHR-ZETCQYMHSA-N -1 1 333.312 -0.732 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CCC[C@H]2C(=O)NC2CC2)n1 ZINC000822609257 583813925 /nfs/dbraw/zinc/81/39/25/583813925.db2.gz LITOJDJXXAYQNI-JTQLQIEISA-N -1 1 345.367 -0.125 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CCC[C@H]2C(=O)NC2CC2)n1 ZINC000822609257 583813926 /nfs/dbraw/zinc/81/39/26/583813926.db2.gz LITOJDJXXAYQNI-JTQLQIEISA-N -1 1 345.367 -0.125 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N[C@@H]2CCC[C@H](C(N)=O)C2)n1 ZINC000822613206 583814199 /nfs/dbraw/zinc/81/41/99/583814199.db2.gz CCHAYYRHPDJZLI-JGVFFNPUSA-N -1 1 333.356 -0.234 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N[C@@H]2CCC[C@H](C(N)=O)C2)n1 ZINC000822613206 583814202 /nfs/dbraw/zinc/81/42/02/583814202.db2.gz CCHAYYRHPDJZLI-JGVFFNPUSA-N -1 1 333.356 -0.234 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CCc3cncnc3C2)n1 ZINC000822609336 583814248 /nfs/dbraw/zinc/81/42/48/583814248.db2.gz MNQHHUGYUVNMKQ-UHFFFAOYSA-N -1 1 326.324 -0.020 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CCc3cncnc3C2)n1 ZINC000822609336 583814250 /nfs/dbraw/zinc/81/42/50/583814250.db2.gz MNQHHUGYUVNMKQ-UHFFFAOYSA-N -1 1 326.324 -0.020 20 0 EBADMM O=C(Cn1ccc(=O)[nH]c1=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000823122766 583824359 /nfs/dbraw/zinc/82/43/59/583824359.db2.gz HMOMYKBYZQPDBL-UHFFFAOYSA-N -1 1 329.276 -0.733 20 0 EBADMM O=C(Cn1ccc(=O)[nH]c1=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000823122766 583824362 /nfs/dbraw/zinc/82/43/62/583824362.db2.gz HMOMYKBYZQPDBL-UHFFFAOYSA-N -1 1 329.276 -0.733 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCOc2ccccc2C1 ZINC000823126310 583824786 /nfs/dbraw/zinc/82/47/86/583824786.db2.gz HUOHALUNWXNZLR-UHFFFAOYSA-N -1 1 326.320 -0.121 20 0 EBADMM O=S1(=O)CC[C@H](N2CCN(c3cccc(-c4nnn[n-]4)n3)CC2)C1 ZINC000823612628 583834696 /nfs/dbraw/zinc/83/46/96/583834696.db2.gz CYXNQLRARWNAGK-NSHDSACASA-N -1 1 349.420 -0.429 20 0 EBADMM O=S1(=O)CC[C@H](N2CCN(c3cccc(-c4nn[n-]n4)n3)CC2)C1 ZINC000823612628 583834697 /nfs/dbraw/zinc/83/46/97/583834697.db2.gz CYXNQLRARWNAGK-NSHDSACASA-N -1 1 349.420 -0.429 20 0 EBADMM CC(=O)NCCCNS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000823994167 583839721 /nfs/dbraw/zinc/83/97/21/583839721.db2.gz CYQBQUUBZSRVBL-UHFFFAOYSA-N -1 1 342.356 -0.190 20 0 EBADMM CC(=O)NCCCNS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000823994167 583839724 /nfs/dbraw/zinc/83/97/24/583839724.db2.gz CYQBQUUBZSRVBL-UHFFFAOYSA-N -1 1 342.356 -0.190 20 0 EBADMM CC(C)C[C@H](C)N(C)C(=O)Cn1c(=O)c(-c2nn[n-]n2)cn(C)c1=O ZINC000824230037 583842627 /nfs/dbraw/zinc/84/26/27/583842627.db2.gz YLHLSMYVOBFUKO-JTQLQIEISA-N -1 1 349.395 -0.380 20 0 EBADMM CC(C)[C@H]1CN(c2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)CCO1 ZINC000824223436 583842657 /nfs/dbraw/zinc/84/26/57/583842657.db2.gz JDFIANBHHMKWEO-SECBINFHSA-N -1 1 335.368 -0.875 20 0 EBADMM C[C@H](C[C@H](O)c1ccco1)NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000824390580 583844727 /nfs/dbraw/zinc/84/47/27/583844727.db2.gz BOVQWOJSLHUUER-BDAKNGLRSA-N -1 1 332.324 -0.320 20 0 EBADMM C[C@@H](CNC(=O)C[C@H]1CN(C(=O)[O-])CCO1)N1CCN(C)CC1 ZINC000824922727 583852280 /nfs/dbraw/zinc/85/22/80/583852280.db2.gz SDQSGXARNLSKCW-STQMWFEESA-N -1 1 328.413 -0.493 20 0 EBADMM C[C@H]1CN(c2nccnc2-c2nnn[n-]2)CCN1C(=O)c1cnco1 ZINC000825000201 583853798 /nfs/dbraw/zinc/85/37/98/583853798.db2.gz NYDXZZTYPFHPDU-VIFPVBQESA-N -1 1 341.335 -0.004 20 0 EBADMM C[C@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1C(=O)c1cnco1 ZINC000825000201 583853799 /nfs/dbraw/zinc/85/37/99/583853799.db2.gz NYDXZZTYPFHPDU-VIFPVBQESA-N -1 1 341.335 -0.004 20 0 EBADMM C[C@@H]1CO[C@@H](CO)CN1S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000825006103 583853993 /nfs/dbraw/zinc/85/39/93/583853993.db2.gz GCZJYKHDPURJSX-RKDXNWHRSA-N -1 1 340.365 -0.968 20 0 EBADMM C[C@@H]1CO[C@@H](CO)CN1S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000825006103 583853995 /nfs/dbraw/zinc/85/39/95/583853995.db2.gz GCZJYKHDPURJSX-RKDXNWHRSA-N -1 1 340.365 -0.968 20 0 EBADMM CCS(=O)(=O)NC1CCN(c2ccc(-c3nnn[n-]3)nn2)CC1 ZINC000825662920 583865090 /nfs/dbraw/zinc/86/50/90/583865090.db2.gz FJJMZAAGQKZIHK-UHFFFAOYSA-N -1 1 338.397 -0.435 20 0 EBADMM CCS(=O)(=O)NC1CCN(c2ccc(-c3nn[n-]n3)nn2)CC1 ZINC000825662920 583865092 /nfs/dbraw/zinc/86/50/92/583865092.db2.gz FJJMZAAGQKZIHK-UHFFFAOYSA-N -1 1 338.397 -0.435 20 0 EBADMM CCc1nc(CN2CCN(c3ccc(-c4nnn[n-]4)nn3)CC2)no1 ZINC000825745830 583866208 /nfs/dbraw/zinc/86/62/08/583866208.db2.gz QBCFALXDHWSUJE-UHFFFAOYSA-N -1 1 342.367 -0.076 20 0 EBADMM CCc1nc(CN2CCN(c3ccc(-c4nn[n-]n4)nn3)CC2)no1 ZINC000825745830 583866210 /nfs/dbraw/zinc/86/62/10/583866210.db2.gz QBCFALXDHWSUJE-UHFFFAOYSA-N -1 1 342.367 -0.076 20 0 EBADMM CCN1C(=S)N=NC1CNC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825797332 583866946 /nfs/dbraw/zinc/86/69/46/583866946.db2.gz ZWNANVPYBRSOCX-UHFFFAOYSA-N -1 1 349.380 -0.078 20 0 EBADMM CCN1C(=S)N=NC1CNC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825797332 583866949 /nfs/dbraw/zinc/86/69/49/583866949.db2.gz ZWNANVPYBRSOCX-UHFFFAOYSA-N -1 1 349.380 -0.078 20 0 EBADMM CN(C)C(=O)CNS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000825839538 583867656 /nfs/dbraw/zinc/86/76/56/583867656.db2.gz JKWLDSOCHWPDLN-UHFFFAOYSA-N -1 1 328.329 -0.628 20 0 EBADMM CC(=O)N1CCN(C(=O)[C@H](C)N2CCSC[C@@H]2CC(=O)[O-])CC1 ZINC000825839734 583867780 /nfs/dbraw/zinc/86/77/80/583867780.db2.gz GNGPRNGKRVJXIG-AAEUAGOBSA-N -1 1 343.449 -0.042 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nnn[n-]1)C(=O)CCn1cccn1 ZINC000825930784 583871351 /nfs/dbraw/zinc/87/13/51/583871351.db2.gz ZFAOWONWWVVQML-UHFFFAOYSA-N -1 1 344.383 -0.150 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nn[n-]n1)C(=O)CCn1cccn1 ZINC000825930784 583871353 /nfs/dbraw/zinc/87/13/53/583871353.db2.gz ZFAOWONWWVVQML-UHFFFAOYSA-N -1 1 344.383 -0.150 20 0 EBADMM CN(Cc1cccc(O)c1)c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000825980886 583872617 /nfs/dbraw/zinc/87/26/17/583872617.db2.gz KOXCITJJWRQJDQ-UHFFFAOYSA-N -1 1 343.347 -0.394 20 0 EBADMM CNC(=O)[C@H](C)NS(=O)(=O)c1cccc(Cl)c1-c1nnn[n-]1 ZINC000826050918 583875550 /nfs/dbraw/zinc/87/55/50/583875550.db2.gz HZJULOOLLMHDEE-LURJTMIESA-N -1 1 344.784 -0.067 20 0 EBADMM CNC(=O)[C@H](C)NS(=O)(=O)c1cccc(Cl)c1-c1nn[n-]n1 ZINC000826050918 583875551 /nfs/dbraw/zinc/87/55/51/583875551.db2.gz HZJULOOLLMHDEE-LURJTMIESA-N -1 1 344.784 -0.067 20 0 EBADMM CNS(=O)(=O)CCC(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000826090785 583878084 /nfs/dbraw/zinc/87/80/84/583878084.db2.gz OYFWIAKDPIWJNP-UHFFFAOYSA-N -1 1 326.338 -0.756 20 0 EBADMM CNS(=O)(=O)CCC(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000826090785 583878087 /nfs/dbraw/zinc/87/80/87/583878087.db2.gz OYFWIAKDPIWJNP-UHFFFAOYSA-N -1 1 326.338 -0.756 20 0 EBADMM C[C@@H]1OC(C)(C)N(C(=O)[O-])[C@@H]1C(=O)NC[C@@H]1CN(C)CCN1C ZINC000826110621 583879316 /nfs/dbraw/zinc/87/93/16/583879316.db2.gz SMENZDVUMAPVHU-TUAOUCFPSA-N -1 1 328.413 -0.148 20 0 EBADMM COC(=O)C1=NO[C@@H](Cn2nc(C)c(C)c(-c3nn[n-]n3)c2=O)C1 ZINC000826129008 583881498 /nfs/dbraw/zinc/88/14/98/583881498.db2.gz JKHAYVGQNVGHDR-MRVPVSSYSA-N -1 1 333.308 -0.642 20 0 EBADMM COC1(C)CCN(c2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)CC1 ZINC000826143962 583883191 /nfs/dbraw/zinc/88/31/91/583883191.db2.gz YDTUQNUCPRQMEV-UHFFFAOYSA-N -1 1 335.368 -0.731 20 0 EBADMM COC[C@H](CNS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1)OC ZINC000826149384 583883453 /nfs/dbraw/zinc/88/34/53/583883453.db2.gz GNNSJKSLZISMPU-VIFPVBQESA-N -1 1 345.356 -0.055 20 0 EBADMM COCC[C@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)c1ccco1 ZINC000826154786 583885399 /nfs/dbraw/zinc/88/53/99/583885399.db2.gz XWFKLGOEDADITB-VIFPVBQESA-N -1 1 332.324 -0.055 20 0 EBADMM CON(C)CC(=O)N1CCN(c2oc(C)nc2-c2nn[n-]n2)CC1 ZINC000826166580 583886916 /nfs/dbraw/zinc/88/69/16/583886916.db2.gz RMDBJCRXFDXDOF-UHFFFAOYSA-N -1 1 336.356 -0.695 20 0 EBADMM CS[C@@H](CO)[C@H](C)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000826227300 583888820 /nfs/dbraw/zinc/88/88/20/583888820.db2.gz OXNHLSXNSNPYEL-XVKPBYJWSA-N -1 1 344.422 -0.348 20 0 EBADMM CS[C@@H](CO)[C@H](C)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000826227300 583888822 /nfs/dbraw/zinc/88/88/22/583888822.db2.gz OXNHLSXNSNPYEL-XVKPBYJWSA-N -1 1 344.422 -0.348 20 0 EBADMM CS[C@H](CO)[C@H](C)NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000826226206 583889176 /nfs/dbraw/zinc/88/91/76/583889176.db2.gz URKDOJFMAUXERD-IMTBSYHQSA-N -1 1 326.382 -0.066 20 0 EBADMM CS[C@H](CO)[C@H](C)NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000826226206 583889178 /nfs/dbraw/zinc/88/91/78/583889178.db2.gz URKDOJFMAUXERD-IMTBSYHQSA-N -1 1 326.382 -0.066 20 0 EBADMM Cc1nc(CN2CCN(c3ccc(-c4nnn[n-]4)nn3)CC2)no1 ZINC000826325993 583891693 /nfs/dbraw/zinc/89/16/93/583891693.db2.gz USMRGVDEYLNNMC-UHFFFAOYSA-N -1 1 328.340 -0.330 20 0 EBADMM Cc1nc(CN2CCN(c3ccc(-c4nn[n-]n4)nn3)CC2)no1 ZINC000826325993 583891695 /nfs/dbraw/zinc/89/16/95/583891695.db2.gz USMRGVDEYLNNMC-UHFFFAOYSA-N -1 1 328.340 -0.330 20 0 EBADMM Cc1onc(CC(=O)NCCNc2ncccn2)c1-c1nnn[n-]1 ZINC000826347390 583892846 /nfs/dbraw/zinc/89/28/46/583892846.db2.gz POFVMILLINJFOD-UHFFFAOYSA-N -1 1 329.324 -0.276 20 0 EBADMM Cc1onc(CC(=O)NCCNc2ncccn2)c1-c1nn[n-]n1 ZINC000826347390 583892847 /nfs/dbraw/zinc/89/28/47/583892847.db2.gz POFVMILLINJFOD-UHFFFAOYSA-N -1 1 329.324 -0.276 20 0 EBADMM Cc1onc(CC(=O)NC[C@@H](O)COCC2CC2)c1-c1nnn[n-]1 ZINC000826346376 583892902 /nfs/dbraw/zinc/89/29/02/583892902.db2.gz LALSECAFGVIFGT-SNVBAGLBSA-N -1 1 336.352 -0.391 20 0 EBADMM Cc1onc(CC(=O)NC[C@@H](O)COCC2CC2)c1-c1nn[n-]n1 ZINC000826346376 583892903 /nfs/dbraw/zinc/89/29/03/583892903.db2.gz LALSECAFGVIFGT-SNVBAGLBSA-N -1 1 336.352 -0.391 20 0 EBADMM Cc1onc(CC(=O)NCC(=O)NCc2ccco2)c1-c1nnn[n-]1 ZINC000826346345 583893044 /nfs/dbraw/zinc/89/30/44/583893044.db2.gz KIFXNBQCLKMCCD-UHFFFAOYSA-N -1 1 345.319 -0.269 20 0 EBADMM Cc1onc(CC(=O)NCC(=O)NCc2ccco2)c1-c1nn[n-]n1 ZINC000826346345 583893046 /nfs/dbraw/zinc/89/30/46/583893046.db2.gz KIFXNBQCLKMCCD-UHFFFAOYSA-N -1 1 345.319 -0.269 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NCC(C)(C)CCCO)n(C)c1=O ZINC000826355730 583893483 /nfs/dbraw/zinc/89/34/83/583893483.db2.gz LBOKRPIPAHYFBF-UHFFFAOYSA-N -1 1 337.384 -0.525 20 0 EBADMM Cn1cc(N2CCN(Cc3ccnc(-c4nnn[n-]4)c3)CC2=O)cn1 ZINC000826362418 583894479 /nfs/dbraw/zinc/89/44/79/583894479.db2.gz RLKSHTZCYGXUDQ-UHFFFAOYSA-N -1 1 339.363 -0.156 20 0 EBADMM Cn1cc(N2CCN(Cc3ccnc(-c4nn[n-]n4)c3)CC2=O)cn1 ZINC000826362418 583894480 /nfs/dbraw/zinc/89/44/80/583894480.db2.gz RLKSHTZCYGXUDQ-UHFFFAOYSA-N -1 1 339.363 -0.156 20 0 EBADMM Cn1cnnc1CNS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000826365865 583895349 /nfs/dbraw/zinc/89/53/49/583895349.db2.gz HETVUQNNRRNBGD-UHFFFAOYSA-N -1 1 338.328 -0.387 20 0 EBADMM Cn1[n-]c(CN2CCN(c3ccccc3-c3nn[nH]n3)CC2)nc1=O ZINC000826366163 583895401 /nfs/dbraw/zinc/89/54/01/583895401.db2.gz VOJZQTYZJCFKGC-UHFFFAOYSA-N -1 1 341.379 -0.389 20 0 EBADMM NC(=O)CCCCNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000826390877 583896846 /nfs/dbraw/zinc/89/68/46/583896846.db2.gz PXLLATVCLKMTJN-UHFFFAOYSA-N -1 1 325.354 -0.804 20 0 EBADMM NC(=O)CCCCNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000826390877 583896847 /nfs/dbraw/zinc/89/68/47/583896847.db2.gz PXLLATVCLKMTJN-UHFFFAOYSA-N -1 1 325.354 -0.804 20 0 EBADMM NS(=O)(=O)c1cccc(CNc2ccc(-c3nnn[n-]3)nn2)c1 ZINC000826395268 583898565 /nfs/dbraw/zinc/89/85/65/583898565.db2.gz UTJOATMKDYUESZ-UHFFFAOYSA-N -1 1 332.349 -0.084 20 0 EBADMM NS(=O)(=O)c1cccc(CNc2ccc(-c3nn[n-]n3)nn2)c1 ZINC000826395268 583898566 /nfs/dbraw/zinc/89/85/66/583898566.db2.gz UTJOATMKDYUESZ-UHFFFAOYSA-N -1 1 332.349 -0.084 20 0 EBADMM O=C(NC1CC1)C(=O)N1CCN(Cc2ccc(-c3nnn[n-]3)o2)CC1 ZINC000826428424 583901268 /nfs/dbraw/zinc/90/12/68/583901268.db2.gz BIDDDZBYAZTLTD-UHFFFAOYSA-N -1 1 345.363 -0.618 20 0 EBADMM O=C(NC1CC1)C(=O)N1CCN(Cc2ccc(-c3nn[n-]n3)o2)CC1 ZINC000826428424 583901270 /nfs/dbraw/zinc/90/12/70/583901270.db2.gz BIDDDZBYAZTLTD-UHFFFAOYSA-N -1 1 345.363 -0.618 20 0 EBADMM O=C1NC(=O)[C@@]2(CCC[C@@H]2CNc2ccc(-c3nnn[n-]3)nn2)N1 ZINC000826482036 583904316 /nfs/dbraw/zinc/90/43/16/583904316.db2.gz PVXQYRQCWAZFMW-UHLUBPPHSA-N -1 1 329.324 -0.553 20 0 EBADMM O=C1NC(=O)[C@@]2(CCC[C@@H]2CNc2ccc(-c3nn[n-]n3)nn2)N1 ZINC000826482036 583904319 /nfs/dbraw/zinc/90/43/19/583904319.db2.gz PVXQYRQCWAZFMW-UHLUBPPHSA-N -1 1 329.324 -0.553 20 0 EBADMM O=S(=O)(NC[C@H]1COCCO1)c1ccc(F)cc1-c1nn[n-]n1 ZINC000826490915 583905465 /nfs/dbraw/zinc/90/54/65/583905465.db2.gz MOMGSLIPHZRHSI-VIFPVBQESA-N -1 1 343.340 -0.301 20 0 EBADMM CC[C@@H]1CN(C(=O)[C@@H]2C[C@@H](O)CN2C(=O)[O-])CCN1C[C@@H](C)O ZINC000826683924 583909596 /nfs/dbraw/zinc/90/95/96/583909596.db2.gz YVWNXPVFFGUTJL-LPWJVIDDSA-N -1 1 329.397 -0.597 20 0 EBADMM CO[C@](C)(C(=O)OC(C)(C)C)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000826833295 583911077 /nfs/dbraw/zinc/91/10/77/583911077.db2.gz AWRUXMYXTLWVHD-XPTSAGLGSA-N -1 1 341.368 -0.154 20 0 EBADMM CCN(CC)CC(=O)Nc1nc(N2CCN(C(=O)[O-])CC2)n[nH]1 ZINC000827040328 583914307 /nfs/dbraw/zinc/91/43/07/583914307.db2.gz RIEVADMJVVZNCB-UHFFFAOYSA-N -1 1 325.373 -0.115 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)CCN2C(=O)CCC2=O)CC1 ZINC000827362356 583919807 /nfs/dbraw/zinc/91/98/07/583919807.db2.gz NJHTVQRJJHOYHT-NSHDSACASA-N -1 1 325.365 -0.467 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)CNC(=O)c2cccnc2)CC1 ZINC000827361543 583919853 /nfs/dbraw/zinc/91/98/53/583919853.db2.gz BFLFLFIYSHJZAC-GFCCVEGCSA-N -1 1 334.376 -0.181 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)CCN2CCCS2(=O)=O)CC1 ZINC000827363247 583919936 /nfs/dbraw/zinc/91/99/36/583919936.db2.gz ZOUGWEZZIVCSKJ-LBPRGKRZSA-N -1 1 347.437 -0.581 20 0 EBADMM C[C@@H](CN1CCN(C)CC1)NC(=O)N1CCO[C@H](CC(=O)[O-])C1 ZINC000827460899 583921288 /nfs/dbraw/zinc/92/12/88/583921288.db2.gz FUCKOJRTMHFZFZ-QWHCGFSZSA-N -1 1 328.413 -0.493 20 0 EBADMM CN(C)CC(=O)N1CCN(C(=O)[C@@H]2CC[C@H](NC(=O)[O-])C2)CC1 ZINC000828009638 583931474 /nfs/dbraw/zinc/93/14/74/583931474.db2.gz NOXBJKKTSYPMLC-NEPJUHHUSA-N -1 1 326.397 -0.345 20 0 EBADMM CN(CCCN1CCOCC1)CC(=O)N1CCN(C(=O)[O-])CC1 ZINC000828284852 583936707 /nfs/dbraw/zinc/93/67/07/583936707.db2.gz HEDHOSHACBYRPR-UHFFFAOYSA-N -1 1 328.413 -0.537 20 0 EBADMM C[C@H]1CN(CCCNC(=O)Cn2cc(C(=O)[O-])nn2)C[C@H](C)O1 ZINC000828448118 583939466 /nfs/dbraw/zinc/93/94/66/583939466.db2.gz BEHFSMORYDXXID-QWRGUYRKSA-N -1 1 325.369 -0.408 20 0 EBADMM Cc1nnc([C@H]2CN(C(=O)C[C@@H]3CN(C(=O)[O-])CCO3)CCO2)[nH]1 ZINC000830676846 583969445 /nfs/dbraw/zinc/96/94/45/583969445.db2.gz AYQJMNGIHIYHLW-GHMZBOCLSA-N -1 1 339.352 -0.218 20 0 EBADMM Cc1nnc(SCC(=O)NCCN2CCN(C(=O)[O-])CC2)[nH]1 ZINC000830680027 583969504 /nfs/dbraw/zinc/96/95/04/583969504.db2.gz YVEOHDZTOPVGID-UHFFFAOYSA-N -1 1 328.398 -0.383 20 0 EBADMM Cc1n[nH]c(SCC(=O)NCCN2CCN(C(=O)[O-])CC2)n1 ZINC000830680027 583969506 /nfs/dbraw/zinc/96/95/06/583969506.db2.gz YVEOHDZTOPVGID-UHFFFAOYSA-N -1 1 328.398 -0.383 20 0 EBADMM Cc1n[nH]c(CCNC(=O)c2cn(C3CCN(C(=O)[O-])CC3)nn2)n1 ZINC000830746668 583970393 /nfs/dbraw/zinc/97/03/93/583970393.db2.gz OBAFCSMDXUKBCC-UHFFFAOYSA-N -1 1 348.367 -0.008 20 0 EBADMM CN(CC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)S(C)(=O)=O ZINC000831154680 583977328 /nfs/dbraw/zinc/97/73/28/583977328.db2.gz ZRIYZLZURQBFMF-UHFFFAOYSA-N -1 1 331.316 -0.985 20 0 EBADMM O=C([O-])N1C[C@@H](O)C[C@H]1C(=O)N1CCN(C2CCOCC2)CC1 ZINC000831271133 583981182 /nfs/dbraw/zinc/98/11/82/583981182.db2.gz AIQBBWWCNYUREI-STQMWFEESA-N -1 1 327.381 -0.577 20 0 EBADMM O=C([O-])N1CCc2c1cccc2NC(=O)C(=O)NCc1nn[nH]n1 ZINC000831731173 583990720 /nfs/dbraw/zinc/99/07/20/583990720.db2.gz SQZOUQBDMOKTJV-UHFFFAOYSA-N -1 1 331.292 -0.505 20 0 EBADMM COCCN1C[C@H](C(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)CC1=O ZINC000831739964 583990902 /nfs/dbraw/zinc/99/09/02/583990902.db2.gz BVIPBJCAJJBGDZ-CHWSQXEVSA-N -1 1 341.408 -0.511 20 0 EBADMM O=C([O-])N1CC(OCC(=O)N2CCN([C@@H]3CCC[C@H]3O)CC2)C1 ZINC000831859120 583993110 /nfs/dbraw/zinc/99/31/10/583993110.db2.gz SWLDUUUWAYPHQN-CHWSQXEVSA-N -1 1 327.381 -0.577 20 0 EBADMM O=C([O-])N1CCO[C@@H](C(=O)N2CCC(CN3CCOCC3)CC2)C1 ZINC000832050466 583995220 /nfs/dbraw/zinc/99/52/20/583995220.db2.gz PYVAZSIYYLSBML-CQSZACIVSA-N -1 1 341.408 -0.064 20 0 EBADMM O=C([O-])N1CCO[C@@H](CC(=O)N2CC[C@H](CN3CCOCC3)C2)C1 ZINC000832060173 583995451 /nfs/dbraw/zinc/99/54/51/583995451.db2.gz HLVPBYSJHLXBEM-KGLIPLIRSA-N -1 1 341.408 -0.064 20 0 EBADMM O=C([O-])NCCNC(=O)[C@@H]1CCCN(C(=O)CCc2nc[nH]n2)C1 ZINC000832648053 584000006 /nfs/dbraw/zinc/00/00/06/584000006.db2.gz VLAOHUFJGHTYPA-SNVBAGLBSA-N -1 1 338.368 -0.640 20 0 EBADMM O=C([O-])C[C@H]1CN(C(=O)NCCCCN2CCOCC2)CCO1 ZINC000833217172 584007124 /nfs/dbraw/zinc/00/71/24/584007124.db2.gz HASQXRUYBPULLR-ZDUSSCGKSA-N -1 1 329.397 -0.016 20 0 EBADMM CC(C)C(=O)NCCNC(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C ZINC000833466953 584010746 /nfs/dbraw/zinc/01/07/46/584010746.db2.gz IMLSGSNJJYTGLZ-LBPRGKRZSA-N -1 1 328.413 -0.051 20 0 EBADMM CC(C)CN1CCO[C@@H](CNC(=O)CN[C@@]2(C(=O)[O-])CCOC2)C1 ZINC000833488088 584010998 /nfs/dbraw/zinc/01/09/98/584010998.db2.gz PBCRVBFUNJWYIA-BBRMVZONSA-N -1 1 343.424 -0.707 20 0 EBADMM CC(C)CNC(=O)NC(=O)CN1CCN(CCC(=O)[O-])C[C@@H]1C ZINC000833489070 584011077 /nfs/dbraw/zinc/01/10/77/584011077.db2.gz LXIRWUIFUARSAS-LBPRGKRZSA-N -1 1 328.413 -0.051 20 0 EBADMM CCc1nc([C@@H](C)NS(=O)(=O)c2cnn(CC(=O)[O-])c2)n[nH]1 ZINC000833673340 584013622 /nfs/dbraw/zinc/01/36/22/584013622.db2.gz JNGKGOAFQXBBCI-SSDOTTSWSA-N -1 1 328.354 -0.312 20 0 EBADMM CN(CC(=O)N1CC(n2cc(C(=O)[O-])nn2)C1)[C@H]1CCSC1 ZINC000833692024 584013904 /nfs/dbraw/zinc/01/39/04/584013904.db2.gz SEYHHYBFWLYHOT-VIFPVBQESA-N -1 1 325.394 -0.203 20 0 EBADMM Cc1cc(O)cc(=O)n1CC(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C ZINC000833746626 584015747 /nfs/dbraw/zinc/01/57/47/584015747.db2.gz FANAKQDBBKJCFK-LBPRGKRZSA-N -1 1 337.376 -0.130 20 0 EBADMM O=C([O-])c1cc(C(=O)N[C@@H]2CCN(CCN3CCOCC3)C2)on1 ZINC000833778364 584016230 /nfs/dbraw/zinc/01/62/30/584016230.db2.gz ZZRMKHZBRWXABK-LLVKDONJSA-N -1 1 338.364 -0.491 20 0 EBADMM C[C@H](NC(=O)[O-])[C@H]1CCN(C(=O)NC[C@H]2CN(C)CCN2C)C1 ZINC000833814503 584016793 /nfs/dbraw/zinc/01/67/93/584016793.db2.gz DPQZUQPCXXPPOW-AVGNSLFASA-N -1 1 327.429 -0.080 20 0 EBADMM Cn1c(=O)cc(C[N@H+]2C[C@@H]3CCC[C@@H](NC(=O)[O-])[C@@H]3C2)n(C)c1=O ZINC000833941453 584020056 /nfs/dbraw/zinc/02/00/56/584020056.db2.gz MFWWOFILGZHIPF-CYZMBNFOSA-N -1 1 336.392 -0.048 20 0 EBADMM NC(=O)c1n[nH]c2ccc(NC(=O)COC3CN(C(=O)[O-])C3)cc21 ZINC000833986602 584023256 /nfs/dbraw/zinc/02/32/56/584023256.db2.gz SKDMUBFLZATAEN-UHFFFAOYSA-N -1 1 333.304 -0.021 20 0 EBADMM O=C(CO[C@H]1CCCN(C(=O)[O-])C1)Nc1nc(SCCO)n[nH]1 ZINC000834009399 584024110 /nfs/dbraw/zinc/02/41/10/584024110.db2.gz GBCLDULNGCHFDI-QMMMGPOBSA-N -1 1 345.381 -0.013 20 0 EBADMM O=C([O-])NCC(=O)NCC(=O)NC1CCN(Cc2ccncc2)CC1 ZINC000834197503 584028773 /nfs/dbraw/zinc/02/87/73/584028773.db2.gz UKBVCUWAKBQMBV-UHFFFAOYSA-N -1 1 349.391 -0.454 20 0 EBADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@H]2CC(=O)N(C3CC3)C2)CCC1 ZINC000843017461 584069680 /nfs/dbraw/zinc/06/96/80/584069680.db2.gz FTDCMGQEAFKARG-NSHDSACASA-N -1 1 343.449 -0.072 20 0 EBADMM COC[C@]1(C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)CCOC1 ZINC000843015233 584069767 /nfs/dbraw/zinc/06/97/67/584069767.db2.gz SISDJTUGETVBJK-CYBMUJFWSA-N -1 1 334.438 -0.030 20 0 EBADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]C[C@@H]1CCCCN1CCO ZINC000867326759 584078156 /nfs/dbraw/zinc/07/81/56/584078156.db2.gz IAINUVRUOOWRGX-WLRWDXFRSA-N -1 1 327.472 -0.215 20 0 EBADMM CC[S@](C)(=O)=NS(=O)(=O)[N-]CCN1C[C@H]2CC[C@@H](C1)O2 ZINC000867354644 584093636 /nfs/dbraw/zinc/09/36/36/584093636.db2.gz HRXWTQKXBOHRHP-QQKBFRNYSA-N -1 1 325.456 -0.198 20 0 EBADMM CCO[N-]C(=O)CNC(=O)N1CCN(c2cc(OC)ccn2)CC1 ZINC000845546984 584111623 /nfs/dbraw/zinc/11/16/23/584111623.db2.gz HIEJUFMGEAKETO-UHFFFAOYSA-N -1 1 337.380 -0.011 20 0 EBADMM CCO[N-]C(=O)CNC(=O)c1cc(S(=O)(=O)N(C)C)ccc1O ZINC000846493263 584126697 /nfs/dbraw/zinc/12/66/97/584126697.db2.gz SUXLYWWFJCHKSW-UHFFFAOYSA-N -1 1 345.377 -0.560 20 0 EBADMM Cn1[n-]c(COC(=O)[C@@]2(c3ccccc3)CCC(=O)NC2)nc1=O ZINC000848784663 584161851 /nfs/dbraw/zinc/16/18/51/584161851.db2.gz CYBUXEMNAIESDK-INIZCTEOSA-N -1 1 330.344 0.000 20 0 EBADMM CO[C@H](CS(=O)(=O)[N-]Cc1nc(N(C)C)no1)[C@@H]1CCOC1 ZINC000849387519 584168761 /nfs/dbraw/zinc/16/87/61/584168761.db2.gz NLJOCDGZSQRAIP-NXEZZACHSA-N -1 1 334.398 -0.394 20 0 EBADMM NC(=O)c1coc(C[N-]S(=O)(=O)c2cn3c(n2)CCCC3)n1 ZINC000849423273 584170483 /nfs/dbraw/zinc/17/04/83/584170483.db2.gz LDQMSNKSIXQOJC-UHFFFAOYSA-N -1 1 325.350 -0.215 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N[C@H]3C[C@H](O)C34CCC4)ccnc1-2 ZINC000850669839 584185293 /nfs/dbraw/zinc/18/52/93/584185293.db2.gz ZABCUUSXEWIKER-RYUDHWBXSA-N -1 1 329.360 -0.300 20 0 EBADMM C[C@H]1CN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)C[C@@]1(C)CO ZINC000850706380 584186967 /nfs/dbraw/zinc/18/69/67/584186967.db2.gz IQDNLFQLTDJOIT-QFYYESIMSA-N -1 1 331.376 -0.243 20 0 EBADMM Cn1cc(C(=O)N2CCC[C@@H](NC(=O)C(F)(F)F)[C@@H]2C(=O)[O-])nn1 ZINC000851704072 584200824 /nfs/dbraw/zinc/20/08/24/584200824.db2.gz SARDXDGBDKLBFX-HTRCEHHLSA-N -1 1 349.269 -0.449 20 0 EBADMM CO[C@@H]1CS(=O)(=O)[C@H]2C[N@@H+](CCCNC(=O)C(F)(F)F)C[C@H]21 ZINC000852593751 584213753 /nfs/dbraw/zinc/21/37/53/584213753.db2.gz OGNBXLXFTYRTSH-AEJSXWLSSA-N -1 1 344.355 -0.201 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@H](N3CCOC3=O)C2)co1 ZINC000855505131 584260389 /nfs/dbraw/zinc/26/03/89/584260389.db2.gz IPDXFCFYPCJYQC-JTQLQIEISA-N -1 1 343.361 -0.146 20 0 EBADMM COC(=O)C(C)(C)n1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC000855895468 584264167 /nfs/dbraw/zinc/26/41/67/584264167.db2.gz WQFYHUPQLAKCAC-UHFFFAOYSA-N -1 1 349.347 -0.330 20 0 EBADMM O=C(CN1CCOCC1)N1CCN(c2cc(Cl)[n-]c(=O)n2)CC1 ZINC000858335002 584286616 /nfs/dbraw/zinc/28/66/16/584286616.db2.gz KGJXKNKMOWYIEC-UHFFFAOYSA-N -1 1 341.799 -0.184 20 0 EBADMM COc1cc(COCC(=O)N2CCO[C@H](c3nn[n-]n3)C2)ccn1 ZINC000859279090 584298978 /nfs/dbraw/zinc/29/89/78/584298978.db2.gz YJDSUAKQXKRMIZ-NSHDSACASA-N -1 1 334.336 -0.280 20 0 EBADMM COC(=O)[C@H](C[C@@H]1CCCO1)[N-]S(=O)(=O)CCn1cccn1 ZINC000885768718 584303783 /nfs/dbraw/zinc/30/37/83/584303783.db2.gz KDCDYHNNBLNCCB-RYUDHWBXSA-N -1 1 331.394 -0.087 20 0 EBADMM CNC(=O)NC[C@H]1CN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CCO1 ZINC000861025354 584325166 /nfs/dbraw/zinc/32/51/66/584325166.db2.gz IAVCLTYJNHDGCZ-JTQLQIEISA-N -1 1 347.379 -0.497 20 0 EBADMM CNS(=O)(=O)CCCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000863431177 584370615 /nfs/dbraw/zinc/37/06/15/584370615.db2.gz TWUFMKNOVYPJLV-UHFFFAOYSA-N -1 1 329.407 -0.313 20 0 EBADMM CC(=O)N1CCC[C@@H]1C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1 ZINC000870447558 584447124 /nfs/dbraw/zinc/44/71/24/584447124.db2.gz UGPBKZKIGIBVOV-GFCCVEGCSA-N -1 1 331.438 -0.072 20 0 EBADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(Cn2nc3cnccn3c2=O)C1 ZINC000872752259 584466470 /nfs/dbraw/zinc/46/64/70/584466470.db2.gz DPCVSFHCVLCUFH-GFCCVEGCSA-N -1 1 344.297 -0.009 20 0 EBADMM Cc1c(NC(=O)C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)cnn1C ZINC000874229510 584480807 /nfs/dbraw/zinc/48/08/07/584480807.db2.gz YKMZYVLYVJUABW-UHFFFAOYSA-N -1 1 347.297 -0.196 20 0 EBADMM CCO[C@@H]1C[C@H]([N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C)[C@@H]1OC ZINC000882068400 584582848 /nfs/dbraw/zinc/58/28/48/584582848.db2.gz VYHLRZIHZQBGLK-HCKOUTBRSA-N -1 1 329.444 -0.412 20 0 EBADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1C[C@@H]2CCCCN2C1=O ZINC000882245338 584586637 /nfs/dbraw/zinc/58/66/37/584586637.db2.gz HRGFDENYFPVPKK-DCLVOVMVSA-N -1 1 338.455 -0.451 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2C[C@@H]3CCCC[C@H]23)c(=O)n(C)c1=O ZINC000882707119 584593953 /nfs/dbraw/zinc/59/39/53/584593953.db2.gz GBFBMARMPILASF-GARJFASQSA-N -1 1 327.406 -0.059 20 0 EBADMM Cn1cc(N2CC[C@@H]([N-]S(=O)(=O)c3ccns3)C2=O)cn1 ZINC000885018388 584642752 /nfs/dbraw/zinc/64/27/52/584642752.db2.gz NVAMRHVBUMMBIJ-SECBINFHSA-N -1 1 327.391 -0.040 20 0 EBADMM O=C1COC[C@@]2(C[N-]S(=O)(=O)c3ccns3)COCCN12 ZINC000885344038 584649453 /nfs/dbraw/zinc/64/94/53/584649453.db2.gz WWKFOAYSRIFDPA-LLVKDONJSA-N -1 1 333.391 -0.951 20 0 EBADMM C[C@@H]1C[C@@H]1[C@H]1C[C@H]1C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000885624478 584651179 /nfs/dbraw/zinc/65/11/79/584651179.db2.gz WZAZJTNXABENKX-RCWTZXSCSA-N -1 1 327.406 -0.346 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCN(CC(F)F)CC1 ZINC000337936473 584680701 /nfs/dbraw/zinc/68/07/01/584680701.db2.gz CLIGODWSLVIYBU-UHFFFAOYSA-N -1 1 328.319 -0.074 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCSC[C@@H]1CCO ZINC000352638425 584696942 /nfs/dbraw/zinc/69/69/42/584696942.db2.gz GVVCVOUCHNDBCB-JTQLQIEISA-N -1 1 325.390 -0.157 20 0 EBADMM O=S(=O)(N[C@H]1CCc2ncnn2C1)c1ccc(-c2nnn[n-]2)nc1 ZINC000738341802 598836595 /nfs/dbraw/zinc/83/65/95/598836595.db2.gz FXOWQVLGGAYZTM-QMMMGPOBSA-N -1 1 347.364 -0.854 20 0 EBADMM O=S(=O)(N[C@H]1CCc2ncnn2C1)c1ccc(-c2nn[n-]n2)nc1 ZINC000738341802 598836597 /nfs/dbraw/zinc/83/65/97/598836597.db2.gz FXOWQVLGGAYZTM-QMMMGPOBSA-N -1 1 347.364 -0.854 20 0 EBADMM CN(C)CCN(CC(=O)[O-])S(=O)(=O)c1ccc2c(c1)C(=O)OC2 ZINC000739606010 596908455 /nfs/dbraw/zinc/90/84/55/596908455.db2.gz LZOMMISXGSGVOH-UHFFFAOYSA-N -1 1 342.373 -0.006 20 0 EBADMM CN(C[C@@H]1CCCOC1)c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000736793669 598468551 /nfs/dbraw/zinc/46/85/51/598468551.db2.gz YIDVPXIBHCIOBH-VIFPVBQESA-N -1 1 335.368 -0.873 20 0 EBADMM O=C([O-])Cn1cc(NS(=O)(=O)c2ccc(-n3cnnn3)cc2)cn1 ZINC000739911997 600530487 /nfs/dbraw/zinc/53/04/87/600530487.db2.gz UJTGRYIJLXZQHA-UHFFFAOYSA-N -1 1 349.332 -0.256 20 0 EBADMM CN(C)CC(=O)N1CCN(S(=O)(=O)c2c[nH]c(C(=O)[O-])c2)CC1 ZINC000322063565 600711875 /nfs/dbraw/zinc/71/18/75/600711875.db2.gz DBQMYUVNOZCGRW-UHFFFAOYSA-N -1 1 344.393 -0.893 20 0 EBADMM Cc1cc(NC(=O)CN2CCN(C[C@](C)(O)C(=O)[O-])CC2)no1 ZINC000738429855 600761546 /nfs/dbraw/zinc/76/15/46/600761546.db2.gz MRBJTJNEHDCHOV-AWEZNQCLSA-N -1 1 326.353 -0.625 20 0 EBADMM Cc1cc(NC(=O)CN2CCN(C[C@@](C)(O)C(=O)[O-])CC2)no1 ZINC000738429857 600761691 /nfs/dbraw/zinc/76/16/91/600761691.db2.gz MRBJTJNEHDCHOV-CQSZACIVSA-N -1 1 326.353 -0.625 20 0 EBADMM O=C([O-])NC[C@H]1CCN(CC(=O)N2CCC3(CC2)OCCO3)C1 ZINC000740643758 601940162 /nfs/dbraw/zinc/94/01/62/601940162.db2.gz VMCIDFSLKYNFTN-GFCCVEGCSA-N -1 1 327.381 -0.059 20 0 EBADMM CN(C)CC(=O)N1CCC[C@H](C(=O)N2CCN(C(=O)[O-])CC2)C1 ZINC000739602802 602001737 /nfs/dbraw/zinc/00/17/37/602001737.db2.gz FRTJXJFXSPJSGD-LBPRGKRZSA-N -1 1 326.397 -0.391 20 0 EBADMM CN1CCN(C)[C@H](CNC(=O)N2CCC[C@@H](CNC(=O)[O-])C2)C1 ZINC000739733601 602637317 /nfs/dbraw/zinc/63/73/17/602637317.db2.gz FSTKGQIDZMRWHR-QWHCGFSZSA-N -1 1 327.429 -0.079 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)[C@@H]2COCCN2C(=O)[O-])C1 ZINC000738823676 602830489 /nfs/dbraw/zinc/83/04/89/602830489.db2.gz HGRXWMGMKKGBFT-OLZOCXBDSA-N -1 1 329.397 -0.162 20 0 EBADMM C[C@H]1CC(=O)N(CN2CCC[C@@H](C(=O)NCCNC(=O)[O-])C2)C1 ZINC000739076402 602860078 /nfs/dbraw/zinc/86/00/78/602860078.db2.gz PDCBSJLYZRNDSB-NWDGAFQWSA-N -1 1 326.397 -0.092 20 0 EBADMM CNC(=O)NC(=O)COC(=O)c1sccc1[N-]S(C)(=O)=O ZINC000042776490 649942070 /nfs/dbraw/zinc/94/20/70/649942070.db2.gz FHODMNCFLQIAPS-UHFFFAOYSA-N -1 1 335.363 -0.268 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCN2C(=O)CCC2=O)o1 ZINC000046315742 649946405 /nfs/dbraw/zinc/94/64/05/649946405.db2.gz ZDTZDMYWQARYJT-UHFFFAOYSA-N -1 1 330.318 -0.507 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H](C)C(=O)NCC(=O)OC)o1 ZINC000046315296 649946540 /nfs/dbraw/zinc/94/65/40/649946540.db2.gz GIOJOOZKUGEWIZ-SSDOTTSWSA-N -1 1 348.333 -0.978 20 0 EBADMM CCNC(=O)NC(=O)[C@@H](C)OC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000046314992 649946595 /nfs/dbraw/zinc/94/65/95/649946595.db2.gz TYCWRQVRXXJWFX-SSDOTTSWSA-N -1 1 347.349 -0.421 20 0 EBADMM COCCOC(=O)c1c(C)[nH]c(C(=O)NN2CC(=O)[N-]C2=O)c1C ZINC000047060091 649947711 /nfs/dbraw/zinc/94/77/11/649947711.db2.gz LNBMXKSNCQDBPK-UHFFFAOYSA-N -1 1 338.320 -0.369 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@@H]2CC(=O)N(C)C2)cc1 ZINC000047734841 649947830 /nfs/dbraw/zinc/94/78/30/649947830.db2.gz FNQHPJKXIWCMHK-LLVKDONJSA-N -1 1 334.328 -0.027 20 0 EBADMM CN(CC(=O)OCC(=O)N[N-]C(=O)c1cccs1)c1ncccn1 ZINC000047753658 649948222 /nfs/dbraw/zinc/94/82/22/649948222.db2.gz GHUOHSZYEXVNHB-UHFFFAOYSA-N -1 1 349.372 -0.021 20 0 EBADMM COC(=O)[C@](C)(Cn1cccn1)[N-]S(=O)(=O)C[C@@H]1CCOC1 ZINC000908397759 650100566 /nfs/dbraw/zinc/10/05/66/650100566.db2.gz VRINFVITYJXMMS-YPMHNXCESA-N -1 1 331.394 -0.229 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@H]2COCCN2CC(F)F)CC1 ZINC000910176183 650109258 /nfs/dbraw/zinc/10/92/58/650109258.db2.gz ZTOWPASKADCHOB-NWDGAFQWSA-N -1 1 349.378 -0.040 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@@H]2COCCN2CC(F)F)CC1 ZINC000910176184 650109555 /nfs/dbraw/zinc/10/95/55/650109555.db2.gz ZTOWPASKADCHOB-RYUDHWBXSA-N -1 1 349.378 -0.040 20 0 EBADMM C[C@H](CN1CCOCC1)C(=O)N(CCN1CCOCC1)CC(=O)[O-] ZINC000911364830 650175394 /nfs/dbraw/zinc/17/53/94/650175394.db2.gz BFHKIKCIMHSSSR-CQSZACIVSA-N -1 1 343.424 -0.800 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C1(C(N)=O)CCCCC1 ZINC000912536035 650206686 /nfs/dbraw/zinc/20/66/86/650206686.db2.gz XKVYZJHXHJVAML-UHFFFAOYSA-N -1 1 330.366 -0.337 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)CCS(C)(=O)=O ZINC000912540667 650207035 /nfs/dbraw/zinc/20/70/35/650207035.db2.gz DZTXFFWVPVUCOW-ZETCQYMHSA-N -1 1 339.395 -0.702 20 0 EBADMM CCNC(=O)N1CC[C@@H]([N-]S(=O)(=O)c2n[nH]cc2C(=O)OC)C1 ZINC000912543291 650207365 /nfs/dbraw/zinc/20/73/65/650207365.db2.gz VJUOEUHEWKKVEC-MRVPVSSYSA-N -1 1 345.381 -0.722 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC1(N2CCOCC2)CC1 ZINC000912551331 650208048 /nfs/dbraw/zinc/20/80/48/650208048.db2.gz ZGFBNLKCGHGJGZ-UHFFFAOYSA-N -1 1 344.393 -0.661 20 0 EBADMM CCOC(=O)N1CC[C@H]([N-]S(=O)(=O)c2n[nH]cc2C(=O)OC)C1 ZINC000912547151 650208153 /nfs/dbraw/zinc/20/81/53/650208153.db2.gz KWVHDSXDTABSQE-QMMMGPOBSA-N -1 1 346.365 -0.295 20 0 EBADMM COC(=O)c1c[n-]nc1S(=O)(=O)N=c1cc[nH]cc1C(=O)OC ZINC000912560766 650209186 /nfs/dbraw/zinc/20/91/86/650209186.db2.gz VMYXQSMZHZBVRQ-UHFFFAOYSA-N -1 1 340.317 -0.399 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(Cc2cncs2)CC1 ZINC000913414590 650232695 /nfs/dbraw/zinc/23/26/95/650232695.db2.gz ZPAVVONRQMXVPC-UHFFFAOYSA-N -1 1 349.416 -0.272 20 0 EBADMM CC[C@H](C(=O)N1CCOC[C@@H]1c1nn[n-]n1)N1CCO[C@H](CC)C1 ZINC000913497911 650235895 /nfs/dbraw/zinc/23/58/95/650235895.db2.gz MMIFGYPEGGZWRQ-JHJVBQTASA-N -1 1 338.412 -0.011 20 0 EBADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)CN2CCNC(=O)C2)C1 ZINC000913779710 650246191 /nfs/dbraw/zinc/24/61/91/650246191.db2.gz FVLKIMMUGOWKRN-GFCCVEGCSA-N -1 1 336.314 -0.912 20 0 EBADMM CO[C@]12CCC[C@@]1([N-]S(=O)(=O)C[C@H]1CN(C)CCO1)CCO2 ZINC000921314261 650317087 /nfs/dbraw/zinc/31/70/87/650317087.db2.gz KMWTYQAOCULSGC-MCIONIFRSA-N -1 1 334.438 -0.078 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C2([C@@H]3CCCCO3)CC2)c(=O)n(C)c1=O ZINC000922106200 650328858 /nfs/dbraw/zinc/32/88/58/650328858.db2.gz ZXOIFZODIQWZDK-NSHDSACASA-N -1 1 343.405 -0.536 20 0 EBADMM O=C([N-]CCCN1CCS(=O)(=O)C[C@H]1CCO)C(F)(F)F ZINC000932312866 651673022 /nfs/dbraw/zinc/67/30/22/651673022.db2.gz ZMNFJPXWPVQPLT-SECBINFHSA-N -1 1 332.344 -0.464 20 0 EBADMM O=C(Cn1cnnn1)N1CCCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000937213650 651681690 /nfs/dbraw/zinc/68/16/90/651681690.db2.gz YDLJNVIGZVCONC-NSHDSACASA-N -1 1 345.363 -0.415 20 0 EBADMM CN(C(=O)Cc1nnc[nH]1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216799 651684539 /nfs/dbraw/zinc/68/45/39/651684539.db2.gz MMYPPKWEVAUYOK-JTQLQIEISA-N -1 1 330.348 -0.179 20 0 EBADMM CN(C(=O)[C@@H]1CNC(=O)N1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937219181 651685878 /nfs/dbraw/zinc/68/58/78/651685878.db2.gz DQUQEZSHALNGIP-UWVGGRQHSA-N -1 1 333.348 -0.859 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)c2cnnn2C)C1 ZINC000937299185 651721840 /nfs/dbraw/zinc/72/18/40/651721840.db2.gz XFIPSUIPDAAHFU-SNVBAGLBSA-N -1 1 330.348 -0.098 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)[C@H]1CCN(C(=O)C2CC2)C1 ZINC000936318256 651764613 /nfs/dbraw/zinc/76/46/13/651764613.db2.gz UBNGHLVFBMBOQF-VIFPVBQESA-N -1 1 336.348 -0.021 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H](NC(=O)C2CC=CC2)C1 ZINC000937767529 651914832 /nfs/dbraw/zinc/91/48/32/651914832.db2.gz MZVPSTSRAIFKJY-ZDUSSCGKSA-N -1 1 346.387 -0.390 20 0 EBADMM CC1CC(C(=O)N[C@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)C1 ZINC000937806973 651927950 /nfs/dbraw/zinc/92/79/50/651927950.db2.gz FTCCPGVZPMBRPZ-ILDUYXDCSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCNC(=O)C1 ZINC000937821863 651933508 /nfs/dbraw/zinc/93/35/08/651933508.db2.gz JAIPVEUOMOHHFX-MNOVXSKESA-N -1 1 332.360 -0.356 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CCN(C(=O)CCc3nc[nH]n3)C2)c1[O-] ZINC000937832331 651938405 /nfs/dbraw/zinc/93/84/05/651938405.db2.gz QGDXMRVWHJLZGD-VIFPVBQESA-N -1 1 333.352 -0.495 20 0 EBADMM CCN1C[C@H](C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000937863978 651958662 /nfs/dbraw/zinc/95/86/62/651958662.db2.gz NDAJKPFYEKOGQH-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM CN1C[C@H](C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000937863560 651959206 /nfs/dbraw/zinc/95/92/06/651959206.db2.gz JRHBAKIDPNEFGA-MNOVXSKESA-N -1 1 332.360 -0.404 20 0 EBADMM C[C@]1(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)CCNC1=O ZINC000937864362 651959555 /nfs/dbraw/zinc/95/95/55/651959555.db2.gz PBDHOIOSQHMFFO-QFYYESIMSA-N -1 1 332.360 -0.356 20 0 EBADMM C[C@@H]1CCN(C(=O)C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000937864471 651959914 /nfs/dbraw/zinc/95/99/14/651959914.db2.gz RFZBEIFYTVMUMJ-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM CN1C[C@@H](C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)CCC1=O ZINC000937864759 651960104 /nfs/dbraw/zinc/96/01/04/651960104.db2.gz WKVBIHHMNSLWAV-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM Cc1nnc(CC(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)[nH]1 ZINC000937921289 651993203 /nfs/dbraw/zinc/99/32/03/651993203.db2.gz QZNBPORSYRWPSX-JTQLQIEISA-N -1 1 330.348 -0.213 20 0 EBADMM Cc1nc(CC(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)n[nH]1 ZINC000937921289 651993212 /nfs/dbraw/zinc/99/32/12/651993212.db2.gz QZNBPORSYRWPSX-JTQLQIEISA-N -1 1 330.348 -0.213 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ccn[nH]2)C1)c1c[n-]n2c1nccc2=O ZINC000937971095 652017346 /nfs/dbraw/zinc/01/73/46/652017346.db2.gz RBMMTNZQGGNABK-VIFPVBQESA-N -1 1 341.331 -0.610 20 0 EBADMM Cc1cc(C(=O)N2CC[C@@H](N(C)C(=O)Cn3c(=O)[n-][nH]c3=O)C2)[nH]n1 ZINC000936780022 652125358 /nfs/dbraw/zinc/12/53/58/652125358.db2.gz FMJNZPQUSZWXHF-SECBINFHSA-N -1 1 349.351 -0.906 20 0 EBADMM C[C@@H](C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)N1CCOCC1 ZINC000938248699 652159527 /nfs/dbraw/zinc/15/95/27/652159527.db2.gz CNZWPUYTPPHAKT-STQMWFEESA-N -1 1 348.403 -0.161 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCN(C(=O)c2ccon2)C1 ZINC000938310859 652189183 /nfs/dbraw/zinc/18/91/83/652189183.db2.gz FBQPXTSNXCUTBC-ZETCQYMHSA-N -1 1 349.303 -0.471 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCN(C(=O)[C@H](C)OC)C1 ZINC000938311361 652190044 /nfs/dbraw/zinc/19/00/44/652190044.db2.gz JVSVOAFVPYZBCQ-YUMQZZPRSA-N -1 1 340.336 -0.738 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCN(C(=O)CSC)C1 ZINC000938312699 652190850 /nfs/dbraw/zinc/19/08/50/652190850.db2.gz IEWFYCLDUBAQRK-ZETCQYMHSA-N -1 1 342.377 -0.410 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ccon2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000938314906 652192755 /nfs/dbraw/zinc/19/27/55/652192755.db2.gz UVWNYAJYLHLVSZ-QMMMGPOBSA-N -1 1 343.303 -0.950 20 0 EBADMM C[C@@]1(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)CCC(=O)N1 ZINC000938666655 652660218 /nfs/dbraw/zinc/66/02/18/652660218.db2.gz CRBNGSBHCKJJBY-QFYYESIMSA-N -1 1 332.360 -0.213 20 0 EBADMM CC(C)(C)C(=O)N[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000938718484 652686054 /nfs/dbraw/zinc/68/60/54/652686054.db2.gz JHYNFDZGJMDZGC-NSHDSACASA-N -1 1 336.392 -0.310 20 0 EBADMM C[C@H](C(=O)N1CCN(C2CN(Cc3nc(=O)n(C)[n-]3)C2)CC1)C1CC1 ZINC000941363188 652694639 /nfs/dbraw/zinc/69/46/39/652694639.db2.gz OXRWQLILYLPKEP-LBPRGKRZSA-N -1 1 348.451 -0.517 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CCC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000944205843 652712737 /nfs/dbraw/zinc/71/27/37/652712737.db2.gz NSGLOCSHLHLOAS-AXFHLTTASA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCN(C2CN(CC3CC3)C2)CC1 ZINC000941474856 652758328 /nfs/dbraw/zinc/75/83/28/652758328.db2.gz ANLSFZKLCXDMDO-UHFFFAOYSA-N -1 1 334.380 -0.865 20 0 EBADMM CN(C(=O)CC1CC1)[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000938901957 652766559 /nfs/dbraw/zinc/76/65/59/652766559.db2.gz UMNZSYONXIBRLT-NSHDSACASA-N -1 1 344.375 -0.109 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1)[C@@H]1CCCO1 ZINC000944467077 652778943 /nfs/dbraw/zinc/77/89/43/652778943.db2.gz YZEVTPPTZROCSD-YPMHNXCESA-N -1 1 335.360 -0.077 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@H]2CCNC2=O)C1 ZINC000939002081 652800613 /nfs/dbraw/zinc/80/06/13/652800613.db2.gz IVDIMYKEQNRRNH-MNOVXSKESA-N -1 1 332.360 -0.404 20 0 EBADMM CO[C@@H]1CN(C(=O)CC(C)(C)O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941601344 652825858 /nfs/dbraw/zinc/82/58/58/652825858.db2.gz TWYYXVLTLBDMPE-ZYHUDNBSSA-N -1 1 337.376 -0.096 20 0 EBADMM CO[C@@H]1CN(C(=O)c2cnon2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941602753 652826879 /nfs/dbraw/zinc/82/68/79/652826879.db2.gz JDJYWWPASBIKKK-MWLCHTKSSA-N -1 1 333.304 -0.560 20 0 EBADMM O=C(Cn1cncn1)N1CCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944565568 652864747 /nfs/dbraw/zinc/86/47/47/652864747.db2.gz WERUNPWDVDDMTQ-LLVKDONJSA-N -1 1 346.347 -0.964 20 0 EBADMM Cn1ccc(C(=O)NC[C@H]2CN(C(=O)c3ncccc3[O-])CCO2)n1 ZINC000944602032 652903280 /nfs/dbraw/zinc/90/32/80/652903280.db2.gz YCPRIZMTNBAJGF-NSHDSACASA-N -1 1 345.359 -0.208 20 0 EBADMM Cc1cnc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)cn1 ZINC000941773099 652905335 /nfs/dbraw/zinc/90/53/35/652905335.db2.gz UCAVMOSLZYQXTJ-PWSUYJOCSA-N -1 1 345.407 -0.153 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)Cn1cccn1 ZINC000941819026 652921611 /nfs/dbraw/zinc/92/16/11/652921611.db2.gz JEKPSDWRDFJONE-RYUDHWBXSA-N -1 1 333.396 -0.668 20 0 EBADMM C[C@@H]1CN(C(=O)CCn2cnccc2=O)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939431423 652933892 /nfs/dbraw/zinc/93/38/92/652933892.db2.gz DHOLNOWYGMLCBJ-GHMZBOCLSA-N -1 1 347.379 -0.906 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1cn(C)cn1 ZINC000941950771 653006198 /nfs/dbraw/zinc/00/61/98/653006198.db2.gz OZIYJHHIVBKOFG-QWRGUYRKSA-N -1 1 333.396 -0.518 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CCN(C(=O)C(N)=O)C1 ZINC000941964359 653008858 /nfs/dbraw/zinc/00/88/58/653008858.db2.gz AAAFFZCLJAPBCI-SECBINFHSA-N -1 1 335.364 -0.570 20 0 EBADMM C[C@@H]1CN(C(=O)Cn2c(=O)[n-][nH]c2=O)C[C@H]1NCc1ccn(C)n1 ZINC000939625144 653012664 /nfs/dbraw/zinc/01/26/64/653012664.db2.gz BDNPSBQPAWEOBT-MWLCHTKSSA-N -1 1 335.368 -0.941 20 0 EBADMM Cc1nn(C)c(F)c1C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000939633505 653013728 /nfs/dbraw/zinc/01/37/28/653013728.db2.gz KZNOOTDQQTUUME-VXNVDRBHSA-N -1 1 337.359 -0.058 20 0 EBADMM CCn1nccc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC000941989052 653014067 /nfs/dbraw/zinc/01/40/67/653014067.db2.gz JYUPESYJLCVDSS-NEPJUHHUSA-N -1 1 347.423 -0.035 20 0 EBADMM Cc1ccnc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)n1 ZINC000942010616 653020039 /nfs/dbraw/zinc/02/00/39/653020039.db2.gz XTHYDEDXYUNOBF-PWSUYJOCSA-N -1 1 345.407 -0.153 20 0 EBADMM Cc1ccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)nn1 ZINC000942043931 653026314 /nfs/dbraw/zinc/02/63/14/653026314.db2.gz GIOPLQRVZPPBKE-JQWIXIFHSA-N -1 1 345.407 -0.153 20 0 EBADMM Cc1ccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)nn1 ZINC000942043932 653026499 /nfs/dbraw/zinc/02/64/99/653026499.db2.gz GIOPLQRVZPPBKE-PWSUYJOCSA-N -1 1 345.407 -0.153 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)c1C ZINC000939782989 653045667 /nfs/dbraw/zinc/04/56/67/653045667.db2.gz PDMIMGFRXFLAHC-MRVPVSSYSA-N -1 1 347.335 -0.733 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1cn[nH]c(=O)c1 ZINC000942157044 653047789 /nfs/dbraw/zinc/04/77/89/653047789.db2.gz OUARHACHHQTLRY-ONGXEEELSA-N -1 1 347.379 -0.756 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H](NC(=O)C2CC=CC2)C1 ZINC000939816905 653053293 /nfs/dbraw/zinc/05/32/93/653053293.db2.gz MZVPSTSRAIFKJY-CYBMUJFWSA-N -1 1 346.387 -0.390 20 0 EBADMM Cc1nnccc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC000942287838 653074807 /nfs/dbraw/zinc/07/48/07/653074807.db2.gz MMKGJUPRSKAKKD-MFKMUULPSA-N -1 1 345.407 -0.153 20 0 EBADMM CC1(C)C[C@H]1C(=O)N[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000940057280 653077215 /nfs/dbraw/zinc/07/72/15/653077215.db2.gz ZVBTZJROAMDDKC-NEPJUHHUSA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@@H]1CN(Cc2cncn2C)CC[C@@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC000942306393 653078646 /nfs/dbraw/zinc/07/86/46/653078646.db2.gz YCUMUWZLIHOPKH-KOLCDFICSA-N -1 1 347.379 -0.343 20 0 EBADMM O=C(CN1CN=NC1=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000940100728 653081403 /nfs/dbraw/zinc/08/14/03/653081403.db2.gz LAZWMYAPXCBZIT-SECBINFHSA-N -1 1 332.320 -0.885 20 0 EBADMM Cc1c(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)nnn1C ZINC000940132139 653084842 /nfs/dbraw/zinc/08/48/42/653084842.db2.gz OEZIVQXTIQILOP-SNVBAGLBSA-N -1 1 330.348 -0.131 20 0 EBADMM NC(=O)c1ccc(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)[nH]1 ZINC000942436551 653102675 /nfs/dbraw/zinc/10/26/75/653102675.db2.gz YZJOJIITQHUGPM-SECBINFHSA-N -1 1 343.343 -0.141 20 0 EBADMM CC(=O)N[C@@H]1CCCC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000946344888 653103166 /nfs/dbraw/zinc/10/31/66/653103166.db2.gz PSAVVINOJDLZAF-WDEREUQCSA-N -1 1 332.364 -0.158 20 0 EBADMM CC(=O)NC[C@H]1CCCC[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000946407737 653109286 /nfs/dbraw/zinc/10/92/86/653109286.db2.gz RZHIVWAQYGWYDK-GHMZBOCLSA-N -1 1 332.364 -0.158 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)Cc1cnoc1 ZINC000942569246 653151645 /nfs/dbraw/zinc/15/16/45/653151645.db2.gz HWXATVAPLLDVQC-PWSUYJOCSA-N -1 1 334.380 -0.334 20 0 EBADMM CC(C)C(=O)N1CCO[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000944682551 653152406 /nfs/dbraw/zinc/15/24/06/653152406.db2.gz QWGYMIGFBZLDIY-SNVBAGLBSA-N -1 1 348.363 -0.969 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1cn[nH]n1 ZINC000942649662 653166165 /nfs/dbraw/zinc/16/61/65/653166165.db2.gz ODUCWMDUANDSLR-MWLCHTKSSA-N -1 1 332.320 -0.825 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1)[C@H]1[C@@H]2COC[C@@H]21 ZINC000944727189 653201858 /nfs/dbraw/zinc/20/18/58/653201858.db2.gz YFZGOMFANRUZJK-NMKXLXIOSA-N -1 1 347.371 -0.363 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cnccn3)C(C)(C)C2)nc1=O ZINC000940542189 653206911 /nfs/dbraw/zinc/20/69/11/653206911.db2.gz ASNIADVXBRACOE-LBPRGKRZSA-N -1 1 345.407 -0.071 20 0 EBADMM Cn1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)nn1 ZINC000940724674 653267951 /nfs/dbraw/zinc/26/79/51/653267951.db2.gz NRLSMDKAMBUSMU-NSHDSACASA-N -1 1 348.411 -0.733 20 0 EBADMM CCC(=O)N1CCC2(CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)CC1 ZINC000944803000 653268539 /nfs/dbraw/zinc/26/85/39/653268539.db2.gz WNDXUXRZNVSEIX-UHFFFAOYSA-N -1 1 344.375 -0.108 20 0 EBADMM Cc1nc(CC(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[n-]3)CC2(C)C)n[nH]1 ZINC000940733867 653270461 /nfs/dbraw/zinc/27/04/61/653270461.db2.gz SBMRODXUKUOIDY-SNVBAGLBSA-N -1 1 348.411 -0.104 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ncc[nH]3)C(C)(C)C2)nc1=O ZINC000940730919 653270495 /nfs/dbraw/zinc/27/04/95/653270495.db2.gz BUUPOCNQRCDGDA-SNVBAGLBSA-N -1 1 333.396 -0.138 20 0 EBADMM CCN(C(=O)[C@H]1CNC(=O)N1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000942950916 653273098 /nfs/dbraw/zinc/27/30/98/653273098.db2.gz SSRLQQKRLFWRDA-WDEREUQCSA-N -1 1 347.375 -0.468 20 0 EBADMM CO[C@@H](C)C(=O)N1CCC(NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000944897727 653286510 /nfs/dbraw/zinc/28/65/10/653286510.db2.gz ZWXMKVPHOQSXON-VIFPVBQESA-N -1 1 348.363 -0.827 20 0 EBADMM NC(=O)[C@H]1CC[C@@H]1C(=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940832156 653298111 /nfs/dbraw/zinc/29/81/11/653298111.db2.gz YLLZDOJJMGSMKA-RYUDHWBXSA-N -1 1 346.387 -0.027 20 0 EBADMM C[C@@H]1CN(C(=O)C2CCC2)C[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000947042933 653300412 /nfs/dbraw/zinc/30/04/12/653300412.db2.gz LQDKBKJHFGLZNT-BXKDBHETSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(Cn1cnnn1)N1CCCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000943213822 653311886 /nfs/dbraw/zinc/31/18/86/653311886.db2.gz PSIHETZLIOUJRJ-LLVKDONJSA-N -1 1 345.363 -0.415 20 0 EBADMM O=C(Cn1ncnn1)N1CCCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000943211488 653312384 /nfs/dbraw/zinc/31/23/84/653312384.db2.gz YGHGHLAXDHSUGC-LLVKDONJSA-N -1 1 345.363 -0.415 20 0 EBADMM Cc1cnn(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H](C)C2)c1 ZINC000947108638 653315660 /nfs/dbraw/zinc/31/56/60/653315660.db2.gz JOZDJJVAKWHXKU-STQMWFEESA-N -1 1 347.423 -0.217 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2cn(C)cn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947199545 653334115 /nfs/dbraw/zinc/33/41/15/653334115.db2.gz DOGNALGNKLRERB-GHMZBOCLSA-N -1 1 333.396 -0.375 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2cnn(C)c2N)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947227146 653340647 /nfs/dbraw/zinc/34/06/47/653340647.db2.gz XGBBAIKTDXVNRA-UWVGGRQHSA-N -1 1 348.411 -0.793 20 0 EBADMM CNC(=O)CN1CC[C@H](NC(=O)c2c[n-]n3c2nccc3=O)[C@@H](C)C1 ZINC000943464201 653398549 /nfs/dbraw/zinc/39/85/49/653398549.db2.gz DGJLDIPNWPKQMW-JQWIXIFHSA-N -1 1 346.391 -0.791 20 0 EBADMM Cc1c[nH]c(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)n1 ZINC000943482764 653402921 /nfs/dbraw/zinc/40/29/21/653402921.db2.gz QHXWBFPLAFOFBV-ONGXEEELSA-N -1 1 333.396 -0.220 20 0 EBADMM CC1(C(=O)NC2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)CC2)CC1 ZINC000947442968 653404468 /nfs/dbraw/zinc/40/44/68/653404468.db2.gz MHUIZJZDAHUVBP-UHFFFAOYSA-N -1 1 348.403 -0.166 20 0 EBADMM Cc1ncc(C(=O)N2CCC(NC(=O)c3n[nH]c(=O)[n-]c3=O)CC2)[nH]1 ZINC000945324424 653409698 /nfs/dbraw/zinc/40/96/98/653409698.db2.gz SODLIYSEBMIODL-UHFFFAOYSA-N -1 1 347.335 -0.651 20 0 EBADMM C[C@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC[C@H]1NC(=O)C(N)=O ZINC000945328742 653411315 /nfs/dbraw/zinc/41/13/15/653411315.db2.gz OTGOMGLSHKRUDZ-GZMMTYOYSA-N -1 1 347.375 -0.488 20 0 EBADMM CCC(=O)N[C@H]1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000943572470 653429902 /nfs/dbraw/zinc/42/99/02/653429902.db2.gz RGJPYBGVOYXHQI-MGCOHNPYSA-N -1 1 332.364 -0.015 20 0 EBADMM Cc1n[nH]cc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@H](C)C1 ZINC000947593993 653435351 /nfs/dbraw/zinc/43/53/51/653435351.db2.gz SFMDFIAEJUVRRY-MWLCHTKSSA-N -1 1 333.396 -0.077 20 0 EBADMM CCC(=O)N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1C ZINC000945413604 653443319 /nfs/dbraw/zinc/44/33/19/653443319.db2.gz QPKHMPYHRBTEOI-VHSXEESVSA-N -1 1 332.364 -0.206 20 0 EBADMM CCn1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)nn1 ZINC000943778166 653473478 /nfs/dbraw/zinc/47/34/78/653473478.db2.gz RKTKKNAUBKLXKH-GHMZBOCLSA-N -1 1 348.411 -0.640 20 0 EBADMM Cc1ccn(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H](C)C2)n1 ZINC000947731000 653475430 /nfs/dbraw/zinc/47/54/30/653475430.db2.gz HAZNOHBCZCOEIU-STQMWFEESA-N -1 1 347.423 -0.217 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2ccnnc2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947746146 653476911 /nfs/dbraw/zinc/47/69/11/653476911.db2.gz LUEILVPCDFGWQS-ZYHUDNBSSA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2ccnnc2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947746145 653476974 /nfs/dbraw/zinc/47/69/74/653476974.db2.gz LUEILVPCDFGWQS-PWSUYJOCSA-N -1 1 331.380 -0.319 20 0 EBADMM Cc1ccnn1CC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H](C)C1 ZINC000947761137 653479637 /nfs/dbraw/zinc/47/96/37/653479637.db2.gz GQMLKFVIIBQZKM-QWHCGFSZSA-N -1 1 347.423 -0.217 20 0 EBADMM CC(=O)N1CCC[C@H]([C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000945550082 653488097 /nfs/dbraw/zinc/48/80/97/653488097.db2.gz ORSSUADLNFYBRX-KOLCDFICSA-N -1 1 332.364 -0.206 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2cccnn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947841175 653491526 /nfs/dbraw/zinc/49/15/26/653491526.db2.gz JAIPYGKKVICXBE-WDEREUQCSA-N -1 1 331.380 -0.319 20 0 EBADMM O=C(NC[C@H]1CCCN1C(=O)c1ncccc1[O-])[C@@H]1CCNC1=O ZINC000943980561 653501100 /nfs/dbraw/zinc/50/11/00/653501100.db2.gz SHUWMPYMJIETSD-GHMZBOCLSA-N -1 1 332.360 -0.356 20 0 EBADMM CN1CCO[C@H](C(=O)N2CCC(NC(=O)c3ncccc3[O-])CC2)C1 ZINC000945825774 653510976 /nfs/dbraw/zinc/51/09/76/653510976.db2.gz ZLIVKTGAYGJRRY-AWEZNQCLSA-N -1 1 348.403 -0.161 20 0 EBADMM Cc1nonc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1C ZINC000945945631 653521717 /nfs/dbraw/zinc/52/17/17/653521717.db2.gz XKLUAXRCPZTDKQ-WPRPVWTQSA-N -1 1 335.368 -0.560 20 0 EBADMM Cc1nonc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC000945945628 653521812 /nfs/dbraw/zinc/52/18/12/653521812.db2.gz XKLUAXRCPZTDKQ-SCZZXKLOSA-N -1 1 335.368 -0.560 20 0 EBADMM Cc1nonc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@H](C)C1 ZINC000948095352 653522451 /nfs/dbraw/zinc/52/24/51/653522451.db2.gz VUQDKTRNYRHNHU-SCZZXKLOSA-N -1 1 335.368 -0.417 20 0 EBADMM CC(=O)N1CC[C@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC[C@@H]21 ZINC000945992396 653529433 /nfs/dbraw/zinc/52/94/33/653529433.db2.gz NQPSCOKWFIOBLP-JQWIXIFHSA-N -1 1 330.348 -0.500 20 0 EBADMM O=C(Cn1ncnn1)N[C@H]1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000946216109 653551270 /nfs/dbraw/zinc/55/12/70/653551270.db2.gz MYCONJHIUIADFX-QWRGUYRKSA-N -1 1 345.363 -0.511 20 0 EBADMM CC(=O)N1CC(C(=O)N2C[C@@H](C)[C@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000948714459 653568247 /nfs/dbraw/zinc/56/82/47/653568247.db2.gz ZZCUUXDLVZZBNW-ZWNOBZJWSA-N -1 1 346.387 -0.158 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3ccnc4ccnn43)CC2)nc1=O ZINC000948719856 653568544 /nfs/dbraw/zinc/56/85/44/653568544.db2.gz XHEUQTMNQAJOIE-UHFFFAOYSA-N -1 1 342.363 -0.891 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnnn2C)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000948821392 653574244 /nfs/dbraw/zinc/57/42/44/653574244.db2.gz LNQIZEHPYLIBHZ-NXEZZACHSA-N -1 1 330.348 -0.194 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1ncccc1[O-])c1ccc(=O)[nH]n1 ZINC000948849216 653577077 /nfs/dbraw/zinc/57/70/77/653577077.db2.gz CIPVWJPSHHNBPT-VIFPVBQESA-N -1 1 329.316 -0.073 20 0 EBADMM CC(C)c1nc(CN2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)CC2)n[nH]1 ZINC000949111315 653595500 /nfs/dbraw/zinc/59/55/00/653595500.db2.gz NTZRQVGELCCPNP-UHFFFAOYSA-N -1 1 348.367 -0.518 20 0 EBADMM Nc1nccnc1C(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC000949169091 653818586 /nfs/dbraw/zinc/81/85/86/653818586.db2.gz PEDJOODIOMUWAB-VIFPVBQESA-N -1 1 328.332 -0.196 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1ncccc1[O-])[C@@H]1CCNC(=O)C1 ZINC000949352649 653869745 /nfs/dbraw/zinc/86/97/45/653869745.db2.gz MUHDYAGQCLHQGG-MNOVXSKESA-N -1 1 332.360 -0.356 20 0 EBADMM NC(=O)C(=O)N1CCC(CNC(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000949383948 653881557 /nfs/dbraw/zinc/88/15/57/653881557.db2.gz QQUAJKNPDICCJF-UHFFFAOYSA-N -1 1 347.375 -0.487 20 0 EBADMM CN1CCO[C@@H](C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])C1 ZINC000949535430 653922456 /nfs/dbraw/zinc/92/24/56/653922456.db2.gz UTYJPJFNAWBEFV-DGCLKSJQSA-N -1 1 334.376 -0.552 20 0 EBADMM CCN1CCOC[C@@H]1C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949538520 653923124 /nfs/dbraw/zinc/92/31/24/653923124.db2.gz LAYOSFJEHAYJAU-CHWSQXEVSA-N -1 1 348.403 -0.161 20 0 EBADMM Cn1ncc(CCC(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])n1 ZINC000949538355 653923132 /nfs/dbraw/zinc/92/31/32/653923132.db2.gz IOACPVYPEVSBIA-GFCCVEGCSA-N -1 1 344.375 -0.121 20 0 EBADMM CCN1CCO[C@@H](C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])C1 ZINC000949539184 653923536 /nfs/dbraw/zinc/92/35/36/653923536.db2.gz ZNQUWFHBBXAYHD-GXTWGEPZSA-N -1 1 348.403 -0.161 20 0 EBADMM CN1C[C@@H](C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])CCC1=O ZINC000949541288 653923887 /nfs/dbraw/zinc/92/38/87/653923887.db2.gz DPEWCUPISMSVRY-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1cc(C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])n(C)c1=O ZINC000949542101 653924312 /nfs/dbraw/zinc/92/43/12/653924312.db2.gz WTHLYESEZUPLGO-SNVBAGLBSA-N -1 1 345.359 -0.531 20 0 EBADMM CCc1cc(C(=O)N(CC)C2CN(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC000949696857 653953312 /nfs/dbraw/zinc/95/33/12/653953312.db2.gz VHJBETGHTWTWBH-UHFFFAOYSA-N -1 1 333.396 -0.260 20 0 EBADMM Cc1cc(C2(C(=O)N3CCN(Cc4nc(=O)n(C)[n-]4)CC3)CC2)on1 ZINC000949840630 653985895 /nfs/dbraw/zinc/98/58/95/653985895.db2.gz IQTCHQYVMMYENH-UHFFFAOYSA-N -1 1 346.391 -0.219 20 0 EBADMM COc1ncccc1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000949995440 654015501 /nfs/dbraw/zinc/01/55/01/654015501.db2.gz MKGVCNDIJBSPMJ-UHFFFAOYSA-N -1 1 332.364 -0.530 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@H](NC(=O)C(F)F)C1 ZINC000951361831 654103716 /nfs/dbraw/zinc/10/37/16/654103716.db2.gz GXGBQGDHBSNHEF-VIFPVBQESA-N -1 1 344.318 -0.701 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@@H](NC(=O)C2CC2)C1 ZINC000951363400 654104627 /nfs/dbraw/zinc/10/46/27/654104627.db2.gz WMQNFTKLCJVXCP-GFCCVEGCSA-N -1 1 334.376 -0.556 20 0 EBADMM CNC(=O)[C@@H](C)N1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000951380847 654109374 /nfs/dbraw/zinc/10/93/74/654109374.db2.gz FRDLOFQZMWHOJU-SNVBAGLBSA-N -1 1 333.392 -0.048 20 0 EBADMM CCN(C(=O)c1cnc(C)n1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000951383002 654109611 /nfs/dbraw/zinc/10/96/11/654109611.db2.gz KQJAXKTZTCKPEO-UHFFFAOYSA-N -1 1 333.396 -0.503 20 0 EBADMM O=C(CN1CN=NC1=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000950517435 654158983 /nfs/dbraw/zinc/15/89/83/654158983.db2.gz OBBGSPLSGGEKGY-SNVBAGLBSA-N -1 1 346.347 -0.495 20 0 EBADMM CN1CC[C@@H](C(=O)N[C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)C1=O ZINC000950542603 654173329 /nfs/dbraw/zinc/17/33/29/654173329.db2.gz FOUGFVUXFGGQMO-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(Cn1cncn1)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950543285 654173445 /nfs/dbraw/zinc/17/34/45/654173445.db2.gz VSZQODFGVULFMN-NSHDSACASA-N -1 1 330.348 -0.200 20 0 EBADMM O=C(CN1CN=NC1=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950543146 654173469 /nfs/dbraw/zinc/17/34/69/654173469.db2.gz RWTBRJNWBZKTRB-JTQLQIEISA-N -1 1 346.347 -0.495 20 0 EBADMM CC[C@@H]1CCO[C@@H]1C(=O)N(CC)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950546978 654175007 /nfs/dbraw/zinc/17/50/07/654175007.db2.gz KUSYTHHCMOLFRH-RISCZKNCSA-N -1 1 337.424 -0.044 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CCC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000951558740 654191417 /nfs/dbraw/zinc/19/14/17/654191417.db2.gz RNYIHRBDZWXIBE-GMTAPVOTSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(N[C@H]1CCCN(C(=O)[C@@H]2COC(=O)N2)C1)c1ncccc1[O-] ZINC000950656945 654213029 /nfs/dbraw/zinc/21/30/29/654213029.db2.gz PCMRATBZDOKZOH-UWVGGRQHSA-N -1 1 334.332 -0.384 20 0 EBADMM Cn1cnc(C(=O)N2CCC[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC000950699829 654226283 /nfs/dbraw/zinc/22/62/83/654226283.db2.gz XEBIDWVHANESNO-JTQLQIEISA-N -1 1 330.348 -0.050 20 0 EBADMM CCN(C(=O)[C@H]1CCOC[C@@H]1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000951700016 654250983 /nfs/dbraw/zinc/25/09/83/654250983.db2.gz ACTVMTIBDZIBFQ-AAEUAGOBSA-N -1 1 337.424 -0.186 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)COc3cccnc3)CC2)nc1=O ZINC000951762863 654274218 /nfs/dbraw/zinc/27/42/18/654274218.db2.gz MNWHPRUVWZLHMH-UHFFFAOYSA-N -1 1 332.364 -0.773 20 0 EBADMM Cn1ccc(CN2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)CC2)n1 ZINC000951777526 654279104 /nfs/dbraw/zinc/27/91/04/654279104.db2.gz SSUWNOXBHRNNCX-UHFFFAOYSA-N -1 1 346.391 -0.995 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2CCC(=O)NC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000951125208 654394341 /nfs/dbraw/zinc/39/43/41/654394341.db2.gz IYFOUQFESXFXQN-IJLUTSLNSA-N -1 1 346.387 -0.110 20 0 EBADMM NC(=O)c1ccc(C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])[nH]1 ZINC000952155858 654409705 /nfs/dbraw/zinc/40/97/05/654409705.db2.gz UZENFHQINBVIDX-SECBINFHSA-N -1 1 343.343 -0.141 20 0 EBADMM Cn1nc(C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])ccc1=O ZINC000952185498 654419006 /nfs/dbraw/zinc/41/90/06/654419006.db2.gz RKWPKYUNKVYNSX-SNVBAGLBSA-N -1 1 343.343 -0.475 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCN1C(=O)c1cocn1 ZINC000951221855 654422812 /nfs/dbraw/zinc/42/28/12/654422812.db2.gz AUJRNSIXHVJLTF-SSDOTTSWSA-N -1 1 349.303 -0.471 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCN1C(=O)CSC ZINC000951222670 654424017 /nfs/dbraw/zinc/42/40/17/654424017.db2.gz PMZJDPXHERVXKR-ZETCQYMHSA-N -1 1 342.377 -0.410 20 0 EBADMM O=C(/C=C\C1CC1)N1CC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951224263 654425300 /nfs/dbraw/zinc/42/53/00/654425300.db2.gz AQZZPKQVZUTSJR-BYCRGOAPSA-N -1 1 342.359 -0.286 20 0 EBADMM O=C(/C=C/C1CC1)N1CC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951224266 654425545 /nfs/dbraw/zinc/42/55/45/654425545.db2.gz AQZZPKQVZUTSJR-UFFNRZRYSA-N -1 1 342.359 -0.286 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1)c1cnco1 ZINC000951279787 654450780 /nfs/dbraw/zinc/45/07/80/654450780.db2.gz QBZCHDNAKNITIF-MRVPVSSYSA-N -1 1 343.303 -0.950 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@]2(C)CNC(=O)C2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000952443370 654460326 /nfs/dbraw/zinc/46/03/26/654460326.db2.gz HUPGGQGYDVKPEH-CZIZLABSSA-N -1 1 346.387 -0.110 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@]2(CCN(C(C)=O)C2)C1 ZINC000953020170 654515831 /nfs/dbraw/zinc/51/58/31/654515831.db2.gz FPRXPSRLVRPRKO-HNNXBMFYSA-N -1 1 336.348 -0.019 20 0 EBADMM CC(=O)N1CC[C@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)[C@H]2C1 ZINC000953215221 654544614 /nfs/dbraw/zinc/54/46/14/654544614.db2.gz AJTDXDKETPICDO-STQMWFEESA-N -1 1 334.376 -0.604 20 0 EBADMM CC(=O)N1CC[C@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)[C@H]2C1 ZINC000953216110 654544812 /nfs/dbraw/zinc/54/48/12/654544812.db2.gz BKNWMENCTDBQHZ-JQWIXIFHSA-N -1 1 330.348 -0.500 20 0 EBADMM CC(=O)N1CC[C@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@@H]21 ZINC000953375864 654568492 /nfs/dbraw/zinc/56/84/92/654568492.db2.gz KGEAYAWCWYNPRJ-OLZOCXBDSA-N -1 1 334.376 -0.604 20 0 EBADMM CC(=O)N[C@H](C)[C@H]1CCCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000953629637 654600310 /nfs/dbraw/zinc/60/03/10/654600310.db2.gz BDLOYIDUNFNMCF-BXKDBHETSA-N -1 1 332.364 -0.063 20 0 EBADMM CC(=O)N[C@@H](C)[C@@H]1CCCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000953629638 654600388 /nfs/dbraw/zinc/60/03/88/654600388.db2.gz BDLOYIDUNFNMCF-CABZTGNLSA-N -1 1 332.364 -0.063 20 0 EBADMM CN(C(=O)CC1CCC1)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000953962474 654665278 /nfs/dbraw/zinc/66/52/78/654665278.db2.gz LZEROGRKWGDFTQ-UHFFFAOYSA-N -1 1 348.403 -0.214 20 0 EBADMM O=C(N[C@@H]1COC2(CN(C(=O)c3ncccc3[O-])C2)C1)c1cnon1 ZINC000965794033 724509275 /nfs/dbraw/zinc/50/92/75/724509275.db2.gz WXKVQPAMLNNMNN-VIFPVBQESA-N -1 1 345.315 -0.416 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1cn(C)cn1 ZINC000965904944 724537964 /nfs/dbraw/zinc/53/79/64/724537964.db2.gz DVBDKYGBQMEXAV-QWRGUYRKSA-N -1 1 333.396 -0.518 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)C1=CCOCC1 ZINC000965937244 724543475 /nfs/dbraw/zinc/54/34/75/724543475.db2.gz ZWXITEZIDWCIQF-AAEUAGOBSA-N -1 1 335.408 -0.218 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cc1ccnn1C ZINC000965962305 724549027 /nfs/dbraw/zinc/54/90/27/724549027.db2.gz AXGGQNGHVJQJFL-WCQYABFASA-N -1 1 347.423 -0.589 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)Cc1ccnn1C ZINC000965962303 724549049 /nfs/dbraw/zinc/54/90/49/724549049.db2.gz AXGGQNGHVJQJFL-AAEUAGOBSA-N -1 1 347.423 -0.589 20 0 EBADMM Cc1ccnc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)n1 ZINC000965968930 724551315 /nfs/dbraw/zinc/55/13/15/724551315.db2.gz FEBOUJTYDUPJES-ZYHUDNBSSA-N -1 1 345.407 -0.153 20 0 EBADMM Cc1cn(C)nc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1C ZINC000966073085 724564637 /nfs/dbraw/zinc/56/46/37/724564637.db2.gz FSFMDHDYLWJQLQ-CMPLNLGQSA-N -1 1 347.423 -0.209 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cc1ccn(C)n1 ZINC000966077550 724565333 /nfs/dbraw/zinc/56/53/33/724565333.db2.gz PMPHCKPCCCFTQU-WCQYABFASA-N -1 1 347.423 -0.589 20 0 EBADMM C[C@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1NC(=O)c1cnc([O-])n(C)c1=O ZINC000966179474 724583244 /nfs/dbraw/zinc/58/32/44/724583244.db2.gz MQLZWXFQWBLEQO-GZMMTYOYSA-N -1 1 346.347 -0.901 20 0 EBADMM CC(=O)N[C@@H]1CCCN(C(=O)CN2CC[C@@H](CNC(=O)[O-])C2)C1 ZINC000738544223 719419304 /nfs/dbraw/zinc/41/93/04/719419304.db2.gz XGWZUZZOVOKJAU-QWHCGFSZSA-N -1 1 326.397 -0.297 20 0 EBADMM CC(=O)[N-]S(=O)(=O)c1ccc(N2C[C@H]3OCCN(C)[C@H]3C2)nc1 ZINC001164669428 719464130 /nfs/dbraw/zinc/46/41/30/719464130.db2.gz URJAZZNMXLNJNW-QWHCGFSZSA-N -1 1 340.405 -0.574 20 0 EBADMM C[C@@H]1CN(C(=O)CS(C)(=O)=O)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966223073 724592037 /nfs/dbraw/zinc/59/20/37/724592037.db2.gz XRXHPGIUOGCJHF-ZJUUUORDSA-N -1 1 341.389 -0.592 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)N2CC(N(C)C(=O)c3ncccc3[O-])C2)CC(=O)N1 ZINC000954127730 719570555 /nfs/dbraw/zinc/57/05/55/719570555.db2.gz DYSCVXMUUGJIPM-GHMZBOCLSA-N -1 1 346.387 -0.015 20 0 EBADMM CC[C@@]1(C(=O)N2CC(N(C)C(=O)c3ncccc3[O-])C2)CCNC1=O ZINC000954127772 719570572 /nfs/dbraw/zinc/57/05/72/719570572.db2.gz GHEAFAYMHOFWHK-QGZVFWFLSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)C[C@H]2CCN(C)C2=O)C1 ZINC000954127570 719571126 /nfs/dbraw/zinc/57/11/26/719571126.db2.gz ARSXWZRVLSQNBR-LLVKDONJSA-N -1 1 346.387 -0.062 20 0 EBADMM CCN1CCOC[C@@H]1C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954128003 719571583 /nfs/dbraw/zinc/57/15/83/719571583.db2.gz OHWGDDLKRNTHEL-CYBMUJFWSA-N -1 1 348.403 -0.209 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC000954128213 719571654 /nfs/dbraw/zinc/57/16/54/719571654.db2.gz XNAWMZHOQVMNEX-UHFFFAOYSA-N -1 1 343.343 -0.189 20 0 EBADMM CN(C(=O)[C@H]1CCNC1=O)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000954132285 719574564 /nfs/dbraw/zinc/57/45/64/719574564.db2.gz LYCDOXXQCLHCAJ-LBPRGKRZSA-N -1 1 346.387 -0.014 20 0 EBADMM CCn1nncc1C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954213402 719618306 /nfs/dbraw/zinc/61/83/06/719618306.db2.gz WOAITRRAASLVLX-UHFFFAOYSA-N -1 1 330.348 -0.005 20 0 EBADMM CN(C(=O)[C@@H]1CNC(=O)N1)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000954245824 719634882 /nfs/dbraw/zinc/63/48/82/719634882.db2.gz RYPGVBSVDXJXPP-NSHDSACASA-N -1 1 347.375 -0.468 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1cn(C)nn1 ZINC000966346326 724619002 /nfs/dbraw/zinc/61/90/02/724619002.db2.gz HWIOHAHBFKWIKC-VHSXEESVSA-N -1 1 330.348 -0.194 20 0 EBADMM C[C@H]1C[C@H](C(=O)N(C)C2CN(C(=O)c3ncccc3[O-])C2)CC(=O)N1 ZINC000954690527 719842258 /nfs/dbraw/zinc/84/22/58/719842258.db2.gz JMUGPUCZWTVENJ-QWRGUYRKSA-N -1 1 346.387 -0.015 20 0 EBADMM C[C@H]1CN(C(=O)c2cc(=O)n(C)o2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966367143 724625452 /nfs/dbraw/zinc/62/54/52/724625452.db2.gz BLMSSXYCVWZVML-VHSXEESVSA-N -1 1 346.343 -0.031 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C1CN(C(=O)c2cocn2)C1 ZINC000954827940 719923571 /nfs/dbraw/zinc/92/35/71/719923571.db2.gz JRIHGIIJEGUMEC-UHFFFAOYSA-N -1 1 349.303 -0.519 20 0 EBADMM C[C@@H]1C[C@@H]1CC(=O)N1CC(N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000954831714 719925829 /nfs/dbraw/zinc/92/58/29/719925829.db2.gz KULTZZGEIIRMMI-NXEZZACHSA-N -1 1 344.375 -0.254 20 0 EBADMM CC(C)[C@@H](C)C(=O)N1CC(N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000954832162 719926551 /nfs/dbraw/zinc/92/65/51/719926551.db2.gz NLYJZPZOFWDUPE-SNVBAGLBSA-N -1 1 346.391 -0.008 20 0 EBADMM CN(C(=O)[C@@H]1CCNC(=O)CC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954850460 719938011 /nfs/dbraw/zinc/93/80/11/719938011.db2.gz FDUCZRHFWJMSLP-NSHDSACASA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)C1(C)CCC1)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000954970281 719998252 /nfs/dbraw/zinc/99/82/52/719998252.db2.gz JGZUULVJUGPTQR-UHFFFAOYSA-N -1 1 348.403 -0.214 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC(N(C)C(=O)C(N)=O)C1 ZINC000955164074 720080318 /nfs/dbraw/zinc/08/03/18/720080318.db2.gz XYGUEYQEVIIWFS-UHFFFAOYSA-N -1 1 335.364 -0.618 20 0 EBADMM CN(C(=O)c1cn(C)c(=O)[nH]1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955218380 720094967 /nfs/dbraw/zinc/09/49/67/720094967.db2.gz SLBYUXZSYGGPSL-UHFFFAOYSA-N -1 1 331.332 -0.177 20 0 EBADMM CN(C(=O)[C@]1(C)CCC(=O)N1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955236455 720100399 /nfs/dbraw/zinc/10/03/99/720100399.db2.gz ZWVOFDZAILTHMQ-INIZCTEOSA-N -1 1 332.360 -0.261 20 0 EBADMM CN(C(=O)[C@@]1(C)CCNC1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955327297 720120469 /nfs/dbraw/zinc/12/04/69/720120469.db2.gz JJALNMMRBMEONX-INIZCTEOSA-N -1 1 332.360 -0.404 20 0 EBADMM C[C@@H]1CN(C(=O)Cn2nccn2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966464166 724656148 /nfs/dbraw/zinc/65/61/48/724656148.db2.gz QXRCPACMOHBBQT-MNOVXSKESA-N -1 1 330.348 -0.344 20 0 EBADMM CCC(=O)N[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)[C@H](C)C1 ZINC000955736813 720240324 /nfs/dbraw/zinc/24/03/24/720240324.db2.gz AFXHZSCPULMHAW-NEPJUHHUSA-N -1 1 336.392 -0.168 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CCN1C(=O)C(N)=O ZINC000955806181 720268484 /nfs/dbraw/zinc/26/84/84/720268484.db2.gz VQDMAEGAZCMVLA-SCZZXKLOSA-N -1 1 347.375 -0.346 20 0 EBADMM C[C@H]1CN(C(=O)C(C)(C)C(N)=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966523277 724670086 /nfs/dbraw/zinc/67/00/86/724670086.db2.gz VKMJKQGEESJVAZ-VHSXEESVSA-N -1 1 334.376 -0.125 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)NC[C@@H]1CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000956108798 720364510 /nfs/dbraw/zinc/36/45/10/720364510.db2.gz YVKHRQAJNCJIKD-OUAUKWLOSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@]1(NC(=O)C2CC2)CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000956614173 720467302 /nfs/dbraw/zinc/46/73/02/720467302.db2.gz LQGOCILVGJYTCU-INIZCTEOSA-N -1 1 334.376 -0.556 20 0 EBADMM C[C@]1(NC(=O)C2CCC2)CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000956624125 720468208 /nfs/dbraw/zinc/46/82/08/720468208.db2.gz CCCSTZXAMQBUHM-INIZCTEOSA-N -1 1 344.375 -0.062 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H](NC(=O)C2CC2)[C@@H](C)C1 ZINC000966607606 724696803 /nfs/dbraw/zinc/69/68/03/724696803.db2.gz FAJLGDHMRGNSIO-CBAPKCEASA-N -1 1 336.348 -0.117 20 0 EBADMM Cc1nn[nH]c1C(=O)N1C[C@H](NC(=O)c2[nH]nc(C)c2[O-])[C@@H](C)C1 ZINC000966657665 724708632 /nfs/dbraw/zinc/70/86/32/724708632.db2.gz OGLUQQLCDSOHEP-RCOVLWMOSA-N -1 1 333.352 -0.259 20 0 EBADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)[C@@H]2COCCO2)C1 ZINC000956848510 722119132 /nfs/dbraw/zinc/11/91/32/722119132.db2.gz BQLYHEMBARRBBX-BLLLJJGKSA-N -1 1 335.360 -0.077 20 0 EBADMM Cn1ncc(C(=O)N2CC[C@@](C)(NC(=O)c3ncccc3[O-])C2)n1 ZINC000956849697 722119357 /nfs/dbraw/zinc/11/93/57/722119357.db2.gz XCRKRMYPWKTVFE-OAHLLOKOSA-N -1 1 330.348 -0.050 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)[C@@H]3CCc4n[nH]cc4C3)CC2)nc1=O ZINC000957309673 722192068 /nfs/dbraw/zinc/19/20/68/722192068.db2.gz ZVWXQLKGDQVZDN-LLVKDONJSA-N -1 1 345.407 -0.719 20 0 EBADMM O=C([C@@H]1CCc2[nH]nnc2C1)N1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC000957316971 722193916 /nfs/dbraw/zinc/19/39/16/722193916.db2.gz SFXYTIHLNMAKDO-SECBINFHSA-N -1 1 332.368 -0.922 20 0 EBADMM O=C([C@@H]1CCc2nn[nH]c2C1)N1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC000957316971 722193918 /nfs/dbraw/zinc/19/39/18/722193918.db2.gz SFXYTIHLNMAKDO-SECBINFHSA-N -1 1 332.368 -0.922 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCN(CCn2cccn2)CC1 ZINC000957319336 722195200 /nfs/dbraw/zinc/19/52/00/722195200.db2.gz JDOAFWTUYQDRAR-UHFFFAOYSA-N -1 1 342.363 -0.928 20 0 EBADMM C[C@]1(NC(=O)c2c[nH]c(=O)cn2)CCN(C(=O)c2ncccc2[O-])C1 ZINC000957447921 722211533 /nfs/dbraw/zinc/21/15/33/722211533.db2.gz UTLLYXBZQBSEBS-INIZCTEOSA-N -1 1 343.343 -0.095 20 0 EBADMM C[C@]1(NC(=O)[C@H]2CNC(=O)N2)CCN(C(=O)c2ncccc2[O-])C1 ZINC000957456224 722213679 /nfs/dbraw/zinc/21/36/79/722213679.db2.gz SIWVEFIZSUCAOA-PSLIRLAXSA-N -1 1 333.348 -0.811 20 0 EBADMM CCN(C(=O)c1nn(CC)nc1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000957466869 722214752 /nfs/dbraw/zinc/21/47/52/722214752.db2.gz YUFBCHPQDODOFG-UHFFFAOYSA-N -1 1 348.411 -0.625 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N1CCN(CCC[C@@H]2CCOC2)CC1 ZINC000957473640 722215559 /nfs/dbraw/zinc/21/55/59/722215559.db2.gz BTUDNEKUMZHULD-GFCCVEGCSA-N -1 1 339.396 -0.350 20 0 EBADMM CO[C@H](CN1CCN(C(=O)Cn2c(=O)[n-][nH]c2=O)CC1)C1CCC1 ZINC000957474442 722215768 /nfs/dbraw/zinc/21/57/68/722215768.db2.gz SONLHXGSAIIVOB-GFCCVEGCSA-N -1 1 339.396 -0.351 20 0 EBADMM Cn1[n-]c(CN2C[C@H](O)[C@@H](CNC(=O)c3cccs3)C2)nc1=O ZINC000957839335 722248224 /nfs/dbraw/zinc/24/82/24/722248224.db2.gz XTPZNVDNYVXKCL-UWVGGRQHSA-N -1 1 337.405 -0.607 20 0 EBADMM Cc1cc(C)n([C@@H](C)C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC000957879836 722256488 /nfs/dbraw/zinc/25/64/88/722256488.db2.gz LMJTZKHFRRELGD-ZDUSSCGKSA-N -1 1 347.423 -0.173 20 0 EBADMM Cc1cccc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)n1 ZINC000957879304 722256628 /nfs/dbraw/zinc/25/66/28/722256628.db2.gz ZLSRNXNTRVCKFR-YPMHNXCESA-N -1 1 346.391 -0.965 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)[C@@H]3CC34CCOCC4)CC2)nc1=O ZINC000957954525 722276491 /nfs/dbraw/zinc/27/64/91/722276491.db2.gz OVIROYWHEURWEG-LBPRGKRZSA-N -1 1 335.408 -0.431 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2CN(Cc3conc3C)C[C@H]2O)c1[O-] ZINC000957975125 722280070 /nfs/dbraw/zinc/28/00/70/722280070.db2.gz MWAKZPHCJLIZIR-ZYHUDNBSSA-N -1 1 335.364 -0.057 20 0 EBADMM Cc1nonc1CN1C[C@H](O)[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957989887 722283668 /nfs/dbraw/zinc/28/36/68/722283668.db2.gz IWMJKKFPNZVNKV-MFKMUULPSA-N -1 1 333.348 -0.299 20 0 EBADMM Cn1ncc(CN2C[C@@H](O)[C@@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC000957990655 722283767 /nfs/dbraw/zinc/28/37/67/722283767.db2.gz OAYOYGJVHJNKKH-GXFFZTMASA-N -1 1 332.364 -0.862 20 0 EBADMM O=C(NC[C@H]1CN(Cc2cnsn2)C[C@@H]1O)c1ncccc1[O-] ZINC000957991924 722284383 /nfs/dbraw/zinc/28/43/83/722284383.db2.gz UTUMQRUTCIINRA-CABZTGNLSA-N -1 1 335.389 -0.139 20 0 EBADMM Cn1nccc1C[N@@H+]1C[C@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957992318 722284392 /nfs/dbraw/zinc/28/43/92/722284392.db2.gz WRCJCHUIAUUJEJ-FZMZJTMJSA-N -1 1 331.376 -0.257 20 0 EBADMM Cc1coc(C)c1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC000958042476 722295919 /nfs/dbraw/zinc/29/59/19/722295919.db2.gz VKYJKMBETCKPMD-VXGBXAGGSA-N -1 1 349.391 -0.459 20 0 EBADMM CCc1nocc1C[N@H+]1C[C@H](O)[C@H](CNC(=O)c2cnc[nH]c2=O)C1 ZINC000958168267 722317230 /nfs/dbraw/zinc/31/72/30/722317230.db2.gz VALKMWOGFQHRCO-YGRLFVJLSA-N -1 1 347.375 -0.045 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2cc(Cl)c[nH]2)nc1=O ZINC000958225575 722330408 /nfs/dbraw/zinc/33/04/08/722330408.db2.gz MSICBOOPEAENQV-GDGBQDQQSA-N -1 1 336.783 -0.050 20 0 EBADMM CN(C(=O)[C@H]1CCCO1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958473133 722373113 /nfs/dbraw/zinc/37/31/13/722373113.db2.gz XEWGFLGZICRZLL-WCFLWFBJSA-N -1 1 335.360 -0.390 20 0 EBADMM Cc1cc(C(=O)N(C)[C@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)[nH]n1 ZINC000958669561 722414079 /nfs/dbraw/zinc/41/40/79/722414079.db2.gz PITQQHFZJPKYNV-AAEUAGOBSA-N -1 1 345.359 -0.224 20 0 EBADMM Cc1cc(C(=O)N(C)[C@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)n[nH]1 ZINC000958669561 722414080 /nfs/dbraw/zinc/41/40/80/722414080.db2.gz PITQQHFZJPKYNV-AAEUAGOBSA-N -1 1 345.359 -0.224 20 0 EBADMM C[C@@H](NC(=O)c1nnn(C)n1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000959128913 722688865 /nfs/dbraw/zinc/68/88/65/722688865.db2.gz FSHQFUZPRKQGMG-ZJUUUORDSA-N -1 1 345.363 -0.409 20 0 EBADMM C[C@@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)[C@@H]1CCN(C(=O)C(N)=O)C1 ZINC000959268416 722707213 /nfs/dbraw/zinc/70/72/13/722707213.db2.gz QSUGRTIIFMGSQS-PSASIEDQSA-N -1 1 347.375 -0.488 20 0 EBADMM C[C@H]1CN(C(=O)Cn2ncnn2)C[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000959480056 722727724 /nfs/dbraw/zinc/72/77/24/722727724.db2.gz GHSAEKNSNDKBGE-QWRGUYRKSA-N -1 1 345.363 -0.702 20 0 EBADMM C[C@@H]1CN(C(=O)Cn2ncnn2)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000959480054 722727786 /nfs/dbraw/zinc/72/77/86/722727786.db2.gz GHSAEKNSNDKBGE-GHMZBOCLSA-N -1 1 345.363 -0.702 20 0 EBADMM C[C@H]1CN(C(=O)Cn2nccn2)C[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000959489726 722730116 /nfs/dbraw/zinc/73/01/16/722730116.db2.gz VTNGGQPRPXVMNS-RYUDHWBXSA-N -1 1 344.375 -0.097 20 0 EBADMM O=C([C@H]1CCNC(=O)C1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959955698 722777823 /nfs/dbraw/zinc/77/78/23/722777823.db2.gz YUEXSNWQQXFYOD-QWRGUYRKSA-N -1 1 336.396 -0.493 20 0 EBADMM CCn1ccc(CC(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)n1 ZINC000959978356 722779751 /nfs/dbraw/zinc/77/97/51/722779751.db2.gz BCCHTTMDMSZPBY-JYAVWHMHSA-N -1 1 345.407 -0.886 20 0 EBADMM C[C@@H](C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1)S(C)(=O)=O ZINC000960045807 722785675 /nfs/dbraw/zinc/78/56/75/722785675.db2.gz KRIBIKJCEFDDNR-UWVGGRQHSA-N -1 1 345.425 -0.586 20 0 EBADMM Cn1cnc2cncc(C(=O)N3CC[C@H](NCc4n[nH]c(=O)[n-]4)C3)c21 ZINC001018990348 728766842 /nfs/dbraw/zinc/76/68/42/728766842.db2.gz HMFTZXZIYHYWHO-VIFPVBQESA-N -1 1 342.363 -0.204 20 0 EBADMM Cn1nc(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)ccc1=O ZINC000960204936 722806245 /nfs/dbraw/zinc/80/62/45/722806245.db2.gz PBPMDRFIEMBZQT-JTQLQIEISA-N -1 1 347.379 -0.612 20 0 EBADMM Cc1ncncc1C(=O)N1CCC[C@@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC000960463354 722831255 /nfs/dbraw/zinc/83/12/55/722831255.db2.gz DOJGQWMHVBFMHW-GFCCVEGCSA-N -1 1 345.407 -0.057 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)c1cc[nH]c(=O)c1 ZINC000960544518 722837966 /nfs/dbraw/zinc/83/79/66/722837966.db2.gz BCRCKAYFZUPLOH-GFCCVEGCSA-N -1 1 346.391 -0.054 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)c1cncnc1 ZINC000960569413 722839851 /nfs/dbraw/zinc/83/98/51/722839851.db2.gz WHNKGPNDMKONKE-GFCCVEGCSA-N -1 1 331.380 -0.365 20 0 EBADMM CN(Cc1cnn(C)c1)C[C@H]1CCCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000960734281 722858663 /nfs/dbraw/zinc/85/86/63/722858663.db2.gz CBUKSNQKMLFWKW-LLVKDONJSA-N -1 1 347.379 -0.247 20 0 EBADMM Cc1nccnc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC000960812780 722867978 /nfs/dbraw/zinc/86/79/78/722867978.db2.gz ZRPLOPKDMSFCDP-JZYVYDRUSA-N -1 1 343.347 -0.759 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)c1cn(C)c(=O)[nH]1 ZINC000960834110 722870942 /nfs/dbraw/zinc/87/09/42/722870942.db2.gz SJGBXSSNGBUWHO-SNVBAGLBSA-N -1 1 349.395 -0.716 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1cnccn1 ZINC000961258890 722924340 /nfs/dbraw/zinc/92/43/40/722924340.db2.gz FEZMBTIEOYTINL-PWSUYJOCSA-N -1 1 329.316 -0.808 20 0 EBADMM CCCn1cc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)cn1 ZINC000961925589 723119674 /nfs/dbraw/zinc/11/96/74/723119674.db2.gz YHHMISKMVPWESL-IMRBUKKESA-N -1 1 345.407 -0.425 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)nc(C)n1 ZINC000962036871 723155106 /nfs/dbraw/zinc/15/51/06/723155106.db2.gz YLXLFSNFNJIQJI-YABSGUDNSA-N -1 1 343.391 -0.625 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C2(C)CCOCC2)nc1=O ZINC000962040418 723156174 /nfs/dbraw/zinc/15/61/74/723156174.db2.gz GBBYNWVUVPXRJP-PJXYFTJBSA-N -1 1 335.408 -0.529 20 0 EBADMM COc1cc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)cc(OC)n1 ZINC001019091818 728794146 /nfs/dbraw/zinc/79/41/46/728794146.db2.gz YCLJTUYZHRXFHE-JTQLQIEISA-N -1 1 348.363 -0.073 20 0 EBADMM O=C(c1cnn2c1OCCC2)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019091285 728794287 /nfs/dbraw/zinc/79/42/87/728794287.db2.gz LIBIKDLEKUTNNR-VIFPVBQESA-N -1 1 333.352 -0.506 20 0 EBADMM CCn1ncc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)c1C ZINC000962124834 723176500 /nfs/dbraw/zinc/17/65/00/723176500.db2.gz WJXSPKJJGAHRIX-IMRBUKKESA-N -1 1 345.407 -0.507 20 0 EBADMM Cc1nc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)c(C)o1 ZINC000962130923 723177752 /nfs/dbraw/zinc/17/77/52/723177752.db2.gz NNZOPXGLRPLBLX-IWIIMEHWSA-N -1 1 332.364 -0.427 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1cc[nH]c(=O)c1 ZINC000962211214 723195774 /nfs/dbraw/zinc/19/57/74/723195774.db2.gz CLHHHVZZWINWBM-PWSUYJOCSA-N -1 1 344.327 -0.497 20 0 EBADMM CNC(=O)[C@@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC000962278450 723214135 /nfs/dbraw/zinc/21/41/35/723214135.db2.gz GVCMBNVTVZIZAR-XBWDGYHZSA-N -1 1 345.359 -0.277 20 0 EBADMM O=C(C[C@H]1COC(=O)C1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000962284869 723215867 /nfs/dbraw/zinc/21/58/67/723215867.db2.gz RTDOAGHNQSGNAX-FOGDFJRCSA-N -1 1 349.343 -0.958 20 0 EBADMM Cc1ncc(C(=O)N2C[C@@H](NC(=O)c3[nH]nc(C)c3[O-])[C@@H](O)C2)[nH]1 ZINC000962302094 723221767 /nfs/dbraw/zinc/22/17/67/723221767.db2.gz FEXBVCJESKOILW-ZJUUUORDSA-N -1 1 334.336 -0.929 20 0 EBADMM CCc1cc(C(=O)N2C[C@@H](NC(=O)c3[nH]nc(C)c3[O-])[C@@H](O)C2)n[nH]1 ZINC000962304863 723222821 /nfs/dbraw/zinc/22/28/21/723222821.db2.gz YUGLLJFJYGOUFM-MNOVXSKESA-N -1 1 348.363 -0.675 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2nccs2)C[C@@H]1O)c1ncccc1[O-] ZINC000962434970 723251771 /nfs/dbraw/zinc/25/17/71/723251771.db2.gz MUUPBGIMTHXOFT-SCZZXKLOSA-N -1 1 334.357 -0.141 20 0 EBADMM Cc1cnn(CC(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)c1 ZINC000962435381 723252517 /nfs/dbraw/zinc/25/25/17/723252517.db2.gz QXEXJWQVEJBXNE-YPMHNXCESA-N -1 1 345.359 -0.706 20 0 EBADMM Cc1ccnn1CC(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962437226 723252978 /nfs/dbraw/zinc/25/29/78/723252978.db2.gz QYEQSWHTDFXTBT-YPMHNXCESA-N -1 1 345.359 -0.706 20 0 EBADMM CCc1nocc1C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962436580 723253209 /nfs/dbraw/zinc/25/32/09/723253209.db2.gz ICMPHYKVJLTKOA-YPMHNXCESA-N -1 1 346.343 -0.047 20 0 EBADMM Cc1n[nH]cc1C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962440934 723254600 /nfs/dbraw/zinc/25/46/00/723254600.db2.gz LUZDCMPOAUCOGK-PWSUYJOCSA-N -1 1 331.332 -0.566 20 0 EBADMM Cc1n[nH]c(C)c1CC(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000962543035 723280333 /nfs/dbraw/zinc/28/03/33/723280333.db2.gz YMHFEDMXKKSWMI-JYAVWHMHSA-N -1 1 345.407 -0.763 20 0 EBADMM O=C(NC1CC(CNCc2n[nH]c(=O)[n-]2)C1)[C@H]1CCCS1(=O)=O ZINC000962755315 723318700 /nfs/dbraw/zinc/31/87/00/723318700.db2.gz FPJOYGSNCSFNGB-UDNWOFFPSA-N -1 1 343.409 -0.928 20 0 EBADMM NC(=O)CC(=O)N1CCC[C@@H]2[C@H]1CCN2C(=O)c1ncccc1[O-] ZINC000962873108 723343693 /nfs/dbraw/zinc/34/36/93/723343693.db2.gz HEXFJLUCWZJDAN-GHMZBOCLSA-N -1 1 332.360 -0.132 20 0 EBADMM CCC(=O)N1CC[C@@H]2[C@H]1CCCN2C(=O)CCn1cc[n-]c(=O)c1=O ZINC000963417562 723420454 /nfs/dbraw/zinc/42/04/54/723420454.db2.gz WWEFZJXHNVAQOD-CHWSQXEVSA-N -1 1 348.403 -0.071 20 0 EBADMM CCC(=O)N1CCC2(CN(C(=O)c3[nH]c(=O)[n-]c(=O)c3OC)C2)C1 ZINC001019205860 728826022 /nfs/dbraw/zinc/82/60/22/728826022.db2.gz NSWSLJPXAMQGLW-UHFFFAOYSA-N -1 1 336.348 -0.019 20 0 EBADMM Cc1nocc1CN[C@H]1CCN(C(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001019227518 728830929 /nfs/dbraw/zinc/83/09/29/728830929.db2.gz FOVITVRISJYYHT-NSHDSACASA-N -1 1 333.348 -0.213 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1ncccc1[O-])[C@H]1CCC(=O)N1 ZINC000964138099 723830982 /nfs/dbraw/zinc/83/09/82/723830982.db2.gz UHNVDJLFUIAOJH-GHMZBOCLSA-N -1 1 348.359 -0.977 20 0 EBADMM O=C(Cn1ccnc1)N1CCOC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000964155752 723841846 /nfs/dbraw/zinc/84/18/46/723841846.db2.gz RIPFVCJOIRMGRP-GFCCVEGCSA-N -1 1 345.359 -0.359 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1cn[nH]n1)c1ncccc1[O-] ZINC000964155840 723841921 /nfs/dbraw/zinc/84/19/21/723841921.db2.gz SDPNJMXBJZRJLU-VIFPVBQESA-N -1 1 332.320 -0.824 20 0 EBADMM Cn1cc(C(=O)N2CCOC[C@@H]2CNC(=O)c2ncccc2[O-])nn1 ZINC000964156109 723842292 /nfs/dbraw/zinc/84/22/92/723842292.db2.gz TYGQKSISASSOPM-JTQLQIEISA-N -1 1 346.347 -0.813 20 0 EBADMM Cn1ccc(C(=O)N2CCOC[C@@H]2CNC(=O)c2ncccc2[O-])n1 ZINC000964156607 723842485 /nfs/dbraw/zinc/84/24/85/723842485.db2.gz VSIZSOFRDOBQLT-NSHDSACASA-N -1 1 345.359 -0.208 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1cnccn1)c1ncccc1[O-] ZINC000964159288 723844764 /nfs/dbraw/zinc/84/47/64/723844764.db2.gz RFXFABKOQZHIGS-LLVKDONJSA-N -1 1 343.343 -0.152 20 0 EBADMM Cn1cnc(C(=O)NC[C@H]2COCCN2C(=O)c2ncccc2[O-])n1 ZINC000964187574 723868546 /nfs/dbraw/zinc/86/85/46/723868546.db2.gz MEUCNXLDMYVASV-JTQLQIEISA-N -1 1 346.347 -0.813 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1ncccc1[O-])c1cccnn1 ZINC000964315325 723966586 /nfs/dbraw/zinc/96/65/86/723966586.db2.gz SKILFAFHNYHANJ-NSHDSACASA-N -1 1 343.343 -0.152 20 0 EBADMM O=C(Cn1ncnn1)N1CCC[C@@H](NC(=O)c2ncccc2[O-])CC1 ZINC000964857787 724067473 /nfs/dbraw/zinc/06/74/73/724067473.db2.gz VLQGJXWDMULMHF-LLVKDONJSA-N -1 1 345.363 -0.415 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ccc(=O)[nH]n1 ZINC000964899986 724075992 /nfs/dbraw/zinc/07/59/92/724075992.db2.gz DFIDQIGPEMRSAO-MWLCHTKSSA-N -1 1 347.379 -0.756 20 0 EBADMM NC(=O)C(=O)N1CCC[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000964908581 724077292 /nfs/dbraw/zinc/07/72/92/724077292.db2.gz ZEBBGQGXLFEKNH-JTQLQIEISA-N -1 1 347.375 -0.344 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1cnn(C)c1 ZINC000965004673 724097622 /nfs/dbraw/zinc/09/76/22/724097622.db2.gz YYJQPGMNXBIUAB-JQWIXIFHSA-N -1 1 333.396 -0.518 20 0 EBADMM COCC(=O)N1CCC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000965031496 724105599 /nfs/dbraw/zinc/10/55/99/724105599.db2.gz YLEVJHDRICTMRR-JTQLQIEISA-N -1 1 348.363 -0.825 20 0 EBADMM NC(=O)C(=O)N[C@@H]1CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000965150193 724136611 /nfs/dbraw/zinc/13/66/11/724136611.db2.gz OUISSUAIERTSNY-SNVBAGLBSA-N -1 1 347.375 -0.344 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC3(C2)CN(C(=O)c2ccn[nH]2)CCO3)c1[O-] ZINC000965324743 724178031 /nfs/dbraw/zinc/17/80/31/724178031.db2.gz PXJFQAOCFFBMFR-UHFFFAOYSA-N -1 1 346.347 -0.486 20 0 EBADMM Cc1ncc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)[nH]1 ZINC000965627924 724326626 /nfs/dbraw/zinc/32/66/26/724326626.db2.gz TUHYGROBWFOAIB-SKDRFNHKSA-N -1 1 333.396 -0.220 20 0 EBADMM Cn1nncc1CN[C@H]1CCN(C(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001019479475 728897041 /nfs/dbraw/zinc/89/70/41/728897041.db2.gz ABDJRNJGNIWMSU-JTQLQIEISA-N -1 1 342.363 -0.845 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1csnn1 ZINC000967493988 724877165 /nfs/dbraw/zinc/87/71/65/724877165.db2.gz HITUXLGIJZOTRN-IUCAKERBSA-N -1 1 337.409 -0.400 20 0 EBADMM CC(=O)N1CCC[C@@H](N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000967589115 724883417 /nfs/dbraw/zinc/88/34/17/724883417.db2.gz NDEKQRWESSQOPE-LLVKDONJSA-N -1 1 332.364 -0.109 20 0 EBADMM CCN(C(=O)[C@@H]1C[C@@H]1C)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000967752830 724902702 /nfs/dbraw/zinc/90/27/02/724902702.db2.gz CTCUNXFIRDNBKT-WCQYABFASA-N -1 1 348.403 -0.358 20 0 EBADMM O=C(c1ccc2nncn2c1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019511200 728905052 /nfs/dbraw/zinc/90/50/52/728905052.db2.gz BENKHRDWBYZZQW-JTQLQIEISA-N -1 1 328.336 -0.443 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CCNC(=O)C2)C1 ZINC000967898425 724927691 /nfs/dbraw/zinc/92/76/91/724927691.db2.gz HLGXSMJTWHJLOE-NSHDSACASA-N -1 1 346.387 -0.014 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CCC(=O)NC2)C1 ZINC000967907589 724928983 /nfs/dbraw/zinc/92/89/83/724928983.db2.gz NTDQIJYAHNQELC-NSHDSACASA-N -1 1 346.387 -0.014 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CCNC2=O)C1 ZINC000967909189 724929709 /nfs/dbraw/zinc/92/97/09/724929709.db2.gz WVMGBHBMOICEAA-NSHDSACASA-N -1 1 332.360 -0.404 20 0 EBADMM CCN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)C2CCC2)C1 ZINC000968154554 724970639 /nfs/dbraw/zinc/97/06/39/724970639.db2.gz ROJJZSCNALQLRR-UHFFFAOYSA-N -1 1 344.375 -0.109 20 0 EBADMM O=C(c1cc2ncccn2n1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019541786 728914860 /nfs/dbraw/zinc/91/48/60/728914860.db2.gz GWKPNFIXYXEQGJ-VIFPVBQESA-N -1 1 328.336 -0.443 20 0 EBADMM Cc1c[nH]c(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)n1 ZINC000968400282 725059380 /nfs/dbraw/zinc/05/93/80/725059380.db2.gz OKEHGJFWWMJSEO-GXSJLCMTSA-N -1 1 333.396 -0.220 20 0 EBADMM O=C(Cn1cnnn1)N1[C@@H]2CC[C@H]1CN(C(=O)c1ncccc1[O-])C2 ZINC000968462929 725069290 /nfs/dbraw/zinc/06/92/90/725069290.db2.gz LBKMSPIHXMNXHB-PHIMTYICSA-N -1 1 343.347 -0.711 20 0 EBADMM Cc1nonc1CC(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1C ZINC000968493759 725073588 /nfs/dbraw/zinc/07/35/88/725073588.db2.gz XCJJNASRTOQEGN-CABZTGNLSA-N -1 1 349.395 -0.631 20 0 EBADMM Cc1ccn([C@H](C)CC(=O)N2C[C@@H](NC(=O)c3cnn[nH]3)[C@@H](O)C2)n1 ZINC000968707265 725106309 /nfs/dbraw/zinc/10/63/09/725106309.db2.gz IOPDMNBKEMJRPV-RTXFEEFZSA-N -1 1 347.379 -0.738 20 0 EBADMM Cn1cnc(C(=O)NC[C@@H]2CCCCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC000968836664 725118702 /nfs/dbraw/zinc/11/87/02/725118702.db2.gz CWMLPAKCXGCPRI-NSHDSACASA-N -1 1 348.411 -0.589 20 0 EBADMM Cn1nncc1C(=O)NC[C@@H]1CCCCCN1Cc1nc(=O)n(C)[n-]1 ZINC000968843547 725119733 /nfs/dbraw/zinc/11/97/33/725119733.db2.gz XDJFQIJEHBDXJL-NSHDSACASA-N -1 1 348.411 -0.589 20 0 EBADMM O=C(Cn1ncnn1)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000968886694 725125739 /nfs/dbraw/zinc/12/57/39/725125739.db2.gz WBLGGUAOMKBZFS-PHIMTYICSA-N -1 1 343.347 -0.711 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1cc(F)c[nH]1 ZINC000968980883 725138014 /nfs/dbraw/zinc/13/80/14/725138014.db2.gz GJHVKGWRSRHVEG-PWSUYJOCSA-N -1 1 334.307 -0.130 20 0 EBADMM O=C(c1cnc2[nH]c(=O)[nH]c2c1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969147118 725156567 /nfs/dbraw/zinc/15/65/67/725156567.db2.gz OLBKFGSOCPXKTF-MRVPVSSYSA-N -1 1 344.335 -0.509 20 0 EBADMM Cc1nocc1CN[C@@H]1CCN(C(=O)CCc2n[nH]c(=O)[n-]c2=O)C1 ZINC000969184245 725159317 /nfs/dbraw/zinc/15/93/17/725159317.db2.gz GCOSXMSTLVUPNC-LLVKDONJSA-N -1 1 348.363 -0.097 20 0 EBADMM CC(=O)N1CCC[C@H](C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC000969253099 725166059 /nfs/dbraw/zinc/16/60/59/725166059.db2.gz NXZCRYMGIMCWTI-NWDGAFQWSA-N -1 1 336.396 -0.541 20 0 EBADMM CCn1cc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC000969405280 725177009 /nfs/dbraw/zinc/17/70/09/725177009.db2.gz ZNTPPAIJHBIMGL-SNVBAGLBSA-N -1 1 333.396 -0.425 20 0 EBADMM CCc1ocnc1C(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969411484 725177835 /nfs/dbraw/zinc/17/78/35/725177835.db2.gz LPICJLKBTYXAMT-VIFPVBQESA-N -1 1 334.380 -0.091 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(Cc2nc(=O)n(C)[nH]2)C1 ZINC000969546029 725191458 /nfs/dbraw/zinc/19/14/58/725191458.db2.gz HPRMARZJOPIHOJ-SECBINFHSA-N -1 1 332.364 -0.541 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(CC(=O)N2CCOCC2)C1 ZINC000969546907 725191746 /nfs/dbraw/zinc/19/17/46/725191746.db2.gz VYPBGUPINIXFMG-GFCCVEGCSA-N -1 1 348.403 -0.304 20 0 EBADMM C[C@@H](NC(=O)c1cn(C)ccc1=O)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970126889 725254188 /nfs/dbraw/zinc/25/41/88/725254188.db2.gz JLUKYFLLUSWVGT-SNVBAGLBSA-N -1 1 346.391 -0.943 20 0 EBADMM COc1nn(C)cc1C(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970264782 725270125 /nfs/dbraw/zinc/27/01/25/725270125.db2.gz KDPWEZLTENZBPJ-VIFPVBQESA-N -1 1 349.395 -0.899 20 0 EBADMM Cc1nc(CN[C@@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)co1 ZINC000970278427 725272575 /nfs/dbraw/zinc/27/25/75/725272575.db2.gz WEGNHNHAEJBSHH-GFCCVEGCSA-N -1 1 347.375 -0.386 20 0 EBADMM O=C(CC1=CCOCC1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000970335366 725279081 /nfs/dbraw/zinc/27/90/81/725279081.db2.gz MBJZJCDMTYIINT-OCCSQVGLSA-N -1 1 347.371 -0.175 20 0 EBADMM CCc1nocc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000970361633 725283519 /nfs/dbraw/zinc/28/35/19/725283519.db2.gz HVFFQOCEWPNCMH-YPMHNXCESA-N -1 1 346.343 -0.047 20 0 EBADMM Cc1nc(C)c(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)[nH]1 ZINC000970438300 725291522 /nfs/dbraw/zinc/29/15/22/725291522.db2.gz XQWYVMIMWRDJBH-MRVPVSSYSA-N -1 1 333.396 -0.301 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H](NCc2ccon2)C1 ZINC000970472948 725294454 /nfs/dbraw/zinc/29/44/54/725294454.db2.gz ZBNXZZTUHNYIAE-SECBINFHSA-N -1 1 335.320 -0.111 20 0 EBADMM CCn1cc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c(C)n1 ZINC000970509669 725296735 /nfs/dbraw/zinc/29/67/35/725296735.db2.gz SSDUKHMRPBAGBG-JTQLQIEISA-N -1 1 347.423 -0.116 20 0 EBADMM CCn1ccc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000970529118 725297921 /nfs/dbraw/zinc/29/79/21/725297921.db2.gz MHEWAQSRTOCOCX-SNVBAGLBSA-N -1 1 333.396 -0.425 20 0 EBADMM CCc1cc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC000970644701 725310776 /nfs/dbraw/zinc/31/07/76/725310776.db2.gz FRZMEQGDDIETLK-SNVBAGLBSA-N -1 1 347.423 -0.345 20 0 EBADMM COc1ncc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC000970684764 725316693 /nfs/dbraw/zinc/31/66/93/725316693.db2.gz YJRGDGIHBZYEPZ-SECBINFHSA-N -1 1 347.379 -0.843 20 0 EBADMM Cc1cc(=O)c(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c[nH]1 ZINC000970683971 725316752 /nfs/dbraw/zinc/31/67/52/725316752.db2.gz LXBGDIQFEHYFIX-SNVBAGLBSA-N -1 1 346.391 -0.645 20 0 EBADMM Cc1c(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC000971081638 725350576 /nfs/dbraw/zinc/35/05/76/725350576.db2.gz WJXGSBLYXXMPMM-LLVKDONJSA-N -1 1 333.396 -0.503 20 0 EBADMM Cc1cc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC000971166625 725353071 /nfs/dbraw/zinc/35/30/71/725353071.db2.gz KWZODJXDIKLYEZ-NSHDSACASA-N -1 1 333.396 -0.503 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)CC[C@@H]2CCOC2)C1 ZINC000971298436 725358388 /nfs/dbraw/zinc/35/83/88/725358388.db2.gz SVDCFKDTBYHJDP-OLZOCXBDSA-N -1 1 337.424 -0.042 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)C[C@@](C)(O)C2CC2)C1 ZINC000971371610 725360841 /nfs/dbraw/zinc/36/08/41/725360841.db2.gz WSWUMRDPVWMSKW-MLGOLLRUSA-N -1 1 337.424 -0.308 20 0 EBADMM CCn1nncc1C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000971495887 725369517 /nfs/dbraw/zinc/36/95/17/725369517.db2.gz IFZDAXHOPIDQQP-JTQLQIEISA-N -1 1 334.384 -0.934 20 0 EBADMM O=C(c1cc2n(n1)CCC2)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051646885 735287769 /nfs/dbraw/zinc/28/77/69/735287769.db2.gz FAMRVJRXLMVRGA-LLVKDONJSA-N -1 1 347.379 -0.716 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)Cc2ccc(F)cn2)C1 ZINC000971811023 725438731 /nfs/dbraw/zinc/43/87/31/725438731.db2.gz NZWZRWLLJSLRON-CYBMUJFWSA-N -1 1 348.382 -0.082 20 0 EBADMM COc1ccc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC000971895945 725446615 /nfs/dbraw/zinc/44/66/15/725446615.db2.gz KXDNWTADKHFVHM-GFCCVEGCSA-N -1 1 346.391 -0.142 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@H]2CCN(C(=O)[C@@H]3CCC(=O)N3)C[C@H]21 ZINC000971899920 725446946 /nfs/dbraw/zinc/44/69/46/725446946.db2.gz MTMXYTVCSYGFQM-GRYCIOLGSA-N -1 1 344.371 -0.261 20 0 EBADMM COc1nc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)co1 ZINC000972016677 725455340 /nfs/dbraw/zinc/45/53/40/725455340.db2.gz MJCNNRURHMVNNU-SECBINFHSA-N -1 1 336.352 -0.549 20 0 EBADMM CO[C@H](C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1)C1CCC1 ZINC000972025890 725456397 /nfs/dbraw/zinc/45/63/97/725456397.db2.gz WJYGCKFYQSKCGU-JSGCOSHPSA-N -1 1 337.424 -0.044 20 0 EBADMM Cc1noc(CCC(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000972048922 725459125 /nfs/dbraw/zinc/45/91/25/725459125.db2.gz LUXHXYXZLAATMH-NSHDSACASA-N -1 1 349.395 -0.535 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@]3(CCN(C(=O)C4CCC4)C3)C2)nc1=O ZINC000972206636 725478752 /nfs/dbraw/zinc/47/87/52/725478752.db2.gz HFCISRTUACDGQV-INIZCTEOSA-N -1 1 335.408 -0.288 20 0 EBADMM Cc1ccn(CC(=O)NC2CN(C(=O)c3ncccc3[O-])C2)c(=O)c1 ZINC000991607043 725479618 /nfs/dbraw/zinc/47/96/18/725479618.db2.gz ZXUOFHQWDONVFH-UHFFFAOYSA-N -1 1 342.355 -0.102 20 0 EBADMM Cc1cc(C(=O)N2CC[C@@]3(C2)CN(Cc2n[nH]c(=O)[n-]2)CCO3)n[nH]1 ZINC000972281238 725490119 /nfs/dbraw/zinc/49/01/19/725490119.db2.gz GTDHULULQXWQDA-HNNXBMFYSA-N -1 1 347.379 -0.341 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@]3(CCN(C(=O)[C@@H]4CC4(C)C)C3)C2)nc1=O ZINC000972342149 725501171 /nfs/dbraw/zinc/50/11/71/725501171.db2.gz JXBLZHYKPVJCQL-SJCJKPOMSA-N -1 1 349.435 -0.042 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)C1 ZINC000972386136 725513747 /nfs/dbraw/zinc/51/37/47/725513747.db2.gz JXTARFGYCMEMDZ-LOWDOPEQSA-N -1 1 335.408 -0.291 20 0 EBADMM Cc1ccc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)c(=O)[nH]1 ZINC000972420733 725519826 /nfs/dbraw/zinc/51/98/26/725519826.db2.gz CYCBXHDFVCWLNX-NSHDSACASA-N -1 1 346.391 -0.136 20 0 EBADMM CCn1cc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)c(C)n1 ZINC000972450653 725526507 /nfs/dbraw/zinc/52/65/07/725526507.db2.gz SEUBBWAXHQXXNC-GFCCVEGCSA-N -1 1 347.423 -0.020 20 0 EBADMM CCn1cc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)c(C)n1 ZINC000972450655 725526554 /nfs/dbraw/zinc/52/65/54/725526554.db2.gz SEUBBWAXHQXXNC-LBPRGKRZSA-N -1 1 347.423 -0.020 20 0 EBADMM O=C(Cc1cnoc1)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC000991689502 725545021 /nfs/dbraw/zinc/54/50/21/725545021.db2.gz RVAMLTXELKLNBN-WCQYABFASA-N -1 1 346.343 -0.291 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)CCc2ncccn2)C1 ZINC000972592701 725556219 /nfs/dbraw/zinc/55/62/19/725556219.db2.gz NONQTEKDRSHYJX-GFCCVEGCSA-N -1 1 345.407 -0.436 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1ncccn1 ZINC000991719967 725572865 /nfs/dbraw/zinc/57/28/65/725572865.db2.gz QEAXUXYVLLKIHP-JQWIXIFHSA-N -1 1 343.343 -0.417 20 0 EBADMM Cc1cc(=O)c(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)c[nH]1 ZINC000972661699 725573066 /nfs/dbraw/zinc/57/30/66/725573066.db2.gz JPGVQYSIIINKII-LLVKDONJSA-N -1 1 346.391 -0.548 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)c3cc[n+]([O-])cc3)C(C)(C)C2)nc1=O ZINC000972781734 725592504 /nfs/dbraw/zinc/59/25/04/725592504.db2.gz CHSMIQRREDCGBS-GFCCVEGCSA-N -1 1 346.391 -0.618 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)n(C)n1 ZINC000972842280 725597346 /nfs/dbraw/zinc/59/73/46/725597346.db2.gz XGPJRHCTEJVKNB-GFCCVEGCSA-N -1 1 347.423 -0.209 20 0 EBADMM Cc1cnn(CC(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)c1 ZINC000972843114 725597471 /nfs/dbraw/zinc/59/74/71/725597471.db2.gz BADILBCXOHUENV-LBPRGKRZSA-N -1 1 347.423 -0.360 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)[C@H]3CCCOC3)C(C)(C)C2)nc1=O ZINC000972851355 725598064 /nfs/dbraw/zinc/59/80/64/725598064.db2.gz DZWYGQWYMNHZOY-RYUDHWBXSA-N -1 1 337.424 -0.138 20 0 EBADMM O=C(Cc1c[nH]cn1)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC000991801937 725632334 /nfs/dbraw/zinc/63/23/34/725632334.db2.gz DLINTFWYGRNCGL-DGCLKSJQSA-N -1 1 345.359 -0.555 20 0 EBADMM O=C(CC1CCC1)N[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000973130502 725650312 /nfs/dbraw/zinc/65/03/12/725650312.db2.gz IHVSMMLPBLXHCH-XYPYZODXSA-N -1 1 344.375 -0.015 20 0 EBADMM Cn1oc(C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)cc1=O ZINC000973201366 725668773 /nfs/dbraw/zinc/66/87/73/725668773.db2.gz NSMSANKOKSOVCG-KYZUINATSA-N -1 1 332.316 -0.230 20 0 EBADMM O=C(NC1CC(CNC(=O)[C@H]2CCC(=O)N2)C1)c1ncccc1[O-] ZINC000991988576 725694452 /nfs/dbraw/zinc/69/44/52/725694452.db2.gz XFBWTXGQNAMKGE-VQXHTEKXSA-N -1 1 332.360 -0.310 20 0 EBADMM CCC(=O)N1C[C@@H](CNC(=O)CCn2cc[n-]c(=O)c2=O)[C@H](C)C1 ZINC001051671876 735312293 /nfs/dbraw/zinc/31/22/93/735312293.db2.gz NFWQRHFVSKMYJZ-VXGBXAGGSA-N -1 1 336.392 -0.453 20 0 EBADMM O=C(N[C@H]1C[C@H](NC(=O)c2ncn[nH]2)C1)c1ccc2oc(=O)nc-2[n-]1 ZINC000973464568 725755545 /nfs/dbraw/zinc/75/55/45/725755545.db2.gz JBIDFLZOLNHJIC-LJGSYFOKSA-N -1 1 343.303 -0.263 20 0 EBADMM O=C(N[C@H]1C[C@H](NC(=O)c2ccc3oc(=O)nc-3[n-]2)C1)c1nc[nH]n1 ZINC000973464568 725755547 /nfs/dbraw/zinc/75/55/47/725755547.db2.gz JBIDFLZOLNHJIC-LJGSYFOKSA-N -1 1 343.303 -0.263 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)NC1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000992240463 725758779 /nfs/dbraw/zinc/75/87/79/725758779.db2.gz IFXSMLMBLKLKCA-AGVGLQIMSA-N -1 1 344.375 -0.302 20 0 EBADMM O=C(NC1CC(CNC(=O)[C@@H]2CCC(=O)N2)C1)c1ncccc1[O-] ZINC000992269129 725763629 /nfs/dbraw/zinc/76/36/29/725763629.db2.gz XFBWTXGQNAMKGE-ILDUYXDCSA-N -1 1 332.360 -0.310 20 0 EBADMM CC(=O)N1CCC[C@@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)CC[C@H]21 ZINC000973705645 725791446 /nfs/dbraw/zinc/79/14/46/725791446.db2.gz ZDXNNJYCWYBJKR-ZIAGYGMSSA-N -1 1 348.403 -0.214 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000973823573 725805737 /nfs/dbraw/zinc/80/57/37/725805737.db2.gz AWKTUWFVFQYYQI-DOLQZWNJSA-N -1 1 330.348 -0.549 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000973823575 725805922 /nfs/dbraw/zinc/80/59/22/725805922.db2.gz AWKTUWFVFQYYQI-IMSYWVGJSA-N -1 1 330.348 -0.549 20 0 EBADMM O=C(CN1CCCC1=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000973864545 725812667 /nfs/dbraw/zinc/81/26/67/725812667.db2.gz DRSRVVJUBXLWAC-PHIMTYICSA-N -1 1 332.360 -0.213 20 0 EBADMM O=C(C=C1CCC1)N[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000974015763 725847061 /nfs/dbraw/zinc/84/70/61/725847061.db2.gz ZERWQXZNYVKNHF-PHIMTYICSA-N -1 1 342.359 -0.095 20 0 EBADMM O=C(CN1CCOCC1)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974048901 725855289 /nfs/dbraw/zinc/85/52/89/725855289.db2.gz YQMPKNKKMPWZJI-TXEJJXNPSA-N -1 1 334.376 -0.504 20 0 EBADMM C[C@@H]1[C@H](NC(=O)Cc2cc[nH]n2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993140197 725857803 /nfs/dbraw/zinc/85/78/03/725857803.db2.gz AKWMILMZYFLEBB-ZYHUDNBSSA-N -1 1 333.396 -0.457 20 0 EBADMM O=C(C[C@H]1CCNC1=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974056682 725859233 /nfs/dbraw/zinc/85/92/33/725859233.db2.gz MELWOJLNUDYKDL-MXWKQRLJSA-N -1 1 332.360 -0.310 20 0 EBADMM O=C(N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)c1cn[nH]c(=O)c1 ZINC000974057363 725859571 /nfs/dbraw/zinc/85/95/71/725859571.db2.gz PFMLQFQANKLGJU-AOOOYVTPSA-N -1 1 329.316 -0.026 20 0 EBADMM NC(=O)c1c[nH]c(C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)c1 ZINC000974057342 725859598 /nfs/dbraw/zinc/85/95/98/725859598.db2.gz QDOPWSWKTJWXKB-AOOOYVTPSA-N -1 1 343.343 -0.095 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2cncnc2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993264868 725867247 /nfs/dbraw/zinc/86/72/47/725867247.db2.gz OEOFDQUDJUHUHZ-ZYHUDNBSSA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2cnnn2C)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993276890 725868634 /nfs/dbraw/zinc/86/86/34/725868634.db2.gz GCGOILOLFJZZAL-UWVGGRQHSA-N -1 1 334.384 -0.980 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cnnn2C)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993276895 725868646 /nfs/dbraw/zinc/86/86/46/725868646.db2.gz GCGOILOLFJZZAL-ZJUUUORDSA-N -1 1 334.384 -0.980 20 0 EBADMM Cc1cn(C)nc1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1C ZINC000993319853 725872462 /nfs/dbraw/zinc/87/24/62/725872462.db2.gz XLAAWOLXZVOJJF-NWDGAFQWSA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@H]1[C@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993683416 725928214 /nfs/dbraw/zinc/92/82/14/725928214.db2.gz AJMSYGKDQURRAW-YCGPCKTQSA-N -1 1 335.408 -0.530 20 0 EBADMM CC(C)(C)C(=O)N[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000974324586 725945346 /nfs/dbraw/zinc/94/53/46/725945346.db2.gz KTLJDSHRUQJERZ-DTORHVGOSA-N -1 1 332.364 -0.159 20 0 EBADMM CC1(C(=O)N[C@H]2C[C@@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)CCC1 ZINC000974324963 725945437 /nfs/dbraw/zinc/94/54/37/725945437.db2.gz XUBJKMMLTFVUBS-AOOOYVTPSA-N -1 1 344.375 -0.015 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H]1C[C@@H](NC(=O)C(N)=O)C1 ZINC000974349043 725951055 /nfs/dbraw/zinc/95/10/55/725951055.db2.gz WMWHNQLLNQVXSV-DTORHVGOSA-N -1 1 335.364 -0.524 20 0 EBADMM O=C(Cn1cc(C2CC2)nn1)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000993937778 725965111 /nfs/dbraw/zinc/96/51/11/725965111.db2.gz PPWBKJRPOODVDK-UHFFFAOYSA-N -1 1 342.359 -0.103 20 0 EBADMM C[C@H]1[C@@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)CCCN1CCO ZINC000994014464 725972007 /nfs/dbraw/zinc/97/20/07/725972007.db2.gz ZGNCGCXUVSPKLK-JQWIXIFHSA-N -1 1 334.380 -0.967 20 0 EBADMM Cc1nnccc1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051700334 735335827 /nfs/dbraw/zinc/33/58/27/735335827.db2.gz XUVDVTRFUUXNIG-JTQLQIEISA-N -1 1 333.352 -0.760 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1C[C@H]2CCN(C(=O)C3CC3)C[C@H]21 ZINC000974579066 726040761 /nfs/dbraw/zinc/04/07/61/726040761.db2.gz VHXFOCWGCAJQSQ-ZYHUDNBSSA-N -1 1 342.359 -0.500 20 0 EBADMM CCC(=O)N1CC[C@@H]2CN(C(=O)c3[nH]c(=O)[n-]c(=O)c3OC)[C@@H]2C1 ZINC000974580618 726041097 /nfs/dbraw/zinc/04/10/97/726041097.db2.gz VMBHKBNUXGVZTL-RKDXNWHRSA-N -1 1 336.348 -0.021 20 0 EBADMM CC1(C(=O)N2CC(n3cc(CNC(=O)c4cnn[nH]4)nn3)C2)CC1 ZINC000994719701 726062559 /nfs/dbraw/zinc/06/25/59/726062559.db2.gz KWHMXMUEOLCURJ-UHFFFAOYSA-N -1 1 330.352 -0.490 20 0 EBADMM C[C@@]1(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)CCNC(=O)C1 ZINC000994861502 726104419 /nfs/dbraw/zinc/10/44/19/726104419.db2.gz VTJSEZLTTWZXJJ-MRXNPFEDSA-N -1 1 332.360 -0.356 20 0 EBADMM CC(=O)N1CC[C@@]2(CNC(=O)c3cc(=O)n4[n-]cnc4n3)CCC[C@@H]12 ZINC000995344864 726204885 /nfs/dbraw/zinc/20/48/85/726204885.db2.gz PRVWPQQCHGBWID-MLGOLLRUSA-N -1 1 344.375 -0.062 20 0 EBADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCS(=O)(=O)C1 ZINC000995413410 726214504 /nfs/dbraw/zinc/21/45/04/726214504.db2.gz CTYVLRXQUDWBHH-SECBINFHSA-N -1 1 339.373 -0.838 20 0 EBADMM CC1(C)CN(C(=O)CN2CCCC2=O)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995432989 726220495 /nfs/dbraw/zinc/22/04/95/726220495.db2.gz UJMXUALQHBYMBC-JTQLQIEISA-N -1 1 336.396 -0.541 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)[C@H]1CCC(=O)N1 ZINC000976266112 726245644 /nfs/dbraw/zinc/24/56/44/726245644.db2.gz MNSSJMGERQBOJM-COMAGPEQSA-N -1 1 330.344 -0.748 20 0 EBADMM Cn1ncc(C(=O)NC2CN(C(=O)c3cnc(C4CC4)[n-]c3=O)C2)n1 ZINC000995702731 726291152 /nfs/dbraw/zinc/29/11/52/726291152.db2.gz TUDMADIKJZFADX-UHFFFAOYSA-N -1 1 343.347 -0.558 20 0 EBADMM Cn1cncc1CC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976406293 726295137 /nfs/dbraw/zinc/29/51/37/726295137.db2.gz VJHRAIBTWDDYID-JYAVWHMHSA-N -1 1 341.371 -0.050 20 0 EBADMM Cn1cnc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)cc1=O ZINC000995913196 726312121 /nfs/dbraw/zinc/31/21/21/726312121.db2.gz GIZOKKLJNHPYMF-SNVBAGLBSA-N -1 1 347.379 -0.756 20 0 EBADMM O=C(N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)[C@@H]1CCC(=O)N1)c1ncccc1[O-] ZINC000996144863 726338248 /nfs/dbraw/zinc/33/82/48/726338248.db2.gz RMQHGHRWBAEVRS-RHYQMDGZSA-N -1 1 344.371 -0.073 20 0 EBADMM O=C(Cn1nccn1)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncccc1[O-])C2 ZINC000996147389 726338708 /nfs/dbraw/zinc/33/87/08/726338708.db2.gz NNHOWBNWDGPOGA-WOPDTQHZSA-N -1 1 342.359 -0.059 20 0 EBADMM O=C(N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)[C@H]1CNC(=O)N1)c1ncccc1[O-] ZINC000996149102 726338756 /nfs/dbraw/zinc/33/87/56/726338756.db2.gz YSCMIYYDTXLCAP-YTWAJWBKSA-N -1 1 345.359 -0.670 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(C)CC1 ZINC000976638486 726369584 /nfs/dbraw/zinc/36/95/84/726369584.db2.gz QYUPEOOAHXZTAT-BRPSZJMVSA-N -1 1 348.359 -0.117 20 0 EBADMM O=C(C[C@H]1CCNC1=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000976640207 726369940 /nfs/dbraw/zinc/36/99/40/726369940.db2.gz IXVQDWXMVIQHPV-PUHVVEEASA-N -1 1 344.371 -0.500 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)[C@@H]2CCCO2)C1 ZINC000996394904 726383695 /nfs/dbraw/zinc/38/36/95/726383695.db2.gz AUXIUKULBBYZHR-QMMMGPOBSA-N -1 1 338.320 -0.984 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)[C@H]2CCCO2)C1 ZINC000996394903 726383800 /nfs/dbraw/zinc/38/38/00/726383800.db2.gz AUXIUKULBBYZHR-MRVPVSSYSA-N -1 1 338.320 -0.984 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)Cc2cnoc2)C1 ZINC000996397210 726385579 /nfs/dbraw/zinc/38/55/79/726385579.db2.gz NTMODIQFLFKVQJ-UHFFFAOYSA-N -1 1 349.303 -0.932 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)/C=C/C2CC2)C1 ZINC000996397291 726386105 /nfs/dbraw/zinc/38/61/05/726386105.db2.gz OQLPMJGOYPHTMH-SNAWJCMRSA-N -1 1 334.332 -0.197 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)[C@@H](F)C(C)C)C1 ZINC000996398289 726386513 /nfs/dbraw/zinc/38/65/13/726386513.db2.gz SFTNXXMNIMEDLU-QMMMGPOBSA-N -1 1 342.327 -0.169 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)c2ocnc2C)C1 ZINC000996398750 726387050 /nfs/dbraw/zinc/38/70/50/726387050.db2.gz XHNUKTYIXPZOCY-UHFFFAOYSA-N -1 1 349.303 -0.553 20 0 EBADMM CC[C@H](OC)C(=O)N1CC(NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC000996398657 726387264 /nfs/dbraw/zinc/38/72/64/726387264.db2.gz WBCOCJIBEDGQNP-QMMMGPOBSA-N -1 1 340.336 -0.738 20 0 EBADMM CC[C@@H](OC)C(=O)N1CC(NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC000996398654 726387292 /nfs/dbraw/zinc/38/72/92/726387292.db2.gz WBCOCJIBEDGQNP-MRVPVSSYSA-N -1 1 340.336 -0.738 20 0 EBADMM O=C(NC1CN(C(=O)c2ccsc2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996403775 726387751 /nfs/dbraw/zinc/38/77/51/726387751.db2.gz ARTDNNNAYLOOKB-UHFFFAOYSA-N -1 1 344.356 -0.267 20 0 EBADMM Cc1ccc(C(=O)N2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)o1 ZINC000996403841 726387843 /nfs/dbraw/zinc/38/78/43/726387843.db2.gz BNDBFIVLAHLRKV-UHFFFAOYSA-N -1 1 342.315 -0.427 20 0 EBADMM CC1(C)C[C@@H]1C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996408950 726389183 /nfs/dbraw/zinc/38/91/83/726389183.db2.gz QJDOYNJWYNTAQO-SECBINFHSA-N -1 1 330.348 -0.596 20 0 EBADMM CC1(C)C[C@H]1C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996408952 726389531 /nfs/dbraw/zinc/38/95/31/726389531.db2.gz QJDOYNJWYNTAQO-VIFPVBQESA-N -1 1 330.348 -0.596 20 0 EBADMM Cc1nonc1CC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000996469645 726401341 /nfs/dbraw/zinc/40/13/41/726401341.db2.gz DJBPAVWKFMZGEV-SNVBAGLBSA-N -1 1 335.368 -0.229 20 0 EBADMM CC1(C)CN(C(=O)c2c[nH]c(C(N)=O)c2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996614247 726420224 /nfs/dbraw/zinc/42/02/24/726420224.db2.gz MNWXSSRWNASGTK-SNVBAGLBSA-N -1 1 347.379 -0.422 20 0 EBADMM Cc1ccnc(CNC2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)CC2)n1 ZINC000996764616 726426017 /nfs/dbraw/zinc/42/60/17/726426017.db2.gz YUESISRXVGDPNR-UHFFFAOYSA-N -1 1 345.363 -0.224 20 0 EBADMM O=C([C@H]1CCS(=O)(=O)C1)N1CCC(NCc2n[nH]c(=O)[n-]2)CC1 ZINC000996786137 726427037 /nfs/dbraw/zinc/42/70/37/726427037.db2.gz UIKHOYRBGZDHLZ-VIFPVBQESA-N -1 1 343.409 -0.974 20 0 EBADMM COCCn1ccc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)n1 ZINC000996864288 726430473 /nfs/dbraw/zinc/43/04/73/726430473.db2.gz WSOGFGVRRCFGJZ-UHFFFAOYSA-N -1 1 345.359 -0.116 20 0 EBADMM CC(=O)N1CC[C@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000997665914 726459173 /nfs/dbraw/zinc/45/91/73/726459173.db2.gz ZGJJILGIOKIOIU-NSHDSACASA-N -1 1 332.360 -0.404 20 0 EBADMM Cn1cc(CNCC2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)CC2)nn1 ZINC000997889427 726469182 /nfs/dbraw/zinc/46/91/82/726469182.db2.gz VIUSENYPXFWFOH-UHFFFAOYSA-N -1 1 348.367 -0.947 20 0 EBADMM Cc1nnc(CNCC2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)CC2)[nH]1 ZINC000997888959 726469195 /nfs/dbraw/zinc/46/91/95/726469195.db2.gz OOYBRNQOFVSIKH-UHFFFAOYSA-N -1 1 348.367 -0.649 20 0 EBADMM Cn1ncnc1CNCC1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC000997888934 726469220 /nfs/dbraw/zinc/46/92/20/726469220.db2.gz NSVIGCOXKINJIE-UHFFFAOYSA-N -1 1 348.367 -0.947 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(NC(=O)[C@H]2C[C@H]3C[C@H]3C2)C1 ZINC000997996742 726472346 /nfs/dbraw/zinc/47/23/46/726472346.db2.gz FFRJLTDUSSMURP-GDNZZTSVSA-N -1 1 346.387 -0.700 20 0 EBADMM O=C(N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)C1CC1)c1cc(=O)n2[n-]cnc2n1 ZINC000998612728 726493118 /nfs/dbraw/zinc/49/31/18/726493118.db2.gz DMPXRLJHPFMQFS-SCVCMEIPSA-N -1 1 342.359 -0.311 20 0 EBADMM CC(C)[C@H]1C[C@@H]1C(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000998838305 726499502 /nfs/dbraw/zinc/49/95/02/726499502.db2.gz SGGRWXGXQJLSDO-MNOVXSKESA-N -1 1 344.375 -0.350 20 0 EBADMM Cc1cc(CNC2CC(N(C)C(=O)c3n[nH]c(=O)[n-]c3=O)C2)on1 ZINC000999061197 726506116 /nfs/dbraw/zinc/50/61/16/726506116.db2.gz NVARMOLHUKOMLO-UHFFFAOYSA-N -1 1 334.336 -0.028 20 0 EBADMM C[C@@H]1C[C@H]1CC(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000999097650 726507632 /nfs/dbraw/zinc/50/76/32/726507632.db2.gz XXJBKSDZWOWIPL-BDAKNGLRSA-N -1 1 330.348 -0.596 20 0 EBADMM O=C(CCn1ccccc1=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999310585 726513735 /nfs/dbraw/zinc/51/37/35/726513735.db2.gz YPLUNNKDSNUAKB-LBPRGKRZSA-N -1 1 346.391 -0.157 20 0 EBADMM O=C(Cn1cc(C2CC2)nn1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999348306 726515429 /nfs/dbraw/zinc/51/54/29/726515429.db2.gz NNQUAKZJCMNLHR-LLVKDONJSA-N -1 1 346.395 -0.240 20 0 EBADMM C[C@@H](C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)S(C)(=O)=O ZINC000999516762 726521325 /nfs/dbraw/zinc/52/13/25/726521325.db2.gz SGFHODIBTYFKDG-IUCAKERBSA-N -1 1 331.398 -0.976 20 0 EBADMM CCN1CCOC[C@@H]1C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999553462 726522720 /nfs/dbraw/zinc/52/27/20/726522720.db2.gz AJFIGTKOTVTDMM-VXGBXAGGSA-N -1 1 338.412 -0.688 20 0 EBADMM COc1nccc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000999667481 726526738 /nfs/dbraw/zinc/52/67/38/726526738.db2.gz MKBLBOGKGZQWMR-SECBINFHSA-N -1 1 333.352 -0.297 20 0 EBADMM O=C([C@H]1CCNC(=O)CC1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999816380 726533740 /nfs/dbraw/zinc/53/37/40/726533740.db2.gz YYHFNBDLUJWFET-GHMZBOCLSA-N -1 1 336.396 -0.493 20 0 EBADMM Cc1noc(CCC(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000999845305 726534557 /nfs/dbraw/zinc/53/45/57/726534557.db2.gz JUPQXPVXCBWBLD-JTQLQIEISA-N -1 1 335.368 -0.085 20 0 EBADMM Cn1ccc(CN[C@H]2CCCN(C(=O)c3cnc([O-])n(C)c3=O)C2)n1 ZINC000999867351 726536563 /nfs/dbraw/zinc/53/65/63/726536563.db2.gz WTNBIBFRLGMZGH-LBPRGKRZSA-N -1 1 346.391 -0.386 20 0 EBADMM O=C([C@@H]1CCCc2nn[nH]c21)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000024412 726551215 /nfs/dbraw/zinc/55/12/15/726551215.db2.gz CJWYPLUTFUEICH-VHSXEESVSA-N -1 1 346.395 -0.171 20 0 EBADMM Cn1nc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)ccc1=O ZINC001000085913 726554182 /nfs/dbraw/zinc/55/41/82/726554182.db2.gz GCPVXSCLFRMFNO-UHFFFAOYSA-N -1 1 329.316 -0.865 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)c3cc[n+]([O-])cc3)CC2)nc1=O ZINC001000410289 726566331 /nfs/dbraw/zinc/56/63/31/726566331.db2.gz VQZBBSNIDVVYES-UHFFFAOYSA-N -1 1 344.375 -0.696 20 0 EBADMM Cc1cc(CN[C@@H]2CCCN(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)nn1C ZINC001000802597 726578697 /nfs/dbraw/zinc/57/86/97/726578697.db2.gz SCMPTKVLUXBDQY-LLVKDONJSA-N -1 1 349.395 -0.488 20 0 EBADMM CCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001000905454 726583457 /nfs/dbraw/zinc/58/34/57/726583457.db2.gz IJTGXBYCKMAOIY-KKZNHRDASA-N -1 1 330.348 -0.311 20 0 EBADMM O=C(c1noc2c1COCC2)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000972656 726585654 /nfs/dbraw/zinc/58/56/54/726585654.db2.gz SHLXVOIVRWUVKP-SECBINFHSA-N -1 1 348.363 -0.035 20 0 EBADMM Cn1cc(CCC(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001000998115 726586596 /nfs/dbraw/zinc/58/65/96/726586596.db2.gz YZXZTGANNZTFNU-GFCCVEGCSA-N -1 1 333.396 -0.043 20 0 EBADMM Cn1nnc(CN2CCC(CNC(=O)c3ncccc3[O-])CC2)n1 ZINC001001334627 726603010 /nfs/dbraw/zinc/60/30/10/726603010.db2.gz HMKPCHDHWGAVRA-UHFFFAOYSA-N -1 1 331.380 -0.047 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)C3=CCOCC3)CC2)nc1=O ZINC001001344129 726603136 /nfs/dbraw/zinc/60/31/36/726603136.db2.gz SPIGWWHECSUVSZ-UHFFFAOYSA-N -1 1 335.408 -0.217 20 0 EBADMM Cn1cc(CC(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)cn1 ZINC001001535739 726611012 /nfs/dbraw/zinc/61/10/12/726611012.db2.gz CFQLFPXNKCWBCO-UHFFFAOYSA-N -1 1 329.360 -0.048 20 0 EBADMM O=C(NCC1CN(C(=O)[C@H]2CCNC(=O)C2)C1)c1ncccc1[O-] ZINC001001536742 726611042 /nfs/dbraw/zinc/61/10/42/726611042.db2.gz JNSCRHAXPGQOEY-NSHDSACASA-N -1 1 332.360 -0.498 20 0 EBADMM Cc1nnc(CC(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)[nH]1 ZINC001001540402 726611186 /nfs/dbraw/zinc/61/11/86/726611186.db2.gz BYROVQITNWERMA-UHFFFAOYSA-N -1 1 330.348 -0.355 20 0 EBADMM O=C(C[C@H]1CCC(=O)NC1)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001541195 726611204 /nfs/dbraw/zinc/61/12/04/726611204.db2.gz IJUGOYIMPCSYTL-LLVKDONJSA-N -1 1 346.387 -0.108 20 0 EBADMM O=C(C[C@H]1COC(=O)C1)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001541308 726611251 /nfs/dbraw/zinc/61/12/51/726611251.db2.gz LCUZFJQQLITRAF-SNVBAGLBSA-N -1 1 333.344 -0.071 20 0 EBADMM CN1C[C@H](C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)CCC1=O ZINC001001545159 726611368 /nfs/dbraw/zinc/61/13/68/726611368.db2.gz QKEPFQMFEHSJJR-GFCCVEGCSA-N -1 1 346.387 -0.156 20 0 EBADMM C[C@H]1C[C@@H](C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)CC(=O)N1 ZINC001001544052 726611436 /nfs/dbraw/zinc/61/14/36/726611436.db2.gz GFMSGKDFWXDEKO-CMPLNLGQSA-N -1 1 346.387 -0.110 20 0 EBADMM O=C(CN1C(=O)CCC1=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001546103 726611492 /nfs/dbraw/zinc/61/14/92/726611492.db2.gz QXPMYDFROHENSB-UHFFFAOYSA-N -1 1 346.343 -0.876 20 0 EBADMM O=C(C[C@H]1CC(=O)NC1=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001551114 726611553 /nfs/dbraw/zinc/61/15/53/726611553.db2.gz CTZNXVVKFXVHOJ-SNVBAGLBSA-N -1 1 346.343 -0.972 20 0 EBADMM O=C(NCC1CN(C(=O)[C@H]2CCC(=O)NC2)C1)c1ncccc1[O-] ZINC001001549738 726611577 /nfs/dbraw/zinc/61/15/77/726611577.db2.gz SNLWJROCVCHLMU-NSHDSACASA-N -1 1 332.360 -0.498 20 0 EBADMM O=C(Cn1cnnn1)N1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncccc1[O-])C2 ZINC001002188601 726635125 /nfs/dbraw/zinc/63/51/25/726635125.db2.gz KGKMTIVILWSOQC-MXWKQRLJSA-N -1 1 343.347 -0.664 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(CNC(=O)c2cc[nH]c2)C1 ZINC001002425643 726640700 /nfs/dbraw/zinc/64/07/00/726640700.db2.gz QZCSRPSACOYPNC-UHFFFAOYSA-N -1 1 347.331 -0.274 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)Cc3ccc(F)cn3)CC2)nc1=O ZINC001003172161 726662898 /nfs/dbraw/zinc/66/28/98/726662898.db2.gz GPSXATMCACELON-UHFFFAOYSA-N -1 1 348.382 -0.034 20 0 EBADMM COc1cc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)ccn1 ZINC001003229404 726665508 /nfs/dbraw/zinc/66/55/08/726665508.db2.gz GBNZMNGAFOBLSW-UHFFFAOYSA-N -1 1 346.391 -0.094 20 0 EBADMM O=C(NCC1CN(C(=O)c2ccc[nH]2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001003320585 726669696 /nfs/dbraw/zinc/66/96/96/726669696.db2.gz WHMOGKXHIJITJM-UHFFFAOYSA-N -1 1 341.331 -0.752 20 0 EBADMM O=C(CC1CCC1)N1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001003319498 726669737 /nfs/dbraw/zinc/66/97/37/726669737.db2.gz OUKXPBABVTXVOM-UHFFFAOYSA-N -1 1 344.375 -0.204 20 0 EBADMM C[C@H]1C[C@H]1C(=O)N1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001003320024 726669742 /nfs/dbraw/zinc/66/97/42/726669742.db2.gz RAEQCVFJXSRFCV-WCBMZHEXSA-N -1 1 330.348 -0.738 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)CC2)nc1=O ZINC001003339999 726670446 /nfs/dbraw/zinc/67/04/46/726670446.db2.gz YSIIDQCZCZKKRN-JYKNGBAOSA-N -1 1 347.419 -0.388 20 0 EBADMM CN1C(=O)CCC[C@@H]1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001003660304 726684008 /nfs/dbraw/zinc/68/40/08/726684008.db2.gz IFQOGHVGVXZXJX-GFCCVEGCSA-N -1 1 346.387 -0.014 20 0 EBADMM Cc1oncc1CN1CCC(NC(=O)Cn2c(=O)[n-][nH]c2=O)CC1 ZINC001004057801 726698184 /nfs/dbraw/zinc/69/81/84/726698184.db2.gz FDTZNMCWDOZZST-UHFFFAOYSA-N -1 1 336.352 -0.233 20 0 EBADMM Cc1n[nH]c(C)c1CC(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001004130523 726700706 /nfs/dbraw/zinc/70/07/06/726700706.db2.gz LAIIQACPRAUEMA-UHFFFAOYSA-N -1 1 347.423 -0.228 20 0 EBADMM Cc1nnc(CC(=O)N(C)C2CCN(Cc3nc(=O)n(C)[n-]3)CC2)o1 ZINC001005354315 726732392 /nfs/dbraw/zinc/73/23/92/726732392.db2.gz XRHXVSVHGAXRST-UHFFFAOYSA-N -1 1 349.395 -0.535 20 0 EBADMM C[C@@H](NC(=O)c1cnn(C)c1N)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005950714 726749556 /nfs/dbraw/zinc/74/95/56/726749556.db2.gz HEUZTAUYOKVAGT-SECBINFHSA-N -1 1 344.375 -0.007 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2COCCO2)C1 ZINC001005954221 726749917 /nfs/dbraw/zinc/74/99/17/726749917.db2.gz XCWSJEABEHASBX-ZWNOBZJWSA-N -1 1 335.360 -0.221 20 0 EBADMM CO[C@@H](C)C(=O)N1CC([C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001006468104 726770378 /nfs/dbraw/zinc/77/03/78/726770378.db2.gz ORHRLBRKMDNXPM-BDAKNGLRSA-N -1 1 348.363 -0.971 20 0 EBADMM C[C@@H](NC(=O)[C@@H]1CCC(=O)N1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006535817 726772712 /nfs/dbraw/zinc/77/27/12/726772712.db2.gz VAMFIOKRTXVEAO-KOLCDFICSA-N -1 1 332.360 -0.358 20 0 EBADMM C[C@H](NC(=O)c1c[nH]c(=O)cn1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006731532 726781656 /nfs/dbraw/zinc/78/16/56/726781656.db2.gz OOMVPMCXVWQETI-VIFPVBQESA-N -1 1 343.343 -0.239 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1)n1cncn1 ZINC001007165474 726789900 /nfs/dbraw/zinc/78/99/00/726789900.db2.gz RJWUZVUYNCZTCH-WDEREUQCSA-N -1 1 334.384 -0.958 20 0 EBADMM Cn1nccc1CC(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001007226713 726790654 /nfs/dbraw/zinc/79/06/54/726790654.db2.gz MGVQHCXQHIVQDE-NSHDSACASA-N -1 1 333.396 -0.835 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)C3CCC(O)CC3)C2)nc1=O ZINC001007226345 726790691 /nfs/dbraw/zinc/79/06/91/726790691.db2.gz IIDSVIHFHFIUJC-OTTFEQOBSA-N -1 1 337.424 -0.260 20 0 EBADMM Cc1c(CC(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001007471738 726794262 /nfs/dbraw/zinc/79/42/62/726794262.db2.gz HFVAAHJGTMVIIA-CYBMUJFWSA-N -1 1 347.423 -0.526 20 0 EBADMM C[C@@H](C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1)c1cnn(C)c1 ZINC001009777823 727000128 /nfs/dbraw/zinc/00/01/28/727000128.db2.gz DUKZIAHRZFKPGU-YPMHNXCESA-N -1 1 347.423 -0.274 20 0 EBADMM C[C@H]1C[C@@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)CN1C(=O)C(N)=O ZINC001010304867 727068455 /nfs/dbraw/zinc/06/84/55/727068455.db2.gz WCTCTKBUOPLZRP-IUCAKERBSA-N -1 1 347.375 -0.488 20 0 EBADMM O=C(CCn1cncn1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001010375493 727079529 /nfs/dbraw/zinc/07/95/29/727079529.db2.gz JVDOJIJDZAERNU-UHFFFAOYSA-N -1 1 330.348 -0.247 20 0 EBADMM CC[C@@H](C)C(=O)N1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001010389639 727082825 /nfs/dbraw/zinc/08/28/25/727082825.db2.gz GUHYFHDWLOAMLH-GFCCVEGCSA-N -1 1 336.392 -0.356 20 0 EBADMM CC(=O)N1CCCC2(CN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)C1 ZINC001010743665 727137396 /nfs/dbraw/zinc/13/73/96/727137396.db2.gz GZSPCQLNGRMVEE-UHFFFAOYSA-N -1 1 334.376 -0.602 20 0 EBADMM Cc1cc(=O)[nH]cc1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051820787 735412953 /nfs/dbraw/zinc/41/29/53/735412953.db2.gz HRCQPYUCEVJWPG-JTQLQIEISA-N -1 1 348.363 -0.450 20 0 EBADMM O=C(C[C@H]1CC(=O)NC1=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011036416 727186564 /nfs/dbraw/zinc/18/65/64/727186564.db2.gz KLJVLCSAHXZSHR-SNVBAGLBSA-N -1 1 346.343 -0.876 20 0 EBADMM NC(=O)c1cc(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)c[nH]1 ZINC001011037662 727186985 /nfs/dbraw/zinc/18/69/85/727186985.db2.gz GJAUVZAUQUMGOX-UHFFFAOYSA-N -1 1 343.343 -0.188 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)CC(=O)N1 ZINC001011037669 727187035 /nfs/dbraw/zinc/18/70/35/727187035.db2.gz GMBHXEKSIUKQSX-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1)C1(CF)CCC1 ZINC001051828396 735419125 /nfs/dbraw/zinc/41/91/25/735419125.db2.gz AXVWMFVGVSLVSL-SNVBAGLBSA-N -1 1 327.360 -0.033 20 0 EBADMM Cc1ccn(CC(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001051828496 735419152 /nfs/dbraw/zinc/41/91/52/735419152.db2.gz DHBCZANZPPHTRJ-NSHDSACASA-N -1 1 335.368 -0.968 20 0 EBADMM CCn1ccc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001051858654 735430935 /nfs/dbraw/zinc/43/09/35/735430935.db2.gz PJDGKVXSHXHPFZ-SNVBAGLBSA-N -1 1 335.368 -0.643 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(C(=O)[C@@H]2CC23CC3)CC1 ZINC001011557567 727542203 /nfs/dbraw/zinc/54/22/03/727542203.db2.gz QACXQTHOVXRUFZ-LBPRGKRZSA-N -1 1 346.387 -0.602 20 0 EBADMM CC1(C(=O)N2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)CC2)CCC1 ZINC001011561023 727542212 /nfs/dbraw/zinc/54/22/12/727542212.db2.gz KUBJKTNWTBWNBU-UHFFFAOYSA-N -1 1 348.403 -0.212 20 0 EBADMM CC[C@@H](F)C(=O)N1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001011561344 727542398 /nfs/dbraw/zinc/54/23/98/727542398.db2.gz QJHSUJDSAGNHGT-LLVKDONJSA-N -1 1 340.355 -0.654 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CCN1C(=O)CC(N)=O ZINC001011585291 727544874 /nfs/dbraw/zinc/54/48/74/727544874.db2.gz IGVRDHVSYVVHCE-KWQFWETISA-N -1 1 347.375 -0.346 20 0 EBADMM CC[C@@H](F)C(=O)N1CCN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)CC1 ZINC001011635657 727551717 /nfs/dbraw/zinc/55/17/17/727551717.db2.gz HTYHUGJAPRVNDG-MRVPVSSYSA-N -1 1 342.327 -0.071 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2cn(C)nn2)CCN1C(=O)c1ncccc1[O-] ZINC001011674912 727557519 /nfs/dbraw/zinc/55/75/19/727557519.db2.gz WWNYZWXJVWIKEP-VHSXEESVSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1cn(C)nn1 ZINC001011716963 727564922 /nfs/dbraw/zinc/56/49/22/727564922.db2.gz TVZLKZRSNYIOTC-UWVGGRQHSA-N -1 1 330.348 -0.051 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H](NC(=O)C(F)F)[C@@H]1C ZINC001011910422 727601999 /nfs/dbraw/zinc/60/19/99/727601999.db2.gz RWFJBRPNPQVBHV-WDSKDSINSA-N -1 1 346.290 -0.119 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CN1C(=O)CC1CC1 ZINC001012157210 727640606 /nfs/dbraw/zinc/64/06/06/727640606.db2.gz BXMSLCZPLFQNKB-ONGXEEELSA-N -1 1 344.375 -0.063 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CN1C(=O)c1ccn[nH]1 ZINC001012330009 727654665 /nfs/dbraw/zinc/65/46/65/727654665.db2.gz XRZVBPLRAMNJFK-RQJHMYQMSA-N -1 1 333.308 -0.961 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2C[C@@H](C)N(C(=O)CCc3nc[nH]n3)C2)c1[O-] ZINC001012455664 727667560 /nfs/dbraw/zinc/66/75/60/727667560.db2.gz PTIZCOFYOXXJCH-SCZZXKLOSA-N -1 1 347.379 -0.106 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2ncn(C)n2)CN1C(=O)c1ncccc1[O-] ZINC001012510782 727673811 /nfs/dbraw/zinc/67/38/11/727673811.db2.gz LVZCCGMLZKXENU-UWVGGRQHSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2ncn(C)n2)CN1C(=O)c1ncccc1[O-] ZINC001012510780 727673817 /nfs/dbraw/zinc/67/38/17/727673817.db2.gz LVZCCGMLZKXENU-NXEZZACHSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)CC2CC2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001013241259 727786657 /nfs/dbraw/zinc/78/66/57/727786657.db2.gz QWOALHOAVXEIAY-DGCLKSJQSA-N -1 1 348.403 -0.168 20 0 EBADMM C/C=C(/C)C(=O)N1C[C@@H]2C(NC(=O)c3cc(=O)n4[n-]cnc4n3)[C@@H]2C1 ZINC001013447358 727806175 /nfs/dbraw/zinc/80/61/75/727806175.db2.gz OSXPAODLBOSEAT-ROTWWQAVSA-N -1 1 342.359 -0.430 20 0 EBADMM Cc1nc(C(=O)N[C@@H]2C[C@@H](C)N(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)c[nH]1 ZINC001013493583 727811258 /nfs/dbraw/zinc/81/12/58/727811258.db2.gz VMERDJPAUNXXLH-VXNVDRBHSA-N -1 1 349.351 -0.860 20 0 EBADMM O=C(C[C@H]1CCCOC1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051937709 735475110 /nfs/dbraw/zinc/47/51/10/735475110.db2.gz ZBDZUKZXIRKZDM-NEPJUHHUSA-N -1 1 339.396 -0.356 20 0 EBADMM CCc1ncncc1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051936330 735474017 /nfs/dbraw/zinc/47/40/17/735474017.db2.gz DWCRMYPYLDNLLH-JTQLQIEISA-N -1 1 347.379 -0.507 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2C[C@H](C)N(C(=O)CCc3c[nH]nn3)C2)c1[O-] ZINC001014080823 727890261 /nfs/dbraw/zinc/89/02/61/727890261.db2.gz UFLPLYPTEILXDS-KWQFWETISA-N -1 1 347.379 -0.106 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@H]3CCCc4n[nH]cc43)C2)nc1=O ZINC001014438455 727953612 /nfs/dbraw/zinc/95/36/12/727953612.db2.gz TVTYJGCAVYPTCL-MNOVXSKESA-N -1 1 345.407 -0.358 20 0 EBADMM COc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)sn1 ZINC001014473280 727958679 /nfs/dbraw/zinc/95/86/79/727958679.db2.gz ZDHWERNDNJRGSF-MRVPVSSYSA-N -1 1 338.393 -0.422 20 0 EBADMM Cn1nc(C2CC2)cc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001014595152 727974360 /nfs/dbraw/zinc/97/43/60/727974360.db2.gz NEOUGIPSDXVRPF-NSHDSACASA-N -1 1 345.407 -0.276 20 0 EBADMM CC(=O)N[C@@H]1CCC[C@@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@H]21 ZINC001014597540 727974769 /nfs/dbraw/zinc/97/47/69/727974769.db2.gz JTIILJAKQZPSOO-MGPQQGTHSA-N -1 1 348.403 -0.310 20 0 EBADMM NC(=O)C(=O)N1C[C@@H]2CCC[C@H](NC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001014629749 727983606 /nfs/dbraw/zinc/98/36/06/727983606.db2.gz WQUCPNPRWAKVIC-AXFHLTTASA-N -1 1 332.360 -0.371 20 0 EBADMM NC(=O)C(=O)N1C[C@@H]2CCC[C@H](NC(=O)c3ncccc3[O-])[C@H]2C1 ZINC001014629750 727983632 /nfs/dbraw/zinc/98/36/32/727983632.db2.gz WQUCPNPRWAKVIC-DCAQKATOSA-N -1 1 332.360 -0.371 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cnn4c3CCC4)C2)nc1=O ZINC001014658080 727988636 /nfs/dbraw/zinc/98/86/36/727988636.db2.gz HQQFINISTHINCT-JTQLQIEISA-N -1 1 331.380 -0.745 20 0 EBADMM COc1ccncc1CC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001014916039 728031638 /nfs/dbraw/zinc/03/16/38/728031638.db2.gz OWJAVNXEEHHPBE-GFCCVEGCSA-N -1 1 346.391 -0.555 20 0 EBADMM Cc1noc(CCCN2CC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001015110380 728081442 /nfs/dbraw/zinc/08/14/42/728081442.db2.gz RWLDPXLYXFCTEP-SECBINFHSA-N -1 1 349.351 -0.589 20 0 EBADMM CCC(=O)N[C@H]1CC[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001015264672 728125301 /nfs/dbraw/zinc/12/53/01/728125301.db2.gz GIPSJZGGGQNWJD-UWVGGRQHSA-N -1 1 332.364 -0.158 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cnc(Cl)n3C)C2)nc1=O ZINC001015267510 728391720 /nfs/dbraw/zinc/39/17/20/728391720.db2.gz IUPWXNMMZASRBH-MRVPVSSYSA-N -1 1 339.787 -0.500 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@@H]3CCO[C@H]3C3CC3)C2)nc1=O ZINC001015344950 728403184 /nfs/dbraw/zinc/40/31/84/728403184.db2.gz NJWDQMANINRBLZ-BZPMIXESSA-N -1 1 335.408 -0.386 20 0 EBADMM Cn1nccc1[C@@H]1C[C@H]1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001015362357 728405804 /nfs/dbraw/zinc/40/58/04/728405804.db2.gz UEKYTDRRBLYCDZ-IJLUTSLNSA-N -1 1 345.407 -0.664 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ncn(C(C)(C)C)n3)C2)nc1=O ZINC001015703354 728427900 /nfs/dbraw/zinc/42/79/00/728427900.db2.gz FWBDDZLBUGQJEO-JTQLQIEISA-N -1 1 348.411 -0.541 20 0 EBADMM Cc1n[nH]c(C)c1[C@@H](C)C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001015866605 728436906 /nfs/dbraw/zinc/43/69/06/728436906.db2.gz UPHIMELABPWNSH-BXKDBHETSA-N -1 1 347.423 -0.057 20 0 EBADMM CCCn1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001015915289 728438630 /nfs/dbraw/zinc/43/86/30/728438630.db2.gz NHTHFGGYFNMDNR-JTQLQIEISA-N -1 1 334.384 -0.886 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@@H]3CCc4c[nH]nc4C3)C2)nc1=O ZINC001016306190 728454196 /nfs/dbraw/zinc/45/41/96/728454196.db2.gz NZOJAWIPMWIQEZ-PWSUYJOCSA-N -1 1 345.407 -0.673 20 0 EBADMM CCc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC001016414607 728469126 /nfs/dbraw/zinc/46/91/26/728469126.db2.gz ONQUEVPTUHJOKR-SNVBAGLBSA-N -1 1 333.396 -0.591 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@@H]3CC34CCOCC4)C2)nc1=O ZINC001016438101 728473783 /nfs/dbraw/zinc/47/37/83/728473783.db2.gz QLMDRYWXXIJCCO-NEPJUHHUSA-N -1 1 335.408 -0.384 20 0 EBADMM CN(C(=O)Cn1cncn1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001017062711 728542365 /nfs/dbraw/zinc/54/23/65/728542365.db2.gz IRZCPZXZKJAFCJ-UHFFFAOYSA-N -1 1 330.348 -0.202 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)Cn2cncn2)C1 ZINC001017255662 728558999 /nfs/dbraw/zinc/55/89/99/728558999.db2.gz NESPVORULMGXEQ-NSHDSACASA-N -1 1 334.384 -0.528 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)C[C@H]2CCCO2)nc1=O ZINC001017376588 728569718 /nfs/dbraw/zinc/56/97/18/728569718.db2.gz WVFVLKXYYICHJM-FRRDWIJNSA-N -1 1 335.408 -0.147 20 0 EBADMM O=C(NCC1=CCN(C(=O)[C@H]2COC(=O)N2)CC1)c1ncccc1[O-] ZINC001017400203 728571514 /nfs/dbraw/zinc/57/15/14/728571514.db2.gz KGIVOSLSBWTLNR-LLVKDONJSA-N -1 1 346.343 -0.216 20 0 EBADMM O=C(Cn1ncnn1)N1CC=C(CNC(=O)c2ncccc2[O-])CC1 ZINC001017400904 728571960 /nfs/dbraw/zinc/57/19/60/728571960.db2.gz ZAKIOQMUHVJDQP-UHFFFAOYSA-N -1 1 343.347 -0.638 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)C[C@H]2CCOC2)nc1=O ZINC001017432902 728576333 /nfs/dbraw/zinc/57/63/33/728576333.db2.gz UJLVCLJQNYDUNM-UPJWGTAASA-N -1 1 335.408 -0.290 20 0 EBADMM CCC(=O)N[C@@H]1CC[C@@H](C)N(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001017861785 728621034 /nfs/dbraw/zinc/62/10/34/728621034.db2.gz NPZNVZNYZUKWPA-VXGBXAGGSA-N -1 1 336.392 -0.168 20 0 EBADMM COCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[n-]n2c1nccc2=O ZINC001018043832 728629937 /nfs/dbraw/zinc/62/99/37/728629937.db2.gz TUJVRWMGXFUXFO-TXEJJXNPSA-N -1 1 331.376 -0.042 20 0 EBADMM COCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[n-]n2c1nccc2=O ZINC001018043832 728629938 /nfs/dbraw/zinc/62/99/38/728629938.db2.gz TUJVRWMGXFUXFO-TXEJJXNPSA-N -1 1 331.376 -0.042 20 0 EBADMM CCC(=O)N1CC2(C1)CCN(C(=O)CCn1cc[n-]c(=O)c1=O)C2 ZINC001018643047 728683559 /nfs/dbraw/zinc/68/35/59/728683559.db2.gz GHBDRYVILJKZLF-UHFFFAOYSA-N -1 1 334.376 -0.602 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCC2(CN(C(=O)C3CC3)C2)C1 ZINC001018661769 728684007 /nfs/dbraw/zinc/68/40/07/728684007.db2.gz UIYSTYMECLPGOP-UHFFFAOYSA-N -1 1 342.359 -0.498 20 0 EBADMM Cn1cc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c(Cl)n1 ZINC001019637218 728952744 /nfs/dbraw/zinc/95/27/44/728952744.db2.gz IHWSVQDYDVITCC-ZETCQYMHSA-N -1 1 325.760 -0.099 20 0 EBADMM O=C([C@@H]1CCc2c[nH]nc2C1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019798278 728995134 /nfs/dbraw/zinc/99/51/34/728995134.db2.gz MDMAYOIQIGMOQT-KOLCDFICSA-N -1 1 331.380 -0.271 20 0 EBADMM NC(=O)CC(=O)N1CCO[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001019874640 729021155 /nfs/dbraw/zinc/02/11/55/729021155.db2.gz KXGKPFUODGZCFF-PWSUYJOCSA-N -1 1 348.359 -0.896 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@H]1CN(C)CCO1 ZINC001020145391 729084151 /nfs/dbraw/zinc/08/41/51/729084151.db2.gz DOPDOEITIUCJQC-SGMGOOAPSA-N -1 1 348.403 -0.163 20 0 EBADMM C[C@H]1[C@@H](NC(=O)[C@@H]2COC(=O)N2)CCN1C(=O)c1ncccc1[O-] ZINC001020205381 729100122 /nfs/dbraw/zinc/10/01/22/729100122.db2.gz VWHLQLRSOAKRDL-GUBZILKMSA-N -1 1 334.332 -0.385 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)[C@H]1C ZINC001020411508 729161125 /nfs/dbraw/zinc/16/11/25/729161125.db2.gz KQKSSBOQCGIXOH-YTWAJWBKSA-N -1 1 344.375 -0.207 20 0 EBADMM Cc1nnc([C@@H](C)N[C@H]2C[C@H](NC(=O)c3cnc([O-])n(C)c3=O)C2)[nH]1 ZINC001020461013 729175744 /nfs/dbraw/zinc/17/57/44/729175744.db2.gz ZNOKIMAFQNDLQC-SZEHBUNVSA-N -1 1 347.379 -0.476 20 0 EBADMM Cc1noc(CN[C@H]2C[C@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)n1 ZINC001020922128 729256096 /nfs/dbraw/zinc/25/60/96/729256096.db2.gz CGYGDSBBWHTGCE-KYZUINATSA-N -1 1 344.335 -0.840 20 0 EBADMM O=C(NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1)[C@@H]1CCNC(=O)C1 ZINC001021226304 729295503 /nfs/dbraw/zinc/29/55/03/729295503.db2.gz QHXUJERQLNWJQA-WAAKLRNESA-N -1 1 348.407 -0.352 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@@H]2CCN(C(=O)[C@@H]3COC(=O)N3)[C@@H]2C1 ZINC001021516921 729318643 /nfs/dbraw/zinc/31/86/43/729318643.db2.gz BLPQISHETVGMNB-GARJFASQSA-N -1 1 346.343 -0.432 20 0 EBADMM C[C@@H](N[C@H]1C[C@@H](NC(=O)c2cnc([O-])n(C)c2=O)C1)c1nncn1C ZINC001022529358 729503915 /nfs/dbraw/zinc/50/39/15/729503915.db2.gz BWXLHWMGPKLZDS-BBBLOLIVSA-N -1 1 347.379 -0.774 20 0 EBADMM COc1cc(CN[C@H]2C[C@@H](NC(=O)c3cnc([O-])n(C)c3=O)C2)on1 ZINC001022529946 729504334 /nfs/dbraw/zinc/50/43/34/729504334.db2.gz MCEVFRQYRXNBOK-DTORHVGOSA-N -1 1 349.347 -0.467 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@@H]2CCN(C(=O)[C@H]3CNC(=O)N3)[C@@H]2C1 ZINC001022546489 729508942 /nfs/dbraw/zinc/50/89/42/729508942.db2.gz JVMSSPXWFHHOOJ-HBNTYKKESA-N -1 1 345.359 -0.859 20 0 EBADMM O=C(N[C@H]1C[C@@H](NCc2cnsn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001022973477 729567516 /nfs/dbraw/zinc/56/75/16/729567516.db2.gz MCBXHSURGIQJJJ-OCAPTIKFSA-N -1 1 346.376 -0.680 20 0 EBADMM CCn1cc(C(=O)NC[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001023280774 729656660 /nfs/dbraw/zinc/65/66/60/729656660.db2.gz NJMHPNVFKFLOOL-LBPRGKRZSA-N -1 1 347.423 -0.033 20 0 EBADMM Cn1[nH]c(CN2CCC[C@@H](CNC(=O)c3ncccc3[O-])C2)nc1=O ZINC001023325217 729663787 /nfs/dbraw/zinc/66/37/87/729663787.db2.gz DPKDPFQEEUGOOM-NSHDSACASA-N -1 1 346.391 -0.149 20 0 EBADMM CCn1ccnc1C(=O)NC[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001023343204 729667664 /nfs/dbraw/zinc/66/76/64/729667664.db2.gz YEJJANWVVQLBOU-LBPRGKRZSA-N -1 1 347.423 -0.033 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)c1cc[nH]c(=O)c1 ZINC001023374924 729673790 /nfs/dbraw/zinc/67/37/90/729673790.db2.gz CLHHHVZZWINWBM-ZYHUDNBSSA-N -1 1 344.327 -0.497 20 0 EBADMM C[C@@](O)(CC(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC001023488338 729701994 /nfs/dbraw/zinc/70/19/94/729701994.db2.gz DCCOLTHSVVCPMD-CJBNDPTMSA-N -1 1 349.387 -0.360 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)c1ncccc1O ZINC001023488308 729702296 /nfs/dbraw/zinc/70/22/96/729702296.db2.gz BLCHHIJABCXSNX-BXKDBHETSA-N -1 1 344.327 -0.497 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2O)C[C@H]1O)c1ncccc1[O-] ZINC001023488308 729702297 /nfs/dbraw/zinc/70/22/97/729702297.db2.gz BLCHHIJABCXSNX-BXKDBHETSA-N -1 1 344.327 -0.497 20 0 EBADMM O=C(Cc1cncnc1)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023488491 729702585 /nfs/dbraw/zinc/70/25/85/729702585.db2.gz KALXTAFOXYKHFJ-DGCLKSJQSA-N -1 1 343.343 -0.879 20 0 EBADMM O=C(Cc1ccon1)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023488594 729702626 /nfs/dbraw/zinc/70/26/26/729702626.db2.gz OOSHIXVIAFXFKA-ZYHUDNBSSA-N -1 1 332.316 -0.681 20 0 EBADMM Cc1ocnc1C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023488731 729702830 /nfs/dbraw/zinc/70/28/30/729702830.db2.gz UGICDMZXUTWEJP-MWLCHTKSSA-N -1 1 332.316 -0.301 20 0 EBADMM O=C(Cc1ccoc1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001023495900 729705439 /nfs/dbraw/zinc/70/54/39/729705439.db2.gz KZRRUCRLAYGXPQ-DGCLKSJQSA-N -1 1 331.328 -0.076 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](CNC(=O)c3csnn3)C2)nc1=O ZINC001023514644 729709697 /nfs/dbraw/zinc/70/96/97/729709697.db2.gz OLRSNSFEVYXZNS-VIFPVBQESA-N -1 1 337.409 -0.398 20 0 EBADMM CCn1cc(C(=O)NC[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001023673640 729751624 /nfs/dbraw/zinc/75/16/24/729751624.db2.gz WGSIUXWLJGNLBF-LLVKDONJSA-N -1 1 348.411 -0.638 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCC[C@H](CNCc2ccon2)C1 ZINC001024051916 729835033 /nfs/dbraw/zinc/83/50/33/729835033.db2.gz KGFZMCOPZDGXKL-SECBINFHSA-N -1 1 334.336 -0.087 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)[C@]12C[C@H]1COC2 ZINC001024076245 729839058 /nfs/dbraw/zinc/83/90/58/729839058.db2.gz JLSYLJXCCCAXTL-WFLGSWMJSA-N -1 1 333.344 -0.875 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H]2CNC(=O)c2nccnc2N)nc1=O ZINC001024370284 729878725 /nfs/dbraw/zinc/87/87/25/729878725.db2.gz PZZRVKKRAZWEQM-SNVBAGLBSA-N -1 1 346.395 -0.735 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H]2CNC(=O)[C@]23C[C@H]2COC3)nc1=O ZINC001024451576 729889247 /nfs/dbraw/zinc/88/92/47/729889247.db2.gz ZEKXJTHPBGCATB-MKBNYLNASA-N -1 1 335.408 -0.384 20 0 EBADMM Cn1cc(C(=O)NC[C@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)[nH]c1=O ZINC001024631602 729913809 /nfs/dbraw/zinc/91/38/09/729913809.db2.gz NTAFFMSCRFWKNQ-SNVBAGLBSA-N -1 1 349.395 -0.668 20 0 EBADMM Cc1c[nH]c(C(=O)NC[C@@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001024700997 729919543 /nfs/dbraw/zinc/91/95/43/729919543.db2.gz JMVUUOOQVXKNGU-NSHDSACASA-N -1 1 333.396 -0.076 20 0 EBADMM Cc1nccc(C(=O)NC[C@@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001024806556 729931563 /nfs/dbraw/zinc/93/15/63/729931563.db2.gz JZRPUGRBWCXWTB-LBPRGKRZSA-N -1 1 345.407 -0.009 20 0 EBADMM Cc1nonc1C(=O)NC[C@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001024824446 729933832 /nfs/dbraw/zinc/93/38/32/729933832.db2.gz PERPXCYSKWXLAC-SNVBAGLBSA-N -1 1 335.368 -0.416 20 0 EBADMM Cn1nccc1CC(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024943061 729944408 /nfs/dbraw/zinc/94/44/08/729944408.db2.gz CIODPJIQCMXEJL-GFCCVEGCSA-N -1 1 333.396 -0.043 20 0 EBADMM O=C(c1cnc[nH]c1=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024996820 729983869 /nfs/dbraw/zinc/98/38/69/729983869.db2.gz OHZXYIRCFXDLFZ-SECBINFHSA-N -1 1 333.352 -0.210 20 0 EBADMM CCn1cc(CC(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)nn1 ZINC001025027284 729987943 /nfs/dbraw/zinc/98/79/43/729987943.db2.gz IJQHXAPDPYICLB-GFCCVEGCSA-N -1 1 348.411 -0.165 20 0 EBADMM NC(=O)c1coc(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)c1 ZINC001025081594 729994006 /nfs/dbraw/zinc/99/40/06/729994006.db2.gz YXXBFEZIKJNTOK-SNVBAGLBSA-N -1 1 348.363 -0.013 20 0 EBADMM C[C@]1(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)CCOC1 ZINC001025308758 730029208 /nfs/dbraw/zinc/02/92/08/730029208.db2.gz CXTUWRCPFHSATN-SIVJFFJCSA-N -1 1 335.360 -0.485 20 0 EBADMM CO[C@@H]1CCC[C@H]1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001025822913 730097821 /nfs/dbraw/zinc/09/78/21/730097821.db2.gz LFMMJTNWCMJRTL-DIACKHNESA-N -1 1 335.408 -0.530 20 0 EBADMM CC(=O)N[C@@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C12CCC2 ZINC001025829464 730098339 /nfs/dbraw/zinc/09/83/39/730098339.db2.gz XQKNKSAMNORZDA-GHMZBOCLSA-N -1 1 330.348 -0.405 20 0 EBADMM CO[C@H]1CCC[C@H](C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)C1 ZINC001025989271 730114912 /nfs/dbraw/zinc/11/49/12/730114912.db2.gz RVHQCTGTZUFKIP-XOBFJNJYSA-N -1 1 349.435 -0.140 20 0 EBADMM C[C@H]1COCC[C@H]1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001026021103 730116081 /nfs/dbraw/zinc/11/60/81/730116081.db2.gz DPZFZPVULJOYBC-SVPLCASGSA-N -1 1 335.408 -0.673 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H]2C[C@@]23CCOC3)nc1=O ZINC001026138127 730123621 /nfs/dbraw/zinc/12/36/21/730123621.db2.gz YFTMRIWUUIGDIS-RMERFRFWSA-N -1 1 333.392 -0.919 20 0 EBADMM Cn1nnc(CN[C@@H]2CC[C@H]3CN(C(=O)c4ncccc4[O-])C[C@@H]32)n1 ZINC001026249499 730132554 /nfs/dbraw/zinc/13/25/54/730132554.db2.gz XIAJRTINIXXRRB-SDDRHHMPSA-N -1 1 343.391 -0.049 20 0 EBADMM Cc1nnc(CNC[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC001026941591 730173917 /nfs/dbraw/zinc/17/39/17/730173917.db2.gz MHGQVAGZIIGOBG-MRVPVSSYSA-N -1 1 335.324 -0.774 20 0 EBADMM Cc1cnc(CNC[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)nc1 ZINC001026941399 730173968 /nfs/dbraw/zinc/17/39/68/730173968.db2.gz HZQLCTPTTPDHLE-JTQLQIEISA-N -1 1 345.363 -0.367 20 0 EBADMM C[C@H](C(N)=O)N(C)[C@H]1CCCN(C(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001027606602 730228089 /nfs/dbraw/zinc/22/80/89/730228089.db2.gz JAMOIKWRIYRRJI-MNOVXSKESA-N -1 1 346.391 -0.567 20 0 EBADMM CCn1ncc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001027869578 730244728 /nfs/dbraw/zinc/24/47/28/730244728.db2.gz JHZBEZYFWKCICA-SNVBAGLBSA-N -1 1 334.384 -0.886 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H]2CNC(=O)c2cnc3n2CCC3)nc1=O ZINC001027940770 730249676 /nfs/dbraw/zinc/24/96/76/730249676.db2.gz UHYLIYWMUKKRIV-NSHDSACASA-N -1 1 345.407 -0.355 20 0 EBADMM CCc1c(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)cnn1C ZINC001027995913 730255223 /nfs/dbraw/zinc/25/52/23/730255223.db2.gz WMDCJOOMRBTBSA-NSHDSACASA-N -1 1 347.423 -0.201 20 0 EBADMM Cc1nc(CN2CCC[C@@H]2CNC(=O)c2cnc([O-])n(C)c2=O)n[nH]1 ZINC001028069255 730262104 /nfs/dbraw/zinc/26/21/04/730262104.db2.gz DVDSIMXBSULKLD-SNVBAGLBSA-N -1 1 347.379 -0.693 20 0 EBADMM Cc1cc(=O)c(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)c[nH]1 ZINC001028240494 730277230 /nfs/dbraw/zinc/27/72/30/730277230.db2.gz YFVNINBTDKTHCM-NSHDSACASA-N -1 1 346.391 -0.500 20 0 EBADMM Cc1nccc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001028324525 730283592 /nfs/dbraw/zinc/28/35/92/730283592.db2.gz RNHZFEDIVIHXHE-NSHDSACASA-N -1 1 331.380 -0.399 20 0 EBADMM COc1nc(C(=O)NC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)co1 ZINC001028716421 730344982 /nfs/dbraw/zinc/34/49/82/730344982.db2.gz XTKGQCLDBGOJNE-SECBINFHSA-N -1 1 336.352 -0.643 20 0 EBADMM O=C(NC[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CCc2nc[nH]c2C1 ZINC001028917491 730370170 /nfs/dbraw/zinc/37/01/70/730370170.db2.gz LNLUUWGAQGIVCV-MNOVXSKESA-N -1 1 345.407 -0.023 20 0 EBADMM O=C(NC[C@@H]1CCN(Cc2cnon2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001028928827 730372074 /nfs/dbraw/zinc/37/20/74/730372074.db2.gz QNKGCKLBPMXJSV-VIFPVBQESA-N -1 1 344.335 -0.948 20 0 EBADMM COC(=O)[C@H]1C[C@@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029239988 730394202 /nfs/dbraw/zinc/39/42/02/730394202.db2.gz HEQQBSJKKDXTIP-USZNOCQGSA-N -1 1 349.391 -0.907 20 0 EBADMM CCn1nccc1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029283726 730396585 /nfs/dbraw/zinc/39/65/85/730396585.db2.gz UMIAPTDIPUXHNO-TXEJJXNPSA-N -1 1 345.407 -0.186 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)[C@@H]2COCCO2)C1 ZINC001029656575 730480723 /nfs/dbraw/zinc/48/07/23/730480723.db2.gz TZDLKASVVMROIE-MNOVXSKESA-N -1 1 325.369 -0.794 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)C[C@H]2COC(=O)C2)C1 ZINC001029705854 730485035 /nfs/dbraw/zinc/48/50/35/730485035.db2.gz NPPUBYQIQYDHTK-WDEREUQCSA-N -1 1 337.380 -0.256 20 0 EBADMM C[C@H](C(=O)N1CC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1)n1cncn1 ZINC001029709325 730485815 /nfs/dbraw/zinc/48/58/15/730485815.db2.gz AWVTVACLTLBXQG-GHMZBOCLSA-N -1 1 334.384 -0.357 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)Cc2ccnn2C)C1 ZINC001029721030 730488581 /nfs/dbraw/zinc/48/85/81/730488581.db2.gz QCDLXIFSKCUUEB-NSHDSACASA-N -1 1 333.396 -0.233 20 0 EBADMM CCn1nncc1C(=O)N1CC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001029731883 730490255 /nfs/dbraw/zinc/49/02/55/730490255.db2.gz YXXGRJPLJPNWBN-JTQLQIEISA-N -1 1 334.384 -0.284 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)Cc2ccn(C)n2)C1 ZINC001029737935 730492087 /nfs/dbraw/zinc/49/20/87/730492087.db2.gz ZKZYFSPXOKXYCO-NSHDSACASA-N -1 1 333.396 -0.233 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)[C@]2(C)CCNC2=O)C1 ZINC001029838996 730511050 /nfs/dbraw/zinc/51/10/50/730511050.db2.gz RXXAMEPIONCDTJ-MEBBXXQBSA-N -1 1 336.396 -0.683 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)c2cccn(C)c2=O)C1 ZINC001029889936 730519839 /nfs/dbraw/zinc/51/98/39/730519839.db2.gz STTUXWQTENNDND-NSHDSACASA-N -1 1 346.391 -0.197 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)CCn2cncn2)CC1 ZINC001029935504 730526597 /nfs/dbraw/zinc/52/65/97/730526597.db2.gz FSKBTCDNFXTVGQ-UHFFFAOYSA-N -1 1 348.411 -0.138 20 0 EBADMM Cn1nc2c(c1C(=O)NC1CN(Cc3nc(=O)n(C)[n-]3)C1)CCCC2 ZINC001030379207 730576338 /nfs/dbraw/zinc/57/63/38/730576338.db2.gz YBSVOIXGEVFGPX-UHFFFAOYSA-N -1 1 345.407 -0.665 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@@H]3CCc4ncncc4C3)C2)nc1=O ZINC001030426589 730583431 /nfs/dbraw/zinc/58/34/31/730583431.db2.gz ORSHSWUXQOABJP-SNVBAGLBSA-N -1 1 343.391 -0.996 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ccn4c3CCCC4)C2)nc1=O ZINC001030460032 730589782 /nfs/dbraw/zinc/58/97/82/730589782.db2.gz OSOGWTNUANBFKL-UHFFFAOYSA-N -1 1 330.392 -0.140 20 0 EBADMM O=C(NC1CN(Cc2n[nH]c(=O)[n-]2)C1)c1c[nH]c2ccccc2c1=O ZINC001030599635 730610825 /nfs/dbraw/zinc/61/08/25/730610825.db2.gz LDLPOMGFDJOQMZ-UHFFFAOYSA-N -1 1 340.343 -0.034 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)Cc3ccc4n[nH]cc4c3)C2)nc1=O ZINC001030669576 730621684 /nfs/dbraw/zinc/62/16/84/730621684.db2.gz GRVIVUBYCGXSON-UHFFFAOYSA-N -1 1 341.375 -0.472 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ccc4ccncc4n3)C2)nc1=O ZINC001030690384 730624371 /nfs/dbraw/zinc/62/43/71/730624371.db2.gz MTYGJKIWRLIYMB-UHFFFAOYSA-N -1 1 339.359 -0.334 20 0 EBADMM CC(C)n1ccc(CC(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001030710903 730627422 /nfs/dbraw/zinc/62/74/22/730627422.db2.gz SKTVPGUHWIWYRE-UHFFFAOYSA-N -1 1 333.396 -0.571 20 0 EBADMM Cc1nc([C@@H](C)N2CC(NC(=O)c3cnc([O-])n(C)c3=O)C2)n[nH]1 ZINC001030779743 730637022 /nfs/dbraw/zinc/63/70/22/730637022.db2.gz IIFXNULRZGTRJC-SSDOTTSWSA-N -1 1 333.352 -0.912 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ncoc3[C@@H]3CCCO3)C2)nc1=O ZINC001030788142 730639213 /nfs/dbraw/zinc/63/92/13/730639213.db2.gz DUFWENPNOIPHEJ-JTQLQIEISA-N -1 1 348.363 -0.438 20 0 EBADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001030836007 730645886 /nfs/dbraw/zinc/64/58/86/730645886.db2.gz CVZIZHSIRAXHKL-BXKDBHETSA-N -1 1 345.407 -0.502 20 0 EBADMM C[C@H]1Cc2c[nH]nc2[C@@H](C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001030836009 730645909 /nfs/dbraw/zinc/64/59/09/730645909.db2.gz CVZIZHSIRAXHKL-CABZTGNLSA-N -1 1 345.407 -0.502 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@H]3CCc4cccnc43)C2)nc1=O ZINC001030858412 730649218 /nfs/dbraw/zinc/64/92/18/730649218.db2.gz XWBIVFZYTRFUEG-LBPRGKRZSA-N -1 1 328.376 -0.466 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@@H]3CCc4cccnc43)C2)nc1=O ZINC001030858411 730649290 /nfs/dbraw/zinc/64/92/90/730649290.db2.gz XWBIVFZYTRFUEG-GFCCVEGCSA-N -1 1 328.376 -0.466 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)C3Cc4ccccc4C3)C2)nc1=O ZINC001031002112 730664187 /nfs/dbraw/zinc/66/41/87/730664187.db2.gz UHQNTNALTDVCSE-UHFFFAOYSA-N -1 1 327.388 -0.176 20 0 EBADMM Cc1cnc2c(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)cnn2c1 ZINC001031033171 730666513 /nfs/dbraw/zinc/66/65/13/730666513.db2.gz XCDJLVDKENHQDJ-UHFFFAOYSA-N -1 1 342.363 -0.926 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ccnc(C4CC4)n3)C2)nc1=O ZINC001031035567 730667030 /nfs/dbraw/zinc/66/70/30/730667030.db2.gz XNQYEDPILCDJAY-UHFFFAOYSA-N -1 1 329.364 -0.610 20 0 EBADMM O=C(NC1CN([C@@H]2CCCNC2=O)C1)c1cnc(C2CC2)[n-]c1=O ZINC001031061254 730669976 /nfs/dbraw/zinc/66/99/76/730669976.db2.gz UHYZMEALRRHGQY-GFCCVEGCSA-N -1 1 331.376 -0.248 20 0 EBADMM O=C(NC1CN(C[C@H]2C[C@@]23CCOC3)C1)c1c[n-]n2c1nccc2=O ZINC001031069294 730670777 /nfs/dbraw/zinc/67/07/77/730670777.db2.gz XDOIRNDPBGEQER-PIGZYNQJSA-N -1 1 343.387 -0.137 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cccn4ccnc34)C2)nc1=O ZINC001031096172 730675133 /nfs/dbraw/zinc/67/51/33/730675133.db2.gz ABBUUCISGOULRY-UHFFFAOYSA-N -1 1 327.348 -0.630 20 0 EBADMM Cc1noc(Cl)c1CC(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031099373 730675810 /nfs/dbraw/zinc/67/58/10/730675810.db2.gz GIEXIPTXSLAVBL-UHFFFAOYSA-N -1 1 340.771 -0.399 20 0 EBADMM Cn1ncc2cc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)cnc21 ZINC001031150698 730681604 /nfs/dbraw/zinc/68/16/04/730681604.db2.gz MJFIUDXXARAHTL-UHFFFAOYSA-N -1 1 342.363 -0.996 20 0 EBADMM CCc1c[nH]c(=O)c(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001031181273 730684090 /nfs/dbraw/zinc/68/40/90/730684090.db2.gz OONVHSUKUVYPIF-UHFFFAOYSA-N -1 1 332.364 -0.614 20 0 EBADMM CC(C)[C@H]1C[C@H](C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)CCO1 ZINC001031205741 730686016 /nfs/dbraw/zinc/68/60/16/730686016.db2.gz RAKNZDNJEZSGSO-DGCLKSJQSA-N -1 1 337.424 -0.140 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cnn4c3CCCC4)C2)nc1=O ZINC001031208041 730686182 /nfs/dbraw/zinc/68/61/82/730686182.db2.gz RLUCDRNKZVIBHS-UHFFFAOYSA-N -1 1 331.380 -0.745 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN([C@@H](C)c2cnccn2)C1 ZINC001031249829 730693341 /nfs/dbraw/zinc/69/33/41/730693341.db2.gz IIGRKUZZOUJYLE-QMMMGPOBSA-N -1 1 346.347 -0.138 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(Cc2ccn(C)n2)C1 ZINC001031250071 730693845 /nfs/dbraw/zinc/69/38/45/730693845.db2.gz RVLDBRQTYSTMSL-UHFFFAOYSA-N -1 1 334.336 -0.756 20 0 EBADMM O=C(NC1CN(C[C@@H]2CCCCO2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001031252424 730695496 /nfs/dbraw/zinc/69/54/96/730695496.db2.gz GFPIOPINBJQSOB-NSHDSACASA-N -1 1 332.364 -0.599 20 0 EBADMM COc1cccc(CC(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001031341679 730705295 /nfs/dbraw/zinc/70/52/95/730705295.db2.gz UDXXSVLCDVLTBR-UHFFFAOYSA-N -1 1 331.376 -0.340 20 0 EBADMM CC(C)c1ncncc1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031398272 730715299 /nfs/dbraw/zinc/71/52/99/730715299.db2.gz GCSWODGVEUFOKO-UHFFFAOYSA-N -1 1 331.380 -0.364 20 0 EBADMM COCc1ncc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)s1 ZINC001031488030 730729199 /nfs/dbraw/zinc/72/91/99/730729199.db2.gz BAQXFLSYRQUIMI-UHFFFAOYSA-N -1 1 338.393 -0.675 20 0 EBADMM O=C(NCC1CN(C[C@@H]2CCS(=O)(=O)C2)C1)c1ncccc1[O-] ZINC001031628795 730742809 /nfs/dbraw/zinc/74/28/09/730742809.db2.gz YPXZDKDNLCCUCX-NSHDSACASA-N -1 1 339.417 -0.117 20 0 EBADMM CC(C)Cc1ncc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)[nH]1 ZINC001031670491 730748678 /nfs/dbraw/zinc/74/86/78/730748678.db2.gz LUCGYCMRORDOCO-UHFFFAOYSA-N -1 1 347.423 -0.108 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3n[nH]c4c3CCC4)C2)nc1=O ZINC001031808710 730772113 /nfs/dbraw/zinc/77/21/13/730772113.db2.gz NTVYQHLUNYPBIT-UHFFFAOYSA-N -1 1 331.380 -0.818 20 0 EBADMM CCc1nnsc1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031823290 730775282 /nfs/dbraw/zinc/77/52/82/730775282.db2.gz YCMQRJLGYKNASQ-UHFFFAOYSA-N -1 1 337.409 -0.616 20 0 EBADMM Cc1nc(C)c(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)nc1C ZINC001031832414 730777056 /nfs/dbraw/zinc/77/70/56/730777056.db2.gz MPOFPNNYCIRODN-UHFFFAOYSA-N -1 1 345.407 -0.315 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3nccc4[nH]ccc43)C2)nc1=O ZINC001031984111 730800783 /nfs/dbraw/zinc/80/07/83/730800783.db2.gz VPKRHXGQALXMLJ-UHFFFAOYSA-N -1 1 341.375 -0.154 20 0 EBADMM CC(C)n1ccc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001032019443 730803604 /nfs/dbraw/zinc/80/36/04/730803604.db2.gz BRDNECPXMYFAFU-UHFFFAOYSA-N -1 1 333.396 -0.252 20 0 EBADMM CCCn1ncc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC001032070316 730810125 /nfs/dbraw/zinc/81/01/25/730810125.db2.gz QUAMCQQUBJAQNF-UHFFFAOYSA-N -1 1 347.423 -0.115 20 0 EBADMM CCn1ncc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC001032068535 730810385 /nfs/dbraw/zinc/81/03/85/730810385.db2.gz IQSXZZCSSIURTD-UHFFFAOYSA-N -1 1 333.396 -0.505 20 0 EBADMM CCc1nc(C)c(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)o1 ZINC001032104718 730812684 /nfs/dbraw/zinc/81/26/84/730812684.db2.gz UUVZDYVZLLFSSW-UHFFFAOYSA-N -1 1 334.380 -0.171 20 0 EBADMM O=C(NCC1CN(Cc2ccccn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001032128023 730816269 /nfs/dbraw/zinc/81/62/69/730816269.db2.gz PGEYDQWIEROOMI-UHFFFAOYSA-N -1 1 339.359 -0.326 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3c[nH]c4ncccc34)C2)nc1=O ZINC001032193619 730825251 /nfs/dbraw/zinc/82/52/51/730825251.db2.gz AWNALQHIWOHBQO-UHFFFAOYSA-N -1 1 341.375 -0.154 20 0 EBADMM Cc1cc(=O)[nH]c(C)c1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032197721 730826938 /nfs/dbraw/zinc/82/69/38/730826938.db2.gz UDDZMKQYKBMUKB-UHFFFAOYSA-N -1 1 346.391 -0.312 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)C[C@](C)(O)C2CC2)nc1=O ZINC001032363786 730845297 /nfs/dbraw/zinc/84/52/97/730845297.db2.gz PKJRZXYSPBSMRO-MKBNYLNASA-N -1 1 335.408 -0.555 20 0 EBADMM Cc1cnccc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032392510 730851798 /nfs/dbraw/zinc/85/17/98/730851798.db2.gz JVHKVPZUJODKET-RYUDHWBXSA-N -1 1 328.376 -0.089 20 0 EBADMM Cc1nn(C)cc1CC(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032450047 730863311 /nfs/dbraw/zinc/86/33/11/730863311.db2.gz ZVLLHSITNABSAI-STQMWFEESA-N -1 1 345.407 -0.822 20 0 EBADMM CO[C@@H](C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1)C1CCC1 ZINC001032545476 730886066 /nfs/dbraw/zinc/88/60/66/730886066.db2.gz GPMYLJBLIGQZPH-SGMGOOAPSA-N -1 1 335.408 -0.291 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)[C@]2(F)CCOC2)nc1=O ZINC001032587537 730894159 /nfs/dbraw/zinc/89/41/59/730894159.db2.gz KHJBIMUVLZRHIP-BHDSKKPTSA-N -1 1 325.344 -0.978 20 0 EBADMM Cc1cc(=O)c(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)c[nH]1 ZINC001032712563 730916375 /nfs/dbraw/zinc/91/63/75/730916375.db2.gz BJOMRPSTUFXXCP-QWRGUYRKSA-N -1 1 344.375 -0.796 20 0 EBADMM C[C@H](CC(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1)n1cccn1 ZINC001032722604 730918849 /nfs/dbraw/zinc/91/88/49/730918849.db2.gz ZOZIEVSOPOMKLU-AGIUHOORSA-N -1 1 345.407 -0.259 20 0 EBADMM CN(C(=O)c1ccc(F)nc1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032949079 730951056 /nfs/dbraw/zinc/95/10/56/730951056.db2.gz ALSISUOQFMNJLI-NSHDSACASA-N -1 1 334.355 -0.011 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN([C@@H]2CCC(=O)NC2=O)C1 ZINC001033036711 730960582 /nfs/dbraw/zinc/96/05/82/730960582.db2.gz UIQDRCMNIIWYND-WDEREUQCSA-N -1 1 332.360 -0.261 20 0 EBADMM Cc1nnc(CC(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)o1 ZINC001033056940 730963645 /nfs/dbraw/zinc/96/36/45/730963645.db2.gz HHJSALBMVUMBBG-SNVBAGLBSA-N -1 1 335.368 -0.925 20 0 EBADMM CN(C(=O)c1cnn2c1CCC2)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033129480 730974001 /nfs/dbraw/zinc/97/40/01/730974001.db2.gz AJMDJRZUCXDSIT-NSHDSACASA-N -1 1 345.407 -0.402 20 0 EBADMM CN(C(=O)C1(F)CCOCC1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033137080 730975494 /nfs/dbraw/zinc/97/54/94/730975494.db2.gz UDUCOVBNXRLTCW-LLVKDONJSA-N -1 1 341.387 -0.340 20 0 EBADMM CCn1nnc(C)c1C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033142295 730976067 /nfs/dbraw/zinc/97/60/67/730976067.db2.gz RCPKKXFVYNWYRN-LLVKDONJSA-N -1 1 348.411 -0.625 20 0 EBADMM CN(C(=O)c1cc2n(n1)CCO2)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033145070 730977049 /nfs/dbraw/zinc/97/70/49/730977049.db2.gz JECMXAAOVALHFW-SNVBAGLBSA-N -1 1 347.379 -0.956 20 0 EBADMM CN(C(=O)c1cnc2n1CCC2)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033158371 730979789 /nfs/dbraw/zinc/97/97/89/730979789.db2.gz YLSCBHYAWKZJMR-NSHDSACASA-N -1 1 345.407 -0.402 20 0 EBADMM Cc1ncn(C)c1C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033169088 730981951 /nfs/dbraw/zinc/98/19/51/730981951.db2.gz GDHDAMDCGSSNFS-NSHDSACASA-N -1 1 333.396 -0.503 20 0 EBADMM CN(C(=O)c1ccn(C)c(=O)c1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033206461 730986770 /nfs/dbraw/zinc/98/67/70/730986770.db2.gz FEEWLVPNJZGWOM-GFCCVEGCSA-N -1 1 346.391 -0.846 20 0 EBADMM Cc1cc(C)n(CC(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001033244064 730994501 /nfs/dbraw/zinc/99/45/01/730994501.db2.gz XTRLMCNBAUXMLT-CYBMUJFWSA-N -1 1 347.423 -0.345 20 0 EBADMM CN(C(=O)Cc1cscn1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033477510 731027402 /nfs/dbraw/zinc/02/74/02/731027402.db2.gz MPDAQOCITADISK-NSHDSACASA-N -1 1 336.421 -0.160 20 0 EBADMM CN(C(=O)Cc1cncn1C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033593977 731045591 /nfs/dbraw/zinc/04/55/91/731045591.db2.gz LAXGRHUMSLIOGM-LLVKDONJSA-N -1 1 333.396 -0.883 20 0 EBADMM Cc1nn(C)cc1CN1CC[C@@H](N(C)C(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC001033615570 731047089 /nfs/dbraw/zinc/04/70/89/731047089.db2.gz AAFHWDHSIISRGS-GFCCVEGCSA-N -1 1 349.395 -0.536 20 0 EBADMM COc1cccnc1C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033617924 731048021 /nfs/dbraw/zinc/04/80/21/731048021.db2.gz UDELYPZYNQEQMZ-LLVKDONJSA-N -1 1 346.391 -0.142 20 0 EBADMM CCN(C(=O)c1cnccn1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033738375 731070807 /nfs/dbraw/zinc/07/08/07/731070807.db2.gz VDNGTYVHVQBAKR-LLVKDONJSA-N -1 1 331.380 -0.365 20 0 EBADMM CCN(C(=O)c1cnns1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033760890 731072032 /nfs/dbraw/zinc/07/20/32/731072032.db2.gz YMZSBJIEIDMRFR-VIFPVBQESA-N -1 1 337.409 -0.304 20 0 EBADMM CCN(C(=O)C1CC(OC)C1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033868953 731081599 /nfs/dbraw/zinc/08/15/99/731081599.db2.gz IHANMTBPYLIAQK-CPCZMJQVSA-N -1 1 337.424 -0.044 20 0 EBADMM CCN(C(=O)c1c(C)cnn1C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033927135 731089461 /nfs/dbraw/zinc/08/94/61/731089461.db2.gz JAJPOFWYLHJULT-GFCCVEGCSA-N -1 1 347.423 -0.113 20 0 EBADMM CCN(C(=O)c1ccnc(C)n1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034045909 731100487 /nfs/dbraw/zinc/10/04/87/731100487.db2.gz VGTNNICDWAEVPO-LBPRGKRZSA-N -1 1 345.407 -0.057 20 0 EBADMM Cc1ncncc1C(=O)N[C@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034113216 731104099 /nfs/dbraw/zinc/10/40/99/731104099.db2.gz GQWSONQAWJERKF-LBPRGKRZSA-N -1 1 345.407 -0.009 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H](NC(=O)c3cncnc3)C2)nc1=O ZINC001034176609 731108186 /nfs/dbraw/zinc/10/81/86/731108186.db2.gz LALXUBSSKZWUCY-GFCCVEGCSA-N -1 1 331.380 -0.317 20 0 EBADMM Cn1nccc1CC(=O)N[C@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034186482 731108668 /nfs/dbraw/zinc/10/86/68/731108668.db2.gz CYHVPAUVILCKIT-LBPRGKRZSA-N -1 1 347.423 -0.445 20 0 EBADMM Cn1cc(C(=O)N[C@@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001034196116 731110000 /nfs/dbraw/zinc/11/00/00/731110000.db2.gz WRFFMAASDLYORO-SNVBAGLBSA-N -1 1 334.384 -0.979 20 0 EBADMM Cc1c[nH]nc1C(=O)N[C@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034198661 731110104 /nfs/dbraw/zinc/11/01/04/731110104.db2.gz DEWVNILUPXKZQZ-NSHDSACASA-N -1 1 333.396 -0.076 20 0 EBADMM Cc1nc(CC(=O)N[C@@H]2CCCCN(Cc3n[nH]c(=O)[n-]3)C2)n[nH]1 ZINC001034198683 731110308 /nfs/dbraw/zinc/11/03/08/731110308.db2.gz DFIAQZXWRDJMEZ-SNVBAGLBSA-N -1 1 334.384 -0.350 20 0 EBADMM CC[C@@H](C(N)=O)N1CCCC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001034292958 731118640 /nfs/dbraw/zinc/11/86/40/731118640.db2.gz URMSBVPPMBJABG-BDAKNGLRSA-N -1 1 338.368 -0.869 20 0 EBADMM Cc1ccn(CC(=O)N[C@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001034403067 731129254 /nfs/dbraw/zinc/12/92/54/731129254.db2.gz ZAZKFRGBRPWSSB-ZDUSSCGKSA-N -1 1 347.423 -0.216 20 0 EBADMM Cn1cnnc1CN[C@H]1CC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001034680221 731150360 /nfs/dbraw/zinc/15/03/60/731150360.db2.gz FLXYAJJTBPHEBJ-KYZUINATSA-N -1 1 348.367 -0.758 20 0 EBADMM CCN1C[C@@H](C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CC1=O ZINC001034953668 731167065 /nfs/dbraw/zinc/16/70/65/731167065.db2.gz FYUTZXZDFKOGSC-WDEREUQCSA-N -1 1 336.396 -0.541 20 0 EBADMM C[C@@H]1OCCO[C@@H]1C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034959354 731168237 /nfs/dbraw/zinc/16/82/37/731168237.db2.gz AIPIXSZMRYXENY-UMNHJUIQSA-N -1 1 325.369 -0.605 20 0 EBADMM O=C(CC1CS(=O)(=O)C1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034978910 731171661 /nfs/dbraw/zinc/17/16/61/731171661.db2.gz ZEFBKUVGNNGVPE-SNVBAGLBSA-N -1 1 343.409 -0.974 20 0 EBADMM CCN1CCOC[C@H]1C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034979293 731171779 /nfs/dbraw/zinc/17/17/79/731171779.db2.gz CCJIDFFWFHZFPR-NEPJUHHUSA-N -1 1 338.412 -0.688 20 0 EBADMM Cc1ccc(=O)n(CC(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)n1 ZINC001035108209 731186505 /nfs/dbraw/zinc/18/65/05/731186505.db2.gz PCZQALPSBSBWBZ-LLVKDONJSA-N -1 1 347.379 -0.844 20 0 EBADMM O=C([C@H]1CCCS1(=O)=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035129882 731190375 /nfs/dbraw/zinc/19/03/75/731190375.db2.gz NDRMPLLCIKMMJP-NXEZZACHSA-N -1 1 343.409 -0.832 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)c(C)o1 ZINC001035287789 731205746 /nfs/dbraw/zinc/20/57/46/731205746.db2.gz QPKRADIBHBJMAF-GFCCVEGCSA-N -1 1 349.391 -0.051 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)co1 ZINC001035341770 731220925 /nfs/dbraw/zinc/22/09/25/731220925.db2.gz KSXMNNNMXMENLK-GFCCVEGCSA-N -1 1 335.364 -0.359 20 0 EBADMM O=C(NC[C@@H]1CN(CCN2CCNC2=O)CCO1)c1ncccc1[O-] ZINC001035343096 731221652 /nfs/dbraw/zinc/22/16/52/731221652.db2.gz FXQRYNCHEYGAJH-GFCCVEGCSA-N -1 1 349.391 -0.757 20 0 EBADMM CCN1CC[C@@H](N2CCO[C@@H](CNC(=O)c3ncccc3[O-])C2)C1=O ZINC001035344301 731222145 /nfs/dbraw/zinc/22/21/45/731222145.db2.gz PIVLRGFUYJINTC-QWHCGFSZSA-N -1 1 348.403 -0.161 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)[C@H]3C[C@@H]3C(F)F)C2)nc1=O ZINC001035357831 731228579 /nfs/dbraw/zinc/22/85/79/731228579.db2.gz GRQBRBTUYLXLEG-UTLUCORTSA-N -1 1 345.350 -0.673 20 0 EBADMM Cc1cnccc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035368667 731232227 /nfs/dbraw/zinc/23/22/27/731232227.db2.gz QYJIQKMVHYOBDY-GFCCVEGCSA-N -1 1 346.391 -0.557 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC[C@H]3[C@H](CC[N@H+]3Cc3n[nH]c(=O)[n-]3)C2)c1[O-] ZINC001036691770 731264429 /nfs/dbraw/zinc/26/44/29/731264429.db2.gz VCSRKAHNGSUFNS-ZJUUUORDSA-N -1 1 347.379 -0.016 20 0 EBADMM CC(C)[C@@H]1CCO[C@@H]1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038256979 731299957 /nfs/dbraw/zinc/29/99/57/731299957.db2.gz JNKRCIMCLFCMTQ-OBJOEFQTSA-N -1 1 337.424 -0.140 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2ccnn2C(F)F)nc1=O ZINC001038290452 731302880 /nfs/dbraw/zinc/30/28/80/731302880.db2.gz OIQQNXLQSISNPD-MRVPVSSYSA-N -1 1 341.322 -0.296 20 0 EBADMM COc1nc(C)ncc1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038317794 731306526 /nfs/dbraw/zinc/30/65/26/731306526.db2.gz FYNUCWAMYQIRIX-SNVBAGLBSA-N -1 1 347.379 -0.780 20 0 EBADMM CCCc1c(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)cnn1C ZINC001038451115 731327518 /nfs/dbraw/zinc/32/75/18/731327518.db2.gz QDUZOZDEEGOIAV-NSHDSACASA-N -1 1 347.423 -0.201 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)nc1=O ZINC001038540724 731341738 /nfs/dbraw/zinc/34/17/38/731341738.db2.gz AOGZJYNQLSARTE-RXSPXGNFSA-N -1 1 333.392 -0.778 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2occ3c2CCOC3)nc1=O ZINC001038558742 731343646 /nfs/dbraw/zinc/34/36/46/731343646.db2.gz OBLWJXWCSBVOQA-NSHDSACASA-N -1 1 347.375 -0.222 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cnn3cccnc23)nc1=O ZINC001038590007 731349443 /nfs/dbraw/zinc/34/94/43/731349443.db2.gz UWKVOUGSEUBBHZ-JTQLQIEISA-N -1 1 342.363 -0.845 20 0 EBADMM CC(C)N1CCO[C@@H](C(=O)NC[C@@H]2CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001038626709 731356066 /nfs/dbraw/zinc/35/60/66/731356066.db2.gz HLBPHJAGUHPRPV-NWDGAFQWSA-N -1 1 338.412 -0.690 20 0 EBADMM Cn1cc([C@@H]2C[C@H]2C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001038721064 731369139 /nfs/dbraw/zinc/36/91/39/731369139.db2.gz XCUYFBFCESJDDS-FRRDWIJNSA-N -1 1 345.407 -0.664 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCN1Cc1ccon1 ZINC001038917231 731391512 /nfs/dbraw/zinc/39/15/12/731391512.db2.gz OLJXUTFTQKIOGS-VIFPVBQESA-N -1 1 335.320 -0.111 20 0 EBADMM O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[n-]1)[C@H]1CCc2[nH]nnc2C1 ZINC001038916631 731391551 /nfs/dbraw/zinc/39/15/51/731391551.db2.gz FXUVCPDRDCQGSR-IUCAKERBSA-N -1 1 332.368 -0.876 20 0 EBADMM O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[n-]1)[C@H]1CCc2nn[nH]c2C1 ZINC001038916631 731391553 /nfs/dbraw/zinc/39/15/53/731391553.db2.gz FXUVCPDRDCQGSR-IUCAKERBSA-N -1 1 332.368 -0.876 20 0 EBADMM Cc1cc(CN2CC[C@H]2CNC(=O)c2cc(=O)n3[n-]cnc3n2)on1 ZINC001038919209 731392921 /nfs/dbraw/zinc/39/29/21/731392921.db2.gz CFBOASCOLFHBNE-JTQLQIEISA-N -1 1 343.347 -0.282 20 0 EBADMM CN(C)c1ccncc1C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[n-]1 ZINC001038920495 731392983 /nfs/dbraw/zinc/39/29/83/731392983.db2.gz FKKMPPSPNHAETE-SNVBAGLBSA-N -1 1 331.380 -0.024 20 0 EBADMM O=C(NC[C@H]1CCN1Cc1nncs1)c1cc(=O)n2[n-]cnc2n1 ZINC001038923452 731393619 /nfs/dbraw/zinc/39/36/19/731393619.db2.gz UHTYVHBMFVMSTB-MRVPVSSYSA-N -1 1 346.376 -0.727 20 0 EBADMM CCc1noc(C)c1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001039005056 731402275 /nfs/dbraw/zinc/40/22/75/731402275.db2.gz BSRFMGKSBRNZQZ-SNVBAGLBSA-N -1 1 334.380 -0.028 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2ccc(C(N)=O)cc2)nc1=O ZINC001039018022 731404409 /nfs/dbraw/zinc/40/44/09/731404409.db2.gz PRJTYVPKPSAGOD-LBPRGKRZSA-N -1 1 344.375 -0.788 20 0 EBADMM CC(C)c1nn(C)cc1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001039025555 731406288 /nfs/dbraw/zinc/40/62/88/731406288.db2.gz AHRWNVVRJWKNIH-LLVKDONJSA-N -1 1 347.423 -0.030 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2ncc3n2CCCC3)nc1=O ZINC001039035009 731408269 /nfs/dbraw/zinc/40/82/69/731408269.db2.gz UFSQJJYCTJUHRN-NSHDSACASA-N -1 1 345.407 -0.355 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@H]2COc3ccccc32)nc1=O ZINC001039085068 731416977 /nfs/dbraw/zinc/41/69/77/731416977.db2.gz NSOQQAFYMGLXOC-YPMHNXCESA-N -1 1 343.387 -0.025 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@H]2CC23CCOCC3)nc1=O ZINC001039105770 731418391 /nfs/dbraw/zinc/41/83/91/731418391.db2.gz TXANFVFRJZHFGR-NWDGAFQWSA-N -1 1 335.408 -0.384 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CC[C@@H](C2)N3C(=O)c2cnsn2)nc1=O ZINC001039338949 731428106 /nfs/dbraw/zinc/42/81/06/731428106.db2.gz MMEVANBLARLDHM-ZJUUUORDSA-N -1 1 349.420 -0.161 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CC[C@@H](C2)N3C(=O)c2n[nH]cc2F)nc1=O ZINC001039431022 731434887 /nfs/dbraw/zinc/43/48/87/731434887.db2.gz HBRPVTYWZCKTHF-ZJUUUORDSA-N -1 1 349.370 -0.150 20 0 EBADMM Cn1ccc(C(=O)N2C[C@H]3CCC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)n1 ZINC001040001233 731570751 /nfs/dbraw/zinc/57/07/51/731570751.db2.gz HRJBEUSDLAUNIX-TXEJJXNPSA-N -1 1 345.407 -0.279 20 0 EBADMM COC1CC(C(=O)NC[C@]2(O)CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC001040131572 731616046 /nfs/dbraw/zinc/61/60/46/731616046.db2.gz AHTILJHRZLGIHN-VCMHEYGDSA-N -1 1 349.387 -0.095 20 0 EBADMM Cc1cc(N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)nc(CO)n1 ZINC001040995508 731954997 /nfs/dbraw/zinc/95/49/97/731954997.db2.gz KMFUAXAVJGKXDN-ZYHUDNBSSA-N -1 1 345.359 -0.643 20 0 EBADMM O=C(N[C@@H]1CN(c2ncnc3nc[nH]c32)C[C@H]1O)c1ncccc1[O-] ZINC001040995177 731955178 /nfs/dbraw/zinc/95/51/78/731955178.db2.gz BVAIGFNJZCTHFK-PSASIEDQSA-N -1 1 341.331 -0.567 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(CCN(C(=O)[C@@H]4CCCO4)C3)C2)nc1=O ZINC001041045912 731973560 /nfs/dbraw/zinc/97/35/60/731973560.db2.gz ULYOEPRVJRPKCW-LRDDRELGSA-N -1 1 335.408 -0.288 20 0 EBADMM Cc1c[nH]nc1C(=O)N1CC[C@]2(CCN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001041269203 732059298 /nfs/dbraw/zinc/05/92/98/732059298.db2.gz MJFYRWMENFIQSQ-INIZCTEOSA-N -1 1 345.407 -0.122 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(CCN(C(=O)Cc4cnoc4)C3)C2)nc1=O ZINC001041590112 732162423 /nfs/dbraw/zinc/16/24/23/732162423.db2.gz OEMYGBDELVYJQZ-INIZCTEOSA-N -1 1 346.391 -0.237 20 0 EBADMM CCO[C@@H]1C[C@@H]1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001041684827 732194051 /nfs/dbraw/zinc/19/40/51/732194051.db2.gz DIGGKDCWAADRFD-CMPLNLGQSA-N -1 1 335.360 -0.485 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(CCN(C(=O)c4ccncn4)C3)C2)nc1=O ZINC001041747593 732213103 /nfs/dbraw/zinc/21/31/03/732213103.db2.gz YEHZISJLPFBHJR-INIZCTEOSA-N -1 1 343.391 -0.363 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(CCN(C(=O)[C@]4(C)CCOC4)C3)C2)nc1=O ZINC001041757942 732215898 /nfs/dbraw/zinc/21/58/98/732215898.db2.gz FLOMVWRBOUPWFD-SJORKVTESA-N -1 1 349.435 -0.041 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)CC4(O)CCC4)C[C@@H]32)nc1=O ZINC001042050028 732287551 /nfs/dbraw/zinc/28/75/51/732287551.db2.gz FHNVHHFQECCFBB-STQMWFEESA-N -1 1 349.435 -0.164 20 0 EBADMM CC(C)(C)C(=O)C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001042154415 732336363 /nfs/dbraw/zinc/33/63/63/732336363.db2.gz NBEDPJRVDWHTIR-UHFFFAOYSA-N -1 1 335.360 -0.295 20 0 EBADMM Cc1ncoc1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001042364662 732417876 /nfs/dbraw/zinc/41/78/76/732417876.db2.gz SHXIONJUADJXJZ-UHFFFAOYSA-N -1 1 332.316 -0.299 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(CCN2C(=O)CCC2=O)C1 ZINC001042646594 732512880 /nfs/dbraw/zinc/51/28/80/732512880.db2.gz STORKCUGFFMIND-UHFFFAOYSA-N -1 1 332.360 -0.308 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C[C@H](O)CN2CCCC2=O)C1 ZINC001042646285 732512898 /nfs/dbraw/zinc/51/28/98/732512898.db2.gz NMQPIIRCYNYWJL-ZDUSSCGKSA-N -1 1 348.403 -0.473 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C[C@H]2CCS(=O)(=O)C2)C1 ZINC001042646110 732512933 /nfs/dbraw/zinc/51/29/33/732512933.db2.gz KCNIQEHQALEANL-LLVKDONJSA-N -1 1 339.417 -0.022 20 0 EBADMM CCn1ccnc1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042712573 732545903 /nfs/dbraw/zinc/54/59/03/732545903.db2.gz CSLKNGZONWMBLS-UHFFFAOYSA-N -1 1 345.359 -0.380 20 0 EBADMM O=C(Cc1ccoc1)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042712496 732546116 /nfs/dbraw/zinc/54/61/16/732546116.db2.gz AVRDPLTZAVDMPZ-UHFFFAOYSA-N -1 1 331.328 -0.074 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2cccc(=O)[nH]2)C1)c1ncccc1[O-] ZINC001042712663 732546155 /nfs/dbraw/zinc/54/61/55/732546155.db2.gz FMGUFRCNGSWDFB-UHFFFAOYSA-N -1 1 344.327 -0.495 20 0 EBADMM Cc1cc(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)no1 ZINC001042713445 732547206 /nfs/dbraw/zinc/54/72/06/732547206.db2.gz YDYQDAQEDQVJAU-UHFFFAOYSA-N -1 1 332.316 -0.299 20 0 EBADMM CO[C@H](C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1)C1CC1 ZINC001042713479 732547436 /nfs/dbraw/zinc/54/74/36/732547436.db2.gz ZOHKKRUQARLNFS-ZDUSSCGKSA-N -1 1 335.360 -0.485 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2nccs2)C1)c1ncccc1[O-] ZINC001042715975 732547874 /nfs/dbraw/zinc/54/78/74/732547874.db2.gz DYHVSEJVBWFKNS-UHFFFAOYSA-N -1 1 334.357 -0.139 20 0 EBADMM O=C(Cc1ccco1)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042715816 732547881 /nfs/dbraw/zinc/54/78/81/732547881.db2.gz BRBQWFBMPBEJKX-UHFFFAOYSA-N -1 1 331.328 -0.074 20 0 EBADMM Cc1cnoc1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042716513 732548342 /nfs/dbraw/zinc/54/83/42/732548342.db2.gz QGRSUJKXNCJZRR-UHFFFAOYSA-N -1 1 332.316 -0.299 20 0 EBADMM Cc1nc[nH]c1CC(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042716256 732548453 /nfs/dbraw/zinc/54/84/53/732548453.db2.gz JKPYEQNYMGDAGM-UHFFFAOYSA-N -1 1 345.359 -0.635 20 0 EBADMM C[C@@H]1CCO[C@@H]1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042719371 732549869 /nfs/dbraw/zinc/54/98/69/732549869.db2.gz BPAREDXGJNEKRJ-MFKMUULPSA-N -1 1 335.360 -0.485 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ccsn2)C1)c1ncccc1[O-] ZINC001042719733 732550445 /nfs/dbraw/zinc/55/04/45/732550445.db2.gz IRVJSYPWOUHLHH-UHFFFAOYSA-N -1 1 334.357 -0.139 20 0 EBADMM O=C(C[C@@H]1CCCOC1)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042720152 732551157 /nfs/dbraw/zinc/55/11/57/732551157.db2.gz SBLLYAAWPSFINS-LBPRGKRZSA-N -1 1 349.387 -0.093 20 0 EBADMM Cc1cncn1CC(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042720352 732551258 /nfs/dbraw/zinc/55/12/58/732551258.db2.gz VICFQDBVIRUITN-UHFFFAOYSA-N -1 1 345.359 -0.705 20 0 EBADMM CN(C(=O)[C@H]1CCCc2[nH]ncc21)C1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001042723994 732553056 /nfs/dbraw/zinc/55/30/56/732553056.db2.gz QXXSXCCTYMDVAN-JTQLQIEISA-N -1 1 331.380 -0.004 20 0 EBADMM Cc1cc(=O)c(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cn1C ZINC001042997932 732704777 /nfs/dbraw/zinc/70/47/77/732704777.db2.gz IHTOHHHTOKFDTD-UHFFFAOYSA-N -1 1 346.391 -0.928 20 0 EBADMM CN(C(=O)[C@@H]1CCO[C@H]1C1CC1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043411950 732920116 /nfs/dbraw/zinc/92/01/16/732920116.db2.gz UZSQFKPGWCLDER-OCCSQVGLSA-N -1 1 335.408 -0.434 20 0 EBADMM C[C@@H](C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1)c1cncnc1 ZINC001043618432 733026224 /nfs/dbraw/zinc/02/62/24/733026224.db2.gz ZATVDKIFGBVFCR-SNVBAGLBSA-N -1 1 331.380 -0.655 20 0 EBADMM CO[C@H]1CCC[C@@H]1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001043626132 733031014 /nfs/dbraw/zinc/03/10/14/733031014.db2.gz PNDIMOGXBPUGMT-AAEUAGOBSA-N -1 1 349.387 -0.095 20 0 EBADMM CO[C@H]1CC[C@@H](C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001043637698 733035766 /nfs/dbraw/zinc/03/57/66/733035766.db2.gz APQXCSAWAZNOCH-NEPJUHHUSA-N -1 1 349.387 -0.095 20 0 EBADMM COc1cc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cc(C)n1 ZINC001043657045 733045470 /nfs/dbraw/zinc/04/54/70/733045470.db2.gz BTFWGPRXQJZNDZ-UHFFFAOYSA-N -1 1 346.391 -0.223 20 0 EBADMM O=C(CCc1ccon1)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001043875335 733168882 /nfs/dbraw/zinc/16/88/82/733168882.db2.gz FYZXVEAFACMCKX-UHFFFAOYSA-N -1 1 346.343 -0.289 20 0 EBADMM O=C(Cn1ccnc1)NC[C@H]1COCCN1C(=O)c1ncccc1[O-] ZINC001061682182 738692877 /nfs/dbraw/zinc/69/28/77/738692877.db2.gz FORKIVWYRPPIRH-LBPRGKRZSA-N -1 1 345.359 -0.359 20 0 EBADMM CN(C(=O)c1ccc2nncn2c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044046635 733262059 /nfs/dbraw/zinc/26/20/59/733262059.db2.gz SIPMNNRLNVPJNY-UHFFFAOYSA-N -1 1 342.363 -0.893 20 0 EBADMM CCc1cc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001044085303 733280482 /nfs/dbraw/zinc/28/04/82/733280482.db2.gz VKJSPEUJLLSCIL-UHFFFAOYSA-N -1 1 333.396 -0.639 20 0 EBADMM CCn1cc(CC(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001044171773 733313719 /nfs/dbraw/zinc/31/37/19/733313719.db2.gz QHGGAFQSGPYVHK-UHFFFAOYSA-N -1 1 333.396 -0.790 20 0 EBADMM COCc1nocc1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044174574 733315312 /nfs/dbraw/zinc/31/53/12/733315312.db2.gz ODHWCZZNDRBOCT-UHFFFAOYSA-N -1 1 336.352 -0.801 20 0 EBADMM CN(C(=O)c1n[nH]c2ccccc21)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044253163 733340874 /nfs/dbraw/zinc/34/08/74/733340874.db2.gz WMMUHCMVEBVFLK-UHFFFAOYSA-N -1 1 341.375 -0.059 20 0 EBADMM CN(C(=O)c1cn2ccccc2n1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044260570 733343847 /nfs/dbraw/zinc/34/38/47/733343847.db2.gz WNSOJSATTHGAHO-UHFFFAOYSA-N -1 1 341.375 -0.288 20 0 EBADMM CCc1onc(C)c1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044283073 733355312 /nfs/dbraw/zinc/35/53/12/733355312.db2.gz XEWGQKFWPPHBSO-UHFFFAOYSA-N -1 1 334.380 -0.076 20 0 EBADMM CN(C(=O)c1ccc(C(N)=O)cc1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044285520 733356580 /nfs/dbraw/zinc/35/65/80/733356580.db2.gz QCLVPSLQDCXSQQ-UHFFFAOYSA-N -1 1 344.375 -0.836 20 0 EBADMM O=C(CC1OCCCO1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045009273 733553987 /nfs/dbraw/zinc/55/39/87/733553987.db2.gz ARMVHLKWXQAQIL-JTQLQIEISA-N -1 1 325.369 -0.256 20 0 EBADMM CCN1C[C@H](C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CC1=O ZINC001045059396 733568802 /nfs/dbraw/zinc/56/88/02/733568802.db2.gz FYUTZXZDFKOGSC-MNOVXSKESA-N -1 1 336.396 -0.541 20 0 EBADMM C[C@@]1(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CCNC(=O)C1 ZINC001045091408 733576985 /nfs/dbraw/zinc/57/69/85/733576985.db2.gz VEKMDYPANRFQEX-ZUZCIYMTSA-N -1 1 336.396 -0.493 20 0 EBADMM COCCn1ccc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)n1 ZINC001045116771 733589211 /nfs/dbraw/zinc/58/92/11/733589211.db2.gz PFQRDBPKWWFLJU-NSHDSACASA-N -1 1 349.395 -0.252 20 0 EBADMM CN(C)C(=O)[C@@H]1C[C@@H]1C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045204525 733621848 /nfs/dbraw/zinc/62/18/48/733621848.db2.gz RNQRUGGSYGMJRE-AXFHLTTASA-N -1 1 336.396 -0.685 20 0 EBADMM NC(=O)N1CCC[C@H]1C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045332816 733670331 /nfs/dbraw/zinc/67/03/31/733670331.db2.gz QPOSFMVLDABUKG-UWVGGRQHSA-N -1 1 337.384 -0.866 20 0 EBADMM Cn1cc(C(=O)NC2(C)CCN(Cc3nc(=O)n(C)[n-]3)CC2)cn1 ZINC001045360936 733675532 /nfs/dbraw/zinc/67/55/32/733675532.db2.gz PJTBQWJHLSEEEE-UHFFFAOYSA-N -1 1 333.396 -0.374 20 0 EBADMM Cc1cc(C(=O)NC2(C)CCN(Cc3nc(=O)n(C)[n-]3)CC2)n(C)n1 ZINC001045380085 733681036 /nfs/dbraw/zinc/68/10/36/733681036.db2.gz AAEFFMVYZDFUGH-UHFFFAOYSA-N -1 1 347.423 -0.065 20 0 EBADMM Cn1cc(CN2CCC(C)(NC(=O)c3n[nH]c(=O)[n-]c3=O)CC2)cn1 ZINC001045496093 733706539 /nfs/dbraw/zinc/70/65/39/733706539.db2.gz ZCIYCQYQOIPAOE-UHFFFAOYSA-N -1 1 347.379 -0.199 20 0 EBADMM COC[C@H](C)CC(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001045625537 733739566 /nfs/dbraw/zinc/73/95/66/733739566.db2.gz BWDBRKFGBLCRMB-LLVKDONJSA-N -1 1 337.376 -0.237 20 0 EBADMM Cc1nonc1C(=O)NC1(C)CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001045651389 733746506 /nfs/dbraw/zinc/74/65/06/733746506.db2.gz FBVXPYQBVCVNQC-UHFFFAOYSA-N -1 1 335.368 -0.416 20 0 EBADMM Cc1nn(C)cc1C(=O)N[C@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001046191964 733874511 /nfs/dbraw/zinc/87/45/11/733874511.db2.gz DZAQJUMBNKZEGW-OAHLLOKOSA-N -1 1 333.396 -0.455 20 0 EBADMM C[C@H]1COCC[C@H]1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001046223686 733885777 /nfs/dbraw/zinc/88/57/77/733885777.db2.gz AUBDXNFRIUFTFW-NWDGAFQWSA-N -1 1 349.387 -0.237 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](C)(NC(=O)c3cc[nH]c(=O)c3)C2)nc1=O ZINC001046232702 733888938 /nfs/dbraw/zinc/88/89/38/733888938.db2.gz XQTDCRDQQMZSQQ-OAHLLOKOSA-N -1 1 332.364 -0.397 20 0 EBADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(CC(=O)N2CCOCC2)C1 ZINC001046262445 733898742 /nfs/dbraw/zinc/89/87/42/733898742.db2.gz RWIGAKLWXPDFRY-KRWDZBQOSA-N -1 1 348.403 -0.160 20 0 EBADMM Cc1nc(CN2CC[C@](C)(NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001046455564 733939900 /nfs/dbraw/zinc/93/99/00/733939900.db2.gz ZMWASHOVEOSHNS-ZDUSSCGKSA-N -1 1 334.340 -0.896 20 0 EBADMM COc1nccc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001046477230 733945005 /nfs/dbraw/zinc/94/50/05/733945005.db2.gz RHNQEIDKYHDWAZ-OAHLLOKOSA-N -1 1 347.379 -0.699 20 0 EBADMM CC[C@@H](C(N)=O)N1CC[C@](C)(NC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001046685429 734004171 /nfs/dbraw/zinc/00/41/71/734004171.db2.gz ZNBUJYWVCUYDGV-ZBEGNZNMSA-N -1 1 346.391 -0.519 20 0 EBADMM CC[C@H](C(N)=O)N1CC[C@@](C)(NC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001046685419 734004223 /nfs/dbraw/zinc/00/42/23/734004223.db2.gz ZNBUJYWVCUYDGV-BDJLRTHQSA-N -1 1 346.391 -0.519 20 0 EBADMM COc1cccc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001046743231 734017443 /nfs/dbraw/zinc/01/74/43/734017443.db2.gz YOUGKIXWQXBWEQ-MRXNPFEDSA-N -1 1 346.391 -0.094 20 0 EBADMM Cc1nc(C)c(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)[nH]1 ZINC001046762623 734020928 /nfs/dbraw/zinc/02/09/28/734020928.db2.gz GTZVEJYYPRQECM-UHFFFAOYSA-N -1 1 345.359 -0.256 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](C)(NC(=O)c3cnn4cc[nH]c34)C2)nc1=O ZINC001046797178 734028454 /nfs/dbraw/zinc/02/84/54/734028454.db2.gz UWMZFSODFXKJMI-OAHLLOKOSA-N -1 1 344.379 -0.521 20 0 EBADMM Cc1nccc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001046843912 734039279 /nfs/dbraw/zinc/03/92/79/734039279.db2.gz GHBZVWJYEIMFTL-OAHLLOKOSA-N -1 1 331.380 -0.399 20 0 EBADMM O=C(N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2)C1=COCCO1 ZINC001047017908 734082345 /nfs/dbraw/zinc/08/23/45/734082345.db2.gz DKLAMUKAAONRLS-DCAQKATOSA-N -1 1 335.364 -0.132 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001047034812 734084202 /nfs/dbraw/zinc/08/42/02/734084202.db2.gz ONZMNCVFGXNJTL-WZRBSPASSA-N -1 1 347.371 -0.342 20 0 EBADMM Cc1c(C(=O)N[C@@H]2C[C@H]3C[C@@H](C2)N(Cc2n[nH]c(=O)[n-]2)C3)nnn1C ZINC001047045898 734084852 /nfs/dbraw/zinc/08/48/52/734084852.db2.gz AASWZMFVOLSUBG-AXFHLTTASA-N -1 1 346.395 -0.270 20 0 EBADMM Cn1cc(C(=O)N[C@@H]2C[C@H]3C[C@@H](C2)N(Cc2n[nH]c(=O)[n-]2)C3)nn1 ZINC001047066932 734088427 /nfs/dbraw/zinc/08/84/27/734088427.db2.gz HHVIOQFPRLJTAG-AEJSXWLSSA-N -1 1 332.368 -0.578 20 0 EBADMM Cc1nn[nH]c1C(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047124172 734096560 /nfs/dbraw/zinc/09/65/60/734096560.db2.gz IUKMLIJYHUTUMU-AEJSXWLSSA-N -1 1 332.368 -0.280 20 0 EBADMM O=C(Cc1ncc[nH]1)N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047169017 734105098 /nfs/dbraw/zinc/10/50/98/734105098.db2.gz RFPZMDJYXINBRQ-AXFHLTTASA-N -1 1 331.380 -0.055 20 0 EBADMM C[C@H](C(=O)N(C)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O)n1cccc1 ZINC001047351634 734146879 /nfs/dbraw/zinc/14/68/79/734146879.db2.gz DBPKFOODIRPUCG-AGIUHOORSA-N -1 1 348.407 -0.825 20 0 EBADMM C[C@H](C(=O)NC1CC1)N1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001047358483 734152009 /nfs/dbraw/zinc/15/20/09/734152009.db2.gz ZJKZXHQIMVHMRN-OSMZGAPFSA-N -1 1 348.403 -0.429 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(Cc2ccn(C)n2)C[C@@H]1O ZINC001047359420 734152477 /nfs/dbraw/zinc/15/24/77/734152477.db2.gz VAAWNNUYZFEWQU-JSGCOSHPSA-N -1 1 331.376 -0.162 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(CC(=O)N2CCC2)C[C@@H]1O ZINC001047359361 734152532 /nfs/dbraw/zinc/15/25/32/734152532.db2.gz UHQRCIWXFRYWPE-AAEUAGOBSA-N -1 1 334.376 -0.863 20 0 EBADMM CCC(=O)N[C@@H]1CC[C@@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]12 ZINC000979866766 805591481 /nfs/dbraw/zinc/59/14/81/805591481.db2.gz JNDIKTKTRUECLS-OUAUKWLOSA-N -1 1 344.375 -0.206 20 0 EBADMM CC(C)c1cc(C(=O)N(C)[C@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@@H]2O)n[nH]1 ZINC001047537529 734244455 /nfs/dbraw/zinc/24/44/55/734244455.db2.gz OPKUKVXCCZAROA-RYUDHWBXSA-N -1 1 349.395 -0.326 20 0 EBADMM C[C@@H](NCc1cnn(C)n1)[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001047893838 734319169 /nfs/dbraw/zinc/31/91/69/734319169.db2.gz HGANHBSVCWHRGX-RKDXNWHRSA-N -1 1 348.367 -0.948 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNCc1nnn(C)n1 ZINC001048223607 734367721 /nfs/dbraw/zinc/36/77/21/734367721.db2.gz VRPXEHBUCPWDNP-QWRGUYRKSA-N -1 1 331.380 -0.191 20 0 EBADMM CN(C(=O)COCC1CC1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001048361082 734399981 /nfs/dbraw/zinc/39/99/81/734399981.db2.gz AXABPXOJTHBTHY-JSGCOSHPSA-N -1 1 349.387 -0.143 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)C(=O)C(C)(C)C)C[C@@H]3C2)nc1=O ZINC001048727175 734485551 /nfs/dbraw/zinc/48/55/51/734485551.db2.gz HWQNMPRBZXLZKI-PHIMTYICSA-N -1 1 335.408 -0.386 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4ccc(F)cn4)C[C@@H]3C2)nc1=O ZINC001048779955 734506290 /nfs/dbraw/zinc/50/62/90/734506290.db2.gz VDDHZJHFBKWRQA-PHIMTYICSA-N -1 1 346.366 -0.154 20 0 EBADMM C[C@@H](C(N)=O)N1C[C@@H]2CN(C(=O)c3ccc4oc(=O)nc-4[n-]3)C[C@@H]2C1 ZINC001049169290 734674184 /nfs/dbraw/zinc/67/41/84/734674184.db2.gz MILJDMFCIRXKJL-LPEHRKFASA-N -1 1 345.359 -0.194 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@H]2CCCN3C(=O)[C@@H]2CCOC2)nc1=O ZINC001049359374 734714704 /nfs/dbraw/zinc/71/47/04/734714704.db2.gz JEQUIOCXDMGMKN-JHJVBQTASA-N -1 1 335.408 -0.290 20 0 EBADMM Cn1cnc(C(=O)N2CCC[C@H]3[C@@H]2CCN3Cc2nc(=O)n(C)[n-]2)c1 ZINC001049420656 734726295 /nfs/dbraw/zinc/72/62/95/734726295.db2.gz WDYLKMCMFOFMJM-STQMWFEESA-N -1 1 345.407 -0.279 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@H]2CCCN3C(=O)[C@@H]2CCCO2)nc1=O ZINC001049432542 734728986 /nfs/dbraw/zinc/72/89/86/734728986.db2.gz VWWZRKIDGQLECQ-AGIUHOORSA-N -1 1 335.408 -0.147 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@H]2CCCN3C(=O)c2cncnc2)nc1=O ZINC001049437751 734730268 /nfs/dbraw/zinc/73/02/68/734730268.db2.gz SWVMWASSLKMESC-OLZOCXBDSA-N -1 1 343.391 -0.223 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@H]2CCCN3C(=O)c2cn[nH]n2)nc1=O ZINC001049570426 734756517 /nfs/dbraw/zinc/75/65/17/734756517.db2.gz MWXKSDMDHDHRMM-GHMZBOCLSA-N -1 1 332.368 -0.894 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@H]2CCCN3C(=O)c2cn[nH]n2)nc1=O ZINC001049570427 734756552 /nfs/dbraw/zinc/75/65/52/734756552.db2.gz MWXKSDMDHDHRMM-MNOVXSKESA-N -1 1 332.368 -0.894 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@@H]2CCCN3C(=O)c2csnn2)nc1=O ZINC001049625281 734767838 /nfs/dbraw/zinc/76/78/38/734767838.db2.gz GOXYHDGAIIBJIM-WDEREUQCSA-N -1 1 349.420 -0.161 20 0 EBADMM Cn1ncc(C(=O)N2CCC[C@H]3[C@@H]2CCN3Cc2nc(=O)n(C)[n-]2)n1 ZINC001049788395 734790121 /nfs/dbraw/zinc/79/01/21/734790121.db2.gz NLNYBZIGXLQDHK-RYUDHWBXSA-N -1 1 346.395 -0.884 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@@H]2CCCN3C(=O)Cn2ccnc2)nc1=O ZINC001049932528 734809726 /nfs/dbraw/zinc/80/97/26/734809726.db2.gz NKTQJSXSXRQXEP-QWHCGFSZSA-N -1 1 345.407 -0.430 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCCN(C(=O)C4CCOCC4)[C@@H]3C2)nc1=O ZINC001049951795 734811391 /nfs/dbraw/zinc/81/13/91/734811391.db2.gz DIMFNDWOWIIJTQ-UONOGXRCSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCCN(C(=O)[C@H]4CCCOC4)[C@@H]3C2)nc1=O ZINC001049992476 734821390 /nfs/dbraw/zinc/82/13/90/734821390.db2.gz FDMZPXFOZCETFD-MELADBBJSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCCN(C(=O)c4cocn4)[C@@H]3C2)nc1=O ZINC001049995066 734821449 /nfs/dbraw/zinc/82/14/49/734821449.db2.gz HHDYLYAVHVVGMX-CMPLNLGQSA-N -1 1 332.364 -0.167 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCCN(C(=O)CC(C)(C)O)[C@@H]3C2)nc1=O ZINC001050038284 734829292 /nfs/dbraw/zinc/82/92/92/734829292.db2.gz KLVOEQXBWJKFLQ-NWDGAFQWSA-N -1 1 337.424 -0.308 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCCN(C(=O)c4cnon4)[C@@H]3C2)nc1=O ZINC001050167290 734859143 /nfs/dbraw/zinc/85/91/43/734859143.db2.gz PZOJVDIAPQTUIJ-GXSJLCMTSA-N -1 1 333.352 -0.772 20 0 EBADMM Cc1nc[nH]c1C(=O)N1CCC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001050214658 734869747 /nfs/dbraw/zinc/86/97/47/734869747.db2.gz LAIMCOXBXHBGNP-NWDGAFQWSA-N -1 1 345.407 -0.123 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN1c1ncccn1 ZINC001050465931 734903724 /nfs/dbraw/zinc/90/37/24/734903724.db2.gz PIWKFKZEEIHNTB-ZJUUUORDSA-N -1 1 340.347 -0.395 20 0 EBADMM C[C@H](NC(=O)C(N)=O)[C@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001050754768 734954372 /nfs/dbraw/zinc/95/43/72/734954372.db2.gz AKVIODHCKMSQTG-WPRPVWTQSA-N -1 1 347.375 -0.488 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)c2ccncc2)nc1=O ZINC001050790700 734960490 /nfs/dbraw/zinc/96/04/90/734960490.db2.gz QFUFPRFSBRGZRG-LBPRGKRZSA-N -1 1 332.364 -0.866 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)[C@@H]2CC=CCC2)nc1=O ZINC001050810631 734965548 /nfs/dbraw/zinc/96/55/48/734965548.db2.gz UHUDTYBKNCILCY-OLZOCXBDSA-N -1 1 335.408 -0.218 20 0 EBADMM Cc1cncc(C(=O)NC[C@H]2COCCN2Cc2nc(=O)n(C)[n-]2)c1 ZINC001050857229 734979824 /nfs/dbraw/zinc/97/98/24/734979824.db2.gz VBYZAUOZOQXMHL-ZDUSSCGKSA-N -1 1 346.391 -0.557 20 0 EBADMM CS(=O)(=O)CCN1CCOC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001050890189 734990636 /nfs/dbraw/zinc/99/06/36/734990636.db2.gz CRDZAIIOTGGXEK-NSHDSACASA-N -1 1 343.405 -0.738 20 0 EBADMM Cn1ncc(CN2CCOC[C@@H]2CNC(=O)c2ncccc2[O-])n1 ZINC001050890802 734990671 /nfs/dbraw/zinc/99/06/71/734990671.db2.gz JWYOXUKIIPJHTO-LBPRGKRZSA-N -1 1 332.364 -0.454 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)[C@H]2C[C@@H]2C(F)F)nc1=O ZINC001050896734 734993710 /nfs/dbraw/zinc/99/37/10/734993710.db2.gz XGNNBSVENDIYIS-UTLUCORTSA-N -1 1 345.350 -0.673 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)c2ccns2)nc1=O ZINC001050941028 735006814 /nfs/dbraw/zinc/00/68/14/735006814.db2.gz UGFPIRRMWMCMES-VIFPVBQESA-N -1 1 338.393 -0.804 20 0 EBADMM Cc1nocc1C(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001051091698 735063770 /nfs/dbraw/zinc/06/37/70/735063770.db2.gz ACNDZWUQDUBTSI-JTQLQIEISA-N -1 1 336.352 -0.964 20 0 EBADMM C[C@H](O)CN1CCOC[C@@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001051153239 735078642 /nfs/dbraw/zinc/07/86/42/735078642.db2.gz OILMNGRLAXYQDY-JQWIXIFHSA-N -1 1 336.392 -0.129 20 0 EBADMM CCC(=O)N[C@@H](C)[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001051195744 735096392 /nfs/dbraw/zinc/09/63/92/735096392.db2.gz HGQBRRDWFUULLR-RYUDHWBXSA-N -1 1 336.392 -0.310 20 0 EBADMM O=C(CC[C@@H]1CCCO1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051456311 735158463 /nfs/dbraw/zinc/15/84/63/735158463.db2.gz XONUVIICGGVWDU-NWDGAFQWSA-N -1 1 339.396 -0.214 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)n(C)n1 ZINC001051470728 735165054 /nfs/dbraw/zinc/16/50/54/735165054.db2.gz GBYBQRKADVVRNW-SNVBAGLBSA-N -1 1 335.368 -0.817 20 0 EBADMM CCn1cc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001051498352 735199435 /nfs/dbraw/zinc/19/94/35/735199435.db2.gz SJVWXJIWAYUESK-LLVKDONJSA-N -1 1 335.368 -0.643 20 0 EBADMM Cc1nc(C)c(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)o1 ZINC001051510660 735216577 /nfs/dbraw/zinc/21/65/77/735216577.db2.gz SCKDNJBBEPIRFU-SNVBAGLBSA-N -1 1 336.352 -0.254 20 0 EBADMM O=C(CC[C@H]1CCOC1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051535783 735224109 /nfs/dbraw/zinc/22/41/09/735224109.db2.gz YTZHNGRWTKOKPS-NWDGAFQWSA-N -1 1 339.396 -0.356 20 0 EBADMM O=C(C[C@H]1CCCCO1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051539574 735224548 /nfs/dbraw/zinc/22/45/48/735224548.db2.gz IGHFZPLFDUFMIR-VXGBXAGGSA-N -1 1 339.396 -0.214 20 0 EBADMM CO[C@H](C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001051584119 735248801 /nfs/dbraw/zinc/24/88/01/735248801.db2.gz NNEUTKIMSGQPPL-JQWIXIFHSA-N -1 1 325.369 -0.748 20 0 EBADMM O=C(N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)C1(C(F)F)CC1 ZINC001051615921 735267997 /nfs/dbraw/zinc/26/79/97/735267997.db2.gz DTEFJWSFWABQLP-QMMMGPOBSA-N -1 1 331.323 -0.127 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)c(C)nn1 ZINC001051638179 735279133 /nfs/dbraw/zinc/27/91/33/735279133.db2.gz PSVKDYHBMMFRAV-NSHDSACASA-N -1 1 347.379 -0.452 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)c3ccncn3)CC2)nc1=O ZINC001052800676 735695107 /nfs/dbraw/zinc/69/51/07/735695107.db2.gz FFSXXVBORDYVOF-NSHDSACASA-N -1 1 331.380 -0.317 20 0 EBADMM CCC(=O)NC[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H]1C ZINC001052978677 735734491 /nfs/dbraw/zinc/73/44/91/735734491.db2.gz AMUCJTICWXRZDD-RYUDHWBXSA-N -1 1 336.392 -0.453 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)c2cccnc2)CCO3)nc1=O ZINC001053125827 735754165 /nfs/dbraw/zinc/75/41/65/735754165.db2.gz HRWQULBOYWQFTL-UHFFFAOYSA-N -1 1 344.375 -0.770 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)CC(F)(F)F)CCO3)nc1=O ZINC001053175308 735768913 /nfs/dbraw/zinc/76/89/13/735768913.db2.gz FBTZPUJCQSRIOL-UHFFFAOYSA-N -1 1 349.313 -0.526 20 0 EBADMM O=C(CCc1cnc[nH]1)N1CCOC2(CN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001053281703 735812402 /nfs/dbraw/zinc/81/24/02/735812402.db2.gz SFSATJXBSUPKNF-UHFFFAOYSA-N -1 1 347.379 -0.721 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)[C@]24C[C@H]2CCC4)CCO3)nc1=O ZINC001053350090 735843836 /nfs/dbraw/zinc/84/38/36/735843836.db2.gz ACGUPFOBFWYYMM-SJKOYZFVSA-N -1 1 347.419 -0.288 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)[C@@H]2CC24CCC4)CCO3)nc1=O ZINC001053449996 735878274 /nfs/dbraw/zinc/87/82/74/735878274.db2.gz BBCAJBKZGMOACW-LBPRGKRZSA-N -1 1 347.419 -0.288 20 0 EBADMM O=C(NC[C@@H]1CCC2(CN([C@@H]3CCNC3=O)C2)O1)c1ncccc1[O-] ZINC001053594277 735937151 /nfs/dbraw/zinc/93/71/51/735937151.db2.gz IJZJFLWWVFVRMX-NWDGAFQWSA-N -1 1 346.387 -0.361 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)[C@H]2CC=CCC2)CO3)nc1=O ZINC001053735774 735984969 /nfs/dbraw/zinc/98/49/69/735984969.db2.gz KQIVRWSYAZCFRW-STQMWFEESA-N -1 1 347.419 -0.076 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)c2cc[nH]c2)CO3)nc1=O ZINC001053812808 736034022 /nfs/dbraw/zinc/03/40/22/736034022.db2.gz HNGHBZPWKAQPHQ-LLVKDONJSA-N -1 1 332.364 -0.790 20 0 EBADMM CC[C@@H](C)CN1CC2(C1)C[C@H](NC(=O)c1n[nH]c(=O)[n-]c1=O)CO2 ZINC001053859102 736061840 /nfs/dbraw/zinc/06/18/40/736061840.db2.gz ZTJLRFRPKWULBI-ZJUUUORDSA-N -1 1 337.380 -0.098 20 0 EBADMM C[C@@H]1CN(C(=O)C2(F)CCOCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054729356 736236572 /nfs/dbraw/zinc/23/65/72/736236572.db2.gz ARYRNMASZXUKDG-NXEZZACHSA-N -1 1 327.360 -0.035 20 0 EBADMM CCOc1cc(C(=O)N2C[C@@H](C)[C@@H](NCc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC001054786683 736246505 /nfs/dbraw/zinc/24/65/05/736246505.db2.gz HHYINMANGPUMHZ-SCZZXKLOSA-N -1 1 335.368 -0.118 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccn(C)c(=O)c2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054811272 736248084 /nfs/dbraw/zinc/24/80/84/736248084.db2.gz AAAXVILPSMVZHQ-KOLCDFICSA-N -1 1 332.364 -0.541 20 0 EBADMM C[C@@H]1CN(C(=O)CN2CCCCC2=O)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054848271 736254421 /nfs/dbraw/zinc/25/44/21/736254421.db2.gz URBBHXVYLQWXCS-MNOVXSKESA-N -1 1 336.396 -0.541 20 0 EBADMM C[C@H]1CN(C(=O)CN2CCCCC2=O)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054848273 736254640 /nfs/dbraw/zinc/25/46/40/736254640.db2.gz URBBHXVYLQWXCS-WDEREUQCSA-N -1 1 336.396 -0.541 20 0 EBADMM Cc1cnc(CN[C@H]2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@@H]2C)cn1 ZINC001054858993 736256836 /nfs/dbraw/zinc/25/68/36/736256836.db2.gz FQOXYQMISHWJPH-KWQFWETISA-N -1 1 345.363 -0.368 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccc(C(N)=O)o2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054886171 736262506 /nfs/dbraw/zinc/26/25/06/736262506.db2.gz ZXAWVLNLSICRSB-HTQZYQBOSA-N -1 1 334.336 -0.548 20 0 EBADMM COc1c[nH]c(C(=O)N2C[C@@H](C)[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC001054909978 736266467 /nfs/dbraw/zinc/26/64/67/736266467.db2.gz WEMHTFSRRWFIHV-SCZZXKLOSA-N -1 1 348.363 -0.543 20 0 EBADMM COc1c[nH]c(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC001054909977 736266609 /nfs/dbraw/zinc/26/66/09/736266609.db2.gz WEMHTFSRRWFIHV-PSASIEDQSA-N -1 1 348.363 -0.543 20 0 EBADMM COc1coc(C(=O)N2C[C@@H](C)[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC001054907376 736266679 /nfs/dbraw/zinc/26/66/79/736266679.db2.gz VWZQIBOONPCEHP-BDAKNGLRSA-N -1 1 349.347 -0.278 20 0 EBADMM C[C@H]1CN(C(=O)Cc2ccc(=O)[nH]c2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054918123 736267933 /nfs/dbraw/zinc/26/79/33/736267933.db2.gz TUYQTQLJVVGSKF-GXSJLCMTSA-N -1 1 332.364 -0.210 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CC[C@@H](Nc2nccnc2F)C1 ZINC001056669389 736766376 /nfs/dbraw/zinc/76/63/76/736766376.db2.gz IITPLVKJNIUVGD-MRVPVSSYSA-N -1 1 344.310 -0.327 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CC[C@@H](Nc2ncccn2)C1 ZINC001056669673 736766574 /nfs/dbraw/zinc/76/65/74/736766574.db2.gz VYNWGOZLRNZQJB-SECBINFHSA-N -1 1 326.320 -0.466 20 0 EBADMM Cc1cnc(C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])cn1 ZINC001056848995 736833035 /nfs/dbraw/zinc/83/30/35/736833035.db2.gz QORNODZMBKOKML-UHFFFAOYSA-N -1 1 345.359 -0.250 20 0 EBADMM Cc1ncncc1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057082451 736970316 /nfs/dbraw/zinc/97/03/16/736970316.db2.gz SOEDEQISTGEUPC-UHFFFAOYSA-N -1 1 345.359 -0.250 20 0 EBADMM CCO[C@H]1C[C@@H]1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057089174 736978786 /nfs/dbraw/zinc/97/87/86/736978786.db2.gz OQPYNCBWEZYRJP-AAEUAGOBSA-N -1 1 337.376 -0.237 20 0 EBADMM O=C(c1ncccc1[O-])N1CCCN(c2nccn3nnnc23)CC1 ZINC001057127561 737011858 /nfs/dbraw/zinc/01/18/58/737011858.db2.gz YWKYLELKYGFDDZ-UHFFFAOYSA-N -1 1 340.347 -0.028 20 0 EBADMM CC[C@@H](OC)C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057154296 737034977 /nfs/dbraw/zinc/03/49/77/737034977.db2.gz VOJLLCRGTTWFTN-GFCCVEGCSA-N -1 1 325.365 -0.237 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCCN(c2ncccn2)CC1 ZINC001057154795 737035523 /nfs/dbraw/zinc/03/55/23/737035523.db2.gz SUWYYIZYMCQIOG-UHFFFAOYSA-N -1 1 344.375 -0.544 20 0 EBADMM CCn1cc(C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])cn1 ZINC001057231956 737100340 /nfs/dbraw/zinc/10/03/40/737100340.db2.gz RBJORARZFGPJBX-UHFFFAOYSA-N -1 1 347.375 -0.132 20 0 EBADMM Cc1ncoc1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057281738 737140430 /nfs/dbraw/zinc/14/04/30/737140430.db2.gz GNLVKOIOVBLJSM-UHFFFAOYSA-N -1 1 334.332 -0.052 20 0 EBADMM O=C(NCCN(CCO)C(=O)[C@@H]1CCCCO1)c1ncccc1[O-] ZINC001057353238 737182631 /nfs/dbraw/zinc/18/26/31/737182631.db2.gz RDDXKFHUDDDYQI-ZDUSSCGKSA-N -1 1 337.376 -0.093 20 0 EBADMM CCOCCC(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410039 737229472 /nfs/dbraw/zinc/22/94/72/737229472.db2.gz ACNZRGMURREFRA-UHFFFAOYSA-N -1 1 325.365 -0.236 20 0 EBADMM CC[C@@H](OC)C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410084 737230018 /nfs/dbraw/zinc/23/00/18/737230018.db2.gz BVMQXXLPULCRHV-GFCCVEGCSA-N -1 1 325.365 -0.237 20 0 EBADMM Cc1cc(C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])on1 ZINC001057410972 737231449 /nfs/dbraw/zinc/23/14/49/737231449.db2.gz ZFWUEUBYBZVRDO-UHFFFAOYSA-N -1 1 334.332 -0.052 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccc1[O-])[C@H]1[C@@H]2COC[C@@H]21 ZINC001057416066 737235744 /nfs/dbraw/zinc/23/57/44/737235744.db2.gz CUXQOJKRTLQAGF-PJXYFTJBSA-N -1 1 335.360 -0.770 20 0 EBADMM Cc1nonc1C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057417333 737236896 /nfs/dbraw/zinc/23/68/96/737236896.db2.gz RSUAJXCEYSGATD-UHFFFAOYSA-N -1 1 335.320 -0.657 20 0 EBADMM COCC(=O)NCCN(CCO)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001057624185 737366101 /nfs/dbraw/zinc/36/61/01/737366101.db2.gz ZWRAFGYNUFEBOR-UHFFFAOYSA-N -1 1 338.364 -0.743 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@@H](Nc2ncccn2)C1 ZINC001057667550 737402087 /nfs/dbraw/zinc/40/20/87/737402087.db2.gz AYRQFYFPCSCCKC-GFCCVEGCSA-N -1 1 344.375 -0.180 20 0 EBADMM O=C(N[C@@H]1CCN(c2nccn3nnnc23)C1)c1ncccc1[O-] ZINC001058343517 737785915 /nfs/dbraw/zinc/78/59/15/737785915.db2.gz BDDROEGKBNEJLY-SECBINFHSA-N -1 1 326.320 -0.371 20 0 EBADMM CCC(=O)N1CC[C@@H](CCNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001058952496 738091434 /nfs/dbraw/zinc/09/14/34/738091434.db2.gz QHZXYMLLVPKZJU-SNVBAGLBSA-N -1 1 332.364 -0.204 20 0 EBADMM NC(=O)C(=O)NCC[C@@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001059666470 738221213 /nfs/dbraw/zinc/22/12/13/738221213.db2.gz KWMUZTDXBCLNCY-SECBINFHSA-N -1 1 347.375 -0.487 20 0 EBADMM O=C(NCC[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC001059970567 738286017 /nfs/dbraw/zinc/28/60/17/738286017.db2.gz ZWACXFOTBWEBMK-JTQLQIEISA-N -1 1 344.375 -0.204 20 0 EBADMM O=C(Cn1cnnn1)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062499543 738929205 /nfs/dbraw/zinc/92/92/05/738929205.db2.gz NLALGPSCDXASAH-UHFFFAOYSA-N -1 1 331.336 -0.757 20 0 EBADMM CS(=O)(=O)CC(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839110 739002624 /nfs/dbraw/zinc/00/26/24/739002624.db2.gz IGWZOJRPDQFYFL-UHFFFAOYSA-N -1 1 341.389 -0.400 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(c2nccn3nnnc23)C1 ZINC001062923651 739023756 /nfs/dbraw/zinc/02/37/56/739023756.db2.gz WULBZFCJCSKNBZ-SNVBAGLBSA-N -1 1 340.347 -0.029 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N(C)CCCN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001066702824 740035233 /nfs/dbraw/zinc/03/52/33/740035233.db2.gz ASPKDGPHLRHASP-QWRGUYRKSA-N -1 1 346.391 -0.006 20 0 EBADMM CN(CCCN(C)C(=O)[C@H]1COC(=O)N1)C(=O)c1ncccc1[O-] ZINC001067255174 740113700 /nfs/dbraw/zinc/11/37/00/740113700.db2.gz JYGIERGQIHPSSM-SNVBAGLBSA-N -1 1 336.348 -0.184 20 0 EBADMM CN(CCCN(C)C(=O)[C@@H]1CCNC1=O)C(=O)c1ncccc1[O-] ZINC001067254974 740113743 /nfs/dbraw/zinc/11/37/43/740113743.db2.gz YLZQNLOGTNVZAQ-LLVKDONJSA-N -1 1 334.376 -0.156 20 0 EBADMM O=C(N[C@H]1C[C@H](CNc2ncccn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001068505313 740347544 /nfs/dbraw/zinc/34/75/44/740347544.db2.gz XBWBRVHAMGOREY-MGCOHNPYSA-N -1 1 340.347 -0.172 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)CC3CC3)[C@@H](n3ccnn3)C2)nc1=O ZINC001069888929 740605737 /nfs/dbraw/zinc/60/57/37/740605737.db2.gz XLGIUOKGDYFOAK-NEPJUHHUSA-N -1 1 346.395 -0.958 20 0 EBADMM CCN1C[C@@H](NC(=O)c2c[n-]n3c2nccc3=O)[C@@H](n2ccnn2)C1 ZINC001070047836 740673763 /nfs/dbraw/zinc/67/37/63/740673763.db2.gz MBQWTWLPKKHPME-NEPJUHHUSA-N -1 1 342.363 -0.711 20 0 EBADMM CCC(=O)NCC1(NC(=O)c2cc(=O)n3[n-]cnc3n2)CCOCC1 ZINC001070172547 740733086 /nfs/dbraw/zinc/73/30/86/740733086.db2.gz FJZXCNKEYYBJLE-UHFFFAOYSA-N -1 1 348.363 -0.777 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001071373670 741129034 /nfs/dbraw/zinc/12/90/34/741129034.db2.gz MWSFJHOPCGVADM-NWDGAFQWSA-N -1 1 347.423 -0.067 20 0 EBADMM Cc1nn(C)cc1C(=O)N[C@@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071386102 741130777 /nfs/dbraw/zinc/13/07/77/741130777.db2.gz KPZRDLNVBALMFH-ZYHUDNBSSA-N -1 1 347.423 -0.067 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)ncn1 ZINC001071436954 741135911 /nfs/dbraw/zinc/13/59/11/741135911.db2.gz AFBJDIMZKFSNDU-NWDGAFQWSA-N -1 1 345.407 -0.010 20 0 EBADMM C[C@@H]1CN(C(=O)C2CCC2)C[C@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001071436288 741135943 /nfs/dbraw/zinc/13/59/43/741135943.db2.gz JCEGGZBEVDOOGP-DGCLKSJQSA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@@H]1CN(C(=O)CC2CC2)C[C@@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001071448138 741138697 /nfs/dbraw/zinc/13/86/97/741138697.db2.gz DIDFRVMOLBSGNZ-YPMHNXCESA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2cn(C)nn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071466653 741142031 /nfs/dbraw/zinc/14/20/31/741142031.db2.gz FRAKPPUFTDMXBB-VHSXEESVSA-N -1 1 334.384 -0.980 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)cnn1 ZINC001071470640 741142907 /nfs/dbraw/zinc/14/29/07/741142907.db2.gz MISUBNVBWCXRCJ-DGCLKSJQSA-N -1 1 345.407 -0.010 20 0 EBADMM CCn1ncc(C(=O)N[C@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001071490121 741144927 /nfs/dbraw/zinc/14/49/27/741144927.db2.gz HHEGHYLXKCNKLF-QWRGUYRKSA-N -1 1 348.411 -0.497 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)Cc2ccn(C)n2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071504846 741148772 /nfs/dbraw/zinc/14/87/72/741148772.db2.gz MDNZKPLPWDBUBP-YPMHNXCESA-N -1 1 347.423 -0.446 20 0 EBADMM CC(C)=CC(=O)N1C[C@H](C)[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001071523621 741155327 /nfs/dbraw/zinc/15/53/27/741155327.db2.gz AWLIRORGVZQRDS-QWHCGFSZSA-N -1 1 348.403 -0.144 20 0 EBADMM CCNC(=O)CC(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001071952813 741263974 /nfs/dbraw/zinc/26/39/74/741263974.db2.gz QZTBEPJEGGBNDI-QWRGUYRKSA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)CCNC(N)=O ZINC001071953184 741264157 /nfs/dbraw/zinc/26/41/57/741264157.db2.gz WGZRBMJHECBPLV-UWVGGRQHSA-N -1 1 335.364 -0.578 20 0 EBADMM CNC(=O)C1(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C)CC1 ZINC001071953410 741264290 /nfs/dbraw/zinc/26/42/90/741264290.db2.gz YYZSBANQMMPNFB-WDEREUQCSA-N -1 1 346.387 -0.110 20 0 EBADMM CNC(=O)NCCC(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001071953392 741264302 /nfs/dbraw/zinc/26/43/02/741264302.db2.gz YRBUEHGUCDNYFG-MNOVXSKESA-N -1 1 349.391 -0.317 20 0 EBADMM Cc1nc[nH]c1C(=O)N[C@@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001072125267 741311191 /nfs/dbraw/zinc/31/11/91/741311191.db2.gz BPZNTQAVYDRQRC-GXSJLCMTSA-N -1 1 333.396 -0.077 20 0 EBADMM C/C=C(\C)C(=O)N[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1C ZINC001072394123 741388668 /nfs/dbraw/zinc/38/86/68/741388668.db2.gz WZESOCPYJSZQRE-UDLQBDOPSA-N -1 1 348.403 -0.144 20 0 EBADMM CCO[C@@H]1C[C@@H]1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072493503 741416324 /nfs/dbraw/zinc/41/63/24/741416324.db2.gz XMXGFZHWKLMZRE-NWDGAFQWSA-N -1 1 335.408 -0.432 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)C[C@H]4CCCO4)C3)C2)nc1=O ZINC001072503176 741418559 /nfs/dbraw/zinc/41/85/59/741418559.db2.gz UYYPERZRRCXUPA-GFCCVEGCSA-N -1 1 335.408 -0.288 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)[C@@H]4CCCOCC4)C3)C2)nc1=O ZINC001072678422 741459609 /nfs/dbraw/zinc/45/96/09/741459609.db2.gz IVTCHSIGDNOUFH-CYBMUJFWSA-N -1 1 349.435 -0.041 20 0 EBADMM CC1(NC(=O)CCn2cc[n-]c(=O)c2=O)CCN(C(=O)C2CC2)CC1 ZINC001072812499 741491989 /nfs/dbraw/zinc/49/19/89/741491989.db2.gz IOSJJVXVGCLYCX-UHFFFAOYSA-N -1 1 348.403 -0.166 20 0 EBADMM Cn1nccc1CN1CCC2(CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)C1 ZINC001072897974 741515476 /nfs/dbraw/zinc/51/54/76/741515476.db2.gz BPVHUCZEZDQBSC-UHFFFAOYSA-N -1 1 345.363 -0.636 20 0 EBADMM CCc1nc[nH]c1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001073156420 741573592 /nfs/dbraw/zinc/57/35/92/741573592.db2.gz UBUVZUMAGWAAFU-UHFFFAOYSA-N -1 1 345.407 -0.258 20 0 EBADMM CC(=O)N1CC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C(C)(C)C1 ZINC001073431141 741612916 /nfs/dbraw/zinc/61/29/16/741612916.db2.gz RVHUFTLVCXGEEV-LBPRGKRZSA-N -1 1 336.392 -0.310 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2CN(CCn3cncn3)CCCO2)c1[O-] ZINC001073575752 741663520 /nfs/dbraw/zinc/66/35/20/741663520.db2.gz NQQZZLUSPBTEMC-GFCCVEGCSA-N -1 1 349.395 -0.464 20 0 EBADMM O=C(CN1CCCO[C@@H](CNC(=O)c2ncccc2[O-])C1)N1CCC1 ZINC001073582289 741667593 /nfs/dbraw/zinc/66/75/93/741667593.db2.gz HWLJIDNOZHIARB-ZDUSSCGKSA-N -1 1 348.403 -0.160 20 0 EBADMM CN1CC[C@H](N2CCCO[C@H](CNC(=O)c3ncccc3[O-])C2)C1=O ZINC001073583644 741668541 /nfs/dbraw/zinc/66/85/41/741668541.db2.gz BACXPVWOMJPLBF-OLZOCXBDSA-N -1 1 348.403 -0.161 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCCN1C(=O)Cn1cccn1 ZINC001073950238 741793164 /nfs/dbraw/zinc/79/31/64/741793164.db2.gz GGRQMQOVSUCZRV-CYBMUJFWSA-N -1 1 347.423 -0.182 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1NC(=O)CCNC(N)=O ZINC001073953902 741793510 /nfs/dbraw/zinc/79/35/10/741793510.db2.gz FVGCJMPSOPKOSU-GHMZBOCLSA-N -1 1 349.391 -0.188 20 0 EBADMM Cc1cc(C(=O)N2CC[C@@H]3OCCN(Cc4n[nH]c(=O)[n-]4)[C@@H]3C2)n[nH]1 ZINC001074188205 741854990 /nfs/dbraw/zinc/85/49/90/741854990.db2.gz PBVPTFZMVYFLAM-NEPJUHHUSA-N -1 1 347.379 -0.343 20 0 EBADMM Cc1cc(C(=O)N2CC[C@H]3OCCN(Cc4n[nH]c(=O)[n-]4)[C@H]3C2)n[nH]1 ZINC001074188206 741855045 /nfs/dbraw/zinc/85/50/45/741855045.db2.gz PBVPTFZMVYFLAM-NWDGAFQWSA-N -1 1 347.379 -0.343 20 0 EBADMM COCCC(=O)N1CCC(O)(CNC(=O)c2ncccc2[O-])CC1 ZINC001074377976 741918917 /nfs/dbraw/zinc/91/89/17/741918917.db2.gz DTMDAECTHIEEEO-UHFFFAOYSA-N -1 1 337.376 -0.093 20 0 EBADMM C[C@H](C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C)S(C)(=O)=O ZINC001074712320 742082931 /nfs/dbraw/zinc/08/29/31/742082931.db2.gz YEAWDVVAZWJYKF-YIZRAAEISA-N -1 1 331.398 -0.978 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C[C@@H]1CCC(=O)N1C ZINC001074788244 742107505 /nfs/dbraw/zinc/10/75/05/742107505.db2.gz HSILTBSHEDBBMI-DCAQKATOSA-N -1 1 336.396 -0.400 20 0 EBADMM CNC(=O)NCC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C[C@@H]1C ZINC001074943462 742139757 /nfs/dbraw/zinc/13/97/57/742139757.db2.gz IUUBGZKCMLFLMY-QWRGUYRKSA-N -1 1 349.391 -0.175 20 0 EBADMM Cn1cc(CC(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)cn1 ZINC001075608908 742260134 /nfs/dbraw/zinc/26/01/34/742260134.db2.gz NIUGDRQRWGSGEL-QWHCGFSZSA-N -1 1 345.407 -0.883 20 0 EBADMM Cc1n[nH]c(C(=O)NCC[C@H](C)NC(=O)c2n[nH]c(=O)[n-]c2=O)c1C ZINC001075980954 742348272 /nfs/dbraw/zinc/34/82/72/742348272.db2.gz YQKVOIPJROQETK-LURJTMIESA-N -1 1 349.351 -0.439 20 0 EBADMM C[C@@H](CCNC(=O)[C@@H]1CN(C)CCO1)NC(=O)c1ncccc1[O-] ZINC001076027296 742363748 /nfs/dbraw/zinc/36/37/48/742363748.db2.gz KVTOXKJGOJSVHJ-AAEUAGOBSA-N -1 1 336.392 -0.258 20 0 EBADMM C[C@@H](CCNC(=O)[C@H]1CN(C)CCO1)NC(=O)c1ncccc1[O-] ZINC001076027297 742364195 /nfs/dbraw/zinc/36/41/95/742364195.db2.gz KVTOXKJGOJSVHJ-WCQYABFASA-N -1 1 336.392 -0.258 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)C[C@H](C)NC(N)=O ZINC001076245223 742419222 /nfs/dbraw/zinc/41/92/22/742419222.db2.gz STMAPTJMKJTSSY-UWVGGRQHSA-N -1 1 337.380 -0.141 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)[C@@H]4C[C@H]5CC[C@@H]4O5)[C@@H]3C2)nc1=O ZINC001076268845 742427414 /nfs/dbraw/zinc/42/74/14/742427414.db2.gz JSBQDOXIHHQSIL-MRTXSQPYSA-N -1 1 347.419 -0.291 20 0 EBADMM C[C@@H](CCNC(=O)c1ncc[nH]1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001076403928 742459375 /nfs/dbraw/zinc/45/93/75/742459375.db2.gz VGLRBTPPNRRWOQ-QMMMGPOBSA-N -1 1 344.335 -0.921 20 0 EBADMM CCn1cnc(C(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)c1 ZINC001076466508 742477414 /nfs/dbraw/zinc/47/74/14/742477414.db2.gz BPYWGJSXZCUWSH-WCQYABFASA-N -1 1 345.407 -0.329 20 0 EBADMM Cn1cc(CN2C[C@@H]3CCN(C(=O)Cn4c(=O)[n-][nH]c4=O)[C@@H]3C2)cn1 ZINC001076499225 742481585 /nfs/dbraw/zinc/48/15/85/742481585.db2.gz CSVYBXRMYCHUKT-NWDGAFQWSA-N -1 1 347.379 -0.844 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)Cc4ccc[nH]4)[C@@H]3C2)nc1=O ZINC001076594296 742507125 /nfs/dbraw/zinc/50/71/25/742507125.db2.gz KPWZDMHDAVYKTA-WCQYABFASA-N -1 1 330.392 -0.288 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)CC3CCCCC3)C2)nc1=O ZINC001076715415 742543501 /nfs/dbraw/zinc/54/35/01/742543501.db2.gz WMJWKOICWRNZHW-CHWSQXEVSA-N -1 1 337.424 -0.260 20 0 EBADMM O=C(CN1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1)N1CCCC1 ZINC001076916319 742641908 /nfs/dbraw/zinc/64/19/08/742641908.db2.gz FLGVBQIYXXUTMP-DGCLKSJQSA-N -1 1 334.376 -0.816 20 0 EBADMM C[C@@H](C(=O)NC1CC1)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001076917098 742642835 /nfs/dbraw/zinc/64/28/35/742642835.db2.gz PZDPMOKCFPTGPY-UFGOTCBOSA-N -1 1 334.376 -0.771 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)[C@H]3CCCC4(CC4)C3)C2)nc1=O ZINC001076993625 742683881 /nfs/dbraw/zinc/68/38/81/742683881.db2.gz RSZKNRVQUODPSL-YNEHKIRRSA-N -1 1 349.435 -0.260 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)[C@@]3(C)CC=CCC3)C2)nc1=O ZINC001077052069 742710686 /nfs/dbraw/zinc/71/06/86/742710686.db2.gz QXTDZWUBRBAWGS-HSMVNMDESA-N -1 1 335.408 -0.484 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)[C@@H]3CCC(F)(F)C3)C2)nc1=O ZINC001077101919 742730160 /nfs/dbraw/zinc/73/01/60/742730160.db2.gz FHPTWRFLFZLWCB-OPRDCNLKSA-N -1 1 345.350 -0.795 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)[C@H]3CCCC34CC4)C2)nc1=O ZINC001077223681 742796477 /nfs/dbraw/zinc/79/64/77/742796477.db2.gz LNGCTLBCBMZWOZ-IJLUTSLNSA-N -1 1 335.408 -0.650 20 0 EBADMM Cc1ccc(CN2C[C@@H](O)[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)cc1 ZINC001077309885 742875098 /nfs/dbraw/zinc/87/50/98/742875098.db2.gz LGPKKBIJCXLIKT-VXGBXAGGSA-N -1 1 345.359 -0.434 20 0 EBADMM CCOCC(=O)N[C@@H](C)CCNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001077487547 743011680 /nfs/dbraw/zinc/01/16/80/743011680.db2.gz YKFGFXOXRXONLK-QMMMGPOBSA-N -1 1 342.352 -0.443 20 0 EBADMM C[C@@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)c1ccn[nH]1 ZINC001077488572 743015274 /nfs/dbraw/zinc/01/52/74/743015274.db2.gz XCVGPCCDYUVXBB-QMMMGPOBSA-N -1 1 344.335 -0.921 20 0 EBADMM C[C@@H](CCNC(=O)c1ncn[nH]1)NC(=O)c1c[n-]n2c1nccc2=O ZINC001077833855 743283958 /nfs/dbraw/zinc/28/39/58/743283958.db2.gz IPZSALQOEMDIFW-QMMMGPOBSA-N -1 1 344.335 -0.921 20 0 EBADMM C[C@@H](CCNC(=O)c1nc[nH]n1)NC(=O)c1c[n-]n2c1nccc2=O ZINC001077833855 743283965 /nfs/dbraw/zinc/28/39/65/743283965.db2.gz IPZSALQOEMDIFW-QMMMGPOBSA-N -1 1 344.335 -0.921 20 0 EBADMM CC[C@H](F)C(=O)NCC[C@H](C)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001077837905 743290184 /nfs/dbraw/zinc/29/01/84/743290184.db2.gz RFQOBXLQBQELFT-IUCAKERBSA-N -1 1 338.343 -0.210 20 0 EBADMM C[C@@H](CCNC(=O)[C@H]1C[C@@H]1C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001077860096 743298229 /nfs/dbraw/zinc/29/82/29/743298229.db2.gz OCDHSVOWXWEMGP-SRVKXCTJSA-N -1 1 336.392 -0.406 20 0 EBADMM O=C(N[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1O)c1cccc2nc[nH]c21 ZINC001077882193 743321315 /nfs/dbraw/zinc/32/13/15/743321315.db2.gz DIDKNUPZYOMNMW-GHMZBOCLSA-N -1 1 343.347 -0.638 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O)C1CCCC1 ZINC001077980402 743390745 /nfs/dbraw/zinc/39/07/45/743390745.db2.gz VHFILFGWNLXBJY-CYZMBNFOSA-N -1 1 337.424 -0.404 20 0 EBADMM CO[C@H](C)CN1C[C@@H](O)[C@H](NC(=O)c2ccc3oc(=O)nc-3[n-]2)C1 ZINC001077998449 743405133 /nfs/dbraw/zinc/40/51/33/743405133.db2.gz XSZUAUNUFOCWQV-FBIMIBRVSA-N -1 1 336.348 -0.262 20 0 EBADMM Cc1ccccc1CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001078156115 743502043 /nfs/dbraw/zinc/50/20/43/743502043.db2.gz SYEQWAZFZWCNIF-ZIAGYGMSSA-N -1 1 345.403 -0.679 20 0 EBADMM O=C(c1ccncc1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078319336 743583558 /nfs/dbraw/zinc/58/35/58/743583558.db2.gz ZHMZKGRVFXMJNH-GFCCVEGCSA-N -1 1 332.364 -0.074 20 0 EBADMM Cn1cccc1C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078338395 743591117 /nfs/dbraw/zinc/59/11/17/743591117.db2.gz YCROWIDDDDWCCE-LLVKDONJSA-N -1 1 334.380 -0.130 20 0 EBADMM Cc1nc(C(=O)N2CCCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)c[nH]1 ZINC001078358722 743606050 /nfs/dbraw/zinc/60/60/50/743606050.db2.gz VGWGPDGUJDSBJL-SNVBAGLBSA-N -1 1 335.368 -0.437 20 0 EBADMM O=C(C[C@@H]1CCOC1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078363630 743609850 /nfs/dbraw/zinc/60/98/50/743609850.db2.gz MWNPMGWTDZPYGC-RYUDHWBXSA-N -1 1 339.396 -0.356 20 0 EBADMM O=C(c1cccc(=O)[nH]1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078369122 743611733 /nfs/dbraw/zinc/61/17/33/743611733.db2.gz HAVOBHMJTOHOIF-JTQLQIEISA-N -1 1 348.363 -0.368 20 0 EBADMM O=C(Cc1cncnc1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078389211 743621601 /nfs/dbraw/zinc/62/16/01/743621601.db2.gz HMIJBXGDKDJQKL-GFCCVEGCSA-N -1 1 347.379 -0.750 20 0 EBADMM O=C(c1csnn1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078436683 743646674 /nfs/dbraw/zinc/64/66/74/743646674.db2.gz ZFWKBZSANGHRMZ-MRVPVSSYSA-N -1 1 339.381 -0.617 20 0 EBADMM Cc1conc1C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078496207 743677984 /nfs/dbraw/zinc/67/79/84/743677984.db2.gz ANNWQHUDBHAXFA-SNVBAGLBSA-N -1 1 336.352 -0.172 20 0 EBADMM Cc1nonc1C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078514438 743686901 /nfs/dbraw/zinc/68/69/01/743686901.db2.gz PGWXLTJEZVOOMP-SECBINFHSA-N -1 1 337.340 -0.777 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2C[C@@H](NCc3nnnn3C)C23CCC3)c1[O-] ZINC001078601468 743704751 /nfs/dbraw/zinc/70/47/51/743704751.db2.gz IVXPVWLGZPNINK-ZJUUUORDSA-N -1 1 346.395 -0.222 20 0 EBADMM Cn1ncc(C(=O)N[C@@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)c1N ZINC001078603244 743705436 /nfs/dbraw/zinc/70/54/36/743705436.db2.gz ATLCZCJVHXOZME-NXEZZACHSA-N -1 1 346.395 -0.343 20 0 EBADMM O=C(N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2)[C@H]1[C@@H]2COC[C@@H]21 ZINC001078700322 743721497 /nfs/dbraw/zinc/72/14/97/743721497.db2.gz KDTJFDZHQBEASB-OQEOFVATSA-N -1 1 333.392 -0.080 20 0 EBADMM C[C@@H](CCNC(=O)[C@H]1CC12CC2)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001078723429 743724625 /nfs/dbraw/zinc/72/46/25/743724625.db2.gz ASYGJRDRQYJCFR-VHSXEESVSA-N -1 1 344.375 -0.158 20 0 EBADMM CCn1cc(C(=O)N[C@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)nn1 ZINC001078764454 743731922 /nfs/dbraw/zinc/73/19/22/743731922.db2.gz SVGDMSNSFAMLHK-MNOVXSKESA-N -1 1 346.395 -0.048 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N[C@@H]1C[C@H](NCc2ccon2)C12CCC2 ZINC001078793064 743735442 /nfs/dbraw/zinc/73/54/42/743735442.db2.gz UBBVIRORKNCVAU-WDEREUQCSA-N -1 1 348.363 -0.106 20 0 EBADMM O=C(Cn1cnnn1)NC1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000980030582 805699603 /nfs/dbraw/zinc/69/96/03/805699603.db2.gz KNPFUCPWOAOIHQ-UHFFFAOYSA-N -1 1 345.363 -0.369 20 0 EBADMM CN1CC[C@H](C(=O)NC[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)C1=O ZINC000980223862 805807573 /nfs/dbraw/zinc/80/75/73/805807573.db2.gz QDVIDAYNYCECIG-IJLUTSLNSA-N -1 1 346.387 -0.110 20 0 EBADMM Cc1noc(CNC[C@@H]2C[C@@H](C)N(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC000980640829 805972373 /nfs/dbraw/zinc/97/23/73/805972373.db2.gz ABTUAKJXXMOIHQ-APPZFPTMSA-N -1 1 349.351 -0.385 20 0 EBADMM Cc1nnc(CNC[C@H]2C[C@H](C)N(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC000980643518 805973780 /nfs/dbraw/zinc/97/37/80/805973780.db2.gz ZRJUKQYTJFKYGY-IONNQARKSA-N -1 1 349.351 -0.385 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)[C@@H]3CCCOCC3)CC2)nc1=O ZINC000981065205 806074047 /nfs/dbraw/zinc/07/40/47/806074047.db2.gz FQFWSPNERFGKCI-CYBMUJFWSA-N -1 1 337.424 -0.041 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)CCc3ncc[nH]3)CC2)nc1=O ZINC000981079349 806077580 /nfs/dbraw/zinc/07/75/80/806077580.db2.gz BQUMLYVFVAUQQR-UHFFFAOYSA-N -1 1 333.396 -0.501 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)CCc3ccon3)CC2)nc1=O ZINC000981615730 806216971 /nfs/dbraw/zinc/21/69/71/806216971.db2.gz ZPQWEZRAIURVAE-UHFFFAOYSA-N -1 1 334.380 -0.237 20 0 EBADMM CC[C@@H]1OCC[C@H]1C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000981657761 806227467 /nfs/dbraw/zinc/22/74/67/806227467.db2.gz GNCIOKXQTQSBHT-OLZOCXBDSA-N -1 1 337.424 -0.042 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)[C@H]4CCOC4)C3)C2)nc1=O ZINC000981656007 806227539 /nfs/dbraw/zinc/22/75/39/806227539.db2.gz OAAOHFAGIRTIJD-LBPRGKRZSA-N -1 1 335.408 -0.431 20 0 EBADMM C[C@H](C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1)[C@H]1CCCO1 ZINC000981912178 806321303 /nfs/dbraw/zinc/32/13/03/806321303.db2.gz NYNINWLNNXQHMA-QWHCGFSZSA-N -1 1 337.424 -0.042 20 0 EBADMM COCC(=O)N1CC[C@@H](CNC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC000982348608 806466571 /nfs/dbraw/zinc/46/65/71/806466571.db2.gz FZIJCWFUOCXSEK-QMMMGPOBSA-N -1 1 340.336 -0.879 20 0 EBADMM Cn1[n-]c(CN2C[C@]3(C)CN(C(=O)c4cnon4)C[C@]3(C)C2)nc1=O ZINC000982660544 806617048 /nfs/dbraw/zinc/61/70/48/806617048.db2.gz KUCOKDUMQJPBED-GASCZTMLSA-N -1 1 347.379 -0.524 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1COCCO1 ZINC000982671183 806627019 /nfs/dbraw/zinc/62/70/19/806627019.db2.gz OIQMRHIQQMDIBB-YPMHNXCESA-N -1 1 335.360 -0.219 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)c1c[nH]c(=O)cn1 ZINC000982671822 806627136 /nfs/dbraw/zinc/62/71/36/806627136.db2.gz DTULMVROXBHBQJ-JTQLQIEISA-N -1 1 343.343 -0.237 20 0 EBADMM Cn1nncc1C(=O)NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000982671931 806628576 /nfs/dbraw/zinc/62/85/76/806628576.db2.gz SQMLGAIMFHKADG-SNVBAGLBSA-N -1 1 330.348 -0.192 20 0 EBADMM CN1CCOC[C@@H]1C(=O)NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000982672953 806628784 /nfs/dbraw/zinc/62/87/84/806628784.db2.gz ZULONJSLMZAWFR-CHWSQXEVSA-N -1 1 348.403 -0.304 20 0 EBADMM O=C(Cn1nccn1)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982801944 806714745 /nfs/dbraw/zinc/71/47/45/806714745.db2.gz HAIZVFPKXSQAOG-LLVKDONJSA-N -1 1 330.348 -0.343 20 0 EBADMM C[C@@H]1C[C@H](C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)CCO1 ZINC000982965559 806807607 /nfs/dbraw/zinc/80/76/07/806807607.db2.gz AFVMAJHTQHMSGR-CHWSQXEVSA-N -1 1 337.424 -0.042 20 0 EBADMM C[C@]1(C(=O)N2CC[C@H](CNC(=O)c3ncccc3[O-])C2)CNC(=O)C1 ZINC000982998039 806828835 /nfs/dbraw/zinc/82/88/35/806828835.db2.gz HIRYLXYLQVHHCL-PIGZYNQJSA-N -1 1 346.387 -0.108 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)NC[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000983013731 806837454 /nfs/dbraw/zinc/83/74/54/806837454.db2.gz PRPNQFLNAVQAPJ-OUAUKWLOSA-N -1 1 344.375 -0.348 20 0 EBADMM CCn1cc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)nn1 ZINC000983048965 806851009 /nfs/dbraw/zinc/85/10/09/806851009.db2.gz GXPXOVAHBXZJRF-UHFFFAOYSA-N -1 1 334.384 -0.932 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000983084703 806873006 /nfs/dbraw/zinc/87/30/06/806873006.db2.gz VTJDAACAQUZSPI-OUAUKWLOSA-N -1 1 344.375 -0.348 20 0 EBADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)CS(C)(=O)=O)C1CCOCC1 ZINC001260595949 812840992 /nfs/dbraw/zinc/84/09/92/812840992.db2.gz RCEBGMOGAKNQQB-JTQLQIEISA-N -1 1 343.423 -0.734 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1cncnc1 ZINC000965935722 803817878 /nfs/dbraw/zinc/81/78/78/803817878.db2.gz IPQJGOYDIYWGFF-JQWIXIFHSA-N -1 1 331.380 -0.461 20 0 EBADMM CN1CC[C@H](C(=O)N[C@@H]2[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)C1=O ZINC000977000698 804047946 /nfs/dbraw/zinc/04/79/46/804047946.db2.gz JESICINTABGINZ-DCQANWLSSA-N -1 1 344.371 -0.548 20 0 EBADMM C[C@@]1(C(=O)N[C@@H]2[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)CCC(=O)N1 ZINC000977140480 804173248 /nfs/dbraw/zinc/17/32/48/804173248.db2.gz WSQBDJLILXFSDP-LOVKBYSESA-N -1 1 344.371 -0.358 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)C1(C(N)=O)CC1)C(=O)c1ncccc1[O-] ZINC000977600345 804407640 /nfs/dbraw/zinc/40/76/40/804407640.db2.gz MAVOPSBKZUREEB-JTQLQIEISA-N -1 1 332.360 -0.274 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1cnnn1C)C(=O)c1ncccc1[O-] ZINC000977605783 804410501 /nfs/dbraw/zinc/41/05/01/804410501.db2.gz CEOLQPUNBDEDSU-JTQLQIEISA-N -1 1 330.348 -0.098 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2[C@H]3CN(CC(=O)N4CCC4)C[C@H]32)c1[O-] ZINC000978088276 804654574 /nfs/dbraw/zinc/65/45/74/804654574.db2.gz ZMEIJEMTBFJMEK-CNDDSTCGSA-N -1 1 333.392 -0.436 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)c1c[nH]c(=O)cn1 ZINC000978284125 804767490 /nfs/dbraw/zinc/76/74/90/804767490.db2.gz YOWUWLNCPSPMHO-JTQLQIEISA-N -1 1 343.343 -0.143 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1C[C@H]1C(N)=O ZINC000978402908 804821444 /nfs/dbraw/zinc/82/14/44/804821444.db2.gz BRCKARSWJUGPSO-AXFHLTTASA-N -1 1 332.360 -0.419 20 0 EBADMM O=C(N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1)c1c[nH]c(=O)cn1 ZINC000978745099 804956043 /nfs/dbraw/zinc/95/60/43/804956043.db2.gz UMPYGBDNXDHECJ-AOOOYVTPSA-N -1 1 343.343 -0.191 20 0 EBADMM Cn1cnc(C(=O)NC[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC000978784669 804978377 /nfs/dbraw/zinc/97/83/77/804978377.db2.gz YMHSHJRFKVCRRB-AOOOYVTPSA-N -1 1 330.348 -0.146 20 0 EBADMM O=C(N[C@H]1C[C@@H](CNC(=O)[C@H]2CCCNC2=O)C1)c1ncccc1[O-] ZINC000978920402 805042855 /nfs/dbraw/zinc/04/28/55/805042855.db2.gz PZTFKXUEDWXERI-TUAOUCFPSA-N -1 1 346.387 -0.062 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2ccncc2)CCO1 ZINC000979192525 805199240 /nfs/dbraw/zinc/19/92/40/805199240.db2.gz ZEDODOVIBRKHTE-PWSUYJOCSA-N -1 1 332.364 -0.075 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)Cc2cnn(C)c2)CCO1 ZINC000979223761 805217905 /nfs/dbraw/zinc/21/79/05/805217905.db2.gz XWRPXEFDQJNVSZ-ZYHUDNBSSA-N -1 1 349.395 -0.808 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)Cc2cc[nH]n2)CCO1 ZINC000979237066 805228421 /nfs/dbraw/zinc/22/84/21/805228421.db2.gz MVFAJTGOUSLODE-KOLCDFICSA-N -1 1 335.368 -0.818 20 0 EBADMM CCc1cc(C(=O)N2CCO[C@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC000979245879 805237846 /nfs/dbraw/zinc/23/78/46/805237846.db2.gz CLXRYQXPDSLMKI-CABZTGNLSA-N -1 1 349.395 -0.185 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2cccn2C)CCO1 ZINC000979259139 805244915 /nfs/dbraw/zinc/24/49/15/805244915.db2.gz RXTXIDXQJIVYDP-JQWIXIFHSA-N -1 1 334.380 -0.132 20 0 EBADMM Cc1ncn(C)c1C(=O)N1CCO[C@@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979346834 805309772 /nfs/dbraw/zinc/30/97/72/805309772.db2.gz JOIYVFCUWOKFOW-GXSJLCMTSA-N -1 1 349.395 -0.428 20 0 EBADMM Cc1ncn(C)c1C(=O)N1CCO[C@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979346842 805310012 /nfs/dbraw/zinc/31/00/12/805310012.db2.gz JOIYVFCUWOKFOW-ONGXEEELSA-N -1 1 349.395 -0.428 20 0 EBADMM Cc1nc(C)c(C(=O)N2CCO[C@@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC000979390987 805345535 /nfs/dbraw/zinc/34/55/35/805345535.db2.gz NFEPSSNBVJGOPJ-GZMMTYOYSA-N -1 1 349.395 -0.130 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2n[nH]cc2F)CCO1 ZINC000979398351 805352003 /nfs/dbraw/zinc/35/20/03/805352003.db2.gz PUDRDTQRKQYCCV-CBAPKCEASA-N -1 1 339.331 -0.608 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2n[nH]cc2F)CCO1 ZINC000979398338 805352916 /nfs/dbraw/zinc/35/29/16/805352916.db2.gz PUDRDTQRKQYCCV-APPZFPTMSA-N -1 1 339.331 -0.608 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)C2(CF)CC2)CCO1 ZINC000979410723 805358190 /nfs/dbraw/zinc/35/81/90/805358190.db2.gz PGNAIZUIANAYLT-ZJUUUORDSA-N -1 1 327.360 -0.035 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)C2(CF)CC2)CCO1 ZINC000979410719 805358586 /nfs/dbraw/zinc/35/85/86/805358586.db2.gz PGNAIZUIANAYLT-NXEZZACHSA-N -1 1 327.360 -0.035 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2nccs2)CCO1 ZINC000979439677 805380459 /nfs/dbraw/zinc/38/04/59/805380459.db2.gz XYETXEHMJRJFMQ-DTWKUNHWSA-N -1 1 338.393 -0.014 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2ccn(C)n2)CCO1 ZINC000979442850 805383107 /nfs/dbraw/zinc/38/31/07/805383107.db2.gz GWBAMGVQQVOUKK-GXSJLCMTSA-N -1 1 335.368 -0.737 20 0 EBADMM O=C(NC[C@@H]1C[C@@H](NC(=O)[C@@H]2CCC(=O)N2)C1)c1ncccc1[O-] ZINC000979662992 805475277 /nfs/dbraw/zinc/47/52/77/805475277.db2.gz BLLWQMZGRAESJG-DCAQKATOSA-N -1 1 332.360 -0.310 20 0 EBADMM NC(=O)[C@@H]1CC[C@@H]1C(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000979663601 805475306 /nfs/dbraw/zinc/47/53/06/805475306.db2.gz DGTGUCNZXAVYCY-KKOKHZNYSA-N -1 1 346.387 -0.077 20 0 EBADMM O=C(NC[C@@H]1C[C@@H](NC(=O)[C@H]2COC(=O)N2)C1)c1ncccc1[O-] ZINC000979663883 805475513 /nfs/dbraw/zinc/47/55/13/805475513.db2.gz ILPYUOCDGSKSQN-OPRDCNLKSA-N -1 1 334.332 -0.480 20 0 EBADMM CCC(=O)N[C@H]1CCC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000983376048 807010029 /nfs/dbraw/zinc/01/00/29/807010029.db2.gz MTAAZZIGZPOMRC-UWVGGRQHSA-N -1 1 332.364 -0.015 20 0 EBADMM O=C(Cn1ncnn1)N[C@H]1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000983586443 807045739 /nfs/dbraw/zinc/04/57/39/807045739.db2.gz VGEVKYBBECWVLI-QWRGUYRKSA-N -1 1 345.363 -0.369 20 0 EBADMM CN(C(=O)Cn1ncnn1)[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984114215 807168522 /nfs/dbraw/zinc/16/85/22/807168522.db2.gz MZVVVIZGQLLGBB-NSHDSACASA-N -1 1 345.363 -0.463 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCCN(C(=O)[C@@H]2CCNC2=O)C1 ZINC000984460024 807298683 /nfs/dbraw/zinc/29/86/83/807298683.db2.gz SPAUQOMOMRTICE-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM COC(=O)[C@H]1C[C@@H]1C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC000985327990 807486247 /nfs/dbraw/zinc/48/62/47/807486247.db2.gz PIVKSLUCHBGTGY-QWRGUYRKSA-N -1 1 337.380 -0.258 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)CO[C@@H]2CCOC2)CC1 ZINC000985429446 807509465 /nfs/dbraw/zinc/50/94/65/807509465.db2.gz QBCNPBNGGHXCPI-GFCCVEGCSA-N -1 1 339.396 -0.261 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)c2ccc(C(N)=O)o2)CC1 ZINC000985527201 807529691 /nfs/dbraw/zinc/52/96/91/807529691.db2.gz DYCLCKRYKMSGBA-UHFFFAOYSA-N -1 1 348.363 -0.061 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnc(N(C)C)cn1 ZINC000986270474 807661988 /nfs/dbraw/zinc/66/19/88/807661988.db2.gz LOQKSVBTGLSWBR-VHSXEESVSA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCn1ccccc1=O ZINC000986333334 807677201 /nfs/dbraw/zinc/67/72/01/807677201.db2.gz MMYPFPQQNARQSK-NEPJUHHUSA-N -1 1 346.391 -0.159 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccn(C)c(=O)c1 ZINC000986442407 807702295 /nfs/dbraw/zinc/70/22/95/807702295.db2.gz WJXWYFDCPFLGAM-MWLCHTKSSA-N -1 1 332.364 -0.398 20 0 EBADMM COCc1nn(C)cc1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000986450726 807707237 /nfs/dbraw/zinc/70/72/37/807707237.db2.gz VWKWXIGAWKKDDA-KOLCDFICSA-N -1 1 349.395 -0.217 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cc(CN(C)C)on1 ZINC000986467250 807709940 /nfs/dbraw/zinc/70/99/40/807709940.db2.gz NRSSBQWTAKATNX-ONGXEEELSA-N -1 1 349.395 -0.047 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccnc2n[nH]nc21 ZINC000986533407 807729523 /nfs/dbraw/zinc/72/95/23/807729523.db2.gz MKZNTYQLLBDHHU-CBAPKCEASA-N -1 1 343.351 -0.431 20 0 EBADMM CCn1cc(CC(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)nn1 ZINC000986605051 807751949 /nfs/dbraw/zinc/75/19/49/807751949.db2.gz WFWWRFGMGOCEGA-ONGXEEELSA-N -1 1 334.384 -0.557 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccoc1CC(N)=O ZINC000986753774 807773269 /nfs/dbraw/zinc/77/32/69/807773269.db2.gz HQFSKLTWYPJIBX-PSASIEDQSA-N -1 1 348.363 -0.476 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)CC2CC2)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000987204485 807868931 /nfs/dbraw/zinc/86/89/31/807868931.db2.gz YPLDXDMONOAFHY-YPMHNXCESA-N -1 1 348.403 -0.168 20 0 EBADMM CCCn1cc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)nn1 ZINC000987275939 807881548 /nfs/dbraw/zinc/88/15/48/807881548.db2.gz UALYEQKVRMLBTF-NXEZZACHSA-N -1 1 334.384 -0.095 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCn1cnccc1=O ZINC000987282062 807883775 /nfs/dbraw/zinc/88/37/75/807883775.db2.gz VECHWVBCMGWMIS-QWRGUYRKSA-N -1 1 347.379 -0.764 20 0 EBADMM COc1ncc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)cn1 ZINC000987295863 807884495 /nfs/dbraw/zinc/88/44/95/807884495.db2.gz LULRRKPTACYXTE-WPRPVWTQSA-N -1 1 333.352 -0.298 20 0 EBADMM C[C@H]1[C@H](NCc2cnon2)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000987355934 807899000 /nfs/dbraw/zinc/89/90/00/807899000.db2.gz UCHOMFLUHNGBOV-WCBMZHEXSA-N -1 1 344.335 -0.807 20 0 EBADMM CC(C)C(=O)N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H]1C ZINC000987363313 807899924 /nfs/dbraw/zinc/89/99/24/807899924.db2.gz KWLKDGIHWQQEKR-ZJUUUORDSA-N -1 1 332.364 -0.207 20 0 EBADMM COCCn1cc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)cn1 ZINC000987433372 807918832 /nfs/dbraw/zinc/91/88/32/807918832.db2.gz LZFHYFLHIADABY-PWSUYJOCSA-N -1 1 349.395 -0.254 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)Cn1ccccc1=O ZINC000987495911 807942356 /nfs/dbraw/zinc/94/23/56/807942356.db2.gz YDGINJBPRYEEEF-GHMZBOCLSA-N -1 1 332.364 -0.549 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1noc2c1COCC2 ZINC000987549674 807954918 /nfs/dbraw/zinc/95/49/18/807954918.db2.gz FDUYWHYXHTZTBY-PSASIEDQSA-N -1 1 348.363 -0.036 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCc1cn(C)nn1 ZINC000987610657 807971373 /nfs/dbraw/zinc/97/13/73/807971373.db2.gz AIFDFLIOESBAAA-GXSJLCMTSA-N -1 1 334.384 -0.649 20 0 EBADMM CN(C[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C(=O)[C@H]1CCC(=O)N1 ZINC000987924238 808057309 /nfs/dbraw/zinc/05/73/09/808057309.db2.gz AJCYJDNZMTZVSH-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C(=O)[C@H]1COC(=O)N1 ZINC000987929561 808060374 /nfs/dbraw/zinc/06/03/74/808060374.db2.gz LHWFXGHUTAYYDL-GHMZBOCLSA-N -1 1 348.359 -0.184 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)[C@@H]2CCC(=O)N2)C1)C(=O)c1ncccc1[O-] ZINC000988173028 808135329 /nfs/dbraw/zinc/13/53/29/808135329.db2.gz WUWDRHMYLMZLDF-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cccn2nnnc12 ZINC000988893062 808320513 /nfs/dbraw/zinc/32/05/13/808320513.db2.gz UVGKSYOGQJOHER-DTWKUNHWSA-N -1 1 343.351 -0.659 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnc2n1CCOC2 ZINC000988982513 808343130 /nfs/dbraw/zinc/34/31/30/808343130.db2.gz WJVVGQXPKIINQG-VHSXEESVSA-N -1 1 347.379 -0.370 20 0 EBADMM Cc1nc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)c(=O)[nH]c1C ZINC000989031786 808352473 /nfs/dbraw/zinc/35/24/73/808352473.db2.gz AUWRODZIWNKDJL-XCBNKYQSSA-N -1 1 347.379 -0.397 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN1C(=O)C1CCC1 ZINC000989041607 808354871 /nfs/dbraw/zinc/35/48/71/808354871.db2.gz XFHVRWHNIFNUFC-KOLCDFICSA-N -1 1 344.375 -0.063 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CC1CS(=O)(=O)C1 ZINC000989058327 808360181 /nfs/dbraw/zinc/36/01/81/808360181.db2.gz UQEDCLFFSOGNHF-PSASIEDQSA-N -1 1 343.409 -0.976 20 0 EBADMM Cc1ncc(CN[C@H]2C[C@@H](C)N(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC000989112726 808377570 /nfs/dbraw/zinc/37/75/70/808377570.db2.gz QEZVZCAXWHHTNF-APPZFPTMSA-N -1 1 334.336 -0.028 20 0 EBADMM Cc1cc(CN[C@@H]2C[C@@H](C)N(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)on1 ZINC000989117369 808379799 /nfs/dbraw/zinc/37/97/99/808379799.db2.gz BSDYIPFAMMNYLZ-RKDXNWHRSA-N -1 1 334.336 -0.028 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc(C(N)=O)o1 ZINC000989156329 808388726 /nfs/dbraw/zinc/38/87/26/808388726.db2.gz SLUUSTYDUGDYGI-JGVFFNPUSA-N -1 1 334.336 -0.405 20 0 EBADMM COc1cc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)nn1C ZINC000989160311 808390696 /nfs/dbraw/zinc/39/06/96/808390696.db2.gz LHCQSUYGENAMCU-IUCAKERBSA-N -1 1 335.368 -0.355 20 0 EBADMM COc1coc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)cc1=O ZINC000989189818 808399263 /nfs/dbraw/zinc/39/92/63/808399263.db2.gz ULRFSRFPFRFOGI-RKDXNWHRSA-N -1 1 349.347 -0.135 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cnc([O-])n(C)c2=O)CCN1C(=O)c1ccn[nH]1 ZINC000989224818 808407853 /nfs/dbraw/zinc/40/78/53/808407853.db2.gz MDBRCCWZZNROBN-SCZZXKLOSA-N -1 1 346.347 -0.758 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCc1cnn(C)n1 ZINC000989454503 808479273 /nfs/dbraw/zinc/47/92/73/808479273.db2.gz UGZFJRKLTNMZPN-MWLCHTKSSA-N -1 1 334.384 -0.649 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)c3cccn(C)c3=O)CC2)nc1=O ZINC000989508380 808492628 /nfs/dbraw/zinc/49/26/28/808492628.db2.gz DZKYASMMEBHIGR-UHFFFAOYSA-N -1 1 346.391 -0.845 20 0 EBADMM COc1cncc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)n1 ZINC000989522701 808496393 /nfs/dbraw/zinc/49/63/93/808496393.db2.gz PJHXEWXLUDUBIA-DTWKUNHWSA-N -1 1 333.352 -0.298 20 0 EBADMM CCn1nc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)ccc1=O ZINC000989569345 808513100 /nfs/dbraw/zinc/51/31/00/808513100.db2.gz SJTHALQZCRDLPJ-ZJUUUORDSA-N -1 1 347.379 -0.520 20 0 EBADMM Cc1cc(=O)c(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)c[nH]1 ZINC000989639022 808543335 /nfs/dbraw/zinc/54/33/35/808543335.db2.gz ROTSGVCDCSUDNR-VHSXEESVSA-N -1 1 332.364 -0.100 20 0 EBADMM C[C@@H]1C[C@H](NCc2cnon2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000989671602 808551845 /nfs/dbraw/zinc/55/18/45/808551845.db2.gz CPDBFBXYIRUUCW-BDAKNGLRSA-N -1 1 344.335 -0.807 20 0 EBADMM C[C@@H]1C[C@H](NCc2ccon2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000989671559 808552572 /nfs/dbraw/zinc/55/25/72/808552572.db2.gz BZSFSFZOCOQDQB-KOLCDFICSA-N -1 1 343.347 -0.202 20 0 EBADMM C[C@H](C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1)c1cnn(C)c1 ZINC000989820625 808605005 /nfs/dbraw/zinc/60/50/05/808605005.db2.gz CBGYPURUFRBBAW-LBPRGKRZSA-N -1 1 347.423 -0.320 20 0 EBADMM CCn1nc(C)c(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)n1 ZINC000989860624 808614400 /nfs/dbraw/zinc/61/44/00/808614400.db2.gz LHBYWPIGTBEYGL-PSASIEDQSA-N -1 1 334.384 -0.177 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)c4cnccn4)CCC[C@H]23)nc1=O ZINC000989920121 808619132 /nfs/dbraw/zinc/61/91/32/808619132.db2.gz ZFMNIUSHAIBUDD-LRDDRELGSA-N -1 1 343.391 -0.175 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(NC(=O)c2cccs2)C1 ZINC000990114803 808657669 /nfs/dbraw/zinc/65/76/69/808657669.db2.gz OHIPHHXCPFQWQY-UHFFFAOYSA-N -1 1 348.384 -0.371 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1cncs1 ZINC000990369011 808764404 /nfs/dbraw/zinc/76/44/04/808764404.db2.gz MZVRJTMLSJOOOL-UHFFFAOYSA-N -1 1 345.344 -0.872 20 0 EBADMM Cn1cnc(C(=O)N[C@]23CCC[C@H]2N(Cc2nc(=O)n(C)[n-]2)CC3)n1 ZINC000990543834 808833915 /nfs/dbraw/zinc/83/39/15/808833915.db2.gz LQTUZQITLFBPSQ-BMIGLBTASA-N -1 1 346.395 -0.836 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)C2=CCCC2)C1 ZINC000990808899 808927297 /nfs/dbraw/zinc/92/72/97/808927297.db2.gz HEMSWIANYGLCAL-UHFFFAOYSA-N -1 1 334.332 -0.053 20 0 EBADMM CN1CCCC[C@@H](C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C1=O ZINC000990970778 809018385 /nfs/dbraw/zinc/01/83/85/809018385.db2.gz ZFQWVXKNEDTJIT-GFCCVEGCSA-N -1 1 346.387 -0.014 20 0 EBADMM CC(=O)N1CC[C@@H](C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC000990969217 809018858 /nfs/dbraw/zinc/01/88/58/809018858.db2.gz BPTDIZQMCJADIS-LLVKDONJSA-N -1 1 332.360 -0.404 20 0 EBADMM CN(C)c1ccc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)nn1 ZINC000990972773 809019666 /nfs/dbraw/zinc/01/96/66/809019666.db2.gz RYAXSMJLCIVNAK-UHFFFAOYSA-N -1 1 342.359 -0.102 20 0 EBADMM CN1CC[C@H](CC(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C1=O ZINC000990976058 809023279 /nfs/dbraw/zinc/02/32/79/809023279.db2.gz DJQJQJCMOFOCOB-SNVBAGLBSA-N -1 1 332.360 -0.404 20 0 EBADMM CC(=O)N1CCC[C@H](C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC000990976566 809023331 /nfs/dbraw/zinc/02/33/31/809023331.db2.gz GRJCAWNGZISLAJ-LBPRGKRZSA-N -1 1 346.387 -0.014 20 0 EBADMM CCN1CCOC[C@@H]1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990980029 809027570 /nfs/dbraw/zinc/02/75/70/809027570.db2.gz XTBCRWHXWFMQCE-GFCCVEGCSA-N -1 1 334.376 -0.552 20 0 EBADMM CSCC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991402268 809415917 /nfs/dbraw/zinc/41/59/17/809415917.db2.gz ADAUOWFRILUIOE-MWLCHTKSSA-N -1 1 325.390 -0.158 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)C2CCOCC2)C[C@@H]1O)c1ncccc1[O-] ZINC000991406684 809424205 /nfs/dbraw/zinc/42/42/05/809424205.db2.gz DANYJXGHMODJRY-JSGCOSHPSA-N -1 1 349.387 -0.095 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ccncn2)C[C@H]1O)c1ncccc1[O-] ZINC000991406675 809424210 /nfs/dbraw/zinc/42/42/10/809424210.db2.gz CXWQLYJGQJHVKZ-ZWNOBZJWSA-N -1 1 343.343 -0.417 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)C[C@@H]1O)c1ncccc1[O-] ZINC000991426455 809452791 /nfs/dbraw/zinc/45/27/91/809452791.db2.gz NBSNTMPYJGQLSD-GGFUIZRSSA-N -1 1 347.371 -0.629 20 0 EBADMM O=C(Cc1cc[nH]n1)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991430659 809460519 /nfs/dbraw/zinc/46/05/19/809460519.db2.gz TVFDTRGMGWFEHA-YPMHNXCESA-N -1 1 345.359 -0.555 20 0 EBADMM O=C(Cc1ccn[nH]1)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991430659 809460530 /nfs/dbraw/zinc/46/05/30/809460530.db2.gz TVFDTRGMGWFEHA-YPMHNXCESA-N -1 1 345.359 -0.555 20 0 EBADMM COc1cncc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)n1 ZINC000991459447 809506692 /nfs/dbraw/zinc/50/66/92/809506692.db2.gz NVTXAYJGAWRISD-UHFFFAOYSA-N -1 1 329.316 -0.160 20 0 EBADMM CCOc1cc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)[nH]n1 ZINC001003128693 809792663 /nfs/dbraw/zinc/79/26/63/809792663.db2.gz QTJPJJYTWYYEQQ-UHFFFAOYSA-N -1 1 349.395 -0.375 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)[C@H]3C[C@]34CCOC4)CC2)nc1=O ZINC001003925613 809811785 /nfs/dbraw/zinc/81/17/85/809811785.db2.gz FCOHRVBNTGYCRC-WBMJQRKESA-N -1 1 335.408 -0.384 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)CS(C)(=O)=O ZINC001004743015 809824958 /nfs/dbraw/zinc/82/49/58/809824958.db2.gz FXQRQNBMNBWBAI-NXEZZACHSA-N -1 1 345.425 -0.586 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)c1c[nH]c(=O)cn1 ZINC001004919682 809834395 /nfs/dbraw/zinc/83/43/95/809834395.db2.gz PTGRDGJBLRKMAD-KOLCDFICSA-N -1 1 347.379 -0.233 20 0 EBADMM CN(C(=O)c1cnsn1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005152337 809841571 /nfs/dbraw/zinc/84/15/71/809841571.db2.gz ZYKJNQTVJSHEPD-UHFFFAOYSA-N -1 1 337.409 -0.304 20 0 EBADMM CC(=O)NC[C@@H]1CCCCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001005315633 809852590 /nfs/dbraw/zinc/85/25/90/809852590.db2.gz IIBVEYBANIAWOX-ZDUSSCGKSA-N -1 1 336.392 -0.166 20 0 EBADMM Cc1ccnn1CC(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001006073901 809879208 /nfs/dbraw/zinc/87/92/08/809879208.db2.gz UUGYWCTWCDYIKF-UHFFFAOYSA-N -1 1 347.423 -0.264 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)Cc3ccc(F)cn3)C2)nc1=O ZINC001007683256 809981093 /nfs/dbraw/zinc/98/10/93/809981093.db2.gz ZRQGMUJMPBTWSW-CYBMUJFWSA-N -1 1 348.382 -0.034 20 0 EBADMM CCC(=O)N[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1(C)C ZINC001007740695 810010626 /nfs/dbraw/zinc/01/06/26/810010626.db2.gz QKMIAFSVLSFONX-LLVKDONJSA-N -1 1 336.392 -0.310 20 0 EBADMM CCn1cc(CC(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001008039916 810064888 /nfs/dbraw/zinc/06/48/88/810064888.db2.gz VBPQXIZOLKXCMV-NSHDSACASA-N -1 1 348.411 -0.957 20 0 EBADMM CC1(C)CN(C(=O)[C@H]2CCNC2=O)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001008064344 810070737 /nfs/dbraw/zinc/07/07/37/810070737.db2.gz OENAOECVNJJMPY-JQWIXIFHSA-N -1 1 346.387 -0.110 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)Cc3ncccc3F)C2)nc1=O ZINC001008315160 810132834 /nfs/dbraw/zinc/13/28/34/810132834.db2.gz MMNYQDVZBASASI-LLVKDONJSA-N -1 1 348.382 -0.034 20 0 EBADMM CC1(C)CN(C(=O)c2ccn[nH]2)C[C@@H]1NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001008393847 810150859 /nfs/dbraw/zinc/15/08/59/810150859.db2.gz IRIWSEULHIFCBJ-VIFPVBQESA-N -1 1 349.351 -0.921 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)c(=O)[nH]1 ZINC001008665111 810211958 /nfs/dbraw/zinc/21/19/58/810211958.db2.gz KZTVYFGINVJTAY-LLVKDONJSA-N -1 1 346.391 -0.088 20 0 EBADMM O=C(NC[C@@H]1[C@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]21)C1CC1 ZINC001008678277 810213863 /nfs/dbraw/zinc/21/38/63/810213863.db2.gz VDMUPBFVSSQHGP-RTCCRHLQSA-N -1 1 342.359 -0.738 20 0 EBADMM O=C(NC[C@@H]1[C@H]2CN(C(=O)[C@@H]3CCNC3=O)C[C@H]21)c1ncccc1[O-] ZINC001008992847 810226689 /nfs/dbraw/zinc/22/66/89/810226689.db2.gz OTLFFMPADUZNKO-KKOKHZNYSA-N -1 1 344.371 -0.643 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@H](C)N(C(=O)C(F)F)C1 ZINC001012121434 810367940 /nfs/dbraw/zinc/36/79/40/810367940.db2.gz MHFMHDIQMQOLMI-WDSKDSINSA-N -1 1 346.290 -0.119 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cncc4[nH]cnc43)C2)nc1=O ZINC001014505163 810435954 /nfs/dbraw/zinc/43/59/54/810435954.db2.gz QTBZAYRWYHYJQA-VIFPVBQESA-N -1 1 342.363 -0.616 20 0 EBADMM COc1cc(C)cnc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001014765174 810447877 /nfs/dbraw/zinc/44/78/77/810447877.db2.gz XSVBSMGUUFQDLU-NSHDSACASA-N -1 1 346.391 -0.175 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCCN1C(=O)c1nnn(C)n1 ZINC001014893363 810452387 /nfs/dbraw/zinc/45/23/87/810452387.db2.gz LRRQXWZKOOCHIT-NXEZZACHSA-N -1 1 345.363 -0.266 20 0 EBADMM O=C(N[C@H]1CC[C@H](CNC(=O)C2CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001015495587 810497408 /nfs/dbraw/zinc/49/74/08/810497408.db2.gz DTQYISBLZZXUJL-ONGXEEELSA-N -1 1 344.375 -0.158 20 0 EBADMM C[C@H](N)C(=O)N1[C@H](C(=O)NC2C(=O)N=CN=C2[O-])COC1(C)C ZINC001218701988 810521885 /nfs/dbraw/zinc/52/18/85/810521885.db2.gz HMBYXEFKGNLYNZ-BQBZGAKWSA-N -1 1 325.325 -0.863 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2CC[C@H](NC(=O)Cc3nnc[nH]3)C2)c1[O-] ZINC001015582242 810529476 /nfs/dbraw/zinc/52/94/76/810529476.db2.gz PROZCYKYMWTPMM-ZJUUUORDSA-N -1 1 347.379 -0.201 20 0 EBADMM Cc1cc(C2(C(=O)N[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C3)CC2)on1 ZINC001015606861 810536995 /nfs/dbraw/zinc/53/69/95/810536995.db2.gz GGVANXQRBJXECR-NSHDSACASA-N -1 1 346.391 -0.173 20 0 EBADMM CCc1c[nH]c(=O)c(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001015922938 810619116 /nfs/dbraw/zinc/61/91/16/810619116.db2.gz HOVCIZVKVVYLNT-NSHDSACASA-N -1 1 346.391 -0.224 20 0 EBADMM Cc1ncoc1CN1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001015991453 810643968 /nfs/dbraw/zinc/64/39/68/810643968.db2.gz DHDBJMQFRVTNFH-SNVBAGLBSA-N -1 1 343.347 -0.282 20 0 EBADMM Cn1ccnc1CN1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001015989182 810644454 /nfs/dbraw/zinc/64/44/54/810644454.db2.gz NOJLHFVSGISMJS-SNVBAGLBSA-N -1 1 342.363 -0.845 20 0 EBADMM CC(C)N(C)C(=O)CN1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001219495376 810720673 /nfs/dbraw/zinc/72/06/73/810720673.db2.gz DELKKSFKVOCCNN-YPMHNXCESA-N -1 1 336.392 -0.571 20 0 EBADMM CN(C(=O)Cn1ncnn1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016548942 810752180 /nfs/dbraw/zinc/75/21/80/810752180.db2.gz GGMOATMTKDTBQC-UHFFFAOYSA-N -1 1 331.336 -0.807 20 0 EBADMM CCn1ncc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC001033529682 810777249 /nfs/dbraw/zinc/77/72/49/810777249.db2.gz JWIYHUPKFYCXAQ-LBPRGKRZSA-N -1 1 347.423 -0.020 20 0 EBADMM CCn1ncc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC001033529681 810777308 /nfs/dbraw/zinc/77/73/08/810777308.db2.gz JWIYHUPKFYCXAQ-GFCCVEGCSA-N -1 1 347.423 -0.020 20 0 EBADMM CCCn1cc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001033543333 810777335 /nfs/dbraw/zinc/77/73/35/810777335.db2.gz GAGMTNFBBHYAHG-LLVKDONJSA-N -1 1 348.411 -0.544 20 0 EBADMM CC[C@H](CC(F)F)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001219781749 810838354 /nfs/dbraw/zinc/83/83/54/810838354.db2.gz DDQIJWKJUWCNRJ-BBBLOLIVSA-N -1 1 347.366 -0.549 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)c(C)[nH]1 ZINC001035529941 810877818 /nfs/dbraw/zinc/87/78/18/810877818.db2.gz MIEDJANFJBDVEU-GFCCVEGCSA-N -1 1 348.407 -0.316 20 0 EBADMM O=C(NC[C@@H]1CN(CC2CC2)CCO1)c1c[n-]n2c1nccc2=O ZINC001035535900 810882396 /nfs/dbraw/zinc/88/23/96/810882396.db2.gz KVFWOQHFIFWNAR-GFCCVEGCSA-N -1 1 331.376 -0.137 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)ccn1 ZINC001035549060 810893687 /nfs/dbraw/zinc/89/36/87/810893687.db2.gz FVSCSJWOZDWCQS-CYBMUJFWSA-N -1 1 346.391 -0.557 20 0 EBADMM N[C@@H](Cc1ccccc1)C(=O)NCC(=O)N[C@H]1SC(=O)[N-]C1=O ZINC001219903980 810902915 /nfs/dbraw/zinc/90/29/15/810902915.db2.gz MCTKRISGJCXFAD-ZANVPECISA-N -1 1 336.373 -0.902 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)c3nccs3)C2)nc1=O ZINC001035562623 810914654 /nfs/dbraw/zinc/91/46/54/810914654.db2.gz KQWBVVPVUKEYAR-SECBINFHSA-N -1 1 338.393 -0.804 20 0 EBADMM CC(C)=CCN1CCO[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001035576831 810926605 /nfs/dbraw/zinc/92/66/05/810926605.db2.gz LNWZMQOBMAKELV-GFCCVEGCSA-N -1 1 346.391 -0.186 20 0 EBADMM Cc1c[nH]cc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035607714 810960123 /nfs/dbraw/zinc/96/01/23/810960123.db2.gz GLFSBXRNJWOABW-NSHDSACASA-N -1 1 334.380 -0.624 20 0 EBADMM CCO[C@H]1C[C@H]1C(=O)N1CCC2(CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001035656817 810980947 /nfs/dbraw/zinc/98/09/47/810980947.db2.gz WHWJWLMIVJMZII-OLZOCXBDSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)[C@H]2CCOC2)CC3)nc1=O ZINC001035663169 810982018 /nfs/dbraw/zinc/98/20/18/810982018.db2.gz JKFJBLAUNAUDJP-LBPRGKRZSA-N -1 1 335.408 -0.431 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)c2cn[nH]c2)CC3)nc1=O ZINC001035658764 810982229 /nfs/dbraw/zinc/98/22/29/810982229.db2.gz PGARBFKWJUWBGO-UHFFFAOYSA-N -1 1 331.380 -0.430 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)[C@@H]2CCOC2)CC3)nc1=O ZINC001035663167 810982432 /nfs/dbraw/zinc/98/24/32/810982432.db2.gz JKFJBLAUNAUDJP-GFCCVEGCSA-N -1 1 335.408 -0.431 20 0 EBADMM O=C(CCc1c[nH]nn1)N1CCC2(CN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035798695 811028591 /nfs/dbraw/zinc/02/85/91/811028591.db2.gz QXRVFWABSBGOFS-UHFFFAOYSA-N -1 1 346.395 -0.314 20 0 EBADMM O=C(CCc1cnn[nH]1)N1CCC2(CN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035798695 811028598 /nfs/dbraw/zinc/02/85/98/811028598.db2.gz QXRVFWABSBGOFS-UHFFFAOYSA-N -1 1 346.395 -0.314 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)Cn2ccnc2)CC3)nc1=O ZINC001035862311 811045016 /nfs/dbraw/zinc/04/50/16/811045016.db2.gz CVNRYZDZUZNIQE-UHFFFAOYSA-N -1 1 345.407 -0.571 20 0 EBADMM C[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC[C@@H]1NCc1cnon1 ZINC001036093607 811096340 /nfs/dbraw/zinc/09/63/40/811096340.db2.gz MNUNHDFHJGMJQA-APPZFPTMSA-N -1 1 335.324 -0.694 20 0 EBADMM C[C@@H](NCc1cnon1)[C@H]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001036426003 811156003 /nfs/dbraw/zinc/15/60/03/811156003.db2.gz PHFNUDHCMCJYBH-BDAKNGLRSA-N -1 1 349.351 -0.304 20 0 EBADMM Cc1c(C(=O)N2CCC[C@H](C)[C@H]2CNCc2n[nH]c(=O)[n-]2)nnn1C ZINC001036530007 811171144 /nfs/dbraw/zinc/17/11/44/811171144.db2.gz QQAJIOXQXLVFEJ-GXSJLCMTSA-N -1 1 348.411 -0.022 20 0 EBADMM C[C@@H]1CCCN(C(=O)c2nnn(C)n2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036568946 811177679 /nfs/dbraw/zinc/17/76/79/811177679.db2.gz GXIVCAKBUKNGOA-RKDXNWHRSA-N -1 1 335.372 -0.936 20 0 EBADMM C[C@@H]1CCCN(C(=O)CCn2cnnn2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036588660 811182835 /nfs/dbraw/zinc/18/28/35/811182835.db2.gz ZUSZNYIGZRGORE-MNOVXSKESA-N -1 1 349.399 -0.696 20 0 EBADMM O=C(c1ccc(=O)[nH]n1)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036634976 811188442 /nfs/dbraw/zinc/18/84/42/811188442.db2.gz BRDZLUGYOCKGHL-KOLCDFICSA-N -1 1 345.363 -0.258 20 0 EBADMM O=C(Cn1cccn1)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036646349 811195026 /nfs/dbraw/zinc/19/50/26/811195026.db2.gz AVVLLRFKVQDHTQ-RYUDHWBXSA-N -1 1 331.380 -0.170 20 0 EBADMM Cn1ccc(C(=O)N2CC[C@@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001036894367 811305414 /nfs/dbraw/zinc/30/54/14/811305414.db2.gz GSEXPZKJDJIBCA-CMPLNLGQSA-N -1 1 331.380 -0.020 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CCC[C@H]2CNCc2nnnn2C)c1[O-] ZINC001036985052 811323403 /nfs/dbraw/zinc/32/34/03/811323403.db2.gz BBUBWMUWHGJVFV-UWVGGRQHSA-N -1 1 334.384 -0.364 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CCC[C@H]2CNCc2nnnn2C)c1[O-] ZINC001036985053 811324263 /nfs/dbraw/zinc/32/42/63/811324263.db2.gz BBUBWMUWHGJVFV-VHSXEESVSA-N -1 1 334.384 -0.364 20 0 EBADMM CCCC(=O)N(CC)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001079218079 811449459 /nfs/dbraw/zinc/44/94/59/811449459.db2.gz IBPPFXZFVIRJHN-UHFFFAOYSA-N -1 1 332.364 -0.109 20 0 EBADMM CCN(C(=O)C=C(C)C)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001079256568 811463686 /nfs/dbraw/zinc/46/36/86/811463686.db2.gz VKIFFCZTVOLHLZ-UHFFFAOYSA-N -1 1 348.403 -0.048 20 0 EBADMM CC[C@H](C)OCC(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001221484472 811465671 /nfs/dbraw/zinc/46/56/71/811465671.db2.gz SSSDTEHKJRBOTG-YNEHKIRRSA-N -1 1 337.424 -0.044 20 0 EBADMM CCN(C(=O)C(C)C)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001079322532 811495665 /nfs/dbraw/zinc/49/56/65/811495665.db2.gz RGRMGFAWFWIOMB-UHFFFAOYSA-N -1 1 336.392 -0.358 20 0 EBADMM COCCC1(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)CC1 ZINC001221604745 811498841 /nfs/dbraw/zinc/49/88/41/811498841.db2.gz NGNXBVSSQKZWOU-CHWSQXEVSA-N -1 1 349.435 -0.042 20 0 EBADMM Cc1c(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)cnn1C ZINC001079428054 811538083 /nfs/dbraw/zinc/53/80/83/811538083.db2.gz LGYWQZSVGWUOKN-BXKDBHETSA-N -1 1 333.396 -0.599 20 0 EBADMM O=C(COC[C@H]1CCCO1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001222136264 811601419 /nfs/dbraw/zinc/60/14/19/811601419.db2.gz JOLFXHQNLHCGES-VXGBXAGGSA-N -1 1 339.396 -0.214 20 0 EBADMM COCCCC(=O)N1CC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1 ZINC001222337876 811618983 /nfs/dbraw/zinc/61/89/83/811618983.db2.gz UKDJGRSPTYCOSS-OLZOCXBDSA-N -1 1 337.424 -0.042 20 0 EBADMM CCCOCC(=O)N1CC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1 ZINC001222388497 811626258 /nfs/dbraw/zinc/62/62/58/811626258.db2.gz RKJHSHDHANCTDJ-OLZOCXBDSA-N -1 1 337.424 -0.042 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)COCC3CC3)CC2)nc1=O ZINC001222464084 811633075 /nfs/dbraw/zinc/63/30/75/811633075.db2.gz BIPSFBNHPBTQKK-UHFFFAOYSA-N -1 1 337.424 -0.137 20 0 EBADMM COc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)ncn1 ZINC001079708897 811676753 /nfs/dbraw/zinc/67/67/53/811676753.db2.gz DHPFQZLYCURUOJ-MWLCHTKSSA-N -1 1 347.379 -0.843 20 0 EBADMM Cc1noc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)c1C ZINC001079927905 811800303 /nfs/dbraw/zinc/80/03/03/811800303.db2.gz MBJYPGTYJCVDGH-LDYMZIIASA-N -1 1 334.380 -0.036 20 0 EBADMM O=C(CCn1ccnn1)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001225891836 811835968 /nfs/dbraw/zinc/83/59/68/811835968.db2.gz VMRHRCFTGUBGLF-GHMZBOCLSA-N -1 1 334.384 -0.291 20 0 EBADMM C[C@@H]1CN(Cc2cccnc2)C[C@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001080050788 811866889 /nfs/dbraw/zinc/86/68/89/811866889.db2.gz FZBWPIIOSQVAQY-MWLCHTKSSA-N -1 1 330.348 -0.072 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)C[C@](C)(O)C3CC3)CC2)nc1=O ZINC001226934641 811892153 /nfs/dbraw/zinc/89/21/53/811892153.db2.gz URIGOIBSIPZQFL-INIZCTEOSA-N -1 1 337.424 -0.260 20 0 EBADMM CCN(C(=O)CCn1cc[n-]c(=O)c1=O)C1CN(C(=O)[C@H]2C[C@@H]2C)C1 ZINC001080100136 811898756 /nfs/dbraw/zinc/89/87/56/811898756.db2.gz NQAJXRDUZHUUTC-AAEUAGOBSA-N -1 1 348.403 -0.358 20 0 EBADMM CCCC(=O)N1CC(N(CC)C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001080099997 811898996 /nfs/dbraw/zinc/89/89/96/811898996.db2.gz ILMODUIYJYGLQZ-UHFFFAOYSA-N -1 1 336.392 -0.214 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cc1ccc(=O)[nH]c1 ZINC001080149356 811918572 /nfs/dbraw/zinc/91/85/72/811918572.db2.gz DQZXCMPVUQQCLU-ZYHUDNBSSA-N -1 1 346.391 -0.612 20 0 EBADMM COCC(C)(C)C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001228293706 811973505 /nfs/dbraw/zinc/97/35/05/811973505.db2.gz CRFLVCYJRVLCEK-UHFFFAOYSA-N -1 1 325.413 -0.138 20 0 EBADMM CO[C@@H](C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1)C(C)C ZINC001229024377 812010303 /nfs/dbraw/zinc/01/03/03/812010303.db2.gz JEXZNPSHDKOIIB-CYBMUJFWSA-N -1 1 325.413 -0.140 20 0 EBADMM CC(=O)NC(C)(C)C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001229641209 812046324 /nfs/dbraw/zinc/04/63/24/812046324.db2.gz DMFNILBLZOKXTC-UHFFFAOYSA-N -1 1 338.412 -0.896 20 0 EBADMM CCN(C(=O)[C@@]1(C)CCNC1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001080553050 812057174 /nfs/dbraw/zinc/05/71/74/812057174.db2.gz DSZYXISRNOSUEG-KRWDZBQOSA-N -1 1 346.387 -0.014 20 0 EBADMM CC[C@H](C(N)=O)N1C[C@@H](C)[C@H](NC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001080576654 812071151 /nfs/dbraw/zinc/07/11/51/812071151.db2.gz YTIYAHDHOBMKKD-YUSALJHKSA-N -1 1 346.391 -0.663 20 0 EBADMM CNC(=O)[C@H](C)N1C[C@@H](C)[C@H](NC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001080576418 812071420 /nfs/dbraw/zinc/07/14/20/812071420.db2.gz MHIOXWIJQQQILK-JFGNBEQYSA-N -1 1 346.391 -0.793 20 0 EBADMM COC(=O)c1ccc2c(c1)nc(=O)[nH]c2OC(=O)[C@@H](O)CC(=O)[O-] ZINC001230204831 812086494 /nfs/dbraw/zinc/08/64/94/812086494.db2.gz KQWMVJXTVAPVGP-VIFPVBQESA-N -1 1 336.256 -0.137 20 0 EBADMM COCCOCCC(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001230417158 812124950 /nfs/dbraw/zinc/12/49/50/812124950.db2.gz BYAFCCBZTVVACN-UHFFFAOYSA-N -1 1 341.412 -0.758 20 0 EBADMM CC(C)C(=O)Nc1nc2nc[nH]c2c(OC(=O)[C@@H](O)CC(=O)[O-])n1 ZINC001230443577 812138901 /nfs/dbraw/zinc/13/89/01/812138901.db2.gz AUVFFOPWQLVIDT-LURJTMIESA-N -1 1 337.292 -0.312 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001080740636 812181896 /nfs/dbraw/zinc/18/18/96/812181896.db2.gz SKCNQDCIGURLLZ-GBIKHYSHSA-N -1 1 345.407 -0.025 20 0 EBADMM CC(C)OCCC(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001230839222 812245649 /nfs/dbraw/zinc/24/56/49/812245649.db2.gz WFYJLUFHLIIFJO-GFCCVEGCSA-N -1 1 325.413 -0.044 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)Cc1cccnc1 ZINC001233708145 812332106 /nfs/dbraw/zinc/33/21/06/812332106.db2.gz YTMQNXIENLQTIZ-CYBMUJFWSA-N -1 1 330.392 -0.221 20 0 EBADMM CC(=O)OC[C@@H](COc1c(C(N)=O)nc(C)[n-]c1=O)OC(C)=O ZINC001234470585 812429163 /nfs/dbraw/zinc/42/91/63/812429163.db2.gz UZXOGSXHJMBIKG-VIFPVBQESA-N -1 1 327.293 -0.537 20 0 EBADMM O=C([O-])c1cc(S(=O)(=O)NC[C@]2(O)CCNC[C@@H]2F)ccc1O ZINC001238064343 812524504 /nfs/dbraw/zinc/52/45/04/812524504.db2.gz NEXWKTJOHWQDDZ-WCQYABFASA-N -1 1 348.352 -0.569 20 0 EBADMM COc1ncc(-c2ccc(CC(N)=O)nn2)cc1[N-]S(C)(=O)=O ZINC001244789892 812555292 /nfs/dbraw/zinc/55/52/92/812555292.db2.gz IODMHPWLBWVVCR-UHFFFAOYSA-N -1 1 337.361 -0.054 20 0 EBADMM O=C(CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@H]21)NCc1nn[n-]n1 ZINC001251775951 812633010 /nfs/dbraw/zinc/63/30/10/812633010.db2.gz ZLRSUTDMWKQPEM-DKCNOQQISA-N -1 1 325.398 -0.458 20 0 EBADMM O=C(Cc1ccon1)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001081365525 812811767 /nfs/dbraw/zinc/81/17/67/812811767.db2.gz PEMQGFCRTUEDCM-MFKMUULPSA-N -1 1 346.343 -0.433 20 0 EBADMM CN1c2ccc(S(=O)(=O)[N-]c3ccnc(C(N)=O)c3)cc2CC1=O ZINC001260154388 812825937 /nfs/dbraw/zinc/82/59/37/812825937.db2.gz HGLVATRSWWYEAH-UHFFFAOYSA-N -1 1 346.368 -0.078 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CC[C@@]4(CNC(=O)C4)C3)ccnc1-2 ZINC001260404103 812833350 /nfs/dbraw/zinc/83/33/50/812833350.db2.gz KTNAYGNREXVNIK-MRXNPFEDSA-N -1 1 342.359 -0.981 20 0 EBADMM CS(=O)(=O)c1ccccc1S(=O)(=O)NCC[P@](=O)([O-])O ZINC001260523983 812835358 /nfs/dbraw/zinc/83/53/58/812835358.db2.gz ZCPURMWTKMTQDV-UHFFFAOYSA-N -1 1 343.319 -0.454 20 0 EBADMM O=C(COCC1CC1)N1C[C@@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001081424371 812911159 /nfs/dbraw/zinc/91/11/59/812911159.db2.gz DPDYWZRQCJSYOA-GXTWGEPZSA-N -1 1 349.387 -0.237 20 0 EBADMM COCC1(C(=O)N2C[C@@H](CNC(=O)c3ncccc3[O-])[C@H](O)C2)CC1 ZINC001081427234 812919669 /nfs/dbraw/zinc/91/96/69/812919669.db2.gz CDHRRAKGBGSSDO-DGCLKSJQSA-N -1 1 349.387 -0.237 20 0 EBADMM C[C@H](CNC(=O)C(=O)NCCCN1C[C@@H](C)O[C@H](C)C1)C(=O)[O-] ZINC001261554817 812936853 /nfs/dbraw/zinc/93/68/53/812936853.db2.gz ODCSOCXBGFASAG-IJLUTSLNSA-N -1 1 329.397 -0.561 20 0 EBADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)C[C@H]3CC(=O)N3)CC2)n1 ZINC001261738993 812979132 /nfs/dbraw/zinc/97/91/32/812979132.db2.gz HJGXXJCSJKKZPQ-SNVBAGLBSA-N -1 1 335.364 -0.034 20 0 EBADMM CN(C(=O)c1cnn(C)c1I)c1nn[n-]n1 ZINC001262101690 813035595 /nfs/dbraw/zinc/03/55/95/813035595.db2.gz JULQYIUNYIZONJ-UHFFFAOYSA-N -1 1 333.093 -0.186 20 0 EBADMM O=C(Cc1ccc[nH]1)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001081525686 813125539 /nfs/dbraw/zinc/12/55/39/813125539.db2.gz KSBCOWDVHKJCHG-RISCZKNCSA-N -1 1 344.371 -0.093 20 0 EBADMM CCN(CCCNC(=O)c1ccn(C)c(=O)c1)Cc1n[nH]c(=O)[n-]1 ZINC001265119848 813241259 /nfs/dbraw/zinc/24/12/59/813241259.db2.gz SDTLNLHJBWIQRS-UHFFFAOYSA-N -1 1 334.380 -0.149 20 0 EBADMM NC(=O)[C@H]1CC[C@@H]1C(=O)NCCCN(Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001265171252 813251796 /nfs/dbraw/zinc/25/17/96/813251796.db2.gz NDIILANSWMKNBK-QWRGUYRKSA-N -1 1 336.396 -0.508 20 0 EBADMM Cn1ccc(CN2CC[C@@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)n1 ZINC001265307629 813299079 /nfs/dbraw/zinc/29/90/79/813299079.db2.gz CQPGROYHVSNCFN-GFCCVEGCSA-N -1 1 346.391 -0.949 20 0 EBADMM Cc1nccnc1CN(C)CCCNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001265884311 813405328 /nfs/dbraw/zinc/40/53/28/813405328.db2.gz UFEUEWZUKFMXDL-UHFFFAOYSA-N -1 1 333.352 -0.367 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C(=O)Nc3ccccc3)C2)nc1=O ZINC001266327665 813532481 /nfs/dbraw/zinc/53/24/81/813532481.db2.gz JICNFCAYPYEWCQ-LBPRGKRZSA-N -1 1 344.375 -0.562 20 0 EBADMM CCNC(=O)CC(=O)N1CCC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001266456376 813574348 /nfs/dbraw/zinc/57/43/48/813574348.db2.gz VJIKYVKLILQJBT-LLVKDONJSA-N -1 1 338.412 -0.293 20 0 EBADMM COCCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001266490009 813582406 /nfs/dbraw/zinc/58/24/06/813582406.db2.gz YUOIDIJLQGXLDW-PHIMTYICSA-N -1 1 337.380 -0.002 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1ccc(-n2ccnc2)nn1 ZINC001266888809 813692740 /nfs/dbraw/zinc/69/27/40/813692740.db2.gz YAYFJTZHORYXRZ-UHFFFAOYSA-N -1 1 343.351 -0.652 20 0 EBADMM C[C@H](NC(N)=O)C(=O)NC[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001082022983 813720087 /nfs/dbraw/zinc/72/00/87/813720087.db2.gz VLHROXNVMAFTCE-QWRGUYRKSA-N -1 1 349.391 -0.045 20 0 EBADMM Cc1nn2cccnc2c1C(=O)NC[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001266996904 813724209 /nfs/dbraw/zinc/72/42/09/813724209.db2.gz KFHMWUFCXXNBLV-MRVPVSSYSA-N -1 1 330.352 -0.230 20 0 EBADMM CNC(=O)CN1C[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)[C@H](OC)C1 ZINC001082209386 813825146 /nfs/dbraw/zinc/82/51/46/813825146.db2.gz JYHXNKAHYJUPAR-VXGBXAGGSA-N -1 1 349.391 -0.765 20 0 EBADMM CO[C@@H]1CN(C(C)C)C[C@H]1NC(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC001082248663 813844649 /nfs/dbraw/zinc/84/46/49/813844649.db2.gz XKAUCUIJNSJJRI-VXGBXAGGSA-N -1 1 334.380 -0.705 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C(C1CC1)C1CC1 ZINC001082313495 813887712 /nfs/dbraw/zinc/88/77/12/813887712.db2.gz HGWIMNNBCHMYBD-CHWSQXEVSA-N -1 1 349.435 -0.140 20 0 EBADMM Cc1nocc1CNCCN(C)C(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC001267442338 813897080 /nfs/dbraw/zinc/89/70/80/813897080.db2.gz PDIPTQBKQWKOCI-UHFFFAOYSA-N -1 1 345.363 -0.384 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)CC(F)(F)C(F)F)C2)nc1=O ZINC001267660346 813975643 /nfs/dbraw/zinc/97/56/43/813975643.db2.gz RYCYCZLRTIFEKS-UHFFFAOYSA-N -1 1 325.266 -0.301 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1CN(Cc2cncc(F)c2)C1 ZINC001267661757 813976325 /nfs/dbraw/zinc/97/63/25/813976325.db2.gz JGJPVNMKPVOVQP-UHFFFAOYSA-N -1 1 347.350 -0.539 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2CCn3ccnc3C2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082604646 813977449 /nfs/dbraw/zinc/97/74/49/813977449.db2.gz WONWROZFGCPXIR-GRYCIOLGSA-N -1 1 345.407 -0.094 20 0 EBADMM CC[C@H](CNC(=O)c1cccc2ncnn21)NCc1n[nH]c(=O)[n-]1 ZINC001267810291 814047540 /nfs/dbraw/zinc/04/75/40/814047540.db2.gz CZCYGFICFCEAOI-SECBINFHSA-N -1 1 330.352 -0.149 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@@H]3CN(Cc4n[nH]c(=O)[n-]4)C[C@@H]32)n[nH]1 ZINC001083013848 814059705 /nfs/dbraw/zinc/05/97/05/814059705.db2.gz FWQVEVNEQLILJG-WDEREUQCSA-N -1 1 333.352 -0.733 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N1CCC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001267875328 814060384 /nfs/dbraw/zinc/06/03/84/814060384.db2.gz JKAPFMFACZNZLQ-CMPLNLGQSA-N -1 1 338.412 -0.247 20 0 EBADMM Cc1[nH]ccc1C(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21 ZINC001083041848 814085677 /nfs/dbraw/zinc/08/56/77/814085677.db2.gz FKDKZKNNACYBPW-QWHCGFSZSA-N -1 1 346.391 -0.530 20 0 EBADMM Cn1cnnc1CN1C[C@H]2OCCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC001083059586 814098158 /nfs/dbraw/zinc/09/81/58/814098158.db2.gz LYBUXVIGIPNWOB-WCQYABFASA-N -1 1 344.375 -0.359 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)c3cccc(F)c3)[C@@H](O)C2)nc1=O ZINC001083315581 814277405 /nfs/dbraw/zinc/27/74/05/814277405.db2.gz KMTHQRMHUGIDNB-NEPJUHHUSA-N -1 1 335.339 -0.777 20 0 EBADMM CC(C)COCCN1CC(CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001268849708 814413578 /nfs/dbraw/zinc/41/35/78/814413578.db2.gz GGHSZDCTTLKSNL-UHFFFAOYSA-N -1 1 325.369 -0.383 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@]3(C)CC=CCC3)[C@@H](O)C2)nc1=O ZINC001083487979 814480495 /nfs/dbraw/zinc/48/04/95/814480495.db2.gz QXTDZWUBRBAWGS-BFQNTYOBSA-N -1 1 335.408 -0.484 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)c3[nH]ccc3C3CC3)[C@@H](O)C2)nc1=O ZINC001083514879 814510112 /nfs/dbraw/zinc/51/01/12/814510112.db2.gz BBBNSZGBCDGGDB-NEPJUHHUSA-N -1 1 346.391 -0.711 20 0 EBADMM CC[C@]1(C(=O)N[C@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)CCNC1=O ZINC001269080319 814520035 /nfs/dbraw/zinc/52/00/35/814520035.db2.gz ZGVMICHTUHFSAM-BMIGLBTASA-N -1 1 336.396 -0.589 20 0 EBADMM O=C(CCCCc1cn[nH]n1)NC[C@@H]1CCN1Cc1n[nH]c(=O)[n-]1 ZINC001269266583 814606737 /nfs/dbraw/zinc/60/67/37/814606737.db2.gz FXYCPIMGJKGTFD-NSHDSACASA-N -1 1 334.384 -0.268 20 0 EBADMM O=C(Nc1nnco1)C1=NO[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]12 ZINC001269345793 814650624 /nfs/dbraw/zinc/65/06/24/814650624.db2.gz GRNDLWVWKYPXSS-IONNQARKSA-N -1 1 344.287 -0.364 20 0 EBADMM O=C(NCC(F)F)C1=NO[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]12 ZINC001269346341 814651266 /nfs/dbraw/zinc/65/12/66/814651266.db2.gz OKWVWFAAOKQKOQ-IONNQARKSA-N -1 1 340.286 -0.005 20 0 EBADMM CC(C)(C)C(=O)CN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)Cc1nn[n-]n1 ZINC001269576457 814734329 /nfs/dbraw/zinc/73/43/29/814734329.db2.gz MOUNRTJQGVTKOI-GHMZBOCLSA-N -1 1 348.407 -0.051 20 0 EBADMM Cn1cncc1CN1CC[C@@]2(CCN(C(=O)Cc3nn[n-]n3)C2)C1=O ZINC001269577162 814735945 /nfs/dbraw/zinc/73/59/45/814735945.db2.gz GDBIEIZTDLRKQK-HNNXBMFYSA-N -1 1 344.379 -0.873 20 0 EBADMM O=C(NCC1COC1)[C@@H]1CCC2(CN(C(=O)c3ccc([O-])cn3)C2)O1 ZINC001270138499 815697703 /nfs/dbraw/zinc/69/77/03/815697703.db2.gz FIMJBLKOQBTGJN-AWEZNQCLSA-N -1 1 347.371 -0.077 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@@H]3CC34CCCC4)[C@@H](O)C2)nc1=O ZINC001083921149 815709726 /nfs/dbraw/zinc/70/97/26/815709726.db2.gz BJRUFSSFGQEBHG-TUAOUCFPSA-N -1 1 335.408 -0.650 20 0 EBADMM O=C(Cc1nn[n-]n1)N1C[C@@H]2COC[C@H](C1)N2CCCC(F)(F)F ZINC001270182646 815712802 /nfs/dbraw/zinc/71/28/02/815712802.db2.gz JBTKTXAVLHCUBJ-AOOOYVTPSA-N -1 1 348.329 -0.004 20 0 EBADMM CN(C)S(=O)(=O)N1CC[C@H]2[C@@H]1CCN2C(=O)c1ncccc1[O-] ZINC001270258975 815735944 /nfs/dbraw/zinc/73/59/44/815735944.db2.gz ZMMXEAFBFBKFAW-QWRGUYRKSA-N -1 1 340.405 -0.118 20 0 EBADMM O=C(Cn1nn[n-]c1=S)N1CC2(CN(CCn3ccnc3)C2)C1 ZINC001270303551 815746623 /nfs/dbraw/zinc/74/66/23/815746623.db2.gz XFDXYPRVFQCCDF-UHFFFAOYSA-N -1 1 334.409 -0.997 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@@H]3CC4CCC3CC4)[C@@H](O)C2)nc1=O ZINC001084096311 815831710 /nfs/dbraw/zinc/83/17/10/815831710.db2.gz HAFSBICBEBLIRZ-YAWVXEGQSA-N -1 1 349.435 -0.404 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)Cn4cccn4)[C@@H]3C2)nc1=O ZINC001084188619 815862678 /nfs/dbraw/zinc/86/26/78/815862678.db2.gz KIUUXFNRNDLNST-VXGBXAGGSA-N -1 1 331.380 -0.962 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@H]2CCN([C@H]3CCC(=O)NC3=O)C[C@H]21 ZINC001084300190 815886681 /nfs/dbraw/zinc/88/66/81/815886681.db2.gz ZRBSJECMLMPRAM-GRYCIOLGSA-N -1 1 344.371 -0.261 20 0 EBADMM CN(Cc1ncccn1)C(=O)[C@H]1CC12CN(C(=O)Cc1nn[n-]n1)C2 ZINC001270788277 815890494 /nfs/dbraw/zinc/89/04/94/815890494.db2.gz CYDQQEKJVZUIDZ-SNVBAGLBSA-N -1 1 342.363 -0.961 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC2(C1)SCC[C@H]2C(=O)NCC(F)F ZINC001270789491 815894016 /nfs/dbraw/zinc/89/40/16/815894016.db2.gz RKVPEXGJLWYSKQ-ZETCQYMHSA-N -1 1 346.363 -0.542 20 0 EBADMM O=C(CCc1cnc[nH]1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001084395357 815908291 /nfs/dbraw/zinc/90/82/91/815908291.db2.gz QTIJGXZZBPOPRQ-ZYHUDNBSSA-N -1 1 331.380 -0.101 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001084725719 815969506 /nfs/dbraw/zinc/96/95/06/815969506.db2.gz OQERBJKEFBMABZ-VXGBXAGGSA-N -1 1 345.407 -0.205 20 0 EBADMM Cn1cncc1CC(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001084776011 815979796 /nfs/dbraw/zinc/97/97/96/815979796.db2.gz DGVMAQLLEQMKFZ-DGCLKSJQSA-N -1 1 345.407 -0.883 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)[C@H]4CCCO4)C[C@@H]32)nc1=O ZINC001084885635 815998777 /nfs/dbraw/zinc/99/87/77/815998777.db2.gz JDUXYZNOPBOVFI-FRRDWIJNSA-N -1 1 335.408 -0.290 20 0 EBADMM O=C(CN1CCCC1=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001085129759 816022882 /nfs/dbraw/zinc/02/28/82/816022882.db2.gz FMFLNEAMZXCMQA-GHMZBOCLSA-N -1 1 336.396 -0.350 20 0 EBADMM Cc1c(C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)nnn1C ZINC001085158447 816024908 /nfs/dbraw/zinc/02/49/08/816024908.db2.gz CXPJGPGPNUJYJU-NXEZZACHSA-N -1 1 334.384 -0.222 20 0 EBADMM O=C(CN1CCOCC1)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001085174841 816025898 /nfs/dbraw/zinc/02/58/98/816025898.db2.gz WVPQVVMZDQRHGA-VXGBXAGGSA-N -1 1 338.412 -0.641 20 0 EBADMM Cc1nnsc1C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085479687 816053485 /nfs/dbraw/zinc/05/34/85/816053485.db2.gz YDKMUWWQQHIENB-SECBINFHSA-N -1 1 337.409 -0.385 20 0 EBADMM CCc1cc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)[nH]n1 ZINC001085493315 816055687 /nfs/dbraw/zinc/05/56/87/816055687.db2.gz QWTCFRXEBCNVOH-LLVKDONJSA-N -1 1 333.396 -0.260 20 0 EBADMM CCn1ccnc1C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085570476 816075193 /nfs/dbraw/zinc/07/51/93/816075193.db2.gz JXKZBZLZUWIWFB-LLVKDONJSA-N -1 1 333.396 -0.329 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)C1CCC(O)CC1 ZINC001085569809 816075441 /nfs/dbraw/zinc/07/54/41/816075441.db2.gz UBENHPLUCWGSSO-OTTFEQOBSA-N -1 1 337.424 -0.308 20 0 EBADMM COc1cc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)ncn1 ZINC001085599722 816085543 /nfs/dbraw/zinc/08/55/43/816085543.db2.gz ZMASAYBWZCYXNJ-JTQLQIEISA-N -1 1 347.379 -0.747 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1cnn2c1CCC2 ZINC001085632522 816092638 /nfs/dbraw/zinc/09/26/38/816092638.db2.gz WNYQCALTYCQDCI-NSHDSACASA-N -1 1 345.407 -0.402 20 0 EBADMM O=C(c1cncc([O-])c1)N1CC[C@@]2(C1)CN(C1COC1)C(=O)CO2 ZINC001271387158 816094282 /nfs/dbraw/zinc/09/42/82/816094282.db2.gz ROCVLMDVQCAWOX-MRXNPFEDSA-N -1 1 333.344 -0.371 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1cc2n(n1)CCO2 ZINC001085642999 816094810 /nfs/dbraw/zinc/09/48/10/816094810.db2.gz BWCAPFSQLMPFHK-JTQLQIEISA-N -1 1 347.379 -0.956 20 0 EBADMM Cc1nc([C@@H](C)N2CC[C@H]2CN(C)C(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC001085700551 816116664 /nfs/dbraw/zinc/11/66/64/816116664.db2.gz RGUBDWGJPAZNDC-APPZFPTMSA-N -1 1 348.367 -0.383 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1coc(C(N)=O)c1 ZINC001085739464 816128964 /nfs/dbraw/zinc/12/89/64/816128964.db2.gz NYEQKFFPKOCLLI-SNVBAGLBSA-N -1 1 348.363 -0.853 20 0 EBADMM COc1nn(C)cc1C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085761510 816135439 /nfs/dbraw/zinc/13/54/39/816135439.db2.gz LYEFNJZIYJMUID-JTQLQIEISA-N -1 1 349.395 -0.803 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001085830789 816159700 /nfs/dbraw/zinc/15/97/00/816159700.db2.gz IOQYXVJNHMFGEZ-LPWJVIDDSA-N -1 1 335.408 -0.291 20 0 EBADMM CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CCc2[nH]nnc2C1 ZINC001085924198 816179566 /nfs/dbraw/zinc/17/95/66/816179566.db2.gz QIMKPYVHJFQUAX-UWVGGRQHSA-N -1 1 346.395 -0.534 20 0 EBADMM CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CCc2nn[nH]c2C1 ZINC001085924198 816179571 /nfs/dbraw/zinc/17/95/71/816179571.db2.gz QIMKPYVHJFQUAX-UWVGGRQHSA-N -1 1 346.395 -0.534 20 0 EBADMM CCn1ccc(CN[C@H]2C[C@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001086418479 816327807 /nfs/dbraw/zinc/32/78/07/816327807.db2.gz UOGOEGGKFZZEAL-HOMQSWHASA-N -1 1 347.379 -0.203 20 0 EBADMM O=C(c1ccc(F)c([O-])c1)N1CC2(C1)CN(C1COC1)C(=O)CO2 ZINC001272227446 816361034 /nfs/dbraw/zinc/36/10/34/816361034.db2.gz OPLOYOFEJWEJHV-UHFFFAOYSA-N -1 1 336.319 -0.017 20 0 EBADMM Cc1nnc(CN[C@@H]2CC[C@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC001086746294 816399584 /nfs/dbraw/zinc/39/95/84/816399584.db2.gz QMJUECJERFWIPA-DTWKUNHWSA-N -1 1 348.367 -0.602 20 0 EBADMM O=C(NC[C@H]1CC[C@@H](NCc2cnon2)C1)c1n[nH]c(=O)[n-]c1=O ZINC001086746009 816399991 /nfs/dbraw/zinc/39/99/91/816399991.db2.gz APBNEJUQZJKTGL-JGVFFNPUSA-N -1 1 335.324 -0.646 20 0 EBADMM C[C@@H]1CCN(C(=O)[C@@H]2COC(=O)N2)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087160002 816469741 /nfs/dbraw/zinc/46/97/41/816469741.db2.gz QFKWBDATHXSHKI-UTLUCORTSA-N -1 1 338.368 -0.665 20 0 EBADMM CC[C@H](NC(C)=O)C(=O)N1CC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001272573378 816482130 /nfs/dbraw/zinc/48/21/30/816482130.db2.gz FDFMNHYBWCIFFV-NEPJUHHUSA-N -1 1 338.412 -0.247 20 0 EBADMM CN(C)C(=O)CN1C[C@@H]2CN(C(=O)c3cncc([O-])c3)C[C@H](C1)O2 ZINC001272672182 816504268 /nfs/dbraw/zinc/50/42/68/816504268.db2.gz GAGLFDRQVWQLDJ-OKILXGFUSA-N -1 1 334.376 -0.599 20 0 EBADMM NC(=O)CC(=O)N1C[C@@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1Cc1n[nH]c(=O)[n-]1 ZINC001087344297 816504540 /nfs/dbraw/zinc/50/45/40/816504540.db2.gz DKUOPLRKBSDHDR-MMWGEVLESA-N -1 1 334.380 -0.946 20 0 EBADMM Cc1[nH]nc(C(=O)N2C[C@@H]3[C@@H](C2)[C@@H]2CC[C@H]3CN2CC(N)=O)c1[O-] ZINC001087351168 816506785 /nfs/dbraw/zinc/50/67/85/816506785.db2.gz NQHJEQRHRIMGEZ-YFKTTZPYSA-N -1 1 333.392 -0.309 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2cnn(CC)n2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087564210 816532711 /nfs/dbraw/zinc/53/27/11/816532711.db2.gz OGKMFLKXGZHABZ-CMPLNLGQSA-N -1 1 348.411 -0.497 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)[C@@]2(F)CCOC2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087700217 816550201 /nfs/dbraw/zinc/55/02/01/816550201.db2.gz FLWVRWGNHWZNFQ-FIXISWKDSA-N -1 1 341.387 -0.294 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2ncccn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087770347 816558094 /nfs/dbraw/zinc/55/80/94/816558094.db2.gz ZJRORAAEAPCXDV-WDEREUQCSA-N -1 1 331.380 -0.319 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4cnccn4)C[C@@H]3C2)nc1=O ZINC001087870532 816566987 /nfs/dbraw/zinc/56/69/87/816566987.db2.gz RGMVLYIPBLIFLM-NEPJUHHUSA-N -1 1 343.391 -0.508 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4cccnn4)C[C@@H]3C2)nc1=O ZINC001088148221 816636190 /nfs/dbraw/zinc/63/61/90/816636190.db2.gz STIWNGMKSYVCFD-NEPJUHHUSA-N -1 1 343.391 -0.508 20 0 EBADMM CC[C@H](C(N)=O)N1C[C@@]2(CC[N@@H+](Cc3ncccc3O)C2)OCC1=O ZINC001273326254 816645103 /nfs/dbraw/zinc/64/51/03/816645103.db2.gz YRKBHNBASLDQRX-DYVFJYSZSA-N -1 1 348.403 -0.146 20 0 EBADMM COCCOCN1CC2(CN(Cc3ncccc3[O-])C2)OCC1=O ZINC001273326004 816645333 /nfs/dbraw/zinc/64/53/33/816645333.db2.gz VGABCWKUVZVEEB-UHFFFAOYSA-N -1 1 337.376 -0.179 20 0 EBADMM Cn1cnnc1CN1C(=O)COCC12CN(Cc1ncccc1[O-])C2 ZINC001273326578 816645492 /nfs/dbraw/zinc/64/54/92/816645492.db2.gz GRBNNOMFQAGDQW-UHFFFAOYSA-N -1 1 344.375 -0.471 20 0 EBADMM O=C([C@@H]1CCNC1=O)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088360326 816669677 /nfs/dbraw/zinc/66/96/77/816669677.db2.gz VYUFVFVEHWZDDQ-WDEREUQCSA-N -1 1 336.396 -0.493 20 0 EBADMM O=C([C@@H]1CNC(=O)N1)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088371320 816672180 /nfs/dbraw/zinc/67/21/80/816672180.db2.gz FMWDGKZIQUADDY-ZJUUUORDSA-N -1 1 337.384 -0.948 20 0 EBADMM O=C(Cc1nnc[nH]1)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088411306 816679576 /nfs/dbraw/zinc/67/95/76/816679576.db2.gz DJRQBJDTEHYRND-SNVBAGLBSA-N -1 1 334.384 -0.268 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1Cc1nc(=O)n(C)[nH]1 ZINC001088538757 816699638 /nfs/dbraw/zinc/69/96/38/816699638.db2.gz PKKYFCWJXNHZGJ-ZJUUUORDSA-N -1 1 332.364 -0.398 20 0 EBADMM C[C@@H](C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C)c1cncnc1 ZINC001088812744 816747830 /nfs/dbraw/zinc/74/78/30/816747830.db2.gz PODRACBYWLDLHI-WZRBSPASSA-N -1 1 345.407 -0.219 20 0 EBADMM Cc1n[nH]c(C)c1CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001089034557 816785985 /nfs/dbraw/zinc/78/59/85/816785985.db2.gz CCLHDGQQRLNTAY-YPMHNXCESA-N -1 1 347.423 -0.230 20 0 EBADMM C[C@H](C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C)c1cnn(C)c1 ZINC001089098580 816801125 /nfs/dbraw/zinc/80/11/25/816801125.db2.gz PIOFZJXZPVZUIP-LOWVWBTDSA-N -1 1 347.423 -0.275 20 0 EBADMM CC1(C)C[C@H](NC(=O)Cn2nccn2)CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001089398937 816836898 /nfs/dbraw/zinc/83/68/98/816836898.db2.gz PQIFCRXUOGZLMU-LLVKDONJSA-N -1 1 348.411 -0.091 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ccccc3F)[C@H](O)C2)nc1=O ZINC001090018333 816971815 /nfs/dbraw/zinc/97/18/15/816971815.db2.gz ZUTNZNYXSRHIKH-QWHCGFSZSA-N -1 1 349.366 -0.387 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C3CCCCC3)[C@H](O)C2)nc1=O ZINC001090023818 816972822 /nfs/dbraw/zinc/97/28/22/816972822.db2.gz URXUSIMYVJEXQI-QWHCGFSZSA-N -1 1 337.424 -0.260 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ccsc3)[C@@H](O)C2)nc1=O ZINC001090047030 816983467 /nfs/dbraw/zinc/98/34/67/816983467.db2.gz QVDHXGGPVCQYPL-MNOVXSKESA-N -1 1 337.405 -0.465 20 0 EBADMM Cc1ccoc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001090078548 817011753 /nfs/dbraw/zinc/01/17/53/817011753.db2.gz JWZJQZWVEIZPGF-MNOVXSKESA-N -1 1 335.364 -0.625 20 0 EBADMM Cc1cccnc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001090167092 817085023 /nfs/dbraw/zinc/08/50/23/817085023.db2.gz UMJSOBXQXOIGLT-VXGBXAGGSA-N -1 1 346.391 -0.823 20 0 EBADMM C[C@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)c1cnc2n[nH]nc2c1 ZINC001275574149 817253593 /nfs/dbraw/zinc/25/35/93/817253593.db2.gz PCTFQFYPRDMISZ-MRVPVSSYSA-N -1 1 345.367 -0.975 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C3(C4CC4)CCC3)[C@@H](O)C2)nc1=O ZINC001090463745 817438149 /nfs/dbraw/zinc/43/81/49/817438149.db2.gz PGJFBMMSSLQYON-STQMWFEESA-N -1 1 349.435 -0.260 20 0 EBADMM Cc1c(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)ccn1C ZINC001090553395 817534657 /nfs/dbraw/zinc/53/46/57/817534657.db2.gz ALRWPFUYLPHTLX-OLZOCXBDSA-N -1 1 348.407 -0.879 20 0 EBADMM O=C(NCC1COC1)c1nnc2n1CCN(Cc1ncccc1[O-])C2 ZINC001277318337 817551495 /nfs/dbraw/zinc/55/14/95/817551495.db2.gz OHAMCFDWQGGVMM-UHFFFAOYSA-N -1 1 344.375 -0.229 20 0 EBADMM CN(CCOCCNCc1n[nH]c(=O)[n-]1)C(=O)c1cn2c(n1)CCC2 ZINC001279409396 817927369 /nfs/dbraw/zinc/92/73/69/817927369.db2.gz WCRHKYKUPAWJQC-UHFFFAOYSA-N -1 1 349.395 -0.469 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)Cc3c[nH]cn3)CC2)nc1=O ZINC001279645989 817962208 /nfs/dbraw/zinc/96/22/08/817962208.db2.gz XVGOKGZPWAOWIR-UHFFFAOYSA-N -1 1 331.380 -0.678 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)[C@H]4CCOC4)C[C@]3(C)C2)nc1=O ZINC001091528637 818004366 /nfs/dbraw/zinc/00/43/66/818004366.db2.gz LZYRRPSQOKMZQJ-OZVIIMIRSA-N -1 1 335.408 -0.575 20 0 EBADMM CC(C)C(=O)N1CC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)[C@@H]1C ZINC001281129654 818044364 /nfs/dbraw/zinc/04/43/64/818044364.db2.gz XGHWMXLVMYUPEG-RYUDHWBXSA-N -1 1 336.392 -0.312 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNc1ncnc2c1CCC2 ZINC001093736809 818091351 /nfs/dbraw/zinc/09/13/51/818091351.db2.gz JVAILHFQVMDHST-UHFFFAOYSA-N -1 1 344.375 -0.566 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNc1cncc(Cl)n1 ZINC001093737212 818092093 /nfs/dbraw/zinc/09/20/93/818092093.db2.gz UBAQIWWNEANBRU-UHFFFAOYSA-N -1 1 338.755 -0.402 20 0 EBADMM O=C(CCc1n[nH]c(=O)[n-]c1=O)NCCNc1ncnc2[nH]cnc21 ZINC001094256670 818187414 /nfs/dbraw/zinc/18/74/14/818187414.db2.gz CPVDRRLWSWKOCZ-UHFFFAOYSA-N -1 1 345.323 -0.938 20 0 EBADMM C[C@@H](O)CN1CC(n2cc(CNC(=O)c3ncccc3[O-])nn2)C1 ZINC001094286674 818207498 /nfs/dbraw/zinc/20/74/98/818207498.db2.gz LHPZHJAUDXCMOW-SNVBAGLBSA-N -1 1 332.364 -0.454 20 0 EBADMM C[C@H](CCCCNC(=O)c1ncccc1[O-])NC(=O)Cn1ncnn1 ZINC001281565628 818218606 /nfs/dbraw/zinc/21/86/06/818218606.db2.gz MAIFELVVUOLERG-LLVKDONJSA-N -1 1 347.379 -0.121 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)CC(N)=O)CCN1Cc1cc(=O)n2[n-]ccc2n1 ZINC001281659897 818251158 /nfs/dbraw/zinc/25/11/58/818251158.db2.gz SQWOZCRJFVDCQZ-GHMZBOCLSA-N -1 1 346.391 -0.633 20 0 EBADMM CO[C@H](C)CN1CC(N2C[C@@H](NC(=O)c3ncccc3[O-])CC2=O)C1 ZINC001094732063 818381976 /nfs/dbraw/zinc/38/19/76/818381976.db2.gz VAPKPYQLZPYROK-NEPJUHHUSA-N -1 1 348.403 -0.163 20 0 EBADMM O=C(CCc1ccco1)NCCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001282907080 818524284 /nfs/dbraw/zinc/52/42/84/818524284.db2.gz XHCGFVMRUNGESM-UHFFFAOYSA-N -1 1 344.331 -0.511 20 0 EBADMM C[C@@H](C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1)n1cncn1 ZINC001095333693 818603754 /nfs/dbraw/zinc/60/37/54/818603754.db2.gz HDAOWXCKJMAUJD-USZNOCQGSA-N -1 1 346.395 -0.817 20 0 EBADMM Cc1cc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)cnn1 ZINC001095357954 818609785 /nfs/dbraw/zinc/60/97/85/818609785.db2.gz XIUYEJQVBMUERW-AGIUHOORSA-N -1 1 343.391 -0.258 20 0 EBADMM Cc1nccc(N2CC[C@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)n1 ZINC001096403618 818817928 /nfs/dbraw/zinc/81/79/28/818817928.db2.gz VVROEDRPUCDESX-LBPRGKRZSA-N -1 1 344.375 -0.580 20 0 EBADMM C[C@H](C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1)n1cccn1 ZINC001096835000 818892840 /nfs/dbraw/zinc/89/28/40/818892840.db2.gz WGRXERHVPHYBBQ-LPWJVIDDSA-N -1 1 345.407 -0.212 20 0 EBADMM Cc1c(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)nnn1C ZINC001096909499 818903207 /nfs/dbraw/zinc/90/32/07/818903207.db2.gz XNJRHBSOHPBTRY-MXWKQRLJSA-N -1 1 346.395 -0.919 20 0 EBADMM Cc1nc(CN[C@@H](C)CN(C)C(=O)CCn2cc[n-]c(=O)c2=O)co1 ZINC001283691705 818907415 /nfs/dbraw/zinc/90/74/15/818907415.db2.gz NLPQUSUAOIBFSE-NSHDSACASA-N -1 1 349.391 -0.140 20 0 EBADMM Cc1nnccc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001097445391 818992041 /nfs/dbraw/zinc/99/20/41/818992041.db2.gz BWDFUZCHFYMBIF-RTXFEEFZSA-N -1 1 343.391 -0.258 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)c2n[nH]cc2F)C3)nc1=O ZINC001097797121 819033456 /nfs/dbraw/zinc/03/34/56/819033456.db2.gz LHTCFRDPIRGXME-QNSHHTMESA-N -1 1 335.343 -0.494 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001098052875 819081925 /nfs/dbraw/zinc/08/19/25/819081925.db2.gz QBVKWKCNBYMCER-UTUOFQBUSA-N -1 1 345.407 -0.016 20 0 EBADMM Cn1cncc1CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001098219660 819111797 /nfs/dbraw/zinc/11/17/97/819111797.db2.gz OLJXINLYSBXGGF-RTXFEEFZSA-N -1 1 345.407 -0.694 20 0 EBADMM C[C@@H](CNC(=O)c1cncs1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001284202539 819131033 /nfs/dbraw/zinc/13/10/33/819131033.db2.gz DXXWEBQWYGTDTD-ZETCQYMHSA-N -1 1 347.360 -0.578 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CC1(C)C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001284225402 819138411 /nfs/dbraw/zinc/13/84/11/819138411.db2.gz DSVBXQOIVHCLAK-GHMZBOCLSA-N -1 1 336.392 -0.406 20 0 EBADMM Cc1c[nH]cc1C(=O)N[C@@H](C)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001284281594 819164707 /nfs/dbraw/zinc/16/47/07/819164707.db2.gz ILDRSBDNQBNXPF-NSHDSACASA-N -1 1 347.375 -0.502 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)C1CC=CC1 ZINC001284282250 819165660 /nfs/dbraw/zinc/16/56/60/819165660.db2.gz LNSCXOCFQYSLOV-NSHDSACASA-N -1 1 334.376 -0.486 20 0 EBADMM CC[C@@H](C)C(=O)N[C@H](CC)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285074868 819473137 /nfs/dbraw/zinc/47/31/37/819473137.db2.gz MDHIKWXJLGTWNN-VXGBXAGGSA-N -1 1 338.408 -0.016 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC/C=C/CNC(=O)c1ccon1 ZINC001285487545 819626536 /nfs/dbraw/zinc/62/65/36/819626536.db2.gz KZCRPFGADPXFAJ-OWOJBTEDSA-N -1 1 347.331 -0.983 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)CCc3ccoc3)[C@H](O)C2)nc1=O ZINC001099779274 819688807 /nfs/dbraw/zinc/68/88/07/819688807.db2.gz ZBEZBYPXKGHYPA-QWHCGFSZSA-N -1 1 349.391 -0.614 20 0 EBADMM CCN(C)C(=O)CN1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001099785922 819697311 /nfs/dbraw/zinc/69/73/11/819697311.db2.gz KEVVPTHKQHWZMF-AAEUAGOBSA-N -1 1 336.392 -0.570 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)c1ccoc1 ZINC001285620807 819697561 /nfs/dbraw/zinc/69/75/61/819697561.db2.gz JVCLUFKYPGHNCM-SNVBAGLBSA-N -1 1 334.332 -0.546 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CC12CC2)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285754315 819756947 /nfs/dbraw/zinc/75/69/47/819756947.db2.gz DLTKIFOOEFCXOJ-WDEREUQCSA-N -1 1 334.376 -0.652 20 0 EBADMM CC(C)=C(C)CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001099949074 819960521 /nfs/dbraw/zinc/96/05/21/819960521.db2.gz LULCXMZKNIQDPT-QWHCGFSZSA-N -1 1 337.424 -0.094 20 0 EBADMM CCCC(C)(C)C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001099984285 819989932 /nfs/dbraw/zinc/98/99/32/819989932.db2.gz YNAODXVAVJXIJL-NWDGAFQWSA-N -1 1 339.440 -0.014 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)CN1C(=O)C1CC1 ZINC001287900773 820192142 /nfs/dbraw/zinc/19/21/42/820192142.db2.gz KGPODQWFZJDCGF-ZYHUDNBSSA-N -1 1 334.376 -0.558 20 0 EBADMM CCC(C)(CC)C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001100118930 820246340 /nfs/dbraw/zinc/24/63/40/820246340.db2.gz AIWNXJFEAUARCV-VXGBXAGGSA-N -1 1 339.440 -0.014 20 0 EBADMM NC(=O)CC(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C12CCC2 ZINC001289208405 820379819 /nfs/dbraw/zinc/37/98/19/820379819.db2.gz NAVCCJJRFGIFDR-QWRGUYRKSA-N -1 1 332.360 -0.180 20 0 EBADMM NC(=O)CC(=O)N[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])C12CCC2 ZINC001289208403 820380206 /nfs/dbraw/zinc/38/02/06/820380206.db2.gz NAVCCJJRFGIFDR-MNOVXSKESA-N -1 1 332.360 -0.180 20 0 EBADMM O=C(NCCNC(=O)[C@@H]1CCNC(=O)C1)c1cnc(C2CC2)[n-]c1=O ZINC001292637449 820573220 /nfs/dbraw/zinc/57/32/20/820573220.db2.gz TUGYNLWWVSFDJR-SNVBAGLBSA-N -1 1 347.375 -0.568 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCNC(=O)C1(CF)CC1 ZINC001292996121 820684012 /nfs/dbraw/zinc/68/40/12/820684012.db2.gz KFBRVTHSPSVSCH-UHFFFAOYSA-N -1 1 328.300 -0.508 20 0 EBADMM CN(CCNc1nccnc1F)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001101686890 820879606 /nfs/dbraw/zinc/87/96/06/820879606.db2.gz OPGNZDNDCHHXKQ-UHFFFAOYSA-N -1 1 336.327 -0.574 20 0 EBADMM Cc1ccc(NCCN(C)C(=O)c2cc(=O)n3[n-]cnc3n2)nn1 ZINC001101699050 820884364 /nfs/dbraw/zinc/88/43/64/820884364.db2.gz HFMFUVKCAYSFPP-UHFFFAOYSA-N -1 1 328.336 -0.300 20 0 EBADMM CN(CCNc1nccnc1F)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001101699129 820884601 /nfs/dbraw/zinc/88/46/01/820884601.db2.gz KOYOBLUIOATMQI-UHFFFAOYSA-N -1 1 332.299 -0.469 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)Cc1ccn(C)n1 ZINC001101957387 820973967 /nfs/dbraw/zinc/97/39/67/820973967.db2.gz BIPDCHORVRFTKC-GHMZBOCLSA-N -1 1 333.396 -0.329 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)CO[C@@H]1CCOC1 ZINC001101966740 820978405 /nfs/dbraw/zinc/97/84/05/820978405.db2.gz ZDLJBSARMXZRSO-IJLUTSLNSA-N -1 1 339.396 -0.500 20 0 EBADMM Cc1c(CC(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C)cnn1C ZINC001102035091 821007184 /nfs/dbraw/zinc/00/71/84/821007184.db2.gz LOABLTRXEIFGBB-ZWNOBZJWSA-N -1 1 347.423 -0.021 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H](CNC(=O)C1CCC1)C1CC1 ZINC001294850846 821009014 /nfs/dbraw/zinc/00/90/14/821009014.db2.gz KYUKAIQQGKLPCX-CYBMUJFWSA-N -1 1 348.403 -0.262 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC[C@H](S(N)(=O)=O)C1 ZINC001295134721 821055389 /nfs/dbraw/zinc/05/53/89/821055389.db2.gz WNLWLVCPMQWJFA-ZETCQYMHSA-N -1 1 332.407 -0.328 20 0 EBADMM Cc1ccc(C(=O)NC[C@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)o1 ZINC001295413176 821091227 /nfs/dbraw/zinc/09/12/27/821091227.db2.gz GQVWHWZUDHGELU-JTQLQIEISA-N -1 1 348.359 -0.237 20 0 EBADMM COCCCC(=O)NCCN(C)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001296013180 821193742 /nfs/dbraw/zinc/19/37/42/821193742.db2.gz FHITUWYBUMKVCU-UHFFFAOYSA-N -1 1 342.352 -0.489 20 0 EBADMM CCC1(C(=O)N(C)CCNC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001296153199 821217848 /nfs/dbraw/zinc/21/78/48/821217848.db2.gz LJKJWPUYPLNBGJ-UHFFFAOYSA-N -1 1 336.392 -0.309 20 0 EBADMM NC(=O)CC(=O)NCCN(C(=O)c1cnc(C2CC2)[n-]c1=O)C1CC1 ZINC001296560134 821267413 /nfs/dbraw/zinc/26/74/13/821267413.db2.gz PVFZBNQGQDNWJS-UHFFFAOYSA-N -1 1 347.375 -0.344 20 0 EBADMM CC[C@H](C)CC(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001297039321 821305600 /nfs/dbraw/zinc/30/56/00/821305600.db2.gz UMGMZRBEPXEHOG-NSHDSACASA-N -1 1 336.392 -0.310 20 0 EBADMM CC[C@H](CNC(=O)[C@@H](F)CC)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001297468862 821377760 /nfs/dbraw/zinc/37/77/60/821377760.db2.gz HLPZSCQKUWGHDG-MNOVXSKESA-N -1 1 342.371 -0.314 20 0 EBADMM C[C@H](NC(N)=O)C(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001104115527 821405770 /nfs/dbraw/zinc/40/57/70/821405770.db2.gz MQIFGURIYMHZED-KXUCPTDWSA-N -1 1 339.400 -0.859 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)CNC(=O)[C@H]1CC12CC2 ZINC001297773292 821415819 /nfs/dbraw/zinc/41/58/19/821415819.db2.gz XAVKQFQRYZNXHV-NWDGAFQWSA-N -1 1 348.403 -0.405 20 0 EBADMM O=C(NCC=CCNC(=O)c1ncccc1[O-])c1ccc(=O)[nH]n1 ZINC001298240082 821491923 /nfs/dbraw/zinc/49/19/23/821491923.db2.gz PLYQOJGIJOXBSS-UPHRSURJSA-N -1 1 329.316 -0.001 20 0 EBADMM Cn1ncc(CCC(=O)NC/C=C\CNC(=O)c2ncccc2[O-])n1 ZINC001298356871 821515971 /nfs/dbraw/zinc/51/59/71/821515971.db2.gz NZCIIIWTIDJWEL-IHWYPQMZSA-N -1 1 344.375 -0.049 20 0 EBADMM C[C@H](CNc1nccnc1F)N(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001104617607 821522894 /nfs/dbraw/zinc/52/28/94/821522894.db2.gz RNQBLPNVJRGDOU-MRVPVSSYSA-N -1 1 346.326 -0.081 20 0 EBADMM C[C@@H](CNc1cnc(F)cn1)N(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001104617284 821522958 /nfs/dbraw/zinc/52/29/58/821522958.db2.gz NWBKVVCFRLHRQT-QMMMGPOBSA-N -1 1 346.326 -0.081 20 0 EBADMM C[C@@H](CNc1ncnc2[nH]cnc21)N(C)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001104881041 821561685 /nfs/dbraw/zinc/56/16/85/821561685.db2.gz FHLVQRGESZPPPI-LURJTMIESA-N -1 1 345.323 -0.527 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)[C@@H]1CC12CC2 ZINC001298720893 821590750 /nfs/dbraw/zinc/59/07/50/821590750.db2.gz HOBIGUNUXHATIU-MNOVXSKESA-N -1 1 334.376 -0.652 20 0 EBADMM Cc1nccc(N(C)CCN(C)C(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001105427916 821747860 /nfs/dbraw/zinc/74/78/60/821747860.db2.gz KTOHLOZTXLJZFA-UHFFFAOYSA-N -1 1 346.391 -0.380 20 0 EBADMM Cc1cc(N(C)CCN(C)C(=O)CCn2cc[n-]c(=O)c2=O)ncn1 ZINC001105428123 821749036 /nfs/dbraw/zinc/74/90/36/821749036.db2.gz WBMANNKTVANYNV-UHFFFAOYSA-N -1 1 346.391 -0.380 20 0 EBADMM COC[C@H](NC(=O)CN1C(=O)c2ccccc2C1=O)c1nn[n-]n1 ZINC001303579158 821757804 /nfs/dbraw/zinc/75/78/04/821757804.db2.gz YKPMUCCMDIFHTB-JTQLQIEISA-N -1 1 330.304 -0.700 20 0 EBADMM Cc1cc(CNCCN(C)C(=O)c2c[n-]n3c2nccc3=O)ncn1 ZINC001317638378 822186973 /nfs/dbraw/zinc/18/69/73/822186973.db2.gz CPOYITBVLOBVPX-UHFFFAOYSA-N -1 1 341.375 -0.017 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1CN(Cc2ccns2)C1 ZINC001318161613 822267309 /nfs/dbraw/zinc/26/73/09/822267309.db2.gz NIAFIRMKVCHSMU-UHFFFAOYSA-N -1 1 349.416 -0.369 20 0 EBADMM COCCOCCN1CC[C@@H](NC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001318358549 822297847 /nfs/dbraw/zinc/29/78/47/822297847.db2.gz ZBSCSNZDIRMVCV-GFCCVEGCSA-N -1 1 349.391 -0.510 20 0 EBADMM C[C@](CNCc1n[nH]c(=O)[n-]1)(NC(=O)[C@H]1CCCNC1=O)C1CC1 ZINC001318701556 822354074 /nfs/dbraw/zinc/35/40/74/822354074.db2.gz KZAXCIRGPJJOSK-ZUZCIYMTSA-N -1 1 336.396 -0.589 20 0 EBADMM Cc1cc(NC/C=C\CNC(=O)CCn2cc[n-]c(=O)c2=O)ncn1 ZINC001107257833 823830182 /nfs/dbraw/zinc/83/01/82/823830182.db2.gz RQEKGMARWGTYFN-IHWYPQMZSA-N -1 1 344.375 -0.190 20 0 EBADMM CNC(=O)CC(=O)NC[C@]12CCC[C@H]1N(Cc1n[nH]c(=O)[n-]1)CC2 ZINC001107378963 823850314 /nfs/dbraw/zinc/85/03/14/823850314.db2.gz UXKVVRKUHFOYQE-MEBBXXQBSA-N -1 1 336.396 -0.493 20 0 EBADMM CC[C@H](C)C(=O)NC[C@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107751365 823938044 /nfs/dbraw/zinc/93/80/44/823938044.db2.gz BHAWDVAVJZFKFC-XHDPSFHLSA-N -1 1 325.413 -0.138 20 0 EBADMM COC[C@@H](C)C(=O)NC[C@@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107811944 823975660 /nfs/dbraw/zinc/97/56/60/823975660.db2.gz VPRIVFCHQWEWJY-ABAIWWIYSA-N -1 1 341.412 -0.902 20 0 EBADMM COc1ccnc(NC[C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001107843780 823996708 /nfs/dbraw/zinc/99/67/08/823996708.db2.gz OAFFFFWRPODLIG-MRVPVSSYSA-N -1 1 344.335 -0.553 20 0 EBADMM Cn1[n-]c(CN2CCO[C@](C)(CNC(=O)[C@H]3[C@@H]4CCC[C@@H]43)C2)nc1=O ZINC001107847867 823998052 /nfs/dbraw/zinc/99/80/52/823998052.db2.gz JKHWVCJUJTZSFQ-CXUUEIJPSA-N -1 1 349.435 -0.138 20 0 EBADMM CC[C@@H](C(N)=O)N1CCO[C@@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001107890359 824020674 /nfs/dbraw/zinc/02/06/74/824020674.db2.gz LUXZOEOULZRIRQ-ZBEGNZNMSA-N -1 1 336.392 -0.128 20 0 EBADMM COC[C@@H](O)CN1CCO[C@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001107890686 824021076 /nfs/dbraw/zinc/02/10/76/824021076.db2.gz TUUIFVCEPJVUIL-BLLLJJGKSA-N -1 1 339.392 -0.385 20 0 EBADMM Cn1ncnc1CN1CCO[C@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001107890679 824021389 /nfs/dbraw/zinc/02/13/89/824021389.db2.gz SXKRZBVYCNWKFF-MRXNPFEDSA-N -1 1 346.391 -0.063 20 0 EBADMM C[C@@]1(CNC(=O)c2ncccc2[O-])CN([C@@H]2CCNC2=O)CCO1 ZINC001107890518 824021549 /nfs/dbraw/zinc/02/15/49/824021549.db2.gz ORUHPUVHAYPVGO-BDJLRTHQSA-N -1 1 334.376 -0.504 20 0 EBADMM CNC(=O)[C@@H](C)N1CCO[C@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001107890880 824022350 /nfs/dbraw/zinc/02/23/50/824022350.db2.gz ZAAYCXCOZUDTQV-BDJLRTHQSA-N -1 1 336.392 -0.258 20 0 EBADMM Cc1c[nH]nc1C(=O)NC[C@@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107935590 824047922 /nfs/dbraw/zinc/04/79/22/824047922.db2.gz FZCOPYFYDXXENJ-HNNXBMFYSA-N -1 1 349.395 -0.839 20 0 EBADMM CCCN1CCO[C@@](C)(CNC(=O)CCc2n[nH]c(=O)[n-]c2=O)C1 ZINC001108047467 824104640 /nfs/dbraw/zinc/10/46/40/824104640.db2.gz HBAGFBRLDATGTE-HNNXBMFYSA-N -1 1 339.396 -0.168 20 0 EBADMM CC(C)[C@H](F)C(=O)NC[C@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001108141077 824139728 /nfs/dbraw/zinc/13/97/28/824139728.db2.gz ULCGPNOPELNEEX-SWLSCSKDSA-N -1 1 343.403 -0.190 20 0 EBADMM Cc1cc(NC[C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)ncn1 ZINC001108461548 824295787 /nfs/dbraw/zinc/29/57/87/824295787.db2.gz XGSALLYYKASNCB-VIFPVBQESA-N -1 1 328.336 -0.254 20 0 EBADMM CCOCCN1CC(N2C[C@H](NC(=O)c3ncccc3[O-])CC2=O)C1 ZINC001108534306 824346224 /nfs/dbraw/zinc/34/62/24/824346224.db2.gz LYNNXJXBNRLKGQ-GFCCVEGCSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@@H](CNc1ncnc2[nH]cnc21)NC(=O)c1cnc([O-])n(C)c1=O ZINC001108634863 824414715 /nfs/dbraw/zinc/41/47/15/824414715.db2.gz YXDYRNDNOXYHKE-ZETCQYMHSA-N -1 1 344.335 -0.666 20 0 EBADMM Cc1ccc(N(C)C[C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)nn1 ZINC001109039735 824475796 /nfs/dbraw/zinc/47/57/96/824475796.db2.gz QUCOUDMNYQBRRR-JTQLQIEISA-N -1 1 342.363 -0.229 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)CCn2ccnn2)C3)nc1=O ZINC001109198990 824503585 /nfs/dbraw/zinc/50/35/85/824503585.db2.gz KLUHIPSTHQIVPF-WOPDTQHZSA-N -1 1 346.395 -0.988 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)COCC(F)F)C3)nc1=O ZINC001109557079 824560647 /nfs/dbraw/zinc/56/06/47/824560647.db2.gz KZHOTSFTZIEERE-UTLUCORTSA-N -1 1 345.350 -0.388 20 0 EBADMM O=C(N[C@H](CNc1ncccn1)C1CC1)c1cc(=O)n2[n-]cnc2n1 ZINC001109878838 824617532 /nfs/dbraw/zinc/61/75/32/824617532.db2.gz IUOSMQPDDOADBO-LLVKDONJSA-N -1 1 340.347 -0.172 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1(Nc2nccnc2F)CC1 ZINC001110180407 824673038 /nfs/dbraw/zinc/67/30/38/824673038.db2.gz IVCGOTYCDGJHPG-UHFFFAOYSA-N -1 1 348.338 -0.383 20 0 EBADMM CCO[C@@H](CN1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC1)C1CC1 ZINC001113071397 825748886 /nfs/dbraw/zinc/74/88/86/825748886.db2.gz VVYKEPASRNKYGV-NSHDSACASA-N -1 1 337.380 -0.144 20 0 EBADMM CCO[C@H](CN1CCN(C(=O)Cn2c(=O)[n-][nH]c2=O)CC1)C1CC1 ZINC001113583598 825928510 /nfs/dbraw/zinc/92/85/10/825928510.db2.gz VMKCGENRTXZFPF-GFCCVEGCSA-N -1 1 339.396 -0.351 20 0 EBADMM C[C@@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)N(C)c1ncc(F)cn1 ZINC001113657186 825968474 /nfs/dbraw/zinc/96/84/74/825968474.db2.gz HRTJBWRJCKOVDO-QMMMGPOBSA-N -1 1 346.326 -0.399 20 0 EBADMM CC[C@@H](F)C(=O)N1CC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001352890948 832378927 /nfs/dbraw/zinc/37/89/27/832378927.db2.gz JCGKIFUYUDCEMX-GHMZBOCLSA-N -1 1 340.355 -0.608 20 0 EBADMM C[C@H](CNC(=O)c1n[nH]c(=O)[n-]c1=O)N(C)c1ncnc2[nH]cnc21 ZINC001113968599 826099490 /nfs/dbraw/zinc/09/94/90/826099490.db2.gz XVDYUTXVJOXFGM-ZCFIWIBFSA-N -1 1 345.323 -0.796 20 0 EBADMM CCO[C@H](C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)C1CC1 ZINC001114290280 826196077 /nfs/dbraw/zinc/19/60/77/826196077.db2.gz FJZKDFDGFFNRGP-UNJBNNCHSA-N -1 1 335.408 -0.530 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CCc2ccncn2)nc1=O ZINC001114347163 826211202 /nfs/dbraw/zinc/21/12/02/826211202.db2.gz ZOJLOIHARANIMA-JYAVWHMHSA-N -1 1 343.391 -0.922 20 0 EBADMM CC(C)S(=O)(=O)CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001180152947 833048354 /nfs/dbraw/zinc/04/83/54/833048354.db2.gz SYGVYQCJFWYVAR-UWVGGRQHSA-N -1 1 345.425 -0.588 20 0 EBADMM CC[C@@H](C)C(=O)NCC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001180160068 833049815 /nfs/dbraw/zinc/04/98/15/833049815.db2.gz ARICWLAARNOQRC-VWYCJHECSA-N -1 1 338.412 -0.248 20 0 EBADMM COCCC[C@H](C)C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001115086263 826417186 /nfs/dbraw/zinc/41/71/86/826417186.db2.gz QPSGRRHDRNGSMG-CIQGVGRVSA-N -1 1 337.424 -0.283 20 0 EBADMM C[C@H](CC(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)n1cccn1 ZINC001115089850 826417540 /nfs/dbraw/zinc/41/75/40/826417540.db2.gz VWGRHLBPWNMUMK-FJJYHAOUSA-N -1 1 345.407 -0.497 20 0 EBADMM CCS(=O)(=O)CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001180222025 833058834 /nfs/dbraw/zinc/05/88/34/833058834.db2.gz KCHJMSBWZVIJQX-IUCAKERBSA-N -1 1 331.398 -0.976 20 0 EBADMM CN(Cc1nnc2ccccn21)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001115974365 826593088 /nfs/dbraw/zinc/59/30/88/826593088.db2.gz MGFKWYKKHYYJNX-UHFFFAOYSA-N -1 1 328.332 -0.372 20 0 EBADMM CCN(CC)C(=O)c1ccc(NC(=O)C(=O)NCc2nn[n-]n2)cc1 ZINC001116103104 826599221 /nfs/dbraw/zinc/59/92/21/826599221.db2.gz AQWMJVZPQVFTKN-UHFFFAOYSA-N -1 1 345.363 -0.063 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N[C@H]3CCC[C@H]3CCO)ccnc1-2 ZINC001117389940 826738407 /nfs/dbraw/zinc/73/84/07/826738407.db2.gz XQGZQSDZZQZJEV-JQWIXIFHSA-N -1 1 331.376 -0.052 20 0 EBADMM C[C@@H]1CN(C(=O)CS(C)(=O)=O)C[C@@]1(C)CNCc1n[nH]c(=O)[n-]1 ZINC001180793877 833111314 /nfs/dbraw/zinc/11/13/14/833111314.db2.gz ISXOQVMIEXERIS-NOZJJQNGSA-N -1 1 345.425 -0.871 20 0 EBADMM COC(=O)[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1cccnc1 ZINC001118379331 826919892 /nfs/dbraw/zinc/91/98/92/826919892.db2.gz XVPWAWBZVHQOKX-GFCCVEGCSA-N -1 1 332.316 -0.648 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H](CN2CCCC2=O)C1 ZINC001118737793 826993756 /nfs/dbraw/zinc/99/37/56/826993756.db2.gz MKGAZXCFUHMAES-LBPRGKRZSA-N -1 1 334.376 -0.602 20 0 EBADMM O=C([O-])[C@]1(C(=O)NC[C@@]2(C(F)(F)F)CCCN2)CNCCO1 ZINC001122198047 827543767 /nfs/dbraw/zinc/54/37/67/827543767.db2.gz BLKYPUNZMXUAOC-GHMZBOCLSA-N -1 1 325.287 -0.770 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2CCn3nc(C(F)(F)F)cc3C2)CNCCO1 ZINC001122285621 827566575 /nfs/dbraw/zinc/56/65/75/827566575.db2.gz WHQMTDCCOJCLQD-LBPRGKRZSA-N -1 1 348.281 -0.313 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N[C@H]2COc3c(F)cc(F)cc3C2)CNCCO1 ZINC001122465872 827599838 /nfs/dbraw/zinc/59/98/38/827599838.db2.gz FQEYLRMLWFSWAO-BMIGLBTASA-N -1 1 342.298 -0.172 20 0 EBADMM O=C(CC[C@@H]1NC(=S)N(c2ccccc2)C1=O)NCc1nn[n-]n1 ZINC001182858448 833264078 /nfs/dbraw/zinc/26/40/78/833264078.db2.gz SVAJCUXTCVNZEZ-JTQLQIEISA-N -1 1 345.388 -0.114 20 0 EBADMM CC[C@@H](CO)C(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001183174471 833282911 /nfs/dbraw/zinc/28/29/11/833282911.db2.gz SHUWCJLZHQHBFD-ONGXEEELSA-N -1 1 326.353 -0.954 20 0 EBADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=O)Cn1cc(F)c(=O)[nH]c1=O ZINC001183656069 833298523 /nfs/dbraw/zinc/29/85/23/833298523.db2.gz ZJBHVBXYEHBJBG-UHFFFAOYSA-N -1 1 326.200 -0.674 20 0 EBADMM CC(C)(C)OC(=O)N1CCOC[C@]1(C)C(=O)NCc1nn[n-]n1 ZINC001183633087 833297483 /nfs/dbraw/zinc/29/74/83/833297483.db2.gz ANXFDZIHJSFJAW-CYBMUJFWSA-N -1 1 326.357 -0.158 20 0 EBADMM CCC(=O)NCc1n[nH]c([C@H]2COCCN2Cc2n[nH]c(=O)[n-]2)n1 ZINC001130825857 828951908 /nfs/dbraw/zinc/95/19/08/828951908.db2.gz NDHJFRXTJNFAFZ-MRVPVSSYSA-N -1 1 336.356 -0.772 20 0 EBADMM CCC(=O)NCc1nnc([C@H]2COCCN2Cc2n[nH]c(=O)[n-]2)[nH]1 ZINC001130825857 828951909 /nfs/dbraw/zinc/95/19/09/828951909.db2.gz NDHJFRXTJNFAFZ-MRVPVSSYSA-N -1 1 336.356 -0.772 20 0 EBADMM CN1C(=O)Cc2ccc(C(=O)NCCNCc3n[nH]c(=O)[n-]3)cc21 ZINC001130901439 828993313 /nfs/dbraw/zinc/99/33/13/828993313.db2.gz WYAZUEHBWYHGFA-UHFFFAOYSA-N -1 1 330.348 -0.451 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cncc(-n2ccnn2)c1 ZINC001131837205 829241183 /nfs/dbraw/zinc/24/11/83/829241183.db2.gz VCTBZNBURLPPMZ-UHFFFAOYSA-N -1 1 329.324 -0.994 20 0 EBADMM CCO[C@H](C(=O)NCCNCc1n[nH]c(=O)[n-]1)C1CCOCC1 ZINC001131889246 829261202 /nfs/dbraw/zinc/26/12/02/829261202.db2.gz KELUHDHZGAUWBP-LBPRGKRZSA-N -1 1 327.385 -0.452 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)C(C)(C)C(N)=O)CN1Cc1nc(=O)n(C)[n-]1 ZINC001132120977 829342860 /nfs/dbraw/zinc/34/28/60/829342860.db2.gz YDUVVMXTWSHIDS-VHSXEESVSA-N -1 1 338.412 -0.911 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)CCCC(N)=O)CN1Cc1nc(=O)n(C)[n-]1 ZINC001132537731 829480587 /nfs/dbraw/zinc/48/05/87/829480587.db2.gz QEFSTXYNYBXHDX-WDEREUQCSA-N -1 1 338.412 -0.767 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)[C@@H]1CCN(CC(F)(F)F)C1 ZINC001132908539 829549476 /nfs/dbraw/zinc/54/94/76/829549476.db2.gz AFMTUGKXWKVAPW-MRVPVSSYSA-N -1 1 336.318 -0.400 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)[C@@H]1CCCN(CC(F)F)C1 ZINC001132985672 829568125 /nfs/dbraw/zinc/56/81/25/829568125.db2.gz MZUIZVANSDJCRY-SECBINFHSA-N -1 1 332.355 -0.307 20 0 EBADMM COCCCn1cc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001186927951 833440721 /nfs/dbraw/zinc/44/07/21/833440721.db2.gz UUESIIFWQUAYPF-GFCCVEGCSA-N -1 1 349.395 -0.252 20 0 EBADMM O=C(Cn1c(=O)[nH]c2ccccc21)NCCNCc1n[nH]c(=O)[n-]1 ZINC001134362775 829758901 /nfs/dbraw/zinc/75/89/01/829758901.db2.gz JZDLNWANLDIJPJ-UHFFFAOYSA-N -1 1 331.336 -0.528 20 0 EBADMM C[C@@H](C[C@H](C)NC(=O)CCS(C)(=O)=O)NCc1n[nH]c(=O)[n-]1 ZINC001134748190 829839243 /nfs/dbraw/zinc/83/92/43/829839243.db2.gz JSRDIFXWCYEASA-IUCAKERBSA-N -1 1 333.414 -0.682 20 0 EBADMM C[C@H](C[C@@H](C)NC(=O)c1n[nH]c(=O)[n-]c1=O)NCc1cnn(C)n1 ZINC001134863642 829860466 /nfs/dbraw/zinc/86/04/66/829860466.db2.gz KVVMCFZOTXJJSR-HTQZYQBOSA-N -1 1 336.356 -0.902 20 0 EBADMM C[C@@H](C[C@H](C)NC(=O)c1n[nH]c(=O)[n-]c1=O)NCc1nncs1 ZINC001134868607 829861651 /nfs/dbraw/zinc/86/16/51/829861651.db2.gz STAGNTGMYNNDNK-BQBZGAKWSA-N -1 1 339.381 -0.179 20 0 EBADMM C[C@H](C[C@H](C)NCc1nncs1)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001134868608 829862100 /nfs/dbraw/zinc/86/21/00/829862100.db2.gz STAGNTGMYNNDNK-NKWVEPMBSA-N -1 1 339.381 -0.179 20 0 EBADMM COc1ccnc(CNCCNC(=O)c2c[n-]n3c2nccc3=O)c1 ZINC001134938895 829874047 /nfs/dbraw/zinc/87/40/47/829874047.db2.gz LBEJNDOQDRLRBQ-UHFFFAOYSA-N -1 1 342.359 -0.054 20 0 EBADMM Cc1nc([C@H](C)NCCNC(=O)c2c[n-]n3c2nccc3=O)n[nH]1 ZINC001134942118 829876233 /nfs/dbraw/zinc/87/62/33/829876233.db2.gz ZVSLLAHEQDSPGF-QMMMGPOBSA-N -1 1 330.352 -0.470 20 0 EBADMM C[C@H](C[C@@H](C)NC(=O)c1cnc([O-])n(C)c1=O)NCc1ncccn1 ZINC001135175006 829914752 /nfs/dbraw/zinc/91/47/52/829914752.db2.gz GFDKJNXXMSMRSP-GHMZBOCLSA-N -1 1 346.391 -0.037 20 0 EBADMM Cc1nccnc1CNCCNC(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC001135465442 829971028 /nfs/dbraw/zinc/97/10/28/829971028.db2.gz FTKDNGDSIFMTKZ-UHFFFAOYSA-N -1 1 342.363 -0.924 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)NCCNCc1ccccn1 ZINC001135465907 829972277 /nfs/dbraw/zinc/97/22/77/829972277.db2.gz WBJJQAJUTAQZAL-UHFFFAOYSA-N -1 1 327.348 -0.628 20 0 EBADMM CNC(=O)NC(C)(C)C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001211248336 837414354 /nfs/dbraw/zinc/41/43/54/837414354.db2.gz GAWSUBMOKDEHMD-SECBINFHSA-N -1 1 339.400 -0.702 20 0 EBADMM CC(C)(C)OC(=O)N1CCC[C@@](O)(CC(=O)[N-]OCC(N)=O)C1 ZINC001137887853 830095987 /nfs/dbraw/zinc/09/59/87/830095987.db2.gz ZAMWEIIXSAYIRJ-CQSZACIVSA-N -1 1 331.369 -0.328 20 0 EBADMM CC(C)(CNC(=O)CCn1cc[n-]c(=O)c1=O)C1(O)CCOCC1 ZINC001142573131 830132288 /nfs/dbraw/zinc/13/22/88/830132288.db2.gz YZSJFNZPGIYUOA-UHFFFAOYSA-N -1 1 339.392 -0.389 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)Nc1ccc(=O)n(CC(N)=O)c1 ZINC001146200203 830180465 /nfs/dbraw/zinc/18/04/65/830180465.db2.gz HFENAYFHUDQPCE-UHFFFAOYSA-N -1 1 335.345 -0.321 20 0 EBADMM C[C@H](NC(=O)CC(C)(C)C)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001147376549 830332051 /nfs/dbraw/zinc/33/20/51/830332051.db2.gz IZEXFUWEBLKDOP-VIFPVBQESA-N -1 1 326.401 -0.343 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCN[C@@H](C)c1nc(C)no1 ZINC001147706512 830398880 /nfs/dbraw/zinc/39/88/80/830398880.db2.gz YRZKLGYSSRKQSX-LURJTMIESA-N -1 1 338.324 -0.332 20 0 EBADMM CN(C)c1nc(NC(=O)c2nccnc2C(N)=O)c(N=O)c(=O)[n-]1 ZINC001147752263 830406420 /nfs/dbraw/zinc/40/64/20/830406420.db2.gz MJVHSYQITNWQQU-UHFFFAOYSA-N -1 1 332.280 -0.213 20 0 EBADMM CN(C)C(=O)c1ccccc1C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001148165311 830450260 /nfs/dbraw/zinc/45/02/60/830450260.db2.gz GLNZQXZBNZSKTC-UHFFFAOYSA-N -1 1 332.364 -0.268 20 0 EBADMM Nc1nc(=O)c(F)cn1C(=O)c1cc(S(=O)(=O)[O-])ccc1O ZINC001148926797 830557551 /nfs/dbraw/zinc/55/75/51/830557551.db2.gz VHCRYUHOUMFTFQ-UHFFFAOYSA-N -1 1 329.265 -0.152 20 0 EBADMM CS[C@@H](C)C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149261704 830628237 /nfs/dbraw/zinc/62/82/37/830628237.db2.gz AUZNVETZCLLOBT-QWRGUYRKSA-N -1 1 343.453 -0.433 20 0 EBADMM CO[C@H](C)CC(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149414935 830659803 /nfs/dbraw/zinc/65/98/03/830659803.db2.gz HTAXEURINRUXFR-VXGBXAGGSA-N -1 1 341.412 -0.760 20 0 EBADMM CC1=NS(=O)(=O)N(C)C=C1C(=O)[N-]OCCN1CCCC1=O ZINC001149452581 830669901 /nfs/dbraw/zinc/66/99/01/830669901.db2.gz WSVHHZXONCMTAM-UHFFFAOYSA-N -1 1 330.366 -0.808 20 0 EBADMM O=C(Cc1ccc2c(c1)OCCO2)NCCNCc1n[nH]c(=O)[n-]1 ZINC001150121376 830823974 /nfs/dbraw/zinc/82/39/74/830823974.db2.gz NKVDVNBYLCKWGO-UHFFFAOYSA-N -1 1 333.348 -0.270 20 0 EBADMM Cc1nn(CC(=O)N2CCOC[C@H]2c2nn[n-]n2)c2ncccc12 ZINC001150811560 830988994 /nfs/dbraw/zinc/98/89/94/830988994.db2.gz ZDRNKUHLYPSSDG-NSHDSACASA-N -1 1 328.336 -0.147 20 0 EBADMM CCCC(=O)N1CCC[C@H](C(=O)NCCNCc2n[nH]c(=O)[n-]2)C1 ZINC001152109754 831132821 /nfs/dbraw/zinc/13/28/21/831132821.db2.gz KYGDNFXPBHRCGT-NSHDSACASA-N -1 1 338.412 -0.245 20 0 EBADMM C[C@H](NC(=O)C1CCCCC1)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001152195438 831141138 /nfs/dbraw/zinc/14/11/38/831141138.db2.gz MLWGEDYDMWKDCU-JTQLQIEISA-N -1 1 338.412 -0.199 20 0 EBADMM CCC[C@H](C)N1C[C@@H](C(=O)NCCNCc2n[nH]c(=O)[n-]2)CC1=O ZINC001152245362 831144535 /nfs/dbraw/zinc/14/45/35/831144535.db2.gz FCFVDPKQCKOTTN-QWRGUYRKSA-N -1 1 338.412 -0.247 20 0 EBADMM Cc1oc2ncn(C)c(=O)c2c1C(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001152994026 831202439 /nfs/dbraw/zinc/20/24/39/831202439.db2.gz JECJOAJRHGUZJU-MRVPVSSYSA-N -1 1 329.320 -0.022 20 0 EBADMM O=C(C[C@@H]1C(=O)Nc2ccccc21)NCCNCc1n[nH]c(=O)[n-]1 ZINC001153387388 831231144 /nfs/dbraw/zinc/23/11/44/831231144.db2.gz RPWGUTXLPYCOHZ-JTQLQIEISA-N -1 1 330.348 -0.158 20 0 EBADMM O=C(NCCOCCN1C(=O)C=CC1=O)c1[n-][nH]c2cc(=O)ccc1-2 ZINC001155273280 831397586 /nfs/dbraw/zinc/39/75/86/831397586.db2.gz LGDOQXURABDCFN-UHFFFAOYSA-N -1 1 344.327 -0.060 20 0 EBADMM CO[C@@]1(CNC(=O)C(=O)c2ccc([O-])cc2)CCS(=O)(=O)C1 ZINC001155939034 831442362 /nfs/dbraw/zinc/44/23/62/831442362.db2.gz OXSFIEOGRVTBGF-CQSZACIVSA-N -1 1 327.358 -0.105 20 0 EBADMM CCN(Cc1cnon1)[C@H](C)CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001156359465 831472429 /nfs/dbraw/zinc/47/24/29/831472429.db2.gz UJPSASAGPJMNLU-SECBINFHSA-N -1 1 346.351 -0.559 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NCCCn1cccnc1=O ZINC001156998615 831523594 /nfs/dbraw/zinc/52/35/94/831523594.db2.gz PWFSZLZPYNGOQC-UHFFFAOYSA-N -1 1 331.332 -0.720 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)/C=C\c1ccc[nH]1 ZINC001212172766 837566634 /nfs/dbraw/zinc/56/66/34/837566634.db2.gz QYJXMDXPYUJVHR-NTQVKLLNSA-N -1 1 346.391 -0.535 20 0 EBADMM Cn1cncc1C(=O)NCCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001160622368 831871005 /nfs/dbraw/zinc/87/10/05/831871005.db2.gz BXMMOAWPIANNTP-UHFFFAOYSA-N -1 1 345.407 -0.206 20 0 EBADMM CON(C)C(=O)c1cc(C)cnc1Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001160852630 831895019 /nfs/dbraw/zinc/89/50/19/831895019.db2.gz BMIOXNZULNINAB-QMMMGPOBSA-N -1 1 337.361 -0.029 20 0 EBADMM COC(=O)c1cc2cnc(Nc3c(O)[nH]c(=O)[n-]c3=S)nc2n1C ZINC001160854680 831896957 /nfs/dbraw/zinc/89/69/57/831896957.db2.gz XYHNAWVOHQSXJW-ZETCQYMHSA-N -1 1 348.344 -0.298 20 0 EBADMM Cn1[n-]c(CN2CC=C(CCNC(=O)[C@H]3[C@@H]4COC[C@@H]43)CC2)nc1=O ZINC001160953355 831910412 /nfs/dbraw/zinc/91/04/12/831910412.db2.gz DOAGNLNEVFAXHA-NHAGDIPZSA-N -1 1 347.419 -0.361 20 0 EBADMM O=C(Cc1nnc[nH]1)NCCC1=CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001161682911 831982283 /nfs/dbraw/zinc/98/22/83/831982283.db2.gz CVHYDRAQXGIYHO-UHFFFAOYSA-N -1 1 332.368 -0.491 20 0 EBADMM Cn1c(CNCCCNC(=O)c2n[nH]c(=O)[n-]c2=O)nnc1C1CC1 ZINC001161872325 831998865 /nfs/dbraw/zinc/99/88/65/831998865.db2.gz BBMBFVZOCHKEOT-UHFFFAOYSA-N -1 1 348.367 -0.802 20 0 EBADMM CCOC(=O)CN1CCN(c2nc(C)cc3c2C(=O)[N-]C3=O)CC1 ZINC001163803466 832140797 /nfs/dbraw/zinc/14/07/97/832140797.db2.gz WBCDLHCILZFFJQ-UHFFFAOYSA-N -1 1 332.360 -0.041 20 0 EBADMM C[C@@H]1C[C@H]1CC(=O)NCCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001350292132 832149555 /nfs/dbraw/zinc/14/95/55/832149555.db2.gz OOFFNRLYUVNCLI-NEPJUHHUSA-N -1 1 336.392 -0.405 20 0 EBADMM CCOC(=O)c1nc([C@H](C)NC(=O)CCN2C(=O)CCC2=O)n[n-]1 ZINC001166127975 832249606 /nfs/dbraw/zinc/24/96/06/832249606.db2.gz VTNKGXLPMBRPAS-QMMMGPOBSA-N -1 1 337.336 -0.302 20 0 EBADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CCN2C(=O)CCC2=O)[n-]1 ZINC001166127975 832249608 /nfs/dbraw/zinc/24/96/08/832249608.db2.gz VTNKGXLPMBRPAS-QMMMGPOBSA-N -1 1 337.336 -0.302 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CCN2C(=O)CCC2=O)n1 ZINC001166127975 832249610 /nfs/dbraw/zinc/24/96/10/832249610.db2.gz VTNKGXLPMBRPAS-QMMMGPOBSA-N -1 1 337.336 -0.302 20 0 EBADMM O=C(NN1CC(=O)[N-]C1=O)c1ccc(C(=O)N2CCOCC2)cc1 ZINC001351691922 832270650 /nfs/dbraw/zinc/27/06/50/832270650.db2.gz BHINHVVNVYEBHJ-UHFFFAOYSA-N -1 1 332.316 -0.644 20 0 EBADMM COc1ccc(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)nn1 ZINC001167634402 832360690 /nfs/dbraw/zinc/36/06/90/832360690.db2.gz DJLATIAHRPDSDE-UHFFFAOYSA-N -1 1 347.379 -0.001 20 0 EBADMM COCCCOCC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167662492 832362845 /nfs/dbraw/zinc/36/28/45/832362845.db2.gz UAOGCBCWGCIBHS-UHFFFAOYSA-N -1 1 341.412 -0.061 20 0 EBADMM O=C(NCCCNCc1nncs1)c1c[n-]n2c1nccc2=O ZINC001167784220 832372328 /nfs/dbraw/zinc/37/23/28/832372328.db2.gz VEFKEMRHKOYZBJ-UHFFFAOYSA-N -1 1 333.377 -0.216 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)NCCCNCc1cscn1 ZINC001168652850 832430875 /nfs/dbraw/zinc/43/08/75/832430875.db2.gz AUTDEUNFTREJPN-UHFFFAOYSA-N -1 1 347.404 -0.176 20 0 EBADMM CC(C)(C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001354126264 832466442 /nfs/dbraw/zinc/46/64/42/832466442.db2.gz UYMUELUEMRCSQH-PJXYFTJBSA-N -1 1 348.403 -0.454 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C(=O)N1CC[C@H](C)C1 ZINC001354345817 832479452 /nfs/dbraw/zinc/47/94/52/832479452.db2.gz ITDTVOJWBFXERW-QWRGUYRKSA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@@H]1CN(C(=O)[C@]2(C(=O)[O-])CNCCO2)CC[C@@H](C(F)(F)F)O1 ZINC001354580541 832504229 /nfs/dbraw/zinc/50/42/29/832504229.db2.gz JHGLMDWDERVWTP-PTRXPTGYSA-N -1 1 340.298 -0.002 20 0 EBADMM C[C@H](CCNC(=O)C(F)F)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001355046370 832545683 /nfs/dbraw/zinc/54/56/83/832545683.db2.gz ZHTHZFZUFYQJJN-MRVPVSSYSA-N -1 1 332.307 -0.797 20 0 EBADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C1CCC2(CC1)NC(=O)NC2=O ZINC001176594781 832681687 /nfs/dbraw/zinc/68/16/87/832681687.db2.gz UYWPOVMBQMIBAY-UHFFFAOYSA-N -1 1 349.395 -0.047 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCCn2nnc(Cn3ccnc3)c2C1 ZINC001176840970 832694203 /nfs/dbraw/zinc/69/42/03/832694203.db2.gz ZPFRBDUJPFHZMH-UHFFFAOYSA-N -1 1 328.340 -0.989 20 0 EBADMM CN(C(=O)CN1CCN(C(=O)Cc2nn[n-]n2)CC1)c1ccccc1 ZINC001176840437 832694488 /nfs/dbraw/zinc/69/44/88/832694488.db2.gz KAQUFHMQCDEQMF-UHFFFAOYSA-N -1 1 343.391 -0.451 20 0 EBADMM C[C@H]1[C@H](NC(=O)[C@@H]2CCc3[nH]cnc3C2)C(=O)N1S(=O)(=O)[O-] ZINC001177182342 832768965 /nfs/dbraw/zinc/76/89/65/832768965.db2.gz ZRHIWMJXCOAZMA-PJKMHFRUSA-N -1 1 328.350 -0.967 20 0 EBADMM CCOC(=O)C1=C(O)CCN(C(=O)Cn2nn[n-]c2=S)CC1 ZINC001177739821 832800583 /nfs/dbraw/zinc/80/05/83/832800583.db2.gz WQTNNSBGSVHVIV-QMMMGPOBSA-N -1 1 327.366 -0.667 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@@H](C)CC(F)F ZINC001213187802 837719506 /nfs/dbraw/zinc/71/95/06/837719506.db2.gz GSTDOPBKNZSXEB-IVZWLZJFSA-N -1 1 347.366 -0.285 20 0 EBADMM CC(C)CC(=O)NCCC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001188421874 834045974 /nfs/dbraw/zinc/04/59/74/834045974.db2.gz KTXWKHOUAFMQRF-LLVKDONJSA-N -1 1 338.412 -0.247 20 0 EBADMM O=C(COC[C@@H]1CCCO1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001188438743 834051232 /nfs/dbraw/zinc/05/12/32/834051232.db2.gz UUEIHCXKPFMLPK-MNOVXSKESA-N -1 1 325.369 -0.604 20 0 EBADMM C[C@@]1(CCC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CCC(=O)N1 ZINC001188511811 834059527 /nfs/dbraw/zinc/05/95/27/834059527.db2.gz IVDKFEUACLLDJH-BMIGLBTASA-N -1 1 336.396 -0.350 20 0 EBADMM CCC[C@H](OC)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1OC ZINC001213254252 837734014 /nfs/dbraw/zinc/73/40/14/837734014.db2.gz MEZFQLNGYLPGJO-GRYCIOLGSA-N -1 1 341.412 -0.761 20 0 EBADMM O=C(NCc1nn[n-]n1)c1cc(I)c[nH]c1=O ZINC001190613908 834301133 /nfs/dbraw/zinc/30/11/33/834301133.db2.gz HKLUUMZPHRMELC-UHFFFAOYSA-N -1 1 346.088 -0.165 20 0 EBADMM CO[C@@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1OC)C(C)C ZINC001213379679 837760863 /nfs/dbraw/zinc/76/08/63/837760863.db2.gz BNWCSXKAFKWWCV-NQBHXWOUSA-N -1 1 341.412 -0.905 20 0 EBADMM O=S(=O)(Cc1ccc(Cl)cc1F)[N-]C(CO)(CO)CO ZINC001191914816 834569539 /nfs/dbraw/zinc/56/95/39/834569539.db2.gz LYQBPOOGBZVCES-UHFFFAOYSA-N -1 1 327.761 -0.386 20 0 EBADMM CNC(=O)[C@@H](C)N(C)[C@H]1CCN(C(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001192713979 834721478 /nfs/dbraw/zinc/72/14/78/834721478.db2.gz YBRXPBLOTNKVNM-MNOVXSKESA-N -1 1 346.391 -0.697 20 0 EBADMM COC(=O)[C@@H](Cc1cncn1C)[N-]S(=O)(=O)C[C@@H]1CCCO1 ZINC001193299409 834828757 /nfs/dbraw/zinc/82/87/57/834828757.db2.gz QHYHWLVKDCEPLE-NWDGAFQWSA-N -1 1 331.394 -0.397 20 0 EBADMM COCC[C@@H](C)C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001194134480 835032521 /nfs/dbraw/zinc/03/25/21/835032521.db2.gz JCAWVEDKBVPVCV-VXGBXAGGSA-N -1 1 325.413 -0.186 20 0 EBADMM CCNC(=O)CCC(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001195261168 835205598 /nfs/dbraw/zinc/20/55/98/835205598.db2.gz WOKJWGXJGPAORP-UHFFFAOYSA-N -1 1 338.412 -0.941 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2snnc2CO)c1 ZINC001196359773 835403976 /nfs/dbraw/zinc/40/39/76/835403976.db2.gz SWJUAZQOJNYLGN-UHFFFAOYSA-N -1 1 344.374 -0.104 20 0 EBADMM CNS(=O)(=O)c1ccc(O)c([N-]C(=O)c2snnc2CO)c1 ZINC001196359773 835403979 /nfs/dbraw/zinc/40/39/79/835403979.db2.gz SWJUAZQOJNYLGN-UHFFFAOYSA-N -1 1 344.374 -0.104 20 0 EBADMM CCC[C@](C)(CC)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001197220755 835525388 /nfs/dbraw/zinc/52/53/88/835525388.db2.gz IVESCUKYYKFYOG-HSMVNMDESA-N -1 1 339.440 -0.014 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCC[P@](=O)([O-])O)ccc1O ZINC001197257239 835527522 /nfs/dbraw/zinc/52/75/22/835527522.db2.gz WALSSEDPFHZZTB-UHFFFAOYSA-N -1 1 339.262 -0.365 20 0 EBADMM CCC[C@@H](CC)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001197264220 835527985 /nfs/dbraw/zinc/52/79/85/835527985.db2.gz CCSXWNLHRREDIC-IJLUTSLNSA-N -1 1 325.413 -0.404 20 0 EBADMM COCC[C@H](C)C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001198176284 835649755 /nfs/dbraw/zinc/64/97/55/835649755.db2.gz PXYDNBMXABGZAS-LBPRGKRZSA-N -1 1 325.413 -0.185 20 0 EBADMM COCC[C@H](C)C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001202097646 836253125 /nfs/dbraw/zinc/25/31/25/836253125.db2.gz KCLLREJDYXCXAR-RYUDHWBXSA-N -1 1 341.412 -0.110 20 0 EBADMM O=C(CCn1cncn1)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202481307 836306546 /nfs/dbraw/zinc/30/65/46/836306546.db2.gz RWKZNBJSQCRFRV-MNOVXSKESA-N -1 1 346.395 -0.291 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C[C@@](C)(O)C1CC1 ZINC001206883663 836691111 /nfs/dbraw/zinc/69/11/11/836691111.db2.gz LHGHHYYBRSRMRL-NSODJVPESA-N -1 1 337.424 -0.404 20 0 EBADMM O=C(CNC(=O)c1ccoc1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001208165538 836953157 /nfs/dbraw/zinc/95/31/57/836953157.db2.gz KLKMNLOPGOXFJQ-NSHDSACASA-N -1 1 348.363 -0.386 20 0 EBADMM CS(=O)(=O)CCC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001208432407 837035448 /nfs/dbraw/zinc/03/54/48/837035448.db2.gz AZCFJXFVRQZNEJ-SECBINFHSA-N -1 1 331.398 -0.974 20 0 EBADMM CCC[C@@H](NC(N)=O)C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210853130 837338307 /nfs/dbraw/zinc/33/83/07/837338307.db2.gz XUUZQQLONKKTAW-NXEZZACHSA-N -1 1 339.400 -0.572 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1cnn(-c2ncccn2)c1 ZINC001318755033 838030839 /nfs/dbraw/zinc/03/08/39/838030839.db2.gz PRYHLGRNUDKRGG-UHFFFAOYSA-N -1 1 327.304 -0.459 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCN2C(=O)CSC2=O)co1 ZINC001319600426 838156515 /nfs/dbraw/zinc/15/65/15/838156515.db2.gz RMNAOYHTEOWUIR-UHFFFAOYSA-N -1 1 347.374 -0.387 20 0 EBADMM Cn1cc(C=CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c(=O)n(C)c1=O ZINC001319663978 838163973 /nfs/dbraw/zinc/16/39/73/838163973.db2.gz ZQKUEMWIVFQFKO-BRAIEQGRSA-N -1 1 345.363 -0.984 20 0 EBADMM Cn1c2cccc(C(=O)Nc3nc(S(C)(=O)=O)n[n-]3)c2oc1=O ZINC001320230028 838717388 /nfs/dbraw/zinc/71/73/88/838717388.db2.gz RWOBOOFGYSKYBJ-UHFFFAOYSA-N -1 1 337.317 -0.095 20 0 EBADMM Cn1c2cccc(C(=O)Nc3nnc(S(C)(=O)=O)[n-]3)c2oc1=O ZINC001320230028 838717394 /nfs/dbraw/zinc/71/73/94/838717394.db2.gz RWOBOOFGYSKYBJ-UHFFFAOYSA-N -1 1 337.317 -0.095 20 0 EBADMM O=C(CC(F)(F)C(F)F)NC[C@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001320276379 838739568 /nfs/dbraw/zinc/73/95/68/838739568.db2.gz ZOSKZLSRGVVGKN-RXMQYKEDSA-N -1 1 329.254 -0.633 20 0 EBADMM O=C(Nc1ccc(-c2cc[nH]n2)cc1)C(=O)NN1CC(=O)[N-]C1=O ZINC001321386676 838977733 /nfs/dbraw/zinc/97/77/33/838977733.db2.gz SSQRLBGLQLFTKH-UHFFFAOYSA-N -1 1 328.288 -0.402 20 0 EBADMM O=C(Cc1cn2ccccc2n1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001322554545 839200234 /nfs/dbraw/zinc/20/02/34/839200234.db2.gz DPURXONMCDVLIN-UHFFFAOYSA-N -1 1 327.348 -0.100 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N[C@H]3CCC(=O)N(C)C3)ccnc1-2 ZINC001322925417 839298713 /nfs/dbraw/zinc/29/87/13/839298713.db2.gz HYXANHWIUJANHB-VIFPVBQESA-N -1 1 330.348 -0.983 20 0 EBADMM O=C(N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)c1cnn2ncccc12 ZINC001323014414 839323628 /nfs/dbraw/zinc/32/36/28/839323628.db2.gz JJKDCYAAMDFYKZ-LLVKDONJSA-N -1 1 342.363 -0.149 20 0 EBADMM CCOC(=O)c1ccccc1NC(=O)C(=O)NN1CC(=O)[N-]C1=O ZINC001323042457 839331920 /nfs/dbraw/zinc/33/19/20/839331920.db2.gz PYGWIFYUUYMILM-UHFFFAOYSA-N -1 1 334.288 -0.615 20 0 EBADMM O=C(Nc1cccnc1OCC(F)F)C(=O)NN1CC(=O)[N-]C1=O ZINC001323272638 839399023 /nfs/dbraw/zinc/39/90/23/839399023.db2.gz FROHWOOKSCCDGB-UHFFFAOYSA-N -1 1 343.246 -0.753 20 0 EBADMM COCCOCCN(C)C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001323525736 839463441 /nfs/dbraw/zinc/46/34/41/839463441.db2.gz FCIUVVVGJNWBBH-UHFFFAOYSA-N -1 1 335.364 -0.598 20 0 EBADMM Cc1nc(CNC2(CNC(=O)c3c[n-]n4c3nccc4=O)CC2)n[nH]1 ZINC001323568728 839473471 /nfs/dbraw/zinc/47/34/71/839473471.db2.gz WEEFOHYVDNXBBX-UHFFFAOYSA-N -1 1 342.363 -0.499 20 0 EBADMM O=C(Nc1ccc(OCC(F)F)cc1)C(=O)NN1CC(=O)[N-]C1=O ZINC001323709479 839504658 /nfs/dbraw/zinc/50/46/58/839504658.db2.gz ALEZRBDRKQRQDZ-UHFFFAOYSA-N -1 1 342.258 -0.148 20 0 EBADMM CN1C(=S)N=NC1CCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001325063308 839763058 /nfs/dbraw/zinc/76/30/58/839763058.db2.gz LKAQWMXLTKKEBY-UHFFFAOYSA-N -1 1 338.393 -0.907 20 0 EBADMM Cn1[n-]c(CN2CC[C@](O)(CNC(=O)[C@@H]3CC=CCC3)C2)nc1=O ZINC001325069613 839768370 /nfs/dbraw/zinc/76/83/70/839768370.db2.gz UEVXTJISLKCFOW-WBMJQRKESA-N -1 1 335.408 -0.482 20 0 EBADMM O=C(Cc1cccc(F)c1)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001326148094 840048078 /nfs/dbraw/zinc/04/80/78/840048078.db2.gz YIWZWOQFMCKSGQ-UHFFFAOYSA-N -1 1 337.355 -0.197 20 0 EBADMM O=C([C@H]1CCNC(=O)C1)N1CC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001326237047 840068456 /nfs/dbraw/zinc/06/84/56/840068456.db2.gz DDRVYXICBJCSIM-QWRGUYRKSA-N -1 1 336.396 -0.635 20 0 EBADMM C[C@@H]1c2nncn2CCN1C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001326803890 840203740 /nfs/dbraw/zinc/20/37/40/840203740.db2.gz LKFDYLPDXCEVGY-SECBINFHSA-N -1 1 340.347 -0.525 20 0 EBADMM O=C(CCOCC(F)F)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001326980533 840259466 /nfs/dbraw/zinc/25/94/66/840259466.db2.gz VEUMFWLHYFDKDL-VIFPVBQESA-N -1 1 349.338 -0.501 20 0 EBADMM CCOC(=O)c1c[n-]c(NC(=O)Cn2ncn3nccc3c2=O)n1 ZINC001327204509 840325502 /nfs/dbraw/zinc/32/55/02/840325502.db2.gz DNCXVFWBQABKHR-UHFFFAOYSA-N -1 1 331.292 -0.571 20 0 EBADMM COCCCOCC(=O)N1C[C@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001327926321 840550884 /nfs/dbraw/zinc/55/08/84/840550884.db2.gz QZKCPFRBNLXUHR-WDEREUQCSA-N -1 1 327.385 -0.500 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N[C@H]3CCCN(C)C3=O)ccnc1-2 ZINC001329007732 840814643 /nfs/dbraw/zinc/81/46/43/840814643.db2.gz CODOIFPRCCMADI-NSHDSACASA-N -1 1 330.348 -0.983 20 0 EBADMM O=C(Nc1ccc(OC2CCCC2)nc1)C(=O)NN1CC(=O)[N-]C1=O ZINC001329112441 840844976 /nfs/dbraw/zinc/84/49/76/840844976.db2.gz YSFASQRWYCYSOV-UHFFFAOYSA-N -1 1 347.331 -0.076 20 0 EBADMM CC(=O)NCCN[C@H]1CC(=O)N(Cc2ccc(C(=O)[O-])cc2)C1=O ZINC001329789375 841020381 /nfs/dbraw/zinc/02/03/81/841020381.db2.gz YVUBKKFTBVIQKL-ZDUSSCGKSA-N -1 1 333.344 -0.262 20 0 EBADMM CCc1cc(Cl)cnc1NC(=O)C(=O)NN1CC(=O)[N-]C1=O ZINC001330056811 841104985 /nfs/dbraw/zinc/10/49/85/841104985.db2.gz NHWFOJILZJKFLE-UHFFFAOYSA-N -1 1 325.712 -0.181 20 0 EBADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C(=O)N[C@H]2CCOC2)C1 ZINC001330164428 841129720 /nfs/dbraw/zinc/12/97/20/841129720.db2.gz FWJHLDGXOHFNTL-QPUJVOFHSA-N -1 1 337.298 -0.439 20 0 EBADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C(=O)N[C@H]2CCOC2)C1 ZINC001330164429 841130749 /nfs/dbraw/zinc/13/07/49/841130749.db2.gz FWJHLDGXOHFNTL-UFBFGSQYSA-N -1 1 337.298 -0.439 20 0 EBADMM Cc1cc(=O)n2[n-]c(NC(=O)Cn3nc4n(c3=O)CCCC4)nc2n1 ZINC001331448511 841410134 /nfs/dbraw/zinc/41/01/34/841410134.db2.gz NEOGBONLYPPFQI-UHFFFAOYSA-N -1 1 344.335 -0.941 20 0 EBADMM O=C(Cn1ccc(=O)[nH]c1=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001332191855 841587463 /nfs/dbraw/zinc/58/74/63/841587463.db2.gz CXMZUJZTVKRROL-LBPRGKRZSA-N -1 1 327.304 -0.632 20 0 EBADMM CN(C(=O)c1cc(I)nn1C)c1nn[n-]n1 ZINC001332195692 841589712 /nfs/dbraw/zinc/58/97/12/841589712.db2.gz JKEYIRFKEQXWLZ-UHFFFAOYSA-N -1 1 333.093 -0.186 20 0 EBADMM CO[N-]C(=O)CNC(=O)C(=O)NC[C@H](c1ccc(F)cc1)N(C)C ZINC001332612610 841689191 /nfs/dbraw/zinc/68/91/91/841689191.db2.gz GKSQLPXGEUDEKT-GFCCVEGCSA-N -1 1 340.355 -0.662 20 0 EBADMM COCc1nnc(S(=O)(=O)CCCN2C(=O)NC(C)(C)C2=O)[n-]1 ZINC001333093019 841794600 /nfs/dbraw/zinc/79/46/00/841794600.db2.gz NFUPLRNJGGXZEJ-UHFFFAOYSA-N -1 1 345.381 -0.555 20 0 EBADMM COCc1nc(S(=O)(=O)CCCN2C(=O)NC(C)(C)C2=O)n[n-]1 ZINC001333093019 841794605 /nfs/dbraw/zinc/79/46/05/841794605.db2.gz NFUPLRNJGGXZEJ-UHFFFAOYSA-N -1 1 345.381 -0.555 20 0 EBADMM CO[N-]C(=O)CNC(=O)C(=O)NC[C@H](c1cccc(F)c1)N(C)C ZINC001333335871 841852370 /nfs/dbraw/zinc/85/23/70/841852370.db2.gz PCGIXOFTAAWWJE-GFCCVEGCSA-N -1 1 340.355 -0.662 20 0 EBADMM CO[N-]C(=O)CNC(=O)C(=O)N[C@@H]1CCN([C@@H](C)c2ccccc2)C1 ZINC001334601681 842092214 /nfs/dbraw/zinc/09/22/14/842092214.db2.gz BABFKHDAMARWSC-GXTWGEPZSA-N -1 1 348.403 -0.268 20 0 EBADMM CO[N-]C(=O)CNC(=O)C(=O)N[C@@H]1CCN([C@H](C)c2ccccc2)C1 ZINC001334601691 842092545 /nfs/dbraw/zinc/09/25/45/842092545.db2.gz BABFKHDAMARWSC-TZMCWYRMSA-N -1 1 348.403 -0.268 20 0 EBADMM CCN1CCCN(C(=O)C(=O)N2CC[C@](COC)(C(=O)[O-])C2)CC1 ZINC001335689518 842335328 /nfs/dbraw/zinc/33/53/28/842335328.db2.gz FLMFGJRAZOAIRL-INIZCTEOSA-N -1 1 341.408 -0.510 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)NC[C@H]1C[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001335713294 842341850 /nfs/dbraw/zinc/34/18/50/842341850.db2.gz UORUXIJMYKXKNT-FDYHWXHSSA-N -1 1 348.403 -0.406 20 0 EBADMM O=C(c1ncn(-c2ccccc2)n1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001337020618 842569892 /nfs/dbraw/zinc/56/98/92/842569892.db2.gz XSGFOJCHDBFFJI-LLVKDONJSA-N -1 1 326.320 -0.006 20 0 EBADMM Cn1cnc(/C=C/C(=O)N2CCN([C@]3(C(=O)[O-])CCOC3)CC2)c1 ZINC001339916115 842952280 /nfs/dbraw/zinc/95/22/80/842952280.db2.gz ZGLIMYBBIVZMPK-WOMSROEHSA-N -1 1 334.376 -0.179 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2CCN(CC(F)(F)F)CC2)CNCCO1 ZINC001340788765 843031796 /nfs/dbraw/zinc/03/17/96/843031796.db2.gz GAJKEJGAOPYGHI-NSHDSACASA-N -1 1 325.287 -0.864 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2C[C@@H](Cc3ccccc3)[C@H](O)C2)CNCCO1 ZINC001341453962 843089708 /nfs/dbraw/zinc/08/97/08/843089708.db2.gz LVPXVYBLBCGRFR-CKEIUWERSA-N -1 1 334.372 -0.508 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2CCO[C@H](CC(F)(F)F)C2)CNCCO1 ZINC001342176071 843146376 /nfs/dbraw/zinc/14/63/76/843146376.db2.gz NHHOCRJYRLZFQN-LDYMZIIASA-N -1 1 326.271 -0.391 20 0 EBADMM C[C@H](C[S@@](C)=O)N(C)C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001342429552 843161805 /nfs/dbraw/zinc/16/18/05/843161805.db2.gz HZFJUKHOWJIIND-XQHREBAHSA-N -1 1 337.405 -0.494 20 0 EBADMM Cn1cccc1C(=O)N1CCN(c2nnc(-c3nnn[n-]3)n2C)CC1 ZINC001344512875 843318922 /nfs/dbraw/zinc/31/89/22/843318922.db2.gz VZPCEKMRTZSEBF-UHFFFAOYSA-N -1 1 342.367 -0.704 20 0 EBADMM Cn1cccc1C(=O)N1CCN(c2nnc(-c3nn[n-]n3)n2C)CC1 ZINC001344512875 843318933 /nfs/dbraw/zinc/31/89/33/843318933.db2.gz VZPCEKMRTZSEBF-UHFFFAOYSA-N -1 1 342.367 -0.704 20 0 EBADMM Cn1c(Cc2nn[n-]n2)nnc1N1CCN(c2cnccn2)CC1 ZINC001348627174 843720824 /nfs/dbraw/zinc/72/08/24/843720824.db2.gz YTPUNJJBYQCTAA-UHFFFAOYSA-N -1 1 327.356 -0.964 20 0 EBADMM Cn1cc(CS(=O)(=O)[N-]C(=O)CCCCc2cn[nH]n2)cn1 ZINC001349799154 843939330 /nfs/dbraw/zinc/93/93/30/843939330.db2.gz ZDDCBVXTJYTODQ-UHFFFAOYSA-N -1 1 326.382 -0.103 20 0 EBADMM O=C([O-])C1=NO[C@H](C(=O)N2CCC(CN3CCOCC3)CC2)C1 ZINC001611362051 971009873 /nfs/dbraw/zinc/00/98/73/971009873.db2.gz MWFMHTOYYMHNRL-ZDUSSCGKSA-N -1 1 325.365 -0.213 20 0 EBADMM CC(=O)N1CCN([C@H]2CCN(c3ccc(-c4nn[n-]n4)nn3)C2)CC1 ZINC001573451371 945834439 /nfs/dbraw/zinc/83/44/39/945834439.db2.gz UQLINLZQMJAKNI-LBPRGKRZSA-N -1 1 343.395 -0.601 20 0 EBADMM CC(=O)NC(C)(C)C(=O)N(C)CCNc1nccnc1-c1nnn[n-]1 ZINC001573456997 945902413 /nfs/dbraw/zinc/90/24/13/945902413.db2.gz RMUXARSRIDGUSD-UHFFFAOYSA-N -1 1 347.383 -0.558 20 0 EBADMM CC(=O)NC(C)(C)C(=O)N(C)CCNc1nccnc1-c1nn[n-]n1 ZINC001573456997 945902420 /nfs/dbraw/zinc/90/24/20/945902420.db2.gz RMUXARSRIDGUSD-UHFFFAOYSA-N -1 1 347.383 -0.558 20 0 EBADMM CC(=O)NC(C)(C)C(=O)N(C)CCNc1cncc(-c2nnn[n-]2)n1 ZINC001573457763 945908520 /nfs/dbraw/zinc/90/85/20/945908520.db2.gz ZTTKPSWQPFZSGN-UHFFFAOYSA-N -1 1 347.383 -0.558 20 0 EBADMM CC(=O)NC(C)(C)C(=O)N(C)CCNc1cncc(-c2nn[n-]n2)n1 ZINC001573457763 945908525 /nfs/dbraw/zinc/90/85/25/945908525.db2.gz ZTTKPSWQPFZSGN-UHFFFAOYSA-N -1 1 347.383 -0.558 20 0 EBADMM CC(=O)NC(C)(C)C(=O)N[C@@H](C)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001573465230 945971596 /nfs/dbraw/zinc/97/15/96/945971596.db2.gz WKOWPLYOPLOBRQ-QMMMGPOBSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NC(C)(C)C(=O)N[C@@H](C)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001573465230 945971604 /nfs/dbraw/zinc/97/16/04/945971604.db2.gz WKOWPLYOPLOBRQ-QMMMGPOBSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)C[C@H](C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001573467353 945979718 /nfs/dbraw/zinc/97/97/18/945979718.db2.gz CEJRODJQTNLNCJ-IUCAKERBSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)C[C@H](C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001573467353 945979724 /nfs/dbraw/zinc/97/97/24/945979724.db2.gz CEJRODJQTNLNCJ-IUCAKERBSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@H](C)CCNc1cncc(-c2nnn[n-]2)n1 ZINC001573474862 946093521 /nfs/dbraw/zinc/09/35/21/946093521.db2.gz OMGUCHPWSCAXMX-RKDXNWHRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@H](C)CCNc1cncc(-c2nn[n-]n2)n1 ZINC001573474862 946093533 /nfs/dbraw/zinc/09/35/33/946093533.db2.gz OMGUCHPWSCAXMX-RKDXNWHRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@@H](C)CCNc1ccc(-c2nnn[n-]2)nn1 ZINC001573476091 946111626 /nfs/dbraw/zinc/11/16/26/946111626.db2.gz YUOSMFHIIYSFJU-IUCAKERBSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@@H](C)CCNc1ccc(-c2nn[n-]n2)nn1 ZINC001573476091 946111635 /nfs/dbraw/zinc/11/16/35/946111635.db2.gz YUOSMFHIIYSFJU-IUCAKERBSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@@H](C)CNc1nccnc1-c1nnn[n-]1 ZINC001573476134 946113792 /nfs/dbraw/zinc/11/37/92/946113792.db2.gz CTXZAYDZVCAAEG-DTWKUNHWSA-N -1 1 347.383 -0.655 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@@H](C)CNc1nccnc1-c1nn[n-]n1 ZINC001573476134 946113799 /nfs/dbraw/zinc/11/37/99/946113799.db2.gz CTXZAYDZVCAAEG-DTWKUNHWSA-N -1 1 347.383 -0.655 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@H](C)CN(C)c1cncc(-c2nnn[n-]2)n1 ZINC001573477060 946128398 /nfs/dbraw/zinc/12/83/98/946128398.db2.gz LUVMZWWOZKNJMP-RKDXNWHRSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@H](C)CN(C)c1cncc(-c2nn[n-]n2)n1 ZINC001573477060 946128401 /nfs/dbraw/zinc/12/84/01/946128401.db2.gz LUVMZWWOZKNJMP-RKDXNWHRSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001573477481 946137048 /nfs/dbraw/zinc/13/70/48/946137048.db2.gz REVCCIOXONCKBD-HTQZYQBOSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001573477481 946137051 /nfs/dbraw/zinc/13/70/51/946137051.db2.gz REVCCIOXONCKBD-HTQZYQBOSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@H]1C[C@@H](Nc2cncc(-c3nnn[n-]3)n2)C1 ZINC001573486946 946247346 /nfs/dbraw/zinc/24/73/46/946247346.db2.gz JKQJRKMXRXZEOV-UJNFCWOMSA-N -1 1 345.367 -0.760 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@H]1C[C@@H](Nc2cncc(-c3nn[n-]n3)n2)C1 ZINC001573486946 946247354 /nfs/dbraw/zinc/24/73/54/946247354.db2.gz JKQJRKMXRXZEOV-UJNFCWOMSA-N -1 1 345.367 -0.760 20 0 EBADMM CC(=O)NCC(=O)N1CCC[C@H]1CNc1cnc(-c2nnn[n-]2)cn1 ZINC001573505621 946421923 /nfs/dbraw/zinc/42/19/23/946421923.db2.gz MAXVEEVQYTVQHG-JTQLQIEISA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)NCC(=O)N1CCC[C@H]1CNc1cnc(-c2nn[n-]n2)cn1 ZINC001573505621 946421930 /nfs/dbraw/zinc/42/19/30/946421930.db2.gz MAXVEEVQYTVQHG-JTQLQIEISA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)NCC(=O)N1CCC[C@@H](Nc2cncc(-c3nnn[n-]3)n2)C1 ZINC001573508208 946452422 /nfs/dbraw/zinc/45/24/22/946452422.db2.gz YSJLTFZTBGKNFA-SNVBAGLBSA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)NCC(=O)N1CCC[C@@H](Nc2cncc(-c3nn[n-]n3)n2)C1 ZINC001573508208 946452432 /nfs/dbraw/zinc/45/24/32/946452432.db2.gz YSJLTFZTBGKNFA-SNVBAGLBSA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)NCC(=O)NC[C@H](Nc1ccc(-c2nnn[n-]2)nn1)C1CC1 ZINC001573517175 946566586 /nfs/dbraw/zinc/56/65/86/946566586.db2.gz DPGCFTVYGHTUAX-NSHDSACASA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)NC[C@H](Nc1ccc(-c2nn[n-]n2)nn1)C1CC1 ZINC001573517175 946566592 /nfs/dbraw/zinc/56/65/92/946566592.db2.gz DPGCFTVYGHTUAX-NSHDSACASA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NC[C@@H]1CN(c2nc(C)cc(-c3nnn[n-]3)n2)CCCO1 ZINC001573529981 946682268 /nfs/dbraw/zinc/68/22/68/946682268.db2.gz RYOHSJUBFPGJOE-LLVKDONJSA-N -1 1 332.368 -0.303 20 0 EBADMM CC(=O)NC[C@@H]1CN(c2nc(C)cc(-c3nn[n-]n3)n2)CCCO1 ZINC001573529981 946682278 /nfs/dbraw/zinc/68/22/78/946682278.db2.gz RYOHSJUBFPGJOE-LLVKDONJSA-N -1 1 332.368 -0.303 20 0 EBADMM C[C@@H](C(=O)N(C)CC(=O)Nc1n[nH]cc1-c1nnn[n-]1)n1cccn1 ZINC001573591686 947316389 /nfs/dbraw/zinc/31/63/89/947316389.db2.gz DJNQTLWJNGOCLI-QMMMGPOBSA-N -1 1 344.339 -0.556 20 0 EBADMM C[C@@H](C(=O)N(C)CC(=O)Nc1n[nH]cc1-c1nn[n-]n1)n1cccn1 ZINC001573591686 947316401 /nfs/dbraw/zinc/31/64/01/947316401.db2.gz DJNQTLWJNGOCLI-QMMMGPOBSA-N -1 1 344.339 -0.556 20 0 EBADMM C[C@@H](C(=O)N1CC(C)(C)O[C@@H]2COC[C@H]21)n1cnc(-c2nn[n-]n2)n1 ZINC001573606887 947534685 /nfs/dbraw/zinc/53/46/85/947534685.db2.gz ABOKKLZFCDJROT-IVZWLZJFSA-N -1 1 348.367 -0.576 20 0 EBADMM C[C@@H](C(=O)N1CC[C@H](c2nncn2C)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573624239 947694306 /nfs/dbraw/zinc/69/43/06/947694306.db2.gz JQSAFOMCLNRONL-IUCAKERBSA-N -1 1 343.355 -0.836 20 0 EBADMM C[C@@H](C(=O)N1CCC[C@H]1c1nncn1C)n1cnc(-c2nn[n-]n2)n1 ZINC001573628452 947756073 /nfs/dbraw/zinc/75/60/73/947756073.db2.gz KYAGLUVFVFMGOQ-IUCAKERBSA-N -1 1 343.355 -0.489 20 0 EBADMM C[C@@H](C(=O)N1CCc2ncncc2C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573647217 947887307 /nfs/dbraw/zinc/88/73/07/947887307.db2.gz FAFLPIBJDAKLSZ-QMMMGPOBSA-N -1 1 326.324 -0.606 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)[C@H](C)CNc1nccnc1-c1nnn[n-]1 ZINC001573388668 947921174 /nfs/dbraw/zinc/92/11/74/947921174.db2.gz NNCRVMRBMNUGOC-SECBINFHSA-N -1 1 347.383 -0.606 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)[C@H](C)CNc1nccnc1-c1nn[n-]n1 ZINC001573388668 947921186 /nfs/dbraw/zinc/92/11/86/947921186.db2.gz NNCRVMRBMNUGOC-SECBINFHSA-N -1 1 347.383 -0.606 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)CN(C)c1cncc(-c2nnn[n-]2)n1 ZINC001573399042 947987937 /nfs/dbraw/zinc/98/79/37/947987937.db2.gz SHZFWFIVBZAXGL-VIFPVBQESA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)CN(C)c1cncc(-c2nn[n-]n2)n1 ZINC001573399042 947987945 /nfs/dbraw/zinc/98/79/45/947987945.db2.gz SHZFWFIVBZAXGL-VIFPVBQESA-N -1 1 347.383 -0.924 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CCCn2nccc21)n1cnc(-c2nn[n-]n2)n1 ZINC001573666465 948086306 /nfs/dbraw/zinc/08/63/06/948086306.db2.gz TXZZRDWGKGRFPS-DTWKUNHWSA-N -1 1 328.340 -0.133 20 0 EBADMM C[C@@H](C(=O)N[C@H]1CCOC12CCOCC2)n1cnc(-c2nn[n-]n2)n1 ZINC001573672816 948111730 /nfs/dbraw/zinc/11/17/30/948111730.db2.gz JLNWLHOESLQWSL-UWVGGRQHSA-N -1 1 348.367 -0.527 20 0 EBADMM CC(=O)N1C[C@H](C)O[C@@]2(CCN(c3cnc(-c4nnn[n-]4)cn3)C2)C1 ZINC001573427265 948163308 /nfs/dbraw/zinc/16/33/08/948163308.db2.gz WNJKKPZSXXEBKE-ZUZCIYMTSA-N -1 1 344.379 -0.127 20 0 EBADMM CC(=O)N1C[C@H](C)O[C@@]2(CCN(c3cnc(-c4nn[n-]n4)cn3)C2)C1 ZINC001573427265 948163315 /nfs/dbraw/zinc/16/33/15/948163315.db2.gz WNJKKPZSXXEBKE-ZUZCIYMTSA-N -1 1 344.379 -0.127 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@H](C)CNc2cncc(-c3nnn[n-]3)n2)C1 ZINC001573427472 948164767 /nfs/dbraw/zinc/16/47/67/948164767.db2.gz ZRBRAWDGJRZGGB-MRVPVSSYSA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@H](C)CNc2cncc(-c3nn[n-]n3)n2)C1 ZINC001573427472 948164774 /nfs/dbraw/zinc/16/47/74/948164774.db2.gz ZRBRAWDGJRZGGB-MRVPVSSYSA-N -1 1 345.367 -0.948 20 0 EBADMM C[C@@H](C(=O)NCC1(CF)CCOCC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573691170 948236182 /nfs/dbraw/zinc/23/61/82/948236182.db2.gz IABNKUVRVGIABK-VIFPVBQESA-N -1 1 338.347 -0.098 20 0 EBADMM C[C@@H](C(=O)NC[C@@H](O)CNc1ccnc(-c2nn[n-]n2)n1)C1CC1 ZINC001573691208 948238257 /nfs/dbraw/zinc/23/82/57/948238257.db2.gz IIAOCECBNRCGRG-SCZZXKLOSA-N -1 1 332.368 -0.986 20 0 EBADMM CC(=O)NCCN(C(=O)CN1CCC(CO)CC1)[C@H](C)C(=O)[O-] ZINC001602755959 971468922 /nfs/dbraw/zinc/46/89/22/971468922.db2.gz YONQNZMJVCJGEO-LLVKDONJSA-N -1 1 329.397 -0.872 20 0 EBADMM CC(=O)N1CCC[C@@H](N(CCO)c2ccc(-c3nnn[n-]3)nn2)C1 ZINC001573444078 948309861 /nfs/dbraw/zinc/30/98/61/948309861.db2.gz QUWNKLPUUKOLMD-LLVKDONJSA-N -1 1 332.368 -0.534 20 0 EBADMM CC(=O)N1CCC[C@@H](N(CCO)c2ccc(-c3nn[n-]n3)nn2)C1 ZINC001573444078 948309869 /nfs/dbraw/zinc/30/98/69/948309869.db2.gz QUWNKLPUUKOLMD-LLVKDONJSA-N -1 1 332.368 -0.534 20 0 EBADMM CC(=O)N1CCC[C@H](N(CCO)c2nccnc2-c2nnn[n-]2)C1 ZINC001573445284 948324754 /nfs/dbraw/zinc/32/47/54/948324754.db2.gz ZLTFSZCGEHADHF-NSHDSACASA-N -1 1 332.368 -0.534 20 0 EBADMM CC(=O)N1CCC[C@H](N(CCO)c2nccnc2-c2nn[n-]n2)C1 ZINC001573445284 948324763 /nfs/dbraw/zinc/32/47/63/948324763.db2.gz ZLTFSZCGEHADHF-NSHDSACASA-N -1 1 332.368 -0.534 20 0 EBADMM C[C@H](C(=O)NCC[C@@H](O)C(F)(F)F)n1cnc(-c2nn[n-]n2)n1 ZINC001573708738 948457972 /nfs/dbraw/zinc/45/79/72/948457972.db2.gz XUSKOSRRUYKUOU-PHDIDXHHSA-N -1 1 334.262 -0.551 20 0 EBADMM C[C@H](C(=O)NCCN(C)c1cnc(-c2nnn[n-]2)cn1)n1cncn1 ZINC001573721283 948538059 /nfs/dbraw/zinc/53/80/59/948538059.db2.gz WJJGXAGUCYOCEP-SECBINFHSA-N -1 1 343.355 -0.938 20 0 EBADMM C[C@H](C(=O)NCCN(C)c1cnc(-c2nn[n-]n2)cn1)n1cncn1 ZINC001573721283 948538065 /nfs/dbraw/zinc/53/80/65/948538065.db2.gz WJJGXAGUCYOCEP-SECBINFHSA-N -1 1 343.355 -0.938 20 0 EBADMM C[C@H](C(=O)NCc1nnc2n1CCCC2)n1cnc(-c2nn[n-]n2)n1 ZINC001573729110 948565765 /nfs/dbraw/zinc/56/57/65/948565765.db2.gz GXENECBVYRYOSX-MRVPVSSYSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@H](C(=O)NCc1nc2c([nH]1)CCCC2)n1cnc(-c2nn[n-]n2)n1 ZINC001573729733 948571833 /nfs/dbraw/zinc/57/18/33/948571833.db2.gz JXDOCPBDHTUFMG-MRVPVSSYSA-N -1 1 342.367 -0.063 20 0 EBADMM CC[C@@](C)(NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)C(N)=O ZINC001570925560 948672112 /nfs/dbraw/zinc/67/21/12/948672112.db2.gz MKZWQQYBCRDYRU-LLVKDONJSA-N -1 1 325.354 -0.806 20 0 EBADMM CC[C@@](C)(NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)C(N)=O ZINC001570925560 948672116 /nfs/dbraw/zinc/67/21/16/948672116.db2.gz MKZWQQYBCRDYRU-LLVKDONJSA-N -1 1 325.354 -0.806 20 0 EBADMM O=C(NCc1ccnc(-c2nnn[n-]2)c1)[C@@H]1CCc2nncn2C1 ZINC001570925760 948675065 /nfs/dbraw/zinc/67/50/65/948675065.db2.gz PTFSRGMAUCPHOQ-SNVBAGLBSA-N -1 1 325.336 -0.268 20 0 EBADMM O=C(NCc1ccnc(-c2nn[n-]n2)c1)[C@@H]1CCc2nncn2C1 ZINC001570925760 948675067 /nfs/dbraw/zinc/67/50/67/948675067.db2.gz PTFSRGMAUCPHOQ-SNVBAGLBSA-N -1 1 325.336 -0.268 20 0 EBADMM O=C(NCCn1cnc(-c2nn[n-]n2)n1)[C@@H]1CCn2ccnc2C1 ZINC001570926976 948701033 /nfs/dbraw/zinc/70/10/33/948701033.db2.gz LWTRRJIBIACDBD-SECBINFHSA-N -1 1 328.340 -0.967 20 0 EBADMM C[C@@H](C(=O)N1Cc2c[nH]nc2[C@@H](C)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001570927601 948714239 /nfs/dbraw/zinc/71/42/39/948714239.db2.gz UKPQMQZVVJPVKK-YUMQZZPRSA-N -1 1 328.340 -0.112 20 0 EBADMM O=C1NC[C@@H](O)CC12CCN(c1ncncc1-c1nn[n-]n1)CC2 ZINC001570927750 948717138 /nfs/dbraw/zinc/71/71/38/948717138.db2.gz KOJHXFGURSQDIA-VIFPVBQESA-N -1 1 330.352 -0.876 20 0 EBADMM COC(=O)c1ccccc1Cn1c(=O)[nH]cc(-c2nn[n-]n2)c1=O ZINC001570928042 948725690 /nfs/dbraw/zinc/72/56/90/948725690.db2.gz ZTVRXCUTUNPXOE-UHFFFAOYSA-N -1 1 328.288 -0.036 20 0 EBADMM C[C@@H](C(=O)Nc1ncc2c(n1)CCOC2)n1cnc(-c2nn[n-]n2)n1 ZINC001573754285 948739707 /nfs/dbraw/zinc/73/97/07/948739707.db2.gz DQZSIJIJMWZGHT-ZETCQYMHSA-N -1 1 342.323 -0.479 20 0 EBADMM C[C@H](CCNc1cnc(-c2nnn[n-]2)cn1)NC(=O)[C@@H]1CCC(=O)N1 ZINC001574678640 948755311 /nfs/dbraw/zinc/75/53/11/948755311.db2.gz HVIJSNOSSNCXAG-BDAKNGLRSA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@H](CCNc1cnc(-c2nn[n-]n2)cn1)NC(=O)[C@@H]1CCC(=O)N1 ZINC001574678640 948755322 /nfs/dbraw/zinc/75/53/22/948755322.db2.gz HVIJSNOSSNCXAG-BDAKNGLRSA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@@H](CCNc1cnc(-c2nn[n-]n2)cn1)NC(=O)Cc1ncc[nH]1 ZINC001574678965 948762723 /nfs/dbraw/zinc/76/27/23/948762723.db2.gz LEHSDMBFSWPDTK-VIFPVBQESA-N -1 1 342.367 -0.071 20 0 EBADMM C[C@@H](CCNc1cnc(-c2nnn[n-]2)cn1)NC(=O)c1cnnn1C ZINC001574679533 948786295 /nfs/dbraw/zinc/78/62/95/948786295.db2.gz XIGJJJUDIUVYAX-QMMMGPOBSA-N -1 1 343.355 -0.594 20 0 EBADMM C[C@@H](CCNc1cnc(-c2nn[n-]n2)cn1)NC(=O)c1cnnn1C ZINC001574679533 948786302 /nfs/dbraw/zinc/78/63/02/948786302.db2.gz XIGJJJUDIUVYAX-QMMMGPOBSA-N -1 1 343.355 -0.594 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)N[C@H](C(N)=O)C(C)(C)C ZINC001570930154 948802339 /nfs/dbraw/zinc/80/23/39/948802339.db2.gz DELLUAYRKFNWGF-SECBINFHSA-N -1 1 333.352 -0.094 20 0 EBADMM C[C@H](CNC(=O)CCc1cn[nH]c1)Nc1nccnc1-c1nnn[n-]1 ZINC001574917321 948816047 /nfs/dbraw/zinc/81/60/47/948816047.db2.gz WOVMMUOZHGZDSE-SECBINFHSA-N -1 1 342.367 -0.071 20 0 EBADMM C[C@H](CNC(=O)CCc1cn[nH]c1)Nc1nccnc1-c1nn[n-]n1 ZINC001574917321 948816065 /nfs/dbraw/zinc/81/60/65/948816065.db2.gz WOVMMUOZHGZDSE-SECBINFHSA-N -1 1 342.367 -0.071 20 0 EBADMM C[C@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001574681344 948817600 /nfs/dbraw/zinc/81/76/00/948817600.db2.gz LSRFUESXPFKVES-DNJQJEMRSA-N -1 1 344.379 -0.144 20 0 EBADMM C[C@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001574681344 948817617 /nfs/dbraw/zinc/81/76/17/948817617.db2.gz LSRFUESXPFKVES-DNJQJEMRSA-N -1 1 344.379 -0.144 20 0 EBADMM C[C@@H](C(=O)NCc1nn(C)cc1Cl)n1cnc(-c2nn[n-]n2)n1 ZINC001570933581 948898158 /nfs/dbraw/zinc/89/81/58/948898158.db2.gz AVGWXDPHTWEBGT-LURJTMIESA-N -1 1 336.747 -0.278 20 0 EBADMM C[C@]1(CNS(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)CCC(=O)N1 ZINC001570934335 948935171 /nfs/dbraw/zinc/93/51/71/948935171.db2.gz CFPQLCWKBILOGI-GFCCVEGCSA-N -1 1 337.365 -0.791 20 0 EBADMM C[C@]1(CNS(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)CCC(=O)N1 ZINC001570934335 948935177 /nfs/dbraw/zinc/93/51/77/948935177.db2.gz CFPQLCWKBILOGI-GFCCVEGCSA-N -1 1 337.365 -0.791 20 0 EBADMM C[C@@H](CNC(=O)CCn1ccnc1)Nc1nccnc1-c1nnn[n-]1 ZINC001574923433 948949596 /nfs/dbraw/zinc/94/95/96/948949596.db2.gz MIVRHFXNXZAJJJ-JTQLQIEISA-N -1 1 342.367 -0.140 20 0 EBADMM C[C@@H](CNC(=O)CCn1ccnc1)Nc1nccnc1-c1nn[n-]n1 ZINC001574923433 948949607 /nfs/dbraw/zinc/94/96/07/948949607.db2.gz MIVRHFXNXZAJJJ-JTQLQIEISA-N -1 1 342.367 -0.140 20 0 EBADMM O=C(N[C@@H]1CCN(c2cnc(-c3nnn[n-]3)cn2)C1)c1cncnc1 ZINC001570934961 948963219 /nfs/dbraw/zinc/96/32/19/948963219.db2.gz KJGLFUGYWPRFFE-SNVBAGLBSA-N -1 1 338.335 -0.545 20 0 EBADMM O=C(N[C@@H]1CCN(c2cnc(-c3nn[n-]n3)cn2)C1)c1cncnc1 ZINC001570934961 948963232 /nfs/dbraw/zinc/96/32/32/948963232.db2.gz KJGLFUGYWPRFFE-SNVBAGLBSA-N -1 1 338.335 -0.545 20 0 EBADMM CC(F)(F)CCNC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001575241078 948969697 /nfs/dbraw/zinc/96/96/97/948969697.db2.gz ATDWTZRPMUZIFN-UHFFFAOYSA-N -1 1 329.267 -0.710 20 0 EBADMM O=C(Cc1ccc[nH]1)N1CCN(c2ccnc(-c3nn[n-]n3)n2)CC1 ZINC001570935204 948972452 /nfs/dbraw/zinc/97/24/52/948972452.db2.gz AHNFQYVTOFDMLO-UHFFFAOYSA-N -1 1 339.363 -0.124 20 0 EBADMM CC(C)O[C@@]1(CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)CCOC1 ZINC001570935346 948975685 /nfs/dbraw/zinc/97/56/85/948975685.db2.gz QRUPCCJNIAUHND-CYBMUJFWSA-N -1 1 336.356 -0.842 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)[C@H]1CCOC1 ZINC001574689506 948988878 /nfs/dbraw/zinc/98/88/78/948988878.db2.gz HJUKBUFMRWYJMN-UWVGGRQHSA-N -1 1 332.368 0.000 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)[C@H]1CCOC1 ZINC001574689506 948988889 /nfs/dbraw/zinc/98/88/89/948988889.db2.gz HJUKBUFMRWYJMN-UWVGGRQHSA-N -1 1 332.368 0.000 20 0 EBADMM CC(C)(C(=O)NC[C@H](CO)Nc1nccnc1-c1nnn[n-]1)C1CC1 ZINC001573774040 948997831 /nfs/dbraw/zinc/99/78/31/948997831.db2.gz IYKVPIYYAPLLNP-SNVBAGLBSA-N -1 1 346.395 -0.018 20 0 EBADMM CC(C)(C(=O)NC[C@H](CO)Nc1nccnc1-c1nn[n-]n1)C1CC1 ZINC001573774040 948997846 /nfs/dbraw/zinc/99/78/46/948997846.db2.gz IYKVPIYYAPLLNP-SNVBAGLBSA-N -1 1 346.395 -0.018 20 0 EBADMM CCOC(=O)[C@H]1CCN(C(=O)[C@@H](C)n2cnc(-c3nn[n-]n3)n2)C1 ZINC001570935949 949003014 /nfs/dbraw/zinc/00/30/14/949003014.db2.gz WQPXTJJNYDLTFK-BDAKNGLRSA-N -1 1 334.340 -0.569 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)[C@@H](C)CC(N)=O ZINC001574690729 949007874 /nfs/dbraw/zinc/00/78/74/949007874.db2.gz QQEUAHDPNAHXQC-IUCAKERBSA-N -1 1 347.383 -0.525 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)[C@@H](C)CC(N)=O ZINC001574690729 949007898 /nfs/dbraw/zinc/00/78/98/949007898.db2.gz QQEUAHDPNAHXQC-IUCAKERBSA-N -1 1 347.383 -0.525 20 0 EBADMM CC(C)(C(=O)NC[C@@H](CO)Nc1ccc(-c2nnn[n-]2)nn1)C1CC1 ZINC001573774489 949009846 /nfs/dbraw/zinc/00/98/46/949009846.db2.gz SLWKIMKIBLIOAJ-JTQLQIEISA-N -1 1 346.395 -0.018 20 0 EBADMM CC(C)(C(=O)NC[C@@H](CO)Nc1ccc(-c2nn[n-]n2)nn1)C1CC1 ZINC001573774489 949009856 /nfs/dbraw/zinc/00/98/56/949009856.db2.gz SLWKIMKIBLIOAJ-JTQLQIEISA-N -1 1 346.395 -0.018 20 0 EBADMM Cn1c(=O)[nH]c(NCCC(=O)NC(C)(C)C)c(-c2nn[n-]n2)c1=O ZINC001570936131 949015133 /nfs/dbraw/zinc/01/51/33/949015133.db2.gz VTRXRLJUANDHMW-UHFFFAOYSA-N -1 1 336.356 -0.617 20 0 EBADMM COCC[C@@H](CO)NC(=O)Nc1cnc(OC)c(-c2nn[n-]n2)c1 ZINC001570936169 949019332 /nfs/dbraw/zinc/01/93/32/949019332.db2.gz XDFVCMHDVXSKGM-QMMMGPOBSA-N -1 1 337.340 -0.211 20 0 EBADMM CC(C)(C(=O)NC[C@H](CO)Nc1ccnc(-c2nn[n-]n2)n1)C1CC1 ZINC001573775089 949031609 /nfs/dbraw/zinc/03/16/09/949031609.db2.gz ZBKOQCNEMTXHPX-SNVBAGLBSA-N -1 1 346.395 -0.596 20 0 EBADMM C[C@H](CNC(=O)CNC(=O)C1CC1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574928322 949060938 /nfs/dbraw/zinc/06/09/38/949060938.db2.gz OUVFHFXQWAISOO-MRVPVSSYSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@H](CNC(=O)CNC(=O)C1CC1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574928322 949060952 /nfs/dbraw/zinc/06/09/52/949060952.db2.gz OUVFHFXQWAISOO-MRVPVSSYSA-N -1 1 345.367 -0.901 20 0 EBADMM O=C(NC[C@@H]1CCCN1c1cncc(-c2nnn[n-]2)n1)c1cn[nH]c1 ZINC001570937785 949081576 /nfs/dbraw/zinc/08/15/76/949081576.db2.gz JLVXQJZCEMGJAA-JTQLQIEISA-N -1 1 340.351 -0.221 20 0 EBADMM O=C(NC[C@@H]1CCCN1c1cncc(-c2nn[n-]n2)n1)c1cn[nH]c1 ZINC001570937785 949081595 /nfs/dbraw/zinc/08/15/95/949081595.db2.gz JLVXQJZCEMGJAA-JTQLQIEISA-N -1 1 340.351 -0.221 20 0 EBADMM Cn1cnc(C(=O)N[C@H]2C[C@H](Nc3nccnc3-c3nnn[n-]3)C2)c1 ZINC001570937659 949082501 /nfs/dbraw/zinc/08/25/01/949082501.db2.gz HVIMWBOEKPDZIA-KYZUINATSA-N -1 1 340.351 -0.237 20 0 EBADMM Cn1cnc(C(=O)N[C@H]2C[C@H](Nc3nccnc3-c3nn[n-]n3)C2)c1 ZINC001570937659 949082523 /nfs/dbraw/zinc/08/25/23/949082523.db2.gz HVIMWBOEKPDZIA-KYZUINATSA-N -1 1 340.351 -0.237 20 0 EBADMM Cn1nccc1C(=O)N[C@H]1C[C@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570938605 949108022 /nfs/dbraw/zinc/10/80/22/949108022.db2.gz VTYLAINPBYPJDD-KYZUINATSA-N -1 1 340.351 -0.815 20 0 EBADMM O=C(Cn1ccnc1)N1CC[C@H](Nc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001570938816 949112540 /nfs/dbraw/zinc/11/25/40/949112540.db2.gz YCUODUQPIVJIIO-JTQLQIEISA-N -1 1 340.351 -0.434 20 0 EBADMM O=C(Cn1ccnc1)N1CC[C@@H](Nc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001570938817 949114267 /nfs/dbraw/zinc/11/42/67/949114267.db2.gz YCUODUQPIVJIIO-SNVBAGLBSA-N -1 1 340.351 -0.434 20 0 EBADMM O=C(Nc1ccnc(-c2nnn[n-]2)c1)N[C@H]1CCc2nnnn2CC1 ZINC001570939034 949119950 /nfs/dbraw/zinc/11/99/50/949119950.db2.gz AASMCCZUZKZAKO-QMMMGPOBSA-N -1 1 341.339 -0.225 20 0 EBADMM O=C(Nc1ccnc(-c2nn[n-]n2)c1)N[C@H]1CCc2nnnn2CC1 ZINC001570939034 949119964 /nfs/dbraw/zinc/11/99/64/949119964.db2.gz AASMCCZUZKZAKO-QMMMGPOBSA-N -1 1 341.339 -0.225 20 0 EBADMM CO[C@@]1(CNC(=O)c2ccc(-c3nnn[n-]3)o2)CCS(=O)(=O)C1 ZINC001570939035 949121661 /nfs/dbraw/zinc/12/16/61/949121661.db2.gz AEKQRGBVBLSUEM-GFCCVEGCSA-N -1 1 341.349 -0.607 20 0 EBADMM CO[C@@]1(CNC(=O)c2ccc(-c3nn[n-]n3)o2)CCS(=O)(=O)C1 ZINC001570939035 949121671 /nfs/dbraw/zinc/12/16/71/949121671.db2.gz AEKQRGBVBLSUEM-GFCCVEGCSA-N -1 1 341.349 -0.607 20 0 EBADMM O=C(NC1CCN(c2cncc(-c3nnn[n-]3)n2)CC1)c1cnon1 ZINC001570939242 949135823 /nfs/dbraw/zinc/13/58/23/949135823.db2.gz LVLZIPXCTCTUBE-UHFFFAOYSA-N -1 1 342.323 -0.562 20 0 EBADMM O=C(NC1CCN(c2cncc(-c3nn[n-]n3)n2)CC1)c1cnon1 ZINC001570939242 949135831 /nfs/dbraw/zinc/13/58/31/949135831.db2.gz LVLZIPXCTCTUBE-UHFFFAOYSA-N -1 1 342.323 -0.562 20 0 EBADMM O=C(c1cnon1)N1CCC[C@H]1CNc1nccnc1-c1nnn[n-]1 ZINC001570939868 949157834 /nfs/dbraw/zinc/15/78/34/949157834.db2.gz RDYPXIMGOONBTM-QMMMGPOBSA-N -1 1 342.323 -0.244 20 0 EBADMM O=C(c1cnon1)N1CCC[C@H]1CNc1nccnc1-c1nn[n-]n1 ZINC001570939868 949157840 /nfs/dbraw/zinc/15/78/40/949157840.db2.gz RDYPXIMGOONBTM-QMMMGPOBSA-N -1 1 342.323 -0.244 20 0 EBADMM Cc1ncoc1C(=O)N1CCN(c2cnc(-c3nnn[n-]3)cn2)CC1 ZINC001570940043 949167219 /nfs/dbraw/zinc/16/72/19/949167219.db2.gz JGLUSYRECILPAA-UHFFFAOYSA-N -1 1 341.335 -0.084 20 0 EBADMM Cc1ncoc1C(=O)N1CCN(c2cnc(-c3nn[n-]n3)cn2)CC1 ZINC001570940043 949167235 /nfs/dbraw/zinc/16/72/35/949167235.db2.gz JGLUSYRECILPAA-UHFFFAOYSA-N -1 1 341.335 -0.084 20 0 EBADMM C[C@@H](c1ccccc1)[C@H](CO)NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC001575514782 949175305 /nfs/dbraw/zinc/17/53/05/949175305.db2.gz YUGPWJBOOXBCBY-JQWIXIFHSA-N -1 1 342.363 -0.261 20 0 EBADMM O=C(c1cnon1)N1CCC[C@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001570940538 949183278 /nfs/dbraw/zinc/18/32/78/949183278.db2.gz XKFHFHAYBAAIJZ-QMMMGPOBSA-N -1 1 342.323 -0.244 20 0 EBADMM O=C(c1cnon1)N1CCC[C@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001570940538 949183293 /nfs/dbraw/zinc/18/32/93/949183293.db2.gz XKFHFHAYBAAIJZ-QMMMGPOBSA-N -1 1 342.323 -0.244 20 0 EBADMM CC(C)CC(=O)N(C)C[C@@H](O)CNc1nccnc1-c1nnn[n-]1 ZINC001574100313 949193451 /nfs/dbraw/zinc/19/34/51/949193451.db2.gz UZFFMNOLDRCCCM-JTQLQIEISA-N -1 1 334.384 -0.066 20 0 EBADMM CC(C)CC(=O)N(C)C[C@@H](O)CNc1nccnc1-c1nn[n-]n1 ZINC001574100313 949193460 /nfs/dbraw/zinc/19/34/60/949193460.db2.gz UZFFMNOLDRCCCM-JTQLQIEISA-N -1 1 334.384 -0.066 20 0 EBADMM C[C@H](CNC(=O)C[C@H]1CCOC1)Nc1nccnc1-c1nnn[n-]1 ZINC001574936286 949213529 /nfs/dbraw/zinc/21/35/29/949213529.db2.gz JHWGKROURPMGHQ-NXEZZACHSA-N -1 1 332.368 0.000 20 0 EBADMM C[C@H](CNC(=O)C[C@H]1CCOC1)Nc1nccnc1-c1nn[n-]n1 ZINC001574936286 949213552 /nfs/dbraw/zinc/21/35/52/949213552.db2.gz JHWGKROURPMGHQ-NXEZZACHSA-N -1 1 332.368 0.000 20 0 EBADMM Cn1cc(C(=O)N2CC[C@H](Nc3ccc(-c4nnn[n-]4)nn3)C2)nn1 ZINC001570941412 949220768 /nfs/dbraw/zinc/22/07/68/949220768.db2.gz VULHIXJTWIUWKJ-QMMMGPOBSA-N -1 1 341.339 -0.888 20 0 EBADMM Cn1cc(C(=O)N2CC[C@H](Nc3ccc(-c4nn[n-]n4)nn3)C2)nn1 ZINC001570941412 949220788 /nfs/dbraw/zinc/22/07/88/949220788.db2.gz VULHIXJTWIUWKJ-QMMMGPOBSA-N -1 1 341.339 -0.888 20 0 EBADMM O=C(N1CC[C@H](Nc2cnc(-c3nnn[n-]3)cn2)C1)[C@]12C[C@H]1COC2 ZINC001570941684 949239816 /nfs/dbraw/zinc/23/98/16/949239816.db2.gz ZEMDAOSVEPGABA-YHAQOWFVSA-N -1 1 342.363 -0.294 20 0 EBADMM O=C(N1CC[C@H](Nc2cnc(-c3nn[n-]n3)cn2)C1)[C@]12C[C@H]1COC2 ZINC001570941684 949239835 /nfs/dbraw/zinc/23/98/35/949239835.db2.gz ZEMDAOSVEPGABA-YHAQOWFVSA-N -1 1 342.363 -0.294 20 0 EBADMM C[C@H](CC(N)=O)C(=O)N(C)C[C@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001574699457 949240223 /nfs/dbraw/zinc/24/02/23/949240223.db2.gz VBBGSFDEFPOFNW-BDAKNGLRSA-N -1 1 347.383 -0.573 20 0 EBADMM C[C@H](CC(N)=O)C(=O)N(C)C[C@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001574699457 949240244 /nfs/dbraw/zinc/24/02/44/949240244.db2.gz VBBGSFDEFPOFNW-BDAKNGLRSA-N -1 1 347.383 -0.573 20 0 EBADMM C[C@@H]1CN(c2ccc(-c3nnn[n-]3)nn2)C[C@H]1NC(=O)c1cocn1 ZINC001570941827 949250893 /nfs/dbraw/zinc/25/08/93/949250893.db2.gz GUUCAWKPPHJQEN-PSASIEDQSA-N -1 1 341.335 -0.101 20 0 EBADMM C[C@@H]1CN(c2ccc(-c3nn[n-]n3)nn2)C[C@H]1NC(=O)c1cocn1 ZINC001570941827 949250914 /nfs/dbraw/zinc/25/09/14/949250914.db2.gz GUUCAWKPPHJQEN-PSASIEDQSA-N -1 1 341.335 -0.101 20 0 EBADMM C[C@@H]1CN(c2cncc(-c3nnn[n-]3)n2)C[C@H]1NC(=O)c1cnco1 ZINC001570942005 949258823 /nfs/dbraw/zinc/25/88/23/949258823.db2.gz JUEIUPDKGAWSKQ-PSASIEDQSA-N -1 1 341.335 -0.101 20 0 EBADMM C[C@@H]1CN(c2cncc(-c3nn[n-]n3)n2)C[C@H]1NC(=O)c1cnco1 ZINC001570942005 949258843 /nfs/dbraw/zinc/25/88/43/949258843.db2.gz JUEIUPDKGAWSKQ-PSASIEDQSA-N -1 1 341.335 -0.101 20 0 EBADMM Cc1cc(-c2nn[nH]n2)ccc1C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC001570942223 949273259 /nfs/dbraw/zinc/27/32/59/949273259.db2.gz MXNHMFVUOYILTN-NSHDSACASA-N -1 1 341.335 -0.098 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(c3ccnc(-c4nn[n-]n4)n3)C2)on1 ZINC001570942373 949283079 /nfs/dbraw/zinc/28/30/79/949283079.db2.gz OJHIDQRKSMHWQS-SECBINFHSA-N -1 1 341.335 -0.038 20 0 EBADMM C[C@@H](CNC(=O)Cc1cc[nH]n1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001574941879 949322862 /nfs/dbraw/zinc/32/28/62/949322862.db2.gz HVZLPBXPAGMTTN-SECBINFHSA-N -1 1 342.367 -0.213 20 0 EBADMM C[C@@H](CNC(=O)Cc1cc[nH]n1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001574941879 949322887 /nfs/dbraw/zinc/32/28/87/949322887.db2.gz HVZLPBXPAGMTTN-SECBINFHSA-N -1 1 342.367 -0.213 20 0 EBADMM C[C@@H](CNC(=O)Cc1ccc[nH]1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574942110 949331313 /nfs/dbraw/zinc/33/13/13/949331313.db2.gz VSRFFLNQTACMSB-VIFPVBQESA-N -1 1 327.352 -0.434 20 0 EBADMM C[C@H](CNC(=O)Cc1cccnc1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574942082 949335484 /nfs/dbraw/zinc/33/54/84/949335484.db2.gz VLDCITGNLCQIKS-SNVBAGLBSA-N -1 1 339.363 -0.367 20 0 EBADMM C[C@@H](Nc1ccnc(-c2nn[n-]n2)n1)[C@H](C)NC(=O)C1=COCCO1 ZINC001575260827 949362287 /nfs/dbraw/zinc/36/22/87/949362287.db2.gz XSWMBEWQCPKIIC-BDAKNGLRSA-N -1 1 346.351 -0.728 20 0 EBADMM C[C@@H](CNC(=O)Cc1cc[nH]n1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001574942688 949366347 /nfs/dbraw/zinc/36/63/47/949366347.db2.gz RCQJKADHPKZEHN-SECBINFHSA-N -1 1 342.367 -0.791 20 0 EBADMM C[C@@H](CNC(=O)Cc1cc[nH]n1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001574943428 949375653 /nfs/dbraw/zinc/37/56/53/949375653.db2.gz XNWKJVVHPDYBNO-VIFPVBQESA-N -1 1 342.367 -0.437 20 0 EBADMM C[C@@H](CNC(=O)Cc1cc[nH]n1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001574943428 949375675 /nfs/dbraw/zinc/37/56/75/949375675.db2.gz XNWKJVVHPDYBNO-VIFPVBQESA-N -1 1 342.367 -0.437 20 0 EBADMM C[C@@H](CNC(=O)Cc1ncc[nH]1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574945578 949414369 /nfs/dbraw/zinc/41/43/69/949414369.db2.gz MTSSBOZPVGFZJS-QMMMGPOBSA-N -1 1 328.340 -0.461 20 0 EBADMM C[C@@H](CNC(=O)Cc1ncc[nH]1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574945578 949414378 /nfs/dbraw/zinc/41/43/78/949414378.db2.gz MTSSBOZPVGFZJS-QMMMGPOBSA-N -1 1 328.340 -0.461 20 0 EBADMM C[C@H](CNC(=O)Cc1cncn1C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574945765 949419331 /nfs/dbraw/zinc/41/93/31/949419331.db2.gz NTWVJCPEAFTSOU-SECBINFHSA-N -1 1 342.367 -0.451 20 0 EBADMM C[C@@H](CNC(=O)Cc1cnn(C)c1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574946555 949433750 /nfs/dbraw/zinc/43/37/50/949433750.db2.gz VLPCVTWWEFMYFF-VIFPVBQESA-N -1 1 342.367 -0.451 20 0 EBADMM C[C@@H](CNC(=O)Cc1cnn(C)c1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574946555 949433761 /nfs/dbraw/zinc/43/37/61/949433761.db2.gz VLPCVTWWEFMYFF-VIFPVBQESA-N -1 1 342.367 -0.451 20 0 EBADMM CC(C)CC(=O)N[C@H]1CCN(c2nccnc2-c2nnn[n-]2)C[C@@H]1O ZINC001574116996 949441440 /nfs/dbraw/zinc/44/14/40/949441440.db2.gz IWZKNBIRUUDPLX-QWRGUYRKSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)CC(=O)N[C@H]1CCN(c2nccnc2-c2nn[n-]n2)C[C@@H]1O ZINC001574116996 949441448 /nfs/dbraw/zinc/44/14/48/949441448.db2.gz IWZKNBIRUUDPLX-QWRGUYRKSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)n1cnnc1CN(C)C(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC001574467966 949447356 /nfs/dbraw/zinc/44/73/56/949447356.db2.gz BFUKPEFDDUPEGZ-UHFFFAOYSA-N -1 1 331.344 -0.711 20 0 EBADMM C[C@@H](CNC(=O)Cn1cccn1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001574948913 949477969 /nfs/dbraw/zinc/47/79/69/949477969.db2.gz OESUCJGLGWWVSR-SNVBAGLBSA-N -1 1 342.367 -0.282 20 0 EBADMM C[C@@H](CNC(=O)Cn1cccn1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001574948913 949477982 /nfs/dbraw/zinc/47/79/82/949477982.db2.gz OESUCJGLGWWVSR-SNVBAGLBSA-N -1 1 342.367 -0.282 20 0 EBADMM O=C(NCCn1cnc(-c2nn[n-]n2)n1)c1c[nH]nc1[C@H]1CCCO1 ZINC001570945541 949490483 /nfs/dbraw/zinc/49/04/83/949490483.db2.gz DLJFKBJZYQUSMZ-SECBINFHSA-N -1 1 344.339 -0.537 20 0 EBADMM C[C@H]1CCC[C@H]1NC(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001576158462 949494539 /nfs/dbraw/zinc/49/45/39/949494539.db2.gz SUKHVYYOKKWOPZ-WCBMZHEXSA-N -1 1 333.352 -0.176 20 0 EBADMM O=C(N[C@H]1CCCN(c2cncc(-c3nnn[n-]3)n2)C1)[C@H]1CCCO1 ZINC001570945834 949501846 /nfs/dbraw/zinc/50/18/46/949501846.db2.gz JJFWJMQGJGCPEC-CMPLNLGQSA-N -1 1 344.379 -0.079 20 0 EBADMM O=C(N[C@H]1CCCN(c2cncc(-c3nn[n-]n3)n2)C1)[C@H]1CCCO1 ZINC001570945834 949501865 /nfs/dbraw/zinc/50/18/65/949501865.db2.gz JJFWJMQGJGCPEC-CMPLNLGQSA-N -1 1 344.379 -0.079 20 0 EBADMM O=C(N[C@H]1CCCN(c2cncc(-c3nnn[n-]3)n2)C1)[C@@H]1CCCO1 ZINC001570945835 949502702 /nfs/dbraw/zinc/50/27/02/949502702.db2.gz JJFWJMQGJGCPEC-JQWIXIFHSA-N -1 1 344.379 -0.079 20 0 EBADMM O=C(N[C@H]1CCCN(c2cncc(-c3nn[n-]n3)n2)C1)[C@@H]1CCCO1 ZINC001570945835 949502718 /nfs/dbraw/zinc/50/27/18/949502718.db2.gz JJFWJMQGJGCPEC-JQWIXIFHSA-N -1 1 344.379 -0.079 20 0 EBADMM O=C(N[C@H]1CCCN(c2ccnc(-c3nn[n-]n3)n2)C1)[C@H]1CCOC1 ZINC001570946236 949526287 /nfs/dbraw/zinc/52/62/87/949526287.db2.gz OJTFHVYRRNUVCY-QWRGUYRKSA-N -1 1 344.379 -0.222 20 0 EBADMM CO[C@@H](C)C(=O)N1C[C@@H]2C[C@H]1CN2c1nc(C)cc(-c2nnn[n-]2)n1 ZINC001570946485 949534289 /nfs/dbraw/zinc/53/42/89/949534289.db2.gz PEVCWSWSMAWQEM-DCAQKATOSA-N -1 1 344.379 -0.210 20 0 EBADMM CO[C@@H](C)C(=O)N1C[C@@H]2C[C@H]1CN2c1nc(C)cc(-c2nn[n-]n2)n1 ZINC001570946485 949534300 /nfs/dbraw/zinc/53/43/00/949534300.db2.gz PEVCWSWSMAWQEM-DCAQKATOSA-N -1 1 344.379 -0.210 20 0 EBADMM O=C(C1=COCCO1)N1CC[C@@H](Nc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001570946585 949537017 /nfs/dbraw/zinc/53/70/17/949537017.db2.gz QTEXNERPLXCFFH-SECBINFHSA-N -1 1 344.335 -0.442 20 0 EBADMM O=C(C1=COCCO1)N1CC[C@@H](Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001570946585 949537038 /nfs/dbraw/zinc/53/70/38/949537038.db2.gz QTEXNERPLXCFFH-SECBINFHSA-N -1 1 344.335 -0.442 20 0 EBADMM COC(=O)[C@@H](CNC(=O)c1cccc(-c2nnn[n-]2)n1)[C@@H]1CCOC1 ZINC001570946663 949544778 /nfs/dbraw/zinc/54/47/78/949544778.db2.gz PBPPSGCEHVIKBC-ZJUUUORDSA-N -1 1 346.347 -0.183 20 0 EBADMM COC(=O)[C@@H](CNC(=O)c1cccc(-c2nn[n-]n2)n1)[C@@H]1CCOC1 ZINC001570946663 949544797 /nfs/dbraw/zinc/54/47/97/949544797.db2.gz PBPPSGCEHVIKBC-ZJUUUORDSA-N -1 1 346.347 -0.183 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1CCOC1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574711579 949548065 /nfs/dbraw/zinc/54/80/65/949548065.db2.gz JLMVRRLJUCHRNM-ZJUUUORDSA-N -1 1 332.368 -0.048 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1CCOC1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574711579 949548084 /nfs/dbraw/zinc/54/80/84/949548084.db2.gz JLMVRRLJUCHRNM-ZJUUUORDSA-N -1 1 332.368 -0.048 20 0 EBADMM O=C(N[C@H]1CCCN(c2cnc(-c3nnn[n-]3)cn2)C1)[C@@H]1CCOC1 ZINC001570946949 949553470 /nfs/dbraw/zinc/55/34/70/949553470.db2.gz UMADOQGRYHGOKK-MNOVXSKESA-N -1 1 344.379 -0.222 20 0 EBADMM O=C(N[C@H]1CCCN(c2cnc(-c3nn[n-]n3)cn2)C1)[C@@H]1CCOC1 ZINC001570946949 949553488 /nfs/dbraw/zinc/55/34/88/949553488.db2.gz UMADOQGRYHGOKK-MNOVXSKESA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@H]1CN(C(=O)CC(C)(C)O)C[C@H]1Nc1ccnc(-c2nn[n-]n2)n1 ZINC001570947080 949558068 /nfs/dbraw/zinc/55/80/68/949558068.db2.gz SCQOYHXASYHHKN-VHSXEESVSA-N -1 1 346.395 -0.502 20 0 EBADMM C[C@H]1CN(C(=O)CC(C)(C)O)C[C@@H]1Nc1ccnc(-c2nn[n-]n2)n1 ZINC001570947079 949558127 /nfs/dbraw/zinc/55/81/27/949558127.db2.gz SCQOYHXASYHHKN-UWVGGRQHSA-N -1 1 346.395 -0.502 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1COC(=O)N1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574711838 949561006 /nfs/dbraw/zinc/56/10/06/949561006.db2.gz MYVHHOHUOHNGIK-CBAPKCEASA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1COC(=O)N1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574711838 949561030 /nfs/dbraw/zinc/56/10/30/949561030.db2.gz MYVHHOHUOHNGIK-CBAPKCEASA-N -1 1 347.339 -0.976 20 0 EBADMM O=C(N[C@H]1CCCN(c2nccnc2-c2nnn[n-]2)C1)[C@H]1CCOC1 ZINC001570947442 949571140 /nfs/dbraw/zinc/57/11/40/949571140.db2.gz ZRFBHRHGEWKABS-QWRGUYRKSA-N -1 1 344.379 -0.222 20 0 EBADMM O=C(N[C@H]1CCCN(c2nccnc2-c2nn[n-]n2)C1)[C@H]1CCOC1 ZINC001570947442 949571158 /nfs/dbraw/zinc/57/11/58/949571158.db2.gz ZRFBHRHGEWKABS-QWRGUYRKSA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1COC(=O)N1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574712462 949579886 /nfs/dbraw/zinc/57/98/86/949579886.db2.gz SLTXEVLPXRDKMW-VXNVDRBHSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1COC(=O)N1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574712462 949579902 /nfs/dbraw/zinc/57/99/02/949579902.db2.gz SLTXEVLPXRDKMW-VXNVDRBHSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1COCCO1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574712682 949584579 /nfs/dbraw/zinc/58/45/79/949584579.db2.gz SXENEUGLLSCAIC-MWLCHTKSSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1COCCO1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574712682 949584594 /nfs/dbraw/zinc/58/45/94/949584594.db2.gz SXENEUGLLSCAIC-MWLCHTKSSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1COCCO1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574712681 949586994 /nfs/dbraw/zinc/58/69/94/949586994.db2.gz SXENEUGLLSCAIC-KOLCDFICSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1COCCO1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574712681 949587009 /nfs/dbraw/zinc/58/70/09/949587009.db2.gz SXENEUGLLSCAIC-KOLCDFICSA-N -1 1 348.367 -0.669 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)N1C[C@H](CO)OC(C)(C)C1 ZINC001570949074 949630644 /nfs/dbraw/zinc/63/06/44/949630644.db2.gz LSYKBYXQAOKMPP-SECBINFHSA-N -1 1 348.363 -0.112 20 0 EBADMM C[C@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001574957809 949633776 /nfs/dbraw/zinc/63/37/76/949633776.db2.gz IUNHNVALRHWLOM-DNJQJEMRSA-N -1 1 344.379 -0.510 20 0 EBADMM C[C@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001574957809 949633793 /nfs/dbraw/zinc/63/37/93/949633793.db2.gz IUNHNVALRHWLOM-DNJQJEMRSA-N -1 1 344.379 -0.510 20 0 EBADMM O=C(CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)NCCC(F)(F)F ZINC001570949333 949642901 /nfs/dbraw/zinc/64/29/01/949642901.db2.gz UZVLUYKTBSQPCF-UHFFFAOYSA-N -1 1 347.257 -0.412 20 0 EBADMM C[C@H]1CCCCN1NC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001576168881 949654709 /nfs/dbraw/zinc/65/47/09/949654709.db2.gz WIWIVJNWJMQTJY-QMMMGPOBSA-N -1 1 334.340 -0.965 20 0 EBADMM C[C@H](CNC(=O)[C@]12C[C@H]1COC2)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001574958158 949656353 /nfs/dbraw/zinc/65/63/53/949656353.db2.gz MRZKNXXXGLAWGU-YHAQOWFVSA-N -1 1 344.379 -0.721 20 0 EBADMM C[C@@H]1C[C@H](CNC(=O)Cn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)CCO1 ZINC001570950141 949670676 /nfs/dbraw/zinc/67/06/76/949670676.db2.gz DTNONMNCUPAPAJ-RKDXNWHRSA-N -1 1 349.351 -0.940 20 0 EBADMM C[C@H](CNC(=O)[C@]12C[C@H]1COC2)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574958985 949700239 /nfs/dbraw/zinc/70/02/39/949700239.db2.gz XEMVQPGLJKZUJD-ITMYJUKJSA-N -1 1 330.352 -0.390 20 0 EBADMM C[C@H](CNC(=O)[C@]12C[C@H]1COC2)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574958985 949700251 /nfs/dbraw/zinc/70/02/51/949700251.db2.gz XEMVQPGLJKZUJD-ITMYJUKJSA-N -1 1 330.352 -0.390 20 0 EBADMM CC(C)[C@@H]1CN(C(=O)Cn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)CCO1 ZINC001570950832 949704195 /nfs/dbraw/zinc/70/41/95/949704195.db2.gz WMPPVPONDMOFAN-JTQLQIEISA-N -1 1 349.351 -0.988 20 0 EBADMM C[C@@H](CNC(=O)[C@]1(C)CCC(=O)N1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574965691 949809616 /nfs/dbraw/zinc/80/96/16/949809616.db2.gz JLNFOPGFENDOMS-RTHLEPHNSA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@@H](CNC(=O)[C@]1(C)CCC(=O)N1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574965691 949809643 /nfs/dbraw/zinc/80/96/43/949809643.db2.gz JLNFOPGFENDOMS-RTHLEPHNSA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@@H](CN(C)C(=O)Cc1cnoc1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574728562 949994138 /nfs/dbraw/zinc/99/41/38/949994138.db2.gz CYTXDADQWRYUGZ-VIFPVBQESA-N -1 1 343.351 -0.432 20 0 EBADMM C[C@H](CN(C)C(=O)Cc1ccc[nH]1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574729403 950017155 /nfs/dbraw/zinc/01/71/55/950017155.db2.gz NNGUJKVJMXHTHE-SNVBAGLBSA-N -1 1 341.379 -0.092 20 0 EBADMM C[C@@H](CN(C)C(=O)Cn1cncn1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574730805 950036424 /nfs/dbraw/zinc/03/64/24/950036424.db2.gz BOWHZFGNWCAGOU-VIFPVBQESA-N -1 1 343.355 -0.793 20 0 EBADMM C[C@@H](CN(C)C(=O)Cn1cncn1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574730805 950036447 /nfs/dbraw/zinc/03/64/47/950036447.db2.gz BOWHZFGNWCAGOU-VIFPVBQESA-N -1 1 343.355 -0.793 20 0 EBADMM CC(C)(C)CC(=O)NC[C@H](CO)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001573835777 950065482 /nfs/dbraw/zinc/06/54/82/950065482.db2.gz BYWILPNGAVCNDB-SECBINFHSA-N -1 1 334.384 -0.596 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1CCC(=O)N1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574732977 950083957 /nfs/dbraw/zinc/08/39/57/950083957.db2.gz OMAGOBGUIFZBRR-WPRPVWTQSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1CCC(=O)N1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574732977 950083972 /nfs/dbraw/zinc/08/39/72/950083972.db2.gz OMAGOBGUIFZBRR-WPRPVWTQSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@]1(C(=O)NCCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)CC1(F)F ZINC001575592285 950282690 /nfs/dbraw/zinc/28/26/90/950282690.db2.gz GRWLVHQXJJXSOP-LLVKDONJSA-N -1 1 341.278 -0.710 20 0 EBADMM CC(C)(C)OC(=O)NC[C@@H](O)CNc1ccnc(-c2nnn[n-]2)c1 ZINC001573861019 950436921 /nfs/dbraw/zinc/43/69/21/950436921.db2.gz PDUZIHQKWNWKFI-JTQLQIEISA-N -1 1 335.368 -0.019 20 0 EBADMM CC(C)(C)OC(=O)NC[C@@H](O)CNc1ccnc(-c2nn[n-]n2)c1 ZINC001573861019 950436935 /nfs/dbraw/zinc/43/69/35/950436935.db2.gz PDUZIHQKWNWKFI-JTQLQIEISA-N -1 1 335.368 -0.019 20 0 EBADMM C[C@H](CN(C)C(=O)c1cc[nH]c1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574750771 950444505 /nfs/dbraw/zinc/44/45/05/950444505.db2.gz HOVVAYZDSKDMEM-SECBINFHSA-N -1 1 327.352 -0.021 20 0 EBADMM C[C@H](CN(C)C(=O)c1cn[nH]c1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574760812 950679323 /nfs/dbraw/zinc/67/93/23/950679323.db2.gz LWAXVAPCPCPCJR-MRVPVSSYSA-N -1 1 328.340 -0.048 20 0 EBADMM C[C@H](CN(C)C(=O)c1cn[nH]c1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574760812 950679333 /nfs/dbraw/zinc/67/93/33/950679333.db2.gz LWAXVAPCPCPCJR-MRVPVSSYSA-N -1 1 328.340 -0.048 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ccncn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574760983 950689378 /nfs/dbraw/zinc/68/93/78/950689378.db2.gz PVDNQSRWDMSXJH-VIFPVBQESA-N -1 1 340.351 -0.559 20 0 EBADMM CC1(C)CC(C(=O)NC[C@H](CO)Nc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001575628276 950712685 /nfs/dbraw/zinc/71/26/85/950712685.db2.gz BORCIBLGBXHSNS-SNVBAGLBSA-N -1 1 346.395 -0.018 20 0 EBADMM CC1(C)CC(C(=O)NC[C@H](CO)Nc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001575628276 950712711 /nfs/dbraw/zinc/71/27/11/950712711.db2.gz BORCIBLGBXHSNS-SNVBAGLBSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](CNC(=O)c1ccn[nH]1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001575006218 950716514 /nfs/dbraw/zinc/71/65/14/950716514.db2.gz SUBPLZAUODQRKH-MRVPVSSYSA-N -1 1 328.340 -0.142 20 0 EBADMM C[C@H](CN(C)C(=O)c1cnco1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574763603 950721069 /nfs/dbraw/zinc/72/10/69/950721069.db2.gz GFYYGLUTXRCHNC-MRVPVSSYSA-N -1 1 329.324 -0.361 20 0 EBADMM C[C@@H](CNC(=O)c1ccn[nH]1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575006876 950724119 /nfs/dbraw/zinc/72/41/19/950724119.db2.gz XLHZGACSNFBJGT-QMMMGPOBSA-N -1 1 328.340 -0.366 20 0 EBADMM C[C@@H](CNC(=O)c1ccn[nH]1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575006876 950724135 /nfs/dbraw/zinc/72/41/35/950724135.db2.gz XLHZGACSNFBJGT-QMMMGPOBSA-N -1 1 328.340 -0.366 20 0 EBADMM CC(C)CCC(=O)NC[C@@H](CO)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574181022 950740270 /nfs/dbraw/zinc/74/02/70/950740270.db2.gz IOPUMMSJHAAQRQ-JTQLQIEISA-N -1 1 334.384 -0.018 20 0 EBADMM CC(C)CCC(=O)NC[C@@H](CO)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574181022 950740285 /nfs/dbraw/zinc/74/02/85/950740285.db2.gz IOPUMMSJHAAQRQ-JTQLQIEISA-N -1 1 334.384 -0.018 20 0 EBADMM C[C@@H](C(=O)N1Cc2cncnc2[C@@H](C)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001576216644 950740303 /nfs/dbraw/zinc/74/03/03/950740303.db2.gz FCKQTGSEIAKRJJ-IUCAKERBSA-N -1 1 340.351 -0.045 20 0 EBADMM C[C@H]1[C@H](Nc2cncc(-c3nnn[n-]3)n2)CCN1C(=O)c1nc[nH]n1 ZINC001575930011 950791808 /nfs/dbraw/zinc/79/18/08/950791808.db2.gz DEPSNCCFXMUNEM-JGVFFNPUSA-N -1 1 341.339 -0.510 20 0 EBADMM C[C@H]1[C@H](Nc2cncc(-c3nn[n-]n3)n2)CCN1C(=O)c1nc[nH]n1 ZINC001575930011 950791826 /nfs/dbraw/zinc/79/18/26/950791826.db2.gz DEPSNCCFXMUNEM-JGVFFNPUSA-N -1 1 341.339 -0.510 20 0 EBADMM CCOC(=O)C1(S(=O)(=O)N(CCN(C)C)CC(=O)[O-])CCC1 ZINC001590178187 950852955 /nfs/dbraw/zinc/85/29/55/950852955.db2.gz FZOPPKKPKFIQAW-UHFFFAOYSA-N -1 1 336.410 -0.250 20 0 EBADMM C[C@H]1[C@H](Nc2cncc(-c3nnn[n-]3)n2)CCN1C(=O)c1cnon1 ZINC001575934796 950865160 /nfs/dbraw/zinc/86/51/60/950865160.db2.gz TYIKNWLGBHBELO-JGVFFNPUSA-N -1 1 342.323 -0.245 20 0 EBADMM C[C@H]1[C@H](Nc2cncc(-c3nn[n-]n3)n2)CCN1C(=O)c1cnon1 ZINC001575934796 950865169 /nfs/dbraw/zinc/86/51/69/950865169.db2.gz TYIKNWLGBHBELO-JGVFFNPUSA-N -1 1 342.323 -0.245 20 0 EBADMM CCOC(=O)[C@]1(COC)CCCN([C@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC001590179387 950866522 /nfs/dbraw/zinc/86/65/22/950866522.db2.gz ZTUUCGMVXXVFRX-BLLLJJGKSA-N -1 1 342.392 -0.036 20 0 EBADMM CC1(C)C[C@H]1C(=O)N(CCO)CCNc1cncc(-c2nnn[n-]2)n1 ZINC001575641413 950920861 /nfs/dbraw/zinc/92/08/61/950920861.db2.gz PQZJXBVMPZODIZ-JTQLQIEISA-N -1 1 346.395 -0.064 20 0 EBADMM CC1(C)C[C@H]1C(=O)N(CCO)CCNc1cncc(-c2nn[n-]n2)n1 ZINC001575641413 950920875 /nfs/dbraw/zinc/92/08/75/950920875.db2.gz PQZJXBVMPZODIZ-JTQLQIEISA-N -1 1 346.395 -0.064 20 0 EBADMM C[C@H](CNC(=O)c1ccc(=O)[nH]n1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001575017833 951012818 /nfs/dbraw/zinc/01/28/18/951012818.db2.gz KHZXKMJIZKCDTR-SSDOTTSWSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@H](CNC(=O)c1ccc(=O)[nH]n1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575017833 951012833 /nfs/dbraw/zinc/01/28/33/951012833.db2.gz KHZXKMJIZKCDTR-SSDOTTSWSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@@H](CNC(=O)c1ccc(F)cn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575025156 951127364 /nfs/dbraw/zinc/12/73/64/951127364.db2.gz WFCFOMQXKIQQNB-QMMMGPOBSA-N -1 1 343.326 -0.157 20 0 EBADMM C[C@H](CNC(=O)c1cccnn1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575032479 951223117 /nfs/dbraw/zinc/22/31/17/951223117.db2.gz YSKHFRKQKCNZBO-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1cccnn1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575032479 951223131 /nfs/dbraw/zinc/22/31/31/951223131.db2.gz YSKHFRKQKCNZBO-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1ccnnc1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575033774 951227535 /nfs/dbraw/zinc/22/75/35/951227535.db2.gz AOZNUENGJJEOKR-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1ccnnc1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575033774 951227537 /nfs/dbraw/zinc/22/75/37/951227537.db2.gz AOZNUENGJJEOKR-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1ccn(C)n1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575035044 951263960 /nfs/dbraw/zinc/26/39/60/951263960.db2.gz FYWMRNRAQIYMTH-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1ccn(C)n1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001575036734 951301464 /nfs/dbraw/zinc/30/14/64/951301464.db2.gz XHSPQBQPHOAWHR-MRVPVSSYSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@H](CNC(=O)c1ccn(C)n1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575036734 951301475 /nfs/dbraw/zinc/30/14/75/951301475.db2.gz XHSPQBQPHOAWHR-MRVPVSSYSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@H](CNC(=O)c1ccsn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575038196 951314334 /nfs/dbraw/zinc/31/43/34/951314334.db2.gz HFNIRRVESZZGMP-SSDOTTSWSA-N -1 1 331.365 -0.235 20 0 EBADMM C[C@@H](CNC(=O)c1ccon1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575038904 951326968 /nfs/dbraw/zinc/32/69/68/951326968.db2.gz MWSLBMKKOFKYQG-QMMMGPOBSA-N -1 1 329.324 -0.101 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)nn1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001575042029 951355463 /nfs/dbraw/zinc/35/54/63/951355463.db2.gz FZLCYFHJANTBLO-QMMMGPOBSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)nn1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575042029 951355476 /nfs/dbraw/zinc/35/54/76/951355476.db2.gz FZLCYFHJANTBLO-QMMMGPOBSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)cn1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575042276 951360588 /nfs/dbraw/zinc/36/05/88/951360588.db2.gz HYEURJLPQDYERT-SECBINFHSA-N -1 1 342.367 -0.710 20 0 EBADMM CC(C)(C)NC(=O)CNC(=O)CN1C[C@H]2COC[C@@]2(C(=O)[O-])C1 ZINC001602871015 971742104 /nfs/dbraw/zinc/74/21/04/971742104.db2.gz PXXMMQMXDGSFPI-BONVTDFDSA-N -1 1 327.381 -0.950 20 0 EBADMM C[C@H](CNC(=O)c1cn[nH]c1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575043396 951389123 /nfs/dbraw/zinc/38/91/23/951389123.db2.gz IIPFHRQRDKLHKV-MRVPVSSYSA-N -1 1 328.340 -0.366 20 0 EBADMM C[C@H](CNC(=O)c1cn[nH]c1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575043396 951389138 /nfs/dbraw/zinc/38/91/38/951389138.db2.gz IIPFHRQRDKLHKV-MRVPVSSYSA-N -1 1 328.340 -0.366 20 0 EBADMM C[C@H](CNC(=O)c1cnccn1)Nc1nccnc1-c1nnn[n-]1 ZINC001575046945 951449611 /nfs/dbraw/zinc/44/96/11/951449611.db2.gz VJWWABUHJQVYSG-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1cnccn1)Nc1nccnc1-c1nn[n-]n1 ZINC001575046945 951449624 /nfs/dbraw/zinc/44/96/24/951449624.db2.gz VJWWABUHJQVYSG-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@@H](CNC(=O)c1cncnc1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575047210 951454759 /nfs/dbraw/zinc/45/47/59/951454759.db2.gz ZMXMCLCFDBTDOA-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1cncnc1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575047210 951454769 /nfs/dbraw/zinc/45/47/69/951454769.db2.gz ZMXMCLCFDBTDOA-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)c1N)Nc1nccnc1-c1nnn[n-]1 ZINC001575052466 951467368 /nfs/dbraw/zinc/46/73/68/951467368.db2.gz JWXSWMBQRAACLB-ZETCQYMHSA-N -1 1 343.355 -0.797 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)c1N)Nc1nccnc1-c1nn[n-]n1 ZINC001575052466 951467376 /nfs/dbraw/zinc/46/73/76/951467376.db2.gz JWXSWMBQRAACLB-ZETCQYMHSA-N -1 1 343.355 -0.797 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)c1N)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575052575 951470170 /nfs/dbraw/zinc/47/01/70/951470170.db2.gz MFWYAOBANMBLAO-SSDOTTSWSA-N -1 1 343.355 -0.797 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)c1N)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575052575 951470180 /nfs/dbraw/zinc/47/01/80/951470180.db2.gz MFWYAOBANMBLAO-SSDOTTSWSA-N -1 1 343.355 -0.797 20 0 EBADMM C[C@H](CNC(=O)c1cnon1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001575053204 951475773 /nfs/dbraw/zinc/47/57/73/951475773.db2.gz AEJSVYZBGZAWKL-ZETCQYMHSA-N -1 1 330.312 -0.482 20 0 EBADMM C[C@H](CNC(=O)c1cnon1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575053204 951475781 /nfs/dbraw/zinc/47/57/81/951475781.db2.gz AEJSVYZBGZAWKL-ZETCQYMHSA-N -1 1 330.312 -0.482 20 0 EBADMM C[C@H](CNC(=O)c1cnns1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575053742 951483833 /nfs/dbraw/zinc/48/38/33/951483833.db2.gz DMHYBFJEHPWOSB-ZCFIWIBFSA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@H](CNC(=O)c1cnns1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575053742 951483837 /nfs/dbraw/zinc/48/38/37/951483837.db2.gz DMHYBFJEHPWOSB-ZCFIWIBFSA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)n1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001575054620 951494396 /nfs/dbraw/zinc/49/43/96/951494396.db2.gz ZDTLKBMBOQRAIY-QMMMGPOBSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)n1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575054620 951494407 /nfs/dbraw/zinc/49/44/07/951494407.db2.gz ZDTLKBMBOQRAIY-QMMMGPOBSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@H](CNC(=O)c1cnsn1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575054754 951497405 /nfs/dbraw/zinc/49/74/05/951497405.db2.gz KMPUNMZUHPKQTO-ZCFIWIBFSA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@H](CNC(=O)c1cnsn1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575054754 951497408 /nfs/dbraw/zinc/49/74/08/951497408.db2.gz KMPUNMZUHPKQTO-ZCFIWIBFSA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@@H](CNC(=O)c1cocn1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575055915 951513909 /nfs/dbraw/zinc/51/39/09/951513909.db2.gz BYSYRCDDLDPBNT-QMMMGPOBSA-N -1 1 329.324 -0.101 20 0 EBADMM C[C@@H](CNC(=O)c1cocn1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575055915 951513915 /nfs/dbraw/zinc/51/39/15/951513915.db2.gz BYSYRCDDLDPBNT-QMMMGPOBSA-N -1 1 329.324 -0.101 20 0 EBADMM C[C@H](CNC(=O)c1csc(=O)[nH]1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575056029 951516300 /nfs/dbraw/zinc/51/63/00/951516300.db2.gz DSFMSGGNATZIQH-ZCFIWIBFSA-N -1 1 347.364 -0.529 20 0 EBADMM C[C@@H](CNC(=O)c1n[nH]cc1F)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575061578 951558738 /nfs/dbraw/zinc/55/87/38/951558738.db2.gz NNXVNISIXXMESS-ZETCQYMHSA-N -1 1 346.330 -0.226 20 0 EBADMM C[C@@H](CNC(=O)c1ncn(C)n1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575067863 951625525 /nfs/dbraw/zinc/62/55/25/951625525.db2.gz PWWINEDIKSWDME-ZETCQYMHSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@@H](CNC(=O)c1ncn(C)n1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575067863 951625529 /nfs/dbraw/zinc/62/55/29/951625529.db2.gz PWWINEDIKSWDME-ZETCQYMHSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@@H](CNC(=O)c1ncn(C)n1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575067875 951625683 /nfs/dbraw/zinc/62/56/83/951625683.db2.gz QELCMVARPLPZPL-QMMMGPOBSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@@H](CNC(=O)c1ncn(C)n1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575067875 951625686 /nfs/dbraw/zinc/62/56/86/951625686.db2.gz QELCMVARPLPZPL-QMMMGPOBSA-N -1 1 343.355 -0.960 20 0 EBADMM O=C([O-])CNCc1cn(CC(=O)N2CCO[C@@H]3CCCC[C@@H]32)nn1 ZINC001595102829 951865639 /nfs/dbraw/zinc/86/56/39/951865639.db2.gz VKQKEEHGIHWZNW-QWHCGFSZSA-N -1 1 337.380 -0.378 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)c1c[nH]c(-c2nn[nH]n2)c1 ZINC001575117349 951978222 /nfs/dbraw/zinc/97/82/22/951978222.db2.gz MQNRNAQFANMJMO-SSDOTTSWSA-N -1 1 346.355 -0.731 20 0 EBADMM C[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)Cc1nnc[nH]1 ZINC001575134144 952109401 /nfs/dbraw/zinc/10/94/01/952109401.db2.gz MJTXUSMILLPOAI-QMMMGPOBSA-N -1 1 343.355 -0.724 20 0 EBADMM C[C@@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)c1ccnn1C ZINC001575137276 952135718 /nfs/dbraw/zinc/13/57/18/952135718.db2.gz WAURNSPNQYIARO-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)c1ccnn1C ZINC001575137276 952135723 /nfs/dbraw/zinc/13/57/23/952135723.db2.gz WAURNSPNQYIARO-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)Cn1cccn1 ZINC001575143133 952150304 /nfs/dbraw/zinc/15/03/04/952150304.db2.gz NTNMGGUTYJTCDP-SNVBAGLBSA-N -1 1 342.367 -0.766 20 0 EBADMM O=C([O-])Cn1cccc(C(=O)N2CCC(N3CCOCC3)CC2)c1=O ZINC001595155315 952163135 /nfs/dbraw/zinc/16/31/35/952163135.db2.gz XLOFVYGPDXTLHD-UHFFFAOYSA-N -1 1 349.387 -0.130 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)[C@H]1CCOC1 ZINC001575144745 952170807 /nfs/dbraw/zinc/17/08/07/952170807.db2.gz XUCYURDZYFLWRF-UWVGGRQHSA-N -1 1 332.368 -0.626 20 0 EBADMM O=C([O-])[C@@H]1CCCN1S(=O)(=O)N1CCC([N@H+]2CC[C@@H](O)C2)CC1 ZINC001595168560 952215332 /nfs/dbraw/zinc/21/53/32/952215332.db2.gz YPUORXLKTOPQHS-OLZOCXBDSA-N -1 1 347.437 -0.689 20 0 EBADMM C[C@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)c1ncn(C)n1 ZINC001575154322 952220194 /nfs/dbraw/zinc/22/01/94/952220194.db2.gz BDRBBTSGAYKDMH-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)c1ncn(C)n1 ZINC001575154322 952220199 /nfs/dbraw/zinc/22/01/99/952220199.db2.gz BDRBBTSGAYKDMH-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)[C@]12C[C@H]1COC2 ZINC001575156497 952253999 /nfs/dbraw/zinc/25/39/99/952253999.db2.gz GFODEGLWJASFEJ-YHAQOWFVSA-N -1 1 344.379 -0.048 20 0 EBADMM C[C@@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)[C@]12C[C@H]1COC2 ZINC001575156497 952254004 /nfs/dbraw/zinc/25/40/04/952254004.db2.gz GFODEGLWJASFEJ-YHAQOWFVSA-N -1 1 344.379 -0.048 20 0 EBADMM C[C@@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)c1cncn1C ZINC001575156598 952255772 /nfs/dbraw/zinc/25/57/72/952255772.db2.gz VMDGURSDOXVQPA-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)c1cncn1C ZINC001575156598 952255774 /nfs/dbraw/zinc/25/57/74/952255774.db2.gz VMDGURSDOXVQPA-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM CC(CO)(CO)CNC(=O)NCc1nc(-c2nnn[n-]2)cs1 ZINC001575177104 952397542 /nfs/dbraw/zinc/39/75/42/952397542.db2.gz JPRRQHZFSVIEAY-UHFFFAOYSA-N -1 1 327.370 -0.887 20 0 EBADMM CC(CO)(CO)CNC(=O)NCc1nc(-c2nn[n-]n2)cs1 ZINC001575177104 952397549 /nfs/dbraw/zinc/39/75/49/952397549.db2.gz JPRRQHZFSVIEAY-UHFFFAOYSA-N -1 1 327.370 -0.887 20 0 EBADMM C[C@@H](CO)N(CC1CCC1)c1[nH]c(=O)n(C)c(=O)c1-c1nn[n-]n1 ZINC001575179038 952410628 /nfs/dbraw/zinc/41/06/28/952410628.db2.gz SJSHIZQGMDXMRE-QMMMGPOBSA-N -1 1 335.368 -0.759 20 0 EBADMM C[C@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001575179449 952411458 /nfs/dbraw/zinc/41/14/58/952411458.db2.gz OZOVPSPUIVSQQT-ZNSHCXBVSA-N -1 1 344.379 -0.192 20 0 EBADMM C[C@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001575179449 952411464 /nfs/dbraw/zinc/41/14/64/952411464.db2.gz OZOVPSPUIVSQQT-ZNSHCXBVSA-N -1 1 344.379 -0.192 20 0 EBADMM C[C@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)c1cn[nH]c1 ZINC001575180196 952415287 /nfs/dbraw/zinc/41/52/87/952415287.db2.gz SZZSVIWJDWXYOS-MRVPVSSYSA-N -1 1 328.340 -0.048 20 0 EBADMM C[C@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)c1cn[nH]c1 ZINC001575180196 952415289 /nfs/dbraw/zinc/41/52/89/952415289.db2.gz SZZSVIWJDWXYOS-MRVPVSSYSA-N -1 1 328.340 -0.048 20 0 EBADMM C[C@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)[C@H]1CCC(=O)N1 ZINC001575180530 952418123 /nfs/dbraw/zinc/41/81/23/952418123.db2.gz VJQXYSCCPOHWLM-RKDXNWHRSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)[C@H]1CCC(=O)N1 ZINC001575180530 952418127 /nfs/dbraw/zinc/41/81/27/952418127.db2.gz VJQXYSCCPOHWLM-RKDXNWHRSA-N -1 1 345.367 -0.806 20 0 EBADMM CN(C)CC(=O)N1CCN(C(=O)C2(C(=O)[O-])CCOCC2)CC1 ZINC001593692454 954050267 /nfs/dbraw/zinc/05/02/67/954050267.db2.gz XSFYUIHLVFCCMX-UHFFFAOYSA-N -1 1 327.381 -0.900 20 0 EBADMM C[C@@H]1CCN(CCNS(=O)(=O)c2cnn(C)c2)C[C@@H]1C(=O)[O-] ZINC001589352955 954096043 /nfs/dbraw/zinc/09/60/43/954096043.db2.gz HPSMHESPRFIGPA-PWSUYJOCSA-N -1 1 330.410 -0.259 20 0 EBADMM O=C([O-])C1(C(=O)N2CCC(O)(c3nn[nH]n3)CC2)CCSCC1 ZINC001594846348 954151472 /nfs/dbraw/zinc/15/14/72/954151472.db2.gz XEJGCHPDXPAADQ-UHFFFAOYSA-N -1 1 341.393 -0.392 20 0 EBADMM CN(CC(=O)NC1(C(=O)[O-])CCOCC1)c1ncnc2[nH]cnc21 ZINC001593716446 954221645 /nfs/dbraw/zinc/22/16/45/954221645.db2.gz MNSREUUVQRGMAX-UHFFFAOYSA-N -1 1 334.336 -0.461 20 0 EBADMM C[C@@H]1CN(C(=O)NC2CCN(CC(=O)[O-])CC2)CCS(=O)(=O)C1 ZINC001589377962 954279315 /nfs/dbraw/zinc/27/93/15/954279315.db2.gz WYOWXCBOXMJSMD-LLVKDONJSA-N -1 1 347.437 -0.389 20 0 EBADMM C[C@H]1CN2CCN1C[C@@H]2C(=O)N[C@@H](Cc1ccc(O)cc1)C(=O)[O-] ZINC001589405256 954460173 /nfs/dbraw/zinc/46/01/73/954460173.db2.gz BECKGFCOGAAPLL-TUKIKUTGSA-N -1 1 333.388 -0.108 20 0 EBADMM C[C@H]1COCCN1C1CCN(C(=O)[C@H]2CC(C(=O)[O-])=NO2)CC1 ZINC001589408770 954495218 /nfs/dbraw/zinc/49/52/18/954495218.db2.gz MNCYXSJNFRBYPN-GXFFZTMASA-N -1 1 325.365 -0.072 20 0 EBADMM CC(C)[C@]1(C)NC(=O)N(CN2C[C@@H]3COC[C@]3(C(=O)[O-])C2)C1=O ZINC001602993214 972028955 /nfs/dbraw/zinc/02/89/55/972028955.db2.gz HJADVHVNPMYOSX-WKPIXPDZSA-N -1 1 325.365 -0.057 20 0 EBADMM O=C([O-])C1CCN(S(=O)(=O)NCCCN2CCC(O)CC2)CC1 ZINC001594939675 954872476 /nfs/dbraw/zinc/87/24/76/954872476.db2.gz SGSHBULQCDEMGS-UHFFFAOYSA-N -1 1 349.453 -0.536 20 0 EBADMM CC(C)C[C@@H](CNC(=O)C(=O)NC[C@@H]1CN(C)CCN1C)C(=O)[O-] ZINC001603004733 972054943 /nfs/dbraw/zinc/05/49/43/972054943.db2.gz KMKIQDXRESOTQO-QWHCGFSZSA-N -1 1 342.440 -0.789 20 0 EBADMM COC(=O)C1CC2(C1)CN(S(=O)(=O)c1ncc[nH]1)C[C@@H]2C(=O)[O-] ZINC001593826586 955107198 /nfs/dbraw/zinc/10/71/98/955107198.db2.gz TYZOTNWCHFVEGG-XQVHRTOBSA-N -1 1 343.361 -0.316 20 0 EBADMM COC(=O)[C@H]1CN(CC(=O)[O-])[C@@H](C)CN1CC(=O)OC(C)(C)C ZINC001593828414 955124169 /nfs/dbraw/zinc/12/41/69/955124169.db2.gz PCTHJZNELANUPW-WDEREUQCSA-N -1 1 330.381 -0.040 20 0 EBADMM COC(=O)CCN(CC(=O)[O-])C(=O)C(C)(C)NC(=O)C(F)(F)F ZINC001593836371 955315375 /nfs/dbraw/zinc/31/53/75/955315375.db2.gz XQOJCXFOJMOMOB-UHFFFAOYSA-N -1 1 342.270 -0.080 20 0 EBADMM CC(C)CN1CCO[C@@H](CNC(=O)C(=O)N(C)CCC(=O)[O-])C1 ZINC001603029443 972089182 /nfs/dbraw/zinc/08/91/82/972089182.db2.gz VFZQFWCZBSGEGE-LBPRGKRZSA-N -1 1 329.397 -0.608 20 0 EBADMM COC(=O)CC[C@H](NS(=O)(=O)C[C@@]12CC[N@@H+](C1)CCC2)C(=O)[O-] ZINC001593849724 955444775 /nfs/dbraw/zinc/44/47/75/955444775.db2.gz GDPLZJIMIYIFKA-FZMZJTMJSA-N -1 1 348.421 -0.202 20 0 EBADMM Cn1cc([C@H]2C[C@H](C(=O)[O-])CN(CCNS(C)(=O)=O)C2)cn1 ZINC001594534753 955561008 /nfs/dbraw/zinc/56/10/08/955561008.db2.gz GSCMDOPIINDAPN-QWRGUYRKSA-N -1 1 330.410 -0.541 20 0 EBADMM Cn1cc([C@@H]2C[C@H](C(=O)[O-])CN(CC(=O)N3CCNC3=O)C2)cn1 ZINC001594540794 955641985 /nfs/dbraw/zinc/64/19/85/955641985.db2.gz ULNJFOWHNQGBSE-MNOVXSKESA-N -1 1 335.364 -0.538 20 0 EBADMM Cn1cc(CC[N@H+]2CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]2C(=O)[O-])nn1 ZINC001594541748 955659830 /nfs/dbraw/zinc/65/98/30/955659830.db2.gz LLCOVDYQGDBEOR-ZJUUUORDSA-N -1 1 349.313 -0.046 20 0 EBADMM CO[C@H](C(=O)[O-])C(=O)N(CCCN(C)C)[C@H]1CCS(=O)(=O)C1 ZINC001593901734 955876220 /nfs/dbraw/zinc/87/62/20/955876220.db2.gz FPZRYGYOVKLNOB-QWRGUYRKSA-N -1 1 336.410 -0.947 20 0 EBADMM COCCN1CC[C@@H](NC(=O)C(=O)Nc2cncc(C(=O)[O-])c2)C1 ZINC001594014968 957025662 /nfs/dbraw/zinc/02/56/62/957025662.db2.gz MYFUTKWCDHAVCN-LLVKDONJSA-N -1 1 336.348 -0.445 20 0 EBADMM O=C([O-])C1(CNC(=O)NC[C@@]2(CO)COC[C@@H]3CCCN32)CCC1 ZINC001605757196 972419393 /nfs/dbraw/zinc/41/93/93/972419393.db2.gz ZWGDOEQJVXJEHA-BLLLJJGKSA-N -1 1 341.408 -0.234 20 0 EBADMM C[C@H](O)[C@H](O)C[N@H+]1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC001603266259 972458480 /nfs/dbraw/zinc/45/84/80/972458480.db2.gz SMLIRDXHBPNLLQ-JQCXWYLXSA-N -1 1 328.287 -0.676 20 0 EBADMM CCN(C)[C@H]1CCN(C(=O)C2(C(=O)[O-])CCS(=O)(=O)CC2)C1 ZINC001573875598 961247913 /nfs/dbraw/zinc/24/79/13/961247913.db2.gz UZKFCFDRIZCIDU-NSHDSACASA-N -1 1 332.422 -0.181 20 0 EBADMM CN1CC[C@H](N2CCC(NC(=O)[C@@H]3CC(C(=O)[O-])=NO3)CC2)C1=O ZINC001588870485 962058293 /nfs/dbraw/zinc/05/82/93/962058293.db2.gz LTCOTHZYCVXILD-RYUDHWBXSA-N -1 1 338.364 -0.973 20 0 EBADMM O=C([O-])CC1(NC(=O)CCc2c[nH]nn2)CCS(=O)(=O)CC1 ZINC001571191461 962260165 /nfs/dbraw/zinc/26/01/65/962260165.db2.gz UEWRFNPCPXGQAX-UHFFFAOYSA-N -1 1 330.366 -0.724 20 0 EBADMM O=C([O-])CC1(NC(=O)CCc2cnn[nH]2)CCS(=O)(=O)CC1 ZINC001571191461 962260183 /nfs/dbraw/zinc/26/01/83/962260183.db2.gz UEWRFNPCPXGQAX-UHFFFAOYSA-N -1 1 330.366 -0.724 20 0 EBADMM C[C@@H]1C[C@@H](Nc2ccnc(C(=O)[O-])n2)CN1C(=O)Cc1ccn[nH]1 ZINC001571193678 962294531 /nfs/dbraw/zinc/29/45/31/962294531.db2.gz YICDUOZLBKDOHP-MWLCHTKSSA-N -1 1 330.348 -0.036 20 0 EBADMM O=C([O-])[C@@H]1CC[C@H](S(=O)(=O)N2C[C@@H]3CCCN3C[C@@H]2CO)C1 ZINC001571205010 962408757 /nfs/dbraw/zinc/40/87/57/962408757.db2.gz UHCDLWCKBNEOMH-XQHKEYJVSA-N -1 1 332.422 -0.290 20 0 EBADMM CN1CCC[C@@H]1C(=O)N[C@H]1C[C@H](CNc2ccnc(C(=O)[O-])n2)C1 ZINC001571213654 962477404 /nfs/dbraw/zinc/47/74/04/962477404.db2.gz QQOQUFPPUFZPJH-IJLUTSLNSA-N -1 1 333.392 -0.002 20 0 EBADMM CCN1CCN(C2CN(S(=O)(=O)CC(C)(C)C(=O)[O-])C2)CC1 ZINC001571216432 962507800 /nfs/dbraw/zinc/50/78/00/962507800.db2.gz RCWTVBJFJUQSEN-UHFFFAOYSA-N -1 1 333.454 -0.251 20 0 EBADMM Cc1nc(CC(=O)NC2CCN(C(=O)[C@@H]3C[C@H]3C(=O)[O-])CC2)n[nH]1 ZINC001571223638 962564208 /nfs/dbraw/zinc/56/42/08/962564208.db2.gz ISQJPLADVNDXEK-GHMZBOCLSA-N -1 1 335.364 -0.516 20 0 EBADMM COC[C@]1(C(=O)[O-])CN(C(=O)N[C@@H]2CCc3[nH]cnc3C2)CCO1 ZINC001574142045 962583978 /nfs/dbraw/zinc/58/39/78/962583978.db2.gz RNBYIRCAPLDMNC-BMIGLBTASA-N -1 1 338.364 -0.221 20 0 EBADMM COC[C@]1(C(=O)[O-])CN(C(=O)N[C@H]2CCc3[nH]cnc3C2)CCO1 ZINC001574142047 962584527 /nfs/dbraw/zinc/58/45/27/962584527.db2.gz RNBYIRCAPLDMNC-BONVTDFDSA-N -1 1 338.364 -0.221 20 0 EBADMM C[N@@H+](CCNC(=O)c1ccc(-n2[nH]c(=O)[n-]c2=O)cc1)CC(=O)[O-] ZINC001571227661 962600224 /nfs/dbraw/zinc/60/02/24/962600224.db2.gz CVLDIZXULLYTLA-UHFFFAOYSA-N -1 1 335.320 -0.988 20 0 EBADMM CC(C)c1nc(CNC(=O)C2(C(=O)[O-])CCS(=O)(=O)CC2)n[nH]1 ZINC001588976777 962708931 /nfs/dbraw/zinc/70/89/31/962708931.db2.gz UYNDQTGMIXVXBK-UHFFFAOYSA-N -1 1 344.393 -0.176 20 0 EBADMM CN1CCN(CCNS(=O)(=O)c2cc(C(=O)[O-])ccn2)CC1 ZINC000320144446 970620218 /nfs/dbraw/zinc/62/02/18/970620218.db2.gz SQSKDPMRSZYTAZ-UHFFFAOYSA-N -1 1 328.394 -0.695 20 0 EBADMM CN1CCN(c2ccc(CNC(=O)[C@H]3CC(C(=O)[O-])=NO3)cn2)CC1 ZINC001604227378 972723544 /nfs/dbraw/zinc/72/35/44/972723544.db2.gz DYAGIQYWKRTKRV-CYBMUJFWSA-N -1 1 347.375 -0.321 20 0 EBADMM O=C([O-])C1(C(=O)N[C@H]2CCc3nc[nH]c3C2)CCS(=O)(=O)CC1 ZINC001605923199 972819866 /nfs/dbraw/zinc/81/98/66/972819866.db2.gz WSSUGKGDDCVSOX-VIFPVBQESA-N -1 1 341.389 -0.337 20 0 EBADMM O=C([O-])CCCNC(=O)C(=O)N1CC[C@@H]2[C@H]1CCCN2CCO ZINC001606175203 973422959 /nfs/dbraw/zinc/42/29/59/973422959.db2.gz TYDLMSAMLIRCCQ-VXGBXAGGSA-N -1 1 327.381 -0.975 20 0 EBADMM COC[C@]1(C(=O)[O-])CN(C(=O)CN(C)[C@H]2CCSC2)CCO1 ZINC001604551417 973571773 /nfs/dbraw/zinc/57/17/73/973571773.db2.gz REPJKHPQXQAJNW-FZMZJTMJSA-N -1 1 332.422 -0.248 20 0 EBADMM Cn1cc(-c2[nH]ncc2C(=O)N2CCN(C(=O)C(=O)[O-])CC2)cn1 ZINC001605476487 973588753 /nfs/dbraw/zinc/58/87/53/973588753.db2.gz BFQKLROSJKOSCT-UHFFFAOYSA-N -1 1 332.320 -0.821 20 0 EBADMM O=C([O-])CNCc1cn(-c2cn[nH]c(=O)c2Br)nn1 ZINC001606269275 973643888 /nfs/dbraw/zinc/64/38/88/973643888.db2.gz RXKOGOSSKOFJAA-UHFFFAOYSA-N -1 1 329.114 -0.300 20 0 EBADMM COCc1nc(CNC(=O)N2C[C@H]3COC[C@@]3(C(=O)[O-])C2)n[nH]1 ZINC001604651681 973795257 /nfs/dbraw/zinc/79/52/57/973795257.db2.gz WDWOSDJDVXGLNQ-SDBXPKJASA-N -1 1 325.325 -0.806 20 0 EBADMM NC(=O)[C@H]1CCC[N@H+]1C1CCN(C(=O)c2cc(C(=O)[O-])n[n-]2)CC1 ZINC001605628065 973975046 /nfs/dbraw/zinc/97/50/46/973975046.db2.gz VIVXFPPPSMCOCX-GFCCVEGCSA-N -1 1 335.364 -0.338 20 0 EBADMM NC(=O)[C@@H]1CCCN1CCCNC(=O)N1CCC[C@H](C(=O)[O-])C1 ZINC001605635093 973990204 /nfs/dbraw/zinc/99/02/04/973990204.db2.gz KVEFESSXVSUJRI-RYUDHWBXSA-N -1 1 326.397 -0.168 20 0 EBADMM O=C(CCc1nn[nH]n1)N[C@@H](CC(=O)OCc1ccccc1)C(=O)[O-] ZINC001605689454 974106931 /nfs/dbraw/zinc/10/69/31/974106931.db2.gz ORDLSASYXBGIRY-NSHDSACASA-N -1 1 347.331 -0.165 20 0 EBADMM CCN1CCN(CC(=O)N[C@@H]2CCCCN(CC(=O)[O-])C2=O)CC1 ZINC001603763382 974209374 /nfs/dbraw/zinc/20/93/74/974209374.db2.gz AOFQJKKWWDGPTI-CYBMUJFWSA-N -1 1 340.424 -0.794 20 0 EBADMM CN(C)[C@H]1CCCN(C(=O)C2(C(=O)[O-])CCS(=O)(=O)CC2)C1 ZINC001604053124 974583884 /nfs/dbraw/zinc/58/38/84/974583884.db2.gz KLTGCXYUOHELRO-NSHDSACASA-N -1 1 332.422 -0.181 20 0 EBADMM CC(C)OCCN1CCN(CCNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001591725074 975677218 /nfs/dbraw/zinc/67/72/18/975677218.db2.gz TZBCEMIHTCJZTF-KGLIPLIRSA-N -1 1 327.425 -0.134 20 0 EBADMM C[C@H](CCN1CCN(C)CC1)NS(=O)(=O)N(C)CCC(=O)[O-] ZINC001592513658 978348280 /nfs/dbraw/zinc/34/82/80/978348280.db2.gz JDELTASYLMOXPU-GFCCVEGCSA-N -1 1 336.458 -0.747 20 0 EBADMM C[C@@H](CN1CCOCC1)C(=O)N[C@@H](CCC1OCCO1)C(=O)[O-] ZINC001592624371 978597736 /nfs/dbraw/zinc/59/77/36/978597736.db2.gz NYDWAIXAQSYZMQ-RYUDHWBXSA-N -1 1 330.381 -0.323 20 0 EBADMM C[C@@H](NC(=O)CCc1nc[nH]n1)[C@H](C)Nc1ccnc(C(=O)[O-])n1 ZINC001593019899 980275200 /nfs/dbraw/zinc/27/52/00/980275200.db2.gz LGJASDZIPCLLNR-DTWKUNHWSA-N -1 1 333.352 -0.347 20 0 EBADMM C[C@@H](NC(=O)Cn1cccc(C(=O)[O-])c1=O)[C@H]1CN(C)CCN1C ZINC001593052044 980351139 /nfs/dbraw/zinc/35/11/39/980351139.db2.gz VAICFDXBXANKOM-DGCLKSJQSA-N -1 1 336.392 -0.703 20 0 EBADMM CC[C@H](C)[C@@H](NC(=O)CN1CCN(C)C[C@H](C(=O)OC)C1)C(=O)[O-] ZINC001594894350 982319623 /nfs/dbraw/zinc/31/96/23/982319623.db2.gz QLSSCDYKXVOGAD-SGMGOOAPSA-N -1 1 343.424 -0.362 20 0 EBADMM CCN1C[C@H](NC(=O)N2CCN(CCC(=O)[O-])C[C@H]2C)CC1=O ZINC001596398601 983952062 /nfs/dbraw/zinc/95/20/62/983952062.db2.gz ZSRKQEOTYHLJOH-VXGBXAGGSA-N -1 1 326.397 -0.202 20 0 EBADMM C[C@H]1CN(C(=O)C(=O)N(C)Cc2ccc(OCC(=O)[O-])cc2)CCN1 ZINC001599908943 984959209 /nfs/dbraw/zinc/95/92/09/984959209.db2.gz GNBQNKAEXSJDDS-LBPRGKRZSA-N -1 1 349.387 -0.071 20 0 EBADMM C[C@@H]1CN(C(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)C[C@H](C)O1 ZINC001599910092 984973898 /nfs/dbraw/zinc/97/38/98/984973898.db2.gz IZOFUBILDUQEFU-TXEJJXNPSA-N -1 1 341.408 -0.370 20 0 EBADMM CC(=O)N[C@@H]1CCCN(C(=O)CN[C@@H](C(=O)[O-])C2CCOCC2)C1 ZINC001589120112 985384838 /nfs/dbraw/zinc/38/48/38/985384838.db2.gz QHXSDVPIHRGPCJ-UKRRQHHQSA-N -1 1 341.408 -0.417 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)CN1C(=O)NC(=O)C1(C)C ZINC001594515875 985887343 /nfs/dbraw/zinc/88/73/43/985887343.db2.gz RTMBEKRGFDHJLE-JTQLQIEISA-N -1 1 340.380 -0.676 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NC[C@H]1C[C@@H]2COC[C@@H]2O1 ZINC001594516494 985905267 /nfs/dbraw/zinc/90/52/67/985905267.db2.gz OXNUVALWCRHHSB-DGAVXFQQSA-N -1 1 341.408 -0.019 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2[C@H]3CN(C(=O)[C@@H]4C[C@H]4C(=O)[O-])C[C@H]32)c1C ZINC001599967055 985925535 /nfs/dbraw/zinc/92/55/35/985925535.db2.gz AOBSWBNTDISURH-YFVNTRNASA-N -1 1 332.360 -0.066 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NCCCc1ncn(C)n1 ZINC001594519118 985941048 /nfs/dbraw/zinc/94/10/48/985941048.db2.gz LHXIJJBDAKUFJE-GFCCVEGCSA-N -1 1 338.412 -0.062 20 0 EBADMM CCOCCN1CCN(CCN(C)C(=O)[C@H]2C[C@H]2C(=O)[O-])CC1 ZINC001596928724 986006090 /nfs/dbraw/zinc/00/60/90/986006090.db2.gz ADNFQXSFHNXINQ-UONOGXRCSA-N -1 1 327.425 -0.180 20 0 EBADMM C[C@H](C(=O)N(C)CC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-])n1cccn1 ZINC001589336551 986222624 /nfs/dbraw/zinc/22/26/24/986222624.db2.gz JMPYXIKUXFSALZ-PWSUYJOCSA-N -1 1 348.363 -0.562 20 0 EBADMM C[C@H](C(=O)N1CCC(C(N)=O)CC1)N1CCC([C@H](O)C(=O)[O-])CC1 ZINC001589352296 986322576 /nfs/dbraw/zinc/32/25/76/986322576.db2.gz JFNPQUBLLJAQFH-MFKMUULPSA-N -1 1 341.408 -0.744 20 0 EBADMM C[C@@H]1CN2CCN1C[C@@H]2C(=O)N(C)[C@H](COC1CCC1)C(=O)[O-] ZINC001594582900 986446284 /nfs/dbraw/zinc/44/62/84/986446284.db2.gz BFSFSQXIMSYDMY-MRVWCRGKSA-N -1 1 325.409 -0.145 20 0 EBADMM C[C@@H]1CNCCN1C(=O)C(=O)N1CCOc2c(cccc2C(=O)[O-])C1 ZINC001594584236 986458716 /nfs/dbraw/zinc/45/87/16/986458716.db2.gz DJTBLLXHGIMUSZ-LLVKDONJSA-N -1 1 347.371 -0.074 20 0 EBADMM CC(C)(C(=O)[O-])N1CCN(C(=O)C(=O)N[C@H]2CCCOCC2)CC1 ZINC001589620542 987497495 /nfs/dbraw/zinc/49/74/95/987497495.db2.gz JJFDAYXGUKKLLT-LBPRGKRZSA-N -1 1 341.408 -0.321 20 0 EBADMM CSCCCNC(=O)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC001599800586 988169662 /nfs/dbraw/zinc/16/96/62/988169662.db2.gz YFFIIVZUEXZADJ-UHFFFAOYSA-N -1 1 331.438 -0.137 20 0 EBADMM C[C@@H]1CN(c2ccnc(C(=O)[O-])n2)C[C@H]1NC(=O)C1=NC(=O)N(C)C1 ZINC001599869273 989323000 /nfs/dbraw/zinc/32/30/00/989323000.db2.gz SXOLJNLYPKKTEM-RKDXNWHRSA-N -1 1 346.347 -0.131 20 0 EBADMM CC(C)(C)OC(=O)NCC[C@@H](O)CN1CCN(CC(=O)[O-])CC1 ZINC001590184709 989534415 /nfs/dbraw/zinc/53/44/15/989534415.db2.gz KJHHGWIUXCWXAD-GFCCVEGCSA-N -1 1 331.413 -0.036 20 0 EBADMM CN(C(=O)[C@@H]1C[C@H]1C(=O)[O-])C1CCN(C(=O)Cc2ncn[nH]2)CC1 ZINC001597998606 990126190 /nfs/dbraw/zinc/12/61/90/990126190.db2.gz QMIVMDKAISXRAK-GHMZBOCLSA-N -1 1 335.364 -0.483 20 0 EBADMM CC(C)(C)c1nc(CNC(=O)NCCC(=O)NCCC(=O)[O-])n[nH]1 ZINC001590538665 990161116 /nfs/dbraw/zinc/16/11/16/990161116.db2.gz LDGOZSJNFQJHJU-UHFFFAOYSA-N -1 1 340.384 -0.118 20 0 EBADMM CC(C)[C@@H](CNC(=O)C(=O)NCCCC(=O)[O-])N1CCN(C)CC1 ZINC001590982750 991154442 /nfs/dbraw/zinc/15/44/42/991154442.db2.gz UUZHXOPCVORWDQ-CYBMUJFWSA-N -1 1 342.440 -0.644 20 0 EBADMM CC(C)CC(=O)N[C@H](Cc1cnc[nH]1)C(=O)NC[C@@H](O)CC(=O)[O-] ZINC001591108393 991748146 /nfs/dbraw/zinc/74/81/46/991748146.db2.gz DHTKFIYBXPRNHF-NWDGAFQWSA-N -1 1 340.380 -0.565 20 0 EBADMM C[S@](=O)(=NS(=O)(=O)NC[C@@H](O)CC(=O)[O-])c1ccccc1 ZINC001599743585 991896729 /nfs/dbraw/zinc/89/67/29/991896729.db2.gz IFOISMIIAMOXJA-GWNMQOMSSA-N -1 1 336.391 -0.187 20 0 EBADMM CS(=O)(=O)C1(C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])CCOCC1 ZINC001599744303 991907981 /nfs/dbraw/zinc/90/79/81/991907981.db2.gz RTNLTAYWCYFDFF-JTQLQIEISA-N -1 1 345.377 -0.885 20 0 EBADMM CN(CCC(=O)[O-])S(=O)(=O)NC[C@H]1CCN1C1CCOCC1 ZINC001598471335 992030820 /nfs/dbraw/zinc/03/08/20/992030820.db2.gz WQFLETZADUCEBO-GFCCVEGCSA-N -1 1 335.426 -0.519 20 0 EBADMM CS(=O)(=O)c1ccc(/C=C\C(=O)N2CCN(CC(=O)[O-])CC2)o1 ZINC001599770734 992240538 /nfs/dbraw/zinc/24/05/38/992240538.db2.gz WEUYWMRXXKQTKD-RQOWECAXSA-N -1 1 342.373 -0.075 20 0 EBADMM CC(C)NC(=O)CN(C)CC(=O)N1CCN(CCC(=O)[O-])[C@@H](C)C1 ZINC001591640924 992955409 /nfs/dbraw/zinc/95/54/09/992955409.db2.gz CSHSERARNFTBMC-ZDUSSCGKSA-N -1 1 342.440 -0.550 20 0 EBADMM C[NH+]1CCC[C@H]1C(=O)NCC[C@H](NC(=O)[C@@H]1CCC[N@H+]1C)C(=O)[O-] ZINC001598602609 993583661 /nfs/dbraw/zinc/58/36/61/993583661.db2.gz IUQRCINFSAQTAX-AVGNSLFASA-N -1 1 340.424 -0.750 20 0 EBADMM CN1CCN(C(=O)C(=O)Nc2ccc3n[nH]cc3c2)C[C@@H](C(=O)[O-])C1 ZINC001598603934 993611640 /nfs/dbraw/zinc/61/16/40/993611640.db2.gz MZQKIZFUPUQHBC-NSHDSACASA-N -1 1 345.359 -0.024 20 0 EBADMM COc1ccc(NC(=O)C(=O)NC[C@@H]2COCCN2)c(C(=O)[O-])c1 ZINC001599587565 993633811 /nfs/dbraw/zinc/63/38/11/993633811.db2.gz JOWSHKROLVXKBI-SECBINFHSA-N -1 1 337.332 -0.564 20 0 EBADMM CN1CCN(C)CCN(C(=O)NC[C@H]2CCO[C@H]2C(=O)[O-])CC1 ZINC001598614602 993855298 /nfs/dbraw/zinc/85/52/98/993855298.db2.gz QPLDRKDTBLYXEA-CHWSQXEVSA-N -1 1 328.413 -0.635 20 0 EBADMM CN(C)c1ccc(C(=O)N(CCN2CCN(C)CC2)CC(=O)[O-])cn1 ZINC001598615883 993877976 /nfs/dbraw/zinc/87/79/76/993877976.db2.gz GLESVBKFRZHGAE-UHFFFAOYSA-N -1 1 349.435 -0.078 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)COc2cccnc2)CC1 ZINC001598616035 993881831 /nfs/dbraw/zinc/88/18/31/993881831.db2.gz HYCOKAYSUPUTNZ-UHFFFAOYSA-N -1 1 336.392 -0.379 20 0 EBADMM CN1CCN(CCCCNS(=O)(=O)C2(C(=O)[O-])CCC2)CC1 ZINC001598616959 993903093 /nfs/dbraw/zinc/90/30/93/993903093.db2.gz POTNEENHWNOMIR-UHFFFAOYSA-N -1 1 333.454 -0.059 20 0 EBADMM CN1CCN(CCCS(=O)(=O)NC[C@H]2CCO[C@H]2C(=O)[O-])CC1 ZINC001598617640 993922112 /nfs/dbraw/zinc/92/21/12/993922112.db2.gz ABGPMCVQTLGWCS-CHWSQXEVSA-N -1 1 349.453 -0.967 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)[C@H]2C[C@H]3CC(=O)[C@@H]2C3)CC1 ZINC001598617664 993922616 /nfs/dbraw/zinc/92/26/16/993922616.db2.gz BCEXKRMKDPSETB-MJBXVCDLSA-N -1 1 337.420 -0.238 20 0 EBADMM C[C@H](NC(=O)C1=NC(=O)N(C)C1)[C@H](C)Nc1ccnc(C(=O)[O-])n1 ZINC001593184044 994086750 /nfs/dbraw/zinc/08/67/50/994086750.db2.gz WHIJMGIDZBYVJU-YUMQZZPRSA-N -1 1 334.336 -0.345 20 0 EBADMM CNC(=O)[C@]1(C)CCN(CN2C(=O)N[C@](C)(CCC(=O)[O-])C2=O)C1 ZINC001598635419 994239965 /nfs/dbraw/zinc/23/99/65/994239965.db2.gz DTWBWRMQYCBCQJ-HUUCEWRRSA-N -1 1 340.380 -0.423 20 0 EBADMM CNC(=O)Cn1nc(NS(=O)(=O)CC(C)(C)C(=O)[O-])cc1C ZINC001598650251 994431355 /nfs/dbraw/zinc/43/13/55/994431355.db2.gz BJCZKJWFPXIGLL-UHFFFAOYSA-N -1 1 332.382 -0.210 20 0 EBADMM C[C@H](O)CN1CCN(C(=O)CN2CCC[C@@H](C(=O)[O-])C2=O)[C@H](C)C1 ZINC001593462769 995389276 /nfs/dbraw/zinc/38/92/76/995389276.db2.gz OWQLJQYBGQUBJL-FRRDWIJNSA-N -1 1 341.408 -0.777 20 0 EBADMM COC(=O)[C@H]1CN(C)CCN(C(=O)CCc2ocnc2C(=O)[O-])C1 ZINC001598819396 996307383 /nfs/dbraw/zinc/30/73/83/996307383.db2.gz OHRKFVSPBURUSS-JTQLQIEISA-N -1 1 339.348 -0.131 20 0 EBADMM COC(=O)CCNC(=O)CN1[C@@H]2C[C@@H](C(=O)[O-])O[C@H]2CC[C@H]1C ZINC001598855528 996635326 /nfs/dbraw/zinc/63/53/26/996635326.db2.gz XJRZHVRIOHYXOU-WYUUTHIRSA-N -1 1 328.365 -0.239 20 0 EBADMM COc1cc(C(=O)[O-])ccc1S(=O)(=O)NCc1n[nH]c(=O)n1C ZINC001599382894 996888821 /nfs/dbraw/zinc/88/88/21/996888821.db2.gz LTMONPFDVHXGIJ-UHFFFAOYSA-N -1 1 342.333 -0.294 20 0 EBADMM COC(=O)[C@@H]1CC[C@H](S(=O)(=O)N2CCN(C)C[C@H](C(=O)[O-])C2)C1 ZINC001598896587 997095993 /nfs/dbraw/zinc/09/59/93/997095993.db2.gz NJVODCDNKCYWDM-WOPDTQHZSA-N -1 1 348.421 -0.394 20 0 EBADMM COCc1nc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)n(C)n1 ZINC001599299056 997199694 /nfs/dbraw/zinc/19/96/94/997199694.db2.gz CICBODMNZZBHFQ-UHFFFAOYSA-N -1 1 325.369 -0.418 20 0 EBADMM COC[C@H]1CNCCN1C(=O)C(=O)N1C[C@@H]2CCC[C@]2(C(=O)[O-])C1 ZINC001599207370 997434316 /nfs/dbraw/zinc/43/43/16/997434316.db2.gz KTITXPIIKCUJCB-OZVIIMIRSA-N -1 1 339.392 -0.854 20 0 EBADMM CO[C@@H]1CN(c2ncc(C(=O)[O-])cn2)C[C@H]1NC(=O)[C@H]1CCCN1C ZINC001599323223 997617479 /nfs/dbraw/zinc/61/74/79/997617479.db2.gz DOYYHJRXJAJNMQ-JHJVBQTASA-N -1 1 349.391 -0.411 20 0 EBADMM COCCCN1CC(N2CCN(C(=O)[C@H]3C[C@H]3C(=O)[O-])CC2)C1 ZINC001599224015 997722728 /nfs/dbraw/zinc/72/27/28/997722728.db2.gz QCQBWEOBRWHKIR-UONOGXRCSA-N -1 1 325.409 -0.428 20 0 EBADMM COC1CCN(S(=O)(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)CC1 ZINC001599125308 997833156 /nfs/dbraw/zinc/83/31/56/997833156.db2.gz XSYBXEZFHCLQJH-GFCCVEGCSA-N -1 1 349.453 -0.177 20 0 EBADMM COCCN(CCCN1C(=O)CS/C1=C\C(=O)[O-])[C@H](C)C(N)=O ZINC001599236371 997953910 /nfs/dbraw/zinc/95/39/10/997953910.db2.gz BKULRXJTRKYAJI-BKLZJWBFSA-N -1 1 345.421 -0.300 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)N[C@@H]2C[C@H]3C[C@@]3(C(=O)[O-])C2)C1 ZINC001599240585 998033918 /nfs/dbraw/zinc/03/39/18/998033918.db2.gz QSVMGIXTBNVYEN-PVTKRXDGSA-N -1 1 339.392 -0.807 20 0 EBADMM COC1(C(=O)NCCCN2CCC(C(=O)[O-])CC2)CS(=O)(=O)C1 ZINC001599064778 999291436 /nfs/dbraw/zinc/29/14/36/999291436.db2.gz IQTLZVJVEDYBFP-UHFFFAOYSA-N -1 1 348.421 -0.897 20 0 EBADMM CO[C@]1(C(=O)[O-])CCN(C(=O)N[C@H](C)[C@@H]2CN(C)CCN2C)C1 ZINC001599069602 999322993 /nfs/dbraw/zinc/32/29/93/999322993.db2.gz HMMZXHVXLFHPOV-TYNCELHUSA-N -1 1 328.413 -0.494 20 0 EBADMM C[C@H]1CN(C(=O)C(=O)N2C[C@H](C(=O)[O-])Oc3ccccc32)CCN1 ZINC001594456360 999659933 /nfs/dbraw/zinc/65/99/33/999659933.db2.gz YTJXLJIJNJSFQJ-GXFFZTMASA-N -1 1 333.344 -0.315 20 0 EBADMM CC(F)(F)C(=O)N1CC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001687133603 1125792118 /nfs/dbraw/zinc/79/21/18/1125792118.db2.gz MLUHHRZKSMTIOC-SECBINFHSA-N -1 1 344.318 -0.701 20 0 EBADMM CC1(CC(=O)N2CC[C@@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)CC1 ZINC001687170962 1125794606 /nfs/dbraw/zinc/79/46/06/1125794606.db2.gz NDHWBJQBUYRXMM-GFCCVEGCSA-N -1 1 348.403 -0.166 20 0 EBADMM CC[C@H](C[N@H+]1CC[C@@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O)OC ZINC001670700273 1125813736 /nfs/dbraw/zinc/81/37/36/1125813736.db2.gz AOYBAECPTVWKKU-CHWSQXEVSA-N -1 1 338.408 -0.458 20 0 EBADMM CN(CCNC(=O)[C@@H]1CC12CCC2)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001408571669 1125868633 /nfs/dbraw/zinc/86/86/33/1125868633.db2.gz VZRATGKGDPZCKG-JTQLQIEISA-N -1 1 344.375 -0.204 20 0 EBADMM CN(CCNC(=O)COCc1ccncc1)Cc1nc(=O)n(C)[n-]1 ZINC001480927994 1125877002 /nfs/dbraw/zinc/87/70/02/1125877002.db2.gz SELCZFGJGGZKEX-UHFFFAOYSA-N -1 1 334.380 -0.732 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)NCCCN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001687372241 1125885852 /nfs/dbraw/zinc/88/58/52/1125885852.db2.gz QPJAQHNPSTUGGU-ZJUUUORDSA-N -1 1 332.364 -0.348 20 0 EBADMM CN(CCNC(=O)[C@]1(C)CCNC(=O)C1)C(=O)c1ncccc1[O-] ZINC001408610273 1125887981 /nfs/dbraw/zinc/88/79/81/1125887981.db2.gz HCHFMSAMFAABTN-MRXNPFEDSA-N -1 1 334.376 -0.108 20 0 EBADMM Cc1cnn(CC(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)c1 ZINC001481029537 1125909372 /nfs/dbraw/zinc/90/93/72/1125909372.db2.gz VPNKJMYKGMHKNO-GFCCVEGCSA-N -1 1 333.396 -0.606 20 0 EBADMM CNC(=O)NCCC(=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001408821576 1125955041 /nfs/dbraw/zinc/95/50/41/1125955041.db2.gz QKCBTVLVWBGCOK-NSHDSACASA-N -1 1 349.391 -0.173 20 0 EBADMM CCNC(=O)CC(=O)NCC1(NCc2cc(=O)n3[n-]ccc3n2)CC1 ZINC001670771379 1125977644 /nfs/dbraw/zinc/97/76/44/1125977644.db2.gz DSPNMNYKBCPZME-UHFFFAOYSA-N -1 1 346.391 -0.713 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)CCCn3cccn3)C2)nc1=O ZINC001481530518 1126009166 /nfs/dbraw/zinc/00/91/66/1126009166.db2.gz DFIKSEALFIZCIV-CYBMUJFWSA-N -1 1 347.423 -0.134 20 0 EBADMM Cc1ccoc1CC(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001482198363 1126099389 /nfs/dbraw/zinc/09/93/89/1126099389.db2.gz CAHDTOGXQNLGDW-GFCCVEGCSA-N -1 1 349.391 -0.430 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)NC[C@@H]1CN(CC2CC2)CCO1 ZINC001482202307 1126102825 /nfs/dbraw/zinc/10/28/25/1126102825.db2.gz LPXDIKSUDHXXMT-GFCCVEGCSA-N -1 1 346.391 -0.702 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)Cn1ncc2cccnc21 ZINC001482313299 1126129014 /nfs/dbraw/zinc/12/90/14/1126129014.db2.gz KNOORQSIZWQQGW-JTQLQIEISA-N -1 1 344.379 -0.108 20 0 EBADMM CC(F)(F)C(=O)N1CC[C@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001358622526 1131234210 /nfs/dbraw/zinc/23/42/10/1131234210.db2.gz LJLDPMLPGSHBDR-VIFPVBQESA-N -1 1 344.318 -0.701 20 0 EBADMM CN(C)S(=O)(=O)CCS(=O)(=O)[N-][C@@]1(C)CC(C)(C)OC1=O ZINC001363986353 1126132723 /nfs/dbraw/zinc/13/27/23/1126132723.db2.gz OVGUXZLUYSSRGM-NSHDSACASA-N -1 1 342.439 -0.719 20 0 EBADMM CC(=O)N[C@@H](C(=O)N(C)[C@@H](C)CNCc1n[nH]c(=O)[n-]1)C(C)C ZINC001482355740 1126137193 /nfs/dbraw/zinc/13/71/93/1126137193.db2.gz WRTKAHIAOKLNQW-JOYOIKCWSA-N -1 1 326.401 -0.393 20 0 EBADMM CC[C@@H](C)C(=O)N1CC[C@@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001358622514 1131234233 /nfs/dbraw/zinc/23/42/33/1131234233.db2.gz LEKVMVYQPFAEMC-VXGBXAGGSA-N -1 1 336.392 -0.310 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@H]1CCc2nncn2C1 ZINC001482369683 1126140335 /nfs/dbraw/zinc/14/03/35/1126140335.db2.gz ZIQSRMQZWYFOGL-ZJUUUORDSA-N -1 1 334.384 -0.699 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CCCCC1 ZINC001482403818 1126145168 /nfs/dbraw/zinc/14/51/68/1126145168.db2.gz XYPOBPMSWKYXOH-LBPRGKRZSA-N -1 1 338.412 -0.199 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2[C@H]3CCO[C@@H]3C2(C)C)c(=O)[nH]c1=O ZINC001414230192 1126227490 /nfs/dbraw/zinc/22/74/90/1126227490.db2.gz XFHPXTQBXQCOAP-QNSHHTMESA-N -1 1 329.378 -0.422 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1COCCN1CC ZINC001482935634 1126304088 /nfs/dbraw/zinc/30/40/88/1126304088.db2.gz UUXWCPHGKGCCMJ-NSHDSACASA-N -1 1 326.401 -0.831 20 0 EBADMM CC[C@H](CNC(=O)[C@H]1CC(=O)N(C)C1)NC(=O)c1ncccc1[O-] ZINC001409320027 1126343007 /nfs/dbraw/zinc/34/30/07/1126343007.db2.gz XPWZIPWTUAXKKH-WDEREUQCSA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)nc1C1CC1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001409585060 1126380019 /nfs/dbraw/zinc/38/00/19/1126380019.db2.gz WIKWJPSFGOTHLC-JTQLQIEISA-N -1 1 347.423 -0.030 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1ccnc(-n2cccn2)c1 ZINC001483265292 1126411945 /nfs/dbraw/zinc/41/19/45/1126411945.db2.gz GYWXSDZXLGQPKL-JTQLQIEISA-N -1 1 342.363 -0.001 20 0 EBADMM O=C(N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)c1cn2c(n1)CCC2 ZINC001483562460 1126434433 /nfs/dbraw/zinc/43/44/33/1126434433.db2.gz COMWIFGVYHSSKR-JTQLQIEISA-N -1 1 331.380 -0.049 20 0 EBADMM COCCCNC(=O)CN1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001483645390 1126449364 /nfs/dbraw/zinc/44/93/64/1126449364.db2.gz JDVGYHDRHLDZFC-LBPRGKRZSA-N -1 1 336.392 -0.256 20 0 EBADMM COC[C@@H](OC)C(=O)NC1(C)CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001483971344 1126493580 /nfs/dbraw/zinc/49/35/80/1126493580.db2.gz RCVSSFNJSXNWCR-LLVKDONJSA-N -1 1 341.412 -0.760 20 0 EBADMM Cc1cnn(CC(=O)NC2(C)CCN(Cc3nc(=O)n(C)[n-]3)CC2)c1 ZINC001483962710 1126493668 /nfs/dbraw/zinc/49/36/68/1126493668.db2.gz HEWIWKKMEDBECI-UHFFFAOYSA-N -1 1 347.423 -0.216 20 0 EBADMM O=C(N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CCCC1)[C@H]1CCC(=O)N1 ZINC001483995351 1126496204 /nfs/dbraw/zinc/49/62/04/1126496204.db2.gz DXLOKOJZISJHRD-MNOVXSKESA-N -1 1 336.396 -0.447 20 0 EBADMM C[C@@]1(CNC(=O)CCc2c[nH]nn2)CCCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001484168959 1126511586 /nfs/dbraw/zinc/51/15/86/1126511586.db2.gz REQNLACCRIKJCW-HNNXBMFYSA-N -1 1 348.411 -0.021 20 0 EBADMM CCc1occc1C(=O)NCC1(O)CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001484271590 1126551236 /nfs/dbraw/zinc/55/12/36/1126551236.db2.gz OTWTXDFMUZFCKD-UHFFFAOYSA-N -1 1 335.364 -0.760 20 0 EBADMM C[C@@H]1CCC[C@@H](C(=O)NCC2(O)CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001484291716 1126557391 /nfs/dbraw/zinc/55/73/91/1126557391.db2.gz FUGMUDAZPOBUML-VXGBXAGGSA-N -1 1 337.424 -0.402 20 0 EBADMM Cn1[n-]c(CN2CCC[C@](CO)(NC(=O)C3CCCC3)C2)nc1=O ZINC001484424410 1126573747 /nfs/dbraw/zinc/57/37/47/1126573747.db2.gz CKLCVXTZPNVOLM-INIZCTEOSA-N -1 1 337.424 -0.258 20 0 EBADMM O=C(N[C@]1(CO)CCCN(Cc2cnon2)C1)c1ncccc1[O-] ZINC001484435061 1126577641 /nfs/dbraw/zinc/57/76/41/1126577641.db2.gz QPUZMOQAFODIIA-OAHLLOKOSA-N -1 1 333.348 -0.073 20 0 EBADMM O=C(CC1CC(F)(F)C1)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001484487596 1126596495 /nfs/dbraw/zinc/59/64/95/1126596495.db2.gz NAQKEVROCTXQFL-UHFFFAOYSA-N -1 1 333.339 -0.144 20 0 EBADMM CN1CC[C@@H](C(=O)N2CC[C@H](CCNCc3n[nH]c(=O)[n-]3)C2)C1=O ZINC001484536067 1126602504 /nfs/dbraw/zinc/60/25/04/1126602504.db2.gz PYOWLJYAIMONJC-WDEREUQCSA-N -1 1 336.396 -0.683 20 0 EBADMM COC[C@H](OC)C(=O)N1CC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001484541243 1126602728 /nfs/dbraw/zinc/60/27/28/1126602728.db2.gz WXWBQXHFZOKBOB-MNOVXSKESA-N -1 1 327.385 -0.500 20 0 EBADMM O=C(Cn1ccccc1=O)N1CC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001484550460 1126603182 /nfs/dbraw/zinc/60/31/82/1126603182.db2.gz UHLMSUGXEXSLPE-LBPRGKRZSA-N -1 1 346.391 -0.300 20 0 EBADMM COCCOCCC(=O)N1C[C@@H](C)[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001484909901 1126652756 /nfs/dbraw/zinc/65/27/56/1126652756.db2.gz QPRSOQQYQQEAFZ-MNOVXSKESA-N -1 1 327.385 -0.500 20 0 EBADMM C[C@@H](NC(=O)CCc1n[nH]c(=O)[n-]c1=O)[C@H](C)NCc1ccn(C)n1 ZINC001485169997 1126677808 /nfs/dbraw/zinc/67/78/08/1126677808.db2.gz RBGBDZCOAZDDQJ-VHSXEESVSA-N -1 1 349.395 -0.368 20 0 EBADMM C[C@H](NCc1nnn(C)n1)[C@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001485197055 1126679752 /nfs/dbraw/zinc/67/97/52/1126679752.db2.gz CHGKZORSRBPCIR-IUCAKERBSA-N -1 1 346.395 -0.120 20 0 EBADMM C[C@@H](NC(=O)C(=O)N1CCC(C)CC1)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001485200289 1126680153 /nfs/dbraw/zinc/68/01/53/1126680153.db2.gz QKKBQUUATSJSKL-WDEREUQCSA-N -1 1 338.412 -0.248 20 0 EBADMM C[C@@H](CC(N)=O)C(=O)NC[C@H](C)N(C)Cc1cc(=O)n2[n-]ccc2n1 ZINC001485336107 1126689904 /nfs/dbraw/zinc/68/99/04/1126689904.db2.gz HCERGJMFKMLARJ-QWRGUYRKSA-N -1 1 348.407 -0.529 20 0 EBADMM COc1cccnc1CC(=O)NC[C@H](C)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001485343290 1126691216 /nfs/dbraw/zinc/69/12/16/1126691216.db2.gz AWKFUTYMMQNOKD-NSHDSACASA-N -1 1 348.407 -0.309 20 0 EBADMM Cc1cc(C(=O)NC2(CCO)CN(Cc3nc(=O)n(C)[n-]3)C2)ccn1 ZINC001485420046 1126711424 /nfs/dbraw/zinc/71/14/24/1126711424.db2.gz BBOPAUCBMINEEI-UHFFFAOYSA-N -1 1 346.391 -0.821 20 0 EBADMM COC[C@@H](C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC001485565949 1126752842 /nfs/dbraw/zinc/75/28/42/1126752842.db2.gz YJRVFYZHDDWXMV-GHMZBOCLSA-N -1 1 325.413 -0.283 20 0 EBADMM CC1(C)CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CCc1nc[nH]n1 ZINC001485566257 1126755844 /nfs/dbraw/zinc/75/58/44/1126755844.db2.gz KBHUEKWYSROHLV-SECBINFHSA-N -1 1 334.384 -0.412 20 0 EBADMM O=C(NC[C@@H](CO)NCc1cc(=O)n2[n-]ccc2n1)[C@H]1CC1(F)F ZINC001485993815 1126956603 /nfs/dbraw/zinc/95/66/03/1126956603.db2.gz OKUIXWACWKGPKU-VHSXEESVSA-N -1 1 341.318 -0.756 20 0 EBADMM Cn1[n-]c(CN[C@H](CO)CNC(=O)c2csc(Cl)n2)nc1=O ZINC001486051667 1127021413 /nfs/dbraw/zinc/02/14/13/1127021413.db2.gz RTGQSUZUQQGRFS-LURJTMIESA-N -1 1 346.800 -0.901 20 0 EBADMM CC[C@H]1CC[C@H](C(=O)NC[C@H](CO)NCc2nc(=O)n(C)[n-]2)CC1 ZINC001486055671 1127025226 /nfs/dbraw/zinc/02/52/26/1127025226.db2.gz NXYIQRUWBIHGNE-JHJVBQTASA-N -1 1 339.440 -0.109 20 0 EBADMM CNC(=O)CCCCC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001486134921 1127044893 /nfs/dbraw/zinc/04/48/93/1127044893.db2.gz NDYSHNBYQQYQHO-MNOVXSKESA-N -1 1 338.412 -0.104 20 0 EBADMM C[C@H]1[C@@H](NC(=O)CCc2nc[nH]n2)CCCN1Cc1n[nH]c(=O)[n-]1 ZINC001486170945 1127053715 /nfs/dbraw/zinc/05/37/15/1127053715.db2.gz QXOSDPSWWGEKSM-UWVGGRQHSA-N -1 1 334.384 -0.270 20 0 EBADMM CN(C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)c1ccoc1Cl ZINC001486275490 1127097973 /nfs/dbraw/zinc/09/79/73/1127097973.db2.gz QGNZFUUDZYIFLP-ZETCQYMHSA-N -1 1 329.744 -0.021 20 0 EBADMM C[C@@H](NC(=O)CN1CCCNC1=O)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001486686658 1127236321 /nfs/dbraw/zinc/23/63/21/1127236321.db2.gz GEKVEQWQWVRJHK-MNOVXSKESA-N -1 1 349.391 -0.175 20 0 EBADMM CC(C)CC(=O)N(C)CCN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001409951061 1127247377 /nfs/dbraw/zinc/24/73/77/1127247377.db2.gz SKDRLSIPYCQNEQ-UHFFFAOYSA-N -1 1 334.380 -0.006 20 0 EBADMM C[C@@H](CNC(=O)CC(N)=O)N(C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001486830533 1127268070 /nfs/dbraw/zinc/26/80/70/1127268070.db2.gz KHGOMVXKYNJPTJ-QMMMGPOBSA-N -1 1 335.364 -0.488 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)[C@@]1(C)CNC(=O)C1 ZINC001486849142 1127309601 /nfs/dbraw/zinc/30/96/01/1127309601.db2.gz NQSFDCPGPBYLCS-QLJPJBMISA-N -1 1 334.376 -0.110 20 0 EBADMM O=C(Cc1cccnc1)NC[C@H](O)CNC(=O)c1ncccc1[O-] ZINC001410094910 1127557108 /nfs/dbraw/zinc/55/71/08/1127557108.db2.gz MKNBWYYCZVYARB-LBPRGKRZSA-N -1 1 330.344 -0.368 20 0 EBADMM O=C(Cc1cccnc1)NC[C@@H](O)CNC(=O)c1ncccc1[O-] ZINC001410094904 1127557206 /nfs/dbraw/zinc/55/72/06/1127557206.db2.gz MKNBWYYCZVYARB-GFCCVEGCSA-N -1 1 330.344 -0.368 20 0 EBADMM O=C(COc1cccnc1)NC[C@@H](O)CNC(=O)c1ncccc1[O-] ZINC001410104851 1127567149 /nfs/dbraw/zinc/56/71/49/1127567149.db2.gz NHJGQMNAKBWIBW-LLVKDONJSA-N -1 1 346.343 -0.532 20 0 EBADMM O=C(C[C@H]1CCCCO1)NC[C@@H](O)CNC(=O)c1ncccc1[O-] ZINC001410112285 1127576361 /nfs/dbraw/zinc/57/63/61/1127576361.db2.gz STHYSRQHRKGHGG-VXGBXAGGSA-N -1 1 337.376 -0.047 20 0 EBADMM CC[C@@H](OC)C(=O)N[C@@H](C)CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001410338077 1127682713 /nfs/dbraw/zinc/68/27/13/1127682713.db2.gz YTFHOUJTATUPNV-WCBMZHEXSA-N -1 1 336.352 -0.923 20 0 EBADMM O=C(CNC(=O)C1CC1)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001410555401 1127743270 /nfs/dbraw/zinc/74/32/70/1127743270.db2.gz RPRANZWGWQIGJQ-LLVKDONJSA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(CNC(=O)C1CC1)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001410555403 1127743474 /nfs/dbraw/zinc/74/34/74/1127743474.db2.gz RPRANZWGWQIGJQ-NSHDSACASA-N -1 1 332.360 -0.356 20 0 EBADMM CN(C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)c1cc(Cl)cn1C ZINC001411074258 1128002725 /nfs/dbraw/zinc/00/27/25/1128002725.db2.gz WWAQMDKALOBRPW-VIFPVBQESA-N -1 1 342.787 -0.275 20 0 EBADMM O=C(NC[C@]1(O)CCN(C(=O)c2ncccn2)C1)c1ncccc1[O-] ZINC001411159853 1128057053 /nfs/dbraw/zinc/05/70/53/1128057053.db2.gz NBMIGNZYDGAFGL-MRXNPFEDSA-N -1 1 343.343 -0.416 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)[C@@H]1COCCO1 ZINC001092470072 1128248763 /nfs/dbraw/zinc/24/87/63/1128248763.db2.gz VKQYVPFIBRGQAL-MXWKQRLJSA-N -1 1 325.369 -0.890 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)c1cccc(=O)n1C ZINC001092953985 1128286287 /nfs/dbraw/zinc/28/62/87/1128286287.db2.gz AIQGBBOENBBQDM-GHMZBOCLSA-N -1 1 346.391 -0.293 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)c1c[nH]c(C(N)=O)c1 ZINC001093199423 1128304733 /nfs/dbraw/zinc/30/47/33/1128304733.db2.gz RBGOOGZLKNRUOG-PSASIEDQSA-N -1 1 347.379 -0.565 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)N1CC(n2cc(CNCc3nc(=O)n(C)[n-]3)nn2)C1 ZINC001093302013 1128313610 /nfs/dbraw/zinc/31/36/10/1128313610.db2.gz CIICAGDJSOTPPD-SKDRFNHKSA-N -1 1 346.395 -0.971 20 0 EBADMM CC(C)CC(=O)N1CC(n2cc(CNCc3nc(=O)n(C)[n-]3)nn2)C1 ZINC001093299809 1128319891 /nfs/dbraw/zinc/31/98/91/1128319891.db2.gz CBVAGZQNKJQMKR-UHFFFAOYSA-N -1 1 348.411 -0.581 20 0 EBADMM C/C=C(/C)C(=O)N1CC(n2cc(CNCc3nc(=O)n(C)[n-]3)nn2)C1 ZINC001093317819 1128328041 /nfs/dbraw/zinc/32/80/41/1128328041.db2.gz NPTFOOKJQQTJKM-WMZJFQQLSA-N -1 1 346.395 -0.661 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)CN(C)C(=O)C(F)F ZINC001487688062 1128396953 /nfs/dbraw/zinc/39/69/53/1128396953.db2.gz HYXRBVPUPQFLRG-RXMQYKEDSA-N -1 1 334.279 -0.262 20 0 EBADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)c1cc(=O)n(C)o1 ZINC001487755122 1128418140 /nfs/dbraw/zinc/41/81/40/1128418140.db2.gz GRDYQINQACPNPG-SECBINFHSA-N -1 1 334.332 -0.031 20 0 EBADMM CO[C@@H](C(=O)N[C@H](CO)CNC(=O)c1ncccc1[O-])C(C)C ZINC001487974989 1128470601 /nfs/dbraw/zinc/47/06/01/1128470601.db2.gz BKTWFMWXZPRZQC-GXFFZTMASA-N -1 1 325.365 -0.335 20 0 EBADMM CN(C[C@@H](O)CNC(=O)c1ncccc1[O-])C(=O)c1cnccn1 ZINC001488322295 1128669560 /nfs/dbraw/zinc/66/95/60/1128669560.db2.gz XYZPTVWSYMRRBG-JTQLQIEISA-N -1 1 331.332 -0.560 20 0 EBADMM Cc1cnn(CC(=O)NC[C@H](O)CN(C)C(=O)c2ncccc2[O-])c1 ZINC001488350693 1128688190 /nfs/dbraw/zinc/68/81/90/1128688190.db2.gz LMXRVFMEQWSXAV-LBPRGKRZSA-N -1 1 347.375 -0.459 20 0 EBADMM CN(C[C@@H](O)CNC(=O)c1ncccc1[O-])C(=O)Cc1ccon1 ZINC001488342591 1128728583 /nfs/dbraw/zinc/72/85/83/1128728583.db2.gz ZRBFEDLQHMLNST-NSHDSACASA-N -1 1 334.332 -0.433 20 0 EBADMM C[C@H](NC(N)=O)C(=O)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001488824654 1128852215 /nfs/dbraw/zinc/85/22/15/1128852215.db2.gz OPQYJISNMAXURU-JTQLQIEISA-N -1 1 347.375 -0.482 20 0 EBADMM CCN(C(=O)[C@H]1C[C@H]1C(N)=O)[C@H](C)CNC(=O)c1ncccc1[O-] ZINC001488914929 1128879019 /nfs/dbraw/zinc/87/90/19/1128879019.db2.gz VGPRDUOYACOKPA-MXWKQRLJSA-N -1 1 334.376 -0.125 20 0 EBADMM CNC(=O)NC(=O)CN1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC001489260789 1128937574 /nfs/dbraw/zinc/93/75/74/1128937574.db2.gz LHLWTIOZMCIXBT-ZJUUUORDSA-N -1 1 335.364 -0.565 20 0 EBADMM O=C(CC[C@H]1CCOC1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001489322234 1128955206 /nfs/dbraw/zinc/95/52/06/1128955206.db2.gz JJTYLUKILOFLLF-OUCADQQQSA-N -1 1 349.387 -0.095 20 0 EBADMM CO[C@@H](C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)C1CCC1 ZINC001489380452 1128970998 /nfs/dbraw/zinc/97/09/98/1128970998.db2.gz KPYWNNYJSQXUIB-UXIGCNINSA-N -1 1 349.387 -0.096 20 0 EBADMM CN(CCCNC(=O)Cn1c(=O)[n-][nH]c1=O)CC(=O)NC(C)(C)C ZINC001490277414 1129156931 /nfs/dbraw/zinc/15/69/31/1129156931.db2.gz UZYMQKBBMHJKLL-UHFFFAOYSA-N -1 1 342.400 -0.958 20 0 EBADMM CCN(CCCNC(=O)C1(C(=O)NC)CCC1)Cc1n[nH]c(=O)[n-]1 ZINC001490354949 1129172619 /nfs/dbraw/zinc/17/26/19/1129172619.db2.gz BYXCLWBSUOEGMI-UHFFFAOYSA-N -1 1 338.412 -0.245 20 0 EBADMM O=C(NCCN1CCN([C@@H]2CCNC2=O)CC1)c1ncccc1[O-] ZINC001490487666 1129190909 /nfs/dbraw/zinc/19/09/09/1129190909.db2.gz JYQXITKGZGNBAJ-GFCCVEGCSA-N -1 1 333.392 -0.977 20 0 EBADMM C[C@@]1(CNC(=O)c2nnc[nH]2)CN(C(=O)c2ncccc2[O-])CCO1 ZINC001490535001 1129202705 /nfs/dbraw/zinc/20/27/05/1129202705.db2.gz HAOKCBGYRHRZOB-OAHLLOKOSA-N -1 1 346.347 -0.434 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)CCCC(N)=O)C1 ZINC001490785282 1129257704 /nfs/dbraw/zinc/25/77/04/1129257704.db2.gz ZEMSJIPMJRTHIH-NSHDSACASA-N -1 1 338.412 -0.164 20 0 EBADMM CN(CCCNC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)C(C)(C)C ZINC001687546586 1129273385 /nfs/dbraw/zinc/27/33/85/1129273385.db2.gz IRJRHTVKJDHTHY-UHFFFAOYSA-N -1 1 338.408 -0.063 20 0 EBADMM COCC(=O)NC[C@H](C)N(C)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001411479616 1129301108 /nfs/dbraw/zinc/30/11/08/1129301108.db2.gz PASJJJTYYUPMML-ZETCQYMHSA-N -1 1 328.325 -0.881 20 0 EBADMM O=C(CCNC(=O)c1ccc([O-])cn1)N[C@@H]1CCS(=O)(=O)C1 ZINC001411648603 1129342834 /nfs/dbraw/zinc/34/28/34/1129342834.db2.gz WIDXCPUUAKBOBF-SECBINFHSA-N -1 1 327.362 -0.790 20 0 EBADMM O=C(NC1(CCO)CN(C(=O)c2ncccc2[O-])C1)[C@]12C[C@H]1COC2 ZINC001411764953 1129373504 /nfs/dbraw/zinc/37/35/04/1129373504.db2.gz RHBGINIXLBZHDF-GTNSWQLSSA-N -1 1 347.371 -0.483 20 0 EBADMM CS(=O)(=O)NCCC(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001411799788 1129388119 /nfs/dbraw/zinc/38/81/19/1129388119.db2.gz KRBVCJBBSYCAHV-UHFFFAOYSA-N -1 1 340.365 -0.344 20 0 EBADMM CC1(Cn2cc(C(=O)N3CCC[C@@H](c4nn[n-]n4)C3)nn2)COC1 ZINC001412054499 1129436615 /nfs/dbraw/zinc/43/66/15/1129436615.db2.gz DIEIHLPOQXAOAD-SNVBAGLBSA-N -1 1 332.368 -0.153 20 0 EBADMM CN1CCN(C2CN(C(=O)c3c[nH]c4nc(=O)[n-]c(=O)c-4c3)C2)CC1 ZINC001412076320 1129441560 /nfs/dbraw/zinc/44/15/60/1129441560.db2.gz XRZORECZNGUDMZ-UHFFFAOYSA-N -1 1 344.375 -0.492 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001412216917 1129479196 /nfs/dbraw/zinc/47/91/96/1129479196.db2.gz OPRNWIQGZSQZRW-DLOVCJGASA-N -1 1 334.376 -0.064 20 0 EBADMM COC(=O)c1cc(C(C)=O)c(NC(=O)CN(C)S(C)(=O)=O)[n-]1 ZINC001412280504 1129495148 /nfs/dbraw/zinc/49/51/48/1129495148.db2.gz RUNQOIMXEWTQRJ-UHFFFAOYSA-N -1 1 331.350 -0.166 20 0 EBADMM CC(C)C[C@H](NC(=O)CCCc1nn[n-]n1)C(=O)N1CCOCC1 ZINC001412273578 1129495962 /nfs/dbraw/zinc/49/59/62/1129495962.db2.gz FAWFLANWIFSGER-LBPRGKRZSA-N -1 1 338.412 -0.088 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCN(C[C@H]2CCCO2)CC1 ZINC001412351533 1129506868 /nfs/dbraw/zinc/50/68/68/1129506868.db2.gz KYSGMFUFPHKJTK-CYBMUJFWSA-N -1 1 348.403 -0.160 20 0 EBADMM COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001412418103 1129524496 /nfs/dbraw/zinc/52/44/96/1129524496.db2.gz LJHREYQBYRCCDX-YUMQZZPRSA-N -1 1 336.348 -0.144 20 0 EBADMM C[C@@H](CN(C)C(=O)CNC(=O)C1CC1)NC(=O)c1ncccc1[O-] ZINC001412447465 1129530964 /nfs/dbraw/zinc/53/09/64/1129530964.db2.gz LTCWXMDHJFYPIX-JTQLQIEISA-N -1 1 334.376 -0.110 20 0 EBADMM O=C(CCCS(=O)(=O)N1CCCC1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001412486763 1129539490 /nfs/dbraw/zinc/53/94/90/1129539490.db2.gz HBBJNASYLNLFKO-LLVKDONJSA-N -1 1 342.425 -0.279 20 0 EBADMM COCCn1cc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)c(=O)cc1C ZINC001412483769 1129539551 /nfs/dbraw/zinc/53/95/51/1129539551.db2.gz AVPOZOXYFWYURS-NSHDSACASA-N -1 1 332.364 -0.054 20 0 EBADMM O=C(CCCc1nn[n-]n1)N1CCCC[C@@H]1C(=O)N1CCOCC1 ZINC001412500792 1129543869 /nfs/dbraw/zinc/54/38/69/1129543869.db2.gz VAKDJRGQDKMUMN-GFCCVEGCSA-N -1 1 336.396 -0.238 20 0 EBADMM O=C(c1cncc(N2CCCC2)n1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001412526089 1129550711 /nfs/dbraw/zinc/55/07/11/1129550711.db2.gz AYKDSXKJUNUSKV-LLVKDONJSA-N -1 1 330.352 -0.196 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)CC(C)(C)S(C)(=O)=O ZINC001412555601 1129559948 /nfs/dbraw/zinc/55/99/48/1129559948.db2.gz FQOMCTAWCDXKST-UHFFFAOYSA-N -1 1 333.366 -0.208 20 0 EBADMM COC[C@@H](NC(=O)c1ccc(CS(C)(=O)=O)cc1)c1nn[n-]n1 ZINC001412588615 1129569427 /nfs/dbraw/zinc/56/94/27/1129569427.db2.gz GCLBWYBEHVTJNS-LLVKDONJSA-N -1 1 339.377 -0.138 20 0 EBADMM Cc1cc(=O)[nH]c(N2CC[C@H](NC(=O)CCCc3nn[n-]n3)C2)n1 ZINC001412608132 1129575128 /nfs/dbraw/zinc/57/51/28/1129575128.db2.gz UABKKZUMMJRELS-JTQLQIEISA-N -1 1 332.368 -0.278 20 0 EBADMM Cc1cc(O)cc(=O)n1CC(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001412618872 1129578372 /nfs/dbraw/zinc/57/83/72/1129578372.db2.gz YBBCVGHTJBLNMW-LLVKDONJSA-N -1 1 332.364 -0.143 20 0 EBADMM O=C(CCc1nn[n-]n1)Nc1ccnn1[C@H]1CCS(=O)(=O)C1 ZINC001412631709 1129580918 /nfs/dbraw/zinc/58/09/18/1129580918.db2.gz XEMMRMWZWSEQGX-QMMMGPOBSA-N -1 1 325.354 -0.673 20 0 EBADMM COc1cnc(C(C)(C)NC(=O)[C@H]2CCS(=O)(=O)C2)[n-]c1=O ZINC001412660097 1129586719 /nfs/dbraw/zinc/58/67/19/1129586719.db2.gz ZRCZHMYAYZMCNO-QMMMGPOBSA-N -1 1 329.378 -0.023 20 0 EBADMM COCC(=O)N(C)CC(=O)NC(C)(C)c1ncc(OC)c(=O)[n-]1 ZINC001412659944 1129586943 /nfs/dbraw/zinc/58/69/43/1129586943.db2.gz ZHIYGVRZTRQIIT-UHFFFAOYSA-N -1 1 326.353 -0.353 20 0 EBADMM Cc1cnn(CC(=O)NC[C@H](CO)NC(=O)c2ncccc2[O-])c1 ZINC001412807912 1129663956 /nfs/dbraw/zinc/66/39/56/1129663956.db2.gz VGKXGHKODGPWSS-LLVKDONJSA-N -1 1 333.348 -0.801 20 0 EBADMM Cc1ccn(C)c1C(=O)NC[C@H](CO)NC(=O)c1ncccc1[O-] ZINC001412814935 1129666576 /nfs/dbraw/zinc/66/65/76/1129666576.db2.gz JJNIVUPFKNCMTN-LLVKDONJSA-N -1 1 332.360 -0.045 20 0 EBADMM CCOC1CC(C(=O)NC[C@H](CO)NC(=O)c2ncccc2[O-])C1 ZINC001412827602 1129678452 /nfs/dbraw/zinc/67/84/52/1129678452.db2.gz BITRVWWIURJLOC-MOENNCHZSA-N -1 1 337.376 -0.191 20 0 EBADMM O=C(CNC(=O)c1ccccc1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001490852618 1129685186 /nfs/dbraw/zinc/68/51/86/1129685186.db2.gz NRPMWKPZBUNRRE-LBPRGKRZSA-N -1 1 344.375 -0.369 20 0 EBADMM Cc1nn(C)c(Cl)c1C(=O)NC[C@H](CO)NC(=O)c1cnn[nH]1 ZINC001412917813 1129782267 /nfs/dbraw/zinc/78/22/67/1129782267.db2.gz PNPVHJNAPLXYJM-SSDOTTSWSA-N -1 1 341.759 -0.979 20 0 EBADMM O=C(CCc1ncccn1)NC[C@@H](CO)NC(=O)c1ncccc1[O-] ZINC001412957815 1129816326 /nfs/dbraw/zinc/81/63/26/1129816326.db2.gz QGKAVTRHVJVYAC-NSHDSACASA-N -1 1 345.359 -0.583 20 0 EBADMM CSc1ncc(C(=O)NC[C@]2(O)CCS(=O)(=O)C2)c(=O)[n-]1 ZINC001412974600 1129829848 /nfs/dbraw/zinc/82/98/48/1129829848.db2.gz YQIJBYHRRGEWFI-LLVKDONJSA-N -1 1 333.391 -0.817 20 0 EBADMM CCOc1ccc(C(=O)NC[C@H](CO)NC(=O)c2cnn[nH]2)cc1 ZINC001412995015 1129845483 /nfs/dbraw/zinc/84/54/83/1129845483.db2.gz SZAWDJDGIWZSEX-LLVKDONJSA-N -1 1 333.348 -0.276 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CCNC(N)=O ZINC001413025167 1129868297 /nfs/dbraw/zinc/86/82/97/1129868297.db2.gz GFQKXEXTKRRAGJ-NXEZZACHSA-N -1 1 335.364 -0.435 20 0 EBADMM O=c1[n-]c(CN2CCO[C@H](Cn3ccnn3)C2)nc2c1COCC2 ZINC001413033781 1129874566 /nfs/dbraw/zinc/87/45/66/1129874566.db2.gz YOGAXISJJGDMKK-NSHDSACASA-N -1 1 332.364 -0.253 20 0 EBADMM CN(C[C@H](O)CNC(=O)[C@H]1CC1(F)F)C(=O)c1ncccc1[O-] ZINC001413240990 1129963208 /nfs/dbraw/zinc/96/32/08/1129963208.db2.gz AVMJQZVYBKUDID-RKDXNWHRSA-N -1 1 329.303 -0.009 20 0 EBADMM NS(=O)(=O)c1ccc([O-])c(C(=O)N2CC(N3CCCC3)C2)c1 ZINC001413276041 1129984198 /nfs/dbraw/zinc/98/41/98/1129984198.db2.gz YZJYTRPDJZDARB-UHFFFAOYSA-N -1 1 325.390 -0.040 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC(=O)N[C@@H]3CCCC[C@@H]32)[n-]n1 ZINC001413308114 1130004541 /nfs/dbraw/zinc/00/45/41/1130004541.db2.gz OTOFRRSGIMJIOE-SCZZXKLOSA-N -1 1 342.377 -0.372 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC(=O)N[C@@H]3CCCC[C@@H]32)n[n-]1 ZINC001413308114 1130004544 /nfs/dbraw/zinc/00/45/44/1130004544.db2.gz OTOFRRSGIMJIOE-SCZZXKLOSA-N -1 1 342.377 -0.372 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@H](S(N)(=O)=O)C2)c1 ZINC001413313670 1130007732 /nfs/dbraw/zinc/00/77/32/1130007732.db2.gz ZGRRBEXCWJGZBA-VIFPVBQESA-N -1 1 336.391 -0.548 20 0 EBADMM COC(=O)[C@H](CC(F)(F)F)[N-]S(=O)(=O)N1CCN(C)CC1 ZINC001413321824 1130013992 /nfs/dbraw/zinc/01/39/92/1130013992.db2.gz AKEJTEDWKLIGJX-QMMMGPOBSA-N -1 1 333.332 -0.438 20 0 EBADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)C[C@@H]1CCCS(=O)(=O)C1 ZINC001413366807 1130052527 /nfs/dbraw/zinc/05/25/27/1130052527.db2.gz SICJWMZEZAEZMM-ZJUUUORDSA-N -1 1 339.435 -0.318 20 0 EBADMM O=c1nc2[nH]cc(S(=O)(=O)N3CC[N@H+]4CC[C@H]3C4)cc-2c(=O)[n-]1 ZINC001413350516 1130037783 /nfs/dbraw/zinc/03/77/83/1130037783.db2.gz FKQBOQQHEHEKRK-QMMMGPOBSA-N -1 1 337.361 -0.485 20 0 EBADMM O=c1nc2[nH]cc(S(=O)(=O)N3CCN4CC[C@H]3C4)cc-2c(=O)[n-]1 ZINC001413350516 1130037789 /nfs/dbraw/zinc/03/77/89/1130037789.db2.gz FKQBOQQHEHEKRK-QMMMGPOBSA-N -1 1 337.361 -0.485 20 0 EBADMM C[C@@H](O)[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)c1ccccc1 ZINC001413402228 1130073271 /nfs/dbraw/zinc/07/32/71/1130073271.db2.gz OGSJYBCJBLSJKM-BXKDBHETSA-N -1 1 339.373 -0.114 20 0 EBADMM COC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001413430662 1130085482 /nfs/dbraw/zinc/08/54/82/1130085482.db2.gz QLJVXYGNHURQBZ-QMMMGPOBSA-N -1 1 333.366 -0.648 20 0 EBADMM Cc1nn(C)cc1C1=NO[C@H](C(=O)NC2(c3nn[n-]n3)CCC2)C1 ZINC001413502359 1130112781 /nfs/dbraw/zinc/11/27/81/1130112781.db2.gz CMBDDYDCVVTZKZ-NSHDSACASA-N -1 1 330.352 -0.070 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)NC[C@]3(CO)COCCN3C)ccnc1-2 ZINC001413565083 1130135479 /nfs/dbraw/zinc/13/54/79/1130135479.db2.gz ZJAVKAAGANVSGT-HNNXBMFYSA-N -1 1 334.380 -0.844 20 0 EBADMM C[C@H](NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)c1nncn1C ZINC001413695656 1130232091 /nfs/dbraw/zinc/23/20/91/1130232091.db2.gz WKNRWUZEBVEADI-QMMMGPOBSA-N -1 1 333.352 -0.056 20 0 EBADMM CCO[C@@H](C)C(=O)NC[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001491094182 1130320672 /nfs/dbraw/zinc/32/06/72/1130320672.db2.gz SLDLETNVPUQLMZ-RYUDHWBXSA-N -1 1 325.413 -0.138 20 0 EBADMM C[C@H](O)CN1CCCC[C@@H]1CNC(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC001491226748 1130365520 /nfs/dbraw/zinc/36/55/20/1130365520.db2.gz WRULCNTXASLXTR-NWDGAFQWSA-N -1 1 348.407 -0.577 20 0 EBADMM CCCCS(=O)(=O)CC(=O)NCCNC(=O)c1ncccc1[O-] ZINC001491248133 1130374399 /nfs/dbraw/zinc/37/43/99/1130374399.db2.gz OEKCEFXNBQMJKH-UHFFFAOYSA-N -1 1 343.405 -0.152 20 0 EBADMM N=c1nc(OS(=O)(=O)[O-])c(N)c(N)n1-c1cccc2nc[nH]c21 ZINC001213028172 1130514937 /nfs/dbraw/zinc/51/49/37/1130514937.db2.gz JEJLYWYWKAKTKV-UHFFFAOYSA-N -1 1 337.321 -0.426 20 0 EBADMM C[C@H](CNC(=O)[C@@H](C)N(C)CC(F)(F)F)NCc1n[nH]c(=O)[n-]1 ZINC001491557606 1130528554 /nfs/dbraw/zinc/52/85/54/1130528554.db2.gz HCGRNNPULUVXPT-HTQZYQBOSA-N -1 1 338.334 -0.013 20 0 EBADMM C[C@@H](CNC(=O)CN1C(=O)CCC1=O)N(C)C(=O)c1ncccc1[O-] ZINC001280472393 1130571464 /nfs/dbraw/zinc/57/14/64/1130571464.db2.gz MYDSYOPOUIZQBC-JTQLQIEISA-N -1 1 348.359 -0.487 20 0 EBADMM O=C(CCn1cnnn1)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001492081845 1130584642 /nfs/dbraw/zinc/58/46/42/1130584642.db2.gz KJQSCQLLKQEVOQ-UHFFFAOYSA-N -1 1 345.363 -0.415 20 0 EBADMM Cc1nc(CN(C)CCNC(=O)CCn2cc[n-]c(=O)c2=O)c(C)o1 ZINC001492458911 1130674097 /nfs/dbraw/zinc/67/40/97/1130674097.db2.gz MPYGMYUWSFONST-UHFFFAOYSA-N -1 1 349.391 -0.220 20 0 EBADMM C[C@H](c1ncccn1)N(C)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001492459727 1130674659 /nfs/dbraw/zinc/67/46/59/1130674659.db2.gz WOCJTIIQGGUTSK-GFCCVEGCSA-N -1 1 346.391 -0.474 20 0 EBADMM CC[C@@H]1C[C@@H](C(=O)NCCN(C)Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001492490352 1130695746 /nfs/dbraw/zinc/69/57/46/1130695746.db2.gz LXHWAIHRXJVRGW-NWDGAFQWSA-N -1 1 325.413 -0.138 20 0 EBADMM O=C(CC1(O)CCC1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001413967525 1130867087 /nfs/dbraw/zinc/86/70/87/1130867087.db2.gz PZTMEMZQBQDGHT-ZYHUDNBSSA-N -1 1 335.360 -0.606 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1CN(C(=O)CC2CCC2)C1 ZINC001356777092 1130935233 /nfs/dbraw/zinc/93/52/33/1130935233.db2.gz NWJSVZOLZYHHFF-UHFFFAOYSA-N -1 1 334.376 -0.556 20 0 EBADMM CC[C@@H](F)C(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001356783852 1130939200 /nfs/dbraw/zinc/93/92/00/1130939200.db2.gz VVKZBIPMVHMDCI-SNVBAGLBSA-N -1 1 326.328 -0.998 20 0 EBADMM CCC(=O)NC[C@](C)(NC(=O)CCn1cc[n-]c(=O)c1=O)C1CC1 ZINC001356959127 1131009645 /nfs/dbraw/zinc/00/96/45/1131009645.db2.gz LUIFKVBYIWPIBN-INIZCTEOSA-N -1 1 336.392 -0.262 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CC[C@H](NC(=O)C2CC2)CC1 ZINC001356977107 1131014403 /nfs/dbraw/zinc/01/44/03/1131014403.db2.gz IHRNXMVEXHKZOU-JOCQHMNTSA-N -1 1 348.403 -0.120 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)CNC(=O)CC1CC1 ZINC001357003061 1131021120 /nfs/dbraw/zinc/02/11/20/1131021120.db2.gz DPGHGZYFJSYOFS-LLVKDONJSA-N -1 1 336.392 -0.405 20 0 EBADMM CC(C)CC(=O)NC/C=C\CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001357520190 1131098096 /nfs/dbraw/zinc/09/80/96/1131098096.db2.gz BORFFRNUXVLCSK-ARJAWSKDSA-N -1 1 332.364 -0.134 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@]2(C(=O)[O-])CNCCO2)CCN1CC(F)(F)F ZINC001357589098 1131104360 /nfs/dbraw/zinc/10/43/60/1131104360.db2.gz YIOPXSPTXAVQRY-BXKDBHETSA-N -1 1 339.314 -0.475 20 0 EBADMM CC[C@@H](OC)C(=O)NC/C=C/CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001357645529 1131110611 /nfs/dbraw/zinc/11/06/11/1131110611.db2.gz FGNNSRVDNODAMY-SGUJLRQBSA-N -1 1 348.363 -0.755 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)C(C)(F)F ZINC001358414321 1131185130 /nfs/dbraw/zinc/18/51/30/1131185130.db2.gz SESFIUYEDBOESS-QMMMGPOBSA-N -1 1 332.307 -0.797 20 0 EBADMM CNC(=O)CC(=O)N1CC[C@@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001358609232 1131227578 /nfs/dbraw/zinc/22/75/78/1131227578.db2.gz NXAJANOGRSODLN-SNVBAGLBSA-N -1 1 347.375 -0.474 20 0 EBADMM Cc1cc(=O)n2[n-]c(NC(=O)C[C@H]3CCCS(=O)(=O)C3)nc2n1 ZINC001361704659 1131442671 /nfs/dbraw/zinc/44/26/71/1131442671.db2.gz MAUCBMZVOPUZOV-SECBINFHSA-N -1 1 339.377 -0.121 20 0 EBADMM O=C(CCc1nn[n-]n1)N1CCN(C(=O)c2ccc(=O)[nH]c2)CC1 ZINC001361880552 1131472265 /nfs/dbraw/zinc/47/22/65/1131472265.db2.gz MVOZHYOZBJTBBD-UHFFFAOYSA-N -1 1 331.336 -0.783 20 0 EBADMM COC(=O)c1cc(C(C)=O)c(NC(=O)C2(OC)CS(=O)(=O)C2)[n-]1 ZINC001361895695 1131474546 /nfs/dbraw/zinc/47/45/46/1131474546.db2.gz QSNZKPVVCAZLCC-UHFFFAOYSA-N -1 1 344.345 -0.244 20 0 EBADMM CC[C@@H](Sc1nc(CC(=O)NCc2nn[n-]n2)cs1)C(N)=O ZINC001361914619 1131477852 /nfs/dbraw/zinc/47/78/52/1131477852.db2.gz LAZXEEBFPHBDBM-SSDOTTSWSA-N -1 1 341.422 -0.129 20 0 EBADMM O=C(c1cc2c([nH]c1=O)CCC[C@H]2O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001361921580 1131479507 /nfs/dbraw/zinc/47/95/07/1131479507.db2.gz FTGDOVGIKWHKFY-NEPJUHHUSA-N -1 1 346.347 -0.116 20 0 EBADMM O=C(CCc1nn[n-]n1)NCC(=O)N1CCN(c2ccccc2)CC1 ZINC001361956586 1131487616 /nfs/dbraw/zinc/48/76/16/1131487616.db2.gz FWLKQGQIAPCXMU-UHFFFAOYSA-N -1 1 343.391 -0.403 20 0 EBADMM COC(=O)c1cc(C(C)=O)c(NC(=O)Cn2nc(C)n(C)c2=O)[n-]1 ZINC001361956838 1131487724 /nfs/dbraw/zinc/48/77/24/1131487724.db2.gz GCDCCZAAJVOOHV-UHFFFAOYSA-N -1 1 335.320 -0.154 20 0 EBADMM CSc1ncc(C(=O)N(C)CC(=O)N2CCOCC2)c(=O)[n-]1 ZINC001361985097 1131492709 /nfs/dbraw/zinc/49/27/09/1131492709.db2.gz RUWSOPWNHSKHKY-UHFFFAOYSA-N -1 1 326.378 -0.165 20 0 EBADMM O=C(N[C@@H]1CC(=O)N(CCN2CCOCC2)C1)c1ccc([O-])cn1 ZINC001362001627 1131494926 /nfs/dbraw/zinc/49/49/26/1131494926.db2.gz BSNOOLZMORVPBK-GFCCVEGCSA-N -1 1 334.376 -0.550 20 0 EBADMM O=C(c1cnc2c(c1)NC(=O)CS2)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001362059496 1131506965 /nfs/dbraw/zinc/50/69/65/1131506965.db2.gz HJSQDEIJCAHUIH-SECBINFHSA-N -1 1 347.360 -0.147 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@@H](N2CCNC2=O)C1 ZINC001362100244 1131515720 /nfs/dbraw/zinc/51/57/20/1131515720.db2.gz REBWIOCVLXKJTP-LLVKDONJSA-N -1 1 347.375 -0.467 20 0 EBADMM NC(=O)c1ccc(N2CCN(C(=O)CCc3nn[n-]n3)CC2)cc1 ZINC001362115831 1131518038 /nfs/dbraw/zinc/51/80/38/1131518038.db2.gz ACMXOMNMWBDFJO-UHFFFAOYSA-N -1 1 329.364 -0.420 20 0 EBADMM CCS(=O)(=O)N(C)C1CCN(C(=O)CCCc2nn[n-]n2)CC1 ZINC001362128817 1131521000 /nfs/dbraw/zinc/52/10/00/1131521000.db2.gz BTIOCKGFMZXQIW-UHFFFAOYSA-N -1 1 344.441 -0.205 20 0 EBADMM COC(=O)[C@@H]1CO[C@H](CCC(=O)N2CCO[C@@H](c3nn[n-]n3)C2)C1 ZINC001362127788 1131521304 /nfs/dbraw/zinc/52/13/04/1131521304.db2.gz XQHOOYFETZMSDT-HBNTYKKESA-N -1 1 339.352 -0.542 20 0 EBADMM CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNC(=O)CCCc1nn[n-]n1 ZINC001362193699 1131533424 /nfs/dbraw/zinc/53/34/24/1131533424.db2.gz SRTCJMPXMUBIAI-NXEZZACHSA-N -1 1 330.414 -0.644 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCc1nnc2n1CCCC2 ZINC001362208220 1131536431 /nfs/dbraw/zinc/53/64/31/1131536431.db2.gz WBJFYWFDAWGDBG-UHFFFAOYSA-N -1 1 334.336 -0.203 20 0 EBADMM CSc1ncc(C(=O)NC[C@H](O)CN2CCCC2=O)c(=O)[n-]1 ZINC001362234272 1131541540 /nfs/dbraw/zinc/54/15/40/1131541540.db2.gz SQTPRXDWYXXAEO-QMMMGPOBSA-N -1 1 326.378 -0.383 20 0 EBADMM O=C(c1c[n-]n2c1nccc2=O)N1CC[C@H](CN2CCOCC2)C1 ZINC001362303175 1131555944 /nfs/dbraw/zinc/55/59/44/1131555944.db2.gz SCXDGXLEUGUYGO-GFCCVEGCSA-N -1 1 331.376 -0.183 20 0 EBADMM O=C(CCCc1nn[n-]n1)Nc1ccc(S(=O)(=O)CCO)cc1 ZINC001362342788 1131564244 /nfs/dbraw/zinc/56/42/44/1131564244.db2.gz WUHJMJAPEFSRLW-UHFFFAOYSA-N -1 1 339.377 -0.073 20 0 EBADMM O=C(CSc1n[nH]c(=O)[n-]1)N1CCN(C2CCOCC2)CC1 ZINC001362398095 1131575168 /nfs/dbraw/zinc/57/51/68/1131575168.db2.gz URAIXVOHYIGQPT-UHFFFAOYSA-N -1 1 327.410 -0.074 20 0 EBADMM CC(C)(C)NS(=O)(=O)CC(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC001362406826 1131577045 /nfs/dbraw/zinc/57/70/45/1131577045.db2.gz LHIIIUNKEDFMLU-QMMMGPOBSA-N -1 1 348.454 -0.466 20 0 EBADMM O=C(NCCN1CCC(O)CC1)c1c[nH]c2nc(=O)[n-]c(=O)c-2c1 ZINC001362449076 1131585410 /nfs/dbraw/zinc/58/54/10/1131585410.db2.gz HFAVRKUURBSPMJ-UHFFFAOYSA-N -1 1 333.348 -0.378 20 0 EBADMM CS(=O)(=O)N[C@@H]1CCCC[C@H]1CNC(=O)CCc1nn[n-]n1 ZINC001362639059 1131629811 /nfs/dbraw/zinc/62/98/11/1131629811.db2.gz MYVJAUNOKCZJDU-VHSXEESVSA-N -1 1 330.414 -0.644 20 0 EBADMM CC1(C)[C@@H](NC(=O)c2[nH]c(=O)[nH]c(=O)c2N)C[C@@H]1[NH+]1CCOCC1 ZINC001362639083 1131630023 /nfs/dbraw/zinc/63/00/23/1131630023.db2.gz MJRAPVNJELJXIX-IUCAKERBSA-N -1 1 337.380 -0.301 20 0 EBADMM CS(=O)(=O)N[C@@H]1CCCC[C@H]1CNC(=O)CCCc1nn[n-]n1 ZINC001362642704 1131631217 /nfs/dbraw/zinc/63/12/17/1131631217.db2.gz YKYZVIHKVNVLQD-WDEREUQCSA-N -1 1 344.441 -0.253 20 0 EBADMM CCC[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)CCN1CCOC ZINC001362643314 1131631360 /nfs/dbraw/zinc/63/13/60/1131631360.db2.gz GWXQFRUYVAYTCP-SNVBAGLBSA-N -1 1 325.369 -0.144 20 0 EBADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C2(S(C)(=O)=O)CC2)n[n-]1 ZINC001362659907 1131635041 /nfs/dbraw/zinc/63/50/41/1131635041.db2.gz LLRIQCJBNFRMRU-SSDOTTSWSA-N -1 1 330.366 -0.264 20 0 EBADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C2(S(C)(=O)=O)CC2)[n-]1 ZINC001362659907 1131635042 /nfs/dbraw/zinc/63/50/42/1131635042.db2.gz LLRIQCJBNFRMRU-SSDOTTSWSA-N -1 1 330.366 -0.264 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C2(S(C)(=O)=O)CC2)n1 ZINC001362659907 1131635045 /nfs/dbraw/zinc/63/50/45/1131635045.db2.gz LLRIQCJBNFRMRU-SSDOTTSWSA-N -1 1 330.366 -0.264 20 0 EBADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@H]2CC[C@@H](C(=O)OC)O2)n[n-]1 ZINC001362662904 1131636201 /nfs/dbraw/zinc/63/62/01/1131636201.db2.gz XMHSQTFJROIEMQ-HLTSFMKQSA-N -1 1 340.336 -0.121 20 0 EBADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@H]2CC[C@@H](C(=O)OC)O2)[n-]1 ZINC001362662904 1131636205 /nfs/dbraw/zinc/63/62/05/1131636205.db2.gz XMHSQTFJROIEMQ-HLTSFMKQSA-N -1 1 340.336 -0.121 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@H]2CC[C@@H](C(=O)OC)O2)n1 ZINC001362662904 1131636209 /nfs/dbraw/zinc/63/62/09/1131636209.db2.gz XMHSQTFJROIEMQ-HLTSFMKQSA-N -1 1 340.336 -0.121 20 0 EBADMM O=C(CN1CCCCS1(=O)=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362667935 1131637353 /nfs/dbraw/zinc/63/73/53/1131637353.db2.gz XGXBDUMWPRPQMT-SNVBAGLBSA-N -1 1 328.398 -0.669 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@@H](C)S(=O)(=O)[C@H](C)C1 ZINC001362669726 1131637483 /nfs/dbraw/zinc/63/74/83/1131637483.db2.gz BXBSRLZPTPLIOI-RNFRBKRXSA-N -1 1 331.350 -0.456 20 0 EBADMM O=C(CCc1nnc(C(F)F)o1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362722756 1131654239 /nfs/dbraw/zinc/65/42/39/1131654239.db2.gz HXXWWXDMQZHSSY-UHFFFAOYSA-N -1 1 343.294 -0.037 20 0 EBADMM CCO[C@H](C(=O)N1CCC(O)(c2nn[n-]n2)CC1)[C@H]1CCOC1 ZINC001362726884 1131655574 /nfs/dbraw/zinc/65/55/74/1131655574.db2.gz PBWWTMYZKJAUSK-QWRGUYRKSA-N -1 1 325.369 -0.549 20 0 EBADMM CCO[C@@H](C(=O)N1CCC(O)(c2nn[n-]n2)CC1)C1CCOCC1 ZINC001362733066 1131657798 /nfs/dbraw/zinc/65/77/98/1131657798.db2.gz VCQQKXSPQVQTTG-GFCCVEGCSA-N -1 1 339.396 -0.159 20 0 EBADMM NC(=O)COc1ccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)cc1 ZINC001362748042 1131661668 /nfs/dbraw/zinc/66/16/68/1131661668.db2.gz MGKUWNFAKCLZLG-NSHDSACASA-N -1 1 332.320 -0.723 20 0 EBADMM CSc1ncc(C(=O)N2CC[C@H](CNS(C)(=O)=O)C2)c(=O)[n-]1 ZINC001362868447 1131700934 /nfs/dbraw/zinc/70/09/34/1131700934.db2.gz YAQSGWMKTVGFNK-MRVPVSSYSA-N -1 1 346.434 -0.085 20 0 EBADMM COC[C@@H](NC(=O)[C@@H]1CCC(=O)N(c2cnn(C)c2)C1)c1nn[n-]n1 ZINC001362903469 1131708446 /nfs/dbraw/zinc/70/84/46/1131708446.db2.gz UWMZSTMLHDYLNP-MWLCHTKSSA-N -1 1 348.367 -0.820 20 0 EBADMM COC[C@H](NC(=O)CNC(=O)c1ccc(F)c(F)c1)c1nn[n-]n1 ZINC001362905789 1131709373 /nfs/dbraw/zinc/70/93/73/1131709373.db2.gz DFGCZBBNHRTISA-JTQLQIEISA-N -1 1 340.290 -0.288 20 0 EBADMM COC[C@@H](NC(=O)[C@@H]1CC(=O)N(Cc2ccco2)C1)c1nn[n-]n1 ZINC001362912409 1131711526 /nfs/dbraw/zinc/71/15/26/1131711526.db2.gz XSUKJDMYFQLNCN-MWLCHTKSSA-N -1 1 334.336 -0.355 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NC1CC(N2CCOCC2)C1 ZINC001362931466 1131716790 /nfs/dbraw/zinc/71/67/90/1131716790.db2.gz OUVUVXKFUWTIJP-UHFFFAOYSA-N -1 1 334.376 -0.504 20 0 EBADMM CCO[C@H]1C[C@@](NC(=O)CCc2nn[n-]n2)(C(=O)OC)C1(C)C ZINC001362936832 1131717616 /nfs/dbraw/zinc/71/76/16/1131717616.db2.gz HVLKSGUJJPMLQI-LKFCYVNXSA-N -1 1 325.369 -0.005 20 0 EBADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N[C@H]1C[C@@H](O)[C@@H](O)C1 ZINC001362951235 1131720912 /nfs/dbraw/zinc/72/09/12/1131720912.db2.gz OESLKUIDILDWMQ-UBSAEIQESA-N -1 1 344.371 -0.317 20 0 EBADMM Cc1cccc(=O)n1C[C@](C)(O)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC001363035742 1131755792 /nfs/dbraw/zinc/75/57/92/1131755792.db2.gz GDYSPRJRAPIJBH-HNNXBMFYSA-N -1 1 334.332 -0.575 20 0 EBADMM CS(=O)(=O)N1CCC(CC(=O)NCc2nc([O-])cc(=O)[nH]2)CC1 ZINC001363036696 1131757205 /nfs/dbraw/zinc/75/72/05/1131757205.db2.gz IKSVSYDPJGPKLJ-UHFFFAOYSA-N -1 1 344.393 -0.434 20 0 EBADMM O=C(c1cnncc1[O-])N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC001363103628 1131785912 /nfs/dbraw/zinc/78/59/12/1131785912.db2.gz BQUUQTWPHBUMIQ-SNVBAGLBSA-N -1 1 326.378 -0.178 20 0 EBADMM COC(=O)[C@]1(C)C[C@@H](OC)CN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001363131184 1131794997 /nfs/dbraw/zinc/79/49/97/1131794997.db2.gz VLMSWPPDQPIEAU-UOWDBTKRSA-N -1 1 341.320 -0.311 20 0 EBADMM CC(=O)c1cccc(S(=O)(=O)NCC(=O)N(C)c2nn[n-]n2)c1 ZINC001363144321 1131801606 /nfs/dbraw/zinc/80/16/06/1131801606.db2.gz OPUHHXAQGYHNFB-UHFFFAOYSA-N -1 1 338.349 -0.656 20 0 EBADMM O=C(c1ccc(CN2CCOCC2)o1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001363204109 1131829705 /nfs/dbraw/zinc/82/97/05/1131829705.db2.gz NQRSUYXCRGRKMZ-GFCCVEGCSA-N -1 1 348.363 -0.161 20 0 EBADMM O=C([N-]CC1CN(C(=O)[C@H]2CCC3N=NC(=O)N3C2)C1)C(F)(F)F ZINC001363211637 1131834254 /nfs/dbraw/zinc/83/42/54/1131834254.db2.gz SFPHQSUQYLTKQG-QMMMGPOBSA-N -1 1 347.297 -0.317 20 0 EBADMM NC(=O)C1(NC(=O)c2ccc(F)c([O-])c2)CCS(=O)(=O)CC1 ZINC001363267608 1131860274 /nfs/dbraw/zinc/86/02/74/1131860274.db2.gz FESGWNYOVKJDMT-UHFFFAOYSA-N -1 1 330.337 -0.306 20 0 EBADMM O=C(CS[C@H]1CCS(=O)(=O)C1)N1CCC(c2nn[n-]n2)CC1 ZINC001363282110 1131869735 /nfs/dbraw/zinc/86/97/35/1131869735.db2.gz NPADELVQZQYXBC-JTQLQIEISA-N -1 1 345.450 -0.174 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H]2CCOC[C@H]2OC)o1 ZINC001363309457 1131889768 /nfs/dbraw/zinc/88/97/68/1131889768.db2.gz XSQLEZQKYZIHJV-GXSJLCMTSA-N -1 1 332.378 -0.031 20 0 EBADMM CC(C)(C)OC(=O)N1CC(CCO)(NC(=O)CCc2nn[n-]n2)C1 ZINC001363355994 1131913460 /nfs/dbraw/zinc/91/34/60/1131913460.db2.gz BENMZCFWSWBGSN-UHFFFAOYSA-N -1 1 340.384 -0.380 20 0 EBADMM COC(=O)[C@@H](C[C@@H]1CCCO1)NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001363393011 1131929188 /nfs/dbraw/zinc/92/91/88/1131929188.db2.gz ORHLGRDHLZXWBO-JGVFFNPUSA-N -1 1 341.320 -0.263 20 0 EBADMM O=C(c1cnc2c(c1)NC(=O)CS2)N1CCOC[C@H]1c1nn[n-]n1 ZINC001363409311 1131938643 /nfs/dbraw/zinc/93/86/43/1131938643.db2.gz FKOSSHVNKAJQMW-VIFPVBQESA-N -1 1 347.360 -0.147 20 0 EBADMM COC[C@H](NC(=O)CNC(=O)c1ccccc1OC)c1nn[n-]n1 ZINC001363414044 1131940718 /nfs/dbraw/zinc/94/07/18/1131940718.db2.gz KOSYMNDTJIAERS-JTQLQIEISA-N -1 1 334.336 -0.558 20 0 EBADMM COC[C@H](NC(=O)CNC(=O)COc1ccccc1)c1nn[n-]n1 ZINC001363418161 1131941656 /nfs/dbraw/zinc/94/16/56/1131941656.db2.gz SFISATROFORDIY-NSHDSACASA-N -1 1 334.336 -0.801 20 0 EBADMM COC[C@@H](NC(=O)c1c[nH]c(C(=O)N2CCCC2)c1)c1nn[n-]n1 ZINC001363429663 1131946523 /nfs/dbraw/zinc/94/65/23/1131946523.db2.gz FATIHYQYFIOKFG-LLVKDONJSA-N -1 1 333.352 -0.119 20 0 EBADMM O=C(CN1CCCS1(=O)=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001363434810 1131948659 /nfs/dbraw/zinc/94/86/59/1131948659.db2.gz NZVNXNQTVRMSJY-JTQLQIEISA-N -1 1 328.398 -0.984 20 0 EBADMM COC[C@@H](NC(=O)[C@H]1CN(C(=O)C(C)(C)C)CCO1)c1nn[n-]n1 ZINC001363439521 1131950905 /nfs/dbraw/zinc/95/09/05/1131950905.db2.gz WXJWHMJWVNGJSF-NXEZZACHSA-N -1 1 340.384 -0.723 20 0 EBADMM CNS(=O)(=O)c1cc(C(=O)N(C)c2nn[n-]n2)ccc1OC ZINC001363489384 1131971119 /nfs/dbraw/zinc/97/11/19/1131971119.db2.gz KKNNCHZQUKNAQC-UHFFFAOYSA-N -1 1 326.338 -0.607 20 0 EBADMM CN(C(=O)[C@]1(C)C[C@H](O)CN1C(=O)OC(C)(C)C)c1nn[n-]n1 ZINC001363492393 1131973215 /nfs/dbraw/zinc/97/32/15/1131973215.db2.gz XPXURAUBVDACKG-SDBXPKJASA-N -1 1 326.357 -0.077 20 0 EBADMM O=C(NCCc1nnc2n1CCNC2=O)c1cnc(C2CC2)[n-]c1=O ZINC001363497049 1131975624 /nfs/dbraw/zinc/97/56/24/1131975624.db2.gz YELBXHVOEYXYHJ-UHFFFAOYSA-N -1 1 343.347 -0.633 20 0 EBADMM NC(=O)NCc1ccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)cc1 ZINC001363513709 1131984602 /nfs/dbraw/zinc/98/46/02/1131984602.db2.gz RDHUPNJNQUMLOO-LLVKDONJSA-N -1 1 331.336 -0.418 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@H]3CCNC(=O)[C@@H]32)o1 ZINC001363529508 1131995989 /nfs/dbraw/zinc/99/59/89/1131995989.db2.gz NOKXNESDYGLSGU-JOYOIKCWSA-N -1 1 341.389 -0.072 20 0 EBADMM NS(=O)(=O)Cc1ccc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)cc1 ZINC001363528966 1131996312 /nfs/dbraw/zinc/99/63/12/1131996312.db2.gz VTFBSGFJGZZTKU-LLVKDONJSA-N -1 1 336.377 -0.382 20 0 EBADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)CCNC(=O)CO ZINC001363560888 1132014597 /nfs/dbraw/zinc/01/45/97/1132014597.db2.gz OKWRXVIOBSFIKD-VIFPVBQESA-N -1 1 339.352 -0.857 20 0 EBADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)CCNC(=O)CO ZINC001363560888 1132014605 /nfs/dbraw/zinc/01/46/05/1132014605.db2.gz OKWRXVIOBSFIKD-VIFPVBQESA-N -1 1 339.352 -0.857 20 0 EBADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)CCNC(=O)CO ZINC001363560888 1132014612 /nfs/dbraw/zinc/01/46/12/1132014612.db2.gz OKWRXVIOBSFIKD-VIFPVBQESA-N -1 1 339.352 -0.857 20 0 EBADMM CSc1ncc(C(=O)NC(C)(C)CNS(C)(=O)=O)c(=O)[n-]1 ZINC001363572283 1132018644 /nfs/dbraw/zinc/01/86/44/1132018644.db2.gz FFGJIFBBHWLMTR-UHFFFAOYSA-N -1 1 334.423 -0.038 20 0 EBADMM CSCc1nc(CNC(=O)CNC(=O)c2ncccc2[O-])n[nH]1 ZINC001363603249 1132030011 /nfs/dbraw/zinc/03/00/11/1132030011.db2.gz ZCOGPJCZUIDFGI-UHFFFAOYSA-N -1 1 336.377 -0.186 20 0 EBADMM CC(=O)c1cn(CC(=O)N(C)CCc2nc([O-])c(C)c(=O)[nH]2)nn1 ZINC001363647254 1132043391 /nfs/dbraw/zinc/04/33/91/1132043391.db2.gz DPGQTKZGZIVWLX-UHFFFAOYSA-N -1 1 334.336 -0.309 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2CC(=O)N(CCOC)C2)n[n-]1 ZINC001363701424 1132061318 /nfs/dbraw/zinc/06/13/18/1132061318.db2.gz AFDDIZJNNGEQIR-SECBINFHSA-N -1 1 339.352 -0.908 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2CC(=O)N(CCOC)C2)n1 ZINC001363701424 1132061323 /nfs/dbraw/zinc/06/13/23/1132061323.db2.gz AFDDIZJNNGEQIR-SECBINFHSA-N -1 1 339.352 -0.908 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@@H](CO)[C@H](CCO)C2)co1 ZINC001363711648 1132065212 /nfs/dbraw/zinc/06/52/12/1132065212.db2.gz PSQMIBZFQJQGCO-KOLCDFICSA-N -1 1 332.378 -0.749 20 0 EBADMM Cn1cc(N2C[C@H](C(=O)N3CC[C@H](c4nn[n-]n4)C3)CC2=O)cn1 ZINC001363715032 1132066603 /nfs/dbraw/zinc/06/66/03/1132066603.db2.gz BGDJVASSFZSHCN-VHSXEESVSA-N -1 1 330.352 -0.698 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CC(=O)N(CC3CC3)C2)co1 ZINC001363749583 1132074921 /nfs/dbraw/zinc/07/49/21/1132074921.db2.gz FMWHKRAGIIVECB-NSHDSACASA-N -1 1 341.389 -0.072 20 0 EBADMM CO[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1NC(=O)CCc1nn[n-]n1 ZINC001363760351 1132078514 /nfs/dbraw/zinc/07/85/14/1132078514.db2.gz IAKPMVBDANEJSM-NXEZZACHSA-N -1 1 340.384 -0.117 20 0 EBADMM CCn1nc(C)c(C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)c1C ZINC001363769401 1132081484 /nfs/dbraw/zinc/08/14/84/1132081484.db2.gz IKEBMBMWFLSMES-UHFFFAOYSA-N -1 1 341.393 -0.202 20 0 EBADMM CCOC(=O)N1CC[C@@H](NS(=O)(=O)c2cc(C(=O)OC)n[n-]2)C1 ZINC001363849678 1132110939 /nfs/dbraw/zinc/11/09/39/1132110939.db2.gz FSKBJHVRYRUSAN-MRVPVSSYSA-N -1 1 346.365 -0.295 20 0 EBADMM CCOC(=O)N1CC[C@@H](NS(=O)(=O)c2cc(C(=O)OC)[n-]n2)C1 ZINC001363849678 1132110942 /nfs/dbraw/zinc/11/09/42/1132110942.db2.gz FSKBJHVRYRUSAN-MRVPVSSYSA-N -1 1 346.365 -0.295 20 0 EBADMM COc1cnc([C@H]2CCCN2C(=O)[C@H]2CC[C@@H](C(N)=O)O2)[n-]c1=O ZINC001363852601 1132111991 /nfs/dbraw/zinc/11/19/91/1132111991.db2.gz ZAEXKPSACZULIG-KXUCPTDWSA-N -1 1 336.348 -0.113 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCC2([S@](C)=O)CCC2)[n-]n1 ZINC001363878041 1132117383 /nfs/dbraw/zinc/11/73/83/1132117383.db2.gz UHEDKHGXPIKTHM-FQEVSTJZSA-N -1 1 335.407 -0.224 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCC2([S@](C)=O)CCC2)n[n-]1 ZINC001363878041 1132117389 /nfs/dbraw/zinc/11/73/89/1132117389.db2.gz UHEDKHGXPIKTHM-FQEVSTJZSA-N -1 1 335.407 -0.224 20 0 EBADMM Cc1cc(C[C@H](C)[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)[nH]n1 ZINC001363916130 1132128552 /nfs/dbraw/zinc/12/85/52/1132128552.db2.gz OGVUBLYOVFFLNA-QMMMGPOBSA-N -1 1 327.366 -0.573 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H](CO)c2ccc(F)cc2)c(=O)[nH]c1=O ZINC001363921635 1132129376 /nfs/dbraw/zinc/12/93/76/1132129376.db2.gz UWGTZHCOSYZHGG-SNVBAGLBSA-N -1 1 343.336 -0.363 20 0 EBADMM COc1cc(S(=O)(=O)[N-][C@H](CO)Cc2cnn(C)c2)sn1 ZINC001363923360 1132130828 /nfs/dbraw/zinc/13/08/28/1132130828.db2.gz ABQKSNNDTJWOEC-VIFPVBQESA-N -1 1 332.407 -0.233 20 0 EBADMM COC(=O)C[C@@]1([N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C)CCOC1 ZINC001363960159 1132144181 /nfs/dbraw/zinc/14/41/81/1132144181.db2.gz DYIQVWTXAQTFLR-WVDJIFEKSA-N -1 1 343.427 -0.883 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCO[C@@]3(CCO[C@@H]3C)C2)[n-]n1 ZINC001363982765 1132153186 /nfs/dbraw/zinc/15/31/86/1132153186.db2.gz JGHZAZVNGZYZOY-RNCFNFMXSA-N -1 1 345.377 -0.235 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCO[C@@]3(CCO[C@@H]3C)C2)n[n-]1 ZINC001363982765 1132153190 /nfs/dbraw/zinc/15/31/90/1132153190.db2.gz JGHZAZVNGZYZOY-RNCFNFMXSA-N -1 1 345.377 -0.235 20 0 EBADMM COC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001363994526 1132157828 /nfs/dbraw/zinc/15/78/28/1132157828.db2.gz MAOFAQFICGSALV-MRVPVSSYSA-N -1 1 331.350 -0.894 20 0 EBADMM O=S(=O)(C[C@@H]1CC2(CCC2)CO1)[N-][C@@H]1CS(=O)(=O)C[C@H]1O ZINC001364019306 1132167815 /nfs/dbraw/zinc/16/78/15/1132167815.db2.gz BVLWYUMTOVHWFH-HBNTYKKESA-N -1 1 339.435 -0.977 20 0 EBADMM O=C(c1cn([C@@H]2CC[C@H]2O)nn1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001364029287 1132173013 /nfs/dbraw/zinc/17/30/13/1132173013.db2.gz MUATVXGWFUYVDC-YUSALJHKSA-N -1 1 332.368 -0.418 20 0 EBADMM COC[C@H](NC(=O)CCS(=O)(=O)C1CCCC1)c1nn[n-]n1 ZINC001364035445 1132176015 /nfs/dbraw/zinc/17/60/15/1132176015.db2.gz QOAIMCARBGFGSV-JTQLQIEISA-N -1 1 331.398 -0.249 20 0 EBADMM COC(=O)[C@@H]([N-]S(=O)(=O)C1CCC(C(=O)OC)CC1)[C@@H](C)O ZINC001364047032 1132182203 /nfs/dbraw/zinc/18/22/03/1132182203.db2.gz CAUANIDFXMMYHB-SFXRXQKFSA-N -1 1 337.394 -0.440 20 0 EBADMM COC(=O)[C@]1(OC)CCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC001364052929 1132186034 /nfs/dbraw/zinc/18/60/34/1132186034.db2.gz FSZPGYJNOORKAT-HNNXBMFYSA-N -1 1 337.332 -0.693 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@@H](CO)Cc2ccoc2)[n-]n1 ZINC001364066840 1132193268 /nfs/dbraw/zinc/19/32/68/1132193268.db2.gz MMCGSXJGVVHEIJ-JTQLQIEISA-N -1 1 343.361 -0.081 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@@H](CO)Cc2ccoc2)n[n-]1 ZINC001364066840 1132193272 /nfs/dbraw/zinc/19/32/72/1132193272.db2.gz MMCGSXJGVVHEIJ-JTQLQIEISA-N -1 1 343.361 -0.081 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)N2CC(O)(c3ncnn3C)C2)c1 ZINC001364068712 1132193928 /nfs/dbraw/zinc/19/39/28/1132193928.db2.gz OOGCCDTVWZZWKG-UHFFFAOYSA-N -1 1 340.361 -0.579 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCOC12CCOCC2 ZINC001364069851 1132195068 /nfs/dbraw/zinc/19/50/68/1132195068.db2.gz IUDPYWAUYOZRLC-SNVBAGLBSA-N -1 1 345.377 -0.187 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@H]2CCC(C)(C)CO2)c(=O)[nH]c1=O ZINC001364071998 1132195913 /nfs/dbraw/zinc/19/59/13/1132195913.db2.gz UHBPNULOOLENEO-SECBINFHSA-N -1 1 331.394 -0.031 20 0 EBADMM COCC(COC)S(=O)(=O)[N-][C@H](C[C@@H]1CCCO1)C(=O)OC ZINC001364081082 1132200076 /nfs/dbraw/zinc/20/00/76/1132200076.db2.gz FXKFJGZTOZNHGI-CMPLNLGQSA-N -1 1 339.410 -0.322 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H](CCO)C2CCCC2)c(=O)[nH]c1=O ZINC001364192530 1132230916 /nfs/dbraw/zinc/23/09/16/1132230916.db2.gz KHMSGSOMIVCQTD-JTQLQIEISA-N -1 1 331.394 -0.295 20 0 EBADMM CNC(=O)NC[C@@H]1COCCN1Cc1nc2c(c(=O)[n-]1)COCC2 ZINC001364473378 1132330208 /nfs/dbraw/zinc/33/02/08/1132330208.db2.gz HALQHQFFZYDWBH-SNVBAGLBSA-N -1 1 337.380 -0.615 20 0 EBADMM O=C(CNS(=O)(=O)c1cccs1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001364567841 1132363370 /nfs/dbraw/zinc/36/33/70/1132363370.db2.gz NBSAQWKBABFHGI-QMMMGPOBSA-N -1 1 342.406 -0.444 20 0 EBADMM CC1=NS(=O)(=O)N(C)C=C1C(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001364566226 1132363617 /nfs/dbraw/zinc/36/36/17/1132363617.db2.gz ARGDHAPURLBCMQ-MRVPVSSYSA-N -1 1 325.354 -0.949 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)c4ccncn4)C3)C2)nc1=O ZINC001364649702 1132384325 /nfs/dbraw/zinc/38/43/25/1132384325.db2.gz VCJKZDSXKXWGGX-UHFFFAOYSA-N -1 1 329.364 -0.754 20 0 EBADMM CC(C)CN(Cc1nn[n-]n1)C(=O)CN1CCCCS1(=O)=O ZINC001364651877 1132384582 /nfs/dbraw/zinc/38/45/82/1132384582.db2.gz OVBYHPULIJVRNO-UHFFFAOYSA-N -1 1 330.414 -0.390 20 0 EBADMM CC(C)N(C[C@@H](O)CO)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001364731106 1132407119 /nfs/dbraw/zinc/40/71/19/1132407119.db2.gz SUEHFUKADMEHJP-SNVBAGLBSA-N -1 1 340.380 -0.472 20 0 EBADMM CN(C)[S@@](C)(=O)=NS(=O)(=O)[N-][C@@H](C(N)=O)c1ccccc1 ZINC001364772395 1132421766 /nfs/dbraw/zinc/42/17/66/1132421766.db2.gz BRZQBGZIDDZFHZ-SBKAZYGRSA-N -1 1 334.423 -0.378 20 0 EBADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)[C@H](O)C(C)(C)O ZINC001364771868 1132421773 /nfs/dbraw/zinc/42/17/73/1132421773.db2.gz XMGUJJNJOJZJRP-KWQFWETISA-N -1 1 326.353 -0.223 20 0 EBADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)[C@H](O)C(C)(C)O ZINC001364771868 1132421774 /nfs/dbraw/zinc/42/17/74/1132421774.db2.gz XMGUJJNJOJZJRP-KWQFWETISA-N -1 1 326.353 -0.223 20 0 EBADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)[C@H](O)C(C)(C)O ZINC001364771868 1132421775 /nfs/dbraw/zinc/42/17/75/1132421775.db2.gz XMGUJJNJOJZJRP-KWQFWETISA-N -1 1 326.353 -0.223 20 0 EBADMM COC[C@H](NC(=O)c1ccc(F)c(S(C)(=O)=O)c1)c1nn[n-]n1 ZINC001364816449 1132437363 /nfs/dbraw/zinc/43/73/63/1132437363.db2.gz VTPIQEGWIFDHOO-VIFPVBQESA-N -1 1 343.340 -0.140 20 0 EBADMM CC(C)[C@H](O)C1(C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)CC1 ZINC001364830603 1132445628 /nfs/dbraw/zinc/44/56/28/1132445628.db2.gz OFBMNDYBABEECZ-JTQLQIEISA-N -1 1 331.394 -0.439 20 0 EBADMM COC(=O)[C@H](CO)[N-]S(=O)(=O)CCc1noc2ccccc21 ZINC001364829683 1132445922 /nfs/dbraw/zinc/44/59/22/1132445922.db2.gz ITUOXLCKENNUMF-NSHDSACASA-N -1 1 328.346 -0.176 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCOC[C@@H]2C[C@H](C)O)[n-]n1 ZINC001364838847 1132449015 /nfs/dbraw/zinc/44/90/15/1132449015.db2.gz RWTFBGYXVXHOOO-IUCAKERBSA-N -1 1 333.366 -0.643 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCOC[C@@H]2C[C@H](C)O)n[n-]1 ZINC001364838847 1132449019 /nfs/dbraw/zinc/44/90/19/1132449019.db2.gz RWTFBGYXVXHOOO-IUCAKERBSA-N -1 1 333.366 -0.643 20 0 EBADMM Cc1cc(C[C@@H](C)[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)[nH]n1 ZINC001364849797 1132452712 /nfs/dbraw/zinc/45/27/12/1132452712.db2.gz GILAXVJTCOCXEF-SECBINFHSA-N -1 1 341.393 -0.975 20 0 EBADMM CC[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)[C@@H](O)C(F)F ZINC001364851262 1132453908 /nfs/dbraw/zinc/45/39/08/1132453908.db2.gz KXERGHCOPDJYOL-IYSWYEEDSA-N -1 1 327.309 -0.831 20 0 EBADMM CS(=O)(=O)[N-]c1ccc(S(=O)(=O)N[C@H]2C[C@@H](O)C2)c(F)c1 ZINC001364885740 1132469978 /nfs/dbraw/zinc/46/99/78/1132469978.db2.gz VIJMTPWEWBIMOI-DTORHVGOSA-N -1 1 338.382 -0.001 20 0 EBADMM Cn1ccnc1C([N-]S(=O)(=O)N=S(C)(C)=O)c1nccn1C ZINC001364889395 1132471090 /nfs/dbraw/zinc/47/10/90/1132471090.db2.gz XJCOJBPTEPZQOE-UHFFFAOYSA-N -1 1 346.438 -0.195 20 0 EBADMM CCOC(=O)c1cc(C[N-]S(=O)(=O)C(COC)COC)on1 ZINC001364904595 1132477564 /nfs/dbraw/zinc/47/75/64/1132477564.db2.gz JCZPISDWPWQNKJ-UHFFFAOYSA-N -1 1 336.366 -0.068 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2nc(N(C)C)n[nH]2)o1 ZINC001364953099 1132500077 /nfs/dbraw/zinc/50/00/77/1132500077.db2.gz LPHNQNUFZNUAII-UHFFFAOYSA-N -1 1 329.338 -0.271 20 0 EBADMM COC(=O)[C@@H](C[C@H]1CCCO1)[N-]S(=O)(=O)c1c(C)onc1N ZINC001364961038 1132504148 /nfs/dbraw/zinc/50/41/48/1132504148.db2.gz RGDVGHKYNXBPCK-RKDXNWHRSA-N -1 1 333.366 -0.046 20 0 EBADMM COC(=O)[C@H](CC1OCCO1)[N-]S(=O)(=O)CC1CCOCC1 ZINC001364995624 1132514859 /nfs/dbraw/zinc/51/48/59/1132514859.db2.gz WWRRNCWUFQMULT-NSHDSACASA-N -1 1 337.394 -0.363 20 0 EBADMM C[C@@H](COCC(F)(F)F)[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001365033562 1132524908 /nfs/dbraw/zinc/52/49/08/1132524908.db2.gz RUYJGNMVQFVGLN-LURJTMIESA-N -1 1 345.299 -0.268 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@]2(C(=O)OC)CCOC2)co1 ZINC001365180717 1132572733 /nfs/dbraw/zinc/57/27/33/1132572733.db2.gz ZAQPDGDQWAINJX-CYBMUJFWSA-N -1 1 346.361 -0.503 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)[C@@H]2CCCN2S(C)(=O)=O)n[n-]1 ZINC001365194475 1132576415 /nfs/dbraw/zinc/57/64/15/1132576415.db2.gz LJLYWRGASZFHPM-QMMMGPOBSA-N -1 1 345.381 -0.978 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@@H]2CCCN2S(C)(=O)=O)n1 ZINC001365194475 1132576421 /nfs/dbraw/zinc/57/64/21/1132576421.db2.gz LJLYWRGASZFHPM-QMMMGPOBSA-N -1 1 345.381 -0.978 20 0 EBADMM COC(=O)c1c(NC(=O)CN2CCCCC2=O)n[n-]c1OCCO ZINC001365201151 1132577246 /nfs/dbraw/zinc/57/72/46/1132577246.db2.gz CLPJRPQWCJFEOY-UHFFFAOYSA-N -1 1 340.336 -0.482 20 0 EBADMM COC(=O)c1c(OCCO)n[n-]c1NC(=O)CN1CCCCC1=O ZINC001365201151 1132577255 /nfs/dbraw/zinc/57/72/55/1132577255.db2.gz CLPJRPQWCJFEOY-UHFFFAOYSA-N -1 1 340.336 -0.482 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)[N-]C[C@](C)(O)C(F)(F)F)n[nH]1 ZINC001365371592 1132637779 /nfs/dbraw/zinc/63/77/79/1132637779.db2.gz DDFXCWIKEZVQAJ-QMMMGPOBSA-N -1 1 331.272 -0.212 20 0 EBADMM COc1nscc1S(=O)(=O)[N-]C1CC(NS(C)(=O)=O)C1 ZINC001365385865 1132645661 /nfs/dbraw/zinc/64/56/61/1132645661.db2.gz QIPBUSBEZXXEOL-UHFFFAOYSA-N -1 1 341.436 -0.490 20 0 EBADMM C[C@@H]1CCNC(=O)[C@H]1[N-]S(=O)(=O)c1nc[nH]c1Br ZINC001365385301 1132645777 /nfs/dbraw/zinc/64/57/77/1132645777.db2.gz MKXAFWMOSSHDHO-RITPCOANSA-N -1 1 337.199 -0.025 20 0 EBADMM O=C(NCC[C@@]1(O)CCOC1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001365413759 1132658328 /nfs/dbraw/zinc/65/83/28/1132658328.db2.gz RBMZFJPEIPTJPT-OAHLLOKOSA-N -1 1 338.364 -0.404 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](C)C2N=NC(=O)N2C)c1 ZINC001365427958 1132667720 /nfs/dbraw/zinc/66/77/20/1132667720.db2.gz ALADMMIUMXUBTE-LURJTMIESA-N -1 1 330.322 -0.060 20 0 EBADMM CN(C)C(=O)[C@@H]1CCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC001365431180 1132669275 /nfs/dbraw/zinc/66/92/75/1132669275.db2.gz VAPQFHSBUJRFST-SNVBAGLBSA-N -1 1 349.391 -0.125 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2C[C@@H](C)n3ncnc32)[n-]n1 ZINC001365434515 1132672281 /nfs/dbraw/zinc/67/22/81/1132672281.db2.gz GOVRDZBMWPCTPN-RQJHMYQMSA-N -1 1 326.338 -0.228 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2C[C@@H](C)n3ncnc32)n[n-]1 ZINC001365434515 1132672286 /nfs/dbraw/zinc/67/22/86/1132672286.db2.gz GOVRDZBMWPCTPN-RQJHMYQMSA-N -1 1 326.338 -0.228 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H](C2CCC2)[C@H]2CCOC2)c(=O)[nH]c1=O ZINC001365454678 1132686263 /nfs/dbraw/zinc/68/62/63/1132686263.db2.gz TVAHDRZCHZJSCW-JQWIXIFHSA-N -1 1 343.405 -0.031 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C(C)(C)c2ncc[nH]2)c(=O)n(C)c1=O ZINC001365457424 1132689520 /nfs/dbraw/zinc/68/95/20/1132689520.db2.gz JQTNKKZIVLBHLJ-UHFFFAOYSA-N -1 1 327.366 -0.979 20 0 EBADMM CS(=O)(=O)C[C@@H](O)C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC001365468067 1132697740 /nfs/dbraw/zinc/69/77/40/1132697740.db2.gz ODDPYPDQXZFGBS-QMMMGPOBSA-N -1 1 329.346 -0.351 20 0 EBADMM COc1cc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)sn1 ZINC001365627777 1132738956 /nfs/dbraw/zinc/73/89/56/1132738956.db2.gz KHDQKOGMZPBGOB-UHFFFAOYSA-N -1 1 338.393 -0.469 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H](C)C(=O)NC(C)(C)C)[n-]n1 ZINC001365635870 1132741345 /nfs/dbraw/zinc/74/13/45/1132741345.db2.gz DGGLBMCMXHLFHK-ZETCQYMHSA-N -1 1 332.382 -0.222 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H](C)C(=O)NC(C)(C)C)n[n-]1 ZINC001365635870 1132741352 /nfs/dbraw/zinc/74/13/52/1132741352.db2.gz DGGLBMCMXHLFHK-ZETCQYMHSA-N -1 1 332.382 -0.222 20 0 EBADMM CCOc1cc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)[nH]n1 ZINC001365696871 1132765409 /nfs/dbraw/zinc/76/54/09/1132765409.db2.gz NNJDCSLCVQIGMM-UHFFFAOYSA-N -1 1 335.368 -0.812 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(CCO)C2CCOCC2)[n-]n1 ZINC001365701538 1132767966 /nfs/dbraw/zinc/76/79/66/1132767966.db2.gz SMKDJJYWKIKXNC-UHFFFAOYSA-N -1 1 333.366 -0.642 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(CCO)C2CCOCC2)n[n-]1 ZINC001365701538 1132767970 /nfs/dbraw/zinc/76/79/70/1132767970.db2.gz SMKDJJYWKIKXNC-UHFFFAOYSA-N -1 1 333.366 -0.642 20 0 EBADMM CC[C@](COC)([N-]S(=O)(=O)N(C)C1CCOCC1)C(=O)OC ZINC001365711746 1132772243 /nfs/dbraw/zinc/77/22/43/1132772243.db2.gz AZHBKCFBTOTPTH-CYBMUJFWSA-N -1 1 338.426 -0.100 20 0 EBADMM COC(=O)[C@@](C)(CO)[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC001365713513 1132772703 /nfs/dbraw/zinc/77/27/03/1132772703.db2.gz MXHSXNLCPRBGQT-SECBINFHSA-N -1 1 345.299 -0.359 20 0 EBADMM CC(C)[C@H](F)C(=O)NCCN1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001365959076 1132918561 /nfs/dbraw/zinc/91/85/61/1132918561.db2.gz JPXHZVCWCLDTEC-ZDUSSCGKSA-N -1 1 342.419 -0.664 20 0 EBADMM O=C(CN1CC[C@@H](NC(=O)c2ncccc2[O-])C1)NC[C@H]1CCOC1 ZINC001365974860 1132935834 /nfs/dbraw/zinc/93/58/34/1132935834.db2.gz FCJDLLHCHNQWCD-CHWSQXEVSA-N -1 1 348.403 -0.256 20 0 EBADMM Cn1ccc(CN[C@H]2C[C@H](NC(=O)CCc3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001366117233 1133013232 /nfs/dbraw/zinc/01/32/32/1133013232.db2.gz FXSKFPKXMNZEDT-XYPYZODXSA-N -1 1 347.379 -0.614 20 0 EBADMM C[C@H](CCNC(=O)[C@@]1(C)CCCS1(=O)=O)NCc1n[nH]c(=O)[n-]1 ZINC001366493260 1133158130 /nfs/dbraw/zinc/15/81/30/1133158130.db2.gz VGAJZFLICDUSIN-NOZJJQNGSA-N -1 1 345.425 -0.538 20 0 EBADMM CN(CCNC(=O)[C@H]1Cc2cccnc2C1)Cc1nc(=O)n(C)[n-]1 ZINC001366589011 1133200213 /nfs/dbraw/zinc/20/02/13/1133200213.db2.gz ZLAOHSJNIKPJCD-LBPRGKRZSA-N -1 1 330.392 -0.534 20 0 EBADMM COCc1ncc(C(=O)NCCN(C)Cc2nc(=O)n(C)[n-]2)s1 ZINC001366601256 1133207154 /nfs/dbraw/zinc/20/71/54/1133207154.db2.gz SWMLGMGFEHGGJW-UHFFFAOYSA-N -1 1 340.409 -0.427 20 0 EBADMM CC[C@H](NC(C)=O)C(=O)NC[C@@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001366663235 1133235347 /nfs/dbraw/zinc/23/53/47/1133235347.db2.gz FLJOKNSCGRPDBB-RYUDHWBXSA-N -1 1 338.412 -0.896 20 0 EBADMM CCCN(C(=O)[C@H]1[C@@H]2COC[C@@H]21)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001366804296 1133301620 /nfs/dbraw/zinc/30/16/20/1133301620.db2.gz HNAHPUJOPRIZDT-CXTNEJHOSA-N -1 1 349.435 -0.186 20 0 EBADMM COc1cc(C(=O)NCC[C@@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)ncn1 ZINC001366828731 1133307770 /nfs/dbraw/zinc/30/77/70/1133307770.db2.gz CUDJGEKGIUPWGN-SNVBAGLBSA-N -1 1 347.379 -0.049 20 0 EBADMM C[C@@H](O)CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cn1c(=O)[n-][nH]c1=O ZINC001367000927 1133414846 /nfs/dbraw/zinc/41/48/46/1133414846.db2.gz UVGAWNHFDZZNLY-VWYCJHECSA-N -1 1 325.369 -0.865 20 0 EBADMM CC[C@@H](CNC(=O)c1n[nH]c(=O)[n-]c1=O)NCc1cc2n(n1)CCC2 ZINC001367098768 1133474396 /nfs/dbraw/zinc/47/43/96/1133474396.db2.gz DLYBOBMUIFFOBJ-VIFPVBQESA-N -1 1 347.379 -0.276 20 0 EBADMM CC(=O)N1CCC(C(=O)N(C)[C@@H](C)CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001367398296 1133577656 /nfs/dbraw/zinc/57/76/56/1133577656.db2.gz BRKKHLIEKOBZLC-JTQLQIEISA-N -1 1 338.412 -0.295 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)Cc1nc(C2CC2)nn1C ZINC001367400562 1133578459 /nfs/dbraw/zinc/57/84/59/1133578459.db2.gz MEZCYPWFLQQIFZ-SECBINFHSA-N -1 1 348.411 -0.305 20 0 EBADMM Cc1cc(=O)c(C(=O)N(C)CCN(C)Cc2nc(=O)n(C)[n-]2)cn1C ZINC001367523133 1133614350 /nfs/dbraw/zinc/61/43/50/1133614350.db2.gz PGTSUCZJNHACJN-UHFFFAOYSA-N -1 1 348.407 -0.680 20 0 EBADMM CN(CC(=O)NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1)c1ccccc1 ZINC001367631354 1133721246 /nfs/dbraw/zinc/72/12/46/1133721246.db2.gz XEQHOWGOQZQEJM-LBPRGKRZSA-N -1 1 334.380 -0.787 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CNC(=O)c2ccccc21 ZINC001367677705 1133742585 /nfs/dbraw/zinc/74/25/85/1133742585.db2.gz YDEMKNUUFKUVMS-SKDRFNHKSA-N -1 1 344.375 -0.368 20 0 EBADMM C[C@H](CN(C)[C@@H](C)C(N)=O)NC(=O)C(C)(C)[N-]C(=O)C(F)(F)F ZINC001367860512 1133818426 /nfs/dbraw/zinc/81/84/26/1133818426.db2.gz JLELYXAHFFFFOO-SFYZADRCSA-N -1 1 340.346 -0.246 20 0 EBADMM CN1CC[C@@H](CC(=O)N[C@@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)C1=O ZINC001367908929 1133835148 /nfs/dbraw/zinc/83/51/48/1133835148.db2.gz QAISNCPHCAOWNO-QWRGUYRKSA-N -1 1 336.396 -0.637 20 0 EBADMM C[C@@H](C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)c1cnn(C)c1 ZINC001367976736 1133875363 /nfs/dbraw/zinc/87/53/63/1133875363.db2.gz LYLHYXZHZSIYSO-PWSUYJOCSA-N -1 1 333.396 -0.664 20 0 EBADMM COC[C@@H](C)C(=O)NC1(C)CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001368122702 1133948154 /nfs/dbraw/zinc/94/81/54/1133948154.db2.gz OSLTWUWVBVZVLE-LLVKDONJSA-N -1 1 325.413 -0.138 20 0 EBADMM C[C@@H](C(=O)N[C@@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1)n1cncn1 ZINC001368145065 1133957463 /nfs/dbraw/zinc/95/74/63/1133957463.db2.gz BNQWVUNAJWOKME-HZMBPMFUSA-N -1 1 334.384 -0.958 20 0 EBADMM C[C@@H](c1nnnn1C)N1CC[C@](O)(CNC(=O)c2ncccc2[O-])C1 ZINC001368268312 1134069629 /nfs/dbraw/zinc/06/96/29/1134069629.db2.gz UJDWXMWQDHZOPR-BONVTDFDSA-N -1 1 347.379 -0.762 20 0 EBADMM C[C@@H](NC(=O)CN1CC(O)(CNC(=O)c2ncccc2[O-])C1)C1CC1 ZINC001368290656 1134108289 /nfs/dbraw/zinc/10/82/89/1134108289.db2.gz ROTSQPOALMFGQM-LLVKDONJSA-N -1 1 348.403 -0.522 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)CCn2cccn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001368690126 1134391035 /nfs/dbraw/zinc/39/10/35/1134391035.db2.gz WWIDBFQMEFEFIG-QWHCGFSZSA-N -1 1 347.423 -0.136 20 0 EBADMM C[C@H](CNC(=O)c1cn2c(n1)CCCC2)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001368947850 1134492923 /nfs/dbraw/zinc/49/29/23/1134492923.db2.gz CHBYDZLCNCBVMV-LLVKDONJSA-N -1 1 347.423 -0.109 20 0 EBADMM Cn1[n-]c(CN2CC(CCO)(NC(=O)[C@H]3C[C@@H]3C(F)F)C2)nc1=O ZINC001369020442 1134526452 /nfs/dbraw/zinc/52/64/52/1134526452.db2.gz ZOVPOJSNMONAOC-IUCAKERBSA-N -1 1 345.350 -0.937 20 0 EBADMM CN(C(=O)[C@@H]1CCC(=O)N1C)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001369215769 1134619593 /nfs/dbraw/zinc/61/95/93/1134619593.db2.gz COCWGCZIJLQMLW-QWRGUYRKSA-N -1 1 336.396 -0.542 20 0 EBADMM COc1ccc(C(=O)N(C)[C@@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)nn1 ZINC001369219440 1134622154 /nfs/dbraw/zinc/62/21/54/1134622154.db2.gz FUVKUDHNMJJIKD-NSHDSACASA-N -1 1 347.379 -0.051 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1CCc2nccn2C1)NCc1n[nH]c(=O)[n-]1 ZINC001369265713 1134634970 /nfs/dbraw/zinc/63/49/70/1134634970.db2.gz WTSKLINVJQOMLC-WDEREUQCSA-N -1 1 333.396 -0.094 20 0 EBADMM Cc1n[nH]c(=O)c(C(=O)N(C)C[C@@H](C)NCc2n[nH]c(=O)[n-]2)c1C ZINC001369266706 1134635336 /nfs/dbraw/zinc/63/53/36/1134635336.db2.gz AONSUWSNUNMGNZ-SSDOTTSWSA-N -1 1 335.368 -0.127 20 0 EBADMM Cn1[n-]c(CN[C@H](CO)CNC(=O)[C@H]2CCCC2(C)C)nc1=O ZINC001369354909 1134705284 /nfs/dbraw/zinc/70/52/84/1134705284.db2.gz WRCOWQHZBMFMGA-WDEREUQCSA-N -1 1 325.413 -0.499 20 0 EBADMM Cn1[n-]c(CN[C@@H](CO)CNC(=O)[C@H]2CCCC2(C)C)nc1=O ZINC001369354906 1134705841 /nfs/dbraw/zinc/70/58/41/1134705841.db2.gz WRCOWQHZBMFMGA-GHMZBOCLSA-N -1 1 325.413 -0.499 20 0 EBADMM CCCS(=O)(=O)CC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001369397284 1134757602 /nfs/dbraw/zinc/75/76/02/1134757602.db2.gz CEAFKDJBYDYAPX-VHSXEESVSA-N -1 1 345.425 -0.586 20 0 EBADMM CCCS(=O)(=O)CC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC001369397278 1134757894 /nfs/dbraw/zinc/75/78/94/1134757894.db2.gz CEAFKDJBYDYAPX-NXEZZACHSA-N -1 1 345.425 -0.586 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCCC(=O)N(C)C ZINC001369407941 1134764753 /nfs/dbraw/zinc/76/47/53/1134764753.db2.gz UJNBULDHCXWCSG-GHMZBOCLSA-N -1 1 338.412 -0.152 20 0 EBADMM CN(C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)CCc1cccnc1 ZINC001369470242 1134821571 /nfs/dbraw/zinc/82/15/71/1134821571.db2.gz DSDWVQSFRHTKEC-GFCCVEGCSA-N -1 1 334.380 -0.553 20 0 EBADMM C[C@@H](CC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)n1ccnc1 ZINC001370014531 1135174736 /nfs/dbraw/zinc/17/47/36/1135174736.db2.gz FKLLAVJRYKCVKA-NWDGAFQWSA-N -1 1 333.396 -0.353 20 0 EBADMM CCOCC(=O)N[C@@H](C)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001370087086 1135195051 /nfs/dbraw/zinc/19/50/51/1135195051.db2.gz FIZJVHIWABDVMU-VIFPVBQESA-N -1 1 348.363 -0.969 20 0 EBADMM CCC(=O)NCC(=O)N1CCC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001370292988 1135286977 /nfs/dbraw/zinc/28/69/77/1135286977.db2.gz NLNGHQDFDJTHKI-LLVKDONJSA-N -1 1 338.412 -0.293 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H](CNC(=O)[C@@H]1CNC(=O)N1)C1CC1 ZINC001370396440 1135333279 /nfs/dbraw/zinc/33/32/79/1135333279.db2.gz JVSYGDGJPDXAPK-WDEREUQCSA-N -1 1 347.375 -0.565 20 0 EBADMM CC[C@H](C)[C@H](NC(C)=O)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001370433403 1135348677 /nfs/dbraw/zinc/34/86/77/1135348677.db2.gz ZJILOXBAVHBVNG-GAFUQQFSSA-N -1 1 338.412 -0.248 20 0 EBADMM C[C@H](CN(C)C(=O)Cc1c[nH]cn1)NC(=O)c1cnc([O-])n(C)c1=O ZINC001370635457 1135439011 /nfs/dbraw/zinc/43/90/11/1135439011.db2.gz XXFIUGQMOQQVLK-SECBINFHSA-N -1 1 348.363 -0.972 20 0 EBADMM Cc1cncc(CC(=O)N[C@@H](CO)CNC(=O)c2ncccc2[O-])c1 ZINC001370685038 1135495254 /nfs/dbraw/zinc/49/52/54/1135495254.db2.gz YVFUCSHDXKNKPC-CYBMUJFWSA-N -1 1 344.371 -0.060 20 0 EBADMM O=C(N[C@@H](CO)CNC(=O)c1cnc(C2CC2)[n-]c1=O)c1cc[nH]c1 ZINC001370711174 1135532938 /nfs/dbraw/zinc/53/29/38/1135532938.db2.gz ONURZSVLNKIFIT-LLVKDONJSA-N -1 1 345.359 -0.092 20 0 EBADMM O=C(Cc1ncccc1F)NC[C@H](CO)NC(=O)c1ncccc1[O-] ZINC001370783258 1135628635 /nfs/dbraw/zinc/62/86/35/1135628635.db2.gz ZXONCXNMIBSRHI-SNVBAGLBSA-N -1 1 348.334 -0.229 20 0 EBADMM Cc1ncoc1C(=O)N(C)C[C@@H](O)CNC(=O)c1ncccc1[O-] ZINC001370976253 1135725422 /nfs/dbraw/zinc/72/54/22/1135725422.db2.gz GSKSTLZMFVNOFF-JTQLQIEISA-N -1 1 334.332 -0.053 20 0 EBADMM CN(C[C@H](O)CNC(=O)Cc1cnn(C)c1)C(=O)c1ncccc1[O-] ZINC001370990331 1135742118 /nfs/dbraw/zinc/74/21/18/1135742118.db2.gz PYFKYSSVBSHWJA-GFCCVEGCSA-N -1 1 347.375 -0.688 20 0 EBADMM CCS(=O)(=O)CC(=O)NC1CCC(NCc2n[nH]c(=O)[n-]2)CC1 ZINC001371077054 1135833340 /nfs/dbraw/zinc/83/33/40/1135833340.db2.gz ZBJPZWILTPTOPH-UHFFFAOYSA-N -1 1 345.425 -0.538 20 0 EBADMM C[C@H](CNC(=O)[C@H](C)NC(=O)C1CCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001371201875 1135864022 /nfs/dbraw/zinc/86/40/22/1135864022.db2.gz XCHOCVXQQSKYBA-ZJUUUORDSA-N -1 1 338.412 -0.201 20 0 EBADMM CC(=O)CCCC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001371450030 1135914272 /nfs/dbraw/zinc/91/42/72/1135914272.db2.gz LSPXICKGTDGRFJ-DGCLKSJQSA-N -1 1 335.360 -0.152 20 0 EBADMM CO[C@@H](C)C(=O)N[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC001371493678 1135935478 /nfs/dbraw/zinc/93/54/78/1135935478.db2.gz NRIKENBQFKSFJF-WCABBAIRSA-N -1 1 348.363 -0.971 20 0 EBADMM CN(CCNC(=O)c1ccc2c(c1)CCN2C)Cc1nc(=O)n(C)[n-]1 ZINC001372187272 1136131422 /nfs/dbraw/zinc/13/14/22/1136131422.db2.gz ZETCQIWETAWSAQ-UHFFFAOYSA-N -1 1 344.419 -0.038 20 0 EBADMM COC(=O)[C@@H]1CCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC001372188290 1136131466 /nfs/dbraw/zinc/13/14/66/1136131466.db2.gz ULWDDMWDRUHYOH-SECBINFHSA-N -1 1 336.348 -0.041 20 0 EBADMM O=C(CCN1CCOCC1)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001372285627 1136164584 /nfs/dbraw/zinc/16/45/84/1136164584.db2.gz VEBAQZZNGQSAHQ-ZDUSSCGKSA-N -1 1 348.403 -0.160 20 0 EBADMM CCN(CCNC(=O)[C@H]1CCCOCC1)Cc1nc(=O)n(C)[n-]1 ZINC001372317448 1136172508 /nfs/dbraw/zinc/17/25/08/1136172508.db2.gz ACCVMNWWXRGBTA-LBPRGKRZSA-N -1 1 325.413 -0.137 20 0 EBADMM CN1C[C@@H](C(=O)NC[C@@H](NC(=O)c2ncccc2[O-])C2CC2)NC1=O ZINC001372411668 1136195508 /nfs/dbraw/zinc/19/55/08/1136195508.db2.gz KXMJCLXQJGZFQG-MNOVXSKESA-N -1 1 347.375 -0.565 20 0 EBADMM CCN(CCNC(=O)c1c[n-]n2c1nccc2=O)[C@H]1CCCNC1=O ZINC001372432391 1136200139 /nfs/dbraw/zinc/20/01/39/1136200139.db2.gz ZOGNRNMGNJROTI-LBPRGKRZSA-N -1 1 346.391 -0.647 20 0 EBADMM COC[C@H](C)C(=O)N[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001372546648 1136227882 /nfs/dbraw/zinc/22/78/82/1136227882.db2.gz JFTOTNVIPYBHLT-GUBZILKMSA-N -1 1 348.363 -0.923 20 0 EBADMM O=C(CO[C@@H]1CCOC1)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001372607376 1136244785 /nfs/dbraw/zinc/24/47/85/1136244785.db2.gz CTTGOOAMZUUONQ-GRYCIOLGSA-N -1 1 335.360 -0.030 20 0 EBADMM Cc1ccc(=O)n(CC(=O)N[C@H](C)CNC(=O)c2ncccc2[O-])n1 ZINC001372835671 1136300834 /nfs/dbraw/zinc/30/08/34/1136300834.db2.gz OZMIVTJOIYJQFH-LLVKDONJSA-N -1 1 345.359 -0.413 20 0 EBADMM C[C@H]1COCC[C@H]1C(=O)NCCN(Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001372856190 1136306106 /nfs/dbraw/zinc/30/61/06/1136306106.db2.gz BWCCKGYIKOUNTC-WCQYABFASA-N -1 1 337.424 -0.138 20 0 EBADMM NC(=O)NC1(C(=O)NC[C@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001373143866 1136380374 /nfs/dbraw/zinc/38/03/74/1136380374.db2.gz GRMLYVHALGIHCI-SNVBAGLBSA-N -1 1 347.375 -0.434 20 0 EBADMM COC(=O)NCC(=O)NCC[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001373152738 1136383264 /nfs/dbraw/zinc/38/32/64/1136383264.db2.gz MHSHYWUMZCFDTJ-SECBINFHSA-N -1 1 326.357 -0.806 20 0 EBADMM COC(=O)NCC(=O)NCC[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001373152743 1136383490 /nfs/dbraw/zinc/38/34/90/1136383490.db2.gz MHSHYWUMZCFDTJ-VIFPVBQESA-N -1 1 326.357 -0.806 20 0 EBADMM CCN(C(=O)C[C@H]1CCOC1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001373705972 1136543187 /nfs/dbraw/zinc/54/31/87/1136543187.db2.gz YAHAZHOFORDOBW-CHWSQXEVSA-N -1 1 337.424 -0.042 20 0 EBADMM CCN(C(=O)Cc1[nH]cnc1C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001373715762 1136546880 /nfs/dbraw/zinc/54/68/80/1136546880.db2.gz KUAJTBYFFJBGOT-GFCCVEGCSA-N -1 1 347.423 -0.195 20 0 EBADMM CC[C@@H](CNC(=O)c1cnn2c1OCCC2)NCc1n[nH]c(=O)[n-]1 ZINC001373770766 1136556664 /nfs/dbraw/zinc/55/66/64/1136556664.db2.gz ZMMXLFBCMOZXCP-VIFPVBQESA-N -1 1 335.368 -0.213 20 0 EBADMM CCN(CCNC(=O)[C@H]1CN(C)CCO1)C(=O)c1ncccc1[O-] ZINC001373782168 1136559154 /nfs/dbraw/zinc/55/91/54/1136559154.db2.gz RQIJGBUEPAFFJU-CYBMUJFWSA-N -1 1 336.392 -0.304 20 0 EBADMM CCN(CCNC(=O)C1(NC(N)=O)CC1)C(=O)c1ncccc1[O-] ZINC001373794122 1136561622 /nfs/dbraw/zinc/56/16/22/1136561622.db2.gz KAYQDTUUCGOTMR-UHFFFAOYSA-N -1 1 335.364 -0.434 20 0 EBADMM CC[C@H](CNC(=O)CNC(=O)c1ccccc1)NCc1n[nH]c(=O)[n-]1 ZINC001373819544 1136566759 /nfs/dbraw/zinc/56/67/59/1136566759.db2.gz WFPKTMJJSQXBAW-GFCCVEGCSA-N -1 1 346.391 -0.075 20 0 EBADMM CCN(CCNC(=O)Cc1cnc[nH]1)C(=O)c1cnc([O-])n(C)c1=O ZINC001373963224 1136594182 /nfs/dbraw/zinc/59/41/82/1136594182.db2.gz BJRFBXLZISPZBC-UHFFFAOYSA-N -1 1 348.363 -0.970 20 0 EBADMM CS(=O)(=O)CC(=O)NC[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001373989511 1136600081 /nfs/dbraw/zinc/60/00/81/1136600081.db2.gz NAXKCIHCLNXPKY-JTQLQIEISA-N -1 1 341.389 -0.447 20 0 EBADMM CNC(=O)NCC(=O)NCCN(C(=O)c1ncccc1[O-])C1CC1 ZINC001374253872 1136659051 /nfs/dbraw/zinc/65/90/51/1136659051.db2.gz MJAKCOFIQRCPOJ-UHFFFAOYSA-N -1 1 335.364 -0.563 20 0 EBADMM O=C(c1ncccc1[O-])N1CCN(Cc2nnc3n2CCOC3)CC1 ZINC001374279828 1136664740 /nfs/dbraw/zinc/66/47/40/1136664740.db2.gz DMQXMBFCVBMDIS-UHFFFAOYSA-N -1 1 344.375 -0.133 20 0 EBADMM CC(C)C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C[C@@H]1CCC(=O)N1 ZINC001374359351 1136678330 /nfs/dbraw/zinc/67/83/30/1136678330.db2.gz PDTIAUXJEWKCHV-QWRGUYRKSA-N -1 1 338.412 -0.201 20 0 EBADMM Cc1cc(C)cc(CC(=O)NC[C@@H](O)CNCc2n[nH]c(=O)[n-]2)c1 ZINC001374644762 1136771062 /nfs/dbraw/zinc/77/10/62/1136771062.db2.gz YIGFHFLAAWFSMT-ZDUSSCGKSA-N -1 1 333.392 -0.063 20 0 EBADMM Cc1cccc(O[C@H](C)C(=O)NC[C@@H](O)CNCc2n[nH]c(=O)[n-]2)c1 ZINC001374676945 1136794809 /nfs/dbraw/zinc/79/48/09/1136794809.db2.gz CFGHYAJFQZSGJB-NEPJUHHUSA-N -1 1 349.391 -0.147 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@H]2CCCC23CC3)c(=O)n(C)c1=O ZINC000625670250 545697237 /nfs/dbraw/zinc/69/72/37/545697237.db2.gz JWJTVCNCPHZFKT-SNVBAGLBSA-N -1 1 327.406 -0.057 20 0 EBADMM COC(=O)CC[C@H]([N-]S(=O)(=O)C[C@@H]1CCCCO1)C(=O)OC ZINC000345632322 291051537 /nfs/dbraw/zinc/05/15/37/291051537.db2.gz ARIVADMQIOAORE-QWRGUYRKSA-N -1 1 337.394 -0.030 20 0 EBADMM COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)c1ccc([O-])cc1F ZINC000275274631 291501328 /nfs/dbraw/zinc/50/13/28/291501328.db2.gz LYPQGJNRWWLOIQ-NSHDSACASA-N -1 1 331.321 -0.057 20 0 EBADMM Cc1cc(S(=O)(=O)[N-]CCN2CCS(=O)(=O)CC2)sn1 ZINC000634658757 376016998 /nfs/dbraw/zinc/01/69/98/376016998.db2.gz WUGDCNCHSLZMNP-UHFFFAOYSA-N -1 1 339.464 -0.540 20 0 EBADMM CN(C)CCN(CC(=O)[O-])S(=O)(=O)c1cc(Cl)cnc1N ZINC000536293356 376103433 /nfs/dbraw/zinc/10/34/33/376103433.db2.gz HMIPQOWMMUPLMR-UHFFFAOYSA-N -1 1 336.801 -0.046 20 0 EBADMM NC(=O)[C@@]1([N-]S(=O)(=O)Cc2ccccc2[N+](=O)[O-])CCOC1 ZINC000568241485 376149915 /nfs/dbraw/zinc/14/99/15/376149915.db2.gz OKCWLSHIJONTGQ-GFCCVEGCSA-N -1 1 329.334 -0.341 20 0 EBADMM CNC(=O)c1ccc(CS(=O)(=O)[N-][C@H]2COCCC2=O)cc1 ZINC000625491647 376240517 /nfs/dbraw/zinc/24/05/17/376240517.db2.gz GQPVGRKQGVWRTH-LBPRGKRZSA-N -1 1 326.374 -0.176 20 0 EBADMM O=C(c1ccccc1)N1CC(S(=O)(=O)[N-][C@@H]2COCCC2=O)C1 ZINC000625493809 376243022 /nfs/dbraw/zinc/24/30/22/376243022.db2.gz XUQYIFCGKDLTRM-CYBMUJFWSA-N -1 1 338.385 -0.212 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CCOC[C@H]2O)c(C(F)(F)F)n1 ZINC000625590830 376317885 /nfs/dbraw/zinc/31/78/85/376317885.db2.gz JHFZZGJXEOBEIU-RNFRBKRXSA-N -1 1 329.300 -0.133 20 0 EBADMM O=C(CN1C(=O)Cn2c(cc3ccccc32)C1=O)NCc1nn[n-]n1 ZINC000600501459 376423581 /nfs/dbraw/zinc/42/35/81/376423581.db2.gz MHTPZBDTZLZPMM-UHFFFAOYSA-N -1 1 339.315 -0.547 20 0 EBADMM COc1cc(F)c(S(=O)(=O)[N-]CCNS(C)(=O)=O)c(F)c1 ZINC000588677675 376387102 /nfs/dbraw/zinc/38/71/02/376387102.db2.gz WWYWNRZXXFSTSP-UHFFFAOYSA-N -1 1 344.361 -0.199 20 0 EBADMM COc1cccc(S([O-])=CC(=O)NCCN2CC[C@@H](O)C2)c1 ZINC000600532902 376432292 /nfs/dbraw/zinc/43/22/92/376432292.db2.gz IHRPJFIFZKFSFL-IPQOISQHSA-N -1 1 326.418 -0.014 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N(C)CCN1CCOCC1 ZINC000104887278 376561198 /nfs/dbraw/zinc/56/11/98/376561198.db2.gz NRMPNRMLHNKHIE-UHFFFAOYSA-N -1 1 347.419 -0.027 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCOC[C@@H]2CCOC2)o1 ZINC000068538076 376578254 /nfs/dbraw/zinc/57/82/54/376578254.db2.gz OBZYLCSEUUTOSC-SNVBAGLBSA-N -1 1 332.378 -0.029 20 0 EBADMM CCNC(=O)NC1(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)CCCC1 ZINC000370858415 376588576 /nfs/dbraw/zinc/58/85/76/376588576.db2.gz RETUPVKOZQTALM-SNVBAGLBSA-N -1 1 337.384 -0.269 20 0 EBADMM C[C@H](C(=O)N(C)C)S(=O)(=O)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000288853912 376657564 /nfs/dbraw/zinc/65/75/64/376657564.db2.gz FGIQEBIRDPNFCM-BDAKNGLRSA-N -1 1 331.398 -0.916 20 0 EBADMM O=C(CCCc1nn[n-]n1)N1CCN(CC(=O)N2CCCCC2)CC1 ZINC000635157344 376898886 /nfs/dbraw/zinc/89/88/86/376898886.db2.gz KNCIQSXODFIWPZ-UHFFFAOYSA-N -1 1 349.439 -0.321 20 0 EBADMM COC(=O)[C@@H]1CCCC[C@H]1S(=O)(=O)[N-][C@@]1(C(N)=O)CCOC1 ZINC000570941505 377347638 /nfs/dbraw/zinc/34/76/38/377347638.db2.gz IELYPUORQGEHBG-BREBYQMCSA-N -1 1 334.394 -0.718 20 0 EBADMM Cc1cnccc1CC[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000167704195 377417720 /nfs/dbraw/zinc/41/77/20/377417720.db2.gz OLQIDMMJYJGDRC-UHFFFAOYSA-N -1 1 338.389 -0.692 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2CCO[C@@H](C3CC3)C2)c(=O)n(C)c1=O ZINC000364027212 377531757 /nfs/dbraw/zinc/53/17/57/377531757.db2.gz DKRNWNJLJVKRBF-WDEREUQCSA-N -1 1 343.405 -0.680 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2CCO[C@H](C3CC3)C2)c(=O)n(C)c1=O ZINC000364027211 377531875 /nfs/dbraw/zinc/53/18/75/377531875.db2.gz DKRNWNJLJVKRBF-QWRGUYRKSA-N -1 1 343.405 -0.680 20 0 EBADMM NC(=O)CN1CCN(C(=O)c2ncc3ccccc3c2[O-])CC1=O ZINC000616225400 377606444 /nfs/dbraw/zinc/60/64/44/377606444.db2.gz OMVPKHGGFZILIW-UHFFFAOYSA-N -1 1 328.328 -0.290 20 0 EBADMM COC(=O)C[C@H]([N-]S(=O)(=O)CCC[C@@H]1CCOC1)C(=O)OC ZINC000592011895 377782955 /nfs/dbraw/zinc/78/29/55/377782955.db2.gz GUHHVJGNYBBUCL-MNOVXSKESA-N -1 1 337.394 -0.173 20 0 EBADMM COC(=O)[C@@H]1C[C@H](O)CN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000592462900 377833381 /nfs/dbraw/zinc/83/33/81/377833381.db2.gz CFWHMHAJVRVIRH-ZJUUUORDSA-N -1 1 331.328 -0.081 20 0 EBADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@@H](OC)C1CC1)c1ccnn1C ZINC000592096853 377791467 /nfs/dbraw/zinc/79/14/67/377791467.db2.gz MXKQFMHRMKKIPF-NEPJUHHUSA-N -1 1 331.394 -0.021 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)c1c(C)cnn1C)c1ccnn1C ZINC000592099427 377793139 /nfs/dbraw/zinc/79/31/39/377793139.db2.gz XCVNKELVODITOU-SNVBAGLBSA-N -1 1 327.366 -0.345 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@]2(OCCO)CCOC2)o1 ZINC000594816565 377955768 /nfs/dbraw/zinc/95/57/68/377955768.db2.gz IQRSUHMFCMEFAQ-ZDUSSCGKSA-N -1 1 349.361 -0.488 20 0 EBADMM CCOC(=O)Cn1ccc([N-]S(=O)(=O)N2CCCOCC2)n1 ZINC000594463463 377939467 /nfs/dbraw/zinc/93/94/67/377939467.db2.gz ZRXAFRUWOQDXMM-UHFFFAOYSA-N -1 1 332.382 -0.175 20 0 EBADMM COC(=O)C[C@H]([N-]S(=O)(=O)N1CCC(OC)CC1)C(=O)OC ZINC000594743906 377950077 /nfs/dbraw/zinc/95/00/77/377950077.db2.gz ZUUXHGVTJQFHKX-JTQLQIEISA-N -1 1 338.382 -0.964 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCO[C@H]2C(=O)OC)o1 ZINC000594825138 377962929 /nfs/dbraw/zinc/96/29/29/377962929.db2.gz YKDMREWJALUNQW-OIBJUYFYSA-N -1 1 333.318 -0.325 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-]Cc2nc(C(N)=O)co2)cc1C ZINC000595340297 377995551 /nfs/dbraw/zinc/99/55/51/377995551.db2.gz DWYTTWLQFMHUIK-UHFFFAOYSA-N -1 1 343.317 -0.060 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2CC(=O)N(C)C2=O)cc1C ZINC000595328118 377998498 /nfs/dbraw/zinc/99/84/98/377998498.db2.gz VWQNEBOOKKJLID-SSDOTTSWSA-N -1 1 330.318 -0.590 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@H]2CCC(=O)N(C)C2=O)cc1C ZINC000595332555 377998813 /nfs/dbraw/zinc/99/88/13/377998813.db2.gz KJSKJXNLYJGMLO-MRVPVSSYSA-N -1 1 344.345 -0.200 20 0 EBADMM COC(=O)C[C@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)C(=O)OC ZINC000027970405 378107783 /nfs/dbraw/zinc/10/77/83/378107783.db2.gz XPUIGPXQFTXJQY-LURJTMIESA-N -1 1 339.757 -0.544 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)[N-]C[C@H](C)N2CCN(C)CC2)on1 ZINC000599245584 378206910 /nfs/dbraw/zinc/20/69/10/378206910.db2.gz UAMVIHWNLRYXQE-JTQLQIEISA-N -1 1 346.409 -0.625 20 0 EBADMM COC(=O)COCC[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000599443849 378240248 /nfs/dbraw/zinc/24/02/48/378240248.db2.gz WDOOFSCJIJVJAJ-UHFFFAOYSA-N -1 1 335.334 -0.157 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCS(=O)(=O)[C@@H]2C)o1 ZINC000599458509 378243987 /nfs/dbraw/zinc/24/39/87/378243987.db2.gz RVGMDFWWPYHFIJ-HTQZYQBOSA-N -1 1 337.375 -0.080 20 0 EBADMM COC(=O)[C@@H]([N-]S(=O)(=O)[C@@H]1C[C@@H]2CC[C@H]1O2)c1ccnn1C ZINC000603365766 378400670 /nfs/dbraw/zinc/40/06/70/378400670.db2.gz HOFLFBXVOVSJNW-GMNPVEAJSA-N -1 1 329.378 -0.127 20 0 EBADMM O=C(NN1CC(=O)[N-]C1=O)[C@H]1CCN(c2ccc(F)c(F)c2)C1=O ZINC000365677312 378631614 /nfs/dbraw/zinc/63/16/14/378631614.db2.gz AZKIATCDGSEDHR-MRVPVSSYSA-N -1 1 338.270 -0.099 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@@H]1[C@@H]1COCC[C@H]1O ZINC000337158677 378620660 /nfs/dbraw/zinc/62/06/60/378620660.db2.gz REBOSTRHHXIJQL-YNEHKIRRSA-N -1 1 349.387 -0.095 20 0 EBADMM C[C@]1(NC(=O)CNC(=O)c2ncccc2[O-])CCS(=O)(=O)C1 ZINC000337953344 378718781 /nfs/dbraw/zinc/71/87/81/378718781.db2.gz YIZPYYVULDRQQK-ZDUSSCGKSA-N -1 1 327.362 -0.790 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCN2CCOC[C@H]2C)co1 ZINC000339345339 378904365 /nfs/dbraw/zinc/90/43/65/378904365.db2.gz GMBVUHRPBWERQI-SNVBAGLBSA-N -1 1 331.394 -0.362 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NCCN1C[C@H]2CC[C@@H](C1)O2 ZINC000456208293 379159812 /nfs/dbraw/zinc/15/98/12/379159812.db2.gz VDBFUXUQCGVYEV-TXEJJXNPSA-N -1 1 334.376 -0.504 20 0 EBADMM O=C([N-]OCc1cccnc1)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000269508655 379376855 /nfs/dbraw/zinc/37/68/55/379376855.db2.gz GTPLDHQXCQGROY-OAHLLOKOSA-N -1 1 348.403 -0.190 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCN2C(=S)N=NC2C)co1 ZINC000270260554 379442356 /nfs/dbraw/zinc/44/23/56/379442356.db2.gz ZLQCFIHKUAOVTD-UHFFFAOYSA-N -1 1 345.406 -0.194 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H](O)c2cnn(C)c2)o1 ZINC000269753254 379403071 /nfs/dbraw/zinc/40/30/71/379403071.db2.gz AJXUJFHCQBFCNH-SECBINFHSA-N -1 1 329.334 -0.188 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]CCN2C(=O)CCC2=O)c1 ZINC000269987306 379424371 /nfs/dbraw/zinc/42/43/71/379424371.db2.gz FIEWWRMKDNWKHM-UHFFFAOYSA-N -1 1 330.318 -0.507 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C2CC2)[C@H]2CC(=O)NC2=O)co1 ZINC000270049695 379427684 /nfs/dbraw/zinc/42/76/84/379427684.db2.gz LYYYWXWWLDTDCR-VIFPVBQESA-N -1 1 341.345 -0.793 20 0 EBADMM CO[C@H]1CS(=O)(=O)C[C@@H]1[N-]S(=O)(=O)[C@@H]1C[C@@H]2CC[C@H]1O2 ZINC000641605353 379453941 /nfs/dbraw/zinc/45/39/41/379453941.db2.gz ADCSVSWCKFJDFX-QUARPLMYSA-N -1 1 325.408 -0.962 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C(=O)N1CCS(=O)(=O)CC1 ZINC000270698510 379468475 /nfs/dbraw/zinc/46/84/75/379468475.db2.gz LRACOVTWJPVZRU-VIFPVBQESA-N -1 1 327.362 -0.838 20 0 EBADMM Cc1cscc1C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000487388550 379495973 /nfs/dbraw/zinc/49/59/73/379495973.db2.gz AUEYZPZLDHHNQU-UHFFFAOYSA-N -1 1 329.403 -0.068 20 0 EBADMM CC(C)[C@@H](O)C1(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000271825303 379546533 /nfs/dbraw/zinc/54/65/33/379546533.db2.gz NYHRDRYRXOKVJS-LLVKDONJSA-N -1 1 345.421 -0.841 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCCN2CCNC(=O)C2)o1 ZINC000271182186 379505675 /nfs/dbraw/zinc/50/56/75/379505675.db2.gz YAZWLJSJGXTCRN-UHFFFAOYSA-N -1 1 345.377 -0.834 20 0 EBADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)CN2CSCC2=O)c1 ZINC000272138992 379563121 /nfs/dbraw/zinc/56/31/21/379563121.db2.gz OHCWYUMGZFLRKH-UHFFFAOYSA-N -1 1 331.375 -0.489 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@]2(C)CCO[C@H]2C2CC2)c(=O)n(C)c1=O ZINC000273074804 379626326 /nfs/dbraw/zinc/62/63/26/379626326.db2.gz JZQGENCIVLOIFA-FZMZJTMJSA-N -1 1 343.405 -0.680 20 0 EBADMM CNS(=O)(=O)c1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cc1 ZINC000273568063 379653346 /nfs/dbraw/zinc/65/33/46/379653346.db2.gz YRAWEOJDDONZLM-SECBINFHSA-N -1 1 338.393 -0.017 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCOC[C@@H]2C[C@H](C)O)o1 ZINC000274285714 379680825 /nfs/dbraw/zinc/68/08/25/379680825.db2.gz CWYGJAMRHHYJEQ-UWVGGRQHSA-N -1 1 332.378 -0.200 20 0 EBADMM C[C@H](CN(C)C(=O)CN1C(=O)NC2(CCCC2)C1=O)c1nn[n-]n1 ZINC000274796013 379713192 /nfs/dbraw/zinc/71/31/92/379713192.db2.gz RFDZPIPXXZKESS-SECBINFHSA-N -1 1 335.368 -0.374 20 0 EBADMM CC(C)(C)OC(=O)NC[C@@H]1CC(C(=O)NN2CC(=O)[N-]C2=O)=NO1 ZINC000495721765 379722188 /nfs/dbraw/zinc/72/21/88/379722188.db2.gz RKRIEMKEUQXVAI-ZETCQYMHSA-N -1 1 341.324 -0.761 20 0 EBADMM Cn1cnnc1S(=O)(=O)[N-]C[C@H](N1CCOCC1)C(F)(F)F ZINC000632333526 379848527 /nfs/dbraw/zinc/84/85/27/379848527.db2.gz DBQBXUUJHUETAA-QMMMGPOBSA-N -1 1 343.331 -0.644 20 0 EBADMM CC1(C)NC(=O)N(CC(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)C1=O ZINC000614386876 379923914 /nfs/dbraw/zinc/92/39/14/379923914.db2.gz JCBWHONUBTXLEE-QMMMGPOBSA-N -1 1 337.336 -0.188 20 0 EBADMM CN(C)S(=O)(=O)N(C)CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614388941 379925852 /nfs/dbraw/zinc/92/58/52/379925852.db2.gz JKXHSNZGXZDDDP-VIFPVBQESA-N -1 1 347.397 -0.781 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@H]1[N-]S(=O)(=O)[C@@H]1CCCC[C@@H]1OC ZINC000632551614 379879825 /nfs/dbraw/zinc/87/98/25/379879825.db2.gz FNDKCCWGVAMNDT-WRWGMCAJSA-N -1 1 341.451 -0.325 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@@H]1[N-]S(=O)(=O)[C@@H]1CCCC[C@@H]1OC ZINC000632551612 379879997 /nfs/dbraw/zinc/87/99/97/379879997.db2.gz FNDKCCWGVAMNDT-NNYUYHANSA-N -1 1 341.451 -0.325 20 0 EBADMM CNS(=O)(=O)c1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)co1 ZINC000538226986 379966321 /nfs/dbraw/zinc/96/63/21/379966321.db2.gz CJJZUZNMXTVORV-MRVPVSSYSA-N -1 1 340.365 -0.279 20 0 EBADMM CN1CC[C@H](C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)S1(=O)=O ZINC000630226828 380012400 /nfs/dbraw/zinc/01/24/00/380012400.db2.gz HRQPYOSYOTZNLQ-SNVBAGLBSA-N -1 1 333.410 -0.972 20 0 EBADMM COC[C@@H](C(N)=O)N(C)C(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000354610536 380065739 /nfs/dbraw/zinc/06/57/39/380065739.db2.gz QQCQMDCXYKKWBZ-LBPRGKRZSA-N -1 1 349.391 -0.057 20 0 EBADMM O=C(N[C@@H]1CCCC[C@@H]1N1CCOCC1)c1cc(=O)n2[n-]cnc2n1 ZINC000544780693 380079662 /nfs/dbraw/zinc/07/96/62/380079662.db2.gz MMDBRCJKWQSOTJ-YPMHNXCESA-N -1 1 346.391 -0.209 20 0 EBADMM C[C@H](O)C(=O)N1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000283439178 380121499 /nfs/dbraw/zinc/12/14/99/380121499.db2.gz NDJCRQQWAXJXFG-VIFPVBQESA-N -1 1 335.389 -0.317 20 0 EBADMM C[C@H]1CCCCN1C(=O)CN1CCN(C(=O)CCc2nn[n-]n2)CC1 ZINC000630683986 380180748 /nfs/dbraw/zinc/18/07/48/380180748.db2.gz GZFXTWFKUVWFQT-ZDUSSCGKSA-N -1 1 349.439 -0.323 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCN1CCO[C@@H](C)C1 ZINC000548369676 380200460 /nfs/dbraw/zinc/20/04/60/380200460.db2.gz OFINNURWLIVQIJ-JTQLQIEISA-N -1 1 346.409 -0.415 20 0 EBADMM C[C@H](CN(C)C(=O)c1ccc(S(N)(=O)=O)cc1F)c1nn[n-]n1 ZINC000548465375 380210168 /nfs/dbraw/zinc/21/01/68/380210168.db2.gz IKKNWLQTHCTZDX-SSDOTTSWSA-N -1 1 342.356 -0.138 20 0 EBADMM CCC[C@@]1([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCOC1 ZINC000285199944 380230500 /nfs/dbraw/zinc/23/05/00/380230500.db2.gz CYXDRXMNQNISMO-CYBMUJFWSA-N -1 1 331.394 -0.679 20 0 EBADMM Cn1[n-]cc2/c(=N\C(=O)N3CC[C@H](NS(C)(=O)=O)C3)ccnc1-2 ZINC000287569719 380364107 /nfs/dbraw/zinc/36/41/07/380364107.db2.gz KUEOEZHULVLJCH-WNTQAOTGSA-N -1 1 338.393 -0.503 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@]2(CC(=O)OC)CCOC2)co1 ZINC000362036946 380366294 /nfs/dbraw/zinc/36/62/94/380366294.db2.gz LIVIMDCHEMRRIS-ZDUSSCGKSA-N -1 1 346.361 -0.360 20 0 EBADMM COC[C@@H](NC(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2)[C@H]1CCCO1 ZINC000288490467 380408375 /nfs/dbraw/zinc/40/83/75/380408375.db2.gz SCUABJLHGQWINY-KTWZHHEHSA-N -1 1 347.375 -0.410 20 0 EBADMM CN(C)CCN(CC(=O)[O-])S(=O)(=O)c1ccc2[nH]c(=O)[nH]c2c1 ZINC000322562733 380534259 /nfs/dbraw/zinc/53/42/59/380534259.db2.gz JCCYOUDVWUNTKI-UHFFFAOYSA-N -1 1 342.377 -0.507 20 0 EBADMM O=C([O-])[C@H](c1ccccc1)N1CC[C@@H](NC(=O)c2nc[nH]n2)C1=O ZINC000567863331 380552225 /nfs/dbraw/zinc/55/22/25/380552225.db2.gz KUHFCNCDNUFNRU-MNOVXSKESA-N -1 1 329.316 -0.039 20 0 EBADMM O=C([O-])[C@H](c1ccccc1)N1CC[C@@H](NC(=O)c2ncn[nH]2)C1=O ZINC000567863331 380552231 /nfs/dbraw/zinc/55/22/31/380552231.db2.gz KUHFCNCDNUFNRU-MNOVXSKESA-N -1 1 329.316 -0.039 20 0 EBADMM CCC[C@@H](C)[C@H](CO)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000568033274 380567575 /nfs/dbraw/zinc/56/75/75/380567575.db2.gz HGCONIXNPCNCFF-ZJUUUORDSA-N -1 1 333.410 -0.841 20 0 EBADMM CCc1nncn1CCNC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000535153344 380607024 /nfs/dbraw/zinc/60/70/24/380607024.db2.gz QQXAYBOUTHFRDU-UHFFFAOYSA-N -1 1 327.366 -0.228 20 0 EBADMM O=C([O-])[C@@H](c1ccccc1)N1CC[C@@H](NC(=O)c2cnn[nH]2)C1=O ZINC000573205953 380664192 /nfs/dbraw/zinc/66/41/92/380664192.db2.gz KONBFDYQFWQXJN-ZYHUDNBSSA-N -1 1 329.316 -0.039 20 0 EBADMM CO[C@H]1CCC[C@@H]1C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000341196736 380681341 /nfs/dbraw/zinc/68/13/41/380681341.db2.gz QVEWRFYXIAUJSM-ZJUUUORDSA-N -1 1 331.394 -0.823 20 0 EBADMM CSCCO[N-]C(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000366732605 380706158 /nfs/dbraw/zinc/70/61/58/380706158.db2.gz XUSNKNOSQWGQKL-LBPRGKRZSA-N -1 1 331.438 -0.422 20 0 EBADMM CCCCCS(=O)(=O)CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000341834391 380737747 /nfs/dbraw/zinc/73/77/47/380737747.db2.gz JPAJVBTUGQDBCE-UHFFFAOYSA-N -1 1 338.411 -0.248 20 0 EBADMM CCCCCS(=O)(=O)CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000341834391 380737754 /nfs/dbraw/zinc/73/77/54/380737754.db2.gz JPAJVBTUGQDBCE-UHFFFAOYSA-N -1 1 338.411 -0.248 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCOC[C@@H]1[C@H]1CCCO1 ZINC000341875718 380741372 /nfs/dbraw/zinc/74/13/72/380741372.db2.gz CUABQOZMEXELDM-DGCLKSJQSA-N -1 1 335.360 -0.077 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCC(=O)N(C)C1=O ZINC000336868445 380746460 /nfs/dbraw/zinc/74/64/60/380746460.db2.gz SOJFVOICDHCOQW-MRVPVSSYSA-N -1 1 344.349 -0.988 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2CCOC(C)(C)C2)c(=O)n(C)c1=O ZINC000416501818 380784549 /nfs/dbraw/zinc/78/45/49/380784549.db2.gz JEZBNOWHGURFMC-SNVBAGLBSA-N -1 1 345.421 -0.433 20 0 EBADMM Cc1ccc(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)nc1C ZINC000416553592 380788639 /nfs/dbraw/zinc/78/86/39/380788639.db2.gz XHWCJQGXNQJEDM-UHFFFAOYSA-N -1 1 338.389 -0.426 20 0 EBADMM CC[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)[C@@H](O)C(C)C ZINC000416620696 380789586 /nfs/dbraw/zinc/78/95/86/380789586.db2.gz LDDIKSWYBOMSIL-KOLCDFICSA-N -1 1 333.410 -0.842 20 0 EBADMM COCC1(CC[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000581248533 381063000 /nfs/dbraw/zinc/06/30/00/381063000.db2.gz FAZVAQUNLVGIPX-UHFFFAOYSA-N -1 1 331.394 -0.821 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)N(C)C)CC2)o1 ZINC000026935650 381167332 /nfs/dbraw/zinc/16/73/32/381167332.db2.gz INRDCNISLIWEAR-UHFFFAOYSA-N -1 1 344.393 -0.373 20 0 EBADMM C[C@]1(F)CCN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C1 ZINC000347321444 381209398 /nfs/dbraw/zinc/20/93/98/381209398.db2.gz OLNSTIHUCZYNFO-LBPRGKRZSA-N -1 1 333.341 -0.383 20 0 EBADMM CC(C)[C@](C)(O)CNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000347751463 381270124 /nfs/dbraw/zinc/27/01/24/381270124.db2.gz IUKIHBBVQDIULH-CYBMUJFWSA-N -1 1 347.393 -0.820 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CC[C@@H](C(N)=O)O2)o1 ZINC000347824678 381281507 /nfs/dbraw/zinc/28/15/07/381281507.db2.gz LQTQLJMNENQAOB-IUCAKERBSA-N -1 1 346.361 -0.233 20 0 EBADMM O=C(CN1C(=O)Cn2c(cc3ccccc32)C1=O)Nc1nnn[n-]1 ZINC000359955378 381309040 /nfs/dbraw/zinc/30/90/40/381309040.db2.gz HWHFJWSJRFUDRI-UHFFFAOYSA-N -1 1 325.288 -0.225 20 0 EBADMM O=C(CN1C(=O)Cn2c(cc3ccccc32)C1=O)Nc1nn[n-]n1 ZINC000359955378 381309046 /nfs/dbraw/zinc/30/90/46/381309046.db2.gz HWHFJWSJRFUDRI-UHFFFAOYSA-N -1 1 325.288 -0.225 20 0 EBADMM COC(=O)CCCCCS(=O)(=O)[N-][C@@]1(C(=O)OC)CCOC1 ZINC000349295940 381455060 /nfs/dbraw/zinc/45/50/60/381455060.db2.gz CPLAGNOGJKDVMZ-ZDUSSCGKSA-N -1 1 337.394 -0.029 20 0 EBADMM COCCN1C[C@@H](C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)CC1=O ZINC000350827655 381551275 /nfs/dbraw/zinc/55/12/75/381551275.db2.gz DSHQDHQFFKYPCN-MNOVXSKESA-N -1 1 338.364 -0.024 20 0 EBADMM NC(=O)c1ccc(S(=O)(=O)N[C@H](Cc2cnc[nH]2)C(=O)[O-])cc1 ZINC000320272576 381645662 /nfs/dbraw/zinc/64/56/62/381645662.db2.gz FJAOHBMCBPDMSN-LLVKDONJSA-N -1 1 338.345 -0.517 20 0 EBADMM COC[C@@H](CO)[N-]S(=O)(=O)c1nc(C)n(C)c1Br ZINC000287194807 381702333 /nfs/dbraw/zinc/70/23/33/381702333.db2.gz DQXHYBGUROAASI-SSDOTTSWSA-N -1 1 342.215 -0.223 20 0 EBADMM C[C@H]1CN(CC(C)(C)O)CCN1C(=O)c1c[n-]n2c1nccc2=O ZINC000332750048 381846840 /nfs/dbraw/zinc/84/68/40/381846840.db2.gz YIQCOEOPQOESDD-NSHDSACASA-N -1 1 333.392 -0.060 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCN1C[C@H](C)OC[C@H]1C ZINC000617725229 381945031 /nfs/dbraw/zinc/94/50/31/381945031.db2.gz IZNRKSMXSPZLMH-BDAKNGLRSA-N -1 1 326.353 -0.265 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCN1C[C@H](C)OC[C@@H]1C ZINC000617725231 381945604 /nfs/dbraw/zinc/94/56/04/381945604.db2.gz IZNRKSMXSPZLMH-IUCAKERBSA-N -1 1 326.353 -0.265 20 0 EBADMM NC(=O)NC[C@@H]1CCCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000352530960 381997397 /nfs/dbraw/zinc/99/73/97/381997397.db2.gz PMPKSYCLYPZLAZ-JTQLQIEISA-N -1 1 335.364 -0.576 20 0 EBADMM CNS(=O)(=O)c1cc(C(=O)N(C)C[C@H](C)c2nn[n-]n2)c(C)o1 ZINC000352473885 381989905 /nfs/dbraw/zinc/98/99/05/381989905.db2.gz YZVUEIATFWPDBL-ZETCQYMHSA-N -1 1 342.381 -0.115 20 0 EBADMM COc1cccc(COC[C@@H](O)C[N@H+]2CCN3C(=O)NC(=O)[C@H]3C2)c1 ZINC000352772215 382030007 /nfs/dbraw/zinc/03/00/07/382030007.db2.gz QEVPXIJQZVTCMY-DZGCQCFKSA-N -1 1 349.387 -0.191 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)C2CN(CC(F)(F)F)C2)n1 ZINC000352798612 382035231 /nfs/dbraw/zinc/03/52/31/382035231.db2.gz VFSZIAFWLLGZKS-UHFFFAOYSA-N -1 1 327.288 -0.359 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)C2CN(CC(F)(F)F)C2)[n-]1 ZINC000352798612 382035236 /nfs/dbraw/zinc/03/52/36/382035236.db2.gz VFSZIAFWLLGZKS-UHFFFAOYSA-N -1 1 327.288 -0.359 20 0 EBADMM O=C(C[N-]S(=O)(=O)c1c(F)cccc1F)NCc1nnc[nH]1 ZINC000352908483 382074831 /nfs/dbraw/zinc/07/48/31/382074831.db2.gz AYNRGMZTEQTWMQ-UHFFFAOYSA-N -1 1 331.304 -0.322 20 0 EBADMM CS(=O)(=O)[N-]c1ccc(F)cc1C(=O)NCCN1CC[C@@H](O)C1 ZINC000629175536 382082169 /nfs/dbraw/zinc/08/21/69/382082169.db2.gz DIRANVMNPNJOEW-LLVKDONJSA-N -1 1 345.396 -0.006 20 0 EBADMM CS(=O)(=O)[N-]c1ccccc1C(=O)NCCN1CC[C@@H](O)C1 ZINC000629179545 382083075 /nfs/dbraw/zinc/08/30/75/382083075.db2.gz SYURNSRRKJPZFF-LLVKDONJSA-N -1 1 327.406 -0.146 20 0 EBADMM COC(=O)COc1ccc(NC(=O)C(=O)NCc2nn[n-]n2)c(C)c1 ZINC000609953003 382093401 /nfs/dbraw/zinc/09/34/01/382093401.db2.gz YFAUGEZSKVIQQU-UHFFFAOYSA-N -1 1 348.319 -0.685 20 0 EBADMM Cc1cccc(OC[C@H](O)CNC(=O)c2cc(=O)n3[n-]cnc3n2)c1 ZINC000353856945 382181398 /nfs/dbraw/zinc/18/13/98/382181398.db2.gz DJWQHRBDKZZSCU-LLVKDONJSA-N -1 1 343.343 -0.104 20 0 EBADMM O=C(N[C@@H]1CC(=O)N(c2ccccc2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000353673542 382163698 /nfs/dbraw/zinc/16/36/98/382163698.db2.gz GUALZRADWCTEER-SNVBAGLBSA-N -1 1 338.327 -0.047 20 0 EBADMM COC(=O)C1(CS(=O)(=O)[N-][C@]2(C(N)=O)CCOC2)CCCC1 ZINC000575002743 382185550 /nfs/dbraw/zinc/18/55/50/382185550.db2.gz WFBYNFZYYLHITA-CYBMUJFWSA-N -1 1 334.394 -0.716 20 0 EBADMM C[C@@H](NC(=O)CS(=O)(=O)c1n[n-]c(C(C)(C)C)n1)C(=O)N(C)C ZINC000447483072 382198922 /nfs/dbraw/zinc/19/89/22/382198922.db2.gz PFGRWZFHPWQFIR-MRVPVSSYSA-N -1 1 345.425 -0.531 20 0 EBADMM C/C=C/C[C@H](CO)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000354243461 382221557 /nfs/dbraw/zinc/22/15/57/382221557.db2.gz WJIJUKAMJHRDOI-GKQMSVHHSA-N -1 1 345.377 -0.900 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@]2(C(=O)OC)CCOC2)o1 ZINC000447165067 382190973 /nfs/dbraw/zinc/19/09/73/382190973.db2.gz GHVVSXOJZZRRJM-GFCCVEGCSA-N -1 1 333.318 -0.323 20 0 EBADMM Cn1ccc(CCC(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001051641616 735282088 /nfs/dbraw/zinc/28/20/88/735282088.db2.gz BNXHESYOURSUIH-LBPRGKRZSA-N -1 1 349.395 -0.806 20 0 EBADMM COC(=O)[C@]1([N-]S(=O)(=O)N2C[C@H](C)OC[C@@H]2C)CCSC1 ZINC000340066626 291984068 /nfs/dbraw/zinc/98/40/68/291984068.db2.gz GQDPTWMZZGEXIM-NHCYSSNCSA-N -1 1 338.451 -0.021 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H](C)CN2CCCC2=O)o1 ZINC000298896975 155139732 /nfs/dbraw/zinc/13/97/32/155139732.db2.gz AFEBEEJXTLUFHJ-SECBINFHSA-N -1 1 329.378 -0.072 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCNC(=O)C(C)C ZINC000298064397 285972209 /nfs/dbraw/zinc/97/22/09/285972209.db2.gz CHMIYKPMFQRBOK-UHFFFAOYSA-N -1 1 332.382 -0.363 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CCO[C@@H](C(N)=O)C1 ZINC000299576776 155161449 /nfs/dbraw/zinc/16/14/49/155161449.db2.gz CMFGBBANOLWICT-GFCCVEGCSA-N -1 1 347.375 -0.303 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CSC[C@H]2C(=O)N(C)C)o1 ZINC000299688452 155164960 /nfs/dbraw/zinc/16/49/60/155164960.db2.gz VLPNAELLLAYASE-QMMMGPOBSA-N -1 1 347.418 -0.209 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCO[C@@H](C(=O)OC)C2)o1 ZINC000330544652 155283580 /nfs/dbraw/zinc/28/35/80/155283580.db2.gz ULMHLGWXAJPXHJ-SECBINFHSA-N -1 1 332.334 -0.798 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCCS(=O)(=O)C2)o1 ZINC000330771058 155307675 /nfs/dbraw/zinc/30/76/75/155307675.db2.gz OHCXNACWEXPVQR-QMMMGPOBSA-N -1 1 337.375 -0.078 20 0 EBADMM CC[C@H]1C(=O)NCCN1C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000106921399 154089735 /nfs/dbraw/zinc/08/97/35/154089735.db2.gz RNKGQZWJZGTINN-LBPRGKRZSA-N -1 1 331.376 -0.081 20 0 EBADMM Cc1cnc([C@@H](C)[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)s1 ZINC000175625925 154110021 /nfs/dbraw/zinc/11/00/21/154110021.db2.gz YQMPYNPBFBWFEU-MRVPVSSYSA-N -1 1 344.418 -0.112 20 0 EBADMM CCOC(=O)C1CCN(S(=O)(=O)[N-]CC(F)(F)CO)CC1 ZINC000231780945 154167468 /nfs/dbraw/zinc/16/74/68/154167468.db2.gz PJAFNQSXOZYCNU-UHFFFAOYSA-N -1 1 330.353 -0.277 20 0 EBADMM Cc1nc(C(=O)NCCC(=O)[O-])nn1-c1nnc(C(F)(F)F)[nH]1 ZINC000262261067 154221446 /nfs/dbraw/zinc/22/14/46/154221446.db2.gz SHAKTWCJBXMJKH-UHFFFAOYSA-N -1 1 333.230 -0.083 20 0 EBADMM O=C(NCCS(=O)(=O)N1CCSCC1)c1cncc([O-])c1 ZINC000264924124 154299570 /nfs/dbraw/zinc/29/95/70/154299570.db2.gz LBRHZONERHYCRS-UHFFFAOYSA-N -1 1 331.419 -0.104 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCN(C(C)C)C2=O)o1 ZINC000265243036 154310736 /nfs/dbraw/zinc/31/07/36/154310736.db2.gz IYGROIJYDWDAQC-SECBINFHSA-N -1 1 329.378 -0.073 20 0 EBADMM CCN(CC)S(=O)(=O)[N-]c1ccn(CC(=O)N2CCOCC2)n1 ZINC000265305521 154312441 /nfs/dbraw/zinc/31/24/41/154312441.db2.gz QMQPWLXAUZYSED-UHFFFAOYSA-N -1 1 345.425 -0.260 20 0 EBADMM CCCC[C@@H](COC)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000265463312 154317563 /nfs/dbraw/zinc/31/75/63/154317563.db2.gz GYIDDUIHXDUYGM-JTQLQIEISA-N -1 1 333.410 -0.433 20 0 EBADMM CO[C@@]1(C)C[C@H]([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)C1(C)C ZINC000266731773 154351645 /nfs/dbraw/zinc/35/16/45/154351645.db2.gz LYXDYVAWRXFCBJ-HZMBPMFUSA-N -1 1 345.421 -0.434 20 0 EBADMM CCc1nc(S(=O)(=O)CCCN2C(=O)NC(C)(C)C2=O)n[n-]1 ZINC000267912680 154396201 /nfs/dbraw/zinc/39/62/01/154396201.db2.gz MRHKKMFAALKBRO-UHFFFAOYSA-N -1 1 329.382 -0.139 20 0 EBADMM O=S(=O)([N-]C[C@H](O)CN1CCOCC1)c1cccc(F)c1F ZINC000269253488 154440172 /nfs/dbraw/zinc/44/01/72/154440172.db2.gz BVUYXQPTFYRKAY-JTQLQIEISA-N -1 1 336.360 -0.064 20 0 EBADMM CCOC(=O)[C@H](CCOC)NC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000281723208 154786213 /nfs/dbraw/zinc/78/62/13/154786213.db2.gz RLWZIHRHGKXBDJ-VIFPVBQESA-N -1 1 348.377 -0.114 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H]1CC[C@@H](CO)O1 ZINC000293047017 155039999 /nfs/dbraw/zinc/03/99/99/155039999.db2.gz RTYVCKFJRMEZDM-BDAKNGLRSA-N -1 1 333.366 -0.595 20 0 EBADMM CO[C@H](C)CS(=O)(=O)[N-]c1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000295143725 155066813 /nfs/dbraw/zinc/06/68/13/155066813.db2.gz DJZGGTVJCPALJY-MRVPVSSYSA-N -1 1 342.377 -0.591 20 0 EBADMM CC[C@H](CNS(C)(=O)=O)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000295504742 155070244 /nfs/dbraw/zinc/07/02/44/155070244.db2.gz BWFOYALBDDRDHC-SSDOTTSWSA-N -1 1 344.846 -0.320 20 0 EBADMM CC(C)NS(=O)(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000297350172 155081250 /nfs/dbraw/zinc/08/12/50/155081250.db2.gz KRSBGXJLWMCDGE-UHFFFAOYSA-N -1 1 328.394 -0.212 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H]1CCC(=O)N[C@H]1C1CC1 ZINC000342529552 156039781 /nfs/dbraw/zinc/03/97/81/156039781.db2.gz CXZXMAULBUMYFR-YGRLFVJLSA-N -1 1 332.360 -0.310 20 0 EBADMM NC(=O)c1ccc(CNC(=O)CNC(=O)c2ncccc2[O-])cc1 ZINC000343042117 156090276 /nfs/dbraw/zinc/09/02/76/156090276.db2.gz CYKQDTYAJHJKRP-UHFFFAOYSA-N -1 1 328.328 -0.068 20 0 EBADMM Cc1nc2n(n1)C[C@H](NC(=O)CNC(=O)c1ncccc1[O-])CC2 ZINC000343308768 156121126 /nfs/dbraw/zinc/12/11/26/156121126.db2.gz UWVAXPFRAABQDO-SNVBAGLBSA-N -1 1 330.348 -0.452 20 0 EBADMM CN(C[C@H]1CCS(=O)(=O)C1)C(=O)CNC(=O)c1ncccc1[O-] ZINC000343726807 156160196 /nfs/dbraw/zinc/16/01/96/156160196.db2.gz RHVOBQYGNLYMSA-SNVBAGLBSA-N -1 1 341.389 -0.590 20 0 EBADMM C[C@@H]1[C@@H](C)[S@](=O)CCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000343717911 156160735 /nfs/dbraw/zinc/16/07/35/156160735.db2.gz QYOUWVQNPNJMLZ-VUHZHCFISA-N -1 1 325.390 -0.115 20 0 EBADMM O=C(Nc1nc(SCCO)n[nH]1)c1c[nH]c2nc(=O)[n-]c(=O)c-2c1 ZINC000343843210 156172489 /nfs/dbraw/zinc/17/24/89/156172489.db2.gz BTONWXOBAKJTSI-UHFFFAOYSA-N -1 1 349.332 -0.109 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NCCCNC(=O)C1CCC1 ZINC000343962203 156194669 /nfs/dbraw/zinc/19/46/69/156194669.db2.gz QMZBCWOOZMLKJA-UHFFFAOYSA-N -1 1 334.376 -0.060 20 0 EBADMM C[C@@H]1C[C@@H]1N1C[C@H](NC(=O)CNC(=O)c2ncccc2[O-])CC1=O ZINC000344134038 156204781 /nfs/dbraw/zinc/20/47/81/156204781.db2.gz PSGLGNCBJCDHSD-MXWKQRLJSA-N -1 1 332.360 -0.358 20 0 EBADMM NS(=O)(=O)c1ccc(C(=O)NC2(c3nn[n-]n3)CCCC2)cn1 ZINC000344797072 156265647 /nfs/dbraw/zinc/26/56/47/156265647.db2.gz QIJKAMJNKWBPIQ-UHFFFAOYSA-N -1 1 337.365 -0.559 20 0 EBADMM COCCN(CC(=O)OC)C(=O)CNC(=O)c1ncccc1[O-] ZINC000344944681 156285795 /nfs/dbraw/zinc/28/57/95/156285795.db2.gz CKLUDWPDBWJQNC-UHFFFAOYSA-N -1 1 325.321 -0.835 20 0 EBADMM Cc1[nH]c(=O)sc1S(=O)(=O)[N-]CCNC(=O)C1CC(=O)C1 ZINC000345443012 156333178 /nfs/dbraw/zinc/33/31/78/156333178.db2.gz GDAXUSLLWYTVDN-UHFFFAOYSA-N -1 1 333.391 -0.469 20 0 EBADMM Cc1n[nH]cc1C(=O)NCC[N-]S(=O)(=O)c1sc(=O)[nH]c1C ZINC000345479191 156336393 /nfs/dbraw/zinc/33/63/93/156336393.db2.gz HHIZWDBNCDTVCW-UHFFFAOYSA-N -1 1 345.406 -0.103 20 0 EBADMM CN1C[C@@H]2[C@H](CCCN2C(=O)CNC(=O)c2ncccc2[O-])C1=O ZINC000345558944 156344916 /nfs/dbraw/zinc/34/49/16/156344916.db2.gz RHFVCAWMQRBIOR-WDEREUQCSA-N -1 1 332.360 -0.404 20 0 EBADMM CC(C)[C@@H](CO)CNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000345822062 156364639 /nfs/dbraw/zinc/36/46/39/156364639.db2.gz QMUJGZCQVTUCCA-SECBINFHSA-N -1 1 347.393 -0.963 20 0 EBADMM CC1(C)CC[C@H]1NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000346221173 156391947 /nfs/dbraw/zinc/39/19/47/156391947.db2.gz VFIDZYROOQHBIR-SECBINFHSA-N -1 1 329.378 -0.038 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000355506887 157006323 /nfs/dbraw/zinc/00/63/23/157006323.db2.gz RIQOXOVBOQECIS-JTQLQIEISA-N -1 1 338.389 -0.102 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCCCS(N)(=O)=O ZINC000355580077 157011196 /nfs/dbraw/zinc/01/11/96/157011196.db2.gz PPIYTRQIYBEVMX-UHFFFAOYSA-N -1 1 341.393 -0.611 20 0 EBADMM Cn1ncc2c1[n-]cc(C(=O)N1CC[C@@H](NS(C)(=O)=O)C1)c2=O ZINC000355602597 157013491 /nfs/dbraw/zinc/01/34/91/157013491.db2.gz XIDYDYWGGMAGPK-MRVPVSSYSA-N -1 1 339.377 -0.562 20 0 EBADMM Cn1ncc2c1[n-]cc(C(=O)NCCCCS(N)(=O)=O)c2=O ZINC000355878399 157030112 /nfs/dbraw/zinc/03/01/12/157030112.db2.gz IQFXLUAUFJFQDW-UHFFFAOYSA-N -1 1 327.366 -0.528 20 0 EBADMM C[C@@H]1[C@H](N2CCOCC2)CCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000356765496 157091786 /nfs/dbraw/zinc/09/17/86/157091786.db2.gz KPMQNBFZYYBZJH-CHWSQXEVSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@H](C(=O)NC1(c2nn[n-]n2)CC1)N1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC000357068236 157116470 /nfs/dbraw/zinc/11/64/70/157116470.db2.gz GJXQQMMTLHRMPQ-UTLUCORTSA-N -1 1 332.364 -0.131 20 0 EBADMM CS(=O)(=O)Nc1cccc(CC(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000357082734 157118650 /nfs/dbraw/zinc/11/86/50/157118650.db2.gz YEEZVGKRLMLMJU-UHFFFAOYSA-N -1 1 336.377 -0.081 20 0 EBADMM CO[C@H](CNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)C1CC1 ZINC000358115469 157211626 /nfs/dbraw/zinc/21/16/26/157211626.db2.gz DDUGOHLTZWIXTH-SNVBAGLBSA-N -1 1 345.377 -0.802 20 0 EBADMM Cc1c(C(=O)Nc2ccncc2[O-])cnc2c1c(=O)n(C)c(=O)n2C ZINC000358322511 157231263 /nfs/dbraw/zinc/23/12/63/157231263.db2.gz CKPVWUPHRAIYFT-UHFFFAOYSA-N -1 1 341.327 -0.285 20 0 EBADMM CCS(=O)(=O)CC(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000359696089 157336275 /nfs/dbraw/zinc/33/62/75/157336275.db2.gz CJSNJQGHPWEYGB-UHFFFAOYSA-N -1 1 336.391 -0.327 20 0 EBADMM CNC(=O)C1(C(=O)Nc2cc(S(=O)(=O)NC)ccc2[O-])CC1 ZINC000359683804 157336726 /nfs/dbraw/zinc/33/67/26/157336726.db2.gz QVDHTCMGIKDVLC-UHFFFAOYSA-N -1 1 327.362 -0.235 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NC1(c2ncon2)CCOCC1 ZINC000359715327 157338966 /nfs/dbraw/zinc/33/89/66/157338966.db2.gz CCHDCVWEZWATFT-UHFFFAOYSA-N -1 1 347.331 -0.278 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CC[C@H](C(=O)N2CCCC2)C1 ZINC000360340730 157374540 /nfs/dbraw/zinc/37/45/40/157374540.db2.gz DAXQLQYKFJUDTF-LBPRGKRZSA-N -1 1 346.387 -0.012 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CC[C@@H](N2CCCC2=O)C1 ZINC000360403323 157378332 /nfs/dbraw/zinc/37/83/32/157378332.db2.gz SEPDMZNCFXYFRE-LLVKDONJSA-N -1 1 332.360 -0.260 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](CO)C1CCOCC1 ZINC000360630368 157391874 /nfs/dbraw/zinc/39/18/74/157391874.db2.gz SMRUTSJBZOAKAS-NSHDSACASA-N -1 1 347.393 -0.348 20 0 EBADMM CCC[C@@H](NC(=O)Cn1c(=O)[nH]c2ccccc2c1=O)c1nn[n-]n1 ZINC000176779928 419113291 /nfs/dbraw/zinc/11/32/91/419113291.db2.gz RZJWIFHOXQJTRD-LLVKDONJSA-N -1 1 343.347 -0.140 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2CCOC(C)(C)C2)c(=O)n(C)c1=O ZINC000407983599 419130071 /nfs/dbraw/zinc/13/00/71/419130071.db2.gz RCPICZUCFUUFAE-VIFPVBQESA-N -1 1 331.394 -0.680 20 0 EBADMM COC[C@H](NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)C(C)C ZINC000531297726 419222014 /nfs/dbraw/zinc/22/20/14/419222014.db2.gz QKWYVVQQJZJMIK-VIFPVBQESA-N -1 1 347.393 -0.556 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCN(C)C(=O)[C@@H]1C ZINC000188406621 419242284 /nfs/dbraw/zinc/24/22/84/419242284.db2.gz GGERHQIOACGZFR-NSHDSACASA-N -1 1 331.376 -0.129 20 0 EBADMM CN(C[C@@H](O)CNC(=O)c1ncccc1O)C(=O)c1ncccc1[O-] ZINC000647810528 419309137 /nfs/dbraw/zinc/30/91/37/419309137.db2.gz PYNQDKRBBUBGFP-JTQLQIEISA-N -1 1 346.343 -0.249 20 0 EBADMM CN(C[C@@H](O)CNC(=O)c1ncccc1[O-])C(=O)c1ncccc1O ZINC000647810528 419309139 /nfs/dbraw/zinc/30/91/39/419309139.db2.gz PYNQDKRBBUBGFP-JTQLQIEISA-N -1 1 346.343 -0.249 20 0 EBADMM COC(=O)[C@]1([N-]S(=O)(=O)CCCS(C)(=O)=O)CCSC1 ZINC000340071557 419328770 /nfs/dbraw/zinc/32/87/70/419328770.db2.gz SIWCOZPQPOJJRP-JTQLQIEISA-N -1 1 345.464 -0.611 20 0 EBADMM CCOC(=O)CN(C)C(=O)CN1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000295409623 419361191 /nfs/dbraw/zinc/36/11/91/419361191.db2.gz SNOVIGCJFKKEAO-JTQLQIEISA-N -1 1 326.353 -0.436 20 0 EBADMM CS(=O)(=O)NCC[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000645454435 419503350 /nfs/dbraw/zinc/50/33/50/419503350.db2.gz CFQINVNBGGLMMG-UHFFFAOYSA-N -1 1 347.340 -0.072 20 0 EBADMM COCCO[C@@H]1COCC[C@@H]1[N-]S(=O)(=O)c1c(C)onc1N ZINC000349583229 419504504 /nfs/dbraw/zinc/50/45/04/419504504.db2.gz QFMQVDZMGKUINP-VHSXEESVSA-N -1 1 335.382 -0.336 20 0 EBADMM CO[C@@H](CS(=O)(=O)[N-][C@@H]1CC(=O)N(C)C1=O)C1CCOCC1 ZINC000645696103 419797229 /nfs/dbraw/zinc/79/72/29/419797229.db2.gz RGXPMULRSZBQPC-MNOVXSKESA-N -1 1 334.394 -0.895 20 0 EBADMM O=C(CNC(=O)NCc1ccco1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000533113731 419964671 /nfs/dbraw/zinc/96/46/71/419964671.db2.gz QOEPJYAVABXZPX-JTQLQIEISA-N -1 1 333.352 -0.002 20 0 EBADMM C[C@@H](CCN1CCN(C)CC1)NS(=O)(=O)[N-]CC(F)(F)F ZINC000195458684 420525935 /nfs/dbraw/zinc/52/59/35/420525935.db2.gz ZCXFKKOQWVOPKS-JTQLQIEISA-N -1 1 332.392 -0.001 20 0 EBADMM CCOc1nc(C)ccc1C(=O)Nc1n[n-]c(S(C)(=O)=O)n1 ZINC000361857369 420540284 /nfs/dbraw/zinc/54/02/84/420540284.db2.gz FYZDDODMSNMDJN-UHFFFAOYSA-N -1 1 325.350 0.563 20 0 EBADMM CCOc1nc(C)ccc1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000361857369 420540285 /nfs/dbraw/zinc/54/02/85/420540285.db2.gz FYZDDODMSNMDJN-UHFFFAOYSA-N -1 1 325.350 0.563 20 0 EBADMM CC(C)(C)OC(=O)N1CC(OCCC(=O)NN2CC(=O)[N-]C2=O)C1 ZINC000495642539 420603861 /nfs/dbraw/zinc/60/38/61/420603861.db2.gz UCLSBIWZONCIQH-UHFFFAOYSA-N -1 1 342.352 -0.405 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@@H]3NC(=O)OC[C@H]3C2)o1 ZINC000491146540 420555321 /nfs/dbraw/zinc/55/53/21/420555321.db2.gz RZSBIKHJDYFJIJ-BDAKNGLRSA-N -1 1 343.361 -0.242 20 0 EBADMM CCOC(=O)N[C@H](C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)C(C)C ZINC000491427899 420558994 /nfs/dbraw/zinc/55/89/94/420558994.db2.gz KMGLIHIMXFEPIW-ZETCQYMHSA-N -1 1 333.370 -0.083 20 0 EBADMM CCOC(=O)N[C@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)C(C)C ZINC000491427899 420558997 /nfs/dbraw/zinc/55/89/97/420558997.db2.gz KMGLIHIMXFEPIW-ZETCQYMHSA-N -1 1 333.370 -0.083 20 0 EBADMM CC(C)(C)OC(=O)N1C[C@@H](O)C[C@H]1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000492255423 420572330 /nfs/dbraw/zinc/57/23/30/420572330.db2.gz BXEDWLREOPGATL-IUCAKERBSA-N -1 1 338.368 -0.325 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCO[C@H]1c1nccn1C ZINC000349516393 420659252 /nfs/dbraw/zinc/65/92/52/420659252.db2.gz MZRPYWCXXRZLMI-RKDXNWHRSA-N -1 1 327.366 0.107 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1cccc(OCC(N)=O)c1 ZINC000569052901 420659847 /nfs/dbraw/zinc/65/98/47/420659847.db2.gz AYUSYLZAUJTMLX-UHFFFAOYSA-N -1 1 340.361 -0.092 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@]1(CO)COc2ccccc2C1 ZINC000529817882 420775090 /nfs/dbraw/zinc/77/50/90/420775090.db2.gz APQWHBYLEDBCML-MRXNPFEDSA-N -1 1 347.327 -0.008 20 0 EBADMM Cn1ncc(Cl)c1S(=O)(=O)N[C@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000227864175 420722689 /nfs/dbraw/zinc/72/26/89/420722689.db2.gz FEEMCISMPLZCDX-MRVPVSSYSA-N -1 1 333.757 -0.229 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CC[C@@H]3C[C@@H]3C2)o1 ZINC000649168875 420790157 /nfs/dbraw/zinc/79/01/57/420790157.db2.gz JONRJVXBTBBRPH-OPRDCNLKSA-N -1 1 341.389 -0.038 20 0 EBADMM CC[C@H](COCC1CC1)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000657057549 420912324 /nfs/dbraw/zinc/91/23/24/420912324.db2.gz LOSCBXAAVKKNBH-LLVKDONJSA-N -1 1 345.421 -0.433 20 0 EBADMM CO[C@H]1CS(=O)(=O)C[C@H]1[N-]S(=O)(=O)CCC(F)(F)F ZINC000657099718 420915354 /nfs/dbraw/zinc/91/53/54/420915354.db2.gz HANSPORZROFXKT-RQJHMYQMSA-N -1 1 325.330 -0.330 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@H]1[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000657100629 420915587 /nfs/dbraw/zinc/91/55/87/420915587.db2.gz NALZAHOTBCIORR-RKDXNWHRSA-N -1 1 340.448 -0.150 20 0 EBADMM Cc1cc(N)cc(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)c1 ZINC000399094908 264686611 /nfs/dbraw/zinc/68/66/11/264686611.db2.gz XXTSRFDYJUTMJK-UHFFFAOYSA-N -1 1 338.389 -0.547 20 0 EBADMM CCCCN(CC)C(=O)CN1Cc2n[nH]c(=O)n2C[C@H]1C(=O)[O-] ZINC000424266415 265056309 /nfs/dbraw/zinc/05/63/09/265056309.db2.gz KYUCRQYQWRMAOS-JTQLQIEISA-N -1 1 325.369 -0.099 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2C[C@H]3C[C@H]3C2)o1 ZINC000425163590 265084293 /nfs/dbraw/zinc/08/42/93/265084293.db2.gz WFAJHLPYITYWTL-AYMMMOKOSA-N -1 1 327.362 -0.429 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1nccc(OC)n1 ZINC000425188693 265086136 /nfs/dbraw/zinc/08/61/36/265086136.db2.gz YDYJPOKQTROCBG-UHFFFAOYSA-N -1 1 341.349 -0.137 20 0 EBADMM CN(C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)[C@H]1CC1(C)C ZINC000428098441 265118112 /nfs/dbraw/zinc/11/81/12/265118112.db2.gz VPCTYKILGBHVAF-VIFPVBQESA-N -1 1 329.378 -0.086 20 0 EBADMM O=C(CNC(=O)NCc1ccc(F)cc1)NC1(c2nn[n-]n2)CC1 ZINC000430315047 265148386 /nfs/dbraw/zinc/14/83/86/265148386.db2.gz CSVCXZJSMVUJKR-UHFFFAOYSA-N -1 1 333.327 -0.057 20 0 EBADMM O=C(CNC(=O)c1c([O-])cccc1F)NCCN1CCOCC1 ZINC000436974293 265243967 /nfs/dbraw/zinc/24/39/67/265243967.db2.gz XRVBZSWPDOBYOT-UHFFFAOYSA-N -1 1 325.340 -0.291 20 0 EBADMM CN(CCNC(=O)c1cnn[nH]1)S(=O)(=O)c1ccc(F)cc1 ZINC000437507164 265248014 /nfs/dbraw/zinc/24/80/14/265248014.db2.gz KVTXLPDETUESSU-UHFFFAOYSA-N -1 1 327.341 -0.006 20 0 EBADMM COC[C@@H](O)CN1CCC(NS(=O)(=O)[N-]CC(F)(F)F)CC1 ZINC000439280518 265267810 /nfs/dbraw/zinc/26/78/10/265267810.db2.gz DDNUCIQIIPUFSP-JTQLQIEISA-N -1 1 349.375 -0.556 20 0 EBADMM CN1CCN(c2cccc(C(=O)NCCc3nc(=O)o[n-]3)n2)CC1 ZINC000447637644 265375044 /nfs/dbraw/zinc/37/50/44/265375044.db2.gz RSBDDXKBUTTWGY-UHFFFAOYSA-N -1 1 332.364 -0.518 20 0 EBADMM C=CC(=O)NCCC(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000490737468 272863621 /nfs/dbraw/zinc/86/36/21/272863621.db2.gz ZMXVYRIMHOSIQS-UHFFFAOYSA-N -1 1 327.362 -0.069 20 0 EBADMM NS(=O)(=O)N1CCC[C@@H](C(=O)NC2(c3nn[n-]n3)CCCC2)C1 ZINC000668872858 545779396 /nfs/dbraw/zinc/77/93/96/545779396.db2.gz WYMIOEJEQVWQOI-SECBINFHSA-N -1 1 343.413 -0.999 20 0 EBADMM C[C@@H](C[C@H]1CCOC1)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000675330978 546182210 /nfs/dbraw/zinc/18/22/10/546182210.db2.gz YUVXBTHDZKXDNZ-VHSXEESVSA-N -1 1 331.394 -0.823 20 0 EBADMM O=C(CCC(=O)N1CCN(c2ccccc2)CC1)NCc1nn[n-]n1 ZINC000675790957 546190023 /nfs/dbraw/zinc/19/00/23/546190023.db2.gz MOUZTJJJDQXQLJ-UHFFFAOYSA-N -1 1 343.391 -0.055 20 0 EBADMM CC(C)[C@@H](NS(C)(=O)=O)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000677794088 546235790 /nfs/dbraw/zinc/23/57/90/546235790.db2.gz YRCUSNPMRUQKRT-VHSXEESVSA-N -1 1 330.414 -0.521 20 0 EBADMM Cc1nn(-c2ccccc2)cc1NC(=O)C(=O)NCc1nn[n-]n1 ZINC000679732643 546277473 /nfs/dbraw/zinc/27/74/73/546277473.db2.gz UADWRAWKPXWLLT-UHFFFAOYSA-N -1 1 326.320 -0.051 20 0 EBADMM Cc1cnc(C(=O)NCCN2CCN(S(C)(=O)=O)CC2)c([O-])c1 ZINC000681716890 546309880 /nfs/dbraw/zinc/30/98/80/546309880.db2.gz XQACESIXVMXELF-UHFFFAOYSA-N -1 1 342.421 -0.597 20 0 EBADMM Cn1c(CS(=O)(=O)c2nnc(C(C)(C)C)[n-]2)cc(=O)n(C)c1=O ZINC000195173355 546414534 /nfs/dbraw/zinc/41/45/34/546414534.db2.gz GHQXROHOBSYWSR-UHFFFAOYSA-N -1 1 341.393 -0.527 20 0 EBADMM Cn1c(CS(=O)(=O)c2nc(C(C)(C)C)n[n-]2)cc(=O)n(C)c1=O ZINC000195173355 546414537 /nfs/dbraw/zinc/41/45/37/546414537.db2.gz GHQXROHOBSYWSR-UHFFFAOYSA-N -1 1 341.393 -0.527 20 0 EBADMM Cn1c(CS(=O)(=O)c2n[n-]c(C(C)(C)C)n2)cc(=O)n(C)c1=O ZINC000195173355 546414540 /nfs/dbraw/zinc/41/45/40/546414540.db2.gz GHQXROHOBSYWSR-UHFFFAOYSA-N -1 1 341.393 -0.527 20 0 EBADMM NC(=O)[C@H](c1ccc(-c2nn[nH]n2)cc1)[N@@H+]1CCC[C@@H](OCCO)C1 ZINC000737739269 582544565 /nfs/dbraw/zinc/54/45/65/582544565.db2.gz NAGLRMKKLBHBDF-KGLIPLIRSA-N -1 1 346.391 -0.134 20 0 EBADMM NC(=O)[C@H](c1ccc(-c2nn[nH]n2)cc1)[N@H+]1CCC[C@@H](OCCO)C1 ZINC000737739269 582544567 /nfs/dbraw/zinc/54/45/67/582544567.db2.gz NAGLRMKKLBHBDF-KGLIPLIRSA-N -1 1 346.391 -0.134 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@]2(C)C[C@H]3C[C@H]3C2)c(=O)n(C)c1=O ZINC000625564534 582550349 /nfs/dbraw/zinc/55/03/49/582550349.db2.gz VQBAVJVLPLLPSW-WDAIWFPHSA-N -1 1 327.406 -0.202 20 0 EBADMM C[N@@H+]1Cc2ccccc2[C@@H](NC(=O)N2CC3(C2)NC(=O)NC3=O)C1 ZINC000893854489 582611399 /nfs/dbraw/zinc/61/13/99/582611399.db2.gz AMWFDWMDNBHKGW-LBPRGKRZSA-N -1 1 329.360 -0.224 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(c2ncccn2)CC1 ZINC000726864505 582623129 /nfs/dbraw/zinc/62/31/29/582623129.db2.gz CAKKOOFPJLLARH-UHFFFAOYSA-N -1 1 330.348 -0.935 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(c2ccccc2O)CC1 ZINC000727848101 582659663 /nfs/dbraw/zinc/65/96/63/582659663.db2.gz XYDBFBUNVCLHTA-UHFFFAOYSA-N -1 1 344.371 -0.019 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@H](C(=O)NCC2CC2)C1 ZINC000727849892 582660982 /nfs/dbraw/zinc/66/09/82/582660982.db2.gz NECMPHJMQKOZIM-ZDUSSCGKSA-N -1 1 348.403 -0.309 20 0 EBADMM C[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)NCc1ccccc1 ZINC000727852465 582661424 /nfs/dbraw/zinc/66/14/24/582661424.db2.gz WSEXBOSWEMCANO-LBPRGKRZSA-N -1 1 344.371 -0.252 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCC(=O)N1CCOCC1 ZINC000729289058 582690277 /nfs/dbraw/zinc/69/02/77/582690277.db2.gz UWZURPOFLUDHGS-UHFFFAOYSA-N -1 1 348.359 -0.004 20 0 EBADMM O=C(CN1CSCCSC1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000900626995 582775849 /nfs/dbraw/zinc/77/58/49/582775849.db2.gz UYXDMXZYBBAELP-SECBINFHSA-N -1 1 330.439 -0.203 20 0 EBADMM O=C(Cn1c(=O)cnc2ccccc21)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000731854734 582841119 /nfs/dbraw/zinc/84/11/19/582841119.db2.gz BTEXNVPLLNDTDG-LBPRGKRZSA-N -1 1 341.331 -0.490 20 0 EBADMM CON(C)S(=O)(=O)c1ccc(C(=O)NCc2nn[n-]n2)cc1 ZINC000731872782 582841438 /nfs/dbraw/zinc/84/14/38/582841438.db2.gz GVPFWULJMDHTME-UHFFFAOYSA-N -1 1 326.338 -0.688 20 0 EBADMM O=C(CCNS(=O)(=O)C=Cc1ccccc1)NCc1nn[n-]n1 ZINC000731873337 582841554 /nfs/dbraw/zinc/84/15/54/582841554.db2.gz OEOGMRPKXPTIDB-CLFYSBASSA-N -1 1 336.377 -0.204 20 0 EBADMM C[C@H](C(=O)N(C)CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1)n1cccn1 ZINC000732089307 582844297 /nfs/dbraw/zinc/84/42/97/582844297.db2.gz GFFCIGLWSWAQIY-GHMZBOCLSA-N -1 1 348.367 -0.984 20 0 EBADMM O=C(CNC(=O)Nc1ccccc1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000732090958 582844589 /nfs/dbraw/zinc/84/45/89/582844589.db2.gz WPKLURHVYRGXNB-NSHDSACASA-N -1 1 331.336 -0.079 20 0 EBADMM NS(=O)(=O)c1cccc(NC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000733123313 582855981 /nfs/dbraw/zinc/85/59/81/582855981.db2.gz GZAJJSWZUVTJQC-UHFFFAOYSA-N -1 1 338.345 -0.787 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC[S@@](=O)Cc1ccccc1 ZINC000733153236 582856291 /nfs/dbraw/zinc/85/62/91/582856291.db2.gz MPDTWKCCHHRCKA-XMMPIXPASA-N -1 1 349.412 -0.008 20 0 EBADMM C[NH+](C)CCN(Cc1ccccn1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000733151640 582856433 /nfs/dbraw/zinc/85/64/33/582856433.db2.gz JYYFQQBSOQZNQG-UHFFFAOYSA-N -1 1 345.403 -0.088 20 0 EBADMM C[C@H](NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)c1nncn1C ZINC000736129163 582918810 /nfs/dbraw/zinc/91/88/10/582918810.db2.gz CMBNZMJKYZEWOH-ZETCQYMHSA-N -1 1 335.353 -0.570 20 0 EBADMM C[C@H](NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)c1nncn1C ZINC000736129163 582918812 /nfs/dbraw/zinc/91/88/12/582918812.db2.gz CMBNZMJKYZEWOH-ZETCQYMHSA-N -1 1 335.353 -0.570 20 0 EBADMM CC(=O)N1CCN([C@@H]2CN([C@@H](C)C(=O)NCC(=O)[O-])C[C@@H]2C)CC1 ZINC000736133721 582919527 /nfs/dbraw/zinc/91/95/27/582919527.db2.gz CFBSWFZQRMEROZ-SGMGOOAPSA-N -1 1 340.424 -0.940 20 0 EBADMM C[C@@H]1[C@H](C)SCCN1c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000736300647 582929086 /nfs/dbraw/zinc/92/90/86/582929086.db2.gz CMYOBPHXRGSSQZ-SFYZADRCSA-N -1 1 337.409 -0.406 20 0 EBADMM CC(C)[C@@H](NC(=O)[O-])C(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC000736367248 582931953 /nfs/dbraw/zinc/93/19/53/582931953.db2.gz ZEIJRZRHBKJDHE-ZIAGYGMSSA-N -1 1 342.440 -0.199 20 0 EBADMM CCN(CCCNc1nccnc1-c1nnn[n-]1)S(C)(=O)=O ZINC000736536445 582939151 /nfs/dbraw/zinc/93/91/51/582939151.db2.gz DMVFJLBGLOXHGF-UHFFFAOYSA-N -1 1 326.386 -0.260 20 0 EBADMM CCN(CCCNc1nccnc1-c1nn[n-]n1)S(C)(=O)=O ZINC000736536445 582939153 /nfs/dbraw/zinc/93/91/53/582939153.db2.gz DMVFJLBGLOXHGF-UHFFFAOYSA-N -1 1 326.386 -0.260 20 0 EBADMM CCOC[C@H]1CCCN(c2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)C1 ZINC000736611608 582947162 /nfs/dbraw/zinc/94/71/62/582947162.db2.gz TYYFDFIZOAJBRO-JTQLQIEISA-N -1 1 349.395 -0.483 20 0 EBADMM C[C@@H](O)CN1CCN(CC(=O)N2CCC(C(=O)[O-])CC2)C[C@H]1C ZINC000736675147 582949743 /nfs/dbraw/zinc/94/97/43/582949743.db2.gz BXJVTLSHVFBYEG-CHWSQXEVSA-N -1 1 327.425 -0.304 20 0 EBADMM CCc1nn(CCN2C(=O)CCC2=O)c(=O)c(-c2nn[n-]n2)c1CC ZINC000736705042 582950686 /nfs/dbraw/zinc/95/06/86/582950686.db2.gz BZGUSMPQGFKBJW-UHFFFAOYSA-N -1 1 345.363 -0.303 20 0 EBADMM CCn1c(C)nnc1Sc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000736720863 582951588 /nfs/dbraw/zinc/95/15/88/582951588.db2.gz XXLWLVPHWGKLFU-UHFFFAOYSA-N -1 1 349.380 -0.665 20 0 EBADMM CCn1cc(-c2nn[n-]n2)c(=O)n(Cc2cccc(OC)n2)c1=O ZINC000736717877 582951672 /nfs/dbraw/zinc/95/16/72/582951672.db2.gz HTKOOMWLVCNFFY-UHFFFAOYSA-N -1 1 329.320 -0.338 20 0 EBADMM CN(C)S(=O)(=O)N1CCN(c2cccc(-c3nnn[n-]3)n2)CC1 ZINC000736769775 582954470 /nfs/dbraw/zinc/95/44/70/582954470.db2.gz BNQQFUCQRCMGCJ-UHFFFAOYSA-N -1 1 338.397 -0.810 20 0 EBADMM CN(C)S(=O)(=O)N1CCN(c2cccc(-c3nn[n-]n3)n2)CC1 ZINC000736769775 582954473 /nfs/dbraw/zinc/95/44/73/582954473.db2.gz BNQQFUCQRCMGCJ-UHFFFAOYSA-N -1 1 338.397 -0.810 20 0 EBADMM C[N@@H+]1CC[C@@H](NS(=O)(=O)c2ccc(F)c(-c3nn[nH]n3)c2)C1 ZINC000736856193 582963692 /nfs/dbraw/zinc/96/36/92/582963692.db2.gz NZIVRRDBYXDZLP-MRVPVSSYSA-N -1 1 326.357 -0.012 20 0 EBADMM C[N@H+]1CC[C@@H](NS(=O)(=O)c2ccc(F)c(-c3nn[nH]n3)c2)C1 ZINC000736856193 582963697 /nfs/dbraw/zinc/96/36/97/582963697.db2.gz NZIVRRDBYXDZLP-MRVPVSSYSA-N -1 1 326.357 -0.012 20 0 EBADMM CC[C@H](C)[C@H](NC(=O)CN1CCN(C[C@@H](C)O)[C@H](C)C1)C(=O)[O-] ZINC000736886941 582969513 /nfs/dbraw/zinc/96/95/13/582969513.db2.gz QGPROAMYDIMUMR-JLNYLFASSA-N -1 1 329.441 -0.011 20 0 EBADMM COC(=O)CCCCNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736912693 582971760 /nfs/dbraw/zinc/97/17/60/582971760.db2.gz UJJDDFYOKHVRIX-UHFFFAOYSA-N -1 1 340.365 -0.117 20 0 EBADMM COC(=O)CCCCNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736912693 582971762 /nfs/dbraw/zinc/97/17/62/582971762.db2.gz UJJDDFYOKHVRIX-UHFFFAOYSA-N -1 1 340.365 -0.117 20 0 EBADMM COC(=O)c1ccc(NC(=O)Cn2cnnc2-c2nn[n-]n2)cc1 ZINC000736947922 582977434 /nfs/dbraw/zinc/97/74/34/582977434.db2.gz OFCMLGCEAVITBI-UHFFFAOYSA-N -1 1 328.292 -0.117 20 0 EBADMM CCC[C@](C)(NCC(=O)NC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)[O-] ZINC000736964857 582977868 /nfs/dbraw/zinc/97/78/68/582977868.db2.gz QPLHQXTUOXDPTG-ZANVPECISA-N -1 1 349.409 -0.768 20 0 EBADMM CCC[C@@](C)(NCC(=O)NC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)[O-] ZINC000736964854 582978111 /nfs/dbraw/zinc/97/81/11/582978111.db2.gz QPLHQXTUOXDPTG-NOZJJQNGSA-N -1 1 349.409 -0.768 20 0 EBADMM COCCNC(=O)CN1CCN(c2cccc(-c3nnn[n-]3)n2)CC1 ZINC000737006705 582983640 /nfs/dbraw/zinc/98/36/40/582983640.db2.gz NAGMVXAUOGFUMO-UHFFFAOYSA-N -1 1 346.395 -0.854 20 0 EBADMM COCCNC(=O)CN1CCN(c2cccc(-c3nn[n-]n3)n2)CC1 ZINC000737006705 582983643 /nfs/dbraw/zinc/98/36/43/582983643.db2.gz NAGMVXAUOGFUMO-UHFFFAOYSA-N -1 1 346.395 -0.854 20 0 EBADMM CCCc1nnc([C@H]2CN([C@@H](C)C(=O)NCC(=O)[O-])CCO2)[nH]1 ZINC000737051533 582985929 /nfs/dbraw/zinc/98/59/29/582985929.db2.gz UILKZTLJYWKSIV-VHSXEESVSA-N -1 1 325.369 -0.280 20 0 EBADMM CCCc1n[nH]c([C@H]2CN([C@@H](C)C(=O)NCC(=O)[O-])CCO2)n1 ZINC000737051533 582985932 /nfs/dbraw/zinc/98/59/32/582985932.db2.gz UILKZTLJYWKSIV-VHSXEESVSA-N -1 1 325.369 -0.280 20 0 EBADMM CCN(CC)C(=O)CN1CCN(CCC(=O)N(C)CC(=O)[O-])CC1 ZINC000737080039 582988559 /nfs/dbraw/zinc/98/85/59/582988559.db2.gz SFQIPLOYMLDAGB-UHFFFAOYSA-N -1 1 342.440 -0.595 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NC[C@H]2CCCC[C@H]2O)n(C)c1=O ZINC000737598005 583018835 /nfs/dbraw/zinc/01/88/35/583018835.db2.gz WBCQJQQGBGETLA-RKDXNWHRSA-N -1 1 335.368 -0.773 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N[C@@H](CO)c2ccccc2)n(C)c1=O ZINC000737596481 583018984 /nfs/dbraw/zinc/01/89/84/583018984.db2.gz OCWYAWWLEOVJKB-JTQLQIEISA-N -1 1 343.347 -0.590 20 0 EBADMM NC(=O)CN1CCN(c2c3ccccc3nnc2-c2nnn[n-]2)CC1 ZINC000737753739 583025434 /nfs/dbraw/zinc/02/54/34/583025434.db2.gz QHJGUCVRLWFDLS-UHFFFAOYSA-N -1 1 339.363 -0.583 20 0 EBADMM NC(=O)CN1CCN(c2c3ccccc3nnc2-c2nn[n-]n2)CC1 ZINC000737753739 583025436 /nfs/dbraw/zinc/02/54/36/583025436.db2.gz QHJGUCVRLWFDLS-UHFFFAOYSA-N -1 1 339.363 -0.583 20 0 EBADMM NC(=O)[C@@H]1CN(c2c3ccccc3nnc2-c2nnn[n-]2)CCO1 ZINC000737746353 583025728 /nfs/dbraw/zinc/02/57/28/583025728.db2.gz SFNYKHYJXHFJSJ-JTQLQIEISA-N -1 1 326.320 -0.500 20 0 EBADMM NC(=O)[C@@H]1CN(c2c3ccccc3nnc2-c2nn[n-]n2)CCO1 ZINC000737746353 583025731 /nfs/dbraw/zinc/02/57/31/583025731.db2.gz SFNYKHYJXHFJSJ-JTQLQIEISA-N -1 1 326.320 -0.500 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1ccc(F)c(-c2nn[nH]n2)c1 ZINC000737863629 583029895 /nfs/dbraw/zinc/02/98/95/583029895.db2.gz SVWIXZWYWSXATF-UHFFFAOYSA-N -1 1 345.294 -0.115 20 0 EBADMM O=C(CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)OCC1CC1 ZINC000737894674 583032728 /nfs/dbraw/zinc/03/27/28/583032728.db2.gz IVUWBBAJBZDFSY-UHFFFAOYSA-N -1 1 338.349 -0.507 20 0 EBADMM O=C(CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)OCC1CC1 ZINC000737894674 583032730 /nfs/dbraw/zinc/03/27/30/583032730.db2.gz IVUWBBAJBZDFSY-UHFFFAOYSA-N -1 1 338.349 -0.507 20 0 EBADMM O=C(Nc1nnn[n-]1)[C@@H]1CCCN1c1ccc(-c2nn[nH]n2)cc1 ZINC000738210716 583045398 /nfs/dbraw/zinc/04/53/98/583045398.db2.gz UFVNJIRPZAHXAF-JTQLQIEISA-N -1 1 326.324 -0.013 20 0 EBADMM O=C(Nc1nn[n-]n1)[C@@H]1CCCN1c1ccc(-c2nn[nH]n2)cc1 ZINC000738210716 583045408 /nfs/dbraw/zinc/04/54/08/583045408.db2.gz UFVNJIRPZAHXAF-JTQLQIEISA-N -1 1 326.324 -0.013 20 0 EBADMM COC(=O)C[C@@H]1CSCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000740829952 583068029 /nfs/dbraw/zinc/06/80/29/583068029.db2.gz VJYPHRFYLPSTTP-SNVBAGLBSA-N -1 1 341.389 -0.566 20 0 EBADMM O=C(Cc1cccs1)N1CCC[C@H]1C(=O)NN1CC(=O)[N-]C1=O ZINC000743286681 583084779 /nfs/dbraw/zinc/08/47/79/583084779.db2.gz FBFHIOMWUAQTJL-JTQLQIEISA-N -1 1 336.373 -0.135 20 0 EBADMM CN(C(=O)CNC(=O)CCn1cc[n-]c(=O)c1=O)C1CCCCC1 ZINC000748512839 583121233 /nfs/dbraw/zinc/12/12/33/583121233.db2.gz NMPFONHRCYKLPL-UHFFFAOYSA-N -1 1 336.392 -0.166 20 0 EBADMM CCOC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)CCS(C)(=O)=O ZINC000751982683 583151112 /nfs/dbraw/zinc/15/11/12/583151112.db2.gz PNIZWVGDPKATKA-SNVBAGLBSA-N -1 1 327.424 -0.318 20 0 EBADMM CC1([C@H]2CCCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)OCCO1 ZINC000752450823 583154255 /nfs/dbraw/zinc/15/42/55/583154255.db2.gz BXJODPWUCQRVIP-LBPRGKRZSA-N -1 1 337.376 -0.072 20 0 EBADMM COC(=O)[C@@]1(NC(=O)CCn2cc[n-]c(=O)c2=O)CCSC1 ZINC000759919822 583219677 /nfs/dbraw/zinc/21/96/77/583219677.db2.gz JAFCDFZUHCDYCU-CYBMUJFWSA-N -1 1 327.362 -0.908 20 0 EBADMM CCS(=O)(=O)CCN(C)C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000760055458 583221870 /nfs/dbraw/zinc/22/18/70/583221870.db2.gz IRXQEGJGGSNTPN-UHFFFAOYSA-N -1 1 338.407 -0.306 20 0 EBADMM CC(C)C[C@@H](O)CNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000762471379 583247609 /nfs/dbraw/zinc/24/76/09/583247609.db2.gz WPZFWEKMRFATEA-SECBINFHSA-N -1 1 347.393 -0.820 20 0 EBADMM Cn1[n-]c(COC(=O)CCCS(=O)(=O)N2CCCC2)nc1=O ZINC000765457797 583282808 /nfs/dbraw/zinc/28/28/08/583282808.db2.gz GGGBPSVUDXFYMU-UHFFFAOYSA-N -1 1 332.382 -0.643 20 0 EBADMM Cn1[n-]c(COC(=O)c2ccc(C[C@@H]3CC(=O)NC3=O)cc2)nc1=O ZINC000765477754 583283583 /nfs/dbraw/zinc/28/35/83/583283583.db2.gz ABPGBAJIPBBHPG-LLVKDONJSA-N -1 1 344.327 -0.329 20 0 EBADMM CCOC(=O)[C@H](COC)OC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000766322057 583293246 /nfs/dbraw/zinc/29/32/46/583293246.db2.gz ULXJGFBYOTULKJ-VIFPVBQESA-N -1 1 335.334 -0.077 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCS[C@@H]2COCC[C@H]21 ZINC000768378371 583314222 /nfs/dbraw/zinc/31/42/22/583314222.db2.gz VYXPHNKHXYKNAH-GHMZBOCLSA-N -1 1 325.390 -0.340 20 0 EBADMM CCCCCCn1cc(S(=O)(=O)[N-]CC(=O)NC)c(=O)[nH]c1=O ZINC000769627644 583325989 /nfs/dbraw/zinc/32/59/89/583325989.db2.gz VKNKMBIDRHUAKW-UHFFFAOYSA-N -1 1 346.409 -0.447 20 0 EBADMM CCOC(=O)C(C)(C)n1cc(NC(=O)NN2CC(=O)[N-]C2=O)cn1 ZINC000770597642 583339799 /nfs/dbraw/zinc/33/97/99/583339799.db2.gz TUGIELXSTCEUJZ-UHFFFAOYSA-N -1 1 338.324 -0.230 20 0 EBADMM COC(=O)C[C@]1(NC(=O)CCn2cc[n-]c(=O)c2=O)CCCOC1 ZINC000771656697 583350015 /nfs/dbraw/zinc/35/00/15/583350015.db2.gz UKQARUXHPAUTIC-OAHLLOKOSA-N -1 1 339.348 -0.845 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)CN2CC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000772218589 583355691 /nfs/dbraw/zinc/35/56/91/583355691.db2.gz HNQRMOZQNVQFNL-GFCCVEGCSA-N -1 1 341.389 -0.686 20 0 EBADMM COC(=O)c1[n-]nc2ccc(NC(=O)Cc3[nH]c(O)nc3O)cc21 ZINC000773225939 583370710 /nfs/dbraw/zinc/37/07/10/583370710.db2.gz MRJXOKCDXCMHNX-VIFPVBQESA-N -1 1 331.288 -0.114 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NOC2CCCC2)o1 ZINC000775598263 583398333 /nfs/dbraw/zinc/39/83/33/583398333.db2.gz IMLGLQNHCDNBEY-UHFFFAOYSA-N -1 1 331.350 -0.353 20 0 EBADMM COCCOc1cc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)ccn1 ZINC000777391027 583413256 /nfs/dbraw/zinc/41/32/56/583413256.db2.gz FPDZREVQLVTSMI-NSHDSACASA-N -1 1 334.336 -0.166 20 0 EBADMM CCCN(C(=O)[C@H](C)OC(=O)c1cn[n-]n1)[C@H]1CCS(=O)(=O)C1 ZINC000805603698 583418913 /nfs/dbraw/zinc/41/89/13/583418913.db2.gz SOHMWSKWEJYOQH-UWVGGRQHSA-N -1 1 344.393 -0.224 20 0 EBADMM CC(C)[C@@H](OC(=O)c1cn[n-]n1)C(=O)N[C@@]1(C)CCS(=O)(=O)C1 ZINC000805603426 583418924 /nfs/dbraw/zinc/41/89/24/583418924.db2.gz MRAWKNFWYBYGET-MFKMUULPSA-N -1 1 344.393 -0.321 20 0 EBADMM O=C(N[C@H]1CN(Cc2ccccc2)CC[C@H]1CO)c1cc(=O)[nH][n-]1 ZINC000779238815 583432276 /nfs/dbraw/zinc/43/22/76/583432276.db2.gz QEVATIQZYIOODJ-ZFWWWQNUSA-N -1 1 330.388 -0.139 20 0 EBADMM O=C(N[C@@H]1CN(Cc2ccccc2)CC[C@H]1CO)c1cc(=O)[nH][n-]1 ZINC000779238809 583432308 /nfs/dbraw/zinc/43/23/08/583432308.db2.gz QEVATIQZYIOODJ-DZGCQCFKSA-N -1 1 330.388 -0.139 20 0 EBADMM CSCCO[N-]C(=O)C(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000785410978 583497128 /nfs/dbraw/zinc/49/71/28/583497128.db2.gz GNLZALQEEYCGRR-GFCCVEGCSA-N -1 1 333.454 -0.130 20 0 EBADMM Cn1nnc(C[N-]S(=O)(=O)c2ccc(F)c(S(C)(=O)=O)c2)n1 ZINC000785405250 583497499 /nfs/dbraw/zinc/49/74/99/583497499.db2.gz MYLXHIRQCBMJHM-UHFFFAOYSA-N -1 1 349.369 -0.769 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@@H]2NC(=O)O[C@@H]2C)cc1 ZINC000786075681 583505523 /nfs/dbraw/zinc/50/55/23/583505523.db2.gz ZNQFKZGMLPTVFV-PRHODGIISA-N -1 1 336.300 -0.008 20 0 EBADMM C[C@H]1CC[C@H](COC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)O1 ZINC000786195779 583506582 /nfs/dbraw/zinc/50/65/82/583506582.db2.gz BCRVHHMWCVBPLH-DTWKUNHWSA-N -1 1 346.361 -0.233 20 0 EBADMM CC1(COC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)COC1 ZINC000786196669 583507003 /nfs/dbraw/zinc/50/70/03/583507003.db2.gz IAHGGUFMXKXEEM-UHFFFAOYSA-N -1 1 332.334 -0.764 20 0 EBADMM CC[C@@H](C)C(=O)COC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000786199631 583507142 /nfs/dbraw/zinc/50/71/42/583507142.db2.gz HJOCWAQPFGWJSX-MRVPVSSYSA-N -1 1 346.361 -0.185 20 0 EBADMM COC(=O)CNC(=O)[C@@H](C)OC(=O)c1cc(=O)[n-]c(N(C)C)n1 ZINC000786494074 583510415 /nfs/dbraw/zinc/51/04/15/583510415.db2.gz PJJFBPLYYJZVOD-SSDOTTSWSA-N -1 1 326.309 -0.917 20 0 EBADMM Cc1cccc(C[C@@H](CO)NC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000787389089 583518512 /nfs/dbraw/zinc/51/85/12/583518512.db2.gz AGNJCEIVZROCNQ-AWEZNQCLSA-N -1 1 331.372 -0.045 20 0 EBADMM Cc1ccn(C[C@](C)(O)C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c(=O)c1 ZINC000791131420 583549602 /nfs/dbraw/zinc/54/96/02/583549602.db2.gz ZWHVLKQVZKVHIQ-NHYWBVRUSA-N -1 1 348.363 -0.979 20 0 EBADMM CCCNC(=O)CN(C)C(=O)COC(=O)c1ccc(C(=O)OC)[n-]1 ZINC000796365598 583595691 /nfs/dbraw/zinc/59/56/91/583595691.db2.gz LNCOLMCTUWKAGS-UHFFFAOYSA-N -1 1 339.348 -0.057 20 0 EBADMM C[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@]2(CCCOC2)O1 ZINC000796502905 583595963 /nfs/dbraw/zinc/59/59/63/583595963.db2.gz CLENFRPANOJQFG-WBMJQRKESA-N -1 1 337.376 -0.277 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC(=O)c2cnnn2C)co1 ZINC000803407939 583629662 /nfs/dbraw/zinc/62/96/62/583629662.db2.gz SBDBWMMHDGOXDU-UHFFFAOYSA-N -1 1 328.306 -0.644 20 0 EBADMM CN(C[C@H](O)CN1CCOCC1)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806488584 583650318 /nfs/dbraw/zinc/65/03/18/583650318.db2.gz OMMHIFTUSUASDM-LBPRGKRZSA-N -1 1 348.407 -0.501 20 0 EBADMM CC[C@@H](C)NC(=O)[C@H](C)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806871068 583655150 /nfs/dbraw/zinc/65/51/50/583655150.db2.gz RNOCXTMAEZLNFA-ZJUUUORDSA-N -1 1 346.391 -0.300 20 0 EBADMM CCNC(=O)C(C)(C)CNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806946715 583656117 /nfs/dbraw/zinc/65/61/17/583656117.db2.gz OPWUOBLHLYVWBU-UHFFFAOYSA-N -1 1 346.391 -0.441 20 0 EBADMM CC(=O)N1C[C@@H]2CCC[C@@H](NC(=O)CCn3cc[n-]c(=O)c3=O)[C@H]2C1 ZINC000809196931 583675651 /nfs/dbraw/zinc/67/56/51/583675651.db2.gz ZWKUFUJVSTVTPP-MELADBBJSA-N -1 1 348.403 -0.310 20 0 EBADMM Cn1nnc(CNC(=O)N2CCC[C@H](C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000811911340 583694226 /nfs/dbraw/zinc/69/42/26/583694226.db2.gz MNTSEICLWYMGLP-MRVPVSSYSA-N -1 1 349.317 -0.190 20 0 EBADMM O=C([N-]OCCC1CC1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000817399007 583726632 /nfs/dbraw/zinc/72/66/32/583726632.db2.gz BHOHSKXCDPBTNI-NEPJUHHUSA-N -1 1 330.406 -0.130 20 0 EBADMM CN(CCC(=O)[O-])C(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC000818429215 583743237 /nfs/dbraw/zinc/74/32/37/583743237.db2.gz WOZCKAHVQUXDMZ-CYBMUJFWSA-N -1 1 328.413 -0.491 20 0 EBADMM CN(CCC(=O)[O-])C(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC000818429216 583743307 /nfs/dbraw/zinc/74/33/07/583743307.db2.gz WOZCKAHVQUXDMZ-ZDUSSCGKSA-N -1 1 328.413 -0.491 20 0 EBADMM Cc1ccc(NC(=O)CN2CC[C@@H](C(=O)[O-])C2)cc1S(N)(=O)=O ZINC000818905140 583752960 /nfs/dbraw/zinc/75/29/60/583752960.db2.gz YBIZUBBZEFMMAB-SNVBAGLBSA-N -1 1 341.389 -0.013 20 0 EBADMM CC(=O)NCCN(C(=O)CSc1nnc(C)[nH]1)[C@H](C)C(=O)[O-] ZINC000819745866 583760639 /nfs/dbraw/zinc/76/06/39/583760639.db2.gz OFPCUXPBAHFRNG-SSDOTTSWSA-N -1 1 329.382 -0.357 20 0 EBADMM CC(=O)NCCN(C(=O)CSc1nc(C)n[nH]1)[C@H](C)C(=O)[O-] ZINC000819745866 583760640 /nfs/dbraw/zinc/76/06/40/583760640.db2.gz OFPCUXPBAHFRNG-SSDOTTSWSA-N -1 1 329.382 -0.357 20 0 EBADMM CC(=O)NCCN(Cc1cnc2c(C(N)=O)cnn2c1)[C@@H](C)C(=O)[O-] ZINC000819779986 583761110 /nfs/dbraw/zinc/76/11/10/583761110.db2.gz BMLVNZJRLJQFQO-VIFPVBQESA-N -1 1 348.363 -0.761 20 0 EBADMM CC(C)(C(N)=O)N1CCN(C(=O)c2ccc(-c3nnn[n-]3)s2)CC1 ZINC000819891615 583762666 /nfs/dbraw/zinc/76/26/66/583762666.db2.gz DKOWMLVRTZJTMW-UHFFFAOYSA-N -1 1 349.420 -0.050 20 0 EBADMM CC(C)(C(N)=O)N1CCN(C(=O)c2ccc(-c3nn[n-]n3)s2)CC1 ZINC000819891615 583762667 /nfs/dbraw/zinc/76/26/67/583762667.db2.gz DKOWMLVRTZJTMW-UHFFFAOYSA-N -1 1 349.420 -0.050 20 0 EBADMM CC(C)(NS(C)(=O)=O)C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000819991155 583764560 /nfs/dbraw/zinc/76/45/60/583764560.db2.gz AIPOYGBEMXSJJK-UHFFFAOYSA-N -1 1 340.365 -0.367 20 0 EBADMM CC(C)(NS(C)(=O)=O)C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000819991155 583764562 /nfs/dbraw/zinc/76/45/62/583764562.db2.gz AIPOYGBEMXSJJK-UHFFFAOYSA-N -1 1 340.365 -0.367 20 0 EBADMM CC(C)Cn1ccnc1CNC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000820108787 583766544 /nfs/dbraw/zinc/76/65/44/583766544.db2.gz SRVUAFDLKLCEAA-UHFFFAOYSA-N -1 1 330.356 -0.373 20 0 EBADMM CC(C)COC[C@@H](O)CNC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820103454 583766600 /nfs/dbraw/zinc/76/66/00/583766600.db2.gz ZZYMRUZDIWNXKM-VIFPVBQESA-N -1 1 338.372 -0.245 20 0 EBADMM CC(C)COC[C@@H](O)CNC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820103454 583766601 /nfs/dbraw/zinc/76/66/01/583766601.db2.gz ZZYMRUZDIWNXKM-VIFPVBQESA-N -1 1 338.372 -0.245 20 0 EBADMM CC(C)N1CC[C@H](NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1=O ZINC000820127062 583766948 /nfs/dbraw/zinc/76/69/48/583766948.db2.gz IDMZBWGSSWKALH-VIFPVBQESA-N -1 1 333.356 -0.269 20 0 EBADMM CC(C)N1CC[C@H](NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1=O ZINC000820127062 583766950 /nfs/dbraw/zinc/76/69/50/583766950.db2.gz IDMZBWGSSWKALH-VIFPVBQESA-N -1 1 333.356 -0.269 20 0 EBADMM CC(C)NS(=O)(=O)CCNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000820137624 583767150 /nfs/dbraw/zinc/76/71/50/583767150.db2.gz MKYIISGVGJPSGO-UHFFFAOYSA-N -1 1 344.422 -0.014 20 0 EBADMM CC(C)NS(=O)(=O)CCNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000820137624 583767151 /nfs/dbraw/zinc/76/71/51/583767151.db2.gz MKYIISGVGJPSGO-UHFFFAOYSA-N -1 1 344.422 -0.014 20 0 EBADMM C[C@@H](NS(C)(=O)=O)C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000820450214 583773199 /nfs/dbraw/zinc/77/31/99/583773199.db2.gz IAKGDPVONRIQQW-ZCFIWIBFSA-N -1 1 326.338 -0.552 20 0 EBADMM C[C@@](O)(CNC(=O)Cn1cnc(-c2nn[n-]n2)n1)c1cccs1 ZINC000820467532 583773630 /nfs/dbraw/zinc/77/36/30/583773630.db2.gz JEQXEZVYFFNJSW-GFCCVEGCSA-N -1 1 334.365 -0.456 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nnn[n-]2)CCN1C(=O)c1cn[nH]n1 ZINC000820788555 583780239 /nfs/dbraw/zinc/78/02/39/583780239.db2.gz JODSPFYOBJXGKP-MRVPVSSYSA-N -1 1 341.339 -0.874 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1C(=O)c1cn[nH]n1 ZINC000820788555 583780241 /nfs/dbraw/zinc/78/02/41/583780241.db2.gz JODSPFYOBJXGKP-MRVPVSSYSA-N -1 1 341.339 -0.874 20 0 EBADMM CCCOc1ccc(CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)cn1 ZINC000821134287 583785217 /nfs/dbraw/zinc/78/52/17/583785217.db2.gz SCFDTDCZTVRQGI-UHFFFAOYSA-N -1 1 343.351 -0.042 20 0 EBADMM CCCOC(=O)CCNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821128620 583785230 /nfs/dbraw/zinc/78/52/30/583785230.db2.gz XTTINTOSLRIFJN-UHFFFAOYSA-N -1 1 340.365 -0.117 20 0 EBADMM CCCOC(=O)CCNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821128620 583785231 /nfs/dbraw/zinc/78/52/31/583785231.db2.gz XTTINTOSLRIFJN-UHFFFAOYSA-N -1 1 340.365 -0.117 20 0 EBADMM CCOC(=O)[C@H](C)N(C)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821313123 583788485 /nfs/dbraw/zinc/78/84/85/583788485.db2.gz AKMJYMLVVXIMMB-QMMMGPOBSA-N -1 1 340.365 -0.166 20 0 EBADMM CCOC(=O)[C@H](C)N(C)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821313123 583788487 /nfs/dbraw/zinc/78/84/87/583788487.db2.gz AKMJYMLVVXIMMB-QMMMGPOBSA-N -1 1 340.365 -0.166 20 0 EBADMM CCOC(=O)CCN(CC1CC1)C(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821330886 583788805 /nfs/dbraw/zinc/78/88/05/583788805.db2.gz WZCURMMIXLJAPQ-UHFFFAOYSA-N -1 1 348.367 -0.350 20 0 EBADMM CCc1nn(C[C@H](O)CC(=O)OC)c(=O)c(-c2nn[n-]n2)c1CC ZINC000821516957 583792129 /nfs/dbraw/zinc/79/21/29/583792129.db2.gz FKNCYMZXJZHNGI-MRVPVSSYSA-N -1 1 336.352 -0.528 20 0 EBADMM CCn1cc(-c2nn[n-]n2)c(=O)n(Cc2ccc(OC)nc2)c1=O ZINC000821565533 583793272 /nfs/dbraw/zinc/79/32/72/583793272.db2.gz XPCVAJYEDOOWCE-UHFFFAOYSA-N -1 1 329.320 -0.338 20 0 EBADMM CCn1cc(-c2nn[n-]n2)c(=O)n(CC(=O)c2oc(C)nc2C)c1=O ZINC000821565586 583793404 /nfs/dbraw/zinc/79/34/04/583793404.db2.gz ZUVSFDRLZLNZDW-UHFFFAOYSA-N -1 1 345.319 -0.302 20 0 EBADMM CN(CCC1CCOCC1)c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000821599369 583794916 /nfs/dbraw/zinc/79/49/16/583794916.db2.gz QGWGMMABGKNPDP-UHFFFAOYSA-N -1 1 349.395 -0.483 20 0 EBADMM COC(=O)CNC(=O)CCc1c(C)[nH]c(=O)c(-c2nn[n-]n2)c1C ZINC000821662549 583799712 /nfs/dbraw/zinc/79/97/12/583799712.db2.gz ICVAAIRJBYQPKX-UHFFFAOYSA-N -1 1 334.336 -0.194 20 0 EBADMM COC(=O)N1CCC[C@H](NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000821665129 583799985 /nfs/dbraw/zinc/79/99/85/583799985.db2.gz WSVPRFOPVOBWGX-QMMMGPOBSA-N -1 1 349.355 -0.048 20 0 EBADMM COC(=O)N1CCC[C@H](NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000821665129 583799987 /nfs/dbraw/zinc/79/99/87/583799987.db2.gz WSVPRFOPVOBWGX-QMMMGPOBSA-N -1 1 349.355 -0.048 20 0 EBADMM CO[C@H](CNC(=O)Cn1cnc(-c2nn[n-]n2)n1)c1ccccc1 ZINC000821677459 583800339 /nfs/dbraw/zinc/80/03/39/583800339.db2.gz XJBKCLUUPMMBRH-LLVKDONJSA-N -1 1 328.336 -0.038 20 0 EBADMM Cc1cc(F)ccc1[C@H](O)Cn1c(=O)c(-c2nn[n-]n2)cn(C)c1=O ZINC000822206142 583808454 /nfs/dbraw/zinc/80/84/54/583808454.db2.gz VQXLHLSXTVUMBO-GFCCVEGCSA-N -1 1 346.322 -0.092 20 0 EBADMM Cc1ccc([C@@H]2COCCN2C(=O)Cn2cnc(-c3nn[n-]n3)n2)o1 ZINC000822233250 583808651 /nfs/dbraw/zinc/80/86/51/583808651.db2.gz LEUMJRIIUCEBFE-JTQLQIEISA-N -1 1 344.335 -0.040 20 0 EBADMM Cc1ccc([C@H]2COCCN2C(=O)Cn2cnc(-c3nn[n-]n3)n2)o1 ZINC000822233251 583808757 /nfs/dbraw/zinc/80/87/57/583808757.db2.gz LEUMJRIIUCEBFE-SNVBAGLBSA-N -1 1 344.335 -0.040 20 0 EBADMM Cc1cnn([C@@H]2CCCN(C(=O)Cn3cnc(-c4nn[n-]n4)n3)C2)c1 ZINC000822403455 583810754 /nfs/dbraw/zinc/81/07/54/583810754.db2.gz OLEWYBRSJBAUSM-LLVKDONJSA-N -1 1 342.367 -0.173 20 0 EBADMM Cc1nc(C)c(CCNC(=O)Cn2cnc(-c3nn[n-]n3)n2)s1 ZINC000822427089 583811114 /nfs/dbraw/zinc/81/11/14/583811114.db2.gz CHIQJIORWMVXSC-UHFFFAOYSA-N -1 1 333.381 -0.110 20 0 EBADMM Cc1nccn1CCCNc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000822477432 583811370 /nfs/dbraw/zinc/81/13/70/583811370.db2.gz LPQDDQXPFCRLTE-UHFFFAOYSA-N -1 1 345.367 -0.729 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N[C@H]2CC[C@@H](CO)CC2)n(C)c1=O ZINC000822601244 583813792 /nfs/dbraw/zinc/81/37/92/583813792.db2.gz VYFIYQFLODKPHY-DTORHVGOSA-N -1 1 335.368 -0.773 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CCN(C(=O)C3CC3)CC2)n1 ZINC000822608189 583813822 /nfs/dbraw/zinc/81/38/22/583813822.db2.gz ABWLDACHTKATAO-UHFFFAOYSA-N -1 1 345.367 -0.314 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CCN(C(=O)C3CC3)CC2)n1 ZINC000822608189 583813824 /nfs/dbraw/zinc/81/38/24/583813824.db2.gz ABWLDACHTKATAO-UHFFFAOYSA-N -1 1 345.367 -0.314 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N[C@H]2CCC[C@H](C(N)=O)C2)n1 ZINC000822613210 583814186 /nfs/dbraw/zinc/81/41/86/583814186.db2.gz CCHAYYRHPDJZLI-YUMQZZPRSA-N -1 1 333.356 -0.234 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N[C@H]2CCC[C@H](C(N)=O)C2)n1 ZINC000822613210 583814188 /nfs/dbraw/zinc/81/41/88/583814188.db2.gz CCHAYYRHPDJZLI-YUMQZZPRSA-N -1 1 333.356 -0.234 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCCOC[C@@H]2CCCO2)n1 ZINC000822620216 583814597 /nfs/dbraw/zinc/81/45/97/583814597.db2.gz MDOXIDOXSVDYGO-VIFPVBQESA-N -1 1 336.356 -0.083 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCCOC[C@@H]2CCCO2)n1 ZINC000822620216 583814600 /nfs/dbraw/zinc/81/46/00/583814600.db2.gz MDOXIDOXSVDYGO-VIFPVBQESA-N -1 1 336.356 -0.083 20 0 EBADMM Cn1ccnc(N2CCN(c3nccnc3-c3nnn[n-]3)CC2)c1=O ZINC000822693438 583816759 /nfs/dbraw/zinc/81/67/59/583816759.db2.gz DPJMUAHZGZNAKF-UHFFFAOYSA-N -1 1 340.351 -0.923 20 0 EBADMM Cn1ccnc(N2CCN(c3nccnc3-c3nn[n-]n3)CC2)c1=O ZINC000822693438 583816761 /nfs/dbraw/zinc/81/67/61/583816761.db2.gz DPJMUAHZGZNAKF-UHFFFAOYSA-N -1 1 340.351 -0.923 20 0 EBADMM NC(=O)C[C@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)C1CCCCC1 ZINC000822864873 583819848 /nfs/dbraw/zinc/81/98/48/583819848.db2.gz NVXAZWWEYMFCNM-JTQLQIEISA-N -1 1 347.383 -0.601 20 0 EBADMM O=C(CCC(=O)N1CCOCC1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000822945331 583821953 /nfs/dbraw/zinc/82/19/53/583821953.db2.gz BGRQCQMWRIAWLC-UHFFFAOYSA-N -1 1 346.347 -0.056 20 0 EBADMM O=C(CCC(=O)N1CCOCC1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000822945331 583821955 /nfs/dbraw/zinc/82/19/55/583821955.db2.gz BGRQCQMWRIAWLC-UHFFFAOYSA-N -1 1 346.347 -0.056 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NC[C@@H]1COc2ccccc2C1 ZINC000823126299 583824724 /nfs/dbraw/zinc/82/47/24/583824724.db2.gz HIEXCHHICNREIJ-SNVBAGLBSA-N -1 1 340.347 -0.174 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCOC[C@H]1c1ccco1 ZINC000823126004 583824747 /nfs/dbraw/zinc/82/47/47/583824747.db2.gz FAVXTCJJVJLRHM-VIFPVBQESA-N -1 1 330.308 -0.349 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NC[C@H](O)c1cccc(Cl)c1 ZINC000823126009 583824802 /nfs/dbraw/zinc/82/48/02/583824802.db2.gz FEQWBCXOLXXDMT-JTQLQIEISA-N -1 1 348.754 -0.039 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@@H]1COc2ccccc2C1 ZINC000823126338 583824820 /nfs/dbraw/zinc/82/48/20/583824820.db2.gz IJEQNRAFCNWUDS-JTQLQIEISA-N -1 1 326.320 -0.422 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@@H]1CCN(c2ccccc2)C1 ZINC000823126668 583825136 /nfs/dbraw/zinc/82/51/36/583825136.db2.gz LHAWJBWCYLCRPD-LLVKDONJSA-N -1 1 339.363 -0.147 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@H]1CCN(c2ccccc2)C1 ZINC000823126670 583825284 /nfs/dbraw/zinc/82/52/84/583825284.db2.gz LHAWJBWCYLCRPD-NSHDSACASA-N -1 1 339.363 -0.147 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NC[C@H](O)c1cccc(F)c1 ZINC000823127239 583825296 /nfs/dbraw/zinc/82/52/96/583825296.db2.gz XNDPXUIAHKREIN-JTQLQIEISA-N -1 1 332.299 -0.553 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCc1ccc(CO)c(F)c1 ZINC000823131039 583825636 /nfs/dbraw/zinc/82/56/36/583825636.db2.gz BDJFRCIRJZKVLQ-UHFFFAOYSA-N -1 1 332.299 -0.594 20 0 EBADMM O=C(NCCCN1C(=O)CNC1=O)c1ccc(-c2nnn[n-]2)s1 ZINC000823187269 583826281 /nfs/dbraw/zinc/82/62/81/583826281.db2.gz ILMZGBODIRWKSV-UHFFFAOYSA-N -1 1 335.349 -0.400 20 0 EBADMM O=C(NCCCN1C(=O)CNC1=O)c1ccc(-c2nn[n-]n2)s1 ZINC000823187269 583826283 /nfs/dbraw/zinc/82/62/83/583826283.db2.gz ILMZGBODIRWKSV-UHFFFAOYSA-N -1 1 335.349 -0.400 20 0 EBADMM CC(C)C[C@H](CO)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000824235565 583842721 /nfs/dbraw/zinc/84/27/21/583842721.db2.gz IOHSHXCBHPXWPD-SECBINFHSA-N -1 1 326.382 -0.053 20 0 EBADMM CC(C)C[C@H](CO)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000824235565 583842722 /nfs/dbraw/zinc/84/27/22/583842722.db2.gz IOHSHXCBHPXWPD-SECBINFHSA-N -1 1 326.382 -0.053 20 0 EBADMM CC(C)N1C(=O)N[C@@]2(CCN(c3ccc(-c4nnn[n-]4)nn3)C2)C1=O ZINC000824296863 583843290 /nfs/dbraw/zinc/84/32/90/583843290.db2.gz LLKJPMAECXASBB-CQSZACIVSA-N -1 1 343.351 -0.434 20 0 EBADMM CC(C)N1C(=O)N[C@@]2(CCN(c3ccc(-c4nn[n-]n4)nn3)C2)C1=O ZINC000824296863 583843292 /nfs/dbraw/zinc/84/32/92/583843292.db2.gz LLKJPMAECXASBB-CQSZACIVSA-N -1 1 343.351 -0.434 20 0 EBADMM CC(C)c1nnc(Cn2c(=O)c(-c3nn[n-]n3)cn(C)c2=O)n1C ZINC000824364770 583844507 /nfs/dbraw/zinc/84/45/07/583844507.db2.gz UDSLFMMTUAJVLC-UHFFFAOYSA-N -1 1 331.340 -0.973 20 0 EBADMM C[C@@H](CC(N)=O)NS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000824392167 583845016 /nfs/dbraw/zinc/84/50/16/583845016.db2.gz NASNHNGTTCWUBO-LURJTMIESA-N -1 1 328.329 -0.452 20 0 EBADMM C[C@@H](CC(N)=O)NS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000824392167 583845019 /nfs/dbraw/zinc/84/50/19/583845019.db2.gz NASNHNGTTCWUBO-LURJTMIESA-N -1 1 328.329 -0.452 20 0 EBADMM C[C@@H](Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C)c1cncc(F)c1 ZINC000824650662 583848286 /nfs/dbraw/zinc/84/82/86/583848286.db2.gz UTPLISKLXHLXQZ-SSDOTTSWSA-N -1 1 346.326 -0.029 20 0 EBADMM C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000824677264 583848526 /nfs/dbraw/zinc/84/85/26/583848526.db2.gz CIKWXAYCDDGJFF-QPUJVOFHSA-N -1 1 346.347 -0.420 20 0 EBADMM C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000824677264 583848528 /nfs/dbraw/zinc/84/85/28/583848528.db2.gz CIKWXAYCDDGJFF-QPUJVOFHSA-N -1 1 346.347 -0.420 20 0 EBADMM C[C@@H](O)[C@H](NC(=O)C1CC1)C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000824677262 583848603 /nfs/dbraw/zinc/84/86/03/583848603.db2.gz CIKWXAYCDDGJFF-PELKAZGASA-N -1 1 346.347 -0.420 20 0 EBADMM C[C@@H](O)[C@H](NC(=O)C1CC1)C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000824677262 583848604 /nfs/dbraw/zinc/84/86/04/583848604.db2.gz CIKWXAYCDDGJFF-PELKAZGASA-N -1 1 346.347 -0.420 20 0 EBADMM C[C@@H]1[C@H](C)N(C)CCN1S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000824857747 583851325 /nfs/dbraw/zinc/85/13/25/583851325.db2.gz FFOFFEDVFDXTRF-VHSXEESVSA-N -1 1 337.409 -0.025 20 0 EBADMM C[C@@H]1[C@H](C)N(C)CCN1S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000824857747 583851327 /nfs/dbraw/zinc/85/13/27/583851327.db2.gz FFOFFEDVFDXTRF-VHSXEESVSA-N -1 1 337.409 -0.025 20 0 EBADMM C[C@@H](CN1CCN(C)CC1)NC(=O)C[C@H]1CN(C(=O)[O-])CCO1 ZINC000824907855 583852112 /nfs/dbraw/zinc/85/21/12/583852112.db2.gz OHUPKZLMFGNYOG-STQMWFEESA-N -1 1 328.413 -0.493 20 0 EBADMM C[C@@H]1CN(S(C)(=O)=O)CC[C@H]1Nc1ccc(-c2nnn[n-]2)nn1 ZINC000824992088 583853682 /nfs/dbraw/zinc/85/36/82/583853682.db2.gz JRWCKNLTZCSSJJ-RKDXNWHRSA-N -1 1 338.397 -0.261 20 0 EBADMM C[C@@H]1CN(S(C)(=O)=O)CC[C@H]1Nc1ccc(-c2nn[n-]n2)nn1 ZINC000824992088 583853684 /nfs/dbraw/zinc/85/36/84/583853684.db2.gz JRWCKNLTZCSSJJ-RKDXNWHRSA-N -1 1 338.397 -0.261 20 0 EBADMM C[C@H]1OCC[C@H]1C(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000825012973 583854321 /nfs/dbraw/zinc/85/43/21/583854321.db2.gz MTTIDOUDFKBDSP-RKDXNWHRSA-N -1 1 334.384 -0.012 20 0 EBADMM C[C@H]1OCC[C@H]1C(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000825012973 583854324 /nfs/dbraw/zinc/85/43/24/583854324.db2.gz MTTIDOUDFKBDSP-RKDXNWHRSA-N -1 1 334.384 -0.012 20 0 EBADMM CC[C@@H](CSC)N(C)c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000825128389 583855459 /nfs/dbraw/zinc/85/54/59/583855459.db2.gz SNCNCHILWIESNI-QMMMGPOBSA-N -1 1 339.425 -0.158 20 0 EBADMM CCOC(=O)c1cnn(CCN2C(=O)CCC2=O)c1-c1nn[n-]n1 ZINC000825570620 583863314 /nfs/dbraw/zinc/86/33/14/583863314.db2.gz LPAKGCXACPGJKR-UHFFFAOYSA-N -1 1 333.308 -0.611 20 0 EBADMM CCO[C@H]1C[C@@H]1C(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000825583823 583863509 /nfs/dbraw/zinc/86/35/09/583863509.db2.gz XQMOXTSQFSDCHP-WPRPVWTQSA-N -1 1 334.384 -0.012 20 0 EBADMM CCO[C@H]1C[C@@H]1C(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000825583823 583863511 /nfs/dbraw/zinc/86/35/11/583863511.db2.gz XQMOXTSQFSDCHP-WPRPVWTQSA-N -1 1 334.384 -0.012 20 0 EBADMM CCN1C(=O)N=NC1S(=O)(=O)Cc1ccnc(-c2nn[n-]n2)c1 ZINC000825796749 583867093 /nfs/dbraw/zinc/86/70/93/583867093.db2.gz LXKTUUZGLIDCJV-UHFFFAOYSA-N -1 1 336.337 -0.447 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nn[n-]n1)Cc1nnnn1C1CC1 ZINC000825937715 583871473 /nfs/dbraw/zinc/87/14/73/583871473.db2.gz IWHOBUPINJNNRW-UHFFFAOYSA-N -1 1 344.387 -0.442 20 0 EBADMM CN1CC[C@H](NS(=O)(=O)c2cccc(F)c2-c2nnn[n-]2)C1=O ZINC000826029039 583874728 /nfs/dbraw/zinc/87/47/28/583874728.db2.gz NAFSIAYKBDRFCK-QMMMGPOBSA-N -1 1 340.340 -0.485 20 0 EBADMM CN1CC[C@H](NS(=O)(=O)c2cccc(F)c2-c2nn[n-]n2)C1=O ZINC000826029039 583874729 /nfs/dbraw/zinc/87/47/29/583874729.db2.gz NAFSIAYKBDRFCK-QMMMGPOBSA-N -1 1 340.340 -0.485 20 0 EBADMM C[C@@H]1CN(CCCNC(=O)COC2CN(C(=O)[O-])C2)C[C@H](C)O1 ZINC000826058959 583875793 /nfs/dbraw/zinc/87/57/93/583875793.db2.gz QUMWEAHEEVTCDA-TXEJJXNPSA-N -1 1 329.397 -0.019 20 0 EBADMM COC(=O)[C@]1(F)CCN(C(=O)Cc2noc(C)c2-c2nnn[n-]2)C1 ZINC000826124987 583880649 /nfs/dbraw/zinc/88/06/49/583880649.db2.gz ZDKPRMWLWOJICL-ZDUSSCGKSA-N -1 1 338.299 -0.181 20 0 EBADMM COC(=O)[C@]1(F)CCN(C(=O)Cc2noc(C)c2-c2nn[n-]n2)C1 ZINC000826124987 583880651 /nfs/dbraw/zinc/88/06/51/583880651.db2.gz ZDKPRMWLWOJICL-ZDUSSCGKSA-N -1 1 338.299 -0.181 20 0 EBADMM COC(=O)[C@H]1C[C@@H]1C(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000826129569 583881572 /nfs/dbraw/zinc/88/15/72/583881572.db2.gz VMBPTPFTCFRFAY-YUMQZZPRSA-N -1 1 348.367 -0.628 20 0 EBADMM COC(=O)[C@H]1C[C@@H]1C(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000826129569 583881575 /nfs/dbraw/zinc/88/15/75/583881575.db2.gz VMBPTPFTCFRFAY-YUMQZZPRSA-N -1 1 348.367 -0.628 20 0 EBADMM COCC(=O)N1CCN(C(=O)Cc2noc(C)c2-c2nnn[n-]2)CC1 ZINC000826147677 583883154 /nfs/dbraw/zinc/88/31/54/583883154.db2.gz HQCOSHYEBRCQEG-UHFFFAOYSA-N -1 1 349.351 -0.977 20 0 EBADMM COCC(=O)N1CCN(C(=O)Cc2noc(C)c2-c2nn[n-]n2)CC1 ZINC000826147677 583883159 /nfs/dbraw/zinc/88/31/59/583883159.db2.gz HQCOSHYEBRCQEG-UHFFFAOYSA-N -1 1 349.351 -0.977 20 0 EBADMM COC[C@H](O)CCNS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000826152256 583884992 /nfs/dbraw/zinc/88/49/92/583884992.db2.gz ULFJFCWWAWJXKO-SECBINFHSA-N -1 1 345.356 -0.319 20 0 EBADMM COCCNC(=O)C(=O)Nc1nn(C(C)(C)C)cc1-c1nnn[n-]1 ZINC000826159447 583885957 /nfs/dbraw/zinc/88/59/57/583885957.db2.gz GIIMYMRXZOGDAX-UHFFFAOYSA-N -1 1 336.356 -0.481 20 0 EBADMM COCCNC(=O)C(=O)Nc1nn(C(C)(C)C)cc1-c1nn[n-]n1 ZINC000826159447 583885960 /nfs/dbraw/zinc/88/59/60/583885960.db2.gz GIIMYMRXZOGDAX-UHFFFAOYSA-N -1 1 336.356 -0.481 20 0 EBADMM CS(=O)(=O)CCN1CCN(c2cccc(-c3nnn[n-]3)n2)CC1 ZINC000826222724 583888235 /nfs/dbraw/zinc/88/82/35/583888235.db2.gz JQAQIXXYVWVNQI-UHFFFAOYSA-N -1 1 337.409 -0.572 20 0 EBADMM CS(=O)(=O)CCN1CCN(c2cccc(-c3nn[n-]n3)n2)CC1 ZINC000826222724 583888236 /nfs/dbraw/zinc/88/82/36/583888236.db2.gz JQAQIXXYVWVNQI-UHFFFAOYSA-N -1 1 337.409 -0.572 20 0 EBADMM Cc1cccc2nc(Cn3c(=O)c(-c4nn[n-]n4)cn(C)c3=O)cn21 ZINC000826305213 583891285 /nfs/dbraw/zinc/89/12/85/583891285.db2.gz OMIFXGSAFSSPQF-UHFFFAOYSA-N -1 1 338.331 -0.268 20 0 EBADMM Cc1oc(-n2cccc2)c(-c2nnn[n-]2)c1C(=O)NOCC(N)=O ZINC000826340849 583891823 /nfs/dbraw/zinc/89/18/23/583891823.db2.gz MXHAAESYLXLTMQ-UHFFFAOYSA-N -1 1 331.292 -0.294 20 0 EBADMM Cc1oc(-n2cccc2)c(-c2nn[n-]n2)c1C(=O)NOCC(N)=O ZINC000826340849 583891824 /nfs/dbraw/zinc/89/18/24/583891824.db2.gz MXHAAESYLXLTMQ-UHFFFAOYSA-N -1 1 331.292 -0.294 20 0 EBADMM Cc1onc(CC(=O)N2CCC[C@@H](CC(N)=O)C2)c1-c1nnn[n-]1 ZINC000826344225 583892149 /nfs/dbraw/zinc/89/21/49/583892149.db2.gz ARXOFVBVEHZESY-VIFPVBQESA-N -1 1 333.352 -0.180 20 0 EBADMM Cc1onc(CC(=O)N2CCC[C@@H](CC(N)=O)C2)c1-c1nn[n-]n1 ZINC000826344225 583892151 /nfs/dbraw/zinc/89/21/51/583892151.db2.gz ARXOFVBVEHZESY-VIFPVBQESA-N -1 1 333.352 -0.180 20 0 EBADMM Cc1onc(CC(=O)N2CCN([C@H]3CCOC3)CC2)c1-c1nn[n-]n1 ZINC000826344941 583892493 /nfs/dbraw/zinc/89/24/93/583892493.db2.gz XHRKGQWPZZFNLF-NSHDSACASA-N -1 1 347.379 -0.361 20 0 EBADMM Cc1onc(CC(=O)N2CCN(C(C)C)C(=O)C2)c1-c1nnn[n-]1 ZINC000826344986 583892611 /nfs/dbraw/zinc/89/26/11/583892611.db2.gz YTCIUNSVUQPFHC-UHFFFAOYSA-N -1 1 333.352 -0.215 20 0 EBADMM Cc1onc(CC(=O)N2CCN(C(C)C)C(=O)C2)c1-c1nn[n-]n1 ZINC000826344986 583892613 /nfs/dbraw/zinc/89/26/13/583892613.db2.gz YTCIUNSVUQPFHC-UHFFFAOYSA-N -1 1 333.352 -0.215 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CCN3C(=O)OCC[C@H]3C2)n1 ZINC000826356664 583893953 /nfs/dbraw/zinc/89/39/53/583893953.db2.gz AHHQUVVIPZPUQJ-QMMMGPOBSA-N -1 1 347.339 -0.341 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CCN3C(=O)OCC[C@H]3C2)n1 ZINC000826356664 583893956 /nfs/dbraw/zinc/89/39/56/583893956.db2.gz AHHQUVVIPZPUQJ-QMMMGPOBSA-N -1 1 347.339 -0.341 20 0 EBADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)c2cc(-c3nn[nH]n3)c[nH]2)c1 ZINC000826395078 583898699 /nfs/dbraw/zinc/89/86/99/583898699.db2.gz MAMXIMWJYFXMFW-UHFFFAOYSA-N -1 1 349.332 -0.200 20 0 EBADMM O=C(CNS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1)NC1CC1 ZINC000826412943 583899789 /nfs/dbraw/zinc/89/97/89/583899789.db2.gz KNBMEOAVTRJWRF-UHFFFAOYSA-N -1 1 340.340 -0.437 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@H]1CCCCC12OCCO2 ZINC000826425522 583901070 /nfs/dbraw/zinc/90/10/70/583901070.db2.gz IAUJATFANLHXQU-VIFPVBQESA-N -1 1 334.340 -0.740 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@@H]1CCN(c2ccccn2)C1 ZINC000826425408 583901108 /nfs/dbraw/zinc/90/11/08/583901108.db2.gz BUWSICAHPBAHKP-SNVBAGLBSA-N -1 1 340.351 -0.752 20 0 EBADMM O=C1[C@@H](Nc2ccc(-c3nnn[n-]3)nn2)CCN1C1CCOCC1 ZINC000826478282 583903450 /nfs/dbraw/zinc/90/34/50/583903450.db2.gz YFLZHMGNBXYWDX-NSHDSACASA-N -1 1 330.352 -0.152 20 0 EBADMM O=C1[C@@H](Nc2ccc(-c3nn[n-]n3)nn2)CCN1C1CCOCC1 ZINC000826478282 583903451 /nfs/dbraw/zinc/90/34/51/583903451.db2.gz YFLZHMGNBXYWDX-NSHDSACASA-N -1 1 330.352 -0.152 20 0 EBADMM O=S(=O)(NC[C@]1(O)CCOC1)c1ccc(F)cc1-c1nn[n-]n1 ZINC000826489938 583905359 /nfs/dbraw/zinc/90/53/59/583905359.db2.gz FELWMXKZQZVHEH-GFCCVEGCSA-N -1 1 343.340 -0.565 20 0 EBADMM O=S(=O)(NCCN1CCC1)c1cccc(F)c1-c1nnn[n-]1 ZINC000826491107 583905587 /nfs/dbraw/zinc/90/55/87/583905587.db2.gz UDABRSCRVQYNDV-UHFFFAOYSA-N -1 1 326.357 -0.010 20 0 EBADMM O=S(=O)(c1cccc(F)c1-c1nnn[n-]1)N1CCO[C@H](CO)C1 ZINC000826496202 583906471 /nfs/dbraw/zinc/90/64/71/583906471.db2.gz OHWDPSNGUCJLLI-QMMMGPOBSA-N -1 1 343.340 -0.612 20 0 EBADMM O=S(=O)(c1cccc(F)c1-c1nn[n-]n1)N1CCO[C@H](CO)C1 ZINC000826496202 583906473 /nfs/dbraw/zinc/90/64/73/583906473.db2.gz OHWDPSNGUCJLLI-QMMMGPOBSA-N -1 1 343.340 -0.612 20 0 EBADMM CC[C@@H]1CN(C(=O)[C@H]2CN(C(=O)[O-])CCO2)CCN1C[C@H](C)O ZINC000826681083 583909517 /nfs/dbraw/zinc/90/95/17/583909517.db2.gz DRZRGSSVGVTAKS-YNEHKIRRSA-N -1 1 329.397 -0.331 20 0 EBADMM CC(C)C[C@@H](NC(=O)CN1CCN(C(C)(C)CO)CC1)C(=O)[O-] ZINC000826788272 583910743 /nfs/dbraw/zinc/91/07/43/583910743.db2.gz WNQZWCCIKKJXGT-CYBMUJFWSA-N -1 1 329.441 -0.010 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)Cn2cnc3c2ncnc3N)CC1 ZINC000827368930 583920186 /nfs/dbraw/zinc/92/01/86/583920186.db2.gz KWIBYOAXAJAGKP-SNVBAGLBSA-N -1 1 347.379 -0.584 20 0 EBADMM CC[C@H](NC(=O)[O-])C(=O)N1CCO[C@H](CN2CCN(C)CC2)C1 ZINC000827517991 583922786 /nfs/dbraw/zinc/92/27/86/583922786.db2.gz GFYOXMVOIZIGCD-OLZOCXBDSA-N -1 1 328.413 -0.493 20 0 EBADMM COC(=O)[C@@H](CC(C)(C)C)[N-]S(=O)(=O)CCS(C)(=O)=O ZINC000828016011 583931713 /nfs/dbraw/zinc/93/17/13/583931713.db2.gz RAGHPHZIXUUYOJ-SECBINFHSA-N -1 1 329.440 -0.072 20 0 EBADMM C[C@@H]1CN(CCCNC(=O)Cn2cc(C(=O)[O-])nn2)C[C@@H](C)O1 ZINC000828448112 583939479 /nfs/dbraw/zinc/93/94/79/583939479.db2.gz BEHFSMORYDXXID-GHMZBOCLSA-N -1 1 325.369 -0.408 20 0 EBADMM COCCN1CC[C@@H](NC(=O)C(C)(C)N2CCN(C(=O)[O-])CC2)C1 ZINC000828984823 583949523 /nfs/dbraw/zinc/94/95/23/583949523.db2.gz JCWBEXYUTBIRAZ-CYBMUJFWSA-N -1 1 342.440 -0.103 20 0 EBADMM CC[C@H]1CN(C(=O)Cn2cc(C(=O)[O-])nn2)CCN1C[C@@H](C)O ZINC000829134456 583950700 /nfs/dbraw/zinc/95/07/00/583950700.db2.gz YACPZCHCIHVGMS-MNOVXSKESA-N -1 1 325.369 -0.720 20 0 EBADMM CN(CC(=O)NC1(CC(=O)[O-])CCOCC1)c1ncnc2[nH]cnc21 ZINC000830674862 583969539 /nfs/dbraw/zinc/96/95/39/583969539.db2.gz UARPSZKZVQSRTQ-UHFFFAOYSA-N -1 1 348.363 -0.071 20 0 EBADMM CN(CCC(=O)[O-])S(=O)(=O)N1CCN(C2CCOCC2)CC1 ZINC000830734311 583969968 /nfs/dbraw/zinc/96/99/68/583969968.db2.gz BVZFRJGZTBILOT-UHFFFAOYSA-N -1 1 335.426 -0.566 20 0 EBADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)CN2CCOCC2=O)C1 ZINC000830802587 583971175 /nfs/dbraw/zinc/97/11/75/583971175.db2.gz XPYQOPALVKEPRC-GFCCVEGCSA-N -1 1 337.298 -0.485 20 0 EBADMM CN1CCO[C@@H]2CN(CCCN3C(=O)CS/C3=C\C(=O)[O-])C[C@H]21 ZINC000830963630 583973061 /nfs/dbraw/zinc/97/30/61/583973061.db2.gz DIOWATLFTJMVIF-LBKJDUGFSA-N -1 1 341.433 -0.107 20 0 EBADMM C[C@@H](C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)N1C(=O)CCC1=O ZINC000831154167 583977365 /nfs/dbraw/zinc/97/73/65/583977365.db2.gz JPHBBMYQPUHLBD-ZETCQYMHSA-N -1 1 335.282 -0.339 20 0 EBADMM NC(=O)NCC(=O)Nc1ccc(-c2nc(CNC(=O)[O-])n[nH]2)cc1 ZINC000831208698 583979431 /nfs/dbraw/zinc/97/94/31/583979431.db2.gz TWAWURMPMZWOKW-UHFFFAOYSA-N -1 1 333.308 -0.154 20 0 EBADMM NC(=O)NCC(=O)Nc1ccc(-c2n[nH]c(CNC(=O)[O-])n2)cc1 ZINC000831208698 583979433 /nfs/dbraw/zinc/97/94/33/583979433.db2.gz TWAWURMPMZWOKW-UHFFFAOYSA-N -1 1 333.308 -0.154 20 0 EBADMM O=C([O-])N1CCN(C(=O)CNC(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC000831615658 583988506 /nfs/dbraw/zinc/98/85/06/583988506.db2.gz ATRFFYNTAMPOHO-SNVBAGLBSA-N -1 1 335.364 -0.232 20 0 EBADMM COC(=O)[C@H]1C[C@H](O)CN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000831748808 583991228 /nfs/dbraw/zinc/99/12/28/583991228.db2.gz NZUUXQDLZYVJGC-UWVGGRQHSA-N -1 1 333.348 -0.271 20 0 EBADMM O=C([O-])N1CC(OCC(=O)N2CCN([C@H]3CCC[C@H]3O)CC2)C1 ZINC000831859122 583993050 /nfs/dbraw/zinc/99/30/50/583993050.db2.gz SWLDUUUWAYPHQN-QWHCGFSZSA-N -1 1 327.381 -0.577 20 0 EBADMM O=C([O-])Nc1ccc(CNCCS(=O)(=O)N2CCOCC2)cn1 ZINC000832842210 584002386 /nfs/dbraw/zinc/00/23/86/584002386.db2.gz QTALOKYIWAYCLJ-UHFFFAOYSA-N -1 1 344.393 -0.077 20 0 EBADMM C[C@H]1CN(C(=O)Cn2nc3ccccn3c2=O)CCN1CCC(=O)[O-] ZINC000833624276 584012565 /nfs/dbraw/zinc/01/25/65/584012565.db2.gz SSMJQIAXZQAXBG-LBPRGKRZSA-N -1 1 347.375 -0.497 20 0 EBADMM C[C@H]1COCCN1CCNC(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C ZINC000833631931 584012759 /nfs/dbraw/zinc/01/27/59/584012759.db2.gz RGJXXYXTMPAUKP-KBPBESRZSA-N -1 1 342.440 -0.103 20 0 EBADMM CS[C@H]1CN(S(=O)(=O)c2cnn(CC(=O)[O-])c2)C[C@@H]1N(C)C ZINC000833737404 584015734 /nfs/dbraw/zinc/01/57/34/584015734.db2.gz ARRIGTAVGFSFDM-QWRGUYRKSA-N -1 1 348.450 -0.366 20 0 EBADMM CS[C@H]1CN(S(=O)(=O)N(C)CCC(=O)[O-])C[C@@H]1N(C)C ZINC000833738956 584015776 /nfs/dbraw/zinc/01/57/76/584015776.db2.gz XZMORKXMAYAZDB-UWVGGRQHSA-N -1 1 325.456 -0.385 20 0 EBADMM O=C([O-])N1CCC[C@H]1C(=O)N1CCC(O)(CN2CCOCC2)CC1 ZINC000834288063 584030523 /nfs/dbraw/zinc/03/05/23/584030523.db2.gz XZRDPOQWHIJIIH-ZDUSSCGKSA-N -1 1 341.408 -0.186 20 0 EBADMM COC(=O)[C@@H](CF)[N-]S(=O)(=O)c1cnn(C)c1Br ZINC000867270554 584055191 /nfs/dbraw/zinc/05/51/91/584055191.db2.gz OWRNIUKXDOGKCT-RXMQYKEDSA-N -1 1 344.162 -0.028 20 0 EBADMM O=S(=O)([N-]C1COCCOC1)c1nc[nH]c1Br ZINC000867298949 584063944 /nfs/dbraw/zinc/06/39/44/584063944.db2.gz OTUDIUPZDIQMAS-UHFFFAOYSA-N -1 1 326.172 -0.134 20 0 EBADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)CCN2C(=O)CCC2=O)CCC1 ZINC000843018050 584070154 /nfs/dbraw/zinc/07/01/54/584070154.db2.gz NFRJSCUOQFIBGM-UHFFFAOYSA-N -1 1 345.421 -0.544 20 0 EBADMM CC[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCCN(CCCO)C1 ZINC000867327126 584078480 /nfs/dbraw/zinc/07/84/80/584078480.db2.gz LQBNAENIAAQORY-WYRIXSBYSA-N -1 1 327.472 -0.215 20 0 EBADMM CC(C)NS(=O)(=O)c1cccnc1N1CCN(CC(=O)[O-])CC1 ZINC000844214189 584091376 /nfs/dbraw/zinc/09/13/76/584091376.db2.gz ROIGLEKHPMIFMG-UHFFFAOYSA-N -1 1 342.421 -0.025 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N(CCO)C1CCSCC1 ZINC000848024399 584149736 /nfs/dbraw/zinc/14/97/36/584149736.db2.gz PUUAAFUDRVTWCV-UHFFFAOYSA-N -1 1 327.406 -0.357 20 0 EBADMM CNS(=O)(=O)N1CCC[C@@H](NC(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC000849231835 584167241 /nfs/dbraw/zinc/16/72/41/584167241.db2.gz HYKNZCNARWJYBE-RITPCOANSA-N -1 1 333.288 -0.953 20 0 EBADMM CO[C@@H](C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1)[C@@H]1CCOC1 ZINC000851103218 584191937 /nfs/dbraw/zinc/19/19/37/584191937.db2.gz ARVSVUPRPBHZFK-VXGBXAGGSA-N -1 1 334.438 -0.032 20 0 EBADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)CCc2cn(C)nn2)CCC1 ZINC000851105589 584192275 /nfs/dbraw/zinc/19/22/75/584192275.db2.gz PRXGDEJSEZUFRI-UHFFFAOYSA-N -1 1 329.426 -0.322 20 0 EBADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@@H]1C(=O)NCC1(C)C ZINC000851816838 584203228 /nfs/dbraw/zinc/20/32/28/584203228.db2.gz OFEPTMSBGIGHGZ-MRVPVSSYSA-N -1 1 330.366 -0.692 20 0 EBADMM O=C([N-]CC1CCN(C(=O)N[C@H]2CCNC2=O)CC1)C(F)(F)F ZINC000852409178 584211991 /nfs/dbraw/zinc/21/19/91/584211991.db2.gz JRDZCACHSVVAIU-VIFPVBQESA-N -1 1 336.314 -0.025 20 0 EBADMM O=C([O-])[C@@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1CCn1cncn1 ZINC000852734422 584214980 /nfs/dbraw/zinc/21/49/80/584214980.db2.gz AYEFEQCILSNLOE-IUCAKERBSA-N -1 1 335.286 -0.126 20 0 EBADMM Cc1[nH+][n-]c2nnc(NC(=O)CCn3cc[n-]c(=O)c3=O)c-2c1C ZINC000854408977 584239590 /nfs/dbraw/zinc/23/95/90/584239590.db2.gz RYFWDJZGZNYHOM-UHFFFAOYSA-N -1 1 329.320 -0.151 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C[C@H]2CCC(=O)N2)c1 ZINC000854530792 584242291 /nfs/dbraw/zinc/24/22/91/584242291.db2.gz GRYPZPRSKAAVCW-MRVPVSSYSA-N -1 1 327.362 -0.093 20 0 EBADMM COC(=O)[C@H](F)C(Nc1ccccn1)=C1C(=O)[N-]C(=S)NC1=O ZINC000009874599 584253988 /nfs/dbraw/zinc/25/39/88/584253988.db2.gz NHIXTVJLMQQUMT-MRVPVSSYSA-N -1 1 338.320 -0.210 20 0 EBADMM Cn1cnc(NC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)cc1=O ZINC000888492184 584261620 /nfs/dbraw/zinc/26/16/20/584261620.db2.gz OPHKKWFRMBDSAT-UHFFFAOYSA-N -1 1 333.270 -0.078 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1(O)CCC(F)(F)CC1 ZINC000856201479 584267221 /nfs/dbraw/zinc/26/72/21/584267221.db2.gz GEQLHRGWZDSLDR-UHFFFAOYSA-N -1 1 331.319 -0.017 20 0 EBADMM CC(C)NC(=O)[C@@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000856240390 584267574 /nfs/dbraw/zinc/26/75/74/584267574.db2.gz PETYFOJENKMEMV-GFCCVEGCSA-N -1 1 336.392 -0.310 20 0 EBADMM CCc1cc(=O)n2[n-]c(NC(=O)Cn3nnnc3C(C)C)nc2n1 ZINC000857684483 584279572 /nfs/dbraw/zinc/27/95/72/584279572.db2.gz LYYPXYRXFBLPSG-UHFFFAOYSA-N -1 1 331.340 -0.271 20 0 EBADMM C[C@@H](C(=O)Nc1nnn[n-]1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC000859447185 584303273 /nfs/dbraw/zinc/30/32/73/584303273.db2.gz PXSLPOINOSXVOD-QMMMGPOBSA-N -1 1 339.356 -0.394 20 0 EBADMM C[C@@H](C(=O)Nc1nn[n-]n1)N1CCN(C(=O)OC(C)(C)C)CC1=O ZINC000859447185 584303277 /nfs/dbraw/zinc/30/32/77/584303277.db2.gz PXSLPOINOSXVOD-QMMMGPOBSA-N -1 1 339.356 -0.394 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NN1CCS(=O)(=O)CC1 ZINC000862734960 584357577 /nfs/dbraw/zinc/35/75/77/584357577.db2.gz URSOXNZVLUTASW-UHFFFAOYSA-N -1 1 328.394 -0.011 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1Cc2ccccc2NC1=O ZINC000862996315 584363957 /nfs/dbraw/zinc/36/39/57/584363957.db2.gz KENDTTYJZFYWIP-GFCCVEGCSA-N -1 1 328.328 -0.394 20 0 EBADMM COCCS(=O)(=O)CCCN1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000863470013 584371135 /nfs/dbraw/zinc/37/11/35/584371135.db2.gz SPESXCRZJVRDQA-UHFFFAOYSA-N -1 1 336.454 -0.082 20 0 EBADMM Cn1ncc(S(=O)(=O)[N-][C@@H]2CCOC[C@H]2O)c1C(F)(F)F ZINC000867565347 584411059 /nfs/dbraw/zinc/41/10/59/584411059.db2.gz UBPXJIFJTRPORQ-RNFRBKRXSA-N -1 1 329.300 -0.133 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC[C@H]2CCCS2)c(=O)n(C)c1=O ZINC000867663948 584413633 /nfs/dbraw/zinc/41/36/33/584413633.db2.gz QMGWTNAQAXSBQG-SECBINFHSA-N -1 1 333.435 -0.352 20 0 EBADMM Cc1cc(S(=O)(=O)[N-]C[C@]23COC(=O)N2CCOC3)sn1 ZINC000867749417 584415945 /nfs/dbraw/zinc/41/59/45/584415945.db2.gz NOJMSWAIZYYXOE-NSHDSACASA-N -1 1 333.391 -0.049 20 0 EBADMM C[C@@]1(C(=O)OCCOCC[N-]C(=O)C(F)(F)F)CCC(=O)N1 ZINC000873515298 584474248 /nfs/dbraw/zinc/47/42/48/584474248.db2.gz GQBBSKCGBHWPGP-NSHDSACASA-N -1 1 326.271 -0.107 20 0 EBADMM C[C@@H](CNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)S(C)(=O)=O ZINC000875422998 584498770 /nfs/dbraw/zinc/49/87/70/584498770.db2.gz XHJPMTCVKIMZLK-ZETCQYMHSA-N -1 1 345.343 -0.261 20 0 EBADMM COC(=O)COCCNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875846748 584507152 /nfs/dbraw/zinc/50/71/52/584507152.db2.gz DGFMBVSUMQZVTC-UHFFFAOYSA-N -1 1 341.286 -0.504 20 0 EBADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(CC(=O)N2CCNC(=O)C2)C1 ZINC000879764046 584557386 /nfs/dbraw/zinc/55/73/86/584557386.db2.gz RVSNYMCUQIICHM-LBPRGKRZSA-N -1 1 336.314 -0.912 20 0 EBADMM O=C([O-])COCCNC(=O)C(=O)N1CCN(C2CCCC2)CC1 ZINC000884476032 584624492 /nfs/dbraw/zinc/62/44/92/584624492.db2.gz AENQOVVOWNGWKB-UHFFFAOYSA-N -1 1 327.381 -0.709 20 0 EBADMM CNC(=O)C1([N-]S(=O)(=O)c2ccc(F)nc2F)CCOCC1 ZINC000885829629 584654458 /nfs/dbraw/zinc/65/44/58/584654458.db2.gz ZZWANHAHGRPDPD-UHFFFAOYSA-N -1 1 335.332 -0.067 20 0 EBADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC000886177558 584657172 /nfs/dbraw/zinc/65/71/72/584657172.db2.gz FLUJFTIKIFABRP-JTQLQIEISA-N -1 1 345.808 -0.051 20 0 EBADMM Cc1ccc(CS(=O)(=O)[N-]c2nn(C)c(=O)n(C)c2=O)cc1F ZINC000826560135 584695731 /nfs/dbraw/zinc/69/57/31/584695731.db2.gz WRVQTVGESNARFX-UHFFFAOYSA-N -1 1 342.352 -0.132 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CN1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC000739274513 599779316 /nfs/dbraw/zinc/77/93/16/599779316.db2.gz OXJVMAAHPZHXGR-UTUOFQBUSA-N -1 1 348.359 -0.303 20 0 EBADMM NC(=O)COc1ccc(CNC(=O)CN2CCC[C@@H](C(=O)[O-])C2)cc1 ZINC000739162995 600129639 /nfs/dbraw/zinc/12/96/39/600129639.db2.gz ISDYIYMIAUBAAN-CYBMUJFWSA-N -1 1 349.387 -0.037 20 0 EBADMM Cc1noc([C@H](C)N2CCN(CCC(=O)N(C)CC(=O)[O-])CC2)n1 ZINC000738937108 600301961 /nfs/dbraw/zinc/30/19/61/600301961.db2.gz DWVLLSXQWAMMJO-NSHDSACASA-N -1 1 339.396 -0.010 20 0 EBADMM O=C([O-])NC[C@H]1CCN(CC(=O)NCCCN2CCCC2=O)C1 ZINC000740640496 601954287 /nfs/dbraw/zinc/95/42/87/601954287.db2.gz CJKTWZAPWJZJRX-GFCCVEGCSA-N -1 1 326.397 -0.295 20 0 EBADMM CCOC(=O)N1CCN(C(=O)CN2CC[C@@H](CNC(=O)[O-])C2)CC1 ZINC000739479532 601961640 /nfs/dbraw/zinc/96/16/40/601961640.db2.gz YRRVLRIMTSBWBP-LBPRGKRZSA-N -1 1 342.396 -0.123 20 0 EBADMM CSCC[C@@H](NC(=O)[O-])C(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000740014781 602500401 /nfs/dbraw/zinc/50/04/01/602500401.db2.gz BZUSCZXTLNDRDO-SFYZADRCSA-N -1 1 330.370 -0.511 20 0 EBADMM CN1CCN(C)[C@H](CNC(=O)NCC[C@H]2CCN(C(=O)[O-])C2)C1 ZINC000739735439 602575114 /nfs/dbraw/zinc/57/51/14/602575114.db2.gz QMCLWXIBVDJAQW-QWHCGFSZSA-N -1 1 327.429 -0.079 20 0 EBADMM CN1CCN(C)[C@@H](CNC(=O)N2CCC[C@H](CNC(=O)[O-])C2)C1 ZINC000739733598 602637267 /nfs/dbraw/zinc/63/72/67/602637267.db2.gz FSTKGQIDZMRWHR-OLZOCXBDSA-N -1 1 327.429 -0.079 20 0 EBADMM CCC[C@H](NC(=O)[O-])C(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC000739311345 602771056 /nfs/dbraw/zinc/77/10/56/602771056.db2.gz JVZXMCWBQFBQTB-KGLIPLIRSA-N -1 1 342.440 -0.055 20 0 EBADMM O=C([O-])N1CCOC[C@H]1C(=O)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000740348942 602893434 /nfs/dbraw/zinc/89/34/34/602893434.db2.gz NCXQXXVOSMYGBF-RWMBFGLXSA-N -1 1 327.381 -0.577 20 0 EBADMM COCCN(CC(=O)N1CC[C@H](CN2CCOCC2)C1)C(=O)[O-] ZINC000739816345 602970582 /nfs/dbraw/zinc/97/05/82/602970582.db2.gz LYWAJPOOWZHQHP-CYBMUJFWSA-N -1 1 329.397 -0.207 20 0 EBADMM COCCN(CC(=O)N1CCN([C@@H]2CCC[C@H]2O)CC1)C(=O)[O-] ZINC000739817500 603112038 /nfs/dbraw/zinc/11/20/38/603112038.db2.gz RGHDOLBEQHCMOM-CHWSQXEVSA-N -1 1 329.397 -0.330 20 0 EBADMM COC(=O)[C@@H]1CN(CC[C@H](C)N2CCO[C@@H](C(=O)[O-])C2)CCO1 ZINC000831114904 604436015 /nfs/dbraw/zinc/43/60/15/604436015.db2.gz RWDJFLIODUWMJF-XQQFMLRXSA-N -1 1 330.381 -0.576 20 0 EBADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)OCC(=O)NC(N)=O ZINC000062294550 649962003 /nfs/dbraw/zinc/96/20/03/649962003.db2.gz OLEAVBQIYAZWQI-UHFFFAOYSA-N -1 1 329.334 -0.200 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2nccnc2Cl)c(=O)n(C)c1=O ZINC000289044512 649992177 /nfs/dbraw/zinc/99/21/77/649992177.db2.gz XQNJHGWSWDBBFT-UHFFFAOYSA-N -1 1 345.768 -0.994 20 0 EBADMM CCN(CC)S(=O)(=O)c1cc(C(=O)NCc2nn[n-]n2)n(C)c1 ZINC000321053115 649995516 /nfs/dbraw/zinc/99/55/16/649995516.db2.gz WFQHUKJUUPYXAY-UHFFFAOYSA-N -1 1 341.397 -0.501 20 0 EBADMM CN(C)[S@@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCOC12CCOCC2 ZINC000908195633 650098080 /nfs/dbraw/zinc/09/80/80/650098080.db2.gz ZKQKFDKCDNITLU-SBKAZYGRSA-N -1 1 341.455 -0.267 20 0 EBADMM COCC1(S(=O)(=O)[N-][C@](C)(Cn2cccn2)C(=O)OC)CC1 ZINC000908395009 650100824 /nfs/dbraw/zinc/10/08/24/650100824.db2.gz DZZMEGVSJWCCED-GFCCVEGCSA-N -1 1 331.394 -0.087 20 0 EBADMM COC(=O)[C@](C)(Cn1cccn1)[N-]S(=O)(=O)C[C@H]1CCOC1 ZINC000908397748 650100881 /nfs/dbraw/zinc/10/08/81/650100881.db2.gz VRINFVITYJXMMS-AAEUAGOBSA-N -1 1 331.394 -0.229 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCCc1nc(C)no1 ZINC000912536942 650206707 /nfs/dbraw/zinc/20/67/07/650206707.db2.gz BDLJPGVFMRHLDR-UHFFFAOYSA-N -1 1 329.338 -0.201 20 0 EBADMM COC(=O)c1c[n-]nc1S(=O)(=O)N=c1nc[nH]n1C(C)(C)C ZINC000912560590 650209116 /nfs/dbraw/zinc/20/91/16/650209116.db2.gz QZFAUQWMNUMSMG-UHFFFAOYSA-N -1 1 328.354 -0.235 20 0 EBADMM CC(C)[C@H]([N-]C(=O)C(F)(F)F)C(=O)NC[C@@]1(CO)COCCN1 ZINC000913185449 650223957 /nfs/dbraw/zinc/22/39/57/650223957.db2.gz LTUXFRZFYYBZGY-JOYOIKCWSA-N -1 1 341.330 -0.843 20 0 EBADMM O=C([C@@H]1CCN(CC(F)(F)F)C1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC000913499712 650235275 /nfs/dbraw/zinc/23/52/75/650235275.db2.gz YQRSWCQFHWULSQ-RKDXNWHRSA-N -1 1 334.302 -0.016 20 0 EBADMM O=S(=O)([N-][C@@H](CO)CN1CCOCC1)c1cccc(F)c1F ZINC000918990128 650294446 /nfs/dbraw/zinc/29/44/46/650294446.db2.gz YGQDRLQUMYFNTP-SNVBAGLBSA-N -1 1 336.360 -0.064 20 0 EBADMM C[C@@H]([N-]S(=O)(=O)N=S1(=O)CCCC1)[C@H]1CN(C)CCN1C ZINC000919982543 650306852 /nfs/dbraw/zinc/30/68/52/650306852.db2.gz SUGFBPYKQYQOGV-VXGBXAGGSA-N -1 1 338.499 -0.283 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)NCC(=O)N2CCN(C)CC2)c1 ZINC000920527755 650312190 /nfs/dbraw/zinc/31/21/90/650312190.db2.gz RSGXGBDRJIGEBA-UHFFFAOYSA-N -1 1 343.405 -0.547 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@]23CCC[C@H]2OCC3)c(=O)n(C)c1=O ZINC000921586794 650323190 /nfs/dbraw/zinc/32/31/90/650323190.db2.gz DQYBCQKXKCKPDW-BXUZGUMPSA-N -1 1 343.405 -0.679 20 0 EBADMM CN1C[C@H](O)C[C@H]1CNC(=O)c1cc(F)ccc1[N-]S(C)(=O)=O ZINC000924985428 650371021 /nfs/dbraw/zinc/37/10/21/650371021.db2.gz AIDWGXVMEIBALU-WDEREUQCSA-N -1 1 345.396 -0.008 20 0 EBADMM CN(C(=O)[C@H]1C[C@H]1C(N)=O)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216855 651684597 /nfs/dbraw/zinc/68/45/97/651684597.db2.gz NQDQPVYFJWHGHH-AXFHLTTASA-N -1 1 332.360 -0.419 20 0 EBADMM CN(C(=O)[C@@]1(C)CCNC1=O)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937217573 651686220 /nfs/dbraw/zinc/68/62/20/651686220.db2.gz WXWCYKJDWDLCEL-GTNSWQLSSA-N -1 1 346.387 -0.014 20 0 EBADMM CCCC(=O)N1CC[C@H](N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000936411682 651785140 /nfs/dbraw/zinc/78/51/40/651785140.db2.gz QNXHKZIDDAORQT-JTQLQIEISA-N -1 1 332.364 -0.109 20 0 EBADMM CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1CN2C(=O)CCn1cc[n-]c(=O)c1=O ZINC000937523736 651821151 /nfs/dbraw/zinc/82/11/51/651821151.db2.gz ALAUPPFIWQJYGS-RYUDHWBXSA-N -1 1 348.403 -0.216 20 0 EBADMM O=C(C[C@H]1CCC(=O)N1)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937860491 651957016 /nfs/dbraw/zinc/95/70/16/651957016.db2.gz GWVUNPLHXBVYGH-MNOVXSKESA-N -1 1 332.360 -0.213 20 0 EBADMM Cn1cc(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)ncc1=O ZINC000937861218 651957795 /nfs/dbraw/zinc/95/77/95/651957795.db2.gz QNXTUOJGAMBVTE-JTQLQIEISA-N -1 1 343.343 -0.475 20 0 EBADMM O=C(C[C@H]1CC(=O)NC1=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937864565 651960154 /nfs/dbraw/zinc/96/01/54/651960154.db2.gz SKVKZTINTNYDCT-ZJUUUORDSA-N -1 1 346.343 -0.829 20 0 EBADMM C[C@@H](C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1)N1CCOCC1 ZINC000937864569 651960270 /nfs/dbraw/zinc/96/02/70/651960270.db2.gz SMVCMCJSXKAAGQ-STQMWFEESA-N -1 1 348.403 -0.161 20 0 EBADMM CC(=O)N1CC[C@@H](C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000938436200 652255629 /nfs/dbraw/zinc/25/56/29/652255629.db2.gz WQIKBZGDGFVSJO-OLZOCXBDSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000938454280 652266946 /nfs/dbraw/zinc/26/69/46/652266946.db2.gz XGRRHSYOLNDZLY-GUBZILKMSA-N -1 1 330.348 -0.596 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)Cn2nccn2)C1 ZINC000938577196 652336018 /nfs/dbraw/zinc/33/60/18/652336018.db2.gz BELDSOIAQRIGLE-NSHDSACASA-N -1 1 330.348 -0.248 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)[C@@H]1CCN(C(=O)C2(C)CC2)C1 ZINC000938651283 652653106 /nfs/dbraw/zinc/65/31/06/652653106.db2.gz WZOUHCTZRRQODW-SNVBAGLBSA-N -1 1 344.375 -0.109 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@H]1CCOC1 ZINC000941320762 652661993 /nfs/dbraw/zinc/66/19/93/652661993.db2.gz DOYYOIILPFVFKP-DMDPSCGWSA-N -1 1 335.360 -0.221 20 0 EBADMM Cn1[n-]c(CN2CC(N3CCN(C(=O)[C@H]4CC4(C)C)CC3)C2)nc1=O ZINC000941375971 652701713 /nfs/dbraw/zinc/70/17/13/652701713.db2.gz LPYZQERTWZWZCU-CYBMUJFWSA-N -1 1 348.451 -0.517 20 0 EBADMM CN1C[C@@H](C(=O)N2CCC[C@@H]2CNC(=O)c2ncccc2[O-])NC1=O ZINC000944182437 652706844 /nfs/dbraw/zinc/70/68/44/652706844.db2.gz QJNWFOJFBFBMPH-MNOVXSKESA-N -1 1 347.375 -0.468 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ccc3oc(=O)nc-3[n-]2)C1)c1cnn[nH]1 ZINC000941446496 652743092 /nfs/dbraw/zinc/74/30/92/652743092.db2.gz VDQHQYNJIHRBRW-SSDOTTSWSA-N -1 1 343.303 -0.309 20 0 EBADMM CN(C(=O)C(F)F)[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000938871819 652750854 /nfs/dbraw/zinc/75/08/54/652750854.db2.gz JVJPMCMOMVQWJL-SECBINFHSA-N -1 1 344.318 -0.749 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@@H]2CCC(=O)N2)C1 ZINC000938970210 652787406 /nfs/dbraw/zinc/78/74/06/652787406.db2.gz GVXPLSRCBBWKDE-MNOVXSKESA-N -1 1 332.360 -0.261 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@@H]2CCNC2=O)C1 ZINC000939002080 652800447 /nfs/dbraw/zinc/80/04/47/652800447.db2.gz IVDIMYKEQNRRNH-GHMZBOCLSA-N -1 1 332.360 -0.404 20 0 EBADMM CO[C@@H]1CN(C(=O)Cc2cnoc2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941602565 652826723 /nfs/dbraw/zinc/82/67/23/652826723.db2.gz DWUXOYJOZXOOQW-DGCLKSJQSA-N -1 1 346.343 -0.027 20 0 EBADMM CO[C@@H]1CN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941603329 652827529 /nfs/dbraw/zinc/82/75/29/652827529.db2.gz RKLIBYVBQFLQKB-VGYDOTAVSA-N -1 1 347.371 -0.365 20 0 EBADMM CO[C@@H]1CN(C(=O)c2nonc2C)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941609417 652831657 /nfs/dbraw/zinc/83/16/57/652831657.db2.gz VIIUQIWHZPXLLD-MWLCHTKSSA-N -1 1 347.331 -0.252 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccc(C(N)=O)[nH]2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939150978 652844799 /nfs/dbraw/zinc/84/47/99/652844799.db2.gz GJNSZAUDDDVOSJ-GMSGAONNSA-N -1 1 333.352 -0.812 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@@H]2CCN(C)C2=O)C1 ZINC000939157948 652849070 /nfs/dbraw/zinc/84/90/70/652849070.db2.gz XKCAWVANEUITEG-VXGBXAGGSA-N -1 1 346.387 -0.062 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)C2=COCCO2)CCO1)c1ncccc1[O-] ZINC000944560757 652860792 /nfs/dbraw/zinc/86/07/92/652860792.db2.gz MQOOCTYVTZEQKB-LLVKDONJSA-N -1 1 349.343 -0.367 20 0 EBADMM C[C@@H]1CN(C(=O)COC2CCOCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939239898 652864892 /nfs/dbraw/zinc/86/48/92/652864892.db2.gz DSOMFVAAODMBLM-ZYHUDNBSSA-N -1 1 339.396 -0.358 20 0 EBADMM O=C(Cc1cnc[nH]1)N1CCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944565742 652865052 /nfs/dbraw/zinc/86/50/52/652865052.db2.gz ZZWXANSWMXHKHV-LBPRGKRZSA-N -1 1 345.359 -0.290 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@H]1CCC(=O)N1C ZINC000939259552 652871252 /nfs/dbraw/zinc/87/12/52/652871252.db2.gz YEQUFXPBTIDYOM-IJLUTSLNSA-N -1 1 346.387 -0.015 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H](NC(=O)c2ccc[nH]2)C1 ZINC000939396861 652925943 /nfs/dbraw/zinc/92/59/43/652925943.db2.gz RJSZPYYXAZKQSG-MRVPVSSYSA-N -1 1 347.331 -0.131 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1cnns1 ZINC000941857952 652932165 /nfs/dbraw/zinc/93/21/65/652932165.db2.gz NLLXXHXYOVHKKG-RKDXNWHRSA-N -1 1 337.409 -0.400 20 0 EBADMM Cc1ncc(C(=O)N2CC[C@@H](NC(=O)c3cnc([O-])n(C)c3=O)C2)[nH]1 ZINC000941980925 653011491 /nfs/dbraw/zinc/01/14/91/653011491.db2.gz RRDRANXUNBQMET-SECBINFHSA-N -1 1 346.347 -0.838 20 0 EBADMM C[C@H]1CN(Cc2nnnn2C)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC000941989759 653013967 /nfs/dbraw/zinc/01/39/67/653013967.db2.gz QFGDTEFJNJBBBQ-WDEREUQCSA-N -1 1 331.380 -0.049 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)Cc1cncnc1 ZINC000942004769 653018427 /nfs/dbraw/zinc/01/84/27/653018427.db2.gz LBISMOYKBDEZCT-AAEUAGOBSA-N -1 1 345.407 -0.532 20 0 EBADMM CCn1ncc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)n1 ZINC000942038431 653025473 /nfs/dbraw/zinc/02/54/73/653025473.db2.gz ZLGMMHWSACZYLQ-GHMZBOCLSA-N -1 1 348.411 -0.640 20 0 EBADMM Cc1nccc(C(=O)N2C[C@@H](C)[C@H]([NH2+]Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000939781757 653045330 /nfs/dbraw/zinc/04/53/30/653045330.db2.gz RWWWRVYRXAQIEU-BXKDBHETSA-N -1 1 331.380 -0.543 20 0 EBADMM CN1CCO[C@H](C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000939863792 653058981 /nfs/dbraw/zinc/05/89/81/653058981.db2.gz NEPUAVFCKKCLQU-YPMHNXCESA-N -1 1 334.376 -0.552 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1=CCCC1 ZINC000939995347 653072667 /nfs/dbraw/zinc/07/26/67/653072667.db2.gz KTMVEWXKTPPZET-LLVKDONJSA-N -1 1 342.359 -0.141 20 0 EBADMM CC1(C)C[C@@H]1C(=O)N[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000940057281 653077157 /nfs/dbraw/zinc/07/71/57/653077157.db2.gz ZVBTZJROAMDDKC-VXGBXAGGSA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@@H]1CN(Cc2cncn2C)CC[C@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC000942306394 653078706 /nfs/dbraw/zinc/07/87/06/653078706.db2.gz YCUMUWZLIHOPKH-MWLCHTKSSA-N -1 1 347.379 -0.343 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ccc(=O)[nH]n2)C1)c1ncccc1[O-] ZINC000940125584 653084403 /nfs/dbraw/zinc/08/44/03/653084403.db2.gz KEZLRVNUXSILNL-SECBINFHSA-N -1 1 329.316 -0.073 20 0 EBADMM O=C(CN1CCOCC1)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940132383 653084776 /nfs/dbraw/zinc/08/47/76/653084776.db2.gz WGBONMCVBMQDKL-GFCCVEGCSA-N -1 1 334.376 -0.550 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)[C@@H]2CCNC(=O)C2)C1)c1ncccc1[O-] ZINC000940131333 653084860 /nfs/dbraw/zinc/08/48/60/653084860.db2.gz BLRBFTWUPVCQDC-GHMZBOCLSA-N -1 1 332.360 -0.356 20 0 EBADMM CCN1CCO[C@H](C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000940134296 653084876 /nfs/dbraw/zinc/08/48/76/653084876.db2.gz KGDAPUDQDSSLTC-OCCSQVGLSA-N -1 1 348.403 -0.161 20 0 EBADMM CN1C[C@H](C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)CCC1=O ZINC000940136228 653085489 /nfs/dbraw/zinc/08/54/89/653085489.db2.gz WKVBIHHMNSLWAV-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM CC(C)(C)C(=O)N[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000942376103 653089710 /nfs/dbraw/zinc/08/97/10/653089710.db2.gz JHYNFDZGJMDZGC-LLVKDONJSA-N -1 1 336.392 -0.310 20 0 EBADMM O=C(NC1CCN(C(=O)c2ncccc2[O-])CC1)[C@H]1COC(=O)N1 ZINC000946533051 653144382 /nfs/dbraw/zinc/14/43/82/653144382.db2.gz JXNOQQWIWDOGHP-SNVBAGLBSA-N -1 1 334.332 -0.384 20 0 EBADMM O=C(NC1CCN(C(=O)c2ncccc2[O-])CC1)c1c[nH]c(=O)cn1 ZINC000946536003 653145271 /nfs/dbraw/zinc/14/52/71/653145271.db2.gz PXSZBPQWSNCORI-UHFFFAOYSA-N -1 1 343.343 -0.095 20 0 EBADMM O=C(Cn1nccn1)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000946536445 653145818 /nfs/dbraw/zinc/14/58/18/653145818.db2.gz ZQUHXILPMRVRNE-UHFFFAOYSA-N -1 1 330.348 -0.200 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1)c1nnc[nH]1 ZINC000944721382 653194170 /nfs/dbraw/zinc/19/41/70/653194170.db2.gz XYDUUTOYUAUTEM-SECBINFHSA-N -1 1 332.320 -0.824 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@@H]2COC(=O)N2)C1 ZINC000942758001 653197439 /nfs/dbraw/zinc/19/74/39/653197439.db2.gz KRVXPXOJHFXZAJ-MNOVXSKESA-N -1 1 348.359 -0.041 20 0 EBADMM Cn1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)cn1 ZINC000940567055 653219959 /nfs/dbraw/zinc/21/99/59/653219959.db2.gz WCHSMQBODSBTAO-LBPRGKRZSA-N -1 1 347.423 -0.128 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CS(=O)(=O)C1 ZINC000940636774 653250368 /nfs/dbraw/zinc/25/03/68/653250368.db2.gz SKTMNPJEEVPDLO-SNVBAGLBSA-N -1 1 339.373 -0.838 20 0 EBADMM Cn1cnc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)n1 ZINC000940725457 653269329 /nfs/dbraw/zinc/26/93/29/653269329.db2.gz BGDAAKBIDNDLJY-SNVBAGLBSA-N -1 1 348.411 -0.733 20 0 EBADMM CC(=O)N1CCC2(CN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)CC1 ZINC000944806584 653270257 /nfs/dbraw/zinc/27/02/57/653270257.db2.gz IWCIEWXPJMMDIB-UHFFFAOYSA-N -1 1 334.376 -0.602 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2cnccn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947010022 653290436 /nfs/dbraw/zinc/29/04/36/653290436.db2.gz QRMXNDXOSBGFBR-QWRGUYRKSA-N -1 1 331.380 -0.319 20 0 EBADMM Cc1cnc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H](C)C2)cn1 ZINC000947017271 653291335 /nfs/dbraw/zinc/29/13/35/653291335.db2.gz GFJANPLXQLGDRR-VXGBXAGGSA-N -1 1 345.407 -0.010 20 0 EBADMM O=C(Cn1ncnn1)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940832945 653298309 /nfs/dbraw/zinc/29/83/09/653298309.db2.gz RKMBXEZOTGTFAE-UHFFFAOYSA-N -1 1 331.336 -0.852 20 0 EBADMM Cc1nn(C)cc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H](C)C1 ZINC000947126711 653320606 /nfs/dbraw/zinc/32/06/06/653320606.db2.gz OULSPWPRLMLPMP-JQWIXIFHSA-N -1 1 347.423 -0.067 20 0 EBADMM CCC(=O)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@H](C)C1 ZINC000945079461 653341320 /nfs/dbraw/zinc/34/13/20/653341320.db2.gz RWTUAIVVBHBMSO-ZJUUUORDSA-N -1 1 332.364 -0.206 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@H]3[C@@H]4COC[C@@H]43)C(C)(C)C2)nc1=O ZINC000940945497 653345345 /nfs/dbraw/zinc/34/53/45/653345345.db2.gz CSEBDTRITQGKDL-KZVDOYCCSA-N -1 1 349.435 -0.283 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)Cc2ccnn2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947258791 653350868 /nfs/dbraw/zinc/35/08/68/653350868.db2.gz YBWSUXSSWWBFJO-RYUDHWBXSA-N -1 1 347.423 -0.446 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ccnnc3)C(C)(C)C2)nc1=O ZINC000941055436 653394199 /nfs/dbraw/zinc/39/41/99/653394199.db2.gz WCTKDSGTMZDOSI-GFCCVEGCSA-N -1 1 345.407 -0.071 20 0 EBADMM C[C@H]1CN(C(=O)Cn2ncnn2)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC000945333939 653413256 /nfs/dbraw/zinc/41/32/56/653413256.db2.gz CGHXGFDHSCOMLX-WDEREUQCSA-N -1 1 345.363 -0.559 20 0 EBADMM CCC(=O)N[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1C ZINC000945401333 653438918 /nfs/dbraw/zinc/43/89/18/653438918.db2.gz DSDPQIWAZQLAHB-NEPJUHHUSA-N -1 1 336.392 -0.310 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1ccncn1 ZINC000943716912 653458341 /nfs/dbraw/zinc/45/83/41/653458341.db2.gz GFGSEMTUMOMALL-MNOVXSKESA-N -1 1 331.380 -0.461 20 0 EBADMM C[C@H]1CN(C(=O)c2nnn(C)n2)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC000945459792 653459595 /nfs/dbraw/zinc/45/95/95/653459595.db2.gz ZQIULZVGZZSTES-VHSXEESVSA-N -1 1 345.363 -0.409 20 0 EBADMM C[C@@H]1CN(C(=O)c2nnn(C)n2)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC000945459790 653459635 /nfs/dbraw/zinc/45/96/35/653459635.db2.gz ZQIULZVGZZSTES-NXEZZACHSA-N -1 1 345.363 -0.409 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1ncccn1 ZINC000943738847 653464422 /nfs/dbraw/zinc/46/44/22/653464422.db2.gz SVPAJALVWWUHKR-GHMZBOCLSA-N -1 1 331.380 -0.461 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CCN(C2CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC000941292644 653486776 /nfs/dbraw/zinc/48/67/76/653486776.db2.gz KCTXIBAMCDNCTI-DGCLKSJQSA-N -1 1 334.424 -0.907 20 0 EBADMM CO[C@@H](C)C(=O)N1CCC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000943894575 653488347 /nfs/dbraw/zinc/48/83/47/653488347.db2.gz AEMQBRLPLQBDQM-VHSXEESVSA-N -1 1 348.363 -0.827 20 0 EBADMM CCn1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H](C)C2)nn1 ZINC000947866651 653495107 /nfs/dbraw/zinc/49/51/07/653495107.db2.gz DREBAKCSTILYCT-WDEREUQCSA-N -1 1 348.411 -0.497 20 0 EBADMM CC(=O)NC[C@H]1[C@@H](C)CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000945786059 653505419 /nfs/dbraw/zinc/50/54/19/653505419.db2.gz NJZLLOVOSLBRLK-CABZTGNLSA-N -1 1 332.364 -0.206 20 0 EBADMM C[C@H]1C[C@H](NC(=O)Cn2c(=O)[n-][nH]c2=O)CCN1Cc1ccnn1C ZINC000947980980 653508275 /nfs/dbraw/zinc/50/82/75/653508275.db2.gz SLTJZTDBNCLQDS-WDEREUQCSA-N -1 1 349.395 -0.408 20 0 EBADMM CC(=O)N1CC[C@H]2[C@H](CCN2C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000945819517 653509732 /nfs/dbraw/zinc/50/97/32/653509732.db2.gz GKLHNWACQWZHHF-OLZOCXBDSA-N -1 1 334.376 -0.604 20 0 EBADMM CCC(=O)N1CC[C@@H]2[C@@H](CCN2C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000945816253 653509801 /nfs/dbraw/zinc/50/98/01/653509801.db2.gz SREQTBUVRWWNSM-CMPLNLGQSA-N -1 1 344.375 -0.109 20 0 EBADMM CC[C@H]1CN(C(C)=O)CC[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000948176265 653528033 /nfs/dbraw/zinc/52/80/33/653528033.db2.gz UWAXEQZDXVGIKY-QWRGUYRKSA-N -1 1 332.364 -0.206 20 0 EBADMM CCC(=O)N1CC[C@@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC[C@@H]21 ZINC000945991293 653529141 /nfs/dbraw/zinc/52/91/41/653529141.db2.gz HANQBDPJUCAGIP-PWSUYJOCSA-N -1 1 344.375 -0.109 20 0 EBADMM C[C@@H]1CN(C(=O)CN2CCOCC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000948472265 653547597 /nfs/dbraw/zinc/54/75/97/653547597.db2.gz LQDFQODOKLUUPB-CHWSQXEVSA-N -1 1 348.403 -0.304 20 0 EBADMM CCCn1nccc1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000948555597 653557805 /nfs/dbraw/zinc/55/78/05/653557805.db2.gz BDWNVUGNIFIWKX-UHFFFAOYSA-N -1 1 333.396 -0.327 20 0 EBADMM CC(C)[C@H]1OCC[C@@H]1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000948693439 653567046 /nfs/dbraw/zinc/56/70/46/653567046.db2.gz HEZOAAPLETUFOD-GXTWGEPZSA-N -1 1 337.424 -0.186 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1)c1ccco1 ZINC000948703395 653567643 /nfs/dbraw/zinc/56/76/43/653567643.db2.gz OVXNHXHSWKEACT-SECBINFHSA-N -1 1 342.315 -0.345 20 0 EBADMM COc1cc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)ccn1 ZINC000949043809 653590824 /nfs/dbraw/zinc/59/08/24/653590824.db2.gz WQTZWMLTAWEBIN-UHFFFAOYSA-N -1 1 332.364 -0.530 20 0 EBADMM CN(C)c1ccc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cn1 ZINC000949046888 653591142 /nfs/dbraw/zinc/59/11/42/653591142.db2.gz WLKLOJAZZUIEGI-UHFFFAOYSA-N -1 1 345.407 -0.473 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H]1CNC(=O)C1CC=CC1 ZINC000949187392 653826151 /nfs/dbraw/zinc/82/61/51/653826151.db2.gz DPGJSBOIIWSZPA-ZDUSSCGKSA-N -1 1 346.387 -0.390 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3ccc4[nH]ccc4n3)CC2)nc1=O ZINC000949277811 653853943 /nfs/dbraw/zinc/85/39/43/653853943.db2.gz ZZEDQIGNCZOONT-UHFFFAOYSA-N -1 1 341.375 -0.057 20 0 EBADMM Cn1nnc(C(=O)N2CCC(CNC(=O)c3ncccc3[O-])CC2)n1 ZINC000949294473 653856444 /nfs/dbraw/zinc/85/64/44/653856444.db2.gz XVXQQCVXJWVNON-UHFFFAOYSA-N -1 1 345.363 -0.407 20 0 EBADMM CC1CC(C(=O)NC[C@@H]2CCN2C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000949340912 653866200 /nfs/dbraw/zinc/86/62/00/653866200.db2.gz FCTOPRDGBNGDKG-ILDUYXDCSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1ncccc1[O-])[C@H]1CCNC(=O)C1 ZINC000949352651 653870067 /nfs/dbraw/zinc/87/00/67/653870067.db2.gz MUHDYAGQCLHQGG-WDEREUQCSA-N -1 1 332.360 -0.356 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2CCN2C(=O)CCc2c[nH]nn2)c1[O-] ZINC000949430911 653892424 /nfs/dbraw/zinc/89/24/24/653892424.db2.gz QMSAMAZNCXZPCU-SNVBAGLBSA-N -1 1 333.352 -0.495 20 0 EBADMM CC1(C)C[C@H]1C(=O)NC[C@@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000949455345 653899076 /nfs/dbraw/zinc/89/90/76/653899076.db2.gz APLWWOAAUVVPKX-UWVGGRQHSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(CCc1cn[nH]n1)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949538537 653923152 /nfs/dbraw/zinc/92/31/52/653923152.db2.gz LOPWVPLUOUNONT-NSHDSACASA-N -1 1 330.348 -0.131 20 0 EBADMM O=C(CCc1c[nH]nn1)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949538537 653923153 /nfs/dbraw/zinc/92/31/53/653923153.db2.gz LOPWVPLUOUNONT-NSHDSACASA-N -1 1 330.348 -0.131 20 0 EBADMM O=C(CO[C@@H]1CCOC1)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949538390 653923180 /nfs/dbraw/zinc/92/31/80/653923180.db2.gz JRWDEACSVLVEQG-VXGBXAGGSA-N -1 1 335.360 -0.077 20 0 EBADMM O=C(C[C@@H]1CCNC1=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949539094 653923581 /nfs/dbraw/zinc/92/35/81/653923581.db2.gz XVLYIZFROFUNMV-QWRGUYRKSA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)[C@@H]1CCCNC1=O)c1ncccc1[O-] ZINC000949539137 653923804 /nfs/dbraw/zinc/92/38/04/653923804.db2.gz YPAFWQAVHWSOGH-WDEREUQCSA-N -1 1 332.360 -0.356 20 0 EBADMM NC(=O)c1c[nH]c(C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])c1 ZINC000949539173 653923993 /nfs/dbraw/zinc/92/39/93/653923993.db2.gz ZBXBQWQYEQKCHJ-SNVBAGLBSA-N -1 1 343.343 -0.141 20 0 EBADMM O=C(C[C@@H]1CC(=O)NC1=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949541946 653924534 /nfs/dbraw/zinc/92/45/34/653924534.db2.gz SJNXXLORXNJFIV-VHSXEESVSA-N -1 1 346.343 -0.829 20 0 EBADMM CN1CC[C@H](C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])CC1=O ZINC000949541886 653924713 /nfs/dbraw/zinc/92/47/13/653924713.db2.gz RAUBDZCTEQVQHX-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCCN(C(=O)C(F)F)C1 ZINC000949597520 653930831 /nfs/dbraw/zinc/93/08/31/653930831.db2.gz SSSSACIMOBBWLZ-LURJTMIESA-N -1 1 346.290 -0.118 20 0 EBADMM CCN(C(=O)c1snnc1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000949653912 653943719 /nfs/dbraw/zinc/94/37/19/653943719.db2.gz CQTKFNOZTSACEH-UHFFFAOYSA-N -1 1 337.409 -0.385 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)Cc3ncccc3F)CC2)nc1=O ZINC000949866075 653988893 /nfs/dbraw/zinc/98/88/93/653988893.db2.gz CDPJQPBSBGBGLO-UHFFFAOYSA-N -1 1 334.355 -0.471 20 0 EBADMM COC1CCC(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)CC1 ZINC000949935633 654002814 /nfs/dbraw/zinc/00/28/14/654002814.db2.gz TWOWZSVQSIHFLY-UHFFFAOYSA-N -1 1 337.424 -0.042 20 0 EBADMM CCN(C(=O)c1nccn1CC)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000949974958 654012033 /nfs/dbraw/zinc/01/20/33/654012033.db2.gz VOQMKXNCQUPNFP-UHFFFAOYSA-N -1 1 333.396 -0.329 20 0 EBADMM CC(C)C(=O)N1CCC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000950065950 654029928 /nfs/dbraw/zinc/02/99/28/654029928.db2.gz MRHMGOOQNVLQTD-SNVBAGLBSA-N -1 1 332.364 -0.206 20 0 EBADMM CN1CCO[C@H](C(=O)N2CCC[C@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000950288252 654096785 /nfs/dbraw/zinc/09/67/85/654096785.db2.gz RMFKBKKQVQSDLL-JSGCOSHPSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N[C@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000951408229 654117633 /nfs/dbraw/zinc/11/76/33/654117633.db2.gz HKJSFKJRAQFRKZ-OUAUKWLOSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(CC1CC1)N[C@@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000951408982 654118828 /nfs/dbraw/zinc/11/88/28/654118828.db2.gz XHXVIQRADJBNPI-LLVKDONJSA-N -1 1 344.375 -0.062 20 0 EBADMM CCN1C[C@@H](C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])CC1=O ZINC000950405191 654121242 /nfs/dbraw/zinc/12/12/42/654121242.db2.gz WANVAEULCDAXOP-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@@H]1CCN1C(=O)C(N)=O ZINC000951436959 654129108 /nfs/dbraw/zinc/12/91/08/654129108.db2.gz FMGGPQRGILDWLW-VIFPVBQESA-N -1 1 335.364 -0.570 20 0 EBADMM CN1CC[C@H](C(=O)N[C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)C1=O ZINC000950542606 654173513 /nfs/dbraw/zinc/17/35/13/654173513.db2.gz FOUGFVUXFGGQMO-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1ncc(C(=O)N[C@H]2CCCN(C(=O)c3ncccc3[O-])C2)n1 ZINC000950543232 654173568 /nfs/dbraw/zinc/17/35/68/654173568.db2.gz UJQNWIWNSGAZSS-JTQLQIEISA-N -1 1 330.348 -0.050 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1ncccc1[O-])[C@H]1CCCC(=O)N1 ZINC000950545027 654174036 /nfs/dbraw/zinc/17/40/36/654174036.db2.gz RNJRBMIXBFMZIX-GHMZBOCLSA-N -1 1 332.360 -0.213 20 0 EBADMM Cc1conc1CN1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000951777616 654278821 /nfs/dbraw/zinc/27/88/21/654278821.db2.gz XUTRLCWBGHLEAH-UHFFFAOYSA-N -1 1 347.375 -0.432 20 0 EBADMM NC(=O)N1CCC[C@H]1C(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC000950843006 654284263 /nfs/dbraw/zinc/28/42/63/654284263.db2.gz ZCCVBRQQTKHITP-MNOVXSKESA-N -1 1 347.375 -0.339 20 0 EBADMM NC(=O)C1(C(=O)N2CCC[C@@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC000951846125 654309089 /nfs/dbraw/zinc/30/90/89/654309089.db2.gz AMLIVKPSJNTWDN-SNVBAGLBSA-N -1 1 332.360 -0.227 20 0 EBADMM O=C(Cn1nccn1)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000950936605 654317374 /nfs/dbraw/zinc/31/73/74/654317374.db2.gz VHXOCXJYTIRYQH-NSHDSACASA-N -1 1 330.348 -0.200 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CCCN(C(=O)CCc3c[nH]nn3)C2)c1[O-] ZINC000952059420 654382495 /nfs/dbraw/zinc/38/24/95/654382495.db2.gz YPUXFFZHWUBVLB-NSHDSACASA-N -1 1 347.379 -0.105 20 0 EBADMM NC(=O)[C@@H]1CC[C@H](C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])O1 ZINC000952143079 654403426 /nfs/dbraw/zinc/40/34/26/654403426.db2.gz GQYBJEFYZKFJNS-ZMLRMANQSA-N -1 1 348.359 -0.849 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)C1CC=CC1)c1cc(=O)n2[n-]cnc2n1 ZINC000951222060 654423271 /nfs/dbraw/zinc/42/32/71/654423271.db2.gz DYUARBZAQDLPJW-NSHDSACASA-N -1 1 342.359 -0.286 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCN1C(=O)C(F)F ZINC000951222116 654423461 /nfs/dbraw/zinc/42/34/61/654423461.db2.gz FYOAJBOFNJKPSZ-YFKPBYRVSA-N -1 1 332.263 -0.508 20 0 EBADMM CC1(C(=O)N2CC[C@H]2CNC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000951225150 654425991 /nfs/dbraw/zinc/42/59/91/654425991.db2.gz WEIOIHBIXRSJBG-VIFPVBQESA-N -1 1 330.348 -0.452 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnn(C)n2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000952297422 654436642 /nfs/dbraw/zinc/43/66/42/654436642.db2.gz ZXPJXCIYQAAHRY-MWLCHTKSSA-N -1 1 330.348 -0.194 20 0 EBADMM C[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1NC(=O)C1(C)CC1 ZINC000952371942 654449487 /nfs/dbraw/zinc/44/94/87/654449487.db2.gz TUQKOWHHNBXYAH-VXGBXAGGSA-N -1 1 348.403 -0.310 20 0 EBADMM CCC(=O)N1CC[C@@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@@H]21 ZINC000953383881 654570801 /nfs/dbraw/zinc/57/08/01/654570801.db2.gz RCGAHEHLGLYKDC-JQWIXIFHSA-N -1 1 344.375 -0.109 20 0 EBADMM CC(=O)N1CCCC[C@H]1[C@@H](C)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000953494533 654591818 /nfs/dbraw/zinc/59/18/18/654591818.db2.gz FFWWUMMYFDEMPM-SKDRFNHKSA-N -1 1 332.364 -0.063 20 0 EBADMM CC(=O)N[C@@H](C)[C@H]1CCCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000953629775 654600514 /nfs/dbraw/zinc/60/05/14/654600514.db2.gz CSHMEQVQMPDVLY-WCQYABFASA-N -1 1 336.392 -0.168 20 0 EBADMM CN(C(=O)CN1CCCC1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000953693009 654611544 /nfs/dbraw/zinc/61/15/44/654611544.db2.gz GWPWBIZBZXIZGE-UHFFFAOYSA-N -1 1 332.360 -0.308 20 0 EBADMM CN(C(=O)c1ccn[nH]1)C1CCN(C(=O)Cn2c(=O)[n-][nH]c2=O)CC1 ZINC000953778361 654625109 /nfs/dbraw/zinc/62/51/09/654625109.db2.gz JCKQLLIIDNRUJQ-UHFFFAOYSA-N -1 1 349.351 -0.824 20 0 EBADMM CCCn1cc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)nn1 ZINC000953832755 654636279 /nfs/dbraw/zinc/63/62/79/654636279.db2.gz BZZKFYAROOXHMX-UHFFFAOYSA-N -1 1 334.384 -0.932 20 0 EBADMM Cc1c(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)nnn1C ZINC000965829390 724527026 /nfs/dbraw/zinc/52/70/26/724527026.db2.gz OVOIXCFWQQTPJA-KOLCDFICSA-N -1 1 348.411 -0.814 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1cc[nH]c(=O)c1 ZINC000965845982 724531426 /nfs/dbraw/zinc/53/14/26/724531426.db2.gz TUJFQTOEIYSVQA-PWSUYJOCSA-N -1 1 346.391 -0.151 20 0 EBADMM C[C@@H]1CN(C(=O)C(F)F)C[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000966025851 724560326 /nfs/dbraw/zinc/56/03/26/724560326.db2.gz WFKXQQPOXUZWTA-SVRRBLITSA-N -1 1 340.290 -0.741 20 0 EBADMM CC(C)=CC(=O)N1C[C@H](C)[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000966114288 724567994 /nfs/dbraw/zinc/56/79/94/724567994.db2.gz WIGINWCITBRKGY-CMPLNLGQSA-N -1 1 344.375 -0.040 20 0 EBADMM C[C@H]1CN(C(=O)Cn2cnnn2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966145316 724576998 /nfs/dbraw/zinc/57/69/98/724576998.db2.gz JZXIINLNXJZNCQ-UWVGGRQHSA-N -1 1 331.336 -0.949 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CCNC(=O)C2)C1 ZINC000954123996 719569215 /nfs/dbraw/zinc/56/92/15/719569215.db2.gz HICUOFXOZMWXRO-JTQLQIEISA-N -1 1 332.360 -0.404 20 0 EBADMM COC(=O)[C@@H]1C[C@@H]1C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954124046 719569362 /nfs/dbraw/zinc/56/93/62/719569362.db2.gz JIQAOZBSENGMDV-WDEREUQCSA-N -1 1 333.344 -0.121 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)Cn1cnnn1 ZINC000966332766 724615171 /nfs/dbraw/zinc/61/51/71/724615171.db2.gz USRPGYPLCSMLON-UWVGGRQHSA-N -1 1 331.336 -0.949 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)c1cc(=O)n(C)o1 ZINC000966343143 724618565 /nfs/dbraw/zinc/61/85/65/724618565.db2.gz CTLMSUPTIIYMGP-ZJUUUORDSA-N -1 1 346.343 -0.031 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)c1cc(=O)n(C)o1 ZINC000966343141 724618644 /nfs/dbraw/zinc/61/86/44/724618644.db2.gz CTLMSUPTIIYMGP-UWVGGRQHSA-N -1 1 346.343 -0.031 20 0 EBADMM CC[C@H](F)C(=O)N1CC(N(C)C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC000954827988 719923487 /nfs/dbraw/zinc/92/34/87/719923487.db2.gz KHOYTRRDJNVMOJ-QMMMGPOBSA-N -1 1 342.327 -0.073 20 0 EBADMM CC(C)[C@H](C)C(=O)N1CC(N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000954832161 719926410 /nfs/dbraw/zinc/92/64/10/719926410.db2.gz NLYJZPZOFWDUPE-JTQLQIEISA-N -1 1 346.391 -0.008 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)C(C)(F)F)C1 ZINC000954832782 719927120 /nfs/dbraw/zinc/92/71/20/719927120.db2.gz QYEXKGYHWPOZDO-UHFFFAOYSA-N -1 1 340.290 -0.644 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)C2CCCC2)C1 ZINC000954833627 719927159 /nfs/dbraw/zinc/92/71/59/719927159.db2.gz ZTKVASPFHINWPV-UHFFFAOYSA-N -1 1 344.375 -0.109 20 0 EBADMM CCC(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC000955448245 720178806 /nfs/dbraw/zinc/17/88/06/720178806.db2.gz NGTIPGXKBPHWLK-NXEZZACHSA-N -1 1 332.364 -0.063 20 0 EBADMM C[C@@H]1CN(C(=O)C2(C)CC2)C[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000966489795 724662008 /nfs/dbraw/zinc/66/20/08/724662008.db2.gz KEQFDAWEZIFETA-KOLCDFICSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)Cn2cnnn2)CCN1C(=O)c1ncccc1[O-] ZINC000955649588 720209559 /nfs/dbraw/zinc/20/95/59/720209559.db2.gz IWVBOORDPBBZPA-QWRGUYRKSA-N -1 1 345.363 -0.417 20 0 EBADMM CCC(=O)N[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)[C@@H](C)C1 ZINC000955736815 720240672 /nfs/dbraw/zinc/24/06/72/720240672.db2.gz AFXHZSCPULMHAW-RYUDHWBXSA-N -1 1 336.392 -0.168 20 0 EBADMM Cc1ncc(C(=O)N2CCC[C@H]2CNC(=O)c2n[nH]c(=O)[n-]c2=O)[nH]1 ZINC000955927733 720296780 /nfs/dbraw/zinc/29/67/80/720296780.db2.gz MZKIUVVRBKPVRA-QMMMGPOBSA-N -1 1 347.335 -0.651 20 0 EBADMM CN1C[C@@H](C(=O)NC[C@@H]2CCCN2C(=O)c2ncccc2[O-])CC1=O ZINC000955977354 720315045 /nfs/dbraw/zinc/31/50/45/720315045.db2.gz QVFKKPPCMVSHGL-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM CC(=O)N1CC(C(=O)N2CCC[C@H]2CNC(=O)c2ncccc2[O-])C1 ZINC000955984684 720317063 /nfs/dbraw/zinc/31/70/63/720317063.db2.gz UPVKCASOJSFAKB-ZDUSSCGKSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@H]1CN(C(=O)C(C)(C)C(N)=O)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966523266 724670188 /nfs/dbraw/zinc/67/01/88/724670188.db2.gz VKMJKQGEESJVAZ-UWVGGRQHSA-N -1 1 334.376 -0.125 20 0 EBADMM C[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H]1NC(=O)C1CC1 ZINC000966588479 724690799 /nfs/dbraw/zinc/69/07/99/724690799.db2.gz ALWDPNDWPNJWMB-JQWIXIFHSA-N -1 1 334.376 -0.700 20 0 EBADMM C[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H]1NC(=O)CC1CC1 ZINC000966592300 724692222 /nfs/dbraw/zinc/69/22/22/724692222.db2.gz KWMSRXMPELJMER-YPMHNXCESA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@@H]1CN(C(=O)c2nnn(C)n2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966703721 724723742 /nfs/dbraw/zinc/72/37/42/724723742.db2.gz UGDWUVGYYRIODF-BDAKNGLRSA-N -1 1 331.336 -0.799 20 0 EBADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)Cc2nnc[nH]2)C1 ZINC000956850003 722119480 /nfs/dbraw/zinc/11/94/80/722119480.db2.gz CLDAQBBNIKCTHC-OAHLLOKOSA-N -1 1 330.348 -0.131 20 0 EBADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)[C@H]2CCC(=O)N2)C1 ZINC000956849248 722119529 /nfs/dbraw/zinc/11/95/29/722119529.db2.gz OLXFINXRQMQPMO-HWPZZCPQSA-N -1 1 332.360 -0.213 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cnn(CC4CC4)c3)CC2)nc1=O ZINC000957099073 722157031 /nfs/dbraw/zinc/15/70/31/722157031.db2.gz KFQWKRNUSXVNDR-UHFFFAOYSA-N -1 1 345.407 -0.327 20 0 EBADMM C[C@@]1(NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN(C(=O)C2CC2)C1 ZINC000957220267 722176500 /nfs/dbraw/zinc/17/65/00/722176500.db2.gz WWUGWURXUDFPNV-OAHLLOKOSA-N -1 1 330.348 -0.452 20 0 EBADMM C[C@@]1(NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN(C(=O)C(F)F)C1 ZINC000957220206 722176546 /nfs/dbraw/zinc/17/65/46/722176546.db2.gz VYQFVDBDXWECSF-CYBMUJFWSA-N -1 1 340.290 -0.597 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCN(Cc2nccs2)CC1 ZINC000957315146 722193472 /nfs/dbraw/zinc/19/34/72/722193472.db2.gz ZSBFPHHEGWHOJH-UHFFFAOYSA-N -1 1 345.388 -0.168 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2CCC(=O)N2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000957469967 722214988 /nfs/dbraw/zinc/21/49/88/722214988.db2.gz ADUHRPZPWQWYGB-OUAUKWLOSA-N -1 1 332.360 -0.358 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@@H](CNC(=O)c3ccc(F)cc3)C2)nc1=O ZINC000957813135 722244852 /nfs/dbraw/zinc/24/48/52/722244852.db2.gz XFLZRMHJCFONPP-WCQYABFASA-N -1 1 349.366 -0.530 20 0 EBADMM CC(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(C(=O)c1cc(=O)n3[n-]cnc3n1)C2 ZINC000957837006 722247390 /nfs/dbraw/zinc/24/73/90/722247390.db2.gz YEXCDFKQRKFWNM-DCAQKATOSA-N -1 1 330.348 -0.453 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2CN(Cc3cnn(C)c3)C[C@H]2O)c1[O-] ZINC000957974327 722279824 /nfs/dbraw/zinc/27/98/24/722279824.db2.gz BCDBHQDDDGPZEX-VXGBXAGGSA-N -1 1 334.380 -0.620 20 0 EBADMM Cc1nn(C)cc1CN1C[C@@H](O)[C@@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000957976642 722280239 /nfs/dbraw/zinc/28/02/39/722280239.db2.gz XONYXACCGDOOBO-WCQYABFASA-N -1 1 348.407 -0.312 20 0 EBADMM O=C(NC[C@H]1CN(Cc2cnns2)C[C@@H]1O)c1ncccc1[O-] ZINC000957995065 722285074 /nfs/dbraw/zinc/28/50/74/722285074.db2.gz KQKDEEYXJGBOLP-CABZTGNLSA-N -1 1 335.389 -0.139 20 0 EBADMM Cn1[n-]c(CN2C[C@H](O)[C@@H](CNC(=O)C3C=CC=CC=C3)C2)nc1=O ZINC000958111521 722305543 /nfs/dbraw/zinc/30/55/43/722305543.db2.gz OOSCKYTXBQPTOE-KBPBESRZSA-N -1 1 345.403 -0.684 20 0 EBADMM C[C@@H]1CN(C(=O)CCn2cnnn2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000958162513 722314895 /nfs/dbraw/zinc/31/48/95/722314895.db2.gz QLZBNZUGXIZWIQ-GHMZBOCLSA-N -1 1 345.363 -0.559 20 0 EBADMM Cc1cocc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC000958317329 722344361 /nfs/dbraw/zinc/34/43/61/722344361.db2.gz WCWBDUOOEQUJDQ-JQWIXIFHSA-N -1 1 335.364 -0.767 20 0 EBADMM C[C@@H]1CN(C(=O)C(N)=O)C[C@H]1NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000958347796 722350093 /nfs/dbraw/zinc/35/00/93/722350093.db2.gz ZVXUZRFTKWBKJT-GMSGAONNSA-N -1 1 333.348 -0.878 20 0 EBADMM CN(C(=O)c1cn[nH]c1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958652415 722410545 /nfs/dbraw/zinc/41/05/45/722410545.db2.gz WVJDGARAETZGBJ-JQWIXIFHSA-N -1 1 331.332 -0.532 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)c2ccon2)C[C@@H]1O ZINC000958830255 722604184 /nfs/dbraw/zinc/60/41/84/722604184.db2.gz MUMUGJJIBNHLPW-JQWIXIFHSA-N -1 1 332.316 -0.267 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)c2cncnc2)C[C@@H]1O ZINC000958830215 722604267 /nfs/dbraw/zinc/60/42/67/722604267.db2.gz KQXAADRNMXJDFW-AAEUAGOBSA-N -1 1 343.343 -0.465 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)CC(C)(C)O)C[C@@H]1O ZINC000958830503 722604535 /nfs/dbraw/zinc/60/45/35/722604535.db2.gz XEHMQQBLAJAFFU-JQWIXIFHSA-N -1 1 337.376 -0.408 20 0 EBADMM Cc1nonc1C(=O)N(C)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958881758 722635489 /nfs/dbraw/zinc/63/54/89/722635489.db2.gz LFWXLWFIYIZGHR-ONGXEEELSA-N -1 1 347.331 -0.564 20 0 EBADMM CN(C(=O)c1cncn1C)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958883587 722636591 /nfs/dbraw/zinc/63/65/91/722636591.db2.gz RSYGGMBCRONPMU-AAEUAGOBSA-N -1 1 345.359 -0.522 20 0 EBADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)[C@H]1CCN(C(=O)C2CC2)C1 ZINC000958992962 722681939 /nfs/dbraw/zinc/68/19/39/722681939.db2.gz DIXCBNKFFAJKJW-KOLCDFICSA-N -1 1 344.375 -0.206 20 0 EBADMM CCC(=O)N1CC[C@H]([C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000959000573 722681960 /nfs/dbraw/zinc/68/19/60/722681960.db2.gz ZZIUFWQACXNSQG-UWVGGRQHSA-N -1 1 332.364 -0.206 20 0 EBADMM C[C@H]1CN(C(=O)C2CC2)C[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000959306913 722710681 /nfs/dbraw/zinc/71/06/81/722710681.db2.gz VFAOGESWNUJEFG-GXSJLCMTSA-N -1 1 344.375 -0.348 20 0 EBADMM C[C@H]1CN(C(=O)Cn2cnnn2)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000959369770 722713584 /nfs/dbraw/zinc/71/35/84/722713584.db2.gz OLDPKDIJEFEVLY-WDEREUQCSA-N -1 1 345.363 -0.702 20 0 EBADMM CC(C)C(=O)N1C[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)[C@H](C)C1 ZINC000959379305 722714394 /nfs/dbraw/zinc/71/43/94/722714394.db2.gz ZYNFLMQMWWRCTB-GHMZBOCLSA-N -1 1 346.391 -0.102 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNC(=O)c1nnn(C)n1 ZINC000959454887 722723320 /nfs/dbraw/zinc/72/33/20/722723320.db2.gz VCNCHQURMMVDOS-UWVGGRQHSA-N -1 1 345.363 -0.551 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)c1nnn(C)n1 ZINC000959454891 722723358 /nfs/dbraw/zinc/72/33/58/722723358.db2.gz VCNCHQURMMVDOS-VHSXEESVSA-N -1 1 345.363 -0.551 20 0 EBADMM C[C@H]1CN(C(=O)c2nnn(C)n2)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000959551328 722739992 /nfs/dbraw/zinc/73/99/92/722739992.db2.gz NHGSTOFVJTWTKJ-VHSXEESVSA-N -1 1 345.363 -0.551 20 0 EBADMM C[C@@H]1CN(C(=O)C(N)=O)C[C@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000959572741 722745652 /nfs/dbraw/zinc/74/56/52/722745652.db2.gz VUZAMMVNHUQDRS-PSASIEDQSA-N -1 1 347.375 -0.631 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CN(C(=O)CCc3c[nH]nn3)C[C@H]2C)c1[O-] ZINC000959676927 722755553 /nfs/dbraw/zinc/75/55/53/722755553.db2.gz JKMCIMDANNQYKZ-LDYMZIIASA-N -1 1 347.379 -0.249 20 0 EBADMM CC(C)[C@@H]1CCO[C@@H]1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000959925292 722775720 /nfs/dbraw/zinc/77/57/20/722775720.db2.gz OTANGJKWNOEPCI-OBORUHMCSA-N -1 1 349.435 -0.284 20 0 EBADMM CN1CCO[C@H](C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)C1 ZINC000959935950 722776446 /nfs/dbraw/zinc/77/64/46/722776446.db2.gz DOBXRLNUFORBRV-RYUDHWBXSA-N -1 1 338.412 -0.688 20 0 EBADMM O=C(C[C@H]1CCNC1=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000960029272 722784494 /nfs/dbraw/zinc/78/44/94/722784494.db2.gz QBZNPBWCYIEOEU-MNOVXSKESA-N -1 1 336.396 -0.493 20 0 EBADMM Cn1ccc(CNC[C@@H]2CCCCN2C(=O)c2n[nH]c(=O)[n-]c2=O)n1 ZINC000960061977 722786865 /nfs/dbraw/zinc/78/68/65/722786865.db2.gz QUZXWGNPGLEAPX-NSHDSACASA-N -1 1 347.379 -0.199 20 0 EBADMM CN1C(=O)CC[C@@H]1C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000960098264 722792499 /nfs/dbraw/zinc/79/24/99/722792499.db2.gz BHIJTAFNDGTCQR-WDEREUQCSA-N -1 1 336.396 -0.398 20 0 EBADMM CCc1cccc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)n1 ZINC000960308834 722816974 /nfs/dbraw/zinc/81/69/74/722816974.db2.gz PWBQOSQRFUJLSV-JYAVWHMHSA-N -1 1 342.403 -0.074 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)Cn1cccn1 ZINC000960417044 722828138 /nfs/dbraw/zinc/82/81/38/722828138.db2.gz NSWPDXABBYGSMS-LBPRGKRZSA-N -1 1 333.396 -0.572 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)[C@H]1CCCOC1 ZINC000960485663 722833199 /nfs/dbraw/zinc/83/31/99/722833199.db2.gz MRDIXEHYYJOLOT-STQMWFEESA-N -1 1 337.424 -0.042 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H]2CCC2(F)F)nc1=O ZINC000960491457 722833588 /nfs/dbraw/zinc/83/35/88/722833588.db2.gz XCQACPNIBRWKJJ-LOKLDPHHSA-N -1 1 327.335 -0.300 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)CCc1ncc[nH]1 ZINC000960592700 722842360 /nfs/dbraw/zinc/84/23/60/722842360.db2.gz ANKGOPKPHXIQGN-LBPRGKRZSA-N -1 1 347.423 -0.113 20 0 EBADMM C[C@@H](c1cnccn1)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC000960806726 722866997 /nfs/dbraw/zinc/86/69/97/722866997.db2.gz HFQZAZYHKXXEDR-WYOJIJJFSA-N -1 1 343.347 -0.506 20 0 EBADMM Cc1ncc(C(=O)N2CCC[C@H]2CN(C)Cc2nc(=O)n(C)[n-]2)n1C ZINC000960821432 722868888 /nfs/dbraw/zinc/86/88/88/722868888.db2.gz INHDWWKDBGVNDX-LBPRGKRZSA-N -1 1 347.423 -0.113 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(Cc3ccccn3)C[C@H]21)c1n[nH]c(=O)[n-]c1=O ZINC000960841234 722871876 /nfs/dbraw/zinc/87/18/76/722871876.db2.gz ZQEVXFLAGOKMJG-URLYPYJESA-N -1 1 328.332 -0.462 20 0 EBADMM Cc1ccn(CC(=O)N2CCC[C@H]2CN(C)Cc2nc(=O)n(C)[n-]2)n1 ZINC000960872485 722875263 /nfs/dbraw/zinc/87/52/63/722875263.db2.gz BZVDOHLQFKCGHD-ZDUSSCGKSA-N -1 1 347.423 -0.264 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C[C@@H]2CC(C)(C)CO2)nc1=O ZINC000961016359 722888073 /nfs/dbraw/zinc/88/80/73/722888073.db2.gz NEKMCBXRZGTDNH-FJJYHAOUSA-N -1 1 349.435 -0.140 20 0 EBADMM O=C([N-][C@@H]1CN(C(=O)c2[nH]cnc2C(F)(F)F)C[C@@H]1O)C(F)F ZINC000961085800 722897541 /nfs/dbraw/zinc/89/75/41/722897541.db2.gz CRSQJHVXMBJJEZ-UHNVWZDZSA-N -1 1 342.224 -0.005 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1ccccn1 ZINC000961184187 722912457 /nfs/dbraw/zinc/91/24/57/722912457.db2.gz SFTMIPZXGVVSQR-YPMHNXCESA-N -1 1 328.328 -0.203 20 0 EBADMM Cn1nnc2cc(C(=O)N3CC[C@H](NCc4n[nH]c(=O)[n-]4)C3)ccc21 ZINC001019041480 728780388 /nfs/dbraw/zinc/78/03/88/728780388.db2.gz XFCBKVIQRDXCBB-JTQLQIEISA-N -1 1 342.363 -0.204 20 0 EBADMM O=C(Cn1ncnn1)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC000961427173 723017413 /nfs/dbraw/zinc/01/74/13/723017413.db2.gz LMFBIEKPGXHXBF-PHIMTYICSA-N -1 1 343.347 -0.996 20 0 EBADMM Cc1oncc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc([O-])n(C)c1=O ZINC000961468267 723023839 /nfs/dbraw/zinc/02/38/39/723023839.db2.gz NDGGKIMKXRFXNR-ITGUQSILSA-N -1 1 345.359 -0.357 20 0 EBADMM O=C([C@@H]1CCn2ccnc2C1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019060746 728786845 /nfs/dbraw/zinc/78/68/45/728786845.db2.gz FAZZZEXKPJHZMX-MNOVXSKESA-N -1 1 331.380 -0.340 20 0 EBADMM Cc1ocnc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000961800417 723087121 /nfs/dbraw/zinc/08/71/21/723087121.db2.gz NOEYQXWVCONLKK-KOLCDFICSA-N -1 1 332.316 -0.301 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)Cc2cscn2)nc1=O ZINC000961974294 723136859 /nfs/dbraw/zinc/13/68/59/723136859.db2.gz RSJHWLBCUYZVPN-IWIIMEHWSA-N -1 1 334.405 -0.646 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CC[C@H](NCc2cc3n(n2)CCC3)C1 ZINC001019094769 728794587 /nfs/dbraw/zinc/79/45/87/728794587.db2.gz LSBGPLQLEVGREX-VIFPVBQESA-N -1 1 345.363 -0.570 20 0 EBADMM C[C@H](N[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1)c1cnccn1 ZINC001019094670 728794624 /nfs/dbraw/zinc/79/46/24/728794624.db2.gz GWFCRFPEFSEYOL-IUCAKERBSA-N -1 1 331.336 -0.362 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1cncc(F)c1 ZINC000962213225 723196859 /nfs/dbraw/zinc/19/68/59/723196859.db2.gz AIXWXWFELYVRRZ-YPMHNXCESA-N -1 1 346.318 -0.063 20 0 EBADMM Cc1ncc(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)Cn2c(=O)[n-][nH]c2=O)o1 ZINC000962401865 723240752 /nfs/dbraw/zinc/24/07/52/723240752.db2.gz FHZVLIZBESALMW-IAZYJMLFSA-N -1 1 334.336 -0.768 20 0 EBADMM Cc1[nH]nc(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)c1C ZINC000962433949 723251247 /nfs/dbraw/zinc/25/12/47/723251247.db2.gz BAPQOZKQOGXXGL-PWSUYJOCSA-N -1 1 345.359 -0.258 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ccncc2F)C[C@@H]1O)c1ncccc1[O-] ZINC000962433892 723251523 /nfs/dbraw/zinc/25/15/23/723251523.db2.gz AABNBSRYJCAKHX-YPMHNXCESA-N -1 1 346.318 -0.063 20 0 EBADMM Cc1cc(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)no1 ZINC000962435290 723251888 /nfs/dbraw/zinc/25/18/88/723251888.db2.gz OMSHNIKYAUIEFD-PWSUYJOCSA-N -1 1 332.316 -0.301 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)C2=CCOCC2)C[C@@H]1O)c1ncccc1[O-] ZINC000962435904 723252194 /nfs/dbraw/zinc/25/21/94/723252194.db2.gz XAHIVQWLNRGXPR-YPMHNXCESA-N -1 1 333.344 -0.565 20 0 EBADMM Cc1nc(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)co1 ZINC000962435365 723252209 /nfs/dbraw/zinc/25/22/09/723252209.db2.gz QRYPSHBFTIGFSJ-SKDRFNHKSA-N -1 1 332.316 -0.301 20 0 EBADMM C[C@@]1(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)CCOC1 ZINC000962435801 723252537 /nfs/dbraw/zinc/25/25/37/723252537.db2.gz UTINAYODBJBQMS-RSAASHCRSA-N -1 1 335.360 -0.485 20 0 EBADMM Cc1cc(CC(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)[nH]n1 ZINC000962435743 723252543 /nfs/dbraw/zinc/25/25/43/723252543.db2.gz SXRCIZBHULRWKT-YPMHNXCESA-N -1 1 345.359 -0.637 20 0 EBADMM C[C@@H]1CCO[C@@H]1C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962436612 723253004 /nfs/dbraw/zinc/25/30/04/723253004.db2.gz ISCQMANUUFMNKG-KLTVKPSUSA-N -1 1 335.360 -0.486 20 0 EBADMM Cc1nccn1CC(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962436209 723253287 /nfs/dbraw/zinc/25/32/87/723253287.db2.gz CQICFUOGDHKCBS-YPMHNXCESA-N -1 1 345.359 -0.706 20 0 EBADMM CC(=O)N1CCC[C@@H]2[C@@H]1CCN2C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000962534192 723277940 /nfs/dbraw/zinc/27/79/40/723277940.db2.gz NRAXVIRWPHPALA-NEPJUHHUSA-N -1 1 330.348 -0.357 20 0 EBADMM CCn1ccnc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000962567108 723286134 /nfs/dbraw/zinc/28/61/34/723286134.db2.gz OGBHKJUZTDDGAH-PWSUYJOCSA-N -1 1 345.359 -0.381 20 0 EBADMM CO[C@H](C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1)c1cnn(C)c1 ZINC001019195658 728824011 /nfs/dbraw/zinc/82/40/11/728824011.db2.gz BKCYCALAUIGMOX-JQWIXIFHSA-N -1 1 335.368 -0.678 20 0 EBADMM CCC(=O)N1C[C@@H]2CCCN(C(=O)c3cc(=O)n4[n-]cnc4n3)[C@@H]2C1 ZINC000963782212 723485568 /nfs/dbraw/zinc/48/55/68/723485568.db2.gz KRVIRKWBBGECHQ-CMPLNLGQSA-N -1 1 344.375 -0.109 20 0 EBADMM Cc1nn(C)c(C)c1CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019209496 728826963 /nfs/dbraw/zinc/82/69/63/728826963.db2.gz OUKUZQNAIZWDQR-NSHDSACASA-N -1 1 333.396 -0.206 20 0 EBADMM CC(=O)N[C@H]1CC[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000963896712 723507315 /nfs/dbraw/zinc/50/73/15/723507315.db2.gz PJQSQTMIPBITEG-XYPYZODXSA-N -1 1 332.364 -0.158 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1ncccc1[O-])[C@H]1CCOC1 ZINC000964107501 723807845 /nfs/dbraw/zinc/80/78/45/723807845.db2.gz VQSYHJGTOOZBDR-NWDGAFQWSA-N -1 1 335.360 -0.219 20 0 EBADMM Cn1nncc1C(=O)N1CCOC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000964151517 723838675 /nfs/dbraw/zinc/83/86/75/723838675.db2.gz AIQFYVVXGYWDEN-JTQLQIEISA-N -1 1 346.347 -0.813 20 0 EBADMM O=C(Cn1cncn1)N1CCOC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000964152220 723839158 /nfs/dbraw/zinc/83/91/58/723839158.db2.gz DJNSWXAZIFKAHQ-LLVKDONJSA-N -1 1 346.347 -0.964 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1csnn1)c1ncccc1[O-] ZINC000964152941 723839416 /nfs/dbraw/zinc/83/94/16/723839416.db2.gz HFJVGPXIWCRKLD-VIFPVBQESA-N -1 1 349.372 -0.090 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CCOC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000964153549 723839809 /nfs/dbraw/zinc/83/98/09/723839809.db2.gz IFBNQJXZULTOAD-JTQLQIEISA-N -1 1 346.347 -0.515 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CCOC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000964153549 723839814 /nfs/dbraw/zinc/83/98/14/723839814.db2.gz IFBNQJXZULTOAD-JTQLQIEISA-N -1 1 346.347 -0.515 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1ccncn1)c1ncccc1[O-] ZINC000964154773 723840690 /nfs/dbraw/zinc/84/06/90/723840690.db2.gz MDOLMRYYFVVKPX-NSHDSACASA-N -1 1 343.343 -0.152 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1cccnn1)c1ncccc1[O-] ZINC000964154880 723841225 /nfs/dbraw/zinc/84/12/25/723841225.db2.gz NEDCMCHEKVTTPT-LLVKDONJSA-N -1 1 343.343 -0.152 20 0 EBADMM Cn1ncc(C(=O)N2CCOC[C@@H]2CNC(=O)c2ncccc2[O-])n1 ZINC000964156653 723842586 /nfs/dbraw/zinc/84/25/86/723842586.db2.gz WENWLZJJLGNUQU-JTQLQIEISA-N -1 1 346.347 -0.813 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1n[nH]cc1F)c1ncccc1[O-] ZINC000964157123 723842838 /nfs/dbraw/zinc/84/28/38/723842838.db2.gz XWVGEJQYDHKYAW-SECBINFHSA-N -1 1 349.322 -0.080 20 0 EBADMM O=C(Cc1ncc[nH]1)N1CCOC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000964157248 723843186 /nfs/dbraw/zinc/84/31/86/723843186.db2.gz ZOYHLDKNPIZCED-LLVKDONJSA-N -1 1 345.359 -0.290 20 0 EBADMM CCC(=O)N1CCOC[C@@H]1CNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000964264693 723926256 /nfs/dbraw/zinc/92/62/56/723926256.db2.gz KMNYARRKDQMDLQ-QMMMGPOBSA-N -1 1 340.336 -0.736 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1ncccc1[O-])c1nnc[nH]1 ZINC000964312186 723963022 /nfs/dbraw/zinc/96/30/22/723963022.db2.gz UIZQAJRXPLKRAZ-VIFPVBQESA-N -1 1 332.320 -0.824 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@H](NC(=O)C2CC2)CC1 ZINC000964659007 724047115 /nfs/dbraw/zinc/04/71/15/724047115.db2.gz GTGYOLBQXBAMEB-ZDUSSCGKSA-N -1 1 348.403 -0.166 20 0 EBADMM O=C(N[C@H]1CCCN(C(=O)[C@@H]2CNC(=O)N2)CC1)c1ncccc1[O-] ZINC000964855425 724066717 /nfs/dbraw/zinc/06/67/17/724066717.db2.gz OJTZLFTZVBZXOG-QWRGUYRKSA-N -1 1 347.375 -0.421 20 0 EBADMM Cc1c(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)cnn1C ZINC000965145838 724135953 /nfs/dbraw/zinc/13/59/53/724135953.db2.gz AYCUAIPYIXOWST-ZWNOBZJWSA-N -1 1 347.423 -0.209 20 0 EBADMM CCn1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)cn1 ZINC000965649938 724342134 /nfs/dbraw/zinc/34/21/34/724342134.db2.gz CVCOIVJGFKHLKK-DGCLKSJQSA-N -1 1 347.423 -0.035 20 0 EBADMM O=C(C1CC1)N1CCC[C@H]1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019479024 728897020 /nfs/dbraw/zinc/89/70/20/728897020.db2.gz SACVMJXKLAHWEU-RYUDHWBXSA-N -1 1 348.407 -0.398 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2COC3(CN(C(=O)c4ccn[nH]4)C3)C2)c1[O-] ZINC000965676146 724359173 /nfs/dbraw/zinc/35/91/73/724359173.db2.gz SUXZIIQIBKMZCE-VIFPVBQESA-N -1 1 346.347 -0.440 20 0 EBADMM O=C(N[C@@H]1COC2(CN(C(=O)c3cc[nH]n3)C2)C1)c1ncccc1[O-] ZINC000965685763 724365855 /nfs/dbraw/zinc/36/58/55/724365855.db2.gz UYRQFBYYVMKFGJ-JTQLQIEISA-N -1 1 343.343 -0.076 20 0 EBADMM O=C(N[C@@H]1COC2(CN(C(=O)c3ccn[nH]3)C2)C1)c1ncccc1[O-] ZINC000965685763 724365859 /nfs/dbraw/zinc/36/58/59/724365859.db2.gz UYRQFBYYVMKFGJ-JTQLQIEISA-N -1 1 343.343 -0.076 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cncn1C ZINC000967269199 724859639 /nfs/dbraw/zinc/85/96/39/724859639.db2.gz TVKTTZNEKAANLK-GHMZBOCLSA-N -1 1 333.396 -0.518 20 0 EBADMM Cc1n[nH]cc1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1C ZINC000967629616 724887389 /nfs/dbraw/zinc/88/73/89/724887389.db2.gz QPWJSYQTVOWPOG-CABZTGNLSA-N -1 1 333.396 -0.220 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CCCNC2=O)C1 ZINC000967902708 724928052 /nfs/dbraw/zinc/92/80/52/724928052.db2.gz ARHGMFIWFFZCRN-GFCCVEGCSA-N -1 1 346.387 -0.014 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CN(C)C(=O)N2)C1 ZINC000967906806 724928636 /nfs/dbraw/zinc/92/86/36/724928636.db2.gz JHMJGAGOSPVKTF-NSHDSACASA-N -1 1 347.375 -0.516 20 0 EBADMM Cc1nonc1CN[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001019523016 728908068 /nfs/dbraw/zinc/90/80/68/728908068.db2.gz ORAUFDIHDOTTFW-NSHDSACASA-N -1 1 348.363 -0.991 20 0 EBADMM CCN(C(=O)[C@H]1CCC(=O)NC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000968132444 724967204 /nfs/dbraw/zinc/96/72/04/724967204.db2.gz NVLBOQGQNFEJQJ-NSHDSACASA-N -1 1 346.387 -0.014 20 0 EBADMM CCN(C(=O)[C@H]1CCNC1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000968210068 724980044 /nfs/dbraw/zinc/98/00/44/724980044.db2.gz BYYWRQLSFCRCRZ-NSHDSACASA-N -1 1 332.360 -0.404 20 0 EBADMM Cc1c[nH]c(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)n1 ZINC000968400283 725059409 /nfs/dbraw/zinc/05/94/09/725059409.db2.gz OKEHGJFWWMJSEO-KOLCDFICSA-N -1 1 333.396 -0.220 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ccc(=O)[nH]c1 ZINC000968610374 725086944 /nfs/dbraw/zinc/08/69/44/725086944.db2.gz PBNJQXSUQJTLFK-ZYHUDNBSSA-N -1 1 346.391 -0.151 20 0 EBADMM Cc1c(CCC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cnn1C ZINC000968814993 725116642 /nfs/dbraw/zinc/11/66/42/725116642.db2.gz RGGTXJUHPOCDMU-GFCCVEGCSA-N -1 1 333.396 -0.124 20 0 EBADMM Cn1ncc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c1Cl ZINC000969097999 725151428 /nfs/dbraw/zinc/15/14/28/725151428.db2.gz MJOHPCUKVVGNAG-SSDOTTSWSA-N -1 1 325.760 -0.099 20 0 EBADMM NC(=O)C1CCC(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC000969161733 725157848 /nfs/dbraw/zinc/15/78/48/725157848.db2.gz FDQVONCFSIAPQT-VQXHTEKXSA-N -1 1 336.396 -0.508 20 0 EBADMM Cc1nnsc1C(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969282343 725168260 /nfs/dbraw/zinc/16/82/60/725168260.db2.gz WBNYQERNOYPOSY-SSDOTTSWSA-N -1 1 337.409 -0.481 20 0 EBADMM COc1c[nH]c(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC000969345061 725172512 /nfs/dbraw/zinc/17/25/12/725172512.db2.gz COSZFNGNRKKOSU-MRVPVSSYSA-N -1 1 334.336 -0.789 20 0 EBADMM Cc1cc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC000969361348 725173886 /nfs/dbraw/zinc/17/38/86/725173886.db2.gz IYEGNCABGDHBCZ-SNVBAGLBSA-N -1 1 333.396 -0.599 20 0 EBADMM O=C(c1cnn2cccnc12)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969542478 725191354 /nfs/dbraw/zinc/19/13/54/725191354.db2.gz JZMDUGHFKIZOIZ-SECBINFHSA-N -1 1 328.336 -0.443 20 0 EBADMM CCn1ccnc1C(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969575415 725194251 /nfs/dbraw/zinc/19/42/51/725194251.db2.gz CVSRTCHPWVQPDU-JTQLQIEISA-N -1 1 333.396 -0.425 20 0 EBADMM CCCn1nccc1C(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969758948 725212774 /nfs/dbraw/zinc/21/27/74/725212774.db2.gz DJURXYWMEFBOST-LLVKDONJSA-N -1 1 347.423 -0.035 20 0 EBADMM Cc1nc([C@H](C)N2CC([C@@H](C)NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC000970059364 725244787 /nfs/dbraw/zinc/24/47/87/725244787.db2.gz NNNZZHJORIFWKI-RQJHMYQMSA-N -1 1 348.367 -0.479 20 0 EBADMM COc1ccc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC000970099558 725250723 /nfs/dbraw/zinc/25/07/23/725250723.db2.gz CXHMNXXUEJBREK-JTQLQIEISA-N -1 1 346.391 -0.238 20 0 EBADMM COc1cnc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)nc1 ZINC000970117764 725252498 /nfs/dbraw/zinc/25/24/98/725252498.db2.gz QTWISOLWWINEME-SECBINFHSA-N -1 1 347.379 -0.843 20 0 EBADMM COc1c(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c(C)nn1C ZINC000970146269 725255608 /nfs/dbraw/zinc/25/56/08/725255608.db2.gz XATPSVBKXBINTC-SECBINFHSA-N -1 1 335.368 -0.435 20 0 EBADMM Cc1nc(CN2CC([C@H](C)NC(=O)c3cnc([O-])n(C)c3=O)C2)n[nH]1 ZINC000970261425 725269669 /nfs/dbraw/zinc/26/96/69/725269669.db2.gz IZHONHPMRPHSHW-QMMMGPOBSA-N -1 1 347.379 -0.837 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H](NCc2ccon2)C1 ZINC000970277934 725272444 /nfs/dbraw/zinc/27/24/44/725272444.db2.gz JQUOJGCVUHILMJ-GFCCVEGCSA-N -1 1 333.348 -0.695 20 0 EBADMM Cc1cc(CN[C@@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)no1 ZINC000970278572 725272455 /nfs/dbraw/zinc/27/24/55/725272455.db2.gz YFXFIBLFIKRJHP-GFCCVEGCSA-N -1 1 347.375 -0.386 20 0 EBADMM C[C@@H](NC(=O)[C@H]1CCCc2n[nH]nc21)C1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC000970343138 725280400 /nfs/dbraw/zinc/28/04/00/725280400.db2.gz IRPXVFHHGBSYOU-SCZZXKLOSA-N -1 1 346.395 -0.315 20 0 EBADMM Cc1cc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)nc(C)n1 ZINC000970580966 725301801 /nfs/dbraw/zinc/30/18/01/725301801.db2.gz QPYBLSYYAUZORK-SNVBAGLBSA-N -1 1 345.407 -0.234 20 0 EBADMM Cc1cc(CN[C@@H]2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)nn1C ZINC000970581290 725301967 /nfs/dbraw/zinc/30/19/67/725301967.db2.gz HKLOHVAIYRJVFY-SNVBAGLBSA-N -1 1 335.368 -0.878 20 0 EBADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(Cc2cnon2)C1 ZINC000970736660 725321856 /nfs/dbraw/zinc/32/18/56/725321856.db2.gz FEMITXLQQOTJDO-MRVPVSSYSA-N -1 1 344.335 -0.949 20 0 EBADMM C[C@H](NC(=O)c1cnn2cc[nH]c12)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970790577 725328804 /nfs/dbraw/zinc/32/88/04/725328804.db2.gz CZMMIVMHGOPONW-VIFPVBQESA-N -1 1 344.379 -0.666 20 0 EBADMM COc1ncnc(OC)c1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970867299 725336337 /nfs/dbraw/zinc/33/63/37/725336337.db2.gz DLPRBFVXLDELNJ-MRVPVSSYSA-N -1 1 349.351 -0.678 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)c2ccc(F)nc2)C1 ZINC000971219419 725354486 /nfs/dbraw/zinc/35/44/86/725354486.db2.gz YZJNLDWJULJOGX-NSHDSACASA-N -1 1 334.355 -0.011 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)CC2CCOCC2)C1 ZINC000971233585 725355248 /nfs/dbraw/zinc/35/52/48/725355248.db2.gz ARTUWKMCSOIZRE-CYBMUJFWSA-N -1 1 337.424 -0.042 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)C[C@@](C)(O)C2CC2)C1 ZINC000971371607 725360882 /nfs/dbraw/zinc/36/08/82/725360882.db2.gz WSWUMRDPVWMSKW-BLLLJJGKSA-N -1 1 337.424 -0.308 20 0 EBADMM CCOC1CC(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC000971488296 725369227 /nfs/dbraw/zinc/36/92/27/725369227.db2.gz ZZESDGBXYXZZRO-CPCZMJQVSA-N -1 1 337.424 -0.044 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)c2cnc3n2CCC3)C1 ZINC000971703358 725431863 /nfs/dbraw/zinc/43/18/63/725431863.db2.gz FOBLLALMLAZWEK-NSHDSACASA-N -1 1 345.407 -0.402 20 0 EBADMM COc1cc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC000971915352 725448091 /nfs/dbraw/zinc/44/80/91/725448091.db2.gz VORDEJOSUABPLZ-SNVBAGLBSA-N -1 1 349.395 -0.803 20 0 EBADMM O=C(c1ncccc1[O-])N1CC[C@@H]2CN(C(=O)[C@H]3COC(=O)N3)[C@@H]2C1 ZINC000971995260 725453615 /nfs/dbraw/zinc/45/36/15/725453615.db2.gz RUAMWMHDHMGVOO-GMTAPVOTSA-N -1 1 346.343 -0.432 20 0 EBADMM Cc1c(CC(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)cnn1C ZINC001051647933 735289356 /nfs/dbraw/zinc/28/93/56/735289356.db2.gz DVAUIJOVAJKKDK-LBPRGKRZSA-N -1 1 349.395 -0.888 20 0 EBADMM COc1nn(C)cc1C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000972068641 725461456 /nfs/dbraw/zinc/46/14/56/725461456.db2.gz CHINTJWDNITXSX-SNVBAGLBSA-N -1 1 349.395 -0.803 20 0 EBADMM COc1nn(C)cc1C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000972068640 725461594 /nfs/dbraw/zinc/46/15/94/725461594.db2.gz CHINTJWDNITXSX-JTQLQIEISA-N -1 1 349.395 -0.803 20 0 EBADMM Cn1[n-]c(CN2CCO[C@]3(CCN(C(=O)c4ccco4)C3)C2)nc1=O ZINC000972227047 725481296 /nfs/dbraw/zinc/48/12/96/725481296.db2.gz GUBUVCLJGCNEJP-MRXNPFEDSA-N -1 1 347.375 -0.182 20 0 EBADMM CO[C@H](C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1)C(C)C ZINC000972240941 725482904 /nfs/dbraw/zinc/48/29/04/725482904.db2.gz HLWYMCOQECOKJB-YPMHNXCESA-N -1 1 325.413 -0.188 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@]3(CCN(C(=O)/C=C\C4CC4)C3)C2)nc1=O ZINC000972317236 725498805 /nfs/dbraw/zinc/49/88/05/725498805.db2.gz SPPZUXLPQLWLPD-CHYADLBTSA-N -1 1 347.419 -0.122 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CC[C@@]2(C1)CN(Cc1nc(=O)n(C)[n-]1)CCO2 ZINC000972506270 725538097 /nfs/dbraw/zinc/53/80/97/725538097.db2.gz JENDQMQFMVVBEE-MKBNYLNASA-N -1 1 335.408 -0.432 20 0 EBADMM Cc1c[nH]cc1C(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000991700334 725553540 /nfs/dbraw/zinc/55/35/40/725553540.db2.gz ALNAZNFUCNESLK-UHFFFAOYSA-N -1 1 345.359 -0.796 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)c3cccc(=O)[nH]3)C(C)(C)C2)nc1=O ZINC000973005615 725618231 /nfs/dbraw/zinc/61/82/31/725618231.db2.gz KNCGJRALOKAJMT-LLVKDONJSA-N -1 1 346.391 -0.151 20 0 EBADMM O=C(N[C@H]1C[C@H](NC(=O)[C@@H]2CCCNC2=O)C1)c1ncccc1[O-] ZINC000973197504 725667530 /nfs/dbraw/zinc/66/75/30/725667530.db2.gz LTEKJZHPSUAWMY-GMTAPVOTSA-N -1 1 332.360 -0.310 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)[C@@H]1C[C@H]1C1CC1 ZINC000991990723 725695109 /nfs/dbraw/zinc/69/51/09/725695109.db2.gz AJYSURUZOFJSMU-WDEREUQCSA-N -1 1 342.359 -0.596 20 0 EBADMM O=C(NCC1CC(NC(=O)[C@H]2CCNC2=O)C1)c1ncccc1[O-] ZINC000992051959 725709831 /nfs/dbraw/zinc/70/98/31/725709831.db2.gz FKEXLEFVJDZUJD-ILDUYXDCSA-N -1 1 332.360 -0.452 20 0 EBADMM O=C(NC1CC(CNC(=O)[C@@H]2COC(=O)N2)C1)c1ncccc1[O-] ZINC000992079272 725717888 /nfs/dbraw/zinc/71/78/88/725717888.db2.gz FTANXYQGNXDBHZ-RTBKNWGFSA-N -1 1 334.332 -0.480 20 0 EBADMM Cc1nn[nH]c1C(=O)N[C@]12CCC[C@@H]1N(Cc1nc(=O)n(C)[n-]1)CC2 ZINC000992099175 725725006 /nfs/dbraw/zinc/72/50/06/725725006.db2.gz LGUDNBWEENKZKQ-BONVTDFDSA-N -1 1 346.395 -0.538 20 0 EBADMM C[C@H](C(N)=O)N1CC[C@]2(NC(=O)c3cnc([O-])n(C)c3=O)CCC[C@H]12 ZINC000992106274 725726434 /nfs/dbraw/zinc/72/64/34/725726434.db2.gz FFGGTLJVVCVPJJ-SEFYAATASA-N -1 1 349.391 -0.914 20 0 EBADMM CC1(C(=O)NC2CC(CNC(=O)c3cc(=O)n4[n-]cnc4n3)C2)CC1 ZINC000992241450 725758691 /nfs/dbraw/zinc/75/86/91/725758691.db2.gz NBISPRPMBIGWHY-UHFFFAOYSA-N -1 1 344.375 -0.158 20 0 EBADMM O=C(NC1CC(CNC(=O)[C@H]2CNC(=O)N2)C1)c1ncccc1[O-] ZINC000992354310 725785062 /nfs/dbraw/zinc/78/50/62/725785062.db2.gz HIBQHZWHNNJCNO-UDNWOFFPSA-N -1 1 333.348 -0.907 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)c4cccnn4)CCC[C@H]23)nc1=O ZINC000992354110 725785086 /nfs/dbraw/zinc/78/50/86/725785086.db2.gz VWFLYFLREWNDJB-LRDDRELGSA-N -1 1 343.391 -0.175 20 0 EBADMM CC(=O)N1CC[C@@H]2[C@H](CCCN2C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000973747110 725797108 /nfs/dbraw/zinc/79/71/08/725797108.db2.gz IXVQGICUZALENT-ZIAGYGMSSA-N -1 1 348.403 -0.214 20 0 EBADMM NC(=O)C(=O)N1CCC[C@H]2CN(C(=O)c3ncccc3[O-])CC[C@@H]21 ZINC000973752124 725798187 /nfs/dbraw/zinc/79/81/87/725798187.db2.gz FYXKAKXOMDROJG-QWRGUYRKSA-N -1 1 332.360 -0.274 20 0 EBADMM Cc1nonc1C(=O)N[C@]12CCC[C@@H]1N(Cc1nc(=O)n(C)[n-]1)CC2 ZINC000992527903 725807205 /nfs/dbraw/zinc/80/72/05/725807205.db2.gz FNJUWNVEUKVWHW-BONVTDFDSA-N -1 1 347.379 -0.273 20 0 EBADMM C[C@]12CN(C(=O)CC(N)=O)C[C@H]1CN(C(=O)c1ncccc1[O-])C2 ZINC000992703161 725826962 /nfs/dbraw/zinc/82/69/62/725826962.db2.gz VGRFMINHULUBJK-MGPLVRAMSA-N -1 1 332.360 -0.417 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2cc[n+]([O-])cc2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993004775 725850115 /nfs/dbraw/zinc/85/01/15/725850115.db2.gz OLJXPWVTXJIDLZ-AAEUAGOBSA-N -1 1 346.391 -0.475 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)[nH]n1 ZINC000993076001 725853716 /nfs/dbraw/zinc/85/37/16/725853716.db2.gz SWGPVUOXTFBTLH-QWRGUYRKSA-N -1 1 333.396 -0.077 20 0 EBADMM Cc1ncncc1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC000993093584 725854437 /nfs/dbraw/zinc/85/44/37/725854437.db2.gz PUHCSFZHXIODAM-DGCLKSJQSA-N -1 1 345.407 -0.010 20 0 EBADMM C[C@H]1[C@@H](NC(=O)Cc2cnn(C)c2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993107138 725855250 /nfs/dbraw/zinc/85/52/50/725855250.db2.gz QRAJOYXZQPMBJY-AAEUAGOBSA-N -1 1 347.423 -0.446 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ccnn2C)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993116870 725856009 /nfs/dbraw/zinc/85/60/09/725856009.db2.gz YBNLUJYLPMIOGX-QWRGUYRKSA-N -1 1 333.396 -0.375 20 0 EBADMM Cc1ncc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)[nH]1 ZINC000993161345 725859099 /nfs/dbraw/zinc/85/90/99/725859099.db2.gz UPZUGMDCDKOCIR-MWLCHTKSSA-N -1 1 333.396 -0.077 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2cncnc2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993264863 725866946 /nfs/dbraw/zinc/86/69/46/725866946.db2.gz OEOFDQUDJUHUHZ-CMPLNLGQSA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2cn(C)nn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993295391 725870169 /nfs/dbraw/zinc/87/01/69/725870169.db2.gz HSPSCTMXZRJOPM-UWVGGRQHSA-N -1 1 334.384 -0.980 20 0 EBADMM CCn1nncc1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1C ZINC000993300666 725870481 /nfs/dbraw/zinc/87/04/81/725870481.db2.gz XOIJUTIHITYTEX-QWRGUYRKSA-N -1 1 348.411 -0.497 20 0 EBADMM CCCc1n[nH]cc1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051695009 735330132 /nfs/dbraw/zinc/33/01/32/735330132.db2.gz COTPCHWXWSQPNR-SNVBAGLBSA-N -1 1 349.395 -0.183 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2cncn2C)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993536040 725899911 /nfs/dbraw/zinc/89/99/11/725899911.db2.gz KTONJVYYPJQFPY-GHMZBOCLSA-N -1 1 333.396 -0.375 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cncn2C)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993536042 725900038 /nfs/dbraw/zinc/90/00/38/725900038.db2.gz KTONJVYYPJQFPY-MNOVXSKESA-N -1 1 333.396 -0.375 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)c2cc[nH]c2)C1 ZINC000993687478 725928232 /nfs/dbraw/zinc/92/82/32/725928232.db2.gz DGQVQRZRQPYOSD-UHFFFAOYSA-N -1 1 333.304 -0.521 20 0 EBADMM CC(C)N1C[C@@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC000993781585 725940182 /nfs/dbraw/zinc/94/01/82/725940182.db2.gz LXQSHHCFRLRBPI-NSHDSACASA-N -1 1 346.387 -0.015 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2cn(C)c(=O)[nH]2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993795582 725944196 /nfs/dbraw/zinc/94/41/96/725944196.db2.gz YLKZCCDQWCRIPC-NXEZZACHSA-N -1 1 349.395 -0.670 20 0 EBADMM O=C(N[C@H]1C[C@@H](NC(=O)c2ncn[nH]2)C1)c1ccc2oc(=O)nc-2[n-]1 ZINC000974323940 725944988 /nfs/dbraw/zinc/94/49/88/725944988.db2.gz JBIDFLZOLNHJIC-KNVOCYPGSA-N -1 1 343.303 -0.263 20 0 EBADMM O=C(N[C@H]1C[C@@H](NC(=O)c2ccc3oc(=O)nc-3[n-]2)C1)c1nc[nH]n1 ZINC000974323940 725944991 /nfs/dbraw/zinc/94/49/91/725944991.db2.gz JBIDFLZOLNHJIC-KNVOCYPGSA-N -1 1 343.303 -0.263 20 0 EBADMM CN1C[C@@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)CCC1=O ZINC000993908424 725960677 /nfs/dbraw/zinc/96/06/77/725960677.db2.gz GLYQIYCHDRNDNA-JTQLQIEISA-N -1 1 332.360 -0.404 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2C[C@H]2C(N)=O)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000993926207 725963402 /nfs/dbraw/zinc/96/34/02/725963402.db2.gz OADFNECURJKZQJ-KKOKHZNYSA-N -1 1 346.387 -0.267 20 0 EBADMM CC(C)c1n[nH]cc1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051696770 735332243 /nfs/dbraw/zinc/33/22/43/735332243.db2.gz YLEWIRFQPQEJGZ-JTQLQIEISA-N -1 1 349.395 -0.012 20 0 EBADMM Cn1[nH]c(CN2C[C@H](NC(=O)c3ncccc3[O-])C(C)(C)C2)nc1=O ZINC000974489496 726032110 /nfs/dbraw/zinc/03/21/10/726032110.db2.gz LSMXJOSFFRBWPZ-NSHDSACASA-N -1 1 346.391 -0.151 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)cnn1 ZINC000974504042 726033877 /nfs/dbraw/zinc/03/38/77/726033877.db2.gz WCLWWVJSJBEQLG-GFCCVEGCSA-N -1 1 345.407 -0.153 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H]2CCN(C(=O)C3CC3)C[C@H]21 ZINC000974580093 726040887 /nfs/dbraw/zinc/04/08/87/726040887.db2.gz RVUCVRRFNWDJMT-NXEZZACHSA-N -1 1 348.359 -0.021 20 0 EBADMM O=C(c1nc[nH]n1)N1C[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000974812423 726069350 /nfs/dbraw/zinc/06/93/50/726069350.db2.gz GMSLIGDSOJJKAG-NXEZZACHSA-N -1 1 328.332 -0.108 20 0 EBADMM NC(=O)C(=O)N1C[C@H]2CCN(C(=O)c3cnc(C4CC4)[n-]c3=O)C[C@H]21 ZINC000974968777 726089111 /nfs/dbraw/zinc/08/91/11/726089111.db2.gz XMVDMYJDDCLZSQ-MWLCHTKSSA-N -1 1 345.359 -0.782 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CC[C@H](C[NH2+]Cc3nc(=O)n(C)[n-]3)C2)c1[O-] ZINC000994881115 726107989 /nfs/dbraw/zinc/10/79/89/726107989.db2.gz OAPAMLOEMGFZOH-UWVGGRQHSA-N -1 1 349.395 -0.466 20 0 EBADMM O=C(Cn1cnnn1)N1CCC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975225736 726116318 /nfs/dbraw/zinc/11/63/18/726116318.db2.gz ORJJUMFNYOHRJG-LLVKDONJSA-N -1 1 345.363 -0.558 20 0 EBADMM O=C(NC[C@H]1CCCN(C(=O)C2CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000975402941 726146248 /nfs/dbraw/zinc/14/62/48/726146248.db2.gz BADHWSXPRGWGIM-SNVBAGLBSA-N -1 1 344.375 -0.204 20 0 EBADMM O=C(NC[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CNC(=O)N1 ZINC000975493984 726167677 /nfs/dbraw/zinc/16/76/77/726167677.db2.gz YAHMLKQRZISHQJ-QWRGUYRKSA-N -1 1 347.375 -0.563 20 0 EBADMM O=C(Cn1cncn1)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976393310 726289901 /nfs/dbraw/zinc/28/99/01/726289901.db2.gz HJNIQXPYKZOEMJ-IWIIMEHWSA-N -1 1 328.332 -0.735 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)[C@H]3CCNC3=O)C[C@H]21)c1ncccc1[O-] ZINC000976392800 726290039 /nfs/dbraw/zinc/29/00/39/726290039.db2.gz DIHMLASHNUYJND-UXCLJVHYSA-N -1 1 330.344 -0.890 20 0 EBADMM CN1CCOC[C@H]1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000995698283 726290172 /nfs/dbraw/zinc/29/01/72/726290172.db2.gz QLCCCRVTEJMCSD-QWRGUYRKSA-N -1 1 338.412 -0.833 20 0 EBADMM Cn1nnc(CN[C@@H]2CN(C(=O)c3ncccc3[O-])CC2(C)C)n1 ZINC000995703032 726291177 /nfs/dbraw/zinc/29/11/77/726291177.db2.gz MSCDSRPCLYIFAT-LLVKDONJSA-N -1 1 331.380 -0.049 20 0 EBADMM O=C(CCn1cncn1)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976396737 726291602 /nfs/dbraw/zinc/29/16/02/726291602.db2.gz HGIVVWMQKJSKML-YABSGUDNSA-N -1 1 342.359 -0.344 20 0 EBADMM COC(=O)[C@H]1C[C@H]1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976396763 726291732 /nfs/dbraw/zinc/29/17/32/726291732.db2.gz HVMNIJPGZYOZDG-OQEOFVATSA-N -1 1 345.355 -0.217 20 0 EBADMM O=C(C[C@H]1CCC(=O)N1)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976396794 726292090 /nfs/dbraw/zinc/29/20/90/726292090.db2.gz IDANLWOGSRQKKS-OZWUEAAUSA-N -1 1 344.371 -0.358 20 0 EBADMM Cn1ncc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)c1N ZINC000995712281 726293114 /nfs/dbraw/zinc/29/31/14/726293114.db2.gz SCKRZIXZSUYLEJ-VIFPVBQESA-N -1 1 334.384 -0.534 20 0 EBADMM O=C(CCn1ccnn1)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976403865 726294316 /nfs/dbraw/zinc/29/43/16/726294316.db2.gz PPLWDXUGWILVEN-YABSGUDNSA-N -1 1 342.359 -0.344 20 0 EBADMM O=C(CN1CCOCC1)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976405267 726294536 /nfs/dbraw/zinc/29/45/36/726294536.db2.gz UEMKZLBKVWWQDY-JYAVWHMHSA-N -1 1 346.387 -0.694 20 0 EBADMM CCn1nncc1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976406496 726294918 /nfs/dbraw/zinc/29/49/18/726294918.db2.gz WITAYGRGVUVBAF-IWIIMEHWSA-N -1 1 342.359 -0.101 20 0 EBADMM O=C(N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-])[C@H]1CCC(=O)N1 ZINC000995899416 726310752 /nfs/dbraw/zinc/31/07/52/726310752.db2.gz CYEWJCDPYVBNSW-WYUUTHIRSA-N -1 1 344.371 -0.073 20 0 EBADMM Cc1nnc(CC(=O)N[C@@H]2[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)o1 ZINC000976466633 726319861 /nfs/dbraw/zinc/31/98/61/726319861.db2.gz WDOCRGMFSWXEBA-MSRIBSCDSA-N -1 1 343.343 -0.092 20 0 EBADMM CC1(C)CN(C(=O)c2ccc(C(N)=O)o2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996030190 726324520 /nfs/dbraw/zinc/32/45/20/726324520.db2.gz ZCUVHIJBAQNNOW-SNVBAGLBSA-N -1 1 348.363 -0.157 20 0 EBADMM O=C(N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)[C@@H]1COC(=O)N1)c1ncccc1[O-] ZINC000996145883 726338375 /nfs/dbraw/zinc/33/83/75/726338375.db2.gz XWLRVPNXEDFELC-RCWTZXSCSA-N -1 1 346.343 -0.243 20 0 EBADMM CC1(C)CN(C(=O)c2c[nH]c(=O)cn2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996198690 726343782 /nfs/dbraw/zinc/34/37/82/726343782.db2.gz PQLRCLSLRPHXOJ-VIFPVBQESA-N -1 1 333.352 -0.766 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(NC(=O)c2cc(F)c[nH]2)C1 ZINC000996214734 726346427 /nfs/dbraw/zinc/34/64/27/726346427.db2.gz NGQZUSNAQUMASD-UHFFFAOYSA-N -1 1 349.322 -0.965 20 0 EBADMM CC1(C)CN(C(=O)[C@]2(C)CCNC2=O)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996289555 726360300 /nfs/dbraw/zinc/36/03/00/726360300.db2.gz GEAUMGKSIAZSOG-BJOHPYRUSA-N -1 1 336.396 -0.637 20 0 EBADMM O=C(NC1CN(C(=O)[C@@H]2C[C@H]2C2CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996404913 726388269 /nfs/dbraw/zinc/38/82/69/726388269.db2.gz HQFGQCDITIPWLU-WDEREUQCSA-N -1 1 342.359 -0.596 20 0 EBADMM C[C@@H]1CC[C@H](C(=O)N2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)C1 ZINC000996403944 726388281 /nfs/dbraw/zinc/38/82/81/726388281.db2.gz DFZJUCMQYJEUKZ-ZJUUUORDSA-N -1 1 344.375 -0.206 20 0 EBADMM Cc1coc(C(=O)N2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)c1 ZINC000996409573 726389189 /nfs/dbraw/zinc/38/91/89/726389189.db2.gz VAGAHPVQDHHPTL-UHFFFAOYSA-N -1 1 342.315 -0.427 20 0 EBADMM CC[C@@]1(C)C[C@H]1C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996410098 726389429 /nfs/dbraw/zinc/38/94/29/726389429.db2.gz YYLQLWBQUMFMHI-QFYYESIMSA-N -1 1 344.375 -0.206 20 0 EBADMM CC1(C)CN(C(=O)C[C@H]2CCC(=O)N2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996411425 726389707 /nfs/dbraw/zinc/38/97/07/726389707.db2.gz LTRXDEFIFQGIGE-ZJUUUORDSA-N -1 1 336.396 -0.494 20 0 EBADMM COc1c(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)cnn1C ZINC000996564945 726418578 /nfs/dbraw/zinc/41/85/78/726418578.db2.gz VGECRBLLULDTJM-SNVBAGLBSA-N -1 1 349.395 -0.107 20 0 EBADMM CCn1cc(CC(=O)NC2CN(C(=O)c3ncccc3[O-])C2)nn1 ZINC000997619951 726457731 /nfs/dbraw/zinc/45/77/31/726457731.db2.gz PMSOAIVICFQRHU-UHFFFAOYSA-N -1 1 330.348 -0.418 20 0 EBADMM Cc1coc(C(=O)NC2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)c1 ZINC000997952709 726471422 /nfs/dbraw/zinc/47/14/22/726471422.db2.gz HVYUJJFGGCLUEI-UHFFFAOYSA-N -1 1 346.343 -0.531 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)[C@@H]1CC[C@H](F)C1 ZINC000998512852 726489694 /nfs/dbraw/zinc/48/96/94/726489694.db2.gz OWELLVMFZWZPEM-BDAKNGLRSA-N -1 1 348.338 -0.504 20 0 EBADMM CC(C)[C@@H]1C[C@H]1C(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000998817227 726498914 /nfs/dbraw/zinc/49/89/14/726498914.db2.gz LOPZLGMTIMMOPF-QWHCGFSZSA-N -1 1 348.403 -0.454 20 0 EBADMM CC[C@]1(C)C[C@@H]1C(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000998863676 726499788 /nfs/dbraw/zinc/49/97/88/726499788.db2.gz JWMZQYNBNIONSI-SJKOYZFVSA-N -1 1 348.403 -0.310 20 0 EBADMM Cc1cc(=O)c(C(=O)N(C)C2CC(NCc3n[nH]c(=O)[n-]3)C2)cn1C ZINC000998943546 726501878 /nfs/dbraw/zinc/50/18/78/726501878.db2.gz HKVJLYBXTLSCPR-UHFFFAOYSA-N -1 1 346.391 -0.090 20 0 EBADMM CC1(C(=O)NC2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)CC=CC1 ZINC000999058163 726505959 /nfs/dbraw/zinc/50/59/59/726505959.db2.gz AMYFJWNMSBCBRI-UHFFFAOYSA-N -1 1 342.359 -0.286 20 0 EBADMM CN(C(=O)[C@@H]1CCS(=O)(=O)C1)C1CC(NCc2n[nH]c(=O)[n-]2)C1 ZINC000999079682 726507064 /nfs/dbraw/zinc/50/70/64/726507064.db2.gz FQUZBUVTXROJOT-XNWIYYODSA-N -1 1 343.409 -0.976 20 0 EBADMM Cc1nn(C)cc1CC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999371540 726517204 /nfs/dbraw/zinc/51/72/04/726517204.db2.gz XUYHSJUWSJSDSE-LBPRGKRZSA-N -1 1 333.396 -0.124 20 0 EBADMM C[C@@H]1OCCO[C@@H]1C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999396144 726518055 /nfs/dbraw/zinc/51/80/55/726518055.db2.gz JDGZGGDDTUGTOE-NHCYSSNCSA-N -1 1 325.369 -0.605 20 0 EBADMM Cc1nocc1CN[C@H]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000999610333 726524730 /nfs/dbraw/zinc/52/47/30/726524730.db2.gz OEFCMFNEIGAPRU-JTQLQIEISA-N -1 1 334.336 -0.026 20 0 EBADMM CCn1cc(CC(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)nn1 ZINC000999770340 726531348 /nfs/dbraw/zinc/53/13/48/726531348.db2.gz KYSMXIMUCRFSHP-SNVBAGLBSA-N -1 1 334.384 -0.555 20 0 EBADMM CN(C(=O)[C@H]1CCCS1(=O)=O)C1CC(NCc2n[nH]c(=O)[n-]2)C1 ZINC000999855924 726535573 /nfs/dbraw/zinc/53/55/73/726535573.db2.gz DSQSZSUPGLHMRR-UDNWOFFPSA-N -1 1 343.409 -0.834 20 0 EBADMM C[C@]1(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CCC(=O)NC1 ZINC000999967932 726545523 /nfs/dbraw/zinc/54/55/23/726545523.db2.gz GSUITALREUHMOO-BMIGLBTASA-N -1 1 336.396 -0.493 20 0 EBADMM O=C([C@@H]1CCCc2nn[nH]c21)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000024410 726551162 /nfs/dbraw/zinc/55/11/62/726551162.db2.gz CJWYPLUTFUEICH-NXEZZACHSA-N -1 1 346.395 -0.171 20 0 EBADMM Cn1c(=O)cccc1C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000150628 726556524 /nfs/dbraw/zinc/55/65/24/726556524.db2.gz VWBSYHMARNJRMR-SNVBAGLBSA-N -1 1 332.364 -0.397 20 0 EBADMM NC(=O)c1coc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001000168782 726557204 /nfs/dbraw/zinc/55/72/04/726557204.db2.gz NSLWFWBMEHQZAG-SECBINFHSA-N -1 1 334.336 -0.403 20 0 EBADMM Cn1ncc(CCC(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001000213327 726559719 /nfs/dbraw/zinc/55/97/19/726559719.db2.gz CPRAXXRXROGDRD-LLVKDONJSA-N -1 1 334.384 -0.648 20 0 EBADMM Cn1[n-]c(CN2CCC(C3(NC(=O)c4cnon4)CC3)CC2)nc1=O ZINC001000295741 726563313 /nfs/dbraw/zinc/56/33/13/726563313.db2.gz QKHACVWHODRSFX-UHFFFAOYSA-N -1 1 347.379 -0.334 20 0 EBADMM CCn1nc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)ccc1=O ZINC001000479662 726568367 /nfs/dbraw/zinc/56/83/67/726568367.db2.gz LNKYWZNYNMETHK-JTQLQIEISA-N -1 1 347.379 -0.519 20 0 EBADMM CCn1nc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)ccc1=O ZINC001000479668 726568379 /nfs/dbraw/zinc/56/83/79/726568379.db2.gz LNKYWZNYNMETHK-SNVBAGLBSA-N -1 1 347.379 -0.519 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)c3nccnc3N)CC2)nc1=O ZINC001000537167 726569839 /nfs/dbraw/zinc/56/98/39/726569839.db2.gz YMQKPESMGRYFFA-UHFFFAOYSA-N -1 1 344.379 -0.957 20 0 EBADMM Cc1cc(C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)ncn1 ZINC001000579693 726572109 /nfs/dbraw/zinc/57/21/09/726572109.db2.gz HFMMWZYFGFEHJF-UHFFFAOYSA-N -1 1 343.391 -0.231 20 0 EBADMM Cn1nnc(CN2CC=C(CNC(=O)c3ncccc3[O-])CC2)n1 ZINC001000609589 726572723 /nfs/dbraw/zinc/57/27/23/726572723.db2.gz QKWJQBQMPITNTF-UHFFFAOYSA-N -1 1 329.364 -0.127 20 0 EBADMM CCn1nncc1C(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001000655125 726574174 /nfs/dbraw/zinc/57/41/74/726574174.db2.gz ROPZVIDAUGZCNB-UHFFFAOYSA-N -1 1 346.395 -0.718 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NCC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001000773313 726577307 /nfs/dbraw/zinc/57/73/07/726577307.db2.gz MQQQVRKVZYFZJN-JQWIXIFHSA-N -1 1 334.376 -0.843 20 0 EBADMM COCCn1cc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001000802809 726578717 /nfs/dbraw/zinc/57/87/17/726578717.db2.gz BYILGAVVYGSCAO-GFCCVEGCSA-N -1 1 349.395 -0.252 20 0 EBADMM O=C(NCC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1ccc[nH]1 ZINC001000903306 726583299 /nfs/dbraw/zinc/58/32/99/726583299.db2.gz HAJGFMMUJWZIAV-UHFFFAOYSA-N -1 1 341.331 -0.752 20 0 EBADMM CN1C[C@H](C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CCC1=O ZINC001001015151 726587617 /nfs/dbraw/zinc/58/76/17/726587617.db2.gz NUQIAGFANLGPSV-MNOVXSKESA-N -1 1 336.396 -0.541 20 0 EBADMM Cc1n[nH]c(C(=O)NCC2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)c1C ZINC001001257431 726599298 /nfs/dbraw/zinc/59/92/98/726599298.db2.gz RSIHSAZVHJSDAA-UHFFFAOYSA-N -1 1 347.335 -0.875 20 0 EBADMM C[C@H]1OCC[C@@H]1C(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001001308118 726602192 /nfs/dbraw/zinc/60/21/92/726602192.db2.gz VPUWWXOCEJTZPP-YPMHNXCESA-N -1 1 335.408 -0.218 20 0 EBADMM Cc1n[nH]c(C(=O)NCC2CCN([C@@H]3CCC(=O)NC3=O)CC2)c1[O-] ZINC001001314754 726602534 /nfs/dbraw/zinc/60/25/34/726602534.db2.gz NMEQXRIINILACO-LLVKDONJSA-N -1 1 349.391 -0.329 20 0 EBADMM Cc1n[nH]c(C(=O)NCC2CN(C(=O)CCc3cnc[nH]3)C2)c1[O-] ZINC001001450962 726606737 /nfs/dbraw/zinc/60/67/37/726606737.db2.gz HAHQDAUEVMHYAD-UHFFFAOYSA-N -1 1 332.364 -0.032 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)c3cn[nH]c(=O)c3)CC2)nc1=O ZINC001001505528 726609677 /nfs/dbraw/zinc/60/96/77/726609677.db2.gz CXHJWNLZAPYFCM-UHFFFAOYSA-N -1 1 347.379 -0.754 20 0 EBADMM O=C(CO[C@@H]1CCOC1)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001541014 726611255 /nfs/dbraw/zinc/61/12/55/726611255.db2.gz HVWHGAGMUTUHPG-GFCCVEGCSA-N -1 1 335.360 -0.219 20 0 EBADMM CCN1C[C@@H](C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)CC1=O ZINC001001543874 726611345 /nfs/dbraw/zinc/61/13/45/726611345.db2.gz IVGOTUUKICFSTC-LBPRGKRZSA-N -1 1 346.387 -0.156 20 0 EBADMM C[C@@H]1C[C@H](C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)CC(=O)N1 ZINC001001544055 726611379 /nfs/dbraw/zinc/61/13/79/726611379.db2.gz GFMSGKDFWXDEKO-PWSUYJOCSA-N -1 1 346.387 -0.110 20 0 EBADMM CN1CC[C@@H](CC(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)C1=O ZINC001001546801 726611503 /nfs/dbraw/zinc/61/15/03/726611503.db2.gz XDVMLEMOIOXEBB-LBPRGKRZSA-N -1 1 346.387 -0.156 20 0 EBADMM CN1CC[C@@H](C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)CC1=O ZINC001001552829 726611631 /nfs/dbraw/zinc/61/16/31/726611631.db2.gz QUMOAPDPLURORA-GFCCVEGCSA-N -1 1 346.387 -0.156 20 0 EBADMM O=C(NCC1CN(C(=O)c2ccn[nH]2)C1)c1c[n-]n2c1nccc2=O ZINC001001957604 726628370 /nfs/dbraw/zinc/62/83/70/726628370.db2.gz CXGUSAVGPLVCRP-UHFFFAOYSA-N -1 1 341.331 -0.752 20 0 EBADMM C[C@@H]1OCCO[C@@H]1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001002836852 726650011 /nfs/dbraw/zinc/65/00/11/726650011.db2.gz VWGAKGXVLOTJLN-HZMBPMFUSA-N -1 1 335.360 -0.221 20 0 EBADMM Cn1cnc(C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)cc1=O ZINC001002844340 726650665 /nfs/dbraw/zinc/65/06/65/726650665.db2.gz ZFRBMSIQXIQDHK-UHFFFAOYSA-N -1 1 343.343 -0.617 20 0 EBADMM CN(C[C@@H]1CCCN1C(=O)[C@@H]1CNC(=O)N1)C(=O)c1ncccc1[O-] ZINC001002905789 726653480 /nfs/dbraw/zinc/65/34/80/726653480.db2.gz ZEKGVDOSRJLZAL-QWRGUYRKSA-N -1 1 347.375 -0.468 20 0 EBADMM Cc1cc(C)n(CC(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC001003271531 726667256 /nfs/dbraw/zinc/66/72/56/726667256.db2.gz MUGALSMMGOZSHS-UHFFFAOYSA-N -1 1 347.423 -0.297 20 0 EBADMM COc1cnc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)nc1 ZINC001003282130 726667557 /nfs/dbraw/zinc/66/75/57/726667557.db2.gz NVUWALSXPMKIAQ-UHFFFAOYSA-N -1 1 347.379 -0.699 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CN(C(=O)c2cnco2)C1 ZINC001003314707 726669169 /nfs/dbraw/zinc/66/91/69/726669169.db2.gz LZJOTITVSORAST-UHFFFAOYSA-N -1 1 349.303 -0.614 20 0 EBADMM C[C@@H]1C[C@@H]1CC(=O)N1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001003319189 726669574 /nfs/dbraw/zinc/66/95/74/726669574.db2.gz MJIAVTNZRIHPLB-MWLCHTKSSA-N -1 1 344.375 -0.348 20 0 EBADMM CC(C)[C@@H](C)C(=O)N1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001003318696 726669578 /nfs/dbraw/zinc/66/95/78/726669578.db2.gz GVZOCAGKYMNFSS-SNVBAGLBSA-N -1 1 346.391 -0.102 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001003320011 726669717 /nfs/dbraw/zinc/66/97/17/726669717.db2.gz RAEQCVFJXSRFCV-PSASIEDQSA-N -1 1 330.348 -0.738 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)[C@@]3(F)CCOC3)CC2)nc1=O ZINC001003493556 726676893 /nfs/dbraw/zinc/67/68/93/726676893.db2.gz WJWRFLUIPNEVJE-CQSZACIVSA-N -1 1 327.360 -0.682 20 0 EBADMM Cn1cc(C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)ncc1=O ZINC001003590331 726681750 /nfs/dbraw/zinc/68/17/50/726681750.db2.gz PJSKPWZUNMCGEI-UHFFFAOYSA-N -1 1 343.343 -0.617 20 0 EBADMM CC(=O)NC1CCC(N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001004154351 726701625 /nfs/dbraw/zinc/70/16/25/726701625.db2.gz ZVKWCPPEAQCPOZ-UHFFFAOYSA-N -1 1 332.364 -0.063 20 0 EBADMM NC(=O)c1ccc(C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)[nH]1 ZINC001004487435 726709821 /nfs/dbraw/zinc/70/98/21/726709821.db2.gz RLNHEKHWBBEUOF-UHFFFAOYSA-N -1 1 343.343 -0.284 20 0 EBADMM C[C@H]1CCN(C(=O)c2ccn[nH]2)C[C@@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001005083025 726724337 /nfs/dbraw/zinc/72/43/37/726724337.db2.gz DJIXUVMGHBVNQT-CBAPKCEASA-N -1 1 347.335 -0.714 20 0 EBADMM C[C@@H](NC(=O)C1CC1)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001005544607 726735730 /nfs/dbraw/zinc/73/57/30/726735730.db2.gz WKNZLLISZCNHJS-MRVPVSSYSA-N -1 1 330.348 -0.596 20 0 EBADMM C[C@H]1C[C@H](C(=O)N(C)C2CCN(Cc3nc(=O)n(C)[n-]3)CC2)CO1 ZINC001005614529 726736528 /nfs/dbraw/zinc/73/65/28/726736528.db2.gz XGIPILHKHSEBSS-RYUDHWBXSA-N -1 1 337.424 -0.044 20 0 EBADMM C[C@@H](NC(=O)[C@H]1COCCO1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005735919 726740355 /nfs/dbraw/zinc/74/03/55/726740355.db2.gz ZTJZCGWZWODCCU-ZWNOBZJWSA-N -1 1 335.360 -0.221 20 0 EBADMM CN(C(=O)[C@H]1[C@@H]2COC[C@@H]21)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005844749 726744842 /nfs/dbraw/zinc/74/48/42/726744842.db2.gz CTUSYAFOWUHZQG-IMRBUKKESA-N -1 1 335.408 -0.576 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H](C)C2CN(C(=O)Cc3ccn[nH]3)C2)c1[O-] ZINC001005919772 726748312 /nfs/dbraw/zinc/74/83/12/726748312.db2.gz UEDKOAJQIFDDEO-MRVPVSSYSA-N -1 1 332.364 -0.034 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)c2cnn(C)n2)C1 ZINC001005950849 726749601 /nfs/dbraw/zinc/74/96/01/726749601.db2.gz KPCUAOWCZDMCLZ-VIFPVBQESA-N -1 1 330.348 -0.194 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)CN2CCOCC2)C1 ZINC001005951629 726749663 /nfs/dbraw/zinc/74/96/63/726749663.db2.gz OOXLBVHFYJQVQO-GFCCVEGCSA-N -1 1 348.403 -0.304 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001005955484 726749990 /nfs/dbraw/zinc/74/99/90/726749990.db2.gz GGMFELXGRUNRGP-QWRGUYRKSA-N -1 1 346.387 -0.158 20 0 EBADMM CN(C(=O)[C@@H]1C[C@]12CCOC2)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001006271925 726761120 /nfs/dbraw/zinc/76/11/20/726761120.db2.gz OTVBJWXLLBJHRY-GUYCJALGSA-N -1 1 349.435 -0.042 20 0 EBADMM C[C@H](NC(=O)[C@@H]1CCN(C)C1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006642228 726777781 /nfs/dbraw/zinc/77/77/81/726777781.db2.gz HSFRFYIUZIFPGM-JQWIXIFHSA-N -1 1 346.387 -0.158 20 0 EBADMM C[C@@H](NC(=O)[C@@H]1CNC(=O)N1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006745459 726782396 /nfs/dbraw/zinc/78/23/96/726782396.db2.gz IBWWARKIJVRBQJ-SCZZXKLOSA-N -1 1 333.348 -0.955 20 0 EBADMM Cn1cc(CC(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001006888079 726786407 /nfs/dbraw/zinc/78/64/07/726786407.db2.gz AAPNRIMWXWOJGV-GFCCVEGCSA-N -1 1 333.396 -0.835 20 0 EBADMM Cc1cc(CC(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)no1 ZINC001006946433 726787524 /nfs/dbraw/zinc/78/75/24/726787524.db2.gz STLMBTMADJDYPG-LLVKDONJSA-N -1 1 334.380 -0.272 20 0 EBADMM O=C(N[C@H]1CCCN([C@H]2CCC(=O)NC2=O)C1)c1ncccc1[O-] ZINC001007189774 726790223 /nfs/dbraw/zinc/79/02/23/726790223.db2.gz NIILJMXLBPSNDN-QWRGUYRKSA-N -1 1 332.360 -0.213 20 0 EBADMM Cc1ccnc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001007211579 726790473 /nfs/dbraw/zinc/79/04/73/726790473.db2.gz UJQUSNRRJBDFQD-LLVKDONJSA-N -1 1 331.380 -0.399 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)C3CCC(O)CC3)C2)nc1=O ZINC001007226344 726790657 /nfs/dbraw/zinc/79/06/57/726790657.db2.gz IIDSVIHFHFIUJC-CPCZMJQVSA-N -1 1 337.424 -0.260 20 0 EBADMM Cc1nn(C)cc1CC(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001007507552 726795239 /nfs/dbraw/zinc/79/52/39/726795239.db2.gz KPPQWGOSBGGWLR-ZDUSSCGKSA-N -1 1 347.423 -0.526 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)N[C@@H]1CCCN(CCCO)C1 ZINC001008893443 726824461 /nfs/dbraw/zinc/82/44/61/726824461.db2.gz SQGNQKGQQCUHJA-LLVKDONJSA-N -1 1 334.380 -0.966 20 0 EBADMM Cn1ncc(C(=O)NC[C@@H]2[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)n1 ZINC001009253291 726881737 /nfs/dbraw/zinc/88/17/37/726881737.db2.gz FVZDKVJPMXJRCV-RTCCRHLQSA-N -1 1 342.359 -0.336 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)c3cnn4cc[nH]c34)C2)nc1=O ZINC001009338223 726894275 /nfs/dbraw/zinc/89/42/75/726894275.db2.gz BDPFCEOMHZYDTB-SNVBAGLBSA-N -1 1 344.379 -0.521 20 0 EBADMM C[C@H](NC(=O)c1nnc[nH]1)[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001009674755 726958540 /nfs/dbraw/zinc/95/85/40/726958540.db2.gz AMLAUNISODHLAJ-GXSJLCMTSA-N -1 1 346.347 -0.435 20 0 EBADMM CCc1cc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC001009746331 726992118 /nfs/dbraw/zinc/99/21/18/726992118.db2.gz WLIQBNCVICYXGN-NSHDSACASA-N -1 1 347.423 -0.201 20 0 EBADMM CC(C)(F)C(=O)N1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001009783761 727000258 /nfs/dbraw/zinc/00/02/58/727000258.db2.gz JWKBNSMSCAJLBA-UHFFFAOYSA-N -1 1 340.355 -0.654 20 0 EBADMM C[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])CN1C(=O)Cn1cnnn1 ZINC001009984659 727016076 /nfs/dbraw/zinc/01/60/76/727016076.db2.gz FJCFXQKHHKXJGC-WDEREUQCSA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001010048253 727022614 /nfs/dbraw/zinc/02/26/14/727022614.db2.gz MXPLBJIEXCWKLJ-NXEZZACHSA-N -1 1 330.348 -0.642 20 0 EBADMM Cn1c(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)c[nH]c1=O ZINC001011036855 727186572 /nfs/dbraw/zinc/18/65/72/727186572.db2.gz WGLBTIJKVJGFCH-UHFFFAOYSA-N -1 1 331.332 -0.176 20 0 EBADMM CN1C[C@@H](C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)NC1=O ZINC001011036687 727186731 /nfs/dbraw/zinc/18/67/31/727186731.db2.gz TYFNJUPYUXRMGU-JTQLQIEISA-N -1 1 333.348 -0.905 20 0 EBADMM CCN1CCO[C@@H](C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC001011037644 727187100 /nfs/dbraw/zinc/18/71/00/727187100.db2.gz FMANFTDJHUSTFR-CQSZACIVSA-N -1 1 348.403 -0.208 20 0 EBADMM CC1(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)CCOCC1 ZINC001051868345 735435476 /nfs/dbraw/zinc/43/54/76/735435476.db2.gz DPNKNQHRHZLQNL-NSHDSACASA-N -1 1 339.396 -0.356 20 0 EBADMM COc1ccc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)o1 ZINC001051881143 735442141 /nfs/dbraw/zinc/44/21/41/735442141.db2.gz AJMBOHRGYFFNLM-VIFPVBQESA-N -1 1 337.336 -0.257 20 0 EBADMM O=C(c1cnc[nH]c1=O)N1CCN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)CC1 ZINC001011294432 727510744 /nfs/dbraw/zinc/51/07/44/727510744.db2.gz MKZXHMDXPILHSS-GHMZBOCLSA-N -1 1 342.359 -0.007 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN1C(=O)C1CC1 ZINC001011355753 727518742 /nfs/dbraw/zinc/51/87/42/727518742.db2.gz RXVKFUXOAQEMQC-WPRPVWTQSA-N -1 1 330.348 -0.453 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN1C(=O)CC1CC1 ZINC001011372107 727519714 /nfs/dbraw/zinc/51/97/14/727519714.db2.gz AMPFWBKOBLBKCZ-GXSJLCMTSA-N -1 1 344.375 -0.063 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)Cn1cnnn1 ZINC001011466794 727529269 /nfs/dbraw/zinc/52/92/69/727529269.db2.gz BRTIYRRFPKDRSW-NXEZZACHSA-N -1 1 331.336 -0.807 20 0 EBADMM C[C@H]1C[C@H]1CC(=O)N1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001011557139 727542139 /nfs/dbraw/zinc/54/21/39/727542139.db2.gz ILJKJHPZKVDHKX-STQMWFEESA-N -1 1 348.403 -0.356 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(C(=O)[C@H]2CC23CC3)CC1 ZINC001011557552 727542180 /nfs/dbraw/zinc/54/21/80/727542180.db2.gz QACXQTHOVXRUFZ-GFCCVEGCSA-N -1 1 346.387 -0.602 20 0 EBADMM C[C@H]1[C@H](NC(=O)Cn2cncn2)CCN1C(=O)c1ncccc1[O-] ZINC001011676597 727557451 /nfs/dbraw/zinc/55/74/51/727557451.db2.gz MSBCWIQNSCACHM-WDEREUQCSA-N -1 1 330.348 -0.202 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2cnn(C)n2)CCN1C(=O)c1ncccc1[O-] ZINC001011681044 727558147 /nfs/dbraw/zinc/55/81/47/727558147.db2.gz USKWMHWGJHNACI-VHSXEESVSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1cn(C)nn1 ZINC001011716961 727564849 /nfs/dbraw/zinc/56/48/49/727564849.db2.gz TVZLKZRSNYIOTC-NXEZZACHSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1ncn(C)n1 ZINC001011719854 727565579 /nfs/dbraw/zinc/56/55/79/727565579.db2.gz MQCGEEYMBDEIGM-NXEZZACHSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@H]1[C@@H](NC(=O)C2CC2)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001011914653 727602700 /nfs/dbraw/zinc/60/27/00/727602700.db2.gz UEAPBGMXUCXKCW-WPRPVWTQSA-N -1 1 330.348 -0.453 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1nnn(C)n1 ZINC001011967300 727614033 /nfs/dbraw/zinc/61/40/33/727614033.db2.gz HWXQEFZONFFGKO-IUCAKERBSA-N -1 1 331.336 -0.656 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CCN(C(=O)CCc3c[nH]nn3)[C@H]2C)c1[O-] ZINC001012081121 727632801 /nfs/dbraw/zinc/63/28/01/727632801.db2.gz ZCJJXZDCOQDCRT-GXSJLCMTSA-N -1 1 347.379 -0.106 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)CS(C)(=O)=O ZINC001012361485 727658076 /nfs/dbraw/zinc/65/80/76/727658076.db2.gz MKVLBGMDLHQEMQ-ZJUUUORDSA-N -1 1 341.389 -0.449 20 0 EBADMM C[C@@H](CC(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1)n1cccn1 ZINC001051896431 735451840 /nfs/dbraw/zinc/45/18/40/735451840.db2.gz HQVMXVJUXCMTMI-NWDGAFQWSA-N -1 1 349.395 -0.325 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)CN1CN=NC1=O ZINC001012503237 727672594 /nfs/dbraw/zinc/67/25/94/727672594.db2.gz VDCUCSGYGORAHS-ZJUUUORDSA-N -1 1 346.347 -0.496 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2cn(C)nn2)CN1C(=O)c1ncccc1[O-] ZINC001012510069 727673673 /nfs/dbraw/zinc/67/36/73/727673673.db2.gz KDPGFRHKRIPCEL-ZJUUUORDSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@H]1C[C@H](NC(=O)Cc2nc[nH]n2)CN1C(=O)c1ncccc1[O-] ZINC001012513528 727673925 /nfs/dbraw/zinc/67/39/25/727673925.db2.gz VDXZEISBUKOOOA-UWVGGRQHSA-N -1 1 330.348 -0.133 20 0 EBADMM C[C@H]1C[C@H](NC(=O)Cc2nnc[nH]2)CN1C(=O)c1ncccc1[O-] ZINC001012513528 727673929 /nfs/dbraw/zinc/67/39/29/727673929.db2.gz VDXZEISBUKOOOA-UWVGGRQHSA-N -1 1 330.348 -0.133 20 0 EBADMM CC(C)(C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)c1cnc[nH]1 ZINC001051902777 735455351 /nfs/dbraw/zinc/45/53/51/735455351.db2.gz LTGHRCKNWMKUGN-JTQLQIEISA-N -1 1 349.395 -0.472 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)C2CCC2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001013238316 727785983 /nfs/dbraw/zinc/78/59/83/727785983.db2.gz CPSMNYIDHQZENT-WCQYABFASA-N -1 1 348.403 -0.168 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1c[nH]c(=O)cn1 ZINC001013949269 727851842 /nfs/dbraw/zinc/85/18/42/727851842.db2.gz KHPOGHVDLJSVCL-NXEZZACHSA-N -1 1 343.343 -0.097 20 0 EBADMM C[C@H]1CN(C(=O)CNC(N)=O)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC001051935623 735472883 /nfs/dbraw/zinc/47/28/83/735472883.db2.gz QXXONJHEOBXPRD-VHSXEESVSA-N -1 1 335.364 -0.720 20 0 EBADMM CC(=O)N[C@@H]1CCC[C@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]21 ZINC001014598577 727975388 /nfs/dbraw/zinc/97/53/88/727975388.db2.gz LTJFIVSYQNTVGA-QJPTWQEYSA-N -1 1 344.375 -0.206 20 0 EBADMM NC(=O)C(=O)N1C[C@@H]2CCC[C@@H](NC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001014629752 727983857 /nfs/dbraw/zinc/98/38/57/727983857.db2.gz WQUCPNPRWAKVIC-HBNTYKKESA-N -1 1 332.360 -0.371 20 0 EBADMM C[C@H]1[C@H](NC(=O)Cn2cnnn2)CCCN1C(=O)c1ncccc1[O-] ZINC001014747328 728002421 /nfs/dbraw/zinc/00/24/21/728002421.db2.gz UCIKAENRKZAPRZ-WDEREUQCSA-N -1 1 345.363 -0.417 20 0 EBADMM CCc1c[nH]c(CC(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001014796009 728011536 /nfs/dbraw/zinc/01/15/36/728011536.db2.gz JSGJLOQSZHODKU-LLVKDONJSA-N -1 1 333.396 -0.673 20 0 EBADMM Cc1cc(=O)c(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1C ZINC001014819443 728015357 /nfs/dbraw/zinc/01/53/57/728015357.db2.gz FITCEXLBAHLYPX-LLVKDONJSA-N -1 1 346.391 -0.880 20 0 EBADMM COCC(=O)N1CCC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@H]1C ZINC001015086147 728075909 /nfs/dbraw/zinc/07/59/09/728075909.db2.gz USNPQVBVDWLEAM-NXEZZACHSA-N -1 1 348.363 -0.827 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cccc4ncnn43)C2)nc1=O ZINC001015131536 728090738 /nfs/dbraw/zinc/09/07/38/728090738.db2.gz KFBHHVWOEUKEJV-SNVBAGLBSA-N -1 1 342.363 -0.845 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ccc4[nH]ccc4n3)C2)nc1=O ZINC001015254128 728123081 /nfs/dbraw/zinc/12/30/81/728123081.db2.gz QLYZJYTWSXIWID-SNVBAGLBSA-N -1 1 341.375 -0.011 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC[C@H](N3CCN(C[C@H](C)O)CC3)C2)c1[O-] ZINC001052016060 735504633 /nfs/dbraw/zinc/50/46/33/735504633.db2.gz VPTUWIJQMAZETL-AAEUAGOBSA-N -1 1 337.424 -0.363 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC[C@H](N3CCN(C[C@@H](C)O)CC3)C2)c1[O-] ZINC001052016078 735504759 /nfs/dbraw/zinc/50/47/59/735504759.db2.gz VPTUWIJQMAZETL-YPMHNXCESA-N -1 1 337.424 -0.363 20 0 EBADMM O=C(NC[C@@H]1CC[C@H](NC(=O)C2CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001015288087 728394476 /nfs/dbraw/zinc/39/44/76/728394476.db2.gz NQUVPGKUZGGPKR-KOLCDFICSA-N -1 1 344.375 -0.158 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cnoc3C3CC3)C2)nc1=O ZINC001015932572 728439240 /nfs/dbraw/zinc/43/92/40/728439240.db2.gz XNNIMZMOEFPZPH-SNVBAGLBSA-N -1 1 332.364 -0.022 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@H]3CCc4n[nH]cc4C3)C2)nc1=O ZINC001015988421 728440568 /nfs/dbraw/zinc/44/05/68/728440568.db2.gz XMNBRHUEKJKJNU-JQWIXIFHSA-N -1 1 345.407 -0.673 20 0 EBADMM Cc1noc(C)c1CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001016235321 728444325 /nfs/dbraw/zinc/44/43/25/728444325.db2.gz HDXWWYDQDZYYNN-NSHDSACASA-N -1 1 334.380 -0.354 20 0 EBADMM CN(C)c1ncccc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001016254899 728446939 /nfs/dbraw/zinc/44/69/39/728446939.db2.gz ZXRSPAZQIINGJY-NSHDSACASA-N -1 1 345.407 -0.426 20 0 EBADMM CN(C)c1ccnc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001016384023 728465161 /nfs/dbraw/zinc/46/51/61/728465161.db2.gz MMOOCFLIEBUTPD-LLVKDONJSA-N -1 1 345.407 -0.426 20 0 EBADMM Cn1ncc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c1C1CC1 ZINC001016406344 728468251 /nfs/dbraw/zinc/46/82/51/728468251.db2.gz PRKAGFVEZUYTTH-LLVKDONJSA-N -1 1 345.407 -0.276 20 0 EBADMM C[C@H](C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)c1cnn(C)c1 ZINC001016450718 728475637 /nfs/dbraw/zinc/47/56/37/728475637.db2.gz WJQBEXDSYIHVLG-JQWIXIFHSA-N -1 1 333.396 -0.664 20 0 EBADMM Cc1c(C(=O)N2CCC[C@@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)nnn1C ZINC001017085909 728545713 /nfs/dbraw/zinc/54/57/13/728545713.db2.gz HOFWEIRJJRMWSQ-NSHDSACASA-N -1 1 348.411 -0.069 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)c2cnnn2C)C1 ZINC001017117162 728548629 /nfs/dbraw/zinc/54/86/29/728548629.db2.gz SMTBFLYHRRAEFA-JTQLQIEISA-N -1 1 334.384 -0.377 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CCC[C@@H](C[N@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001017177361 728551872 /nfs/dbraw/zinc/55/18/72/728551872.db2.gz SEAPLRHAZFIWPU-JTQLQIEISA-N -1 1 334.384 -0.079 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CCC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001017177361 728551873 /nfs/dbraw/zinc/55/18/73/728551873.db2.gz SEAPLRHAZFIWPU-JTQLQIEISA-N -1 1 334.384 -0.079 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)c2c[nH]c(=O)cn2)C1 ZINC001017191109 728554091 /nfs/dbraw/zinc/55/40/91/728554091.db2.gz MXYBRCCIFMCNCG-SNVBAGLBSA-N -1 1 347.379 -0.422 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)c2cc[n+]([O-])cc2)nc1=O ZINC001017330921 728564571 /nfs/dbraw/zinc/56/45/71/728564571.db2.gz YEDANZDEMSACOK-BETUJISGSA-N -1 1 344.375 -0.769 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)Cc2ccon2)nc1=O ZINC001017428936 728575481 /nfs/dbraw/zinc/57/54/81/728575481.db2.gz OLUUKFYONQVBBF-TXEJJXNPSA-N -1 1 332.364 -0.486 20 0 EBADMM CC[C@@H](CN1C[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]c(=O)[n-]c1=O)OC ZINC001017704837 728605743 /nfs/dbraw/zinc/60/57/43/728605743.db2.gz LIKLFTHOVMRYQJ-AXFHLTTASA-N -1 1 337.380 -0.003 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2cnn[nH]2)CN1C(=O)c1cn(C)c(=O)cn1 ZINC001018251303 728649935 /nfs/dbraw/zinc/64/99/35/728649935.db2.gz QQGNQKHITSIGPL-NXEZZACHSA-N -1 1 345.363 -0.679 20 0 EBADMM COCC(=O)N1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CC[C@@H]1C ZINC001018274951 728652839 /nfs/dbraw/zinc/65/28/39/728652839.db2.gz HQRHNSXZXVEBQX-VHSXEESVSA-N -1 1 348.363 -0.827 20 0 EBADMM CC(=O)NC[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)CC[C@@H]1C ZINC001018589781 728677973 /nfs/dbraw/zinc/67/79/73/728677973.db2.gz KHULUVKTGFSTNN-WCQYABFASA-N -1 1 336.392 -0.453 20 0 EBADMM NC(=O)N1CCCC[C@H]1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019633991 728950703 /nfs/dbraw/zinc/95/07/03/728950703.db2.gz GABVVMGFQHCAKN-UWVGGRQHSA-N -1 1 337.384 -0.866 20 0 EBADMM Cc1cc(CN[C@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)no1 ZINC001019650942 728962290 /nfs/dbraw/zinc/96/22/90/728962290.db2.gz VQZCEZWGDSSAJA-JTQLQIEISA-N -1 1 343.347 -0.282 20 0 EBADMM Cc1conc1CN[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001019650926 728962301 /nfs/dbraw/zinc/96/23/01/728962301.db2.gz VAZYCGQYIYKPSH-JTQLQIEISA-N -1 1 343.347 -0.282 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)cc1 ZINC001019762401 728986695 /nfs/dbraw/zinc/98/66/95/728986695.db2.gz DLQJOCHOIORIRY-NSHDSACASA-N -1 1 330.348 -0.387 20 0 EBADMM CC[C@@H](C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1)N1CCCC1=O ZINC001019791783 728992527 /nfs/dbraw/zinc/99/25/27/728992527.db2.gz LNFWGUUVFIHEKO-QWRGUYRKSA-N -1 1 336.396 -0.398 20 0 EBADMM C[C@H]1[C@@H](NC(=O)[C@H]2C[C@H]2C(N)=O)CCN1C(=O)c1ncccc1[O-] ZINC001020205983 729100097 /nfs/dbraw/zinc/10/00/97/729100097.db2.gz FLJLDDVECDDQTL-VLEAKVRGSA-N -1 1 332.360 -0.372 20 0 EBADMM O=C(N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C1)[C@H]1CCCCS1(=O)=O ZINC001020236945 729110003 /nfs/dbraw/zinc/11/00/03/729110003.db2.gz OKMJTVPIDSMPGP-OPRDCNLKSA-N -1 1 343.409 -0.786 20 0 EBADMM C[C@@]1(C(=O)N[C@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C2)CCCS1(=O)=O ZINC001020734557 729224963 /nfs/dbraw/zinc/22/49/63/729224963.db2.gz HNJJUTQFGNREFG-RVBZMBCESA-N -1 1 343.409 -0.786 20 0 EBADMM CC(=O)NC[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1C ZINC001020777224 729233210 /nfs/dbraw/zinc/23/32/10/729233210.db2.gz XCHZKQNIKRBPQW-GXSJLCMTSA-N -1 1 332.364 -0.348 20 0 EBADMM O=C(NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1)c1ccc(=O)[nH]n1 ZINC001021181980 729291969 /nfs/dbraw/zinc/29/19/69/729291969.db2.gz MBHDWNWOZDGENF-PBINXNQUSA-N -1 1 345.363 -0.069 20 0 EBADMM CCc1nnc([C@@H](C)N[C@H]2C[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC001022369791 729486098 /nfs/dbraw/zinc/48/60/98/729486098.db2.gz PJIBBPKHIFRRIS-PRJMDXOYSA-N -1 1 348.367 -0.425 20 0 EBADMM Cc1nc(CN[C@H]2C[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)c(C)o1 ZINC001022369972 729486102 /nfs/dbraw/zinc/48/61/02/729486102.db2.gz QHWNOVDWANASLY-DTORHVGOSA-N -1 1 334.336 -0.062 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)[nH]n1 ZINC001023138527 729617686 /nfs/dbraw/zinc/61/76/86/729617686.db2.gz JETMESGMDNILIT-ZYHUDNBSSA-N -1 1 331.332 -0.566 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001023257206 729650536 /nfs/dbraw/zinc/65/05/36/729650536.db2.gz HBDCSCNXHSXUHS-LBPRGKRZSA-N -1 1 347.423 -0.208 20 0 EBADMM Cn1nccc1C(=O)NC[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001023265855 729652875 /nfs/dbraw/zinc/65/28/75/729652875.db2.gz CGTJDNYQSUIISA-NSHDSACASA-N -1 1 333.396 -0.516 20 0 EBADMM Cn1cnc(C(=O)NC[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001023312732 729662333 /nfs/dbraw/zinc/66/23/33/729662333.db2.gz UGOJGBBYFWKZQR-NSHDSACASA-N -1 1 333.396 -0.516 20 0 EBADMM Cn1ncc(C(=O)NC[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)c1N ZINC001023324271 729663665 /nfs/dbraw/zinc/66/36/65/729663665.db2.gz RXDMHJARPGVSBE-SNVBAGLBSA-N -1 1 348.411 -0.934 20 0 EBADMM Cc1nnccc1C(=O)NC[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001023468430 729696245 /nfs/dbraw/zinc/69/62/45/729696245.db2.gz TXDXJTATPPFUBX-GFCCVEGCSA-N -1 1 345.407 -0.151 20 0 EBADMM Cn1cncc1C(=O)NC[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001023472336 729697475 /nfs/dbraw/zinc/69/74/75/729697475.db2.gz WIOVEERTRRMQFT-LLVKDONJSA-N -1 1 333.396 -0.516 20 0 EBADMM CCn1nccc1C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023488347 729702027 /nfs/dbraw/zinc/70/20/27/729702027.db2.gz DIXLUAYFAJLLMA-ZWNOBZJWSA-N -1 1 345.359 -0.381 20 0 EBADMM O=C(Cc1ccoc1)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023488648 729702461 /nfs/dbraw/zinc/70/24/61/729702461.db2.gz QRWADVAYJMBAHH-DGCLKSJQSA-N -1 1 331.328 -0.076 20 0 EBADMM C[C@@]1(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)CCCOC1 ZINC001023490710 729703527 /nfs/dbraw/zinc/70/35/27/729703527.db2.gz NNRNQTKWHDLGSY-CJBNDPTMSA-N -1 1 349.387 -0.095 20 0 EBADMM O=C(CCc1c[nH]cn1)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023492151 729703968 /nfs/dbraw/zinc/70/39/68/729703968.db2.gz HDCSTCXDKZNPGU-DGCLKSJQSA-N -1 1 345.359 -0.555 20 0 EBADMM Cc1cn(C)nc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001023646139 729746098 /nfs/dbraw/zinc/74/60/98/729746098.db2.gz IHAFIUXMBLHNEX-ZYHUDNBSSA-N -1 1 345.359 -0.556 20 0 EBADMM O=C(C[C@H]1CCCOC1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001023820698 729804615 /nfs/dbraw/zinc/80/46/15/729804615.db2.gz SQZNQHOOZLVDFA-YRGRVCCFSA-N -1 1 349.387 -0.095 20 0 EBADMM Cn1nnc(CNC[C@H]2CCCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001023950109 729819438 /nfs/dbraw/zinc/81/94/38/729819438.db2.gz NZGQZOYQUXJFDC-LLVKDONJSA-N -1 1 331.380 -0.047 20 0 EBADMM COC1CC(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)C1 ZINC001024198450 729859332 /nfs/dbraw/zinc/85/93/32/729859332.db2.gz OXROJUWBGFTRDK-FFJHZOIPSA-N -1 1 335.360 -0.486 20 0 EBADMM Cc1c[nH]nc1C(=O)NC[C@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001024435609 729887749 /nfs/dbraw/zinc/88/77/49/729887749.db2.gz ZDDFKHOONPQXNT-LLVKDONJSA-N -1 1 333.396 -0.076 20 0 EBADMM Cc1ncc(C(=O)NC[C@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)n1C ZINC001024620446 729912383 /nfs/dbraw/zinc/91/23/83/729912383.db2.gz VADWAUARNUXQTD-GFCCVEGCSA-N -1 1 347.423 -0.065 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H]2CNC(=O)c2n[nH]cc2F)nc1=O ZINC001024635443 729914174 /nfs/dbraw/zinc/91/41/74/729914174.db2.gz BPJPELXHZYAAEF-VIFPVBQESA-N -1 1 337.359 -0.245 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H]2CNC(=O)c2ccnnc2)nc1=O ZINC001024677949 729917614 /nfs/dbraw/zinc/91/76/14/729917614.db2.gz LYNBNPPXFCROGS-LBPRGKRZSA-N -1 1 331.380 -0.317 20 0 EBADMM Cc1c[nH]c(C(=O)NC[C@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001024700996 729919684 /nfs/dbraw/zinc/91/96/84/729919684.db2.gz JMVUUOOQVXKNGU-LLVKDONJSA-N -1 1 333.396 -0.076 20 0 EBADMM Cn1ccc(CNC[C@H]2CCCCN2C(=O)c2n[nH]c(=O)[n-]c2=O)n1 ZINC001025011006 729986332 /nfs/dbraw/zinc/98/63/32/729986332.db2.gz QUZXWGNPGLEAPX-LLVKDONJSA-N -1 1 347.379 -0.199 20 0 EBADMM C[C@@]1(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CNC(=O)C1 ZINC001025030395 729988273 /nfs/dbraw/zinc/98/82/73/729988273.db2.gz PRXCFHCWSVHHOR-BMIGLBTASA-N -1 1 336.396 -0.493 20 0 EBADMM CC[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001025047301 729990030 /nfs/dbraw/zinc/99/00/30/729990030.db2.gz YUFOOFQFQXTBKF-OXHZDVMGSA-N -1 1 349.387 -0.096 20 0 EBADMM CN1C(=O)CC[C@H]1C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001025046961 729990245 /nfs/dbraw/zinc/99/02/45/729990245.db2.gz BHIJTAFNDGTCQR-MNOVXSKESA-N -1 1 336.396 -0.398 20 0 EBADMM O=C(c1c[nH]c(=O)cn1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001025059623 729991482 /nfs/dbraw/zinc/99/14/82/729991482.db2.gz UNKVQDDHSRRTHG-SECBINFHSA-N -1 1 333.352 -0.622 20 0 EBADMM CCc1nc[nH]c1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001025077477 729993389 /nfs/dbraw/zinc/99/33/89/729993389.db2.gz PSWUGFPQZONBOA-ZYHUDNBSSA-N -1 1 345.359 -0.312 20 0 EBADMM CC[C@@H]1C[C@@H](C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)CCO1 ZINC001026137329 730123253 /nfs/dbraw/zinc/12/32/53/730123253.db2.gz YNOIUCHIWYNOAN-GGAZOKNXSA-N -1 1 349.435 -0.140 20 0 EBADMM CCn1ccnc1C(=O)NC[C@@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001027847064 730242226 /nfs/dbraw/zinc/24/22/26/730242226.db2.gz XURYBXYNMPCVCU-NSHDSACASA-N -1 1 333.396 -0.281 20 0 EBADMM CCn1nccc1C(=O)NC[C@@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001027846094 730242575 /nfs/dbraw/zinc/24/25/75/730242575.db2.gz YVYRBMBURQQMDA-NSHDSACASA-N -1 1 333.396 -0.281 20 0 EBADMM CCN1CC[C@H](N2CCC[C@H]2CNC(=O)c2cnc[nH]c2=O)C1=O ZINC001027958310 730251872 /nfs/dbraw/zinc/25/18/72/730251872.db2.gz FZWMWMCKTSFTRW-AAEUAGOBSA-N -1 1 333.392 -0.003 20 0 EBADMM COc1cnc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)nc1 ZINC001028018145 730258250 /nfs/dbraw/zinc/25/82/50/730258250.db2.gz NNOFNKADVJTZGG-JTQLQIEISA-N -1 1 347.379 -0.699 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H]2CNC(=O)c2cc(C(N)=O)co2)nc1=O ZINC001028127434 730268835 /nfs/dbraw/zinc/26/88/35/730268835.db2.gz IJRXIKUZCMBRKS-SNVBAGLBSA-N -1 1 348.363 -0.805 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)[C@H]3C[C@@H]3C(F)F)C2)nc1=O ZINC001028516190 730304784 /nfs/dbraw/zinc/30/47/84/730304784.db2.gz HDDWFARPNRQHHR-UTLUCORTSA-N -1 1 329.351 -0.052 20 0 EBADMM Cc1cc(CN2CC[C@@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)ncn1 ZINC001028661642 730334192 /nfs/dbraw/zinc/33/41/92/730334192.db2.gz NJLCJNKGNSWPAJ-JTQLQIEISA-N -1 1 345.363 -0.367 20 0 EBADMM CCn1nncc1CN1CC[C@@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001028662107 730334870 /nfs/dbraw/zinc/33/48/70/730334870.db2.gz TURDAUFURGPGKX-VIFPVBQESA-N -1 1 348.367 -0.854 20 0 EBADMM CCn1nncc1CN1CC[C@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001028662105 730334882 /nfs/dbraw/zinc/33/48/82/730334882.db2.gz TURDAUFURGPGKX-SECBINFHSA-N -1 1 348.367 -0.854 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)[C@@H]3CC34CCOCC4)C2)nc1=O ZINC001029024381 730386141 /nfs/dbraw/zinc/38/61/41/730386141.db2.gz GVNPWLBOOOZTAZ-OLZOCXBDSA-N -1 1 349.435 -0.137 20 0 EBADMM Cn1cccc1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029214237 730393440 /nfs/dbraw/zinc/39/34/40/730393440.db2.gz IWWIIMVUIHZSFG-TXEJJXNPSA-N -1 1 330.392 -0.064 20 0 EBADMM Cn1cnc(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)c1 ZINC001029270116 730395763 /nfs/dbraw/zinc/39/57/63/730395763.db2.gz JAVNUGXWWAYPDQ-PHIMTYICSA-N -1 1 331.380 -0.669 20 0 EBADMM C[C@@H]1CCO[C@@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029479575 730407482 /nfs/dbraw/zinc/40/74/82/730407482.db2.gz XVXZZKOIURENJF-NMKXLXIOSA-N -1 1 335.408 -0.291 20 0 EBADMM Cc1nc[nH]c1CC(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029565314 730410747 /nfs/dbraw/zinc/41/07/47/730410747.db2.gz CVDGMGYNCJNKPH-TXEJJXNPSA-N -1 1 345.407 -0.442 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)CCn2cncn2)C1 ZINC001029649429 730479801 /nfs/dbraw/zinc/47/98/01/730479801.db2.gz DXLUVFJTBHGOHR-NSHDSACASA-N -1 1 334.384 -0.528 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)[C@@H]2COCCN2C)C1 ZINC001029709849 730485812 /nfs/dbraw/zinc/48/58/12/730485812.db2.gz KZQDDEVBCMBQFN-NEPJUHHUSA-N -1 1 338.412 -0.879 20 0 EBADMM C[C@@H](C(=O)N1CC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1)n1cncn1 ZINC001029709327 730485818 /nfs/dbraw/zinc/48/58/18/730485818.db2.gz AWVTVACLTLBXQG-QWRGUYRKSA-N -1 1 334.384 -0.357 20 0 EBADMM C[C@@H]1OCCO[C@@H]1C(=O)N1CC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001029759656 730496247 /nfs/dbraw/zinc/49/62/47/730496247.db2.gz ULTFPZATOHOGIA-GVXVVHGQSA-N -1 1 339.396 -0.406 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)c2ccc(=O)n(C)c2)C1 ZINC001029776371 730499178 /nfs/dbraw/zinc/49/91/78/730499178.db2.gz GSHPYCFQNGOLBF-LLVKDONJSA-N -1 1 346.391 -0.197 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)[C@H]2CCN(C)C2=O)C1 ZINC001029810740 730505806 /nfs/dbraw/zinc/50/58/06/730505806.db2.gz QHBTWELJIDEJCK-MNOVXSKESA-N -1 1 336.396 -0.731 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)CCc2cnn(C)n2)C1 ZINC001029843056 730512550 /nfs/dbraw/zinc/51/25/50/730512550.db2.gz BKEOPZOXYFACQA-LLVKDONJSA-N -1 1 348.411 -0.448 20 0 EBADMM COc1ccc(C(=O)N2CC[C@@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)nn1 ZINC001029881821 730518428 /nfs/dbraw/zinc/51/84/28/730518428.db2.gz LHGRLPQPZBGWHE-JTQLQIEISA-N -1 1 347.379 -0.097 20 0 EBADMM O=C(NC1CN(Cc2cc(=O)n3nccc3[nH]2)C1)c1ncccc1[O-] ZINC001030241813 730557982 /nfs/dbraw/zinc/55/79/82/730557982.db2.gz MROWXGVRHTUKEE-UHFFFAOYSA-N -1 1 340.343 -0.263 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3nccc4cccnc43)C2)nc1=O ZINC001030459968 730589864 /nfs/dbraw/zinc/58/98/64/730589864.db2.gz LTNQQANRXISWPX-UHFFFAOYSA-N -1 1 339.359 -0.334 20 0 EBADMM Cc1ccn2ncc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)c2c1 ZINC001030475717 730593062 /nfs/dbraw/zinc/59/30/62/730593062.db2.gz LUNRNPOCKQUGCK-UHFFFAOYSA-N -1 1 341.375 -0.321 20 0 EBADMM Cc1noc(C(F)F)c1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030504879 730597208 /nfs/dbraw/zinc/59/72/08/730597208.db2.gz CSZXTWCOUYVKJA-UHFFFAOYSA-N -1 1 342.306 -0.043 20 0 EBADMM COCc1ccccc1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030604093 730611972 /nfs/dbraw/zinc/61/19/72/730611972.db2.gz RXKAXQXPTXDJBX-UHFFFAOYSA-N -1 1 331.376 -0.131 20 0 EBADMM CCCc1c(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001030604869 730612009 /nfs/dbraw/zinc/61/20/09/730612009.db2.gz XLSDOMRTXXLFDW-UHFFFAOYSA-N -1 1 333.396 -0.591 20 0 EBADMM COc1cc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)ccc1F ZINC001030995059 730663917 /nfs/dbraw/zinc/66/39/17/730663917.db2.gz TXELHWULQQTCQC-UHFFFAOYSA-N -1 1 335.339 -0.130 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cnnc4ccccc43)C2)nc1=O ZINC001031011250 730664416 /nfs/dbraw/zinc/66/44/16/730664416.db2.gz GIZGEZAVISZCTH-UHFFFAOYSA-N -1 1 339.359 -0.334 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cnc(C4CC4)nc3)C2)nc1=O ZINC001031056833 730669308 /nfs/dbraw/zinc/66/93/08/730669308.db2.gz RODNBORNOPGKTG-UHFFFAOYSA-N -1 1 329.364 -0.610 20 0 EBADMM COc1nc(C)ccc1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031060394 730669848 /nfs/dbraw/zinc/66/98/48/730669848.db2.gz KJBQOCNSJXBZHN-UHFFFAOYSA-N -1 1 332.364 -0.565 20 0 EBADMM O=C(NC1CN(C[C@@H]2C[C@]23CCOC3)C1)c1c[n-]n2c1nccc2=O ZINC001031069293 730670870 /nfs/dbraw/zinc/67/08/70/730670870.db2.gz XDOIRNDPBGEQER-GTNSWQLSSA-N -1 1 343.387 -0.137 20 0 EBADMM CCCc1cc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)cc(=O)[nH]1 ZINC001031073327 730671589 /nfs/dbraw/zinc/67/15/89/730671589.db2.gz SMRSGHURIPVGPC-UHFFFAOYSA-N -1 1 346.391 -0.224 20 0 EBADMM Cc1n[nH]c(C)c1[C@H](C)C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031113842 730677128 /nfs/dbraw/zinc/67/71/28/730677128.db2.gz RCPKOXIBZJGERP-QMMMGPOBSA-N -1 1 333.396 -0.448 20 0 EBADMM CN1CCc2cc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)ccc21 ZINC001031207554 730686287 /nfs/dbraw/zinc/68/62/87/730686287.db2.gz JQTITXYNVOFNCY-UHFFFAOYSA-N -1 1 342.403 -0.285 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C[C@H]2CC[C@@H](C)O2)C1 ZINC001031249775 730693335 /nfs/dbraw/zinc/69/33/35/730693335.db2.gz HNGMLQTXTUKHDX-PSASIEDQSA-N -1 1 338.364 -0.122 20 0 EBADMM CCn1cc(CN2CC(NC(=O)c3[nH]c(=O)[n-]c(=O)c3OC)C2)nn1 ZINC001031249595 730693423 /nfs/dbraw/zinc/69/34/23/730693423.db2.gz CKKZZUQVOWBQOM-UHFFFAOYSA-N -1 1 349.351 -0.878 20 0 EBADMM Cc1oncc1CN1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001031251695 730695029 /nfs/dbraw/zinc/69/50/29/730695029.db2.gz VEQJTGLLJNXONY-UHFFFAOYSA-N -1 1 329.320 -0.672 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)C3CC4(C3)CCOCC4)C2)nc1=O ZINC001031252939 730695398 /nfs/dbraw/zinc/69/53/98/730695398.db2.gz LUURGTUVQVHGTO-UHFFFAOYSA-N -1 1 335.408 -0.384 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)Cn3cc4ccccc4n3)C2)nc1=O ZINC001031271501 730697770 /nfs/dbraw/zinc/69/77/70/730697770.db2.gz KMSLPMMUFARKCL-UHFFFAOYSA-N -1 1 341.375 -0.541 20 0 EBADMM COc1ccc(OC)c(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001031370499 730710442 /nfs/dbraw/zinc/71/04/42/730710442.db2.gz BXPFJFMGKJLLTE-UHFFFAOYSA-N -1 1 347.375 -0.260 20 0 EBADMM CN(C)c1ccc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)cc1F ZINC001031442758 730724623 /nfs/dbraw/zinc/72/46/23/730724623.db2.gz SYRSGWARSWFJQW-UHFFFAOYSA-N -1 1 348.382 -0.072 20 0 EBADMM Cc1cc(C)n([C@H](C)C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001031470043 730726637 /nfs/dbraw/zinc/72/66/37/730726637.db2.gz DAGBBECIOHCKFH-LLVKDONJSA-N -1 1 333.396 -0.517 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@H]3CCCc4c[nH]nc43)C2)nc1=O ZINC001031673133 730748916 /nfs/dbraw/zinc/74/89/16/730748916.db2.gz XWXYOHSRTRDSEP-LBPRGKRZSA-N -1 1 345.407 -0.500 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cn4c(n3)CCC4)C2)nc1=O ZINC001031681886 730750187 /nfs/dbraw/zinc/75/01/87/730750187.db2.gz NYOCKQONYZHOOJ-UHFFFAOYSA-N -1 1 331.380 -0.887 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3snnc3C3CC3)C2)nc1=O ZINC001031748436 730761817 /nfs/dbraw/zinc/76/18/17/730761817.db2.gz WTJZVYHLGLZUST-UHFFFAOYSA-N -1 1 349.420 -0.301 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cc4c[nH]ccc-4n3)C2)nc1=O ZINC001031768328 730765896 /nfs/dbraw/zinc/76/58/96/730765896.db2.gz DOONTWGCYUWVNH-UHFFFAOYSA-N -1 1 341.375 -0.154 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@H]3CCCn4nccc43)C2)nc1=O ZINC001031782012 730768530 /nfs/dbraw/zinc/76/85/30/730768530.db2.gz SWXYAYPQGBRFRW-LBPRGKRZSA-N -1 1 345.407 -0.570 20 0 EBADMM CCc1nc([C@@H](C)N2CC(CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001031815812 730773851 /nfs/dbraw/zinc/77/38/51/730773851.db2.gz KIOWIWZAQDOIKN-SSDOTTSWSA-N -1 1 348.367 -0.614 20 0 EBADMM Cc1cc(=O)[nH]cc1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031967314 730797367 /nfs/dbraw/zinc/79/73/67/730797367.db2.gz LRFHNLBZDRHLMO-UHFFFAOYSA-N -1 1 332.364 -0.621 20 0 EBADMM CCn1nc(C)cc1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032000271 730801843 /nfs/dbraw/zinc/80/18/43/730801843.db2.gz XLNFLSHCGQQSBH-UHFFFAOYSA-N -1 1 333.396 -0.505 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)C[C@@H]2CCCCO2)nc1=O ZINC001032350166 730843971 /nfs/dbraw/zinc/84/39/71/730843971.db2.gz HEEOOEAGBSBZQU-AVGNSLFASA-N -1 1 335.408 -0.147 20 0 EBADMM CCn1nccc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032371956 730847315 /nfs/dbraw/zinc/84/73/15/730847315.db2.gz JVHREPXRKNPRDU-QWRGUYRKSA-N -1 1 331.380 -0.576 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)Cc2ccc(=O)[nH]c2)nc1=O ZINC001032526572 730883079 /nfs/dbraw/zinc/88/30/79/730883079.db2.gz VJNBPXDBTUKFQC-RYUDHWBXSA-N -1 1 344.375 -0.763 20 0 EBADMM Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)c(=O)[nH]1 ZINC001032649159 730904610 /nfs/dbraw/zinc/90/46/10/730904610.db2.gz DRDYSWNECNNCPF-QWRGUYRKSA-N -1 1 344.375 -0.384 20 0 EBADMM COc1cncc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)n1 ZINC001032668122 730907254 /nfs/dbraw/zinc/90/72/54/730907254.db2.gz VACADQMTBRUGBY-UWVGGRQHSA-N -1 1 345.363 -0.994 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032687853 730911763 /nfs/dbraw/zinc/91/17/63/730911763.db2.gz YSMOIIFDNNNAFM-QWRGUYRKSA-N -1 1 331.380 -0.453 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)c2cnn3cc[nH]c23)nc1=O ZINC001032752880 730922984 /nfs/dbraw/zinc/92/29/84/730922984.db2.gz ZAHFNVNBHRGRQB-UWVGGRQHSA-N -1 1 342.363 -0.817 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)C[C@@H]2CCCOC2)nc1=O ZINC001032787476 730931534 /nfs/dbraw/zinc/93/15/34/730931534.db2.gz PSPPQRNQTVSTRK-AVGNSLFASA-N -1 1 335.408 -0.290 20 0 EBADMM C[C@H](C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1)C1CCOCC1 ZINC001032821819 730938559 /nfs/dbraw/zinc/93/85/59/730938559.db2.gz YOVDEZBNLCPCLM-UBHSHLNASA-N -1 1 349.435 -0.044 20 0 EBADMM CN(C(=O)c1cc2n(n1)CCC2)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033129458 730973982 /nfs/dbraw/zinc/97/39/82/730973982.db2.gz ZTJNCIFQGQSBJE-LBPRGKRZSA-N -1 1 345.407 -0.402 20 0 EBADMM Cc1nn(C)cc1CN1CC[C@H](N(C)C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001033230727 730992259 /nfs/dbraw/zinc/99/22/59/730992259.db2.gz UAOZEQDYJSUTDJ-NSHDSACASA-N -1 1 347.379 -0.329 20 0 EBADMM CN(C(=O)c1coc(C(N)=O)c1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033297708 731002882 /nfs/dbraw/zinc/00/28/82/731002882.db2.gz RJHVHXSWGKJGNT-JTQLQIEISA-N -1 1 348.363 -0.853 20 0 EBADMM Cc1nn(C)c(C)c1C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033310486 731003972 /nfs/dbraw/zinc/00/39/72/731003972.db2.gz XEEKYXPQBOUMJY-LBPRGKRZSA-N -1 1 347.423 -0.195 20 0 EBADMM COc1ccnc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001033324257 731006709 /nfs/dbraw/zinc/00/67/09/731006709.db2.gz PQZAJADUFUPTBO-LLVKDONJSA-N -1 1 346.391 -0.142 20 0 EBADMM C[C@@H](C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)c1cncnc1 ZINC001033356638 731013786 /nfs/dbraw/zinc/01/37/86/731013786.db2.gz QAURKNGIVNECMS-DGCLKSJQSA-N -1 1 345.407 -0.265 20 0 EBADMM COc1ncccc1C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033385590 731018169 /nfs/dbraw/zinc/01/81/69/731018169.db2.gz SOWFKSTUJXCTLN-NSHDSACASA-N -1 1 346.391 -0.142 20 0 EBADMM CN(C(=O)[C@@H]1C[C@H]2CC[C@@H]1O2)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033439734 731023509 /nfs/dbraw/zinc/02/35/09/731023509.db2.gz IKKACBLUAYPWDB-LOWDOPEQSA-N -1 1 335.408 -0.291 20 0 EBADMM CCn1nc(C)cc1C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033442185 731024343 /nfs/dbraw/zinc/02/43/43/731024343.db2.gz BLYFTYGQYSSQQM-GFCCVEGCSA-N -1 1 347.423 -0.020 20 0 EBADMM COc1cncc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001033480259 731027260 /nfs/dbraw/zinc/02/72/60/731027260.db2.gz ONJPBWLUYQAPRN-SNVBAGLBSA-N -1 1 347.379 -0.747 20 0 EBADMM CN(C(=O)C1(C)CCOCC1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033509119 731033375 /nfs/dbraw/zinc/03/33/75/731033375.db2.gz PPJISYTYCLEHBF-LBPRGKRZSA-N -1 1 337.424 -0.042 20 0 EBADMM CO[C@H](C)CN1CC[C@@H](N(C)C(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001033509445 731033588 /nfs/dbraw/zinc/03/35/88/731033588.db2.gz JJYSLINRLPEMSY-VXGBXAGGSA-N -1 1 348.407 -0.361 20 0 EBADMM CCc1cc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC001033707443 731067379 /nfs/dbraw/zinc/06/73/79/731067379.db2.gz LXGCYKJNHJFQOA-GFCCVEGCSA-N -1 1 347.423 -0.249 20 0 EBADMM CCN(C(=O)c1cnn(C)c1C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033750866 731071730 /nfs/dbraw/zinc/07/17/30/731071730.db2.gz WTXMNQOVCIYIGE-GFCCVEGCSA-N -1 1 347.423 -0.113 20 0 EBADMM CCN(C(=O)c1cnnn1CC)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033821492 731076653 /nfs/dbraw/zinc/07/66/53/731076653.db2.gz AGLMRCNEAZELMC-NSHDSACASA-N -1 1 348.411 -0.544 20 0 EBADMM CCN(C(=O)C1CC(OC)C1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033868955 731081363 /nfs/dbraw/zinc/08/13/63/731081363.db2.gz IHANMTBPYLIAQK-OTTFEQOBSA-N -1 1 337.424 -0.044 20 0 EBADMM CCN(C(=O)c1ccnnc1C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033883233 731083425 /nfs/dbraw/zinc/08/34/25/731083425.db2.gz KYSVBNKDDHQPMC-GFCCVEGCSA-N -1 1 345.407 -0.057 20 0 EBADMM CCN(C(=O)c1cccnn1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034001092 731097477 /nfs/dbraw/zinc/09/74/77/731097477.db2.gz TVZUSEFOMLYUBX-NSHDSACASA-N -1 1 331.380 -0.365 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H](NC(=O)c3ccc(=O)[nH]n3)C2)nc1=O ZINC001034088413 731102513 /nfs/dbraw/zinc/10/25/13/731102513.db2.gz XLGUNOKCXUKVFS-SNVBAGLBSA-N -1 1 347.379 -0.612 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H](NC(=O)c3cnccn3)C2)nc1=O ZINC001034093849 731102702 /nfs/dbraw/zinc/10/27/02/731102702.db2.gz WJGQFHREDLIANB-NSHDSACASA-N -1 1 331.380 -0.317 20 0 EBADMM Cn1cnc(C(=O)N[C@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001034169786 731107216 /nfs/dbraw/zinc/10/72/16/731107216.db2.gz SIFWAFXKTOMIAC-NSHDSACASA-N -1 1 333.396 -0.374 20 0 EBADMM Cn1nncc1C(=O)N[C@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034194347 731109854 /nfs/dbraw/zinc/10/98/54/731109854.db2.gz NQLFEDWMEUPYBY-JTQLQIEISA-N -1 1 334.384 -0.979 20 0 EBADMM Cn1cnc(C(=O)N[C@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001034196160 731110023 /nfs/dbraw/zinc/11/00/23/731110023.db2.gz LPSQJPPSHVWZNP-JTQLQIEISA-N -1 1 334.384 -0.979 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H](NC(=O)c3ccncn3)C2)nc1=O ZINC001034447546 731132280 /nfs/dbraw/zinc/13/22/80/731132280.db2.gz IVRPTYZENWXHGS-LLVKDONJSA-N -1 1 331.380 -0.317 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H](NC(=O)Cn3ccnc3)C2)nc1=O ZINC001034547523 731140269 /nfs/dbraw/zinc/14/02/69/731140269.db2.gz SYCGMMDSYLIHJX-GFCCVEGCSA-N -1 1 333.396 -0.524 20 0 EBADMM O=C(CO[C@H]1CCOC1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034936358 731165439 /nfs/dbraw/zinc/16/54/39/731165439.db2.gz OOWMMCUVNUPSLN-MNOVXSKESA-N -1 1 325.369 -0.604 20 0 EBADMM O=C(CN1CCCCC1=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034984462 731172458 /nfs/dbraw/zinc/17/24/58/731172458.db2.gz OUCJZOVUNVSZQP-LLVKDONJSA-N -1 1 336.396 -0.397 20 0 EBADMM Cc1cc(CNC[C@H]2CCCN2C(=O)c2n[nH]c(=O)[n-]c2=O)ncn1 ZINC001034984694 731172900 /nfs/dbraw/zinc/17/29/00/731172900.db2.gz DXEMHFWYPIWRCI-LLVKDONJSA-N -1 1 345.363 -0.224 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)o1 ZINC001034988393 731173668 /nfs/dbraw/zinc/17/36/68/731173668.db2.gz WCVGKRSKZNLRBS-MRVPVSSYSA-N -1 1 334.336 -0.403 20 0 EBADMM Cn1ncc(CCC(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)n1 ZINC001035053235 731180911 /nfs/dbraw/zinc/18/09/11/731180911.db2.gz LMJZQKWHOIRBHG-LLVKDONJSA-N -1 1 334.384 -0.648 20 0 EBADMM Cc1cc(=O)c(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)c[nH]1 ZINC001035093589 731185279 /nfs/dbraw/zinc/18/52/79/731185279.db2.gz DODHANAPEOVITH-SNVBAGLBSA-N -1 1 332.364 -0.099 20 0 EBADMM O=C([C@@H]1CCc2nncn2C1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035132544 731190900 /nfs/dbraw/zinc/19/09/00/731190900.db2.gz FNWBTKCVOUEFPY-GHMZBOCLSA-N -1 1 346.395 -0.555 20 0 EBADMM Cn1cnc(C(=O)N2CCC3(CCN(Cc4n[nH]c(=O)[n-]4)C3)CC2)n1 ZINC001035181927 731194295 /nfs/dbraw/zinc/19/42/95/731194295.db2.gz BPFOGYYYGHCYRU-UHFFFAOYSA-N -1 1 346.395 -0.233 20 0 EBADMM Cc1ccc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)n1C ZINC001035294011 731206639 /nfs/dbraw/zinc/20/66/39/731206639.db2.gz QRGAVMWBDNWACD-LBPRGKRZSA-N -1 1 348.407 -0.614 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)c3ccccc3O)C2)nc1=O ZINC001035296464 731207190 /nfs/dbraw/zinc/20/71/90/731207190.db2.gz IGMPFNNUGQGHDJ-LLVKDONJSA-N -1 1 347.375 -0.555 20 0 EBADMM Cc1cccc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)n1 ZINC001035299484 731208045 /nfs/dbraw/zinc/20/80/45/731208045.db2.gz NLVMDIMBTBIXDP-LBPRGKRZSA-N -1 1 346.391 -0.557 20 0 EBADMM CCc1ccc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)o1 ZINC001035416194 731248181 /nfs/dbraw/zinc/24/81/81/731248181.db2.gz JZWGGMRYIGBIEZ-GFCCVEGCSA-N -1 1 349.391 -0.105 20 0 EBADMM C[C@H]1CCCN(C(=O)Cn2cncn2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036595601 731263259 /nfs/dbraw/zinc/26/32/59/731263259.db2.gz DAHXIDQRYMSMOW-QWRGUYRKSA-N -1 1 334.384 -0.481 20 0 EBADMM C[C@H]1CCCN(C(=O)Cn2cncn2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036595602 731263368 /nfs/dbraw/zinc/26/33/68/731263368.db2.gz DAHXIDQRYMSMOW-WDEREUQCSA-N -1 1 334.384 -0.481 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2ccnc3ccnn32)nc1=O ZINC001038331394 731308623 /nfs/dbraw/zinc/30/86/23/731308623.db2.gz JEUCFEGXXFASBI-SNVBAGLBSA-N -1 1 342.363 -0.845 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cnc(C3CC3)o2)nc1=O ZINC001038357721 731312004 /nfs/dbraw/zinc/31/20/04/731312004.db2.gz RUCUMHBQRQLYAK-JTQLQIEISA-N -1 1 332.364 -0.022 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cnc3cc[nH]c3c2)nc1=O ZINC001038387408 731317628 /nfs/dbraw/zinc/31/76/28/731317628.db2.gz VVHJIYQMWUUQNG-LLVKDONJSA-N -1 1 341.375 -0.011 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@H]2CCn3cncc3C2)nc1=O ZINC001038418685 731321399 /nfs/dbraw/zinc/32/13/99/731321399.db2.gz RVDGUSJITWIYSJ-NWDGAFQWSA-N -1 1 345.407 -0.742 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@@H]2CCc3cncn3C2)nc1=O ZINC001038423841 731323068 /nfs/dbraw/zinc/32/30/68/731323068.db2.gz GDTPIYWWGFIKMG-DGCLKSJQSA-N -1 1 345.407 -0.742 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cn3cccnc3n2)nc1=O ZINC001038452322 731328156 /nfs/dbraw/zinc/32/81/56/731328156.db2.gz UJOSIBZWBBEEPB-SNVBAGLBSA-N -1 1 342.363 -0.845 20 0 EBADMM CCc1nc([C@@H](C)N2CC[C@@H]2CNC(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC001038459223 731329499 /nfs/dbraw/zinc/32/94/99/731329499.db2.gz NYYHIVNYTXBQMF-HTQZYQBOSA-N -1 1 348.367 -0.471 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cnn3c2OCCC3)nc1=O ZINC001038460982 731329946 /nfs/dbraw/zinc/32/99/46/731329946.db2.gz KEILVYAYSWVEBF-JTQLQIEISA-N -1 1 347.379 -0.908 20 0 EBADMM Cc1cc(=O)oc(C)c1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038487063 731335020 /nfs/dbraw/zinc/33/50/20/731335020.db2.gz UBRPIMGFDWLHPI-NSHDSACASA-N -1 1 347.375 -0.317 20 0 EBADMM CC(C)N1CCO[C@@H](C(=O)NC[C@H]2CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001038626711 731356190 /nfs/dbraw/zinc/35/61/90/731356190.db2.gz HLBPHJAGUHPRPV-VXGBXAGGSA-N -1 1 338.412 -0.690 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@H]2CCc3cccnc32)nc1=O ZINC001038639687 731358547 /nfs/dbraw/zinc/35/85/47/731358547.db2.gz UBSKUFFCMMZIQP-STQMWFEESA-N -1 1 342.403 -0.076 20 0 EBADMM COc1ncccc1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038669098 731362988 /nfs/dbraw/zinc/36/29/88/731362988.db2.gz MZAWWZKWHJNDLH-SNVBAGLBSA-N -1 1 332.364 -0.484 20 0 EBADMM CCc1nc(C)c(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)o1 ZINC001038881402 731387700 /nfs/dbraw/zinc/38/77/00/731387700.db2.gz GHDNFYMBBWWHQL-JTQLQIEISA-N -1 1 334.380 -0.028 20 0 EBADMM CC(C)n1cc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001038911480 731390394 /nfs/dbraw/zinc/39/03/94/731390394.db2.gz GXTOBBLVLMWDJN-LBPRGKRZSA-N -1 1 333.396 -0.110 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCN1Cc1ccnn1C ZINC001038916242 731391075 /nfs/dbraw/zinc/39/10/75/731391075.db2.gz DCPWVJFCESROGZ-SECBINFHSA-N -1 1 348.363 -0.366 20 0 EBADMM O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[n-]1)[C@@H]1CCc2[nH]nnc2C1 ZINC001038916629 731391914 /nfs/dbraw/zinc/39/19/14/731391914.db2.gz FXUVCPDRDCQGSR-BDAKNGLRSA-N -1 1 332.368 -0.876 20 0 EBADMM O=C(NC[C@@H]1CCN1Cc1n[nH]c(=O)[n-]1)[C@@H]1CCc2nn[nH]c2C1 ZINC001038916629 731391917 /nfs/dbraw/zinc/39/19/17/731391917.db2.gz FXUVCPDRDCQGSR-BDAKNGLRSA-N -1 1 332.368 -0.876 20 0 EBADMM O=C(NC[C@@H]1CCN1Cc1cnns1)c1cc(=O)n2[n-]cnc2n1 ZINC001038919083 731392926 /nfs/dbraw/zinc/39/29/26/731392926.db2.gz ALBAKURJHNBCOI-QMMMGPOBSA-N -1 1 346.376 -0.727 20 0 EBADMM Cc1ncoc1CN1CC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001038920384 731392946 /nfs/dbraw/zinc/39/29/46/731392946.db2.gz IEAFZLDDZSRNOL-JTQLQIEISA-N -1 1 343.347 -0.282 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CC[C@@H](C2)N3C(=O)c2cn[nH]c2)nc1=O ZINC001039338053 731428130 /nfs/dbraw/zinc/42/81/30/731428130.db2.gz AQKRMSIJJCOUKS-NEPJUHHUSA-N -1 1 331.380 -0.289 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CC[C@@H](C2)N3C(=O)c2cnco2)nc1=O ZINC001039413158 731433076 /nfs/dbraw/zinc/43/30/76/731433076.db2.gz RDPPXELSKSZEQS-MNOVXSKESA-N -1 1 332.364 -0.025 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CC[C@@H](C2)N3C(=O)[C@H]2[C@@H]3COC[C@@H]32)nc1=O ZINC001039420776 731433871 /nfs/dbraw/zinc/43/38/71/731433871.db2.gz FZQIWVYLDNMCDN-JYKNGBAOSA-N -1 1 347.419 -0.434 20 0 EBADMM O=C(NC[C@@]1(O)CCN(C(=O)c2ncccc2[O-])C1)[C@H]1CCOC1 ZINC001040048641 731575819 /nfs/dbraw/zinc/57/58/19/731575819.db2.gz IOXSVLCNJADRGS-ZBEGNZNMSA-N -1 1 335.360 -0.483 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@]3(CCN(C(=O)c4cnon4)C3)C2)nc1=O ZINC001040457959 731742403 /nfs/dbraw/zinc/74/24/03/731742403.db2.gz BKZUTIHFOMCMJS-OAHLLOKOSA-N -1 1 347.379 -0.380 20 0 EBADMM Cc1n[nH]cc1C(=O)NC[C@]1(O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001040463789 731744811 /nfs/dbraw/zinc/74/48/11/731744811.db2.gz KNXXDPPZWIGMOA-MRXNPFEDSA-N -1 1 345.359 -0.174 20 0 EBADMM O=C(NC[C@@]1(O)CCN(C(=O)c2ncccc2[O-])C1)c1cnon1 ZINC001040666538 731817096 /nfs/dbraw/zinc/81/70/96/731817096.db2.gz WYDNEKSQLONZDC-AWEZNQCLSA-N -1 1 333.304 -0.823 20 0 EBADMM O=C(Cc1ncc[nH]1)NC[C@]1(O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001040693672 731832867 /nfs/dbraw/zinc/83/28/67/731832867.db2.gz HOHDQGHFMFMWCQ-MRXNPFEDSA-N -1 1 345.359 -0.554 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(CCN(C(=O)c4cnsn4)C3)C2)nc1=O ZINC001041095599 731988728 /nfs/dbraw/zinc/98/87/28/731988728.db2.gz SWTOODOKBAVMJZ-CQSZACIVSA-N -1 1 349.420 -0.302 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(CCN(C(=O)C[C@@H]4CCOC4)C3)C2)nc1=O ZINC001041163778 732015594 /nfs/dbraw/zinc/01/55/94/732015594.db2.gz HXOMNWKDWZLJPE-GUYCJALGSA-N -1 1 349.435 -0.041 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(CCN(C(=O)CC(C)(C)O)C3)C2)nc1=O ZINC001041239079 732045251 /nfs/dbraw/zinc/04/52/51/732045251.db2.gz BUPQIGYVBWMVOB-INIZCTEOSA-N -1 1 337.424 -0.306 20 0 EBADMM Cc1cnc(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)cn1 ZINC001041280397 732063432 /nfs/dbraw/zinc/06/34/32/732063432.db2.gz OTGVAOKFVRBORW-UHFFFAOYSA-N -1 1 343.343 -0.497 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(CCN(C(=O)[C@@]4(C)CCOC4)C3)C2)nc1=O ZINC001041757939 732215875 /nfs/dbraw/zinc/21/58/75/732215875.db2.gz FLOMVWRBOUPWFD-DLBZAZTESA-N -1 1 349.435 -0.041 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)C4CCOCC4)C[C@@H]32)nc1=O ZINC001041890090 732248941 /nfs/dbraw/zinc/24/89/41/732248941.db2.gz CFPSHOHEYZEWGX-OCCSQVGLSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)Cn4cccn4)C[C@@H]32)nc1=O ZINC001041941373 732259389 /nfs/dbraw/zinc/25/93/89/732259389.db2.gz IKBPNJZYNLGMKG-OLZOCXBDSA-N -1 1 345.407 -0.572 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCCOC1 ZINC001041995206 732272362 /nfs/dbraw/zinc/27/23/62/732272362.db2.gz URMFULLCNHXKOF-LLVKDONJSA-N -1 1 335.360 -0.483 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@@H]3CCN(Cc4nc(=O)n(C)[n-]4)[C@@H]3C2)c1 ZINC001042018353 732278240 /nfs/dbraw/zinc/27/82/40/732278240.db2.gz IIMJVJVZRRUDKU-WCQYABFASA-N -1 1 345.407 -0.422 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1 ZINC001042179205 732345795 /nfs/dbraw/zinc/34/57/95/732345795.db2.gz JJACGRMXAQATKN-MNOVXSKESA-N -1 1 346.395 -0.728 20 0 EBADMM CC(C)(O)CC(=O)NC[C@@H]1COCCN1C(=O)c1ncccc1[O-] ZINC001061545920 738599036 /nfs/dbraw/zinc/59/90/36/738599036.db2.gz IGFDSZINBLAIEW-LLVKDONJSA-N -1 1 337.376 -0.095 20 0 EBADMM CCc1nc(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)co1 ZINC001042694925 732536532 /nfs/dbraw/zinc/53/65/32/732536532.db2.gz JJURBZXHIRLBAJ-UHFFFAOYSA-N -1 1 346.343 -0.046 20 0 EBADMM CCn1nncc1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042712758 732545891 /nfs/dbraw/zinc/54/58/91/732545891.db2.gz HNPSVMRAXCYUPK-UHFFFAOYSA-N -1 1 346.347 -0.985 20 0 EBADMM CCO[C@@H]1C[C@@H]1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042712539 732546064 /nfs/dbraw/zinc/54/60/64/732546064.db2.gz BXTJPFNHVQBBPU-CMPLNLGQSA-N -1 1 335.360 -0.485 20 0 EBADMM O=C(NCC1(O)CN(C(=O)[C@H]2CCCOC2)C1)c1ncccc1[O-] ZINC001042712961 732546878 /nfs/dbraw/zinc/54/68/78/732546878.db2.gz LMSWUQRMYXSWNM-NSHDSACASA-N -1 1 335.360 -0.483 20 0 EBADMM CCc1ocnc1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042713482 732547344 /nfs/dbraw/zinc/54/73/44/732547344.db2.gz ZWUAJFGPDSMCRT-UHFFFAOYSA-N -1 1 346.343 -0.046 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ccncn2)C1)c1ncccc1[O-] ZINC001042716059 732547834 /nfs/dbraw/zinc/54/78/34/732547834.db2.gz GNANFVHVMFFSDS-UHFFFAOYSA-N -1 1 329.316 -0.806 20 0 EBADMM C[C@]1(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)CCOC1 ZINC001042715792 732547888 /nfs/dbraw/zinc/54/78/88/732547888.db2.gz AHGDYBYSLVSDEN-HNNXBMFYSA-N -1 1 335.360 -0.483 20 0 EBADMM CCn1cc(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)nn1 ZINC001042716545 732548422 /nfs/dbraw/zinc/54/84/22/732548422.db2.gz RHUHDRWDEWXWAY-UHFFFAOYSA-N -1 1 346.347 -0.985 20 0 EBADMM O=C(COCC(F)F)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042720007 732550493 /nfs/dbraw/zinc/55/04/93/732550493.db2.gz PUIXTLAWBTWJRC-UHFFFAOYSA-N -1 1 345.302 -0.628 20 0 EBADMM CO[C@@H]1CC[C@@H](C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)C1 ZINC001042719720 732550707 /nfs/dbraw/zinc/55/07/07/732550707.db2.gz IFZSRVDJKLZWSD-VXGBXAGGSA-N -1 1 349.387 -0.095 20 0 EBADMM O=C(NCC1(O)CN(C(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)C1)c1ncccc1[O-] ZINC001042719745 732550755 /nfs/dbraw/zinc/55/07/55/732550755.db2.gz JXSVQQRJVQEQJQ-WZRBSPASSA-N -1 1 347.371 -0.342 20 0 EBADMM Cc1nc(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)co1 ZINC001042744008 732561940 /nfs/dbraw/zinc/56/19/40/732561940.db2.gz BYSWSOAJFBIUTG-UHFFFAOYSA-N -1 1 332.316 -0.299 20 0 EBADMM CN(C(=O)c1c(F)cncc1F)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042807953 732595984 /nfs/dbraw/zinc/59/59/84/732595984.db2.gz SPWJMIDVBOJIQT-UHFFFAOYSA-N -1 1 338.318 -0.262 20 0 EBADMM C[C@@H]1OCCC[C@@H]1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001042808928 732596301 /nfs/dbraw/zinc/59/63/01/732596301.db2.gz FVVZMGCBPZZAJT-RYUDHWBXSA-N -1 1 349.387 -0.095 20 0 EBADMM O=C(Cc1cncnc1)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001042818620 732604178 /nfs/dbraw/zinc/60/41/78/732604178.db2.gz RJJCPTUKHUCKAJ-UHFFFAOYSA-N -1 1 343.343 -0.877 20 0 EBADMM CN(C(=O)[C@H]1CCn2cncc2C1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043123713 732771888 /nfs/dbraw/zinc/77/18/88/732771888.db2.gz JAXHSYQXWOFOBY-NSHDSACASA-N -1 1 345.407 -0.790 20 0 EBADMM CN(C(=O)c1cnc2ccccn12)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043169993 732803899 /nfs/dbraw/zinc/80/38/99/732803899.db2.gz JDYCBJDXGXBXCQ-UHFFFAOYSA-N -1 1 341.375 -0.288 20 0 EBADMM COc1cc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)ccn1 ZINC001043193294 732816961 /nfs/dbraw/zinc/81/69/61/732816961.db2.gz SSFSRMMVSOKDKW-UHFFFAOYSA-N -1 1 332.364 -0.532 20 0 EBADMM O=C(CCc1cn[nH]c1)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001043219026 732828487 /nfs/dbraw/zinc/82/84/87/732828487.db2.gz XHYVBLVTBGPMRX-UHFFFAOYSA-N -1 1 345.359 -0.554 20 0 EBADMM CN(C(=O)c1cccc2nccn21)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043328126 732872907 /nfs/dbraw/zinc/87/29/07/732872907.db2.gz GKZKBAWAXAYQBX-UHFFFAOYSA-N -1 1 341.375 -0.288 20 0 EBADMM CN(C(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043386142 732902527 /nfs/dbraw/zinc/90/25/27/732902527.db2.gz NQZPFBQNMOMOJC-MOWSAHLDSA-N -1 1 333.392 -0.826 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCN(c2ncccn2)C1 ZINC001061630173 738657841 /nfs/dbraw/zinc/65/78/41/738657841.db2.gz KZBBCWLKOUAJEN-VIFPVBQESA-N -1 1 346.347 -0.057 20 0 EBADMM CN(C(=O)c1cnc([O-])n(C)c1=O)C1CN(C[C@@H]2C[C@]23CCOC3)C1 ZINC001043509338 732977517 /nfs/dbraw/zinc/97/75/17/732977517.db2.gz CLWBWNXOHVYSEA-GTNSWQLSSA-N -1 1 348.403 -0.331 20 0 EBADMM CN(C(=O)c1cnc([O-])n(C)c1=O)C1CN(C[C@@H]2CCCOC2)C1 ZINC001043510994 732978312 /nfs/dbraw/zinc/97/83/12/732978312.db2.gz RAIOWTQDXUFUDX-NSHDSACASA-N -1 1 336.392 -0.331 20 0 EBADMM CN(C(=O)c1ccnc(C2CC2)n1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043994688 733236003 /nfs/dbraw/zinc/23/60/03/733236003.db2.gz PPQPPOPYUDTQTC-UHFFFAOYSA-N -1 1 343.391 -0.268 20 0 EBADMM Cc1nc([C@H](C)N2CC(N(C)C(=O)Cn3c(=O)[n-][nH]c3=O)C2)n[nH]1 ZINC001044236701 733333361 /nfs/dbraw/zinc/33/33/61/733333361.db2.gz PIPWHFRFXSTBMG-ZETCQYMHSA-N -1 1 336.356 -0.980 20 0 EBADMM CCc1ncncc1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044302033 733367246 /nfs/dbraw/zinc/36/72/46/733367246.db2.gz HGMADMPUZKEYCU-UHFFFAOYSA-N -1 1 331.380 -0.583 20 0 EBADMM C[C@@H](C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1)C1CCOCC1 ZINC001044382656 733408653 /nfs/dbraw/zinc/40/86/53/733408653.db2.gz AAVRGNCFTZJYFD-LLVKDONJSA-N -1 1 337.424 -0.186 20 0 EBADMM CCC[C@@H](OC)C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001044397179 733413671 /nfs/dbraw/zinc/41/36/71/733413671.db2.gz DVRCRGPFPOQZIC-GFCCVEGCSA-N -1 1 337.376 -0.095 20 0 EBADMM C[C@H]1C[C@@H](NCc2cnon2)CCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001044664943 733475224 /nfs/dbraw/zinc/47/52/24/733475224.db2.gz WFZIPNNYRYYOLA-YUMQZZPRSA-N -1 1 335.324 -0.551 20 0 EBADMM C[C@@H]1OCCO[C@@H]1C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045074833 733573681 /nfs/dbraw/zinc/57/36/81/733573681.db2.gz AIPIXSZMRYXENY-NHCYSSNCSA-N -1 1 325.369 -0.605 20 0 EBADMM O=C(c1cc2n(n1)CCCO2)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045117106 733589090 /nfs/dbraw/zinc/58/90/90/733589090.db2.gz WGAMRDUOUPVTQV-JTQLQIEISA-N -1 1 347.379 -0.116 20 0 EBADMM Cn1ncc(CCC(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)n1 ZINC001045202185 733620865 /nfs/dbraw/zinc/62/08/65/733620865.db2.gz LMJZQKWHOIRBHG-NSHDSACASA-N -1 1 334.384 -0.648 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)c1cccnn1 ZINC001045231781 733629418 /nfs/dbraw/zinc/62/94/18/733629418.db2.gz KPERHBXDPOXINK-UHFFFAOYSA-N -1 1 329.316 -0.806 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCC[C@H]1CNCc1cnon1 ZINC001045274369 733645252 /nfs/dbraw/zinc/64/52/52/733645252.db2.gz LVLURPXNIMZPDF-JTQLQIEISA-N -1 1 344.335 -0.805 20 0 EBADMM NC(=O)c1cccc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)n1 ZINC001045326875 733669441 /nfs/dbraw/zinc/66/94/41/733669441.db2.gz GLWXCSZPWGDUFB-VIFPVBQESA-N -1 1 345.363 -0.601 20 0 EBADMM CN1C[C@@H](C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CCC1=O ZINC001045325178 733669508 /nfs/dbraw/zinc/66/95/08/733669508.db2.gz ILCARMVVHOILPX-QWRGUYRKSA-N -1 1 336.396 -0.541 20 0 EBADMM Cc1cc(C(=O)NC2(C)CCN(Cc3nc(=O)n(C)[n-]3)CC2)cnn1 ZINC001045419263 733691739 /nfs/dbraw/zinc/69/17/39/733691739.db2.gz SPUKFVOABUXDFY-UHFFFAOYSA-N -1 1 345.407 -0.009 20 0 EBADMM Cc1ccc(C(=O)NC2(C)CCN(Cc3nc(=O)n(C)[n-]3)CC2)nn1 ZINC001045433683 733694875 /nfs/dbraw/zinc/69/48/75/733694875.db2.gz HXQHWYFKBYSOTD-UHFFFAOYSA-N -1 1 345.407 -0.009 20 0 EBADMM C[C@H](C(N)=O)N1CCC(C)(NC(=O)c2c[n-]n3c2nccc3=O)CC1 ZINC001045582609 733732340 /nfs/dbraw/zinc/73/23/40/733732340.db2.gz RJNOCOMIIIAXGK-SNVBAGLBSA-N -1 1 346.391 -0.519 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](N3CCN(C(=O)C4CC4)CC3)C2)nc1=O ZINC001045993017 733789374 /nfs/dbraw/zinc/78/93/74/733789374.db2.gz ROIWIKVBVGHBQP-ZDUSSCGKSA-N -1 1 334.424 -0.763 20 0 EBADMM CC(C)=CC(=O)N1CCN([C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001045996277 733790034 /nfs/dbraw/zinc/79/00/34/733790034.db2.gz CMXJRTZOTHESGA-CQSZACIVSA-N -1 1 348.451 -0.207 20 0 EBADMM Cn1[n-]c(CN2CC[C@](C)(NC(=O)c3cccc(=O)[nH]3)C2)nc1=O ZINC001046215830 733883107 /nfs/dbraw/zinc/88/31/07/733883107.db2.gz IDBSHPPIRRRRQQ-HNNXBMFYSA-N -1 1 332.364 -0.397 20 0 EBADMM Cc1cc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)ncn1 ZINC001046235619 733889462 /nfs/dbraw/zinc/88/94/62/733889462.db2.gz IHMJDOBSIIGOEF-HNNXBMFYSA-N -1 1 331.380 -0.399 20 0 EBADMM CCn1nccc1C(=O)N[C@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001046270575 733899546 /nfs/dbraw/zinc/89/95/46/733899546.db2.gz SLIHEGUBAQFOTB-OAHLLOKOSA-N -1 1 333.396 -0.281 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](C)(NC(=O)c3cn4c(n3)CCC4)C2)nc1=O ZINC001046327878 733909384 /nfs/dbraw/zinc/90/93/84/733909384.db2.gz RLPXHQMYDVBMHK-MRXNPFEDSA-N -1 1 345.407 -0.355 20 0 EBADMM Cn1[n-]c(CN2CC[C@](C)(NC(=O)c3cnn4c3CCC4)C2)nc1=O ZINC001046366613 733918371 /nfs/dbraw/zinc/91/83/71/733918371.db2.gz HCXNNYRNVSRVMT-INIZCTEOSA-N -1 1 345.407 -0.355 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](C)(NC(=O)c3ccc(C(N)=O)o3)C2)nc1=O ZINC001046469518 733942325 /nfs/dbraw/zinc/94/23/25/733942325.db2.gz WUEDEUDLOWWTTF-OAHLLOKOSA-N -1 1 348.363 -0.805 20 0 EBADMM COc1ccnc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001046533655 733957088 /nfs/dbraw/zinc/95/70/88/733957088.db2.gz UEBUCVMRUQIXCN-INIZCTEOSA-N -1 1 346.391 -0.094 20 0 EBADMM Cn1cc(CN2CC[C@](C)(NC(=O)c3cnc([O-])n(C)c3=O)C2)cn1 ZINC001046536481 733958258 /nfs/dbraw/zinc/95/82/58/733958258.db2.gz VJHNMYNIRGOBHF-INIZCTEOSA-N -1 1 346.391 -0.386 20 0 EBADMM Cn1[n-]c(CN2CC[C@](C)(NC(=O)c3cc(C(N)=O)co3)C2)nc1=O ZINC001046615930 733982831 /nfs/dbraw/zinc/98/28/31/733982831.db2.gz KKBATGREGNBFQH-HNNXBMFYSA-N -1 1 348.363 -0.805 20 0 EBADMM CCc1cc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001046730420 734015392 /nfs/dbraw/zinc/01/53/92/734015392.db2.gz OQUQFHHFEMJBHD-INIZCTEOSA-N -1 1 347.423 -0.201 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@@H]2C[C@H]3C[C@@H](C2)N(Cc2n[nH]c(=O)[n-]2)C3)C1 ZINC001047060535 734087556 /nfs/dbraw/zinc/08/75/56/734087556.db2.gz ODYBRFLNWAIBCE-UHTWSYAYSA-N -1 1 348.407 -0.542 20 0 EBADMM O=C(Cn1nccn1)N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047079238 734090026 /nfs/dbraw/zinc/09/00/26/734090026.db2.gz VRPMJBFCEMGRMJ-DCAQKATOSA-N -1 1 332.368 -0.729 20 0 EBADMM CN(C(=O)C[C@@H]1C=CCC1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047298737 734121203 /nfs/dbraw/zinc/12/12/03/734121203.db2.gz NELJTVCCNJZGAU-AGIUHOORSA-N -1 1 335.408 -0.532 20 0 EBADMM CN(C(=O)[C@H]1CC=CCC1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047300649 734122072 /nfs/dbraw/zinc/12/20/72/734122072.db2.gz IZHDCASCZGMVKG-AVGNSLFASA-N -1 1 335.408 -0.532 20 0 EBADMM CC(C(=O)N(C)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O)=C1CCC1 ZINC001047377749 734164142 /nfs/dbraw/zinc/16/41/42/734164142.db2.gz HIDXFZQBRSWNOU-STQMWFEESA-N -1 1 335.408 -0.388 20 0 EBADMM CN(C(=O)C1(C)CCCC1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047476351 734213045 /nfs/dbraw/zinc/21/30/45/734213045.db2.gz GCPMQAXSWMWVAG-RYUDHWBXSA-N -1 1 337.424 -0.308 20 0 EBADMM CN(C(=O)[C@]12C[C@H]1CCCC2)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047520115 734235937 /nfs/dbraw/zinc/23/59/37/734235937.db2.gz GEVWGDQSXGVQAV-ZOPJHEKZSA-N -1 1 349.435 -0.308 20 0 EBADMM CN(C(=O)c1ccc2oc(=O)nc-2[n-]1)[C@H]1CN(CCCO)C[C@@H]1O ZINC001047597689 734275080 /nfs/dbraw/zinc/27/50/80/734275080.db2.gz WTJNTKUGRCBPBU-QWRGUYRKSA-N -1 1 336.348 -0.572 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNCc1nnn(C)n1 ZINC001048223611 734367560 /nfs/dbraw/zinc/36/75/60/734367560.db2.gz VRPXEHBUCPWDNP-WDEREUQCSA-N -1 1 331.380 -0.191 20 0 EBADMM Cn1ccc(C(=O)N2C[C@H]3CN(Cc4n[nH]c(=O)[n-]4)C[C@H]3C2)cc1=O ZINC001048898726 734561646 /nfs/dbraw/zinc/56/16/46/734561646.db2.gz XYAQABPJLCRLRN-TXEJJXNPSA-N -1 1 344.375 -0.587 20 0 EBADMM Cn1c(=O)cccc1C(=O)N1C[C@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C1 ZINC001049049533 734626411 /nfs/dbraw/zinc/62/64/11/734626411.db2.gz FCWOHMAANDTJSE-PHIMTYICSA-N -1 1 344.375 -0.587 20 0 EBADMM COCC1(C(=O)N(C)[C@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)CC1 ZINC001049075436 734638639 /nfs/dbraw/zinc/63/86/39/734638639.db2.gz PBJDWYDJHFWRAY-AAEUAGOBSA-N -1 1 349.387 -0.143 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@@H]2CCCN3C(=O)C2=COCCO2)nc1=O ZINC001049305313 734706023 /nfs/dbraw/zinc/70/60/23/734706023.db2.gz XOOJGTOSGQTXEF-NWDGAFQWSA-N -1 1 349.391 -0.438 20 0 EBADMM Cn1nccc1C(=O)N1CCC[C@H]2[C@H]1CCN2Cc1nc(=O)n(C)[n-]1 ZINC001049373671 734716221 /nfs/dbraw/zinc/71/62/21/734716221.db2.gz PJKFYYGLGMOHFN-NWDGAFQWSA-N -1 1 345.407 -0.279 20 0 EBADMM Cn1cc(C(=O)N2CCC[C@@H]3[C@@H]2CCN3Cc2nc(=O)n(C)[n-]2)nn1 ZINC001049461837 734735525 /nfs/dbraw/zinc/73/55/25/734735525.db2.gz LJXOVCAZXIIXST-NEPJUHHUSA-N -1 1 346.395 -0.884 20 0 EBADMM CC[C@H](OC)C(=O)N1CCC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001049993287 734821348 /nfs/dbraw/zinc/82/13/48/734821348.db2.gz FULNLLZEDTYLHX-XQQFMLRXSA-N -1 1 337.424 -0.044 20 0 EBADMM CNC(=O)NCC(=O)N[C@@H](C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050528958 734919464 /nfs/dbraw/zinc/91/94/64/734919464.db2.gz GEMDSRBISMSHRQ-WDEREUQCSA-N -1 1 349.391 -0.317 20 0 EBADMM CNC(=O)CC(=O)N1CC[C@@H]([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001050538483 734921472 /nfs/dbraw/zinc/92/14/72/734921472.db2.gz SUQXPCWQGXOLIL-GHMZBOCLSA-N -1 1 334.376 -0.110 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)N1CC2(C1)CCN(CCF)CC2 ZINC001050700329 734947131 /nfs/dbraw/zinc/94/71/31/734947131.db2.gz QIWSPDCGXFLJAA-UHFFFAOYSA-N -1 1 348.382 -0.035 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)c2cccnc2)nc1=O ZINC001050784447 734959587 /nfs/dbraw/zinc/95/95/87/734959587.db2.gz UIACHHMIYFYMGF-LBPRGKRZSA-N -1 1 332.364 -0.866 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)c2ccccc2)nc1=O ZINC001050793718 734960798 /nfs/dbraw/zinc/96/07/98/734960798.db2.gz FTVXBEMIOKMEOU-ZDUSSCGKSA-N -1 1 331.376 -0.261 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)nc1=O ZINC001050862980 734982030 /nfs/dbraw/zinc/98/20/30/734982030.db2.gz YWPKHUGZYWEUPC-RMRHIDDWSA-N -1 1 349.435 -0.138 20 0 EBADMM O=C(CN1CCOC[C@@H]1CNC(=O)c1ncccc1[O-])N1CCC1 ZINC001050892165 734990940 /nfs/dbraw/zinc/99/09/40/734990940.db2.gz SDQNSPCUHUXMTQ-LBPRGKRZSA-N -1 1 334.376 -0.550 20 0 EBADMM O=C(NC[C@H]1COCCN1CCN1CCNC1=O)c1ncccc1[O-] ZINC001050891753 734991282 /nfs/dbraw/zinc/99/12/82/734991282.db2.gz QWWRBBNQRKKNJD-LBPRGKRZSA-N -1 1 349.391 -0.757 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)[C@H]2CC2(F)F)nc1=O ZINC001050910719 734998500 /nfs/dbraw/zinc/99/85/00/734998500.db2.gz LITKHOHQGMYYIN-RKDXNWHRSA-N -1 1 331.323 -0.919 20 0 EBADMM Cc1nocc1C(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001051091700 735063584 /nfs/dbraw/zinc/06/35/84/735063584.db2.gz ACNDZWUQDUBTSI-SNVBAGLBSA-N -1 1 336.352 -0.964 20 0 EBADMM NC(=O)C(=O)NC[C@H]1CCCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001062220566 738877973 /nfs/dbraw/zinc/87/79/73/738877973.db2.gz LMBFHQCYIZHWNQ-SNVBAGLBSA-N -1 1 347.375 -0.344 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)C2CC3(CC3)C2)nc1=O ZINC001051104825 735067687 /nfs/dbraw/zinc/06/76/87/735067687.db2.gz BUMPILMMCNCCHU-GFCCVEGCSA-N -1 1 335.408 -0.384 20 0 EBADMM Cc1cccc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001051444538 735153764 /nfs/dbraw/zinc/15/37/64/735153764.db2.gz INGABTBQBGBXIY-LLVKDONJSA-N -1 1 332.364 -0.155 20 0 EBADMM CCc1cc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC001051452131 735156319 /nfs/dbraw/zinc/15/63/19/735156319.db2.gz WFFZGOKRZRJKME-JTQLQIEISA-N -1 1 335.368 -0.573 20 0 EBADMM O=C(C[C@H]1CCOC1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051507507 735215184 /nfs/dbraw/zinc/21/51/84/735215184.db2.gz RJQBSUACPOLKML-MNOVXSKESA-N -1 1 325.369 -0.746 20 0 EBADMM Cc1[nH]nc(C(=O)N2CCO[C@H](CNCc3nccn3C)C2)c1[O-] ZINC001051551169 735228784 /nfs/dbraw/zinc/22/87/84/735228784.db2.gz SJWOBJICXSSPOK-LLVKDONJSA-N -1 1 334.380 -0.212 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)ncn1 ZINC001051556244 735230514 /nfs/dbraw/zinc/23/05/14/735230514.db2.gz SNLCVTQQBOYRMM-JTQLQIEISA-N -1 1 333.352 -0.760 20 0 EBADMM C[C@@H](NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1)c1nnnn1C ZINC001051566653 735238340 /nfs/dbraw/zinc/23/83/40/735238340.db2.gz PDIHTEMRBNATCX-GHMZBOCLSA-N -1 1 347.379 -0.498 20 0 EBADMM Cc1ccnc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001051582088 735247895 /nfs/dbraw/zinc/24/78/95/735247895.db2.gz VUVYUGUISPIYAV-SNVBAGLBSA-N -1 1 333.352 -0.760 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNC(=O)Cc1nc[nH]n1 ZINC001052422616 735611727 /nfs/dbraw/zinc/61/17/27/735611727.db2.gz SESOEWZLBBRBCS-MNOVXSKESA-N -1 1 344.375 -0.028 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNC(=O)Cc1nnc[nH]1 ZINC001052422616 735611730 /nfs/dbraw/zinc/61/17/30/735611730.db2.gz SESOEWZLBBRBCS-MNOVXSKESA-N -1 1 344.375 -0.028 20 0 EBADMM CNC(=O)NCC(=O)N1C[C@@H](CNC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC001052768145 735684299 /nfs/dbraw/zinc/68/42/99/735684299.db2.gz ASXJXRLACXZSKX-GHMZBOCLSA-N -1 1 349.391 -0.460 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)c3ncccn3)CC2)nc1=O ZINC001052811318 735697581 /nfs/dbraw/zinc/69/75/81/735697581.db2.gz FWEABXBBJHDCRL-NSHDSACASA-N -1 1 331.380 -0.317 20 0 EBADMM Cn1cc(CN2CC3(C2)CN(C(=O)c2ncccc2[O-])CCO3)nn1 ZINC001053231820 735785844 /nfs/dbraw/zinc/78/58/44/735785844.db2.gz BSIWTWFBBORAMG-UHFFFAOYSA-N -1 1 344.375 -0.357 20 0 EBADMM Cn1cnnc1CN1CC2(C1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC001053232577 735786345 /nfs/dbraw/zinc/78/63/45/735786345.db2.gz SLPUKBNMIMMJLX-UHFFFAOYSA-N -1 1 344.375 -0.357 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CC[C@@H](CNC(=O)[C@H]2CC24CC4)O3)nc1=O ZINC001053669454 735960661 /nfs/dbraw/zinc/96/06/61/735960661.db2.gz ZOKDBLHHWQULQP-NWDGAFQWSA-N -1 1 347.419 -0.242 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)C2CCCC2)CO3)nc1=O ZINC001053716101 735977541 /nfs/dbraw/zinc/97/75/41/735977541.db2.gz MHRZRYYATWPPPC-LBPRGKRZSA-N -1 1 335.408 -0.242 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)c2ccncc2)CO3)nc1=O ZINC001053721303 735978349 /nfs/dbraw/zinc/97/83/49/735978349.db2.gz SAJBELCALUHNDN-GFCCVEGCSA-N -1 1 344.375 -0.723 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)C[C@@H]2C=CCC2)CO3)nc1=O ZINC001053733895 735984004 /nfs/dbraw/zinc/98/40/04/735984004.db2.gz GYHALNGDWUOLPJ-OLZOCXBDSA-N -1 1 347.419 -0.076 20 0 EBADMM Cc1[nH]ccc1C(=O)N[C@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053769940 736005513 /nfs/dbraw/zinc/00/55/13/736005513.db2.gz KOQPEQWESNYOEJ-LLVKDONJSA-N -1 1 346.391 -0.482 20 0 EBADMM Cn1ncc(CN2CC3(C2)C[C@H](NC(=O)c2ncccc2[O-])CO3)n1 ZINC001053784702 736014855 /nfs/dbraw/zinc/01/48/55/736014855.db2.gz VBIFBJCNOWJPLW-NSHDSACASA-N -1 1 344.375 -0.311 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)[C@@H]2CC2(F)F)CO3)nc1=O ZINC001053797044 736026332 /nfs/dbraw/zinc/02/63/32/736026332.db2.gz SOBAAABIFOVMCT-BDAKNGLRSA-N -1 1 343.334 -0.777 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)[C@H]2C[C@H]4C[C@H]4C2)CO3)nc1=O ZINC001053900001 736084537 /nfs/dbraw/zinc/08/45/37/736084537.db2.gz CQQCPIUTLIXCRJ-LOWDOPEQSA-N -1 1 347.419 -0.386 20 0 EBADMM Cc1nocc1C(=O)N[C@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053914193 736093551 /nfs/dbraw/zinc/09/35/51/736093551.db2.gz ISSGXEWAYBWHLH-SNVBAGLBSA-N -1 1 348.363 -0.822 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)C2CC4(CC4)C2)CO3)nc1=O ZINC001053921099 736095504 /nfs/dbraw/zinc/09/55/04/736095504.db2.gz APRTYCRKRXCAEA-LBPRGKRZSA-N -1 1 347.419 -0.242 20 0 EBADMM C[C@H]1CN(C(=O)CCc2ccncn2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054697658 736232848 /nfs/dbraw/zinc/23/28/48/736232848.db2.gz WCYIOMNRPAGNDE-JQWIXIFHSA-N -1 1 331.380 -0.130 20 0 EBADMM C[C@H]1CN(C(=O)c2cnc3n[nH]nc3c2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054755895 736241334 /nfs/dbraw/zinc/24/13/34/736241334.db2.gz XWRHEQBLJJPZFP-OIBJUYFYSA-N -1 1 343.351 -0.573 20 0 EBADMM C[C@H]1CN(C(=O)c2cnc3n2CCOC3)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054778538 736245557 /nfs/dbraw/zinc/24/55/57/736245557.db2.gz WPHIYKVNTODLIS-UWVGGRQHSA-N -1 1 347.379 -0.513 20 0 EBADMM Cc1nc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H](C)C2)c(=O)[nH]c1C ZINC001054791084 736247146 /nfs/dbraw/zinc/24/71/46/736247146.db2.gz MZQFQWPQIWOBEQ-XVKPBYJWSA-N -1 1 347.379 -0.539 20 0 EBADMM C[C@H]1CN(C(=O)c2ccn(C)c(=O)c2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054811274 736248014 /nfs/dbraw/zinc/24/80/14/736248014.db2.gz AAAXVILPSMVZHQ-ONGXEEELSA-N -1 1 332.364 -0.541 20 0 EBADMM Cc1nccnc1CN[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1C ZINC001054860898 736257245 /nfs/dbraw/zinc/25/72/45/736257245.db2.gz SHVFEQRMSJNGHX-LDYMZIIASA-N -1 1 345.363 -0.368 20 0 EBADMM C[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1NCc1cnns1 ZINC001054860900 736257440 /nfs/dbraw/zinc/25/74/40/736257440.db2.gz SHYNRDDQEGVAIM-HTRCEHHLSA-N -1 1 337.365 -0.615 20 0 EBADMM C[C@H]1CN(C(=O)c2ncnc3[nH]ccc32)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054863481 736258577 /nfs/dbraw/zinc/25/85/77/736258577.db2.gz VPKBHJXMCSYTIB-WCBMZHEXSA-N -1 1 342.363 -0.017 20 0 EBADMM COCCOCC(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057220117 737093657 /nfs/dbraw/zinc/09/36/57/737093657.db2.gz ZGKWEGCGBVHPFV-UHFFFAOYSA-N -1 1 341.364 -0.999 20 0 EBADMM Cc1cc(C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])[nH]n1 ZINC001057410076 737229826 /nfs/dbraw/zinc/22/98/26/737229826.db2.gz BPWLTNGQCOSPGM-UHFFFAOYSA-N -1 1 333.348 -0.317 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccc1[O-])c1cnns1 ZINC001057410273 737229875 /nfs/dbraw/zinc/22/98/75/737229875.db2.gz KMKWLSXBHWVURP-UHFFFAOYSA-N -1 1 337.361 -0.497 20 0 EBADMM C[C@H]1OCC[C@H]1C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410074 737230149 /nfs/dbraw/zinc/23/01/49/737230149.db2.gz BPMRWGCNUHEHSB-VXGBXAGGSA-N -1 1 337.376 -0.237 20 0 EBADMM O=C(CCc1nnc[nH]1)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410374 737230406 /nfs/dbraw/zinc/23/04/06/737230406.db2.gz OMGGYKKHMQLDOZ-UHFFFAOYSA-N -1 1 348.363 -0.911 20 0 EBADMM O=C(CCc1nc[nH]n1)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410374 737230417 /nfs/dbraw/zinc/23/04/17/737230417.db2.gz OMGGYKKHMQLDOZ-UHFFFAOYSA-N -1 1 348.363 -0.911 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccc1[O-])c1cccc(=O)[nH]1 ZINC001057410599 737230695 /nfs/dbraw/zinc/23/06/95/737230695.db2.gz SXIADLPIBCRSFI-UHFFFAOYSA-N -1 1 346.343 -0.248 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccc1[O-])c1cccnn1 ZINC001057410313 737230854 /nfs/dbraw/zinc/23/08/54/737230854.db2.gz LSCWBISUSDTGTD-UHFFFAOYSA-N -1 1 331.332 -0.558 20 0 EBADMM Cc1ccnc(C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])n1 ZINC001057410329 737230892 /nfs/dbraw/zinc/23/08/92/737230892.db2.gz MKLBMKJIPBDDQK-UHFFFAOYSA-N -1 1 345.359 -0.250 20 0 EBADMM Cc1cnn(CC(=O)NCCN(CCO)C(=O)c2ncccc2[O-])c1 ZINC001057410804 737231094 /nfs/dbraw/zinc/23/10/94/737231094.db2.gz XFJKGNZPMDNCLX-UHFFFAOYSA-N -1 1 347.375 -0.457 20 0 EBADMM O=C(CCn1ccnn1)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410778 737231228 /nfs/dbraw/zinc/23/12/28/737231228.db2.gz WRBOQUVSHSFVCE-UHFFFAOYSA-N -1 1 348.363 -0.980 20 0 EBADMM O=C(N[C@@H]1CCCN(c2ncccn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001058179262 737699073 /nfs/dbraw/zinc/69/90/73/737699073.db2.gz SVDUKOHVKWOUDE-SNVBAGLBSA-N -1 1 340.347 -0.394 20 0 EBADMM Cc1nsc(N2CC[C@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)n1 ZINC001058401885 737814930 /nfs/dbraw/zinc/81/49/30/737814930.db2.gz RLIWFYLVGNBCID-QMMMGPOBSA-N -1 1 346.376 -0.414 20 0 EBADMM O=C(Cc1cnoc1)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001058482211 737850072 /nfs/dbraw/zinc/85/00/72/737850072.db2.gz VGFSIGDBQBZFHJ-UHFFFAOYSA-N -1 1 334.332 -0.431 20 0 EBADMM O=C(NCCN(CCO)C(=O)[C@@H]1C[C@]12CCOC2)c1ncccc1[O-] ZINC001058829383 738013162 /nfs/dbraw/zinc/01/31/62/738013162.db2.gz UNRYWQUBDCLBGR-SJCJKPOMSA-N -1 1 349.387 -0.236 20 0 EBADMM O=C(NCCN(CCO)C(=O)[C@H]1C[C@]12CCOC2)c1ncccc1[O-] ZINC001058829382 738013283 /nfs/dbraw/zinc/01/32/83/738013283.db2.gz UNRYWQUBDCLBGR-PXAZEXFGSA-N -1 1 349.387 -0.236 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ccnnc1)c1ncccc1[O-] ZINC001058936927 738080285 /nfs/dbraw/zinc/08/02/85/738080285.db2.gz GGHIEAVJZYCLEE-UHFFFAOYSA-N -1 1 331.332 -0.558 20 0 EBADMM Cc1ccnc(N[C@H]2C[C@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)n1 ZINC001059265355 738141486 /nfs/dbraw/zinc/14/14/86/738141486.db2.gz TYLRSYWFHJMQKT-MGCOHNPYSA-N -1 1 340.347 -0.111 20 0 EBADMM O=C(NCC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1COC(=O)N1 ZINC001059516939 738187864 /nfs/dbraw/zinc/18/78/64/738187864.db2.gz XZSDTJJVXLVYNG-MNOVXSKESA-N -1 1 348.359 -0.136 20 0 EBADMM O=C(NCC[C@H]1CCN(C(=O)[C@@H]2COC(=O)N2)C1)c1ncccc1[O-] ZINC001059573457 738200234 /nfs/dbraw/zinc/20/02/34/738200234.db2.gz CKNNCGYEIPLVCL-QWRGUYRKSA-N -1 1 348.359 -0.136 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1(CNC(=O)C2CCC2)CCC1 ZINC001062381152 738909579 /nfs/dbraw/zinc/90/95/79/738909579.db2.gz SCXGZJZLBPAFFD-UHFFFAOYSA-N -1 1 348.403 -0.118 20 0 EBADMM Cn1nnc(C(=O)NC2(CNC(=O)c3ncccc3[O-])CCC2)n1 ZINC001062839837 739002501 /nfs/dbraw/zinc/00/25/01/739002501.db2.gz HJRNIDHGHZYHIR-UHFFFAOYSA-N -1 1 331.336 -0.607 20 0 EBADMM O=C(Cn1cncn1)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839103 739002848 /nfs/dbraw/zinc/00/28/48/739002848.db2.gz IESIDCPEEARJKD-UHFFFAOYSA-N -1 1 330.348 -0.152 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)NC1(CNC(=O)CCn2cc[n-]c(=O)c2=O)CCC1 ZINC001063650588 739172177 /nfs/dbraw/zinc/17/21/77/739172177.db2.gz CPZMBSBCXAZYQA-VXGBXAGGSA-N -1 1 348.403 -0.262 20 0 EBADMM O=C(Cc1cc[nH]n1)NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001065074333 739511334 /nfs/dbraw/zinc/51/13/34/739511334.db2.gz VGYPDAMODVAELP-LBPRGKRZSA-N -1 1 345.359 -0.290 20 0 EBADMM O=C(Cc1ccon1)NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001065074629 739511745 /nfs/dbraw/zinc/51/17/45/739511745.db2.gz XJJWZVUWSAEBPH-LBPRGKRZSA-N -1 1 346.343 -0.025 20 0 EBADMM CN(CCCN(C)C(=O)C1(NC(N)=O)CC1)C(=O)c1ncccc1[O-] ZINC001067231491 740109094 /nfs/dbraw/zinc/10/90/94/740109094.db2.gz UXIKIIPCEXMOCM-UHFFFAOYSA-N -1 1 349.391 -0.091 20 0 EBADMM O=C(NC[C@@H]1CN(c2cnc(F)cn2)C[C@@H]1O)c1ncccc1[O-] ZINC001067665237 740177695 /nfs/dbraw/zinc/17/76/95/740177695.db2.gz LNJZZAKCOOBGNA-KOLCDFICSA-N -1 1 333.323 -0.057 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CC(Nc2ncccn2)C1 ZINC001069757211 740578916 /nfs/dbraw/zinc/57/89/16/740578916.db2.gz SAISJMYXCOICSA-UHFFFAOYSA-N -1 1 340.347 -0.077 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@]2(CCN(CC(N)=O)C2)O1 ZINC001071162616 741072620 /nfs/dbraw/zinc/07/26/20/741072620.db2.gz WFIDUMDYKGIUKN-MEDUHNTESA-N -1 1 334.376 -0.422 20 0 EBADMM Cc1cnc(C(=O)N[C@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001071325738 741121469 /nfs/dbraw/zinc/12/14/69/741121469.db2.gz XYVWWBMCHYUIFX-NEPJUHHUSA-N -1 1 345.407 -0.010 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2cccc(=O)[nH]2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071408563 741133434 /nfs/dbraw/zinc/13/34/34/741133434.db2.gz JGIWOZIVJFGGHC-WDEREUQCSA-N -1 1 346.391 -0.008 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)Cc2cncnc2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071469716 741142831 /nfs/dbraw/zinc/14/28/31/741142831.db2.gz AQCUXYDCGVJGNP-DGCLKSJQSA-N -1 1 345.407 -0.390 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)Cc2ccn(C)n2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071504843 741148731 /nfs/dbraw/zinc/14/87/31/741148731.db2.gz MDNZKPLPWDBUBP-AAEUAGOBSA-N -1 1 347.423 -0.446 20 0 EBADMM CC(C)C(=O)N1C[C@H](C)[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001071620180 741178559 /nfs/dbraw/zinc/17/85/59/741178559.db2.gz AYRJKYXOKFNUMQ-NWDGAFQWSA-N -1 1 336.392 -0.454 20 0 EBADMM COC(=O)NCC(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001071715583 741201413 /nfs/dbraw/zinc/20/14/13/741201413.db2.gz YXGVYADOWRXLPS-NXEZZACHSA-N -1 1 336.348 -0.280 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)Cc2cnoc2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071759235 741212917 /nfs/dbraw/zinc/21/29/17/741212917.db2.gz FWWWBPQAWXEINK-PWSUYJOCSA-N -1 1 334.380 -0.192 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)Cc2c[nH]cn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071847518 741232653 /nfs/dbraw/zinc/23/26/53/741232653.db2.gz LIHOGKRLDMTKFQ-QWRGUYRKSA-N -1 1 333.396 -0.457 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2ccnnc2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071848914 741233203 /nfs/dbraw/zinc/23/32/03/741233203.db2.gz ZPSKACYISDPHDL-ZYHUDNBSSA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)CN1CCCO ZINC001071908207 741242729 /nfs/dbraw/zinc/24/27/29/741242729.db2.gz OYSLEMDZZKDXGL-NEPJUHHUSA-N -1 1 348.407 -0.577 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2cccnn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071927387 741249452 /nfs/dbraw/zinc/24/94/52/741249452.db2.gz MUCQRTQHBKIQEA-GHMZBOCLSA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2cccnn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071927388 741249547 /nfs/dbraw/zinc/24/95/47/741249547.db2.gz MUCQRTQHBKIQEA-MNOVXSKESA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)CNC(=O)C1CC1 ZINC001071953274 741264200 /nfs/dbraw/zinc/26/42/00/741264200.db2.gz XHDBYQOSBKIYIL-ZYHUDNBSSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)Cn2ccnc2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001072123309 741310019 /nfs/dbraw/zinc/31/00/19/741310019.db2.gz QUIACKVXIIAQQA-NWDGAFQWSA-N -1 1 333.396 -0.526 20 0 EBADMM C[C@@H]1CN(C(=O)CC(=O)N(C)C)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001072125696 741311315 /nfs/dbraw/zinc/31/13/15/741311315.db2.gz NUGIWAAOADCWHO-GHMZBOCLSA-N -1 1 334.376 -0.158 20 0 EBADMM C[C@H]1CN(C(=O)C2(C)CC2)C[C@@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001072250503 741339338 /nfs/dbraw/zinc/33/93/38/741339338.db2.gz RJHTVYPXNWTZFB-RYUDHWBXSA-N -1 1 348.403 -0.310 20 0 EBADMM COCCC(=O)N[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1C ZINC001072427533 741395734 /nfs/dbraw/zinc/39/57/34/741395734.db2.gz WHBZYCVPUHLCNG-ONGXEEELSA-N -1 1 348.363 -0.969 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)CCn4cccn4)C3)C2)nc1=O ZINC001072482392 741413401 /nfs/dbraw/zinc/41/34/01/741413401.db2.gz IKTHCGSQJODHRK-UHFFFAOYSA-N -1 1 345.407 -0.571 20 0 EBADMM Cc1ocnc1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072528675 741424511 /nfs/dbraw/zinc/42/45/11/741424511.db2.gz WEJZHDYVPRAKQL-UHFFFAOYSA-N -1 1 332.364 -0.247 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)C[C@@](C)(O)C4CC4)C3)C2)nc1=O ZINC001072640044 741449201 /nfs/dbraw/zinc/44/92/01/741449201.db2.gz IHPZFROWUBSUPB-MRXNPFEDSA-N -1 1 349.435 -0.306 20 0 EBADMM C[C@@H]1C[C@H](C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)CO1 ZINC001072871427 741509150 /nfs/dbraw/zinc/50/91/50/741509150.db2.gz IIAIGXNJEFZXNL-NEPJUHHUSA-N -1 1 335.408 -0.432 20 0 EBADMM Cc1cc(CN2CCC3(CN(C(=O)c4n[nH]c(=O)[n-]c4=O)C3)C2)on1 ZINC001072898300 741515402 /nfs/dbraw/zinc/51/54/02/741515402.db2.gz RUISAYJVELJTGN-UHFFFAOYSA-N -1 1 346.347 -0.073 20 0 EBADMM CC1(NC(=O)c2ncccc2[O-])CCN(C(=O)CCNC(N)=O)CC1 ZINC001073018306 741542148 /nfs/dbraw/zinc/54/21/48/741542148.db2.gz WUQMXCWFXQDTKB-UHFFFAOYSA-N -1 1 349.391 -0.044 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)[C@H]4C[C@@]45CCOC5)C3)C2)nc1=O ZINC001073111372 741562440 /nfs/dbraw/zinc/56/24/40/741562440.db2.gz ZGSLSOBQMZLXEK-SJKOYZFVSA-N -1 1 347.419 -0.431 20 0 EBADMM Cn1nnnc1CN1CCCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001073582738 741667722 /nfs/dbraw/zinc/66/77/22/741667722.db2.gz QCSCMXHAJRWTCH-NSHDSACASA-N -1 1 347.379 -0.668 20 0 EBADMM Cn1ncnc1CN1CCCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001073583944 741668714 /nfs/dbraw/zinc/66/87/14/741668714.db2.gz JAYTYCQOAMRUBT-LBPRGKRZSA-N -1 1 346.391 -0.063 20 0 EBADMM COCC(=O)N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1C ZINC001073633370 741690039 /nfs/dbraw/zinc/69/00/39/741690039.db2.gz XXCNXXQPDLGTQB-UWVGGRQHSA-N -1 1 348.363 -0.969 20 0 EBADMM CNC(=O)NCC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC001073901519 741782641 /nfs/dbraw/zinc/78/26/41/741782641.db2.gz YAJHLZFBPPNOCQ-MNOVXSKESA-N -1 1 349.391 -0.317 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1NC(=O)CCNC(N)=O ZINC001073953905 741793476 /nfs/dbraw/zinc/79/34/76/741793476.db2.gz FVGCJMPSOPKOSU-WDEREUQCSA-N -1 1 349.391 -0.188 20 0 EBADMM CC(C)CC(=O)N1CC[C@@H]2OCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1 ZINC001074148156 741840586 /nfs/dbraw/zinc/84/05/86/741840586.db2.gz QLWYVULYZMJLRZ-STQMWFEESA-N -1 1 337.424 -0.044 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H]3CCN(C(=O)c4ccc[nH]4)C[C@H]32)nc1=O ZINC001074170242 741848149 /nfs/dbraw/zinc/84/81/49/741848149.db2.gz KQLXTLIHAWLTJZ-OLZOCXBDSA-N -1 1 346.391 -0.448 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H]3CCN(C(=O)c4ccon4)C[C@H]32)nc1=O ZINC001074184100 741853608 /nfs/dbraw/zinc/85/36/08/741853608.db2.gz GJZXYFYECDKFGA-VXGBXAGGSA-N -1 1 348.363 -0.788 20 0 EBADMM CCN1CCO[C@@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@H]21 ZINC001074356034 741910710 /nfs/dbraw/zinc/91/07/10/741910710.db2.gz BVGMBGATLADANF-CHWSQXEVSA-N -1 1 336.392 -0.752 20 0 EBADMM CCN1CCO[C@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@@H]21 ZINC001074356037 741910952 /nfs/dbraw/zinc/91/09/52/741910952.db2.gz BVGMBGATLADANF-STQMWFEESA-N -1 1 336.392 -0.752 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@@H]1CCN(C)C(=O)C1 ZINC001074716916 742085497 /nfs/dbraw/zinc/08/54/97/742085497.db2.gz STHDIAATVSAEMI-AXFHLTTASA-N -1 1 336.396 -0.542 20 0 EBADMM COCC(=O)N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H](C)C1 ZINC001074769273 742103323 /nfs/dbraw/zinc/10/33/23/742103323.db2.gz XYIVFPXNYYCYNA-VHSXEESVSA-N -1 1 348.363 -0.827 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@H]1CCNC(=O)CC1 ZINC001074771878 742103849 /nfs/dbraw/zinc/10/38/49/742103849.db2.gz SNLSHULBXYACRE-AXFHLTTASA-N -1 1 336.396 -0.494 20 0 EBADMM CCC(=O)N1CC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1C ZINC001075067805 742172761 /nfs/dbraw/zinc/17/27/61/742172761.db2.gz VJSYNWWVQVIRMD-NEPJUHHUSA-N -1 1 336.392 -0.168 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)c4ccncc4)[C@@H]3C2)nc1=O ZINC001075486946 742232974 /nfs/dbraw/zinc/23/29/74/742232974.db2.gz XGTBLZFXKIAADZ-QWHCGFSZSA-N -1 1 328.376 -0.150 20 0 EBADMM Cc1nn(C)cc1C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001075616109 742261641 /nfs/dbraw/zinc/26/16/41/742261641.db2.gz JRZCPKHHSWMOIV-WCQYABFASA-N -1 1 345.407 -0.503 20 0 EBADMM CS(=O)(=O)CCN1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001075722491 742281553 /nfs/dbraw/zinc/28/15/53/742281553.db2.gz WUWFPMZVROKTKI-NWDGAFQWSA-N -1 1 339.417 -0.022 20 0 EBADMM CO[C@H](C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)C1CC1 ZINC001075745232 742287489 /nfs/dbraw/zinc/28/74/89/742287489.db2.gz ALMMAEZHASVSBD-SCRDCRAPSA-N -1 1 335.408 -0.434 20 0 EBADMM CC[C@@H]1OCC[C@H]1C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001076071889 742371366 /nfs/dbraw/zinc/37/13/66/742371366.db2.gz SMMGWQHDEQUJHJ-DGAVXFQQSA-N -1 1 349.435 -0.044 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)[C@]4(F)CCOC4)[C@@H]3C2)nc1=O ZINC001076172093 742393881 /nfs/dbraw/zinc/39/38/81/742393881.db2.gz YAVAYHBTBOZYOP-RWSFTLGLSA-N -1 1 339.371 -0.730 20 0 EBADMM C[C@@H](CCNC(=O)[C@@H]1COCCN1C)NC(=O)c1ncccc1[O-] ZINC001076205350 742404288 /nfs/dbraw/zinc/40/42/88/742404288.db2.gz ASHMALBCWFCASO-RYUDHWBXSA-N -1 1 336.392 -0.258 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)CN1CCCNC1=O ZINC001076245032 742419293 /nfs/dbraw/zinc/41/92/93/742419293.db2.gz LMEPHLKPEZWFES-NSHDSACASA-N -1 1 349.391 -0.173 20 0 EBADMM Cc1conc1C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001076437104 742465626 /nfs/dbraw/zinc/46/56/26/742465626.db2.gz BPNMDRVEQKZRDZ-WDEREUQCSA-N -1 1 332.364 -0.249 20 0 EBADMM C[C@@H](CCNC(=O)c1c[n-]n2c1nccc2=O)NC(=O)c1ncn[nH]1 ZINC001076568444 742498881 /nfs/dbraw/zinc/49/88/81/742498881.db2.gz ISAUUXPTOQJEOZ-QMMMGPOBSA-N -1 1 344.335 -0.921 20 0 EBADMM C[C@@H](CCNC(=O)c1c[n-]n2c1nccc2=O)NC(=O)c1nc[nH]n1 ZINC001076568444 742498883 /nfs/dbraw/zinc/49/88/83/742498883.db2.gz ISAUUXPTOQJEOZ-QMMMGPOBSA-N -1 1 344.335 -0.921 20 0 EBADMM C[C@@H](CCNC(=O)CCc1cnc[nH]1)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001077015002 742695930 /nfs/dbraw/zinc/69/59/30/742695930.db2.gz WTJFTDVUQHPITN-QMMMGPOBSA-N -1 1 349.351 -0.737 20 0 EBADMM O=C(N[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1O)c1ccc2cncn2c1 ZINC001077396271 742951412 /nfs/dbraw/zinc/95/14/12/742951412.db2.gz XSXTVGKUQDXQGJ-VXGBXAGGSA-N -1 1 343.347 -0.867 20 0 EBADMM C[C@@H](CCNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)C(C)(C)C ZINC001077402361 742955898 /nfs/dbraw/zinc/95/58/98/742955898.db2.gz LNXRPIWNNILFBE-NSHDSACASA-N -1 1 338.408 -0.016 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)C[C@@H]3C[C@H]3C3CC3)C2)nc1=O ZINC001077418500 742964395 /nfs/dbraw/zinc/96/43/95/742964395.db2.gz RKOIYZVPJNPNJZ-WUHRBBMRSA-N -1 1 335.408 -0.794 20 0 EBADMM C[C@@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)c1cn[nH]c1 ZINC001077488411 743013083 /nfs/dbraw/zinc/01/30/83/743013083.db2.gz POKBVOUYXJALKD-QMMMGPOBSA-N -1 1 344.335 -0.921 20 0 EBADMM CCCc1[nH]ccc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001077545899 743066578 /nfs/dbraw/zinc/06/65/78/743066578.db2.gz CSURDFUPDKLTQZ-CHWSQXEVSA-N -1 1 348.407 -0.636 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)C3CCC(F)CC3)C2)nc1=O ZINC001077626117 743127173 /nfs/dbraw/zinc/12/71/73/743127173.db2.gz CBXMBABTEZAIEW-KIDURHIOSA-N -1 1 341.387 -0.702 20 0 EBADMM Cc1cnn(CC(=O)N2CCCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001078350869 743598241 /nfs/dbraw/zinc/59/82/41/743598241.db2.gz DLLWRVKAEAJZBM-GFCCVEGCSA-N -1 1 349.395 -0.577 20 0 EBADMM O=C([C@@H]1CCOC1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078352213 743600199 /nfs/dbraw/zinc/60/01/99/743600199.db2.gz ANFLUZZDBWAFIV-GHMZBOCLSA-N -1 1 325.369 -0.746 20 0 EBADMM Cc1cc(C(=O)N2CCCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)cnn1 ZINC001078387558 743619788 /nfs/dbraw/zinc/61/97/88/743619788.db2.gz HTNRUUBLGGXSRB-LBPRGKRZSA-N -1 1 347.379 -0.370 20 0 EBADMM CC(C)(O)CC(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078389311 743621943 /nfs/dbraw/zinc/62/19/43/743621943.db2.gz KGSIEQTVWIOKIN-JTQLQIEISA-N -1 1 327.385 -0.622 20 0 EBADMM O=C(Cn1cccn1)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078557063 743693567 /nfs/dbraw/zinc/69/35/67/743693567.db2.gz ZQGCZCJUKBTLOH-GHMZBOCLSA-N -1 1 331.380 -0.076 20 0 EBADMM O=C(CN1CN=NC1=O)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078601823 743705321 /nfs/dbraw/zinc/70/53/21/743705321.db2.gz MNCDIMVEQKHUEI-RKDXNWHRSA-N -1 1 348.367 -0.975 20 0 EBADMM Cn1cc(C(=O)N[C@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)[nH]c1=O ZINC001078721307 743724868 /nfs/dbraw/zinc/72/48/68/743724868.db2.gz ZQIUWISAOOCFRN-UWVGGRQHSA-N -1 1 347.379 -0.220 20 0 EBADMM O=C(Cc1ncc[nH]1)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078761724 743731365 /nfs/dbraw/zinc/73/13/65/743731365.db2.gz PZFQDCYWTBWDSC-UWVGGRQHSA-N -1 1 331.380 -0.007 20 0 EBADMM O=C(Cc1ncc[nH]1)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078761726 743731416 /nfs/dbraw/zinc/73/14/16/743731416.db2.gz PZFQDCYWTBWDSC-ZJUUUORDSA-N -1 1 331.380 -0.007 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCCN(C(=O)CNC(N)=O)CC1 ZINC001078925353 743746288 /nfs/dbraw/zinc/74/62/88/743746288.db2.gz LWAMYSQHIRWSGO-NSHDSACASA-N -1 1 349.391 -0.091 20 0 EBADMM COc1c(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC000980274578 805824239 /nfs/dbraw/zinc/82/42/39/805824239.db2.gz HSSVNTOBOUAOJW-JTQLQIEISA-N -1 1 349.395 -0.803 20 0 EBADMM C[C@@H]1C[C@@H](CNCc2cnon2)CN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000980640893 805972410 /nfs/dbraw/zinc/97/24/10/805972410.db2.gz AKNHCCXZHJSSSI-SFYZADRCSA-N -1 1 335.324 -0.694 20 0 EBADMM CCn1ccc(CC(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC000981199787 806106578 /nfs/dbraw/zinc/10/65/78/806106578.db2.gz CIJODJNCNXXNFN-UHFFFAOYSA-N -1 1 347.423 -0.398 20 0 EBADMM Cc1nnc(CN[C@H]2CCC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC000981201901 806107980 /nfs/dbraw/zinc/10/79/80/806107980.db2.gz RIEPKBFKBLSAOT-DTWKUNHWSA-N -1 1 349.351 -0.195 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N[C@@H]1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000981202426 806108246 /nfs/dbraw/zinc/10/82/46/806108246.db2.gz KEXCAQDEJLNTOK-QCNOEVLYSA-N -1 1 346.387 -0.077 20 0 EBADMM C[C@H]1OCC[C@@]1(C)C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000981677185 806234884 /nfs/dbraw/zinc/23/48/84/806234884.db2.gz VWWSNFWDWBIVTK-MLGOLLRUSA-N -1 1 337.424 -0.042 20 0 EBADMM CO[C@@H](C(=O)N1CC2(C1)CCCN(Cc1nc(=O)n(C)[n-]1)C2)C1CC1 ZINC000981741985 806258202 /nfs/dbraw/zinc/25/82/02/806258202.db2.gz CQAGXEBUAMKAMZ-CQSZACIVSA-N -1 1 349.435 -0.042 20 0 EBADMM C[C@H](C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1)c1cncnc1 ZINC000981816974 806288675 /nfs/dbraw/zinc/28/86/75/806288675.db2.gz TWOZZZHRVRBFMO-LBPRGKRZSA-N -1 1 345.407 -0.264 20 0 EBADMM C[C@H]1CO[C@@H](C(=O)N2CC3(C2)CCCN(Cc2nc(=O)n(C)[n-]2)C3)C1 ZINC000981902797 806321179 /nfs/dbraw/zinc/32/11/79/806321179.db2.gz GKUROEDGGZFRQZ-CHWSQXEVSA-N -1 1 349.435 -0.042 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CC2(C1)CCCN(Cc1n[nH]c(=O)[n-]1)C2 ZINC000981931031 806328791 /nfs/dbraw/zinc/32/87/91/806328791.db2.gz TVJWDHSMLGWRHQ-UHFFFAOYSA-N -1 1 332.368 -0.325 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)Cc4cnoc4)C3)C2)nc1=O ZINC000981956125 806349203 /nfs/dbraw/zinc/34/92/03/806349203.db2.gz WFKNURYICCRYHE-UHFFFAOYSA-N -1 1 346.391 -0.237 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)[C@]4(C)CCOC4)C3)C2)nc1=O ZINC000982089396 806396437 /nfs/dbraw/zinc/39/64/37/806396437.db2.gz WSQOZTZXPAPLHG-MRXNPFEDSA-N -1 1 349.435 -0.041 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCN(C(=O)C2CC2)C1 ZINC000982329802 806461513 /nfs/dbraw/zinc/46/15/13/806461513.db2.gz YKVFIWXIZSMBBD-QMMMGPOBSA-N -1 1 336.348 -0.115 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)[C@H]2COCCO2)C1)c1ncccc1[O-] ZINC000982471442 806511965 /nfs/dbraw/zinc/51/19/65/806511965.db2.gz BGBIYSBJDKBIDE-WCQYABFASA-N -1 1 335.360 -0.219 20 0 EBADMM Cn1oc(C(=O)NC[C@H]2CCN(C(=O)c3ncccc3[O-])C2)cc1=O ZINC000982671691 806627183 /nfs/dbraw/zinc/62/71/83/806627183.db2.gz SFBYDVQJJIGETF-SNVBAGLBSA-N -1 1 346.343 -0.029 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCNC1=O ZINC000982675405 806631140 /nfs/dbraw/zinc/63/11/40/806631140.db2.gz LRRZWAOGNZMGAP-WDEREUQCSA-N -1 1 332.360 -0.498 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)[C@@H]2COC(=O)N2)C1)c1ncccc1[O-] ZINC000982711605 806658977 /nfs/dbraw/zinc/65/89/77/806658977.db2.gz ZKTCYBFXKAXHKO-UWVGGRQHSA-N -1 1 334.332 -0.526 20 0 EBADMM Cn1ncc(C(=O)N2CC[C@@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC000982986260 806823371 /nfs/dbraw/zinc/82/33/71/806823371.db2.gz JCPGRILZMALNQG-JTQLQIEISA-N -1 1 330.348 -0.192 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)c2c[nH]c(=O)cn2)C1)c1ncccc1[O-] ZINC000983196014 806934992 /nfs/dbraw/zinc/93/49/92/806934992.db2.gz RDNVYFFINQPNBH-JTQLQIEISA-N -1 1 343.343 -0.237 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)[C@H]2CNC(=O)N2)C1)c1ncccc1[O-] ZINC000983197052 806937209 /nfs/dbraw/zinc/93/72/09/806937209.db2.gz GWLRICAUPSFKCD-VHSXEESVSA-N -1 1 333.348 -0.953 20 0 EBADMM C[C@H]1CN(C(=O)Cn2cncn2)C[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000959601606 803816188 /nfs/dbraw/zinc/81/61/88/803816188.db2.gz QRBKRSXTPITRTK-RYUDHWBXSA-N -1 1 344.375 -0.097 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cncnc1 ZINC000965935724 803817238 /nfs/dbraw/zinc/81/72/38/803817238.db2.gz IPQJGOYDIYWGFF-ZYHUDNBSSA-N -1 1 331.380 -0.461 20 0 EBADMM CC[C@@H](F)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000976858874 803909888 /nfs/dbraw/zinc/90/98/88/803909888.db2.gz KONCPOXHPSZASY-SREGZZRCSA-N -1 1 348.338 -0.648 20 0 EBADMM Cn1cncc1CC(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000976864848 803917870 /nfs/dbraw/zinc/91/78/70/803917870.db2.gz DJTDKIZHJZKMDF-JYAVWHMHSA-N -1 1 341.371 -0.050 20 0 EBADMM NC(=O)[C@H]1CC[C@@H]1C(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000976950523 804001055 /nfs/dbraw/zinc/00/10/55/804001055.db2.gz XMASKVJQKSHLBX-HKLXJQGRSA-N -1 1 344.371 -0.515 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000977205857 804221118 /nfs/dbraw/zinc/22/11/18/804221118.db2.gz XLXPAAFPYSOFDR-ULHKAFAUSA-N -1 1 330.344 -0.905 20 0 EBADMM Cc1cc(C(=O)N(C)C[C@@H]2CCN2C(=O)Cn2c(=O)[n-][nH]c2=O)[nH]n1 ZINC000977427325 804322908 /nfs/dbraw/zinc/32/29/08/804322908.db2.gz KSMHTYQHKCXERR-VIFPVBQESA-N -1 1 349.351 -0.906 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@@H]1CN(C)CCO1 ZINC000977506889 804359306 /nfs/dbraw/zinc/35/93/06/804359306.db2.gz DFNDLOLHGRFGBX-OCCSQVGLSA-N -1 1 348.403 -0.209 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@@H]1CCNC(=O)C1 ZINC000977555693 804381043 /nfs/dbraw/zinc/38/10/43/804381043.db2.gz MJCOAMOIBMZOTG-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)[C@H]1CNC(=O)N1)C(=O)c1ncccc1[O-] ZINC000977604321 804409120 /nfs/dbraw/zinc/40/91/20/804409120.db2.gz WZPNZRHROIPMNF-VHSXEESVSA-N -1 1 333.348 -0.859 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)[C@@H]1COCCN1C)C(=O)c1ncccc1[O-] ZINC000977617805 804416186 /nfs/dbraw/zinc/41/61/86/804416186.db2.gz LZAROSHNLQAKFQ-STQMWFEESA-N -1 1 348.403 -0.209 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)[C@H]1COC(=O)N1)C(=O)c1ncccc1[O-] ZINC000977619497 804417832 /nfs/dbraw/zinc/41/78/32/804417832.db2.gz RJKMOYNPZUDPFA-VHSXEESVSA-N -1 1 334.332 -0.432 20 0 EBADMM CN(C[C@H]1CCN1C(=O)CCn1cnnn1)C(=O)c1ncccc1[O-] ZINC000977623241 804420205 /nfs/dbraw/zinc/42/02/05/804420205.db2.gz XYATVDVCTAYVEX-LLVKDONJSA-N -1 1 345.363 -0.463 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1COC(=O)N1 ZINC000977660682 804449406 /nfs/dbraw/zinc/44/94/06/804449406.db2.gz VIQMPKQDXHUEMP-VHSXEESVSA-N -1 1 334.332 -0.432 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@@H]1CC(=O)N(C)C1 ZINC000977841484 804518247 /nfs/dbraw/zinc/51/82/47/804518247.db2.gz HUNVCRHETTXDHY-NEPJUHHUSA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)C1CC1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000978053719 804635596 /nfs/dbraw/zinc/63/55/96/804635596.db2.gz LKSGPJWJLJOWGW-JTQLQIEISA-N -1 1 330.348 -0.500 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@@H](CNC(=O)C2CC2)C1 ZINC000978493826 804846523 /nfs/dbraw/zinc/84/65/23/804846523.db2.gz PNYHXDYZVAXIHC-OTSSQURYSA-N -1 1 336.348 -0.069 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000978738849 804951854 /nfs/dbraw/zinc/95/18/54/804951854.db2.gz GWBIOCVCUNQQHP-YTWAJWBKSA-N -1 1 332.360 -0.467 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)N[C@H]1C[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000979043282 805106526 /nfs/dbraw/zinc/10/65/26/805106526.db2.gz IFXSMLMBLKLKCA-ZNSHCXBVSA-N -1 1 344.375 -0.302 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2ccccn2)CCO1 ZINC000979166705 805185104 /nfs/dbraw/zinc/18/51/04/805185104.db2.gz VMBXULHZBGNVAR-CMPLNLGQSA-N -1 1 332.364 -0.075 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)C2CCOCC2)CCO1 ZINC000979175948 805189678 /nfs/dbraw/zinc/18/96/78/805189678.db2.gz KSDBRTVHIDVIJS-PWSUYJOCSA-N -1 1 339.396 -0.358 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2ccncc2)CCO1 ZINC000979192536 805199498 /nfs/dbraw/zinc/19/94/98/805199498.db2.gz ZEDODOVIBRKHTE-ZYHUDNBSSA-N -1 1 332.364 -0.075 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2ccnn2C)CCO1 ZINC000979233340 805225775 /nfs/dbraw/zinc/22/57/75/805225775.db2.gz VIIWPBIVYQUNOK-KOLCDFICSA-N -1 1 335.368 -0.737 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2cccn2C)CCO1 ZINC000979259151 805245062 /nfs/dbraw/zinc/24/50/62/805245062.db2.gz RXTXIDXQJIVYDP-PWSUYJOCSA-N -1 1 334.380 -0.132 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2cccc(=O)[nH]2)CCO1 ZINC000979261913 805247110 /nfs/dbraw/zinc/24/71/10/805247110.db2.gz BTJAMJKUMFTKOR-KOLCDFICSA-N -1 1 348.363 -0.370 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)Cc2ccnn2C)CCO1 ZINC000979277816 805257381 /nfs/dbraw/zinc/25/73/81/805257381.db2.gz MLDJLSQMTOUYIY-CMPLNLGQSA-N -1 1 349.395 -0.808 20 0 EBADMM C[C@H](NCc1ncnn1C)[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC000979280080 805259296 /nfs/dbraw/zinc/25/92/96/805259296.db2.gz UHVXCQAYUOKULO-WCQYABFASA-N -1 1 346.391 -0.065 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2cn(C)cn2)CCO1 ZINC000979285263 805264097 /nfs/dbraw/zinc/26/40/97/805264097.db2.gz BRBSJHVVXOUCBE-GXSJLCMTSA-N -1 1 335.368 -0.737 20 0 EBADMM Cc1ccc(C(=O)N2CCO[C@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)nn1 ZINC000979296257 805272121 /nfs/dbraw/zinc/27/21/21/805272121.db2.gz PCXYNTOMJNEKGN-JQWIXIFHSA-N -1 1 347.379 -0.372 20 0 EBADMM Cc1cn(C)nc1C(=O)N1CCO[C@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979301325 805278115 /nfs/dbraw/zinc/27/81/15/805278115.db2.gz YIMZLEGRJKPGSW-MNOVXSKESA-N -1 1 349.395 -0.428 20 0 EBADMM CCc1n[nH]cc1C(=O)N1CCO[C@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979335281 805302057 /nfs/dbraw/zinc/30/20/57/805302057.db2.gz DJIXADOOZDENSL-SKDRFNHKSA-N -1 1 349.395 -0.185 20 0 EBADMM CCn1ccc(C(=O)N2CCO[C@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000979376488 805336693 /nfs/dbraw/zinc/33/66/93/805336693.db2.gz BDHVSTGHLZJPHB-JQWIXIFHSA-N -1 1 349.395 -0.254 20 0 EBADMM Cc1ncc(C(=O)N2CCO[C@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)n1C ZINC000979385390 805343590 /nfs/dbraw/zinc/34/35/90/805343590.db2.gz STYHETAZUWIHFX-SKDRFNHKSA-N -1 1 349.395 -0.428 20 0 EBADMM Cc1ncc(C(=O)N2CCO[C@@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)n1C ZINC000979385387 805343726 /nfs/dbraw/zinc/34/37/26/805343726.db2.gz STYHETAZUWIHFX-BXKDBHETSA-N -1 1 349.395 -0.428 20 0 EBADMM Cc1cnoc1C(=O)N1CCO[C@@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979418510 805362943 /nfs/dbraw/zinc/36/29/43/805362943.db2.gz UERJCNSPNLCBMR-VHSXEESVSA-N -1 1 336.352 -0.174 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)Cc2ncc[nH]2)CCO1 ZINC000979430186 805373037 /nfs/dbraw/zinc/37/30/37/805373037.db2.gz KRDYLIAZFQSCNP-UWVGGRQHSA-N -1 1 335.368 -0.818 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)Cc2cncn2C)CCO1 ZINC000979442387 805382982 /nfs/dbraw/zinc/38/29/82/805382982.db2.gz GLSAPDCCQMGESE-CMPLNLGQSA-N -1 1 349.395 -0.808 20 0 EBADMM O=C(N[C@H]1C[C@H](CNC(=O)[C@H]2COCCO2)C1)c1ncccc1[O-] ZINC000979500022 805401068 /nfs/dbraw/zinc/40/10/68/805401068.db2.gz RTLWBCBMBVSXPK-NQBHXWOUSA-N -1 1 335.360 -0.173 20 0 EBADMM O=C(Cn1cncn1)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000983332382 806995847 /nfs/dbraw/zinc/99/58/47/806995847.db2.gz RMHASKQCGSIFHJ-LLVKDONJSA-N -1 1 330.348 -0.343 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CCC[C@@H](NC(=O)Cc3nnc[nH]3)C2)c1[O-] ZINC000983581206 807042789 /nfs/dbraw/zinc/04/27/89/807042789.db2.gz QCPWPFKEWHDEHX-NXEZZACHSA-N -1 1 347.379 -0.058 20 0 EBADMM O=C(Cn1ncnn1)N[C@@H]1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000983586442 807045839 /nfs/dbraw/zinc/04/58/39/807045839.db2.gz VGEVKYBBECWVLI-MNOVXSKESA-N -1 1 345.363 -0.369 20 0 EBADMM O=C(N[C@H]1C[C@H](CNCc2ncccn2)C1)c1n[nH]c(=O)[n-]c1=O ZINC000984210274 807217677 /nfs/dbraw/zinc/21/76/77/807217677.db2.gz WADKTIFHZPGCGL-KYZUINATSA-N -1 1 331.336 -0.629 20 0 EBADMM NC(=O)C(=O)N1C[C@H]2[C@H](C1)[C@@H]1CC[C@H]2CN1C(=O)c1ncccc1[O-] ZINC000984277087 807243080 /nfs/dbraw/zinc/24/30/80/807243080.db2.gz LOMQJSGWORJVKL-USZNOCQGSA-N -1 1 344.371 -0.419 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCCN(C(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC000984665742 807363054 /nfs/dbraw/zinc/36/30/54/807363054.db2.gz LAVKLWMOBRRZKP-TUAOUCFPSA-N -1 1 346.387 -0.028 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)[C@H]2CCN(C)C2=O)CC1 ZINC000985588568 807544993 /nfs/dbraw/zinc/54/49/93/807544993.db2.gz ZLEWRIZFIGAERH-NSHDSACASA-N -1 1 336.396 -0.589 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H]2CN(C(C)=O)C[C@@H]2C1 ZINC000985586065 807545386 /nfs/dbraw/zinc/54/53/86/807545386.db2.gz BNXOOKXLBDTYKX-ZJUUUORDSA-N -1 1 336.348 -0.163 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)Cn2ccccc2=O)CC1 ZINC000985785087 807581477 /nfs/dbraw/zinc/58/14/77/807581477.db2.gz YRPHFXFSXSNHDH-UHFFFAOYSA-N -1 1 346.391 -0.205 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCn1ccccc1=O ZINC000986333342 807676885 /nfs/dbraw/zinc/67/68/85/807676885.db2.gz MMYPFPQQNARQSK-RYUDHWBXSA-N -1 1 346.391 -0.159 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc2n[nH]nc2n1 ZINC000986334564 807677348 /nfs/dbraw/zinc/67/73/48/807677348.db2.gz KIPFMPMGOREMDT-HTQZYQBOSA-N -1 1 343.351 -0.431 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCc1ccn(C)n1 ZINC000986337306 807677803 /nfs/dbraw/zinc/67/78/03/807677803.db2.gz VIZPNDRABWPGRM-PWSUYJOCSA-N -1 1 333.396 -0.044 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cn2c(n1)COCC2 ZINC000986414155 807697181 /nfs/dbraw/zinc/69/71/81/807697181.db2.gz JIVRKQSCSOZEGB-UWVGGRQHSA-N -1 1 347.379 -0.370 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccn(C)c(=O)c1 ZINC000986442405 807702439 /nfs/dbraw/zinc/70/24/39/807702439.db2.gz WJXWYFDCPFLGAM-GXSJLCMTSA-N -1 1 332.364 -0.398 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnn2c1OCCC2 ZINC000986476465 807712173 /nfs/dbraw/zinc/71/21/73/807712173.db2.gz QLDPGAGFVLCWHB-KOLCDFICSA-N -1 1 347.379 -0.118 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cn(C)ccc1=O ZINC000986542186 807730396 /nfs/dbraw/zinc/73/03/96/807730396.db2.gz UIPPXEVLKUWGDG-GXSJLCMTSA-N -1 1 332.364 -0.398 20 0 EBADMM COc1nccc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)n1 ZINC000986548095 807731139 /nfs/dbraw/zinc/73/11/39/807731139.db2.gz IAIMIYCMVFOIGY-BDAKNGLRSA-N -1 1 333.352 -0.298 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCc1nccn1C ZINC000986598194 807749298 /nfs/dbraw/zinc/74/92/98/807749298.db2.gz BPYHKDYZCSONIZ-WDEREUQCSA-N -1 1 333.396 -0.044 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCc1cnn(C)n1 ZINC000986964496 807808313 /nfs/dbraw/zinc/80/83/13/807808313.db2.gz ZJGAJUGVDAVQRC-KOLCDFICSA-N -1 1 334.384 -0.649 20 0 EBADMM CCn1nc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)ccc1=O ZINC000987198825 807868066 /nfs/dbraw/zinc/86/80/66/807868066.db2.gz VARRSZBGJVGBDF-ZJUUUORDSA-N -1 1 347.379 -0.520 20 0 EBADMM COc1c(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)cnn1C ZINC000987420758 807913609 /nfs/dbraw/zinc/91/36/09/807913609.db2.gz JECMYOLBXNCNLV-PSASIEDQSA-N -1 1 335.368 -0.355 20 0 EBADMM COCCn1cc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)cn1 ZINC000987433373 807918633 /nfs/dbraw/zinc/91/86/33/807918633.db2.gz LZFHYFLHIADABY-ZYHUDNBSSA-N -1 1 349.395 -0.254 20 0 EBADMM COCCn1cc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)cn1 ZINC000987433370 807918818 /nfs/dbraw/zinc/91/88/18/807918818.db2.gz LZFHYFLHIADABY-CMPLNLGQSA-N -1 1 349.395 -0.254 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)[C@@H]2CN(C)CCO2)CCN1C(=O)c1ncccc1[O-] ZINC000987508022 807946730 /nfs/dbraw/zinc/94/67/30/807946730.db2.gz URTOPDUUHIVZMG-DYEKYZERSA-N -1 1 348.403 -0.163 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc(C(N)=O)cn1 ZINC000987606579 807969655 /nfs/dbraw/zinc/96/96/55/807969655.db2.gz VOQKEUXSOWTXBL-WPRPVWTQSA-N -1 1 345.363 -0.603 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)CN2CN=NC2=O)CCN1C(=O)c1ncccc1[O-] ZINC000987654269 807984083 /nfs/dbraw/zinc/98/40/83/807984083.db2.gz RCHMGFLTPHVDMG-ZJUUUORDSA-N -1 1 346.347 -0.496 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C(=O)[C@@H]1COC(=O)N1 ZINC000987929565 808060266 /nfs/dbraw/zinc/06/02/66/808060266.db2.gz LHWFXGHUTAYYDL-QWRGUYRKSA-N -1 1 348.359 -0.184 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C(=O)[C@H]1CCNC1=O ZINC000987930192 808061367 /nfs/dbraw/zinc/06/13/67/808061367.db2.gz MUJYUAJAHCFBST-RYUDHWBXSA-N -1 1 346.387 -0.156 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1)C(=O)c1ccn[nH]1 ZINC000988156948 808131371 /nfs/dbraw/zinc/13/13/71/808131371.db2.gz FPSJVJLGTBDOFE-QMMMGPOBSA-N -1 1 347.335 -0.760 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)[C@H]2CCNC2=O)C1)C(=O)c1ncccc1[O-] ZINC000988180801 808140281 /nfs/dbraw/zinc/14/02/81/808140281.db2.gz BVCMIDBGPWMSQS-RYUDHWBXSA-N -1 1 346.387 -0.156 20 0 EBADMM Cc1cccn(CC(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)c1=O ZINC000988839505 808299043 /nfs/dbraw/zinc/29/90/43/808299043.db2.gz TYZFFITUBKPPQP-VXGBXAGGSA-N -1 1 346.391 -0.240 20 0 EBADMM COCCn1nccc1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC000989013058 808348986 /nfs/dbraw/zinc/34/89/86/808348986.db2.gz SNANTZYLMSTEQM-QWRGUYRKSA-N -1 1 349.395 -0.254 20 0 EBADMM CO[C@@H](C)C(=O)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@H]1C ZINC000989040560 808355007 /nfs/dbraw/zinc/35/50/07/808355007.db2.gz JZCHNPXCAQQZTR-UTLUCORTSA-N -1 1 348.363 -0.828 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccn(C)c(=O)c1 ZINC000989053404 808357275 /nfs/dbraw/zinc/35/72/75/808357275.db2.gz IDAVLSZBXMDPEN-KOLCDFICSA-N -1 1 332.364 -0.398 20 0 EBADMM CCn1ccc(CN[C@@H]2C[C@H](C)N(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC000989108800 808376622 /nfs/dbraw/zinc/37/66/22/808376622.db2.gz CLOHKHQZJVOQNJ-GXSJLCMTSA-N -1 1 347.379 -0.108 20 0 EBADMM Cc1ncc(CN[C@@H]2C[C@H](C)N(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC000989112731 808377822 /nfs/dbraw/zinc/37/78/22/808377822.db2.gz QEZVZCAXWHHTNF-IONNQARKSA-N -1 1 334.336 -0.028 20 0 EBADMM COc1cc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)nn1C ZINC000989160307 808390542 /nfs/dbraw/zinc/39/05/42/808390542.db2.gz LHCQSUYGENAMCU-DTWKUNHWSA-N -1 1 335.368 -0.355 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1coc(C(N)=O)c1 ZINC000989231398 808410208 /nfs/dbraw/zinc/41/02/08/808410208.db2.gz RMWQMRXQBFURBS-APPZFPTMSA-N -1 1 334.336 -0.405 20 0 EBADMM C[C@H]1C[C@H](NCc2cnnn2C)CN1C(=O)c1cnc([O-])n(C)c1=O ZINC000989284071 808426954 /nfs/dbraw/zinc/42/69/54/808426954.db2.gz RRXDBRQURNNGRZ-UWVGGRQHSA-N -1 1 347.379 -0.993 20 0 EBADMM COc1nn(C)cc1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC000989292865 808429418 /nfs/dbraw/zinc/42/94/18/808429418.db2.gz CSSZLPYWHMWFOR-RKDXNWHRSA-N -1 1 335.368 -0.355 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cc(C(N)=O)co1 ZINC000989442856 808475494 /nfs/dbraw/zinc/47/54/94/808475494.db2.gz LSDXIBDHLRJRAB-CBAPKCEASA-N -1 1 334.336 -0.405 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cc2ncccn2n1 ZINC000989584249 808522212 /nfs/dbraw/zinc/52/22/12/808522212.db2.gz WZFVVUAOBIRVCH-ZJUUUORDSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@H]1C[C@H](NCc2ccn(C)n2)CN1C(=O)Cn1c(=O)[n-][nH]c1=O ZINC000989721612 808567077 /nfs/dbraw/zinc/56/70/77/808567077.db2.gz CQHZCPXLEHKKPW-ONGXEEELSA-N -1 1 335.368 -0.798 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cccc(C(N)=O)n1 ZINC000989842739 808610932 /nfs/dbraw/zinc/61/09/32/808610932.db2.gz WOZBDGDOIOMPTH-IUCAKERBSA-N -1 1 345.363 -0.603 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc(C(N)=O)cn1 ZINC000989851055 808612099 /nfs/dbraw/zinc/61/20/99/808612099.db2.gz ZGBWJCWXGBFTND-WPRPVWTQSA-N -1 1 345.363 -0.603 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)C4=COCCO4)CCC[C@@H]23)nc1=O ZINC000989929260 808620198 /nfs/dbraw/zinc/62/01/98/808620198.db2.gz UJQJKOPMDCFCAG-MLGOLLRUSA-N -1 1 349.391 -0.390 20 0 EBADMM Cc1ccc(C(=O)NC2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)o1 ZINC000990156177 808675618 /nfs/dbraw/zinc/67/56/18/808675618.db2.gz QAMJPLVPCRAYGP-UHFFFAOYSA-N -1 1 342.315 -0.427 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)c4ncc[nH]4)CCC[C@@H]23)nc1=O ZINC000990612171 808862512 /nfs/dbraw/zinc/86/25/12/808862512.db2.gz GXCDXXWOOAGJSP-BMIGLBTASA-N -1 1 331.380 -0.242 20 0 EBADMM O=C(CN1CCCCC1=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990969855 809018717 /nfs/dbraw/zinc/01/87/17/809018717.db2.gz QEQFDGJBZCKHSF-UHFFFAOYSA-N -1 1 332.360 -0.260 20 0 EBADMM O=C(COC1CCOCC1)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990972482 809019465 /nfs/dbraw/zinc/01/94/65/809019465.db2.gz PSTGXOGDYXOANV-UHFFFAOYSA-N -1 1 335.360 -0.077 20 0 EBADMM COc1ncc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)cn1 ZINC000990972373 809019912 /nfs/dbraw/zinc/01/99/12/809019912.db2.gz OGKVZGUVPOSBFJ-UHFFFAOYSA-N -1 1 329.316 -0.160 20 0 EBADMM O=C(NC1CN(C(=O)c2ccn3nnnc3c2)C1)c1ncccc1[O-] ZINC000990973755 809020311 /nfs/dbraw/zinc/02/03/11/809020311.db2.gz AGCBVVIVKVJNNA-UHFFFAOYSA-N -1 1 339.315 -0.521 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]2O)[nH]n1 ZINC000991320553 809290054 /nfs/dbraw/zinc/29/00/54/809290054.db2.gz IKEXKBWPIMNNIA-GXFFZTMASA-N -1 1 345.359 -0.176 20 0 EBADMM Cc1ncc(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]2O)[nH]1 ZINC000991345221 809334835 /nfs/dbraw/zinc/33/48/35/809334835.db2.gz SSQDQYAGMXSSID-GXFFZTMASA-N -1 1 345.359 -0.176 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CCN(C(=O)c3ccn[nH]3)C[C@@H]2O)c1[O-] ZINC000991391661 809399217 /nfs/dbraw/zinc/39/92/17/809399217.db2.gz QABOZTNRPVUPTI-WPRPVWTQSA-N -1 1 334.336 -0.848 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cn[nH]c2)C[C@H]1O)c1ncccc1[O-] ZINC000991405682 809422832 /nfs/dbraw/zinc/42/28/32/809422832.db2.gz HALIGPWSFZRXQI-CMPLNLGQSA-N -1 1 331.332 -0.484 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)C2CCOCC2)C[C@H]1O)c1ncccc1[O-] ZINC000991406688 809424215 /nfs/dbraw/zinc/42/42/15/809424215.db2.gz DANYJXGHMODJRY-TZMCWYRMSA-N -1 1 349.387 -0.095 20 0 EBADMM Cc1nonc1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991407519 809426211 /nfs/dbraw/zinc/42/62/11/809426211.db2.gz FHUHEJSGQUGEMQ-GXSJLCMTSA-N -1 1 347.331 -0.516 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cncnc2)C[C@H]1O)c1ncccc1[O-] ZINC000991425237 809449647 /nfs/dbraw/zinc/44/96/47/809449647.db2.gz KSBLBSXUEVKCAK-WCQYABFASA-N -1 1 343.343 -0.417 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncc[nH]2)C[C@H]1O)c1ncccc1[O-] ZINC000991425326 809449905 /nfs/dbraw/zinc/44/99/05/809449905.db2.gz LBGZLFANMJASIL-GXSJLCMTSA-N -1 1 331.332 -0.484 20 0 EBADMM CC(C)(O)CC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991427442 809454594 /nfs/dbraw/zinc/45/45/94/809454594.db2.gz OHLWKNJNQNROQW-CMPLNLGQSA-N -1 1 337.376 -0.360 20 0 EBADMM O=C(Cc1ncc[nH]1)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991428422 809456109 /nfs/dbraw/zinc/45/61/09/809456109.db2.gz QOXFQAPCVWPPLO-JQWIXIFHSA-N -1 1 345.359 -0.555 20 0 EBADMM Cc1cc(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)[nH]n1 ZINC000991429760 809458622 /nfs/dbraw/zinc/45/86/22/809458622.db2.gz RTADNIBTVWTURF-MFKMUULPSA-N -1 1 345.359 -0.176 20 0 EBADMM Cc1cc(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n[nH]1 ZINC000991429760 809458626 /nfs/dbraw/zinc/45/86/26/809458626.db2.gz RTADNIBTVWTURF-MFKMUULPSA-N -1 1 345.359 -0.176 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cnco2)C[C@H]1O)c1ncccc1[O-] ZINC000991432775 809463997 /nfs/dbraw/zinc/46/39/97/809463997.db2.gz XHWFMWDNQVFCPD-MWLCHTKSSA-N -1 1 332.316 -0.219 20 0 EBADMM Cc1nonc1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000991561618 809642998 /nfs/dbraw/zinc/64/29/98/809642998.db2.gz DCYZNNBOFFIDGA-ONGXEEELSA-N -1 1 347.331 -0.516 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001003098606 809783045 /nfs/dbraw/zinc/78/30/45/809783045.db2.gz NJFWBVULYWQICR-UHFFFAOYSA-N -1 1 335.368 -0.760 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)c1cnnn1C ZINC001004851803 809827900 /nfs/dbraw/zinc/82/79/00/809827900.db2.gz YWDYRMQPTGXFHO-ZJUUUORDSA-N -1 1 334.384 -0.188 20 0 EBADMM CCn1ncc(C(=O)N(C)C2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC001005357396 809853185 /nfs/dbraw/zinc/85/31/85/809853185.db2.gz VPJRJMNJNPFWEH-UHFFFAOYSA-N -1 1 348.411 -0.544 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC001005961085 809873195 /nfs/dbraw/zinc/87/31/95/809873195.db2.gz YAMCLGNFELKMEQ-GDPRMGEGSA-N -1 1 332.360 -0.515 20 0 EBADMM C[C@@H](NC(=O)[C@@H]1C[C@H]1C)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001006570906 809906313 /nfs/dbraw/zinc/90/63/13/809906313.db2.gz VCBOBPOFXQBIBE-NQBHXWOUSA-N -1 1 348.403 -0.454 20 0 EBADMM NC(=O)CC(=O)N1CCO[C@@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC001007637495 809958418 /nfs/dbraw/zinc/95/84/18/809958418.db2.gz DVTMYUAZFBJDFC-INIZCTEOSA-N -1 1 348.359 -0.894 20 0 EBADMM COc1nn(C)cc1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001008167102 810094329 /nfs/dbraw/zinc/09/43/29/810094329.db2.gz DXTFHXHQTQTVNH-JTQLQIEISA-N -1 1 349.395 -0.755 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)[C@@]3(F)CCOC3)C2)nc1=O ZINC001008314360 810133079 /nfs/dbraw/zinc/13/30/79/810133079.db2.gz OGHPGKUWYZZVFZ-QMTHXVAHSA-N -1 1 327.360 -0.682 20 0 EBADMM CCC(=O)N1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C(C)(C)C1 ZINC001008351522 810142240 /nfs/dbraw/zinc/14/22/40/810142240.db2.gz KLRWTTXNYZXTHJ-JTQLQIEISA-N -1 1 332.364 -0.206 20 0 EBADMM CC1(C)CN(C(=O)c2ccn[nH]2)C[C@H]1NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001008393844 810151009 /nfs/dbraw/zinc/15/10/09/810151009.db2.gz IRIWSEULHIFCBJ-SECBINFHSA-N -1 1 349.351 -0.921 20 0 EBADMM NC(=O)C(=O)N[C@@H]1CC[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC001009884854 810267328 /nfs/dbraw/zinc/26/73/28/810267328.db2.gz NTXJWZRGMGQCGW-OUAUKWLOSA-N -1 1 332.360 -0.371 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CN1CN=NC1=O ZINC001011668930 810344345 /nfs/dbraw/zinc/34/43/45/810344345.db2.gz KQYFTYNUMPNULX-NXEZZACHSA-N -1 1 346.347 -0.496 20 0 EBADMM C[C@@H]1[C@H](NC(=O)CN2CN=NC2=O)CCN1C(=O)c1ncccc1[O-] ZINC001011674128 810344779 /nfs/dbraw/zinc/34/47/79/810344779.db2.gz RCHMGFLTPHVDMG-NXEZZACHSA-N -1 1 346.347 -0.496 20 0 EBADMM C[C@@H]1CN(C(=O)CNC(=O)C(C)(C)C)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001216741486 810384403 /nfs/dbraw/zinc/38/44/03/810384403.db2.gz NQCHETWWVHZKPX-NXEZZACHSA-N -1 1 338.412 -0.391 20 0 EBADMM C[C@@H]1CN(C(=O)CNC(=O)C2CCC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001216841011 810395150 /nfs/dbraw/zinc/39/51/50/810395150.db2.gz LXHHZXILHPUYHF-MWLCHTKSSA-N -1 1 336.396 -0.637 20 0 EBADMM CC/C(C)=C/C(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21 ZINC001217807132 810461898 /nfs/dbraw/zinc/46/18/98/810461898.db2.gz UEIZXINYCPQQGI-ACMJRCFVSA-N -1 1 335.408 -0.124 20 0 EBADMM Cc1nn(C)c(C)c1CC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001015439552 810475868 /nfs/dbraw/zinc/47/58/68/810475868.db2.gz XMZSHFRIURMSDK-GFCCVEGCSA-N -1 1 347.423 -0.608 20 0 EBADMM Cc1nn(C)c(C)c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001015449117 810478038 /nfs/dbraw/zinc/47/80/38/810478038.db2.gz MAVKTBZWPHLUSL-NSHDSACASA-N -1 1 333.396 -0.537 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cc(C4CC4)no3)C2)nc1=O ZINC001015774807 810578174 /nfs/dbraw/zinc/57/81/74/810578174.db2.gz DBVMVPWTTSVPAK-SNVBAGLBSA-N -1 1 332.364 -0.022 20 0 EBADMM CCCn1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001015779363 810580285 /nfs/dbraw/zinc/58/02/85/810580285.db2.gz HKFRKFQZZRAGSV-LBPRGKRZSA-N -1 1 333.396 -0.281 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cnc(C4CC4)nc3)C2)nc1=O ZINC001015803130 810584714 /nfs/dbraw/zinc/58/47/14/810584714.db2.gz NLYPTUFOLFIPJQ-GFCCVEGCSA-N -1 1 343.391 -0.220 20 0 EBADMM CCCC[C@H](CC)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001219234788 810625741 /nfs/dbraw/zinc/62/57/41/810625741.db2.gz BAVAZKLTCUPYFG-XQQFMLRXSA-N -1 1 339.440 -0.014 20 0 EBADMM Cn1nc2c(c1C(=O)N[C@H]1CCN(Cc3nc(=O)n(C)[n-]3)C1)CCC2 ZINC001015985613 810638039 /nfs/dbraw/zinc/63/80/39/810638039.db2.gz ABSYMEIEGKOIBU-JTQLQIEISA-N -1 1 345.407 -0.665 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCN(Cc2cnn(C)n2)C1 ZINC001015988958 810642462 /nfs/dbraw/zinc/64/24/62/810642462.db2.gz ISIRXTVQVJRYQX-QMMMGPOBSA-N -1 1 349.351 -0.971 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCN(Cc2cn(C)nn2)C1 ZINC001015989732 810644827 /nfs/dbraw/zinc/64/48/27/810644827.db2.gz XLPABCMBRTZIRU-QMMMGPOBSA-N -1 1 349.351 -0.971 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C(C)(C)c3c[nH]cn3)C2)nc1=O ZINC001016002237 810649413 /nfs/dbraw/zinc/64/94/13/810649413.db2.gz SNTATIGVJSEACN-SNVBAGLBSA-N -1 1 333.396 -0.500 20 0 EBADMM CC(C)[C@H](C)CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001219330352 810661973 /nfs/dbraw/zinc/66/19/73/810661973.db2.gz IXMQSJONCQMESR-UTUOFQBUSA-N -1 1 325.413 -0.548 20 0 EBADMM CCc1onc(C)c1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001016229433 810709860 /nfs/dbraw/zinc/70/98/60/810709860.db2.gz MLHDDBNRPYCUAA-SNVBAGLBSA-N -1 1 334.380 -0.028 20 0 EBADMM CC(C)C(=O)N(C)C1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001016265319 810716867 /nfs/dbraw/zinc/71/68/67/810716867.db2.gz JQEFVDRFUXACGM-UHFFFAOYSA-N -1 1 332.364 -0.207 20 0 EBADMM Cc1cc(C)n([C@@H](C)C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001016382953 810723748 /nfs/dbraw/zinc/72/37/48/810723748.db2.gz YCGZPRJFXMOZFC-QWHCGFSZSA-N -1 1 347.423 -0.127 20 0 EBADMM C[C@H](C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)n1cccn1 ZINC001032933506 810774901 /nfs/dbraw/zinc/77/49/01/810774901.db2.gz XOPUYVFPWPKUPG-NEPJUHHUSA-N -1 1 333.396 -0.401 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)[C@H]3C[C@H]4C[C@H]4C3)C2)nc1=O ZINC001035479369 810840476 /nfs/dbraw/zinc/84/04/76/810840476.db2.gz ZJWCTFDIGIGPJA-MROQNXINSA-N -1 1 335.408 -0.529 20 0 EBADMM Cc1coc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)c1 ZINC001035480593 810841189 /nfs/dbraw/zinc/84/11/89/810841189.db2.gz GHBCBGVKNSGVPP-LLVKDONJSA-N -1 1 335.364 -0.359 20 0 EBADMM Cc1ncccc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035490188 810848647 /nfs/dbraw/zinc/84/86/47/810848647.db2.gz WPVVYAUDRGIAPH-LBPRGKRZSA-N -1 1 346.391 -0.557 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)[C@@H]3C[C@H]3C3CC3)C2)nc1=O ZINC001035541944 810888158 /nfs/dbraw/zinc/88/81/58/810888158.db2.gz LPAQOPUNVSYYKZ-FRRDWIJNSA-N -1 1 335.408 -0.529 20 0 EBADMM CCC(CC)[C@H](C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001219915815 810906611 /nfs/dbraw/zinc/90/66/11/810906611.db2.gz YAMAWRNYVDNCJE-UHTWSYAYSA-N -1 1 339.440 -0.158 20 0 EBADMM CCn1cccc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035615664 810968310 /nfs/dbraw/zinc/96/83/10/810968310.db2.gz ZVXFVRWOOFMHCU-GFCCVEGCSA-N -1 1 348.407 -0.439 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)[C@H]2CCCO2)CC3)nc1=O ZINC001035646091 810979107 /nfs/dbraw/zinc/97/91/07/810979107.db2.gz CFORBFYBVUQQRN-GFCCVEGCSA-N -1 1 335.408 -0.288 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)c2cnco2)CC3)nc1=O ZINC001035760693 811013680 /nfs/dbraw/zinc/01/36/80/811013680.db2.gz GDMMLSLHMSIIIK-UHFFFAOYSA-N -1 1 332.364 -0.165 20 0 EBADMM Cc1nonc1C(=O)N1CCC2(CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001035866684 811045731 /nfs/dbraw/zinc/04/57/31/811045731.db2.gz RSJULBNHVYZMHI-UHFFFAOYSA-N -1 1 347.379 -0.462 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CCC[C@H](C)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036568309 811177848 /nfs/dbraw/zinc/17/78/48/811177848.db2.gz VIGXVMGEGBVIRC-WCBMZHEXSA-N -1 1 334.384 -0.033 20 0 EBADMM C[C@@H]1CCCN(C(=O)c2c[nH]c(=O)n2C)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036569686 811179086 /nfs/dbraw/zinc/17/90/86/811179086.db2.gz RKOPHJSXENMBPL-ZJUUUORDSA-N -1 1 349.395 -0.020 20 0 EBADMM CCC(CC)CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001220624969 811179772 /nfs/dbraw/zinc/17/97/72/811179772.db2.gz VKROBAXOHHQNDH-NEPJUHHUSA-N -1 1 325.413 -0.404 20 0 EBADMM C[C@@H]1CCCN(C(=O)c2cnn(C)n2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036582976 811181334 /nfs/dbraw/zinc/18/13/34/811181334.db2.gz NJACUCIPSGDDPF-KOLCDFICSA-N -1 1 334.384 -0.331 20 0 EBADMM CC(=O)N1CC(C(=O)N2CC[C@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001036699555 811211416 /nfs/dbraw/zinc/21/14/16/811211416.db2.gz RGGPHQLANGBWRB-AAEUAGOBSA-N -1 1 348.407 -0.589 20 0 EBADMM Cn1nncc1C(=O)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036703996 811216716 /nfs/dbraw/zinc/21/67/16/811216716.db2.gz LYLIVSMNHLRKHM-UWVGGRQHSA-N -1 1 332.368 -0.625 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036744057 811237037 /nfs/dbraw/zinc/23/70/37/811237037.db2.gz CVZCDNFMESHRQB-VHSXEESVSA-N -1 1 347.379 -0.016 20 0 EBADMM O=C([C@H]1[C@@H]2COC[C@@H]21)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036795579 811260722 /nfs/dbraw/zinc/26/07/22/811260722.db2.gz OPOXMVYAGLFHJO-BYNIDDHOSA-N -1 1 333.392 -0.175 20 0 EBADMM NC(=O)CN1CC[C@H]2CN(C(=O)c3ccc4oc(=O)nc-4[n-]3)CC[C@H]21 ZINC001036870655 811296734 /nfs/dbraw/zinc/29/67/34/811296734.db2.gz YVLYGMLUSFAEQY-GXSJLCMTSA-N -1 1 345.359 -0.050 20 0 EBADMM Cn1ncc(CNC[C@H]2CCC[C@H]2NC(=O)c2n[nH]c(=O)[n-]c2=O)n1 ZINC001037038131 811348095 /nfs/dbraw/zinc/34/80/95/811348095.db2.gz IPCZRZVFSDCBST-PSASIEDQSA-N -1 1 348.367 -0.900 20 0 EBADMM Cc1ncncc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001079446481 811544048 /nfs/dbraw/zinc/54/40/48/811544048.db2.gz AZSYMTWEMUEBFO-BXKDBHETSA-N -1 1 331.380 -0.543 20 0 EBADMM Cc1nn(C)cc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001079499930 811563875 /nfs/dbraw/zinc/56/38/75/811563875.db2.gz WOJNAAAKHOPBSO-BXKDBHETSA-N -1 1 333.396 -0.599 20 0 EBADMM CCc1nc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)co1 ZINC001079600136 811603132 /nfs/dbraw/zinc/60/31/32/811603132.db2.gz SPYFKXOOYRKNHE-NXEZZACHSA-N -1 1 334.380 -0.091 20 0 EBADMM CC(C)C[C@H](C(N)=O)C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001222150091 811604378 /nfs/dbraw/zinc/60/43/78/811604378.db2.gz SLFBFERERKRDEP-WDEREUQCSA-N -1 1 338.412 -0.262 20 0 EBADMM CCN(C(=O)C1(NC(N)=O)CC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079637765 811623252 /nfs/dbraw/zinc/62/32/52/811623252.db2.gz KZHRIJJGRRJQBR-UHFFFAOYSA-N -1 1 347.375 -0.339 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@@H]1CCCOCC1 ZINC001079639444 811625031 /nfs/dbraw/zinc/62/50/31/811625031.db2.gz HQLZADBUVHVFOM-JHJVBQTASA-N -1 1 337.424 -0.138 20 0 EBADMM COCCOCC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001225434324 811808532 /nfs/dbraw/zinc/80/85/32/811808532.db2.gz UTLCSQDDIQDTJX-GHMZBOCLSA-N -1 1 327.385 -0.310 20 0 EBADMM O=c1ncc(F)c(O[C@@H](CN2CCOCC2)CS(=O)(=O)[O-])[nH]1 ZINC001225880834 811834889 /nfs/dbraw/zinc/83/48/89/811834889.db2.gz BAWLWYLUSCTNMJ-QMMMGPOBSA-N -1 1 337.329 -0.711 20 0 EBADMM O=S(=O)([O-])C[C@@H](CN1CCOCC1)Oc1n[nH]c2ncccc12 ZINC001226303435 811859296 /nfs/dbraw/zinc/85/92/96/811859296.db2.gz VUINJNQGYHMUDH-SNVBAGLBSA-N -1 1 342.377 -0.075 20 0 EBADMM C[C@H](C(=O)NC(N)=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC001226985647 811894433 /nfs/dbraw/zinc/89/44/33/811894433.db2.gz JATFPGFGYQGWGI-SECBINFHSA-N -1 1 335.364 -0.435 20 0 EBADMM CCOCC(=O)N1CC(N(CC)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001080135432 811910960 /nfs/dbraw/zinc/91/09/60/811910960.db2.gz FLLBRUVDLHKPQM-UHFFFAOYSA-N -1 1 348.363 -0.873 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@@H]1CCO[C@H]1C1CC1 ZINC001080167456 811924838 /nfs/dbraw/zinc/92/48/38/811924838.db2.gz LPYXMHDPNLBYHI-OPQSFPLASA-N -1 1 349.435 -0.140 20 0 EBADMM CC1(C)O[C@H]2O[C@@H]3[C@H](Oc4cnnc(=S)[n-]4)C(=O)O[C@@H]3[C@H]2O1 ZINC001228641977 811993120 /nfs/dbraw/zinc/99/31/20/811993120.db2.gz LCSASNNYDNQGFU-UFNQKSLJSA-N -1 1 327.318 -0.291 20 0 EBADMM CCN(C(=O)C(N)=O)C1CN(C(=O)c2c(C)nc(C(C)C)[n-]c2=O)C1 ZINC001080399436 812011556 /nfs/dbraw/zinc/01/15/56/812011556.db2.gz OCDGWVNIFBLVJU-UHFFFAOYSA-N -1 1 349.391 -0.228 20 0 EBADMM CC(=O)NC(C)(C)C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001229166824 812015188 /nfs/dbraw/zinc/01/51/88/812015188.db2.gz XIQNVJBZGAZILO-GHMZBOCLSA-N -1 1 338.412 -0.058 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cc1cncn1C ZINC001080783079 812228844 /nfs/dbraw/zinc/22/88/44/812228844.db2.gz JMRGWHSDKCIAAW-ZYHUDNBSSA-N -1 1 333.396 -0.979 20 0 EBADMM CCO[C@@H](CC)C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001230957109 812259331 /nfs/dbraw/zinc/25/93/31/812259331.db2.gz CUZQQGHXGTUCPP-NEPJUHHUSA-N -1 1 325.413 -0.044 20 0 EBADMM O=C(NC1(CO)CCN(C(=O)c2cnon2)CC1)c1ncccc1[O-] ZINC001080826475 812261867 /nfs/dbraw/zinc/26/18/67/812261867.db2.gz MXNZWRDWQVGVHI-UHFFFAOYSA-N -1 1 347.331 -0.433 20 0 EBADMM C[C@@H](OCC1CC1)C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001231084586 812272216 /nfs/dbraw/zinc/27/22/16/812272216.db2.gz CQMQJBBMLYMGGS-YPMHNXCESA-N -1 1 337.424 -0.044 20 0 EBADMM CN(C[C@@H]1CCN1CCNS(C)(=O)=O)C(=O)c1ncccc1[O-] ZINC001233837495 812354135 /nfs/dbraw/zinc/35/41/35/812354135.db2.gz OFBLRGZVGYQUPX-NSHDSACASA-N -1 1 342.421 -0.517 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)CC1OCCCO1 ZINC001233916295 812369536 /nfs/dbraw/zinc/36/95/36/812369536.db2.gz WMHGHNQAJNHRJW-NSHDSACASA-N -1 1 339.396 -0.706 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)Cc1ccnn1C ZINC001233966520 812372158 /nfs/dbraw/zinc/37/21/58/812372158.db2.gz NDNIGTRWYSJNTM-GFCCVEGCSA-N -1 1 333.396 -0.883 20 0 EBADMM C[C@@H](C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)c1ccnn1C ZINC001234392907 812422080 /nfs/dbraw/zinc/42/20/80/812422080.db2.gz QVXCLPGZYHCUSS-VXGBXAGGSA-N -1 1 347.423 -0.322 20 0 EBADMM CC1(C)O[C@H]2C(=O)O[C@H](COc3c(C(N)=O)nc[n-]c3=O)[C@H]2O1 ZINC001234465255 812428764 /nfs/dbraw/zinc/42/87/64/812428764.db2.gz DENZXPQRCLWQDW-SLBFFKMLSA-N -1 1 325.277 -0.895 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)CCc1ccn(C)n1 ZINC001234594604 812442447 /nfs/dbraw/zinc/44/24/47/812442447.db2.gz MTEMZEMBCRELMM-ZDUSSCGKSA-N -1 1 347.423 -0.493 20 0 EBADMM Cc1nn(C)cc1CC(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001235425102 812488305 /nfs/dbraw/zinc/48/83/05/812488305.db2.gz XWZZWMCNKQZKBC-CYBMUJFWSA-N -1 1 347.423 -0.574 20 0 EBADMM COCCCC(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001081303377 812699386 /nfs/dbraw/zinc/69/93/86/812699386.db2.gz WPHLHIDPPPTMKX-YPMHNXCESA-N -1 1 337.376 -0.237 20 0 EBADMM Cn1c(C(=O)NN2CC(=O)[N-]C2=O)cc2c1nc1ccccn1c2=O ZINC001255513253 812717055 /nfs/dbraw/zinc/71/70/55/812717055.db2.gz YOJSPRUBIGQDPU-UHFFFAOYSA-N -1 1 340.299 -0.617 20 0 EBADMM CC(C)OCC(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001081321408 812728564 /nfs/dbraw/zinc/72/85/64/812728564.db2.gz NOGMAHXIICWDKJ-DGCLKSJQSA-N -1 1 337.376 -0.239 20 0 EBADMM Cc1nonc1C[N-]S(=O)(=O)c1nc2nc(Cl)ccn2n1 ZINC001260177693 812828242 /nfs/dbraw/zinc/82/82/42/812828242.db2.gz KFDVKIKLAVKTKE-UHFFFAOYSA-N -1 1 329.729 -0.052 20 0 EBADMM CS(=O)(=O)CS(=O)(=O)[N-]c1ccc2nn(CCO)cc2c1 ZINC001260590145 812840501 /nfs/dbraw/zinc/84/05/01/812840501.db2.gz AEDROFCHGWYRJR-UHFFFAOYSA-N -1 1 333.391 -0.228 20 0 EBADMM COC[C@H]([N-]S(=O)(=O)CS(C)(=O)=O)[C@@H](O)c1ccccc1 ZINC001260596848 812841940 /nfs/dbraw/zinc/84/19/40/812841940.db2.gz RJCNCWHAUKPFAU-RYUDHWBXSA-N -1 1 337.419 -0.344 20 0 EBADMM COC(=O)C([N-]S(=O)(=O)c1cnc(NC(C)=O)s1)=C(C)O ZINC001260709238 812847100 /nfs/dbraw/zinc/84/71/00/812847100.db2.gz BOOOGAXIZLTSOT-MRVPVSSYSA-N -1 1 335.363 -0.490 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1oc(C)cc1C ZINC001081484101 813041424 /nfs/dbraw/zinc/04/14/24/813041424.db2.gz IOLGZACDBNFEHI-VXGBXAGGSA-N -1 1 349.391 -0.053 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@H](C)n1cccc1 ZINC001081532218 813135350 /nfs/dbraw/zinc/13/53/50/813135350.db2.gz KAUIZTXGJJULFR-YNEHKIRRSA-N -1 1 348.407 -0.514 20 0 EBADMM CCN1CC[C@@H](N2C[C@@H](NC(=O)c3ncccc3[O-])[C@H](OC)C2)C1=O ZINC001081578841 813226904 /nfs/dbraw/zinc/22/69/04/813226904.db2.gz YYSJBRHLQNJVBV-YRGRVCCFSA-N -1 1 348.403 -0.163 20 0 EBADMM CO[C@@H]1CN([C@H](C)c2nnnn2C)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001081578610 813227148 /nfs/dbraw/zinc/22/71/48/813227148.db2.gz MRIYUXVMOAPGTQ-CKYFFXLPSA-N -1 1 347.379 -0.499 20 0 EBADMM CCN(CCCNC(=O)[C@H](C)[C@H]1CCC(=O)N1)Cc1n[nH]c(=O)[n-]1 ZINC001265134582 813245846 /nfs/dbraw/zinc/24/58/46/813245846.db2.gz ZMTBROSITJIDTF-GHMZBOCLSA-N -1 1 338.412 -0.247 20 0 EBADMM O=C(Cc1ccoc1)NCCCN1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001265241738 813269934 /nfs/dbraw/zinc/26/99/34/813269934.db2.gz FQTLYDPACHJBMX-UHFFFAOYSA-N -1 1 348.407 -0.030 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C1CC(C)(C)C1 ZINC001081621151 813313198 /nfs/dbraw/zinc/31/31/98/813313198.db2.gz MFSADWWRCPZAMJ-VXGBXAGGSA-N -1 1 337.424 -0.140 20 0 EBADMM O=C(NC[C@@H](NCc1n[nH]c(=O)[n-]1)C1CC1)c1cnn2cccnc12 ZINC001266425016 813566471 /nfs/dbraw/zinc/56/64/71/813566471.db2.gz ZTVBQYDTQANMIF-LLVKDONJSA-N -1 1 342.363 -0.149 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@]1(C)C[C@H]2C[C@H]2C1 ZINC001081979928 813701990 /nfs/dbraw/zinc/70/19/90/813701990.db2.gz HNAMCUPGVFTXAO-QMZWZUABSA-N -1 1 349.435 -0.140 20 0 EBADMM CO[C@@H]1CN(CC=C(C)C)C[C@H]1NC(=O)c1cnc([O-])n(C)c1=O ZINC001082015296 813715193 /nfs/dbraw/zinc/71/51/93/813715193.db2.gz NCITWZPKCPHERU-CHWSQXEVSA-N -1 1 336.392 -0.119 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cc1occc1C ZINC001082105357 813766965 /nfs/dbraw/zinc/76/69/65/813766965.db2.gz UWLWZWQMBJDZGA-DGCLKSJQSA-N -1 1 349.391 -0.432 20 0 EBADMM CCc1ccoc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1OC ZINC001082105334 813767170 /nfs/dbraw/zinc/76/71/70/813767170.db2.gz UAGHCQUHPGZDMW-VXGBXAGGSA-N -1 1 349.391 -0.107 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ccn(C)c1C ZINC001082107681 813768326 /nfs/dbraw/zinc/76/83/26/813768326.db2.gz SFXDQLVIURVICA-CHWSQXEVSA-N -1 1 348.407 -0.615 20 0 EBADMM COCCN1C[C@@H](NC(=O)c2c[n-]n3c2nccc3=O)[C@H](OC)C1 ZINC001082211938 813825300 /nfs/dbraw/zinc/82/53/00/813825300.db2.gz PIBCLNIMGRWMHQ-VXGBXAGGSA-N -1 1 335.364 -0.902 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CCc2cnn(C)c2C1 ZINC001267418945 813886336 /nfs/dbraw/zinc/88/63/36/813886336.db2.gz NWFGYMBQUVMDEB-JTQLQIEISA-N -1 1 333.396 -0.403 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2CCc3n[nH]cc3C2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082858203 814015591 /nfs/dbraw/zinc/01/55/91/814015591.db2.gz VLPWUESYMPRNTM-GIPNMCIBSA-N -1 1 345.407 -0.025 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)[C@H]4CC=CCC4)[C@H]3C2)nc1=O ZINC001082996939 814047220 /nfs/dbraw/zinc/04/72/20/814047220.db2.gz DYMBVADENYISLI-MELADBBJSA-N -1 1 347.419 -0.124 20 0 EBADMM C/C(=C/C(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21)C1CC1 ZINC001083012610 814059200 /nfs/dbraw/zinc/05/92/00/814059200.db2.gz VYDGHXGZDFECOO-AKXMXHFNSA-N -1 1 347.419 -0.124 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)[C@H]4[C@@H]5CCC[C@@H]54)[C@H]3C2)nc1=O ZINC001083039886 814083780 /nfs/dbraw/zinc/08/37/80/814083780.db2.gz RCOSWAYFWRFSAA-GGAZOKNXSA-N -1 1 347.419 -0.434 20 0 EBADMM O=C(c1ncccc1[O-])N1CCO[C@@H]2CN([C@@H]3CCCNC3=O)C[C@@H]21 ZINC001083059852 814097893 /nfs/dbraw/zinc/09/78/93/814097893.db2.gz YYWRKOLJGMYVRA-MBNYWOFBSA-N -1 1 346.387 -0.409 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)CNC(=O)N1CCCC1 ZINC001268450204 814245542 /nfs/dbraw/zinc/24/55/42/814245542.db2.gz SEPYEDYEEFEAOO-UHFFFAOYSA-N -1 1 339.400 -0.746 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCc2nnc(C)n2C1 ZINC001268498947 814266917 /nfs/dbraw/zinc/26/69/17/814266917.db2.gz AVGAEKQPNCDUKJ-LLVKDONJSA-N -1 1 348.411 -0.389 20 0 EBADMM CCn1ccnc1CN1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001083421127 814400643 /nfs/dbraw/zinc/40/06/43/814400643.db2.gz KOFYQZVVLLCARU-YPMHNXCESA-N -1 1 331.376 -0.021 20 0 EBADMM CCn1nncc1CN1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001083423204 814402998 /nfs/dbraw/zinc/40/29/98/814402998.db2.gz KFUDZZNLBGFJCN-YPMHNXCESA-N -1 1 332.364 -0.626 20 0 EBADMM CN1CCC[C@H](N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)C1=O ZINC001083423234 814403306 /nfs/dbraw/zinc/40/33/06/814403306.db2.gz LUCVBCMSCNBDJE-MDZLAQPJSA-N -1 1 334.376 -0.817 20 0 EBADMM CC1=C(C)C[C@H](C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)CC1 ZINC001083485463 814476945 /nfs/dbraw/zinc/47/69/45/814476945.db2.gz ZTODTEHDALNITF-MCIONIFRSA-N -1 1 349.435 -0.094 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@H]3CCC(F)(F)C3)[C@@H](O)C2)nc1=O ZINC001083510454 814506007 /nfs/dbraw/zinc/50/60/07/814506007.db2.gz FHPTWRFLFZLWCB-AEJSXWLSSA-N -1 1 345.350 -0.795 20 0 EBADMM CC(C)COCCC(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001269201330 814579028 /nfs/dbraw/zinc/57/90/28/814579028.db2.gz WSXDRNRCCPYZJY-LBPRGKRZSA-N -1 1 325.413 -0.138 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C3CCC4(CC4)CC3)[C@@H](O)C2)nc1=O ZINC001083648058 814658990 /nfs/dbraw/zinc/65/89/90/814658990.db2.gz HCIDEDUXBUPYCJ-OLZOCXBDSA-N -1 1 349.435 -0.260 20 0 EBADMM CC[C@H](CNC(=O)c1c[n-]n2c1nccc2=O)NCc1cnnn1C ZINC001269477849 814707059 /nfs/dbraw/zinc/70/70/59/814707059.db2.gz VABSRWHRICLWAB-SNVBAGLBSA-N -1 1 344.379 -0.551 20 0 EBADMM CC(=O)[C@H](C)N1CC[C@]2(CCCN(C(=O)Cc3nn[n-]n3)CC2)C1=O ZINC001269576558 814733957 /nfs/dbraw/zinc/73/39/57/814733957.db2.gz OXSPTDIHDQXILD-MEDUHNTESA-N -1 1 348.407 -0.049 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC[C@]12CCN(CCn1ccnc1)C2=O ZINC001269576950 814734446 /nfs/dbraw/zinc/73/44/46/814734446.db2.gz CAUVWFJMKYLADZ-OAHLLOKOSA-N -1 1 344.379 -0.768 20 0 EBADMM CC[C@@H](C)N1C(=O)C[C@@]2(CCCN(C(=O)Cc3nn[n-]n3)C2)C1=O ZINC001269576519 814734633 /nfs/dbraw/zinc/73/46/33/814734633.db2.gz NXAJJHNSCZJUQI-MEBBXXQBSA-N -1 1 334.380 -0.092 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC2(CCN(CC(F)F)C2=O)CC1 ZINC001269576977 814735759 /nfs/dbraw/zinc/73/57/59/814735759.db2.gz CQANDVYCAAOIJD-UHFFFAOYSA-N -1 1 328.323 -0.152 20 0 EBADMM CCc1ccoc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001083755960 814758682 /nfs/dbraw/zinc/75/86/82/814758682.db2.gz VTYUEWAFPFLEBU-MNOVXSKESA-N -1 1 335.364 -0.761 20 0 EBADMM CC(C)C[C@@H]1C[C@H]1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001083790390 815611865 /nfs/dbraw/zinc/61/18/65/815611865.db2.gz FROBCQJDXLDUFS-LPWJVIDDSA-N -1 1 337.424 -0.548 20 0 EBADMM COCCOCN1C[C@@H]2COC[C@H](C1)N2C(=O)c1ccc([O-])cn1 ZINC001269895962 815630639 /nfs/dbraw/zinc/63/06/39/815630639.db2.gz VRXCOZQTPAKDGZ-BETUJISGSA-N -1 1 337.376 -0.067 20 0 EBADMM CC(C)=CCN1C[C@@H](NC(=O)c2c[n-]n3c2nccc3=O)[C@@H](O)C1 ZINC001083841545 815644282 /nfs/dbraw/zinc/64/42/82/815644282.db2.gz BHYPUMIDJHXRBB-OLZOCXBDSA-N -1 1 331.376 -0.236 20 0 EBADMM Cc1ccc([C@H](C)C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)o1 ZINC001083842093 815644383 /nfs/dbraw/zinc/64/43/83/815644383.db2.gz WKORFHSMMXCRCH-TUAOUCFPSA-N -1 1 349.391 -0.525 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C3(F)CCCCC3)[C@@H](O)C2)nc1=O ZINC001083916901 815707482 /nfs/dbraw/zinc/70/74/82/815707482.db2.gz AEZMKNCJSASTIA-MNOVXSKESA-N -1 1 341.387 -0.558 20 0 EBADMM O=C(Cc1nn[n-]n1)N1[C@@H]2C[C@H]1CN(C(=O)CCc1ccc[nH]1)C2 ZINC001270182509 815713072 /nfs/dbraw/zinc/71/30/72/815713072.db2.gz HEOJGIQETFOBKJ-TXEJJXNPSA-N -1 1 329.364 -0.485 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC2(C1)CN(C(=O)Nc1cccnc1)C2 ZINC001270186194 815715907 /nfs/dbraw/zinc/71/59/07/815715907.db2.gz RANBMKJPXXAXQG-UHFFFAOYSA-N -1 1 328.336 -0.487 20 0 EBADMM CO[C@@H](C)CN1C[C@@H](NC(=O)c2ccc3oc(=O)nc-3[n-]2)[C@@H](O)C1 ZINC001083950713 815734869 /nfs/dbraw/zinc/73/48/69/815734869.db2.gz XSZUAUNUFOCWQV-GDPRMGEGSA-N -1 1 336.348 -0.262 20 0 EBADMM C[C@H]1CC[C@H](C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)CC1 ZINC001083973050 815752615 /nfs/dbraw/zinc/75/26/15/815752615.db2.gz YVHSNXGBUAXUDF-LPWJVIDDSA-N -1 1 337.424 -0.404 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)[C@H]4CCCOC4)[C@@H]3C2)nc1=O ZINC001084228983 815869996 /nfs/dbraw/zinc/86/99/96/815869996.db2.gz ZASZQOOUZPBMOB-FRRDWIJNSA-N -1 1 335.408 -0.432 20 0 EBADMM CC(C)(C)NC(=O)[C@H]1CCN(C(=O)Cc2nn[n-]n2)Cc2ccnn21 ZINC001270784014 815887350 /nfs/dbraw/zinc/88/73/50/815887350.db2.gz CHPQSFGVPAQIJC-LLVKDONJSA-N -1 1 346.395 -0.173 20 0 EBADMM Cc1noc(NC(=O)[C@H]2CC23CN(C(=O)Cc2nn[n-]n2)C3)c1C ZINC001270785225 815889524 /nfs/dbraw/zinc/88/95/24/815889524.db2.gz RULGISSWUMMWEI-SECBINFHSA-N -1 1 331.336 -0.166 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@@]2(CCC[C@@H]2C(=O)Nc2nnco2)C1 ZINC001270785480 815889621 /nfs/dbraw/zinc/88/96/21/815889621.db2.gz UPNUCFKXYCQFQR-OTYXRUKQSA-N -1 1 346.351 -0.217 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC2(C1)CCOC[C@@H]2C(=O)N1CC=CC1 ZINC001270788283 815891152 /nfs/dbraw/zinc/89/11/52/815891152.db2.gz DCBLKAVZPNZSRH-LLVKDONJSA-N -1 1 332.364 -0.994 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC2(C1)CC[C@H](C(=O)N1CCCC13CC3)O2 ZINC001270788992 815891699 /nfs/dbraw/zinc/89/16/99/815891699.db2.gz LDJWBIWKPPSVEX-LLVKDONJSA-N -1 1 346.391 -0.343 20 0 EBADMM O=C(Cc1nn[n-]n1)N[C@@H]1[C@H]2CC[C@H](C2)[C@@H]1C(=O)NCC1COC1 ZINC001270789020 815892563 /nfs/dbraw/zinc/89/25/63/815892563.db2.gz MKAZJHJOPMLTKN-XXSPCDMZSA-N -1 1 334.380 -0.964 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC2(C[C@H]2C(=O)N2CC(F)(C3CC3)C2)C1 ZINC001270789698 815893492 /nfs/dbraw/zinc/89/34/92/815893492.db2.gz VBRSNHINITYAGR-JTQLQIEISA-N -1 1 334.355 -0.449 20 0 EBADMM CC[C@@H]1CCO[C@@H]1C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001084420837 815913070 /nfs/dbraw/zinc/91/30/70/815913070.db2.gz SJNIOXVLMCIQTI-BHPKHCPMSA-N -1 1 349.435 -0.044 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)CCc4ccon4)[C@@H]3C2)nc1=O ZINC001084518902 815931063 /nfs/dbraw/zinc/93/10/63/815931063.db2.gz QKARYDIRTXHARE-DGCLKSJQSA-N -1 1 346.391 -0.238 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4ccncn4)[C@@H]3C2)nc1=O ZINC001084729520 815970922 /nfs/dbraw/zinc/97/09/22/815970922.db2.gz HJICXYMVDYAKHX-ZYHUDNBSSA-N -1 1 329.364 -0.755 20 0 EBADMM O=C(N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)c1cc[n+]([O-])cc1 ZINC001085126078 816021381 /nfs/dbraw/zinc/02/13/81/816021381.db2.gz QQAUYYPGLQKWPN-VXGBXAGGSA-N -1 1 332.364 -0.026 20 0 EBADMM CN1C[C@@H](C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)NC1=O ZINC001085297379 816037837 /nfs/dbraw/zinc/03/78/37/816037837.db2.gz FAFQUVUXVLKVPB-BBBLOLIVSA-N -1 1 337.384 -0.949 20 0 EBADMM Cn1cc(C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)[nH]c1=O ZINC001085320769 816040756 /nfs/dbraw/zinc/04/07/56/816040756.db2.gz OTLDGUHUHOTMJQ-RKDXNWHRSA-N -1 1 335.368 -0.220 20 0 EBADMM Cc1nonc1CC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001085379439 816045397 /nfs/dbraw/zinc/04/53/97/816045397.db2.gz ANTUQTUAKKHBQV-NXEZZACHSA-N -1 1 335.368 -0.039 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC001085732361 816127023 /nfs/dbraw/zinc/12/70/23/816127023.db2.gz ZFEOJSHAJROTKV-JWOJSXKRSA-N -1 1 347.419 -0.436 20 0 EBADMM Cc1nc(CN2CC[C@@H]2CN(C)C(=O)c2cnc([O-])n(C)c2=O)n[nH]1 ZINC001085759211 816132953 /nfs/dbraw/zinc/13/29/53/816132953.db2.gz BFRRWAPNNLZPGO-SNVBAGLBSA-N -1 1 347.379 -0.741 20 0 EBADMM COc1ncccc1C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085796300 816151268 /nfs/dbraw/zinc/15/12/68/816151268.db2.gz OFCYWEMWNKSQHB-LLVKDONJSA-N -1 1 346.391 -0.142 20 0 EBADMM Cc1cc(=O)[nH]cc1C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085811929 816153690 /nfs/dbraw/zinc/15/36/90/816153690.db2.gz QBVBZGOWLAYTIS-NSHDSACASA-N -1 1 346.391 -0.136 20 0 EBADMM CCn1nc(C)cc1C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085834297 816160146 /nfs/dbraw/zinc/16/01/46/816160146.db2.gz HWWDXNWQEXNBRL-LBPRGKRZSA-N -1 1 347.423 -0.020 20 0 EBADMM CCNC(=O)CC(=O)N1CCC[C@@H](C)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001271706229 816188476 /nfs/dbraw/zinc/18/84/76/816188476.db2.gz XITPUOCHJQDWLP-GHMZBOCLSA-N -1 1 338.412 -0.247 20 0 EBADMM Cc1cc(=O)c(C(=O)NC[C@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cn1C ZINC001086113865 816235753 /nfs/dbraw/zinc/23/57/53/816235753.db2.gz UIMBYINPFQRTQH-PHIMTYICSA-N -1 1 346.391 -0.184 20 0 EBADMM COc1coc(C(=O)NC[C@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC001086149094 816250010 /nfs/dbraw/zinc/25/00/10/816250010.db2.gz PVQVUSUKOGUIFN-DTORHVGOSA-N -1 1 349.347 -0.230 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2C[C@H](N[C@H](C)c3nnnn3C)C2)c1[O-] ZINC001086342563 816302845 /nfs/dbraw/zinc/30/28/45/816302845.db2.gz UOPLBLQPJUNBDR-OPRDCNLKSA-N -1 1 334.384 -0.194 20 0 EBADMM CCn1ccc(C(=O)N(CCO)CCNCc2n[nH]c(=O)[n-]2)c1C ZINC001272234334 816362323 /nfs/dbraw/zinc/36/23/23/816362323.db2.gz HQUWINQJCCIIDR-UHFFFAOYSA-N -1 1 336.396 -0.136 20 0 EBADMM C[C@@H]1CCN(C(=O)c2cnnn2C)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087160638 816469614 /nfs/dbraw/zinc/46/96/14/816469614.db2.gz VCVDEJWZRAVWRW-ZJUUUORDSA-N -1 1 334.384 -0.331 20 0 EBADMM O=C(c1cncc([O-])c1)N1CC2(C1)CN(C(=O)c1ccc(=O)[nH]n1)C2 ZINC001272673623 816505379 /nfs/dbraw/zinc/50/53/79/816505379.db2.gz QIJXMWJQXUTLPM-UHFFFAOYSA-N -1 1 341.327 -0.119 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2cc(C)n(C)n2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087514226 816526960 /nfs/dbraw/zinc/52/69/60/816526960.db2.gz KHUCBQWFZUFKHY-WCQYABFASA-N -1 1 347.423 -0.067 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2nn(C)cc2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087568928 816534303 /nfs/dbraw/zinc/53/43/03/816534303.db2.gz SBQJHLUTAOBUFX-NWDGAFQWSA-N -1 1 347.423 -0.067 20 0 EBADMM COCCOCN1C(=O)COCC12CN(Cc1ccncc1[O-])C2 ZINC001273080267 816583174 /nfs/dbraw/zinc/58/31/74/816583174.db2.gz NFUQWRKXIDAFLY-UHFFFAOYSA-N -1 1 337.376 -0.179 20 0 EBADMM Cn1cnnc1CN1CC2(CN(Cc3ncccc3[O-])C2)OCC1=O ZINC001273326795 816645501 /nfs/dbraw/zinc/64/55/01/816645501.db2.gz KRYLPAQCYSBSBH-UHFFFAOYSA-N -1 1 344.375 -0.471 20 0 EBADMM NC(=O)C1(C(=O)N2CCCCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001088372760 816674273 /nfs/dbraw/zinc/67/42/73/816674273.db2.gz MTOOAZAIQVVVHS-SNVBAGLBSA-N -1 1 336.396 -0.363 20 0 EBADMM Cc1cc(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)n(C)n1 ZINC001088744295 816734591 /nfs/dbraw/zinc/73/45/91/816734591.db2.gz RELRHUVOINSBEB-YPMHNXCESA-N -1 1 347.423 -0.528 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)[C@H]2CCCc3n[nH]nc32)CCN1Cc1n[nH]c(=O)[n-]1 ZINC001088824069 816749286 /nfs/dbraw/zinc/74/92/86/816749286.db2.gz OYVWOVYWSWCCEV-UTLUCORTSA-N -1 1 346.395 -0.173 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCCN1C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001089212083 816817010 /nfs/dbraw/zinc/81/70/10/816817010.db2.gz SWMWAEPVTUEDRI-RMRHIDDWSA-N -1 1 349.435 -0.186 20 0 EBADMM CCNCc1cn([C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)nn1 ZINC001089588301 816883287 /nfs/dbraw/zinc/88/32/87/816883287.db2.gz IHEBRRKUTPCRFK-SECBINFHSA-N -1 1 334.340 -0.929 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cccc(F)c3)[C@H](O)C2)nc1=O ZINC001090040221 816980455 /nfs/dbraw/zinc/98/04/55/816980455.db2.gz AWPWEAAOLDKQDH-CHWSQXEVSA-N -1 1 349.366 -0.387 20 0 EBADMM COc1ccnc(CNCC=CCNC(=O)Cn2c(=O)[n-][nH]c2=O)c1 ZINC001274534018 816993223 /nfs/dbraw/zinc/99/32/23/816993223.db2.gz HSYJXBXNNFPMRL-NSCUHMNNSA-N -1 1 348.363 -0.445 20 0 EBADMM Cc1ccncc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001090157991 817077827 /nfs/dbraw/zinc/07/78/27/817077827.db2.gz DISOJGIFDPZKDE-STQMWFEESA-N -1 1 346.391 -0.823 20 0 EBADMM CCn1cc(CN2CC[C@H](NC(=O)c3ncccc3[O-])[C@H](O)C2)nn1 ZINC001090212781 817128803 /nfs/dbraw/zinc/12/88/03/817128803.db2.gz FTFRUOCYDKHWSY-GXTWGEPZSA-N -1 1 346.391 -0.236 20 0 EBADMM CCn1ncc(CN2CC[C@H](NC(=O)c3ncccc3[O-])[C@H](O)C2)n1 ZINC001090217658 817136229 /nfs/dbraw/zinc/13/62/29/817136229.db2.gz RLHFDNOTBYUNLA-GXTWGEPZSA-N -1 1 346.391 -0.236 20 0 EBADMM CCn1nncc1CN1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001090217868 817137475 /nfs/dbraw/zinc/13/74/75/817137475.db2.gz SBYJRHJJYBYZIL-TZMCWYRMSA-N -1 1 346.391 -0.236 20 0 EBADMM O=C(CN1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1)NC1CC1 ZINC001090218617 817139128 /nfs/dbraw/zinc/13/91/28/817139128.db2.gz VTLUTEIFUYIXMW-YPMHNXCESA-N -1 1 334.376 -0.769 20 0 EBADMM C[C@@H]1c2nc(C(N)=O)cn2CCN1C(=O)c1c[nH]c(=S)[n-]c1=O ZINC001275247268 817177519 /nfs/dbraw/zinc/17/75/19/817177519.db2.gz LBLQQSKWZNTWBC-ZCFIWIBFSA-N -1 1 334.361 -0.017 20 0 EBADMM Cc1cnc2c(C(=O)N[C@@H](C)CNCc3n[nH]c(=O)[n-]3)cnn2c1 ZINC001275328529 817197561 /nfs/dbraw/zinc/19/75/61/817197561.db2.gz VSNXWYSLXGFGQY-VIFPVBQESA-N -1 1 330.352 -0.230 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)c1cnn2ccncc12 ZINC001275415522 817211962 /nfs/dbraw/zinc/21/19/62/817211962.db2.gz PYAHHWPXSJZLSP-BDAKNGLRSA-N -1 1 330.352 -0.150 20 0 EBADMM Cc1cocc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001090491855 817473070 /nfs/dbraw/zinc/47/30/70/817473070.db2.gz BIUYLFPLHXBEGO-NEPJUHHUSA-N -1 1 335.364 -0.625 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C3(C)CC=CC3)[C@@H](O)C2)nc1=O ZINC001090551389 817532373 /nfs/dbraw/zinc/53/23/73/817532373.db2.gz YXHHTVSVEBWNCE-NEPJUHHUSA-N -1 1 335.408 -0.484 20 0 EBADMM CNC(=O)[C@@H](C)[N@@H+](C)CCOCCNC(=O)c1c(C)nc[nH]c1=O ZINC001277515003 817587707 /nfs/dbraw/zinc/58/77/07/817587707.db2.gz QYDSHKCOMILXNF-LLVKDONJSA-N -1 1 339.396 -0.697 20 0 EBADMM CC(C)CN1CCn2c(nnc2C(=O)N=c2nn[n-]n2C)C[C@H]1C ZINC001278114163 817702015 /nfs/dbraw/zinc/70/20/15/817702015.db2.gz PLBYUIRLSFUPDZ-SNVBAGLBSA-N -1 1 333.400 -0.622 20 0 EBADMM CN(C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)c1cccn2ccnc12 ZINC001278816421 817846446 /nfs/dbraw/zinc/84/64/46/817846446.db2.gz IHDAFFAAZCUHEU-JTQLQIEISA-N -1 1 345.363 -0.619 20 0 EBADMM Cn1[n-]c(CN2CC(O)(CNC(=O)C(F)=C3CCCC3)C2)nc1=O ZINC001278851147 817865290 /nfs/dbraw/zinc/86/52/90/817865290.db2.gz PQCDSPHQBJOCBH-UHFFFAOYSA-N -1 1 339.371 -0.431 20 0 EBADMM O=C(NCC1(O)CN(CCOCC(F)F)C1)c1ncccc1[O-] ZINC001278856933 817868940 /nfs/dbraw/zinc/86/89/40/817868940.db2.gz CCKRQYZQXRFZLR-UHFFFAOYSA-N -1 1 331.319 -0.155 20 0 EBADMM Cc1nnc([C@@H](C)NC2CC(CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC001091121828 817892883 /nfs/dbraw/zinc/89/28/83/817892883.db2.gz UUSSTBNTSJQQKU-KXNKJCORSA-N -1 1 348.367 -0.431 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)C4=COCCO4)C[C@]3(C)C2)nc1=O ZINC001091456160 817989694 /nfs/dbraw/zinc/98/96/94/817989694.db2.gz WAIJNIVCLATFNB-BZNIZROVSA-N -1 1 349.391 -0.723 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)[C@H]4CCCOC4)C[C@]3(C)C2)nc1=O ZINC001091536081 818005108 /nfs/dbraw/zinc/00/51/08/818005108.db2.gz IYGLHTATRDVIRI-AHIWAGSCSA-N -1 1 349.435 -0.185 20 0 EBADMM C[C@@H](C(=O)N1CC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1)S(C)(=O)=O ZINC001280094193 818019145 /nfs/dbraw/zinc/01/91/45/818019145.db2.gz JOIMHQFMZYVHIV-VHSXEESVSA-N -1 1 345.425 -0.728 20 0 EBADMM O=C(NC[C@]12CCC[C@H]1N(Cc1n[nH]c(=O)[n-]1)CC2)[C@@H]1CCNC1=O ZINC001094488256 818274628 /nfs/dbraw/zinc/27/46/28/818274628.db2.gz UURYUTAOEJGMRJ-GLKRBJQHSA-N -1 1 348.407 -0.493 20 0 EBADMM O=C(c1ncccc1[O-])N1CCN(CCF)[C@H]2CS(=O)(=O)C[C@H]21 ZINC001094626231 818304835 /nfs/dbraw/zinc/30/48/35/818304835.db2.gz YLKWIWXXHVEPMS-WDEREUQCSA-N -1 1 343.380 -0.320 20 0 EBADMM CC(C)C(=O)N(C)C[C@@H]1CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001282022616 818334774 /nfs/dbraw/zinc/33/47/74/818334774.db2.gz METZKJHMYHAMLT-LBPRGKRZSA-N -1 1 336.392 -0.358 20 0 EBADMM COCc1nn(C)cc1C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001282982892 818562763 /nfs/dbraw/zinc/56/27/63/818562763.db2.gz DFLNQYSIWUAXBH-UHFFFAOYSA-N -1 1 333.348 -0.173 20 0 EBADMM Cc1ccnc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)n1 ZINC001095362527 818611216 /nfs/dbraw/zinc/61/12/16/818611216.db2.gz BZAQZAXOQHCZHR-WOPDTQHZSA-N -1 1 343.391 -0.258 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001095578910 818651193 /nfs/dbraw/zinc/65/11/93/818651193.db2.gz DYUOCBJANDKXTL-UTLUCORTSA-N -1 1 347.379 -0.619 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCCNC(=O)[C@@H]1C[C@H]1C1CC1 ZINC001283266300 818726556 /nfs/dbraw/zinc/72/65/56/818726556.db2.gz WJAUZLDSEBCYQA-QWHCGFSZSA-N -1 1 348.403 -0.405 20 0 EBADMM COCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cn1[n-]cc3c(=O)ncnc1-3)C2 ZINC001096189510 818741648 /nfs/dbraw/zinc/74/16/48/818741648.db2.gz LNLAZXCSCMVDLF-WXHSDQCUSA-N -1 1 346.391 -0.561 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)Cc2ncc[nH]2)C3)nc1=O ZINC001096242448 818750642 /nfs/dbraw/zinc/75/06/42/818750642.db2.gz KFCVXBQFTAAQDZ-VWYCJHECSA-N -1 1 331.380 -0.704 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCN(c2ncccn2)C1 ZINC001096403235 818817893 /nfs/dbraw/zinc/81/78/93/818817893.db2.gz OQSWODJQCSBDKR-LLVKDONJSA-N -1 1 330.348 -0.888 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCN(c2cc(F)ncn2)C1 ZINC001096402478 818818153 /nfs/dbraw/zinc/81/81/53/818818153.db2.gz DJGXGXAKMXZXRF-SNVBAGLBSA-N -1 1 348.338 -0.749 20 0 EBADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)C[C@H]1CC(=O)NC1=O ZINC001283529844 818841860 /nfs/dbraw/zinc/84/18/60/818841860.db2.gz WTYVIURFSYMSMN-SNVBAGLBSA-N -1 1 348.359 -0.582 20 0 EBADMM Cn1nccc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001096869971 818898316 /nfs/dbraw/zinc/89/83/16/818898316.db2.gz FSPGVIPAHFDGQC-MXWKQRLJSA-N -1 1 331.380 -0.623 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@@H]2CCCCO2)C3)nc1=O ZINC001096964575 818914418 /nfs/dbraw/zinc/91/44/18/818914418.db2.gz URILRAPFDGBLFJ-NDBYEHHHSA-N -1 1 335.408 -0.101 20 0 EBADMM Cn1ccc(CC(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)n1 ZINC001097058374 818934372 /nfs/dbraw/zinc/93/43/72/818934372.db2.gz LYEKBSGVGPRFLJ-UPJWGTAASA-N -1 1 345.407 -0.694 20 0 EBADMM COc1nc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)co1 ZINC001097586882 819006449 /nfs/dbraw/zinc/00/64/49/819006449.db2.gz HGTGVTMJWUSGRI-KKZNHRDASA-N -1 1 348.363 -0.360 20 0 EBADMM CC(C)[C@@H](O)C(=O)N1CCC(N(CCO)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001098358592 819146561 /nfs/dbraw/zinc/14/65/61/819146561.db2.gz NMLTXKOTHXTAAF-CYBMUJFWSA-N -1 1 341.412 -0.688 20 0 EBADMM O=C([C@@H]1CC1(F)F)N1CCC(N(CCO)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001098363016 819150829 /nfs/dbraw/zinc/15/08/29/819150829.db2.gz YRMXBWOSRUDFAP-JTQLQIEISA-N -1 1 345.350 -0.049 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@@H]1CCN(C(=O)C2CC2)C1 ZINC001284338410 819189400 /nfs/dbraw/zinc/18/94/00/819189400.db2.gz DPGAGLHAIOKDET-NSHDSACASA-N -1 1 334.376 -0.699 20 0 EBADMM O=C(c1cnco1)N1CCC(N(CCO)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001098444079 819190673 /nfs/dbraw/zinc/19/06/73/819190673.db2.gz LXHRMNTVJPEWPG-UHFFFAOYSA-N -1 1 336.352 -0.403 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H](O)CN(C)C(=O)[C@@H]1CC=CCC1 ZINC001284484019 819252190 /nfs/dbraw/zinc/25/21/90/819252190.db2.gz YKZMTSRSDXNHQA-CHWSQXEVSA-N -1 1 337.424 -0.284 20 0 EBADMM Cn1cc(C(=O)N[C@]23CCC[C@H]2CN(Cc2nc(=O)n(C)[n-]2)C3)nn1 ZINC001098822706 819376272 /nfs/dbraw/zinc/37/62/72/819376272.db2.gz QELPREHCSYFQLI-BONVTDFDSA-N -1 1 346.395 -0.979 20 0 EBADMM O=C(CCC1CCC1)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001284897076 819394753 /nfs/dbraw/zinc/39/47/53/819394753.db2.gz ODEMYYVODOCILB-UHFFFAOYSA-N -1 1 348.403 -0.166 20 0 EBADMM C[C@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)Nc1cnc(F)cn1 ZINC001099313381 819449949 /nfs/dbraw/zinc/44/99/49/819449949.db2.gz BBCZQZNHWZEUSD-MRVPVSSYSA-N -1 1 346.326 -0.033 20 0 EBADMM C[C@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)Nc1ncccn1 ZINC001099313869 819450181 /nfs/dbraw/zinc/45/01/81/819450181.db2.gz ILJQFAJLQJDCOA-SECBINFHSA-N -1 1 328.336 -0.172 20 0 EBADMM CC[C@@H](C)C(=O)NC[C@@H](CC)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285036600 819461520 /nfs/dbraw/zinc/46/15/20/819461520.db2.gz FYHIZOKNBROADK-VXGBXAGGSA-N -1 1 338.408 -0.016 20 0 EBADMM C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)[C@@H](C)NC(=O)C(F)F ZINC001285270334 819531030 /nfs/dbraw/zinc/53/10/30/819531030.db2.gz TVBMEQAVTVFXQK-HTQZYQBOSA-N -1 1 332.307 -0.799 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)CCc3ccco3)[C@@H](O)C2)nc1=O ZINC001099669094 819556121 /nfs/dbraw/zinc/55/61/21/819556121.db2.gz MPKJKHAECJNJHP-OLZOCXBDSA-N -1 1 349.391 -0.614 20 0 EBADMM CC(C)SCC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001099678276 819564527 /nfs/dbraw/zinc/56/45/27/819564527.db2.gz IPHZIWRTGLFHEN-GHMZBOCLSA-N -1 1 343.453 -0.699 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)CC(C)(C)C)[C@H](O)C2)nc1=O ZINC001099682009 819568389 /nfs/dbraw/zinc/56/83/89/819568389.db2.gz YZNUTHLSGWLERC-WDEREUQCSA-N -1 1 325.413 -0.404 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)CCC3CCC3)[C@H](O)C2)nc1=O ZINC001099776552 819685574 /nfs/dbraw/zinc/68/55/74/819685574.db2.gz UOZSKKYLVSXJMQ-CHWSQXEVSA-N -1 1 337.424 -0.260 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)CCC3CCC3)[C@H](O)C2)nc1=O ZINC001099776554 819685595 /nfs/dbraw/zinc/68/55/95/819685595.db2.gz UOZSKKYLVSXJMQ-QWHCGFSZSA-N -1 1 337.424 -0.260 20 0 EBADMM CCC(=CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O)CC ZINC001099781104 819689365 /nfs/dbraw/zinc/68/93/65/819689365.db2.gz RAXKYZKUUOGONZ-QWHCGFSZSA-N -1 1 337.424 -0.094 20 0 EBADMM CCCNC(=O)CN1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001099782999 819690701 /nfs/dbraw/zinc/69/07/01/819690701.db2.gz JYPWTEZHCHRZBA-DGCLKSJQSA-N -1 1 336.392 -0.522 20 0 EBADMM O=C(N[C@@H]1CCN(CCNC(=O)C2CC2)C[C@@H]1O)c1ncccc1[O-] ZINC001099783464 819692482 /nfs/dbraw/zinc/69/24/82/819692482.db2.gz QVIXSMCAQQCCEZ-OCCSQVGLSA-N -1 1 348.403 -0.522 20 0 EBADMM C/C=C(/C)C(=O)NC[C@H](C)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001285642906 819704600 /nfs/dbraw/zinc/70/46/00/819704600.db2.gz PBQJTBRJEZRPOD-QJGQKNTRSA-N -1 1 336.392 -0.144 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)[C@@H]1CCCNC1=O ZINC001285655545 819709359 /nfs/dbraw/zinc/70/93/59/819709359.db2.gz PXHBBFHWEYUIHN-GHMZBOCLSA-N -1 1 334.376 -0.110 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)/C=C/c3ccc[nH]3)[C@H](O)C2)nc1=O ZINC001099798876 819722243 /nfs/dbraw/zinc/72/22/43/819722243.db2.gz DLDXOCCRAAVTML-QITAHTHBSA-N -1 1 346.391 -0.799 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)/C=C\c3ccc[nH]3)[C@@H](O)C2)nc1=O ZINC001099798874 819722432 /nfs/dbraw/zinc/72/24/32/819722432.db2.gz DLDXOCCRAAVTML-AIMRDXAKSA-N -1 1 346.391 -0.799 20 0 EBADMM C[C@@H](CNC(=O)Cc1ccco1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285754171 819756612 /nfs/dbraw/zinc/75/66/12/819756612.db2.gz AONCMWPIOSDGGZ-NSHDSACASA-N -1 1 348.359 -0.617 20 0 EBADMM CCC(C)(C)CC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001099843962 819774109 /nfs/dbraw/zinc/77/41/09/819774109.db2.gz ADRXIIRSTPJJEW-NEPJUHHUSA-N -1 1 339.440 -0.014 20 0 EBADMM CC(C)C(C)(C)C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001099853597 819779722 /nfs/dbraw/zinc/77/97/22/819779722.db2.gz TXKZAAMAZVVMEL-VXGBXAGGSA-N -1 1 339.440 -0.158 20 0 EBADMM CC(C)C1(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)CC1 ZINC001099996368 820004100 /nfs/dbraw/zinc/00/41/00/820004100.db2.gz LJLPFZXKZYPHTB-NEPJUHHUSA-N -1 1 337.424 -0.404 20 0 EBADMM CCCC1(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)CC1 ZINC001100003545 820011538 /nfs/dbraw/zinc/01/15/38/820011538.db2.gz IMWZTKYFSSVPBQ-NWDGAFQWSA-N -1 1 337.424 -0.260 20 0 EBADMM CCC(C)(CC)C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001100118929 820246470 /nfs/dbraw/zinc/24/64/70/820246470.db2.gz AIWNXJFEAUARCV-RYUDHWBXSA-N -1 1 339.440 -0.014 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C1(C(N)=O)CC1 ZINC001289535776 820392169 /nfs/dbraw/zinc/39/21/69/820392169.db2.gz IJSAVHVGQVEWCF-MWLCHTKSSA-N -1 1 348.359 -0.992 20 0 EBADMM Cc1cc(C)c(C(=O)NCCNC(=O)CCn2cc[n-]c(=O)c2=O)[nH]1 ZINC001292952898 820670067 /nfs/dbraw/zinc/67/00/67/820670067.db2.gz JALUXZLHBMKOGC-UHFFFAOYSA-N -1 1 347.375 -0.582 20 0 EBADMM CC(C)(C(=O)NCCNC(=O)CCn1cc[n-]c(=O)c1=O)C(F)F ZINC001292982927 820681439 /nfs/dbraw/zinc/68/14/39/820681439.db2.gz XOWDQXSQKFNHHP-UHFFFAOYSA-N -1 1 346.334 -0.550 20 0 EBADMM Cc1nsc(NCCN(C)C(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001101686994 820879045 /nfs/dbraw/zinc/87/90/45/820879045.db2.gz SUWUJIVJHPQADS-UHFFFAOYSA-N -1 1 338.393 -0.343 20 0 EBADMM Cc1cc(CC(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C)n(C)n1 ZINC001102145281 821036423 /nfs/dbraw/zinc/03/64/23/821036423.db2.gz ZLHIUJPIXKJZLE-ZYHUDNBSSA-N -1 1 347.423 -0.021 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)[C@@]1(F)CCOC1 ZINC001102277302 821057762 /nfs/dbraw/zinc/05/77/62/821057762.db2.gz BUICMJOLWUTYHB-GPCCPHFNSA-N -1 1 327.360 -0.177 20 0 EBADMM C/C=C(/C)C(=O)N[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001295192675 821059945 /nfs/dbraw/zinc/05/99/45/821059945.db2.gz YSCFWCZWGYHSHI-LIIPPBBTSA-N -1 1 330.348 -0.239 20 0 EBADMM O=C(Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-])[C@@H]1COC(=O)N1 ZINC001295524191 821110665 /nfs/dbraw/zinc/11/06/65/821110665.db2.gz QJWYMQPHCUJXSO-JTQLQIEISA-N -1 1 341.345 -0.120 20 0 EBADMM C[C@H](CCNC(=O)c1ccco1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001295814466 821174448 /nfs/dbraw/zinc/17/44/48/821174448.db2.gz OQGLPXJSVMNCMU-SECBINFHSA-N -1 1 344.331 -0.051 20 0 EBADMM CN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)Cc1ccco1 ZINC001296153132 821217819 /nfs/dbraw/zinc/21/78/19/821217819.db2.gz JBHKZEZJBPDHQJ-UHFFFAOYSA-N -1 1 348.359 -0.663 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1CN(C(=O)CC2CCC2)C1 ZINC001297232038 821343970 /nfs/dbraw/zinc/34/39/70/821343970.db2.gz CUIUWOLUTMQRRD-UHFFFAOYSA-N -1 1 348.403 -0.309 20 0 EBADMM CC(C)[C@H](C)C(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001297154172 821329382 /nfs/dbraw/zinc/32/93/82/821329382.db2.gz DEKBNGMJSHTLCW-NSHDSACASA-N -1 1 336.392 -0.454 20 0 EBADMM CC(C)[C@@H](C)C(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001297154171 821329992 /nfs/dbraw/zinc/32/99/92/821329992.db2.gz DEKBNGMJSHTLCW-LLVKDONJSA-N -1 1 336.392 -0.454 20 0 EBADMM C[C@@H]1CN(C(=O)Cn2cccn2)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001103885748 821364721 /nfs/dbraw/zinc/36/47/21/821364721.db2.gz JQOQWULDEPOUMC-VXGBXAGGSA-N -1 1 333.396 -0.019 20 0 EBADMM Cc1nccc(NC[C@@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001104017809 821387296 /nfs/dbraw/zinc/38/72/96/821387296.db2.gz UTFDQAFANJTFJV-LLVKDONJSA-N -1 1 346.391 -0.110 20 0 EBADMM Cc1cc(NC[C@@H](C)CNC(=O)c2cc(=O)n3[n-]cnc3n2)ncn1 ZINC001104037270 821391676 /nfs/dbraw/zinc/39/16/76/821391676.db2.gz RQGRRPHUIIYXJG-SECBINFHSA-N -1 1 342.363 -0.006 20 0 EBADMM C[C@@]1(C(=O)NC/C=C/CNC(=O)c2ncccc2[O-])CNC(=O)C1 ZINC001298357343 821515532 /nfs/dbraw/zinc/51/55/32/821515532.db2.gz UPFITBXZUDQORH-KZSQQDEKSA-N -1 1 332.360 -0.284 20 0 EBADMM Cc1nccc(NC[C@@H](C)N(C)C(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001104618612 821523093 /nfs/dbraw/zinc/52/30/93/821523093.db2.gz CTQBOGOZVBYWMF-LLVKDONJSA-N -1 1 346.391 -0.016 20 0 EBADMM C[C@H](CNc1cc(F)ncn1)N(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001104617070 821523262 /nfs/dbraw/zinc/52/32/62/821523262.db2.gz LEPYVRCCTQQLQO-MRVPVSSYSA-N -1 1 346.326 -0.081 20 0 EBADMM Cc1cc(NC[C@@H](C)N(C)C(=O)CCn2cc[n-]c(=O)c2=O)ncn1 ZINC001104619146 821523752 /nfs/dbraw/zinc/52/37/52/821523752.db2.gz RRTAPMJRMCKZRW-GFCCVEGCSA-N -1 1 346.391 -0.016 20 0 EBADMM O=C(CCc1cnc[nH]1)NC/C=C/CNC(=O)c1cnc[nH]c1=O ZINC001298447657 821545027 /nfs/dbraw/zinc/54/50/27/821545027.db2.gz SIIIRZFCLORFLU-OWOJBTEDSA-N -1 1 330.348 -0.060 20 0 EBADMM C[C@H](CNC(=O)[C@]1(C)C=CCC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001298650646 821581661 /nfs/dbraw/zinc/58/16/61/821581661.db2.gz JJFRZUZCPQGFIO-SJKOYZFVSA-N -1 1 348.403 -0.096 20 0 EBADMM CC/C=C(\C)C(=O)N[C@@H](C)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001298741537 821591636 /nfs/dbraw/zinc/59/16/36/821591636.db2.gz FMZHBTPFEDEWTJ-JVOXIWMLSA-N -1 1 336.392 -0.096 20 0 EBADMM C/C=C(/C)C(=O)N1CC[C@@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001299063763 821640111 /nfs/dbraw/zinc/64/01/11/821640111.db2.gz ANACXICYYDHCFB-CTPBAPBTSA-N -1 1 334.376 -0.390 20 0 EBADMM C[C@H](C(=O)N1CC[C@@H](c2nn[n-]n2)C1)N1C(=O)NC2(CCCC2)C1=O ZINC001302935497 821753064 /nfs/dbraw/zinc/75/30/64/821753064.db2.gz ABNUJDDBNRKHMS-NXEZZACHSA-N -1 1 347.379 -0.231 20 0 EBADMM CCC1(CC)NC(=O)N(CC(=O)N2CC[C@H](c3nn[n-]n3)C2)C1=O ZINC001302936766 821753171 /nfs/dbraw/zinc/75/31/71/821753171.db2.gz JRYOECATHVXMPM-VIFPVBQESA-N -1 1 335.368 -0.374 20 0 EBADMM CONC(=O)C1CCN(C(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC001303328168 821756493 /nfs/dbraw/zinc/75/64/93/821756493.db2.gz GBJWMTQSTLYGDK-UHFFFAOYSA-N -1 1 336.348 -0.567 20 0 EBADMM CC(F)(F)CN1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001304158721 821762322 /nfs/dbraw/zinc/76/23/22/821762322.db2.gz YTHCEGCZMAWEBC-UHFFFAOYSA-N -1 1 330.335 -0.274 20 0 EBADMM COC(=O)c1c(OCCO)n[n-]c1NC(=O)C(=O)c1cccn1C ZINC001306861567 821769112 /nfs/dbraw/zinc/76/91/12/821769112.db2.gz KYVAEMLTCJQDLS-UHFFFAOYSA-N -1 1 336.304 -0.273 20 0 EBADMM Cn1cc(CCC[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)cn1 ZINC001309141548 821775325 /nfs/dbraw/zinc/77/53/25/821775325.db2.gz FEUGIYFDBKEKLM-UHFFFAOYSA-N -1 1 327.366 -0.870 20 0 EBADMM Cc1cc(NC[C@H](O)CNC(=O)c2ncccc2[O-])nc(CO)n1 ZINC001105802274 821873676 /nfs/dbraw/zinc/87/36/76/821873676.db2.gz KAZFMFIDFSCDPP-JTQLQIEISA-N -1 1 333.348 -0.419 20 0 EBADMM Cn1cnc2c1ncnc2NC[C@H](O)CNC(=O)c1ncccc1[O-] ZINC001105801858 821873949 /nfs/dbraw/zinc/87/39/49/821873949.db2.gz DMDHVPGHTPBZPL-VIFPVBQESA-N -1 1 343.347 -0.333 20 0 EBADMM CN(CCNC(=O)c1cccc2ncnn21)Cc1nc(=O)n(C)[n-]1 ZINC001317451963 822114490 /nfs/dbraw/zinc/11/44/90/822114490.db2.gz ZCINZONPCKKCCX-UHFFFAOYSA-N -1 1 330.352 -0.987 20 0 EBADMM Cn1[n-]c(CN(CCNC(=O)c2cc[n+]([O-])cc2)C2CC2)nc1=O ZINC001317548472 822153490 /nfs/dbraw/zinc/15/34/90/822153490.db2.gz XMJQRYIFARGGLW-UHFFFAOYSA-N -1 1 332.364 -0.864 20 0 EBADMM C[C@H](CCNc1ncc(F)cn1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001106382284 822260942 /nfs/dbraw/zinc/26/09/42/822260942.db2.gz BQJQUSCMBLXVFT-MRVPVSSYSA-N -1 1 346.326 -0.033 20 0 EBADMM CCN(CCNc1ncccn1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001106805285 822334084 /nfs/dbraw/zinc/33/40/84/822334084.db2.gz USOIORGFUSOMFB-UHFFFAOYSA-N -1 1 332.364 -0.323 20 0 EBADMM C[C@H](C(=O)NCCNCc1n[nH]c(=O)[n-]1)n1nnnc1C(C)(C)C ZINC001129061504 828566306 /nfs/dbraw/zinc/56/63/06/828566306.db2.gz PMVGXSXXOXIRNP-MRVPVSSYSA-N -1 1 337.388 -0.739 20 0 EBADMM Cc1ccc(NC/C=C/CNC(=O)c2cc(=O)n3[n-]cnc3n2)nn1 ZINC001107263186 823831572 /nfs/dbraw/zinc/83/15/72/823831572.db2.gz TZAOLCSDNUNDOO-NSCUHMNNSA-N -1 1 340.347 -0.086 20 0 EBADMM O=C(NC/C=C\CNc1ncccn1)c1cc(=O)n2[n-]cnc2n1 ZINC001107263324 823832227 /nfs/dbraw/zinc/83/22/27/823832227.db2.gz YFDYGNLGUHJNMP-UPHRSURJSA-N -1 1 326.320 -0.394 20 0 EBADMM O=C(Cc1nc[nH]n1)NC[C@]12CCC[C@H]1N(Cc1n[nH]c(=O)[n-]1)CC2 ZINC001107604361 823889939 /nfs/dbraw/zinc/88/99/39/823889939.db2.gz SQGXGPMBLRAOSQ-MEBBXXQBSA-N -1 1 346.395 -0.268 20 0 EBADMM CSCCC(=O)NC[C@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107722250 823920857 /nfs/dbraw/zinc/92/08/57/823920857.db2.gz FGKQYMJITHAERK-CQSZACIVSA-N -1 1 343.453 -0.431 20 0 EBADMM CCOCCC(=O)NC[C@@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107806824 823973453 /nfs/dbraw/zinc/97/34/53/823973453.db2.gz MGFBKXJQFMBHTK-HNNXBMFYSA-N -1 1 341.412 -0.758 20 0 EBADMM Cc1nccc(NC[C@@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001107837487 823993850 /nfs/dbraw/zinc/99/38/50/823993850.db2.gz QSZQTPFNCPPJTI-SNVBAGLBSA-N -1 1 332.364 -0.358 20 0 EBADMM Cc1nsc(NC[C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001107843688 823997135 /nfs/dbraw/zinc/99/71/35/823997135.db2.gz LPWOJVKPDRWEQS-ZCFIWIBFSA-N -1 1 334.365 -0.192 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@](C)(CNC(=O)[C@H]3[C@@H]4CCC[C@@H]43)C2)nc1=O ZINC001107847870 823997784 /nfs/dbraw/zinc/99/77/84/823997784.db2.gz JKHWVCJUJTZSFQ-RKCSVJPLSA-N -1 1 349.435 -0.138 20 0 EBADMM Cn1nnnc1CN1CCO[C@@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001107889680 824019810 /nfs/dbraw/zinc/01/98/10/824019810.db2.gz BKCMFMVECZZUIO-HNNXBMFYSA-N -1 1 347.379 -0.668 20 0 EBADMM Cn1nncc1CN1CCO[C@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001107890553 824021161 /nfs/dbraw/zinc/02/11/61/824021161.db2.gz PGVGTFKZRLXBDU-MRXNPFEDSA-N -1 1 346.391 -0.063 20 0 EBADMM C[C@]1(CNC(=O)c2ncccc2[O-])CN(CCOCCO)CCO1 ZINC001107890746 824021925 /nfs/dbraw/zinc/02/19/25/824021925.db2.gz VECQJXYGCWQTDA-INIZCTEOSA-N -1 1 339.392 -0.383 20 0 EBADMM CNC(=O)[C@H](C)N1CCO[C@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001107890882 824022299 /nfs/dbraw/zinc/02/22/99/824022299.db2.gz ZAAYCXCOZUDTQV-MEDUHNTESA-N -1 1 336.392 -0.258 20 0 EBADMM Cn1[n-]c(CN2CCO[C@](C)(CNC(=O)c3cc[nH]c3)C2)nc1=O ZINC001107960637 824059846 /nfs/dbraw/zinc/05/98/46/824059846.db2.gz LETTYAZYJYGUNZ-OAHLLOKOSA-N -1 1 334.380 -0.543 20 0 EBADMM CC(C)N1CCO[C@@](C)(CNC(=O)CCc2n[nH]c(=O)[n-]c2=O)C1 ZINC001108048176 824105606 /nfs/dbraw/zinc/10/56/06/824105606.db2.gz QZMZTRVRNBSOMM-HNNXBMFYSA-N -1 1 339.396 -0.169 20 0 EBADMM C[C@@H](F)CCN1CCO[C@](C)(CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001108051838 824107506 /nfs/dbraw/zinc/10/75/06/824107506.db2.gz PYNKCEVLFXIFTQ-YMTOWFKASA-N -1 1 343.359 -0.148 20 0 EBADMM C[C@]1(CNC(=O)c2cnc(C3CC3)[n-]c2=O)CN(CC(N)=O)CCO1 ZINC001108262546 824185272 /nfs/dbraw/zinc/18/52/72/824185272.db2.gz SMWLUSGZMLBJSE-INIZCTEOSA-N -1 1 349.391 -0.634 20 0 EBADMM Cn1[n-]c(CN2CCO[C@](C)(CNC(=O)Cc3ccc[nH]3)C2)nc1=O ZINC001108414589 824274217 /nfs/dbraw/zinc/27/42/17/824274217.db2.gz OPOOAIIANVRTNB-MRXNPFEDSA-N -1 1 348.407 -0.614 20 0 EBADMM Cc1cc(NC[C@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)ncn1 ZINC001108444702 824289319 /nfs/dbraw/zinc/28/93/19/824289319.db2.gz BUWIRRBHEUCMMV-NSHDSACASA-N -1 1 332.364 -0.358 20 0 EBADMM CN(CCCNc1ncnc2[nH]cnc21)C(=O)Cn1c(=O)[n-][nH]c1=O ZINC001109495166 824551849 /nfs/dbraw/zinc/55/18/49/824551849.db2.gz IPUBOPFFUOSIHY-UHFFFAOYSA-N -1 1 347.339 -0.732 20 0 EBADMM O=C(CCc1c[nH]nn1)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[n-]1 ZINC001111046351 824872511 /nfs/dbraw/zinc/87/25/11/824872511.db2.gz MSSDCPDAMPYCMI-MXWKQRLJSA-N -1 1 332.368 -0.517 20 0 EBADMM O=C(CCc1cnn[nH]1)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[n-]1 ZINC001111046351 824872515 /nfs/dbraw/zinc/87/25/15/824872515.db2.gz MSSDCPDAMPYCMI-MXWKQRLJSA-N -1 1 332.368 -0.517 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1ncn(-c2ccccc2)n1 ZINC001130056856 828724350 /nfs/dbraw/zinc/72/43/50/828724350.db2.gz XEZNGDMNCGRBTB-UHFFFAOYSA-N -1 1 328.336 -0.389 20 0 EBADMM O=C(CN1CCN(C(=O)c2ncccc2[O-])CC1)NC(=O)NC1CC1 ZINC001112782290 825635910 /nfs/dbraw/zinc/63/59/10/825635910.db2.gz MITTWBYOJHAQGI-UHFFFAOYSA-N -1 1 347.375 -0.467 20 0 EBADMM CCS(=O)(=O)NCCN1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001112783769 825636937 /nfs/dbraw/zinc/63/69/37/825636937.db2.gz BUBBWCYLSXQBDY-UHFFFAOYSA-N -1 1 342.421 -0.516 20 0 EBADMM C[C@@H](Nc1ncnc2[nH]cnc21)[C@H](C)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001113363762 825845191 /nfs/dbraw/zinc/84/51/91/825845191.db2.gz DHQDELCLFHRQHS-RITPCOANSA-N -1 1 345.323 -0.432 20 0 EBADMM CCO[C@@H](CN1CCN(C(=O)Cn2c(=O)[n-][nH]c2=O)CC1)C1CC1 ZINC001113583599 825928516 /nfs/dbraw/zinc/92/85/16/825928516.db2.gz VMKCGENRTXZFPF-LBPRGKRZSA-N -1 1 339.396 -0.351 20 0 EBADMM Cc1noc(CCCC(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC001113631008 825954371 /nfs/dbraw/zinc/95/43/71/825954371.db2.gz SSTVVVIMTSOKHG-UHFFFAOYSA-N -1 1 349.395 -0.533 20 0 EBADMM C[C@@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)N(C)c1ncccn1 ZINC001113657684 825968567 /nfs/dbraw/zinc/96/85/67/825968567.db2.gz UIGAUGXPBAEEMD-VIFPVBQESA-N -1 1 328.336 -0.538 20 0 EBADMM CNC(=O)CCCCC(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001113707809 825991796 /nfs/dbraw/zinc/99/17/96/825991796.db2.gz BDAWMIQDKGHXDH-UHFFFAOYSA-N -1 1 338.412 -0.941 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCCS(C)(=O)=O ZINC001179707346 833002536 /nfs/dbraw/zinc/00/25/36/833002536.db2.gz NZZHGRNREHBGOI-UWVGGRQHSA-N -1 1 345.425 -0.586 20 0 EBADMM CCNC(=O)NC(=O)CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC001114163999 826156292 /nfs/dbraw/zinc/15/62/92/826156292.db2.gz OVHWVTUNISSUMK-IWIIMEHWSA-N -1 1 347.375 -0.707 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CCc2ncc[nH]2)nc1=O ZINC001114207139 826172708 /nfs/dbraw/zinc/17/27/08/826172708.db2.gz SPRVFPFVSIYXGF-MSRIBSCDSA-N -1 1 331.380 -0.989 20 0 EBADMM COCC1(CC(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)CC1 ZINC001114260462 826185669 /nfs/dbraw/zinc/18/56/69/826185669.db2.gz OAFOLPHMUWQLPF-YABSGUDNSA-N -1 1 335.408 -0.529 20 0 EBADMM C[C@H](C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)C(C)(F)F ZINC001114854423 826356713 /nfs/dbraw/zinc/35/67/13/826356713.db2.gz ODGJAJYLUWLRBX-REIXXSIJSA-N -1 1 329.351 -0.054 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CCc2cnccn2)nc1=O ZINC001115077043 826414638 /nfs/dbraw/zinc/41/46/38/826414638.db2.gz RYFZAENZFXLIGS-JYAVWHMHSA-N -1 1 343.391 -0.922 20 0 EBADMM CO[C@@H](C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)C1CCCC1 ZINC001115179080 826440841 /nfs/dbraw/zinc/44/08/41/826440841.db2.gz VACGODVYNUPOAP-PAPYEOQZSA-N -1 1 349.435 -0.140 20 0 EBADMM C[C@@H](CN(C)C(=O)Cn1c(=O)[n-][nH]c1=O)Nc1ncnc2[nH]cnc21 ZINC001115802408 826588008 /nfs/dbraw/zinc/58/80/08/826588008.db2.gz ZRLLVANXEZQMLR-ZETCQYMHSA-N -1 1 347.339 -0.685 20 0 EBADMM C[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)NCC(F)(F)F ZINC001116054647 826596590 /nfs/dbraw/zinc/59/65/90/826596590.db2.gz HWFOQHDAHXWTST-ZETCQYMHSA-N -1 1 336.270 -0.890 20 0 EBADMM O=C(c1cncc(-n2ccnn2)c1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001116935078 826653320 /nfs/dbraw/zinc/65/33/20/826653320.db2.gz NTFJSUOSSUSVJD-LLVKDONJSA-N -1 1 327.308 -0.611 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NC[C@@]3(O)CCSC3)ccnc1-2 ZINC001117426168 826746461 /nfs/dbraw/zinc/74/64/61/826746461.db2.gz JBQNUHMLGARYPR-AWEZNQCLSA-N -1 1 335.389 -0.736 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCC(C)(C)OCCO)ccnc1-2 ZINC001117477819 826757426 /nfs/dbraw/zinc/75/74/26/826757426.db2.gz MXKJYSHQIXGVOU-UHFFFAOYSA-N -1 1 335.364 -0.816 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)C[C@H]1CCOC1 ZINC001180924902 833124084 /nfs/dbraw/zinc/12/40/84/833124084.db2.gz CNHNWEUYYCATQC-PWSUYJOCSA-N -1 1 338.364 -0.546 20 0 EBADMM O=C(CCN1C(=O)CCNC1=S)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001118379267 826920193 /nfs/dbraw/zinc/92/01/93/826920193.db2.gz MDLDLOLKFCHPDE-SECBINFHSA-N -1 1 337.409 -0.597 20 0 EBADMM CCOC(=O)[C@@H](NC(=O)OC(C)(C)C)C(=O)NCc1nn[n-]n1 ZINC001181044757 833129992 /nfs/dbraw/zinc/12/99/92/833129992.db2.gz AXEAJYICILNWMF-QMMMGPOBSA-N -1 1 328.329 -0.728 20 0 EBADMM COC(=O)C[C@@H](C)N(OC)C(=O)CNC(=O)c1ncccc1[O-] ZINC001181549271 833164948 /nfs/dbraw/zinc/16/49/48/833164948.db2.gz QEYQAZOZVAAQMW-SECBINFHSA-N -1 1 325.321 -0.141 20 0 EBADMM Cc1nc(N[C@@H](CO)CNC(=O)c2ncccc2[O-])nc(N(C)C)n1 ZINC001121338707 827346137 /nfs/dbraw/zinc/34/61/37/827346137.db2.gz BEOHXUHUNJRIOS-SNVBAGLBSA-N -1 1 347.379 -0.451 20 0 EBADMM Cn1ncc2c1ncnc2N[C@H](CO)CNC(=O)c1ncccc1[O-] ZINC001121339217 827347791 /nfs/dbraw/zinc/34/77/91/827347791.db2.gz GQXQITKANZVLTA-VIFPVBQESA-N -1 1 343.347 -0.333 20 0 EBADMM CN1CCN(C(=O)CNC(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC001124074058 827754848 /nfs/dbraw/zinc/75/48/48/827754848.db2.gz ZZCQRDADCBKRKR-UHFFFAOYSA-N -1 1 334.405 -0.478 20 0 EBADMM C[C@@H](NC(=O)c1ccoc1)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001182812056 833261887 /nfs/dbraw/zinc/26/18/87/833261887.db2.gz YNMUXEGBYPHXNR-MWLCHTKSSA-N -1 1 348.363 -0.388 20 0 EBADMM COC(=O)[C@@H](NC(=O)Cn1cc(F)c(=O)[n-]c1=O)C(F)(F)F ZINC001183664414 833299370 /nfs/dbraw/zinc/29/93/70/833299370.db2.gz OBWQXSSRVYQWHA-ZCFIWIBFSA-N -1 1 327.190 -0.692 20 0 EBADMM CSc1nc(NC(=O)CC[C@@H]2NC(=O)[C@H](C)NC2=O)cc(=O)[n-]1 ZINC001184062440 833318711 /nfs/dbraw/zinc/31/87/11/833318711.db2.gz JUTFIBJKVHESOZ-BQBZGAKWSA-N -1 1 339.377 -0.374 20 0 EBADMM CCOC(=O)c1cnc(NC(=O)CC[C@@H]2NC(=O)[C@H](C)NC2=O)[n-]1 ZINC001184064523 833319099 /nfs/dbraw/zinc/31/90/99/833319099.db2.gz ZYPRJDKUJPGIBN-YUMQZZPRSA-N -1 1 337.336 -0.692 20 0 EBADMM CCOC(=O)c1c[n-]c(NC(=O)CC[C@@H]2NC(=O)[C@H](C)NC2=O)n1 ZINC001184064523 833319102 /nfs/dbraw/zinc/31/91/02/833319102.db2.gz ZYPRJDKUJPGIBN-YUMQZZPRSA-N -1 1 337.336 -0.692 20 0 EBADMM CCC(=O)NCC(=O)N[C@@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001131911117 829271810 /nfs/dbraw/zinc/27/18/10/829271810.db2.gz CWVXMXYQEDRFFU-GHMZBOCLSA-N -1 1 338.412 -0.896 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CN2CC[C@H](O)C2)c1 ZINC001186047777 833386856 /nfs/dbraw/zinc/38/68/56/833386856.db2.gz LJCHJSMTQHPEOO-VIFPVBQESA-N -1 1 329.378 -0.695 20 0 EBADMM O=C(Cc1cn2cc(F)ccc2n1)NCCNCc1n[nH]c(=O)[n-]1 ZINC001131963520 829281803 /nfs/dbraw/zinc/28/18/03/829281803.db2.gz KKXJOANKRBGCCG-UHFFFAOYSA-N -1 1 333.327 -0.254 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)C(C)(C)C(N)=O)CN1Cc1nc(=O)n(C)[n-]1 ZINC001132120978 829343526 /nfs/dbraw/zinc/34/35/26/829343526.db2.gz YDUVVMXTWSHIDS-ZJUUUORDSA-N -1 1 338.412 -0.911 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)COCC(F)F)CN1Cc1nc(=O)n(C)[n-]1 ZINC001132202116 829375725 /nfs/dbraw/zinc/37/57/25/829375725.db2.gz CNSZHWHCHBPICT-NXEZZACHSA-N -1 1 347.366 -0.141 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)[C@H]1COCCN1C1CCCC1 ZINC001132784436 829523019 /nfs/dbraw/zinc/52/30/19/829523019.db2.gz USDDKYOSOGIHFB-GFCCVEGCSA-N -1 1 338.412 -0.641 20 0 EBADMM CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001186727314 833423191 /nfs/dbraw/zinc/42/31/91/833423191.db2.gz KAQBWQQUIYMSRX-PHDIDXHHSA-N -1 1 336.308 -0.547 20 0 EBADMM COCCCOCCC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001186927599 833440065 /nfs/dbraw/zinc/44/00/65/833440065.db2.gz MHGRSFIERHCHBV-LLVKDONJSA-N -1 1 327.385 -0.356 20 0 EBADMM NC(=O)NC1(C(=O)NCCNCc2n[nH]c(=O)[n-]2)CCCCC1 ZINC001134738773 829837441 /nfs/dbraw/zinc/83/74/41/829837441.db2.gz XAFXEGXVVHZFCX-UHFFFAOYSA-N -1 1 325.373 -0.913 20 0 EBADMM C[C@H](C[C@H](C)NC(=O)c1n[nH]c(=O)[n-]c1=O)NCc1cn(C)nn1 ZINC001134868997 829862090 /nfs/dbraw/zinc/86/20/90/829862090.db2.gz UZLFFJNTYKWDSF-SFYZADRCSA-N -1 1 336.356 -0.902 20 0 EBADMM Cc1cc(CNCCNC(=O)c2c[n-]n3c2nccc3=O)ncn1 ZINC001134942100 829876484 /nfs/dbraw/zinc/87/64/84/829876484.db2.gz ZBJXGPJGOIKRAV-UHFFFAOYSA-N -1 1 327.348 -0.359 20 0 EBADMM Cc1noc([C@@H](C)NCCNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001135391066 829962215 /nfs/dbraw/zinc/96/22/15/829962215.db2.gz RZUDMHDPOKQBPT-SECBINFHSA-N -1 1 336.352 -0.915 20 0 EBADMM Cc1cc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)c2cnn(C)c2n1 ZINC001135809930 830029708 /nfs/dbraw/zinc/02/97/08/830029708.db2.gz SMIYHJOINKZACX-UHFFFAOYSA-N -1 1 330.352 -0.380 20 0 EBADMM O=C(c1nnc2ccccc2n1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001138991022 830101172 /nfs/dbraw/zinc/10/11/72/830101172.db2.gz HMYMQUFTNWWDLU-UHFFFAOYSA-N -1 1 326.320 -0.338 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)Cc1ccccn1 ZINC001142168600 830124489 /nfs/dbraw/zinc/12/44/89/830124489.db2.gz WHXRUUDCVCHMRG-ZDUSSCGKSA-N -1 1 345.359 -0.334 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)Cc1ccncc1 ZINC001142184590 830124641 /nfs/dbraw/zinc/12/46/41/830124641.db2.gz APRHLINFVFKNSY-ZDUSSCGKSA-N -1 1 345.359 -0.334 20 0 EBADMM CN1CCN(C[C@H]2CCC[C@]3(CCN(C(=O)C(=O)[O-])C3)O2)CC1 ZINC001143420098 830151155 /nfs/dbraw/zinc/15/11/55/830151155.db2.gz RIPNJAZPDPKZSG-CZUORRHYSA-N -1 1 325.409 -0.141 20 0 EBADMM COC(=O)[C@H](CCC(=O)NCCCC[P@](=O)([O-])O)NC(C)=O ZINC001144964086 830173233 /nfs/dbraw/zinc/17/32/33/830173233.db2.gz QJYDXXFJHQNMME-JTQLQIEISA-N -1 1 338.297 -0.482 20 0 EBADMM COCCOCCC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001211431048 837438563 /nfs/dbraw/zinc/43/85/63/837438563.db2.gz RLUMKWFGNGDOHE-VXGBXAGGSA-N -1 1 341.412 -0.902 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1ccc(-n2ccnn2)cc1 ZINC001147579101 830365744 /nfs/dbraw/zinc/36/57/44/830365744.db2.gz DDQVKXIEOHESRV-UHFFFAOYSA-N -1 1 328.336 -0.389 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cnc(OCC2CC2)cn1 ZINC001147623581 830374385 /nfs/dbraw/zinc/37/43/85/830374385.db2.gz JHFMNFVLDVAYCT-UHFFFAOYSA-N -1 1 333.352 -0.391 20 0 EBADMM CN(C)C(=O)c1ccc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)cc1 ZINC001148178972 830452495 /nfs/dbraw/zinc/45/24/95/830452495.db2.gz CKRDSEHPKCNVRP-UHFFFAOYSA-N -1 1 332.364 -0.268 20 0 EBADMM C[C@@H](C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1)C1CC1 ZINC001149472166 830677137 /nfs/dbraw/zinc/67/71/37/830677137.db2.gz CMUTYKFEPAJAHR-YPMHNXCESA-N -1 1 337.424 -0.138 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CCC(C)C ZINC001211838366 837477958 /nfs/dbraw/zinc/47/79/58/837477958.db2.gz PSSNFSVEHQMLQA-VXGBXAGGSA-N -1 1 325.413 -0.140 20 0 EBADMM CC[C@@H](CNS(C)(=O)=O)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC001211903395 837489593 /nfs/dbraw/zinc/48/95/93/837489593.db2.gz NFVHWFUPJTXSNE-ZETCQYMHSA-N -1 1 343.377 -0.034 20 0 EBADMM Cc1nn(CC(=O)N2CCOC[C@@H]2c2nn[n-]n2)c2ncccc12 ZINC001150811559 830988533 /nfs/dbraw/zinc/98/85/33/830988533.db2.gz ZDRNKUHLYPSSDG-LLVKDONJSA-N -1 1 328.336 -0.147 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1ccc2c(c1)nc[nH]c2=O ZINC001151177574 831039985 /nfs/dbraw/zinc/03/99/85/831039985.db2.gz BCCHLAURRVBZMO-UHFFFAOYSA-N -1 1 329.320 -0.321 20 0 EBADMM CN(CC(=O)NCCNCc1n[nH]c(=O)[n-]1)C(=O)c1ccsc1 ZINC001151517346 831084334 /nfs/dbraw/zinc/08/43/34/831084334.db2.gz GDEDKOXDBKYFEJ-UHFFFAOYSA-N -1 1 338.393 -0.450 20 0 EBADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cnc4n(c3=O)CCS4)c1-2 ZINC001152496086 831167759 /nfs/dbraw/zinc/16/77/59/831167759.db2.gz XFOJQFSACQXPIY-UHFFFAOYSA-N -1 1 329.345 -0.050 20 0 EBADMM CCn1nc(C(=O)NCc2nn[n-]n2)c(=O)c2cc3c(cc21)OCO3 ZINC001153670637 831254638 /nfs/dbraw/zinc/25/46/38/831254638.db2.gz PNGOCCJUAWCVMN-UHFFFAOYSA-N -1 1 343.303 -0.412 20 0 EBADMM CCN(Cc1nc(=O)n(C)[n-]1)[C@H](C)CNC(=O)c1cn(C)ccc1=O ZINC001154272856 831324340 /nfs/dbraw/zinc/32/43/40/831324340.db2.gz WMLQUZUYBQYJLK-LLVKDONJSA-N -1 1 348.407 -0.553 20 0 EBADMM Cc1cc2c(c(N3Cc4cn(S(C)(=O)=O)nc4C3)n1)C(=O)[N-]C2=O ZINC001155749899 831427692 /nfs/dbraw/zinc/42/76/92/831427692.db2.gz CPKPFAMQMUWYPX-UHFFFAOYSA-N -1 1 347.356 -0.202 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC(Cc2ncon2)CC1 ZINC001156114168 831455751 /nfs/dbraw/zinc/45/57/51/831455751.db2.gz FXLTZVUYDFUXOR-UHFFFAOYSA-N -1 1 333.348 -0.209 20 0 EBADMM C[C@H]1[C@H](Nc2nc(Cl)nc3[nH]cnc32)C(=O)N1S(=O)(=O)[O-] ZINC001157067393 831530309 /nfs/dbraw/zinc/53/03/09/831530309.db2.gz ARZJNRCYMGYYBZ-IMJSIDKUSA-N -1 1 332.729 -0.180 20 0 EBADMM C[C@H]1[C@H](Nc2cccc(N3CCOCC3)n2)C(=O)N1S(=O)(=O)[O-] ZINC001157070976 831530943 /nfs/dbraw/zinc/53/09/43/831530943.db2.gz MEARIKZJPBJZLF-CABZTGNLSA-N -1 1 342.377 -0.268 20 0 EBADMM CCCNC(=O)CN1C[C@@H](NC(=O)c2ncccc2[O-])[C@H](OC)C1 ZINC001212156364 837559634 /nfs/dbraw/zinc/55/96/34/837559634.db2.gz IONSJXZLSCIMNS-DGCLKSJQSA-N -1 1 336.392 -0.258 20 0 EBADMM NC(=O)NC(=O)CN1CC=C(CCNC(=O)c2ncccc2[O-])CC1 ZINC001159923213 831797991 /nfs/dbraw/zinc/79/79/91/831797991.db2.gz UQORAGJWLZRIMN-UHFFFAOYSA-N -1 1 347.375 -0.266 20 0 EBADMM Cn1[n-]c(CN2CC=C(CCNC(=O)Cn3nccn3)CC2)nc1=O ZINC001160247379 831829072 /nfs/dbraw/zinc/82/90/72/831829072.db2.gz PKJZZKCEHNFISM-UHFFFAOYSA-N -1 1 346.395 -0.962 20 0 EBADMM COC(=O)CSc1cncc(Nc2c(O)[nH]c(=O)[n-]c2=S)n1 ZINC001160858554 831898274 /nfs/dbraw/zinc/89/82/74/831898274.db2.gz TZTYUJVYPTYLGP-MRVPVSSYSA-N -1 1 341.374 -0.311 20 0 EBADMM Cn1[n-]c(CN2CC=C(CCNC(=O)Cc3cnoc3)CC2)nc1=O ZINC001160986091 831912894 /nfs/dbraw/zinc/91/28/94/831912894.db2.gz MVTQWOGETNBMMF-UHFFFAOYSA-N -1 1 346.391 -0.023 20 0 EBADMM Cn1[n-]c(CN2CC=C(CCNC(=O)c3n[nH]cc3F)CC2)nc1=O ZINC001161149230 831932001 /nfs/dbraw/zinc/93/20/01/831932001.db2.gz RMYNBUXVUWZEPE-UHFFFAOYSA-N -1 1 349.370 -0.077 20 0 EBADMM CCn1nc(C)c(CNCCCNC(=O)c2n[nH]c(=O)[n-]c2=O)n1 ZINC001161862891 831996998 /nfs/dbraw/zinc/99/69/98/831996998.db2.gz CUMONVNNCVLOQL-UHFFFAOYSA-N -1 1 336.356 -0.888 20 0 EBADMM Cc1nnc([C@H](C)NCCCNC(=O)c2cnc([O-])n(C)c2=O)[nH]1 ZINC001163781199 832137562 /nfs/dbraw/zinc/13/75/62/832137562.db2.gz AIIQTAWZUJQXCK-QMMMGPOBSA-N -1 1 335.368 -0.617 20 0 EBADMM CC(C)c1nnc(CNCCCNC(=O)c2cnc([O-])n(C)c2=O)[nH]1 ZINC001163781433 832138579 /nfs/dbraw/zinc/13/85/79/832138579.db2.gz JJILQSPEUITAES-UHFFFAOYSA-N -1 1 349.395 -0.363 20 0 EBADMM CC(=O)N1CC(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001164279513 832179916 /nfs/dbraw/zinc/17/99/16/832179916.db2.gz COGKVJPVDYKKEI-UHFFFAOYSA-N -1 1 336.396 -0.635 20 0 EBADMM O=C(CCn1ccnn1)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001164284929 832180735 /nfs/dbraw/zinc/18/07/35/832180735.db2.gz JDMGYBOAZSORMP-UHFFFAOYSA-N -1 1 334.384 -0.432 20 0 EBADMM CCC(=O)N[C@H](C)C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001164640991 832202352 /nfs/dbraw/zinc/20/23/52/832202352.db2.gz NSTZTLITYQDJFK-SNVBAGLBSA-N -1 1 338.412 -0.199 20 0 EBADMM O=C(NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)c1cc2n(n1)CCO2 ZINC001165523214 832225254 /nfs/dbraw/zinc/22/52/54/832225254.db2.gz DPPFBNUFGIXTQA-UHFFFAOYSA-N -1 1 347.379 -0.211 20 0 EBADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)CN1CCOCC1 ZINC001351405937 832234590 /nfs/dbraw/zinc/23/45/90/832234590.db2.gz DGSNQDVPRWPQHI-UHFFFAOYSA-N -1 1 336.392 -0.302 20 0 EBADMM COc1cnc(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)nc1 ZINC001166088496 832247432 /nfs/dbraw/zinc/24/74/32/832247432.db2.gz FTZRETLLHXJMGA-UHFFFAOYSA-N -1 1 347.379 -0.001 20 0 EBADMM CN1CC[C@H](C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)C1=O ZINC001166717012 832283306 /nfs/dbraw/zinc/28/33/06/832283306.db2.gz XKOKJRRHOOFPNZ-SNVBAGLBSA-N -1 1 336.396 -0.635 20 0 EBADMM CN(CCCNC(=O)C(=O)NCC1CC1)C(=O)c1ncccc1[O-] ZINC001351870063 832289380 /nfs/dbraw/zinc/28/93/80/832289380.db2.gz UOPCMJXJNZJIHB-UHFFFAOYSA-N -1 1 334.376 -0.108 20 0 EBADMM CCN(CCCNC(=O)C1CC1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001351997490 832301650 /nfs/dbraw/zinc/30/16/50/832301650.db2.gz INIUWUGCEIPMQW-UHFFFAOYSA-N -1 1 336.392 -0.309 20 0 EBADMM NC(=O)c1ccc(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)[nH]1 ZINC001167248221 832321361 /nfs/dbraw/zinc/32/13/61/832321361.db2.gz ZIBJWAGVBYNYKZ-UHFFFAOYSA-N -1 1 347.379 -0.373 20 0 EBADMM CNC(=O)NCC(=O)NCCCN(C(=O)c1ncccc1[O-])C1CC1 ZINC001352523497 832334851 /nfs/dbraw/zinc/33/48/51/832334851.db2.gz XJQQEZCWRABTHM-UHFFFAOYSA-N -1 1 349.391 -0.173 20 0 EBADMM NC(=O)c1c[nH]c(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)c1 ZINC001167539675 832353489 /nfs/dbraw/zinc/35/34/89/832353489.db2.gz DZFMKCVOIBXENP-UHFFFAOYSA-N -1 1 347.379 -0.373 20 0 EBADMM CN1CC[C@H](C(=O)NC[C@H](NC(=O)c2ncccc2[O-])C2CC2)C1=O ZINC001353181438 832413717 /nfs/dbraw/zinc/41/37/17/832413717.db2.gz PCIWBNYNPOOPQD-NEPJUHHUSA-N -1 1 346.387 -0.110 20 0 EBADMM CCCCC(=O)N1CC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001353358326 832423903 /nfs/dbraw/zinc/42/39/03/832423903.db2.gz QCQQTZZIMHSWRE-LBPRGKRZSA-N -1 1 336.392 -0.166 20 0 EBADMM CC(C)N1C(=O)CN(C(=O)[C@]2(C(=O)[O-])CNCCO2)CC1(C)C ZINC001353361113 832424013 /nfs/dbraw/zinc/42/40/13/832424013.db2.gz WXTYRQDGPDUNOO-HNNXBMFYSA-N -1 1 327.381 -0.713 20 0 EBADMM Cc1ocnc1C(=O)N[C@H](C)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001354556473 832501872 /nfs/dbraw/zinc/50/18/72/832501872.db2.gz OBZXFPHHZGCHOV-SECBINFHSA-N -1 1 349.347 -0.842 20 0 EBADMM CNC(=O)c1cncc(C=CC(=O)N2CCOC[C@H]2c2nn[n-]n2)c1 ZINC001170375058 832502800 /nfs/dbraw/zinc/50/28/00/832502800.db2.gz FJKZUGYQKVJUMO-JDGPPOGSSA-N -1 1 343.347 -0.432 20 0 EBADMM C[C@@H](CNC(=O)Cc1ccco1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001354661465 832510911 /nfs/dbraw/zinc/51/09/11/832510911.db2.gz JZFFNPSBNPGKCX-VIFPVBQESA-N -1 1 344.331 -0.512 20 0 EBADMM CCC1(C(=O)NC[C@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001354706052 832513830 /nfs/dbraw/zinc/51/38/30/832513830.db2.gz KERBDHPQVKNLHI-NSHDSACASA-N -1 1 336.392 -0.262 20 0 EBADMM C[C@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CCCNC1=O ZINC001355121274 832548359 /nfs/dbraw/zinc/54/83/59/832548359.db2.gz GOENAZYKYWAFKJ-MNOVXSKESA-N -1 1 334.376 -0.062 20 0 EBADMM CN(CCNC(=O)CCCF)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001355824362 832586060 /nfs/dbraw/zinc/58/60/60/832586060.db2.gz RRWXKFYEIWYONW-UHFFFAOYSA-N -1 1 328.344 -0.749 20 0 EBADMM CCN(CCNC(=O)C(F)F)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001355955811 832592578 /nfs/dbraw/zinc/59/25/78/832592578.db2.gz ZKYULMXSELDZHU-UHFFFAOYSA-N -1 1 332.307 -0.844 20 0 EBADMM CC(=O)N[C@H](Cc1cnc[nH]1)C(=O)n1[n-]c2ccnc(=O)c-2c1N ZINC001176385820 832672002 /nfs/dbraw/zinc/67/20/02/832672002.db2.gz XBYJJCGNKFCXAE-SNVBAGLBSA-N -1 1 329.320 -0.631 20 0 EBADMM Cc1[n-][nH]c2ncnc(=NC(=O)[C@@H]3CCc4[nH]c(=O)[nH]c(=O)c4C3)c1-2 ZINC001176619277 832682193 /nfs/dbraw/zinc/68/21/93/832682193.db2.gz HCZYVWGWXLBRJR-SSDOTTSWSA-N -1 1 341.331 -0.020 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@H]2OCC[C@@]2(C(=O)NCC2CC2)C1 ZINC001176840718 832693989 /nfs/dbraw/zinc/69/39/89/832693989.db2.gz MRVORNQRCHKQAM-IAQYHMDHSA-N -1 1 334.380 -0.724 20 0 EBADMM CCNC(=O)CN1CCO[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001176982763 832724380 /nfs/dbraw/zinc/72/43/80/832724380.db2.gz ISQLCAFNMWERQQ-OCCSQVGLSA-N -1 1 348.403 -0.161 20 0 EBADMM O=C([O-])CN1CCCn2nc(C(=O)N3CCN4CC[C@@H]4C3)cc2C1=O ZINC001178366728 832854922 /nfs/dbraw/zinc/85/49/22/832854922.db2.gz FFWLJLVURWGSNX-LLVKDONJSA-N -1 1 347.375 -0.656 20 0 EBADMM CC(C)(C)OC(=O)N1C[C@H](O)C[C@H](C(=O)NCc2nn[n-]n2)C1 ZINC001178664417 832898072 /nfs/dbraw/zinc/89/80/72/832898072.db2.gz MIQRJINOUPYFBI-DTWKUNHWSA-N -1 1 326.357 -0.566 20 0 EBADMM CS(=O)(=O)N1CCC(C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)CC1 ZINC001178768105 832911825 /nfs/dbraw/zinc/91/18/25/832911825.db2.gz RITWBAYZBLRNMS-UHFFFAOYSA-N -1 1 340.365 -0.331 20 0 EBADMM CS(=O)(=O)N1CCC(C(=O)NCCCC[P@](=O)([O-])O)CC1 ZINC001178768250 832912690 /nfs/dbraw/zinc/91/26/90/832912690.db2.gz UGGWWIULARHXLB-UHFFFAOYSA-N -1 1 342.354 -0.268 20 0 EBADMM C[C@H](OCC1CC1)C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001187159427 833906776 /nfs/dbraw/zinc/90/67/76/833906776.db2.gz CMNDDOCEGBZJSX-FPMFFAJLSA-N -1 1 349.435 -0.044 20 0 EBADMM NC(=O)NC(=O)CN1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001187639029 833949066 /nfs/dbraw/zinc/94/90/66/833949066.db2.gz JFWIWUDTVQVTBG-VHSXEESVSA-N -1 1 333.348 -0.872 20 0 EBADMM CNC(=O)NC(=O)CN1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001187640080 833950241 /nfs/dbraw/zinc/95/02/41/833950241.db2.gz XANWZEWOPYEAIA-WDEREUQCSA-N -1 1 347.375 -0.611 20 0 EBADMM CCC[C@@H](OC)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1OC ZINC001213254253 837734110 /nfs/dbraw/zinc/73/41/10/837734110.db2.gz MEZFQLNGYLPGJO-IJLUTSLNSA-N -1 1 341.412 -0.761 20 0 EBADMM COC(=O)[C@@H](CC(N)=O)[N-]S(=O)(=O)Cc1ccc(F)c(F)c1 ZINC001189339737 834144350 /nfs/dbraw/zinc/14/43/50/834144350.db2.gz SQWTWESORWXAFO-SNVBAGLBSA-N -1 1 336.316 -0.199 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC[C@@H](N(C)[C@@H](C)C(=O)NC(N)=O)C2)c1[O-] ZINC001189674360 834177259 /nfs/dbraw/zinc/17/72/59/834177259.db2.gz PPUJSUUQBNFMJN-DTWKUNHWSA-N -1 1 338.368 -0.847 20 0 EBADMM COCC1(CC(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001190106280 834229856 /nfs/dbraw/zinc/22/98/56/834229856.db2.gz SXHWGRKZGZSKJA-GFCCVEGCSA-N -1 1 337.424 -0.042 20 0 EBADMM COCC[C@H](C)C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001190271656 834250655 /nfs/dbraw/zinc/25/06/55/834250655.db2.gz KUCJYUQYMPQYMV-RWMBFGLXSA-N -1 1 337.424 -0.186 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)CCC(F)(F)F)C2)nc1=O ZINC001192029596 834594336 /nfs/dbraw/zinc/59/43/36/834594336.db2.gz SMIXZAQAQJTHPE-HTQZYQBOSA-N -1 1 337.302 -0.888 20 0 EBADMM CO[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H](C)Cc1cnc[nH]1 ZINC001213559130 837798194 /nfs/dbraw/zinc/79/81/94/837798194.db2.gz AEYMZRANLGTYPD-YUSALJHKSA-N -1 1 349.395 -0.572 20 0 EBADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@H](C)C(=O)N(C)OC ZINC001193205266 834818469 /nfs/dbraw/zinc/81/84/69/834818469.db2.gz CIPJXSAQORJDSI-SSDOTTSWSA-N -1 1 334.354 -0.809 20 0 EBADMM Cc1cnc(C(=O)NCCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])nc1 ZINC001194077651 835014860 /nfs/dbraw/zinc/01/48/60/835014860.db2.gz HUHWXSUZJJTLTP-NSHDSACASA-N -1 1 346.347 -0.560 20 0 EBADMM COCC[C@@H](C)C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001194134468 835031543 /nfs/dbraw/zinc/03/15/43/835031543.db2.gz JCAWVEDKBVPVCV-NEPJUHHUSA-N -1 1 325.413 -0.186 20 0 EBADMM COCC[C@H](C)C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001194134479 835032381 /nfs/dbraw/zinc/03/23/81/835032381.db2.gz JCAWVEDKBVPVCV-RYUDHWBXSA-N -1 1 325.413 -0.186 20 0 EBADMM CCCC(C)(C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001195771337 835286463 /nfs/dbraw/zinc/28/64/63/835286463.db2.gz TYQQVPMQZKKWBH-GHMZBOCLSA-N -1 1 325.413 -0.404 20 0 EBADMM CC[C@@H](CC(C)C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001197575306 835581949 /nfs/dbraw/zinc/58/19/49/835581949.db2.gz SXJATFRXYIICLI-YNEHKIRRSA-N -1 1 339.440 -0.158 20 0 EBADMM CC[C@H](CC(C)C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001197575305 835582298 /nfs/dbraw/zinc/58/22/98/835582298.db2.gz SXJATFRXYIICLI-JHJVBQTASA-N -1 1 339.440 -0.158 20 0 EBADMM CCOCCOCC(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001198290213 835664225 /nfs/dbraw/zinc/66/42/25/835664225.db2.gz BUYUNTAXAYGVJM-UHFFFAOYSA-N -1 1 341.412 -0.804 20 0 EBADMM COc1ccccc1[C@H](CNC(=O)c1n[nH]c(=O)[n-]c1=O)NCCO ZINC001198785919 835723173 /nfs/dbraw/zinc/72/31/73/835723173.db2.gz TUMCABBOTDJOQH-JTQLQIEISA-N -1 1 349.347 -0.656 20 0 EBADMM CCC(C)(CC)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001198841348 835730138 /nfs/dbraw/zinc/73/01/38/835730138.db2.gz HDQYHFOGQRFDJX-GHMZBOCLSA-N -1 1 325.413 -0.404 20 0 EBADMM CNC(=O)C1(C(=O)N[C@@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)CC1 ZINC001203492065 836437089 /nfs/dbraw/zinc/43/70/89/836437089.db2.gz JARDJCNTIWKJGS-NXEZZACHSA-N -1 1 348.407 -0.447 20 0 EBADMM NC(=O)NCCC(=O)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001203714044 836466734 /nfs/dbraw/zinc/46/67/34/836466734.db2.gz UITJRGFFAJJZMG-DTWKUNHWSA-N -1 1 337.384 -0.914 20 0 EBADMM C[C@@H](CS(C)(=O)=O)C(=O)N1CCC(NCc2n[nH]c(=O)[n-]2)CC1 ZINC001203949667 836490826 /nfs/dbraw/zinc/49/08/26/836490826.db2.gz BHXALFKEIMEBBR-VIFPVBQESA-N -1 1 345.425 -0.728 20 0 EBADMM CN(CC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C(=O)C1CC1 ZINC001208276354 836977223 /nfs/dbraw/zinc/97/72/23/836977223.db2.gz OEASWKNBVNVVSJ-LLVKDONJSA-N -1 1 336.396 -0.541 20 0 EBADMM COCCO[C@H](C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001209098291 837135248 /nfs/dbraw/zinc/13/52/48/837135248.db2.gz HUHKXWZGKYJSTK-IJLUTSLNSA-N -1 1 341.412 -0.904 20 0 EBADMM COC[C@H](C)CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001209895318 837211655 /nfs/dbraw/zinc/21/16/55/837211655.db2.gz CTSJLQNZKORLND-IJLUTSLNSA-N -1 1 325.413 -0.283 20 0 EBADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]CCN1CCNC1=S ZINC001214108150 837931678 /nfs/dbraw/zinc/93/16/78/837931678.db2.gz GLTCCLNXZLUZSA-IBGZPJMESA-N -1 1 342.512 -0.093 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CCC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001318824247 838038031 /nfs/dbraw/zinc/03/80/31/838038031.db2.gz XXVUZEHQQHCIDE-SNVBAGLBSA-N -1 1 334.384 -0.031 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1ccc(N2CCOCC2)cc1 ZINC001318994460 838057059 /nfs/dbraw/zinc/05/70/59/838057059.db2.gz MQQBTVLDUIWSBK-UHFFFAOYSA-N -1 1 331.336 -0.709 20 0 EBADMM CC(=O)N1CCCc2cc(NC(=O)C(=O)NCc3nn[n-]n3)ccc21 ZINC001318994477 838057587 /nfs/dbraw/zinc/05/75/87/838057587.db2.gz NAECBUKRFWPXGE-UHFFFAOYSA-N -1 1 343.347 -0.246 20 0 EBADMM CCc1ccc(NC(=O)C(=O)NCc2nn[n-]n2)c(C(=O)OC)c1 ZINC001319964059 838200617 /nfs/dbraw/zinc/20/06/17/838200617.db2.gz BGVPPLSKXWLAQP-UHFFFAOYSA-N -1 1 332.320 -0.196 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1c(C)c(C)nn(C)c1=O ZINC001320808181 838841947 /nfs/dbraw/zinc/84/19/47/838841947.db2.gz GLKJVRHKRCWRFG-UHFFFAOYSA-N -1 1 349.395 -0.527 20 0 EBADMM COC(=O)CSCCC(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001321298772 838954838 /nfs/dbraw/zinc/95/48/38/838954838.db2.gz JBPPMCKOROANEN-UHFFFAOYSA-N -1 1 329.382 -0.694 20 0 EBADMM O=C(CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@@H]21)NN1CC(=O)[N-]C1=O ZINC001321509474 839006981 /nfs/dbraw/zinc/00/69/81/839006981.db2.gz UZQYJPJLEFHCSY-LAEOZQHASA-N -1 1 341.393 -0.705 20 0 EBADMM COCCn1cc(NC(=O)CCn2cc[n-]c(=O)c2=O)ccc1=O ZINC001321772101 839072623 /nfs/dbraw/zinc/07/26/23/839072623.db2.gz GEVOVPIUXRDWIV-UHFFFAOYSA-N -1 1 334.332 -0.627 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1ccc(C(=O)N2CCCC2)cc1 ZINC001323054188 839336831 /nfs/dbraw/zinc/33/68/31/839336831.db2.gz ZBJONWSFGZYRRA-UHFFFAOYSA-N -1 1 343.347 -0.309 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCC3([S@](C)=O)CCC3)ccnc1-2 ZINC001323273499 839399647 /nfs/dbraw/zinc/39/96/47/839399647.db2.gz MFUSDFJMEZRYGH-DEOSSOPVSA-N -1 1 349.416 -0.302 20 0 EBADMM COCCOC[C@@H](C)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001323658734 839494916 /nfs/dbraw/zinc/49/49/16/839494916.db2.gz HDSCODNHMPESKY-SNVBAGLBSA-N -1 1 335.364 -0.552 20 0 EBADMM O=C(N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CCCC1)[C@H]1CCNC1=O ZINC001324495969 839666326 /nfs/dbraw/zinc/66/63/26/839666326.db2.gz RXGZIKNBLPTKAZ-WDEREUQCSA-N -1 1 336.396 -0.589 20 0 EBADMM Cc1nc(Br)ccc1NC(=O)C(=O)NCc1nn[n-]n1 ZINC001324872857 839728257 /nfs/dbraw/zinc/72/82/57/839728257.db2.gz ZWGFGDDSLTVOJK-UHFFFAOYSA-N -1 1 340.141 -0.079 20 0 EBADMM C[C@@H]1COCC[C@H]1C(=O)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001326090892 840030323 /nfs/dbraw/zinc/03/03/23/840030323.db2.gz HNEFJCZAZNKUOP-GHMZBOCLSA-N -1 1 327.385 -0.907 20 0 EBADMM Cn1ccc(C(=O)N2CC[C@H](CCNCc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC001326304132 840084114 /nfs/dbraw/zinc/08/41/14/840084114.db2.gz OOIRVEWOVRDIMQ-NSHDSACASA-N -1 1 346.391 -0.149 20 0 EBADMM Cn1nc(C(=O)N2CC[C@@H](CCNCc3n[nH]c(=O)[n-]3)C2)ccc1=O ZINC001326423554 840103462 /nfs/dbraw/zinc/10/34/62/840103462.db2.gz GTOGZJICWPAWMI-SNVBAGLBSA-N -1 1 347.379 -0.754 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCCC3(C)OCCO3)ccnc1-2 ZINC001326789228 840199786 /nfs/dbraw/zinc/19/97/86/840199786.db2.gz KHMMEOHMBLHKRV-UHFFFAOYSA-N -1 1 333.348 -0.450 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@@H](CO)Cc1cccnc1 ZINC001326793256 840201550 /nfs/dbraw/zinc/20/15/50/840201550.db2.gz QGHZOHHNIGZRGF-ZDUSSCGKSA-N -1 1 332.360 -0.711 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CC[C@H](CC(N)=O)C3)ccnc1-2 ZINC001326919237 840236547 /nfs/dbraw/zinc/23/65/47/840236547.db2.gz XPDHNNYGJKPEHI-SECBINFHSA-N -1 1 330.348 -0.996 20 0 EBADMM C[C@@H]1CCN(C(=O)C(=O)N(C)CCO)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC001327241698 840338280 /nfs/dbraw/zinc/33/82/80/840338280.db2.gz AKAADLCRNSBJSY-BDAKNGLRSA-N -1 1 339.314 -0.647 20 0 EBADMM O=C(Nc1ccn(-c2ccccc2)n1)C(=O)NN1CC(=O)[N-]C1=O ZINC001327913211 840546533 /nfs/dbraw/zinc/54/65/33/840546533.db2.gz ZICXYGHGFPJIDM-UHFFFAOYSA-N -1 1 328.288 -0.606 20 0 EBADMM O=C(Nc1cnn(-c2ccccc2F)c1)C(=O)NN1CC(=O)[N-]C1=O ZINC001329109656 840843569 /nfs/dbraw/zinc/84/35/69/840843569.db2.gz IVJJTUMQGMYXOD-UHFFFAOYSA-N -1 1 346.278 -0.467 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCc2cc(Cl)nnc2C1 ZINC001329547390 840951451 /nfs/dbraw/zinc/95/14/51/840951451.db2.gz MMIDOQHWYRLENE-UHFFFAOYSA-N -1 1 335.751 -0.045 20 0 EBADMM C[C@H](O)CN(C)C(=O)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC001329785532 841019640 /nfs/dbraw/zinc/01/96/40/841019640.db2.gz DXXAFEPOZRSQSI-UFBFGSQYSA-N -1 1 339.314 -0.505 20 0 EBADMM COCCN1CC[C@@H](NC(=O)C(=O)NC[C@@H](C(=O)[O-])C(C)C)C1 ZINC001329949086 841071827 /nfs/dbraw/zinc/07/18/27/841071827.db2.gz GSQZHKNWSIGWOK-VXGBXAGGSA-N -1 1 329.397 -0.704 20 0 EBADMM CN(CCOCCNC(=O)c1n[nH]c(=O)[n-]c1=O)Cc1cccnc1 ZINC001331132023 841348041 /nfs/dbraw/zinc/34/80/41/841348041.db2.gz JUBHMXDXTJTESQ-UHFFFAOYSA-N -1 1 348.363 -0.444 20 0 EBADMM Cn1[n-]c(CN[C@@H](CO)CNC(=O)[C@H]2CCC=CCCC2)nc1=O ZINC001331738362 841477269 /nfs/dbraw/zinc/47/72/69/841477269.db2.gz GYPFNYMGMKGSEY-QWHCGFSZSA-N -1 1 337.424 -0.188 20 0 EBADMM O=C([O-])[C@]1(N2CCN(C(=O)/C=C/c3ccncn3)CC2)CCOC1 ZINC001335434878 842278958 /nfs/dbraw/zinc/27/89/58/842278958.db2.gz XZDOVXZIGPXFCR-PYKQWIPUSA-N -1 1 332.360 -0.122 20 0 EBADMM O=C([O-])[C@@H]1CN(C(=O)C(=O)N[C@@H]2CCN(C3CC3)C2)C[C@H]1C1CC1 ZINC001336558574 842492946 /nfs/dbraw/zinc/49/29/46/842492946.db2.gz XUYDYYAVZVBXNN-KWCYVHTRSA-N -1 1 335.404 -0.092 20 0 EBADMM C[C@H](CN(C)C(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)[C@@H]1C[C@H]1C ZINC001337310252 842599924 /nfs/dbraw/zinc/59/99/24/842599924.db2.gz AMXOPAKHZBYUIK-IJLUTSLNSA-N -1 1 336.392 -0.454 20 0 EBADMM Cn1cc(-n2cc(C(=O)OCc3nc(=O)n(C)[n-]3)ccc2=O)cn1 ZINC001338005356 842733407 /nfs/dbraw/zinc/73/34/07/842733407.db2.gz QBQXFPRVBKICJC-UHFFFAOYSA-N -1 1 330.304 -0.650 20 0 EBADMM Cc1[nH]c2ccnn2c(=O)c1CC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001338243497 842770564 /nfs/dbraw/zinc/77/05/64/842770564.db2.gz KSLAZKCJCVCWSV-SNVBAGLBSA-N -1 1 342.363 -0.207 20 0 EBADMM CS(=O)(=O)c1ccc(Cl)c(C(=O)[N-]N2CC(=O)NC2=O)c1 ZINC001339413327 842913385 /nfs/dbraw/zinc/91/33/85/842913385.db2.gz FGHHULPAFFAQDS-UHFFFAOYSA-N -1 1 331.737 -0.060 20 0 EBADMM CO[C@@]1(C(F)(F)F)CCN(C(=O)[C@@]2(C(=O)[O-])CNCCO2)C1 ZINC001340949882 843046028 /nfs/dbraw/zinc/04/60/28/843046028.db2.gz BZRJSCIVTKMFEW-WDEREUQCSA-N -1 1 326.271 -0.391 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2CCC[C@@H](OCC(F)(F)F)C2)CNCCO1 ZINC001341465267 843091563 /nfs/dbraw/zinc/09/15/63/843091563.db2.gz NYNFKEYUUUBAMO-SKDRFNHKSA-N -1 1 340.298 -0.001 20 0 EBADMM CO[N-]C(=O)CNC(=O)C(=O)N[C@@H]1CCN(Cc2ccc(C)cc2)C1 ZINC001341722706 843108175 /nfs/dbraw/zinc/10/81/75/843108175.db2.gz JIKJBQVODUIUSD-CQSZACIVSA-N -1 1 348.403 -0.521 20 0 EBADMM CN(C)[C@@H](CCNC(=O)[C@]1(C(=O)[O-])CNCCO1)C(F)(F)F ZINC001341798276 843115085 /nfs/dbraw/zinc/11/50/85/843115085.db2.gz VYWDCZUKXXWSLC-KWQFWETISA-N -1 1 327.303 -0.572 20 0 EBADMM O=C([O-])[C@@]1(C(=O)NCCN2CCCc3ccccc32)CNCCO1 ZINC001343394169 843233310 /nfs/dbraw/zinc/23/33/10/843233310.db2.gz KQYFKYUTTUVJNQ-KRWDZBQOSA-N -1 1 333.388 -0.001 20 0 EBADMM C[C@H](N1CCN(C(=O)[C@@]2(C(=O)[O-])CNCCO2)CC1)C(F)(F)F ZINC001343444580 843236950 /nfs/dbraw/zinc/23/69/50/843236950.db2.gz KBYCOPZXHRBKIW-JOYOIKCWSA-N -1 1 339.314 -0.475 20 0 EBADMM O=C([O-])CCCNC(=O)C(=O)N1CCN(CC2CCOCC2)CC1 ZINC001345986310 843468741 /nfs/dbraw/zinc/46/87/41/843468741.db2.gz AHCZXFCBAMANNB-UHFFFAOYSA-N -1 1 341.408 -0.462 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCCC3(CO)CCC3)ccnc1-2 ZINC001346219998 843490029 /nfs/dbraw/zinc/49/00/29/843490029.db2.gz UEMZXQQMMZCQSP-UHFFFAOYSA-N -1 1 331.376 -0.051 20 0 EBADMM CN(C)C(=O)OC1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001347747358 843642892 /nfs/dbraw/zinc/64/28/92/843642892.db2.gz ODLLIFPOUDKCBI-UHFFFAOYSA-N -1 1 338.364 -0.384 20 0 EBADMM CS(=O)(=O)NC[C@H]1COCCN1CCC[N-]C(=O)C(F)(F)F ZINC001348248941 843674812 /nfs/dbraw/zinc/67/48/12/843674812.db2.gz SKMXROKRYKNYOT-VIFPVBQESA-N -1 1 347.359 -0.695 20 0 EBADMM NS(=O)(=O)NC1CCN(C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC001348420985 843695140 /nfs/dbraw/zinc/69/51/40/843695140.db2.gz AVWUNAYGTYVIBK-UHFFFAOYSA-N -1 1 327.362 -0.641 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N1CC[C@@H](Nc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001573471130 946042024 /nfs/dbraw/zinc/04/20/24/946042024.db2.gz MKRGAFLQWWZMTB-PSASIEDQSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N1CC[C@@H](Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001573471130 946042038 /nfs/dbraw/zinc/04/20/38/946042038.db2.gz MKRGAFLQWWZMTB-PSASIEDQSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@@H](C)CN(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001573477506 946139252 /nfs/dbraw/zinc/13/92/52/946139252.db2.gz RKCSTNAPRFYSBR-DTWKUNHWSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@@H](C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001573478783 946153008 /nfs/dbraw/zinc/15/30/08/946153008.db2.gz YQYHGNPQBCLNBW-HTQZYQBOSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@@H](C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001573478783 946153012 /nfs/dbraw/zinc/15/30/12/946153012.db2.gz YQYHGNPQBCLNBW-HTQZYQBOSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NCC1(Nc2ccc(-c3nnn[n-]3)nn2)CC1 ZINC001573482619 946200859 /nfs/dbraw/zinc/20/08/59/946200859.db2.gz YSKPVKXMSQXDCG-QMMMGPOBSA-N -1 1 345.367 -0.758 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NCC1(Nc2ccc(-c3nn[n-]n3)nn2)CC1 ZINC001573482619 946200865 /nfs/dbraw/zinc/20/08/65/946200865.db2.gz YSKPVKXMSQXDCG-QMMMGPOBSA-N -1 1 345.367 -0.758 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NCCN(C)c1nc(C)cc(-c2nnn[n-]2)n1 ZINC001573484418 946216342 /nfs/dbraw/zinc/21/63/42/946216342.db2.gz KYQDYXRXMWIMCB-SECBINFHSA-N -1 1 347.383 -0.958 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NCCN(C)c1nc(C)cc(-c2nn[n-]n2)n1 ZINC001573484418 946216350 /nfs/dbraw/zinc/21/63/50/946216350.db2.gz KYQDYXRXMWIMCB-SECBINFHSA-N -1 1 347.383 -0.958 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)Nc1ncc2c(c1-c1nn[n-]n1)COCC2 ZINC001573486700 946242949 /nfs/dbraw/zinc/24/29/49/946242949.db2.gz IUCMMVBQJUJPTL-ZETCQYMHSA-N -1 1 331.336 -0.203 20 0 EBADMM CC(=O)NCC(=O)N(C)[C@@H](C)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001573497075 946339179 /nfs/dbraw/zinc/33/91/79/946339179.db2.gz KAPYSZICPGYLMU-QMMMGPOBSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)NCC(=O)N(C)[C@@H](C)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001573497075 946339190 /nfs/dbraw/zinc/33/91/90/946339190.db2.gz KAPYSZICPGYLMU-QMMMGPOBSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)[C@@H](C)Nc1nc(C)cc(-c2nnn[n-]2)n1 ZINC001573510743 946479405 /nfs/dbraw/zinc/47/94/05/946479405.db2.gz KMISUAAVEMUFLB-DTWKUNHWSA-N -1 1 347.383 -0.594 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)[C@@H](C)Nc1nc(C)cc(-c2nn[n-]n2)n1 ZINC001573510743 946479410 /nfs/dbraw/zinc/47/94/10/946479410.db2.gz KMISUAAVEMUFLB-DTWKUNHWSA-N -1 1 347.383 -0.594 20 0 EBADMM CC(=O)NCC(=O)NC[C@@H](C)CNc1nc(C)cc(-c2nnn[n-]2)n1 ZINC001573515259 946539910 /nfs/dbraw/zinc/53/99/10/946539910.db2.gz JUGBXAGUVQTKSI-MRVPVSSYSA-N -1 1 347.383 -0.735 20 0 EBADMM CC(=O)NCC(=O)NC[C@@H](C)CNc1nc(C)cc(-c2nn[n-]n2)n1 ZINC001573515259 946539918 /nfs/dbraw/zinc/53/99/18/946539918.db2.gz JUGBXAGUVQTKSI-MRVPVSSYSA-N -1 1 347.383 -0.735 20 0 EBADMM CC(=O)NCC(=O)NCC[C@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001573520919 946601593 /nfs/dbraw/zinc/60/15/93/946601593.db2.gz PRIZTHZRYPQUIT-QMMMGPOBSA-N -1 1 333.356 -0.901 20 0 EBADMM CC(=O)NCC(=O)NCC[C@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001573520919 946601605 /nfs/dbraw/zinc/60/16/05/946601605.db2.gz PRIZTHZRYPQUIT-QMMMGPOBSA-N -1 1 333.356 -0.901 20 0 EBADMM CC(=O)NCC(=O)N[C@H]1C[C@H](CNc2cncc(-c3nnn[n-]3)n2)C1 ZINC001573522048 946621520 /nfs/dbraw/zinc/62/15/20/946621520.db2.gz XENGPRPPZDMEAO-MGCOHNPYSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)N[C@H]1C[C@H](CNc2cncc(-c3nn[n-]n3)n2)C1 ZINC001573522048 946621523 /nfs/dbraw/zinc/62/15/23/946621523.db2.gz XENGPRPPZDMEAO-MGCOHNPYSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)CNc1snc(C)c1-c1nn[n-]n1 ZINC001573522068 946621532 /nfs/dbraw/zinc/62/15/32/946621532.db2.gz YOKUBJAVSMEMSN-ZCFIWIBFSA-N -1 1 338.397 -0.316 20 0 EBADMM CC(=O)N[C@@H]1CN(c2nc(-c3nn[n-]n3)c(Cl)s2)C[C@H]1O ZINC001573538238 946764815 /nfs/dbraw/zinc/76/48/15/946764815.db2.gz QQDBXODSASQWAJ-PHDIDXHHSA-N -1 1 329.773 -0.338 20 0 EBADMM C=C/C=C/CC(=O)N1CC(n2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)C1 ZINC001573314336 947230522 /nfs/dbraw/zinc/23/05/22/947230522.db2.gz BACUUNCDMKSZIZ-ONEGZZNKSA-N -1 1 329.320 -0.355 20 0 EBADMM C=C/C=C\CCNC(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001573314931 947241384 /nfs/dbraw/zinc/24/13/84/947241384.db2.gz HZGCHIDWBYJLAA-ARJAWSKDSA-N -1 1 331.336 -0.232 20 0 EBADMM C[C@H](C(=O)N(C)C[C@@H](O)CNc1ccc(-c2nnn[n-]2)nn1)C1CC1 ZINC001573595100 947414605 /nfs/dbraw/zinc/41/46/05/947414605.db2.gz FOKCJCHOKGNDOP-ONGXEEELSA-N -1 1 346.395 -0.066 20 0 EBADMM C[C@H](C(=O)N(C)C[C@@H](O)CNc1ccc(-c2nn[n-]n2)nn1)C1CC1 ZINC001573595100 947414609 /nfs/dbraw/zinc/41/46/09/947414609.db2.gz FOKCJCHOKGNDOP-ONGXEEELSA-N -1 1 346.395 -0.066 20 0 EBADMM C[C@H](C(=O)N1C[C@@H](CO)OC(C)(C)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573607595 947549837 /nfs/dbraw/zinc/54/98/37/947549837.db2.gz PAGNDTMZYNTXFT-BDAKNGLRSA-N -1 1 336.356 -0.983 20 0 EBADMM C[C@H](C(=O)N1CC(C)(C)[C@H]2COC[C@H]21)n1cnc(-c2nn[n-]n2)n1 ZINC001573607851 947553864 /nfs/dbraw/zinc/55/38/64/947553864.db2.gz SRQVNETYZVXARL-KXUCPTDWSA-N -1 1 332.368 -0.097 20 0 EBADMM C[C@H](C(=O)N1CCC2(CNC(=O)O2)CC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573629306 947776970 /nfs/dbraw/zinc/77/69/70/947776970.db2.gz NKHPZBYNXBNNSS-MRVPVSSYSA-N -1 1 347.339 -0.880 20 0 EBADMM CC(=O)CCCC(=O)NC[C@@H](O)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001573380332 947860100 /nfs/dbraw/zinc/86/01/00/947860100.db2.gz BDVUXFGFZXTARK-JTQLQIEISA-N -1 1 348.367 -0.695 20 0 EBADMM CC(=O)CCCC(=O)NC[C@@H](O)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001573380332 947860117 /nfs/dbraw/zinc/86/01/17/947860117.db2.gz BDVUXFGFZXTARK-JTQLQIEISA-N -1 1 348.367 -0.695 20 0 EBADMM CC(=O)CCCC(=O)NCCN(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001573382667 947885130 /nfs/dbraw/zinc/88/51/30/947885130.db2.gz JLAYPKFDIUDRPD-UHFFFAOYSA-N -1 1 332.368 -0.032 20 0 EBADMM CC(=O)CCCC(=O)NCCN(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001573382667 947885139 /nfs/dbraw/zinc/88/51/39/947885139.db2.gz JLAYPKFDIUDRPD-UHFFFAOYSA-N -1 1 332.368 -0.032 20 0 EBADMM CC(=O)CCCC(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001573383791 947894963 /nfs/dbraw/zinc/89/49/63/947894963.db2.gz XMPKDPNZZPKCFT-UHFFFAOYSA-N -1 1 335.324 -0.996 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)C[C@@H](C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001573387490 947910852 /nfs/dbraw/zinc/91/08/52/947910852.db2.gz BJNOSIVRUQBKBA-SECBINFHSA-N -1 1 347.383 -0.606 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)C[C@@H](C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001573387490 947910861 /nfs/dbraw/zinc/91/08/61/947910861.db2.gz BJNOSIVRUQBKBA-SECBINFHSA-N -1 1 347.383 -0.606 20 0 EBADMM C[C@@H](C(=O)N=S1(=O)CCOCC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573650732 947913105 /nfs/dbraw/zinc/91/31/05/947913105.db2.gz DMRNUDKLMFQZPU-ZETCQYMHSA-N -1 1 326.342 -0.956 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)[C@@H](C)CNc1cncc(-c2nnn[n-]2)n1 ZINC001573387814 947913378 /nfs/dbraw/zinc/91/33/78/947913378.db2.gz DPJJTVLHTXABNG-VIFPVBQESA-N -1 1 347.383 -0.606 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)[C@@H](C)CNc1cncc(-c2nn[n-]n2)n1 ZINC001573387814 947913384 /nfs/dbraw/zinc/91/33/84/947913384.db2.gz DPJJTVLHTXABNG-VIFPVBQESA-N -1 1 347.383 -0.606 20 0 EBADMM C[C@@H](C(=O)N1C[C@@H]2C[C@H](O)CC[C@@H]2C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573651726 947923417 /nfs/dbraw/zinc/92/34/17/947923417.db2.gz PYPUXNHRCJHGQF-ZRUFSTJUSA-N -1 1 332.368 -0.361 20 0 EBADMM CC(=O)N(C)CC(=O)N1CC[C@@H](Nc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001573391210 947934843 /nfs/dbraw/zinc/93/48/43/947934843.db2.gz FRXJMHPHXJYZLP-SNVBAGLBSA-N -1 1 345.367 -0.852 20 0 EBADMM CC(=O)N(C)CC(=O)N1CC[C@@H](Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001573391210 947934849 /nfs/dbraw/zinc/93/48/49/947934849.db2.gz FRXJMHPHXJYZLP-SNVBAGLBSA-N -1 1 345.367 -0.852 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)CCNc1ccc(-c2nnn[n-]2)nn1 ZINC001573398378 947979870 /nfs/dbraw/zinc/97/98/70/947979870.db2.gz KMIQJKGTDIQBEC-VIFPVBQESA-N -1 1 347.383 -0.558 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)CCNc1ccc(-c2nn[n-]n2)nn1 ZINC001573398378 947979876 /nfs/dbraw/zinc/97/98/76/947979876.db2.gz KMIQJKGTDIQBEC-VIFPVBQESA-N -1 1 347.383 -0.558 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)CN(C)c1cncc(-c2nnn[n-]2)n1 ZINC001573399039 947988435 /nfs/dbraw/zinc/98/84/35/947988435.db2.gz SHZFWFIVBZAXGL-SECBINFHSA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)CN(C)c1cncc(-c2nn[n-]n2)n1 ZINC001573399039 947988441 /nfs/dbraw/zinc/98/84/41/947988441.db2.gz SHZFWFIVBZAXGL-SECBINFHSA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)[C@@H](C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001573399209 947989828 /nfs/dbraw/zinc/98/98/28/947989828.db2.gz SXDRPESALCAYJI-RKDXNWHRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)[C@@H](C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001573399209 947989835 /nfs/dbraw/zinc/98/98/35/947989835.db2.gz SXDRPESALCAYJI-RKDXNWHRSA-N -1 1 347.383 -0.560 20 0 EBADMM C[C@@H](C(=O)N[C@@H](CO)Cc1cncs1)n1cnc(-c2nn[n-]n2)n1 ZINC001573657016 947996421 /nfs/dbraw/zinc/99/64/21/947996421.db2.gz JNOPGRKLTALXAK-JGVFFNPUSA-N -1 1 349.380 -0.805 20 0 EBADMM C[C@H](C(=O)NC1(C(F)F)OCCO1)n1cnc(-c2nn[n-]n2)n1 ZINC001573657384 948000043 /nfs/dbraw/zinc/00/00/43/948000043.db2.gz NITWZJIIYNJEFP-RXMQYKEDSA-N -1 1 330.255 -0.899 20 0 EBADMM C[C@@H](C(=O)N[C@@H](CO)c1ccccn1)n1cnc(-c2nn[n-]n2)n1 ZINC001573657819 948005081 /nfs/dbraw/zinc/00/50/81/948005081.db2.gz PBKXMXSOBOTVIA-WPRPVWTQSA-N -1 1 329.324 -0.736 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@@H](C)CNc2cncc(-c3nnn[n-]3)n2)C1 ZINC001573424390 948151424 /nfs/dbraw/zinc/15/14/24/948151424.db2.gz ZRBRAWDGJRZGGB-QMMMGPOBSA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@@H](C)CNc2cncc(-c3nn[n-]n3)n2)C1 ZINC001573424390 948151433 /nfs/dbraw/zinc/15/14/33/948151433.db2.gz ZRBRAWDGJRZGGB-QMMMGPOBSA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@H](C)CNc2nccnc2-c2nnn[n-]2)C1 ZINC001573425765 948152394 /nfs/dbraw/zinc/15/23/94/948152394.db2.gz CBNLHEUEBXDHGU-MRVPVSSYSA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@H](C)CNc2nccnc2-c2nn[n-]n2)C1 ZINC001573425765 948152399 /nfs/dbraw/zinc/15/23/99/948152399.db2.gz CBNLHEUEBXDHGU-MRVPVSSYSA-N -1 1 345.367 -0.948 20 0 EBADMM C[C@@H](C(=O)NC[C@H](O)CNc1cncc(-c2nnn[n-]2)n1)C1CC1 ZINC001573692364 948263089 /nfs/dbraw/zinc/26/30/89/948263089.db2.gz OFUBPTGLKQSROR-PSASIEDQSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@@H](C(=O)NC[C@H](O)CNc1cncc(-c2nn[n-]n2)n1)C1CC1 ZINC001573692364 948263097 /nfs/dbraw/zinc/26/30/97/948263097.db2.gz OFUBPTGLKQSROR-PSASIEDQSA-N -1 1 332.368 -0.408 20 0 EBADMM CC(=O)N1CCC[C@@H](CNC(=O)[C@H](C)n2cnc(-c3nn[n-]n3)n2)C1 ZINC001573443031 948303826 /nfs/dbraw/zinc/30/38/26/948303826.db2.gz LKVSCRLWAHSTNE-ONGXEEELSA-N -1 1 347.383 -0.606 20 0 EBADMM C[C@H](C(=O)NC[C@H]1CCN(C)C(=O)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573708545 948454083 /nfs/dbraw/zinc/45/40/83/948454083.db2.gz VLKONZDLPWHENF-BDAKNGLRSA-N -1 1 333.356 -0.996 20 0 EBADMM C[C@H](C(=O)NCCN(C)c1cncc(-c2nnn[n-]2)n1)n1cccn1 ZINC001573717074 948503893 /nfs/dbraw/zinc/50/38/93/948503893.db2.gz BIBQAQQKJKPOKX-SNVBAGLBSA-N -1 1 342.367 -0.333 20 0 EBADMM C[C@H](C(=O)NCCN(C)c1cncc(-c2nn[n-]n2)n1)n1cccn1 ZINC001573717074 948503900 /nfs/dbraw/zinc/50/39/00/948503900.db2.gz BIBQAQQKJKPOKX-SNVBAGLBSA-N -1 1 342.367 -0.333 20 0 EBADMM C[C@@H](C(=O)NCCN(C)c1nccnc1-c1nnn[n-]1)n1cccn1 ZINC001573717516 948510380 /nfs/dbraw/zinc/51/03/80/948510380.db2.gz DTLCOCCBCUQICZ-JTQLQIEISA-N -1 1 342.367 -0.333 20 0 EBADMM C[C@@H](C(=O)NCCN(C)c1nccnc1-c1nn[n-]n1)n1cccn1 ZINC001573717516 948510386 /nfs/dbraw/zinc/51/03/86/948510386.db2.gz DTLCOCCBCUQICZ-JTQLQIEISA-N -1 1 342.367 -0.333 20 0 EBADMM C[C@H](C(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)C(C)(F)F ZINC001573717937 948512204 /nfs/dbraw/zinc/51/22/04/948512204.db2.gz OSKLYDRQPOZYDI-ZCFIWIBFSA-N -1 1 343.294 -0.464 20 0 EBADMM C[C@@H](C(=O)NCCN(C)c1ccnc(-c2nn[n-]n2)n1)n1cccn1 ZINC001573718681 948517750 /nfs/dbraw/zinc/51/77/50/948517750.db2.gz JGEOEUBYTDORGN-JTQLQIEISA-N -1 1 342.367 -0.333 20 0 EBADMM C[C@@H](C(=O)NCCNc1cncc(-c2nnn[n-]2)n1)[C@H]1CCC(=O)N1 ZINC001573718958 948521819 /nfs/dbraw/zinc/52/18/19/948521819.db2.gz VEHUPNROXPOKGH-RKDXNWHRSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@@H](C(=O)NCCNc1cncc(-c2nn[n-]n2)n1)[C@H]1CCC(=O)N1 ZINC001573718958 948521826 /nfs/dbraw/zinc/52/18/26/948521826.db2.gz VEHUPNROXPOKGH-RKDXNWHRSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CN(c2nccnc2-c2nnn[n-]2)C[C@H]1O)C1CC1 ZINC001573739432 948618973 /nfs/dbraw/zinc/61/89/73/948618973.db2.gz CNJSJRHQOIBUKF-FBIMIBRVSA-N -1 1 344.379 -0.632 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CN(c2nccnc2-c2nn[n-]n2)C[C@H]1O)C1CC1 ZINC001573739432 948618981 /nfs/dbraw/zinc/61/89/81/948618981.db2.gz CNJSJRHQOIBUKF-FBIMIBRVSA-N -1 1 344.379 -0.632 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CN(c2cnc(-c3nnn[n-]3)cn2)C[C@@H]1O)C1CC1 ZINC001573740553 948637847 /nfs/dbraw/zinc/63/78/47/948637847.db2.gz LNUKAKBTRSADMP-AXTRIDKLSA-N -1 1 344.379 -0.632 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CN(c2cnc(-c3nn[n-]n3)cn2)C[C@@H]1O)C1CC1 ZINC001573740553 948637849 /nfs/dbraw/zinc/63/78/49/948637849.db2.gz LNUKAKBTRSADMP-AXTRIDKLSA-N -1 1 344.379 -0.632 20 0 EBADMM O=C(c1[nH]c2ccccc2c1-c1nn[n-]n1)N1C[C@H](O)[C@H](CO)C1 ZINC001570927007 948702499 /nfs/dbraw/zinc/70/24/99/948702499.db2.gz MPYDEYDMMIMQKX-KWQFWETISA-N -1 1 328.332 -0.227 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)N[C@@H](C)c1nnnn1C ZINC001570927196 948705821 /nfs/dbraw/zinc/70/58/21/948705821.db2.gz BUGPSHNXLHYDGQ-LURJTMIESA-N -1 1 330.312 -0.710 20 0 EBADMM C[C@H](C(=O)Nc1ncc2c(n1)COC2)n1cnc(-c2nn[n-]n2)n1 ZINC001573755888 948785863 /nfs/dbraw/zinc/78/58/63/948785863.db2.gz UPEMUCYPYBRRRR-ZCFIWIBFSA-N -1 1 328.296 -0.522 20 0 EBADMM CC[C@H](CO)N1CCN(C(=O)c2cccn(CC(=O)[O-])c2=O)CC1 ZINC001589555480 948789055 /nfs/dbraw/zinc/78/90/55/948789055.db2.gz UKSFXOWTLLSNTP-GFCCVEGCSA-N -1 1 337.376 -0.538 20 0 EBADMM CCN(C[C@H](C)OC)C(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570933611 948904029 /nfs/dbraw/zinc/90/40/29/948904029.db2.gz BEBZWSVMPPDBTM-QMMMGPOBSA-N -1 1 337.340 -0.988 20 0 EBADMM C[C@H](CNC(=O)CCn1ccnc1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574922954 948928885 /nfs/dbraw/zinc/92/88/85/948928885.db2.gz AEYZTWSYOYOIRX-SNVBAGLBSA-N -1 1 342.367 -0.718 20 0 EBADMM C[C@H](CNC(=O)CCn1ccnn1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574923105 948936549 /nfs/dbraw/zinc/93/65/49/948936549.db2.gz DPBRPXXGSLYCCO-SECBINFHSA-N -1 1 343.355 -0.745 20 0 EBADMM C[C@H](CNC(=O)CCn1ccnn1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574923105 948936563 /nfs/dbraw/zinc/93/65/63/948936563.db2.gz DPBRPXXGSLYCCO-SECBINFHSA-N -1 1 343.355 -0.745 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)N(C)CC(C)(CO)CO ZINC001570934446 948938712 /nfs/dbraw/zinc/93/87/12/948938712.db2.gz JTRXHVNKRNAIME-UHFFFAOYSA-N -1 1 336.352 -0.661 20 0 EBADMM COc1ncc(NC(=O)N(CCO)C[C@@H](C)O)cc1-c1nn[n-]n1 ZINC001570934604 948943944 /nfs/dbraw/zinc/94/39/44/948943944.db2.gz LUBXYRFVBCAMJT-MRVPVSSYSA-N -1 1 337.340 -0.523 20 0 EBADMM COC(=O)[C@@H]1CN(C)C(=O)CN1Cc1ccc(-c2nn[n-]n2)s1 ZINC001570934903 948958644 /nfs/dbraw/zinc/95/86/44/948958644.db2.gz NMQJFPCBVMFKMM-VIFPVBQESA-N -1 1 336.377 -0.256 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)NC[C@@H](O)[C@H]1CCCO1 ZINC001570934900 948960271 /nfs/dbraw/zinc/96/02/71/948960271.db2.gz OHQLQIAYUYCPRR-NXEZZACHSA-N -1 1 334.336 -0.455 20 0 EBADMM CO[C@@H]1COCC[C@H]1CNC(=O)[C@@H](C)n1cnc(-c2nn[n-]n2)n1 ZINC001570935065 948964825 /nfs/dbraw/zinc/96/48/25/948964825.db2.gz OYIOOKARBUXUSX-KXUCPTDWSA-N -1 1 336.356 -0.813 20 0 EBADMM C[C@H](C(=O)N[C@H]1CCCC12OCCO2)n1cnc(-c2nn[n-]n2)n1 ZINC001570935060 948965211 /nfs/dbraw/zinc/96/52/11/948965211.db2.gz POFDCXMZEQEDRM-BDAKNGLRSA-N -1 1 334.340 -0.569 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)N1CCO[C@@H]([C@H](C)O)C1 ZINC001570935107 948966705 /nfs/dbraw/zinc/96/67/05/948966705.db2.gz PXMRDOWTQSOLAC-WCBMZHEXSA-N -1 1 334.336 -0.502 20 0 EBADMM C[C@H]1CO[C@@H](C(=O)N(C)CCCc2[nH]nc(N)c2-c2nnn[n-]2)C1 ZINC001570935138 948969304 /nfs/dbraw/zinc/96/93/04/948969304.db2.gz QAARPNOLXYTYQD-PSASIEDQSA-N -1 1 334.384 -0.012 20 0 EBADMM C[C@H]1CO[C@@H](C(=O)N(C)CCCc2[nH]nc(N)c2-c2nn[n-]n2)C1 ZINC001570935138 948969317 /nfs/dbraw/zinc/96/93/17/948969317.db2.gz QAARPNOLXYTYQD-PSASIEDQSA-N -1 1 334.384 -0.012 20 0 EBADMM CC(C)(C(=O)NC[C@H](O)CNc1cncc(-c2nnn[n-]2)n1)C1CC1 ZINC001573773723 948991942 /nfs/dbraw/zinc/99/19/42/948991942.db2.gz FTJJLVHOXDKSRK-SNVBAGLBSA-N -1 1 346.395 -0.018 20 0 EBADMM CC(C)(C(=O)NC[C@H](O)CNc1cncc(-c2nn[n-]n2)n1)C1CC1 ZINC001573773723 948991950 /nfs/dbraw/zinc/99/19/50/948991950.db2.gz FTJJLVHOXDKSRK-SNVBAGLBSA-N -1 1 346.395 -0.018 20 0 EBADMM Cc1nn(C)c(NC(=O)CSc2nncn2C)c1-c1nnn[n-]1 ZINC001570935829 948997819 /nfs/dbraw/zinc/99/78/19/948997819.db2.gz VSDJYHIMUYGLAQ-UHFFFAOYSA-N -1 1 334.369 -0.232 20 0 EBADMM Cc1nn(C)c(NC(=O)CSc2nncn2C)c1-c1nn[n-]n1 ZINC001570935829 948997829 /nfs/dbraw/zinc/99/78/29/948997829.db2.gz VSDJYHIMUYGLAQ-UHFFFAOYSA-N -1 1 334.369 -0.232 20 0 EBADMM C[C@H](CNC(=O)COCC1CC1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574929231 949085173 /nfs/dbraw/zinc/08/51/73/949085173.db2.gz AYCNYLCKCFRTCY-SECBINFHSA-N -1 1 332.368 0.000 20 0 EBADMM C[C@H](CNC(=O)COCC1CC1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574929231 949085192 /nfs/dbraw/zinc/08/51/92/949085192.db2.gz AYCNYLCKCFRTCY-SECBINFHSA-N -1 1 332.368 0.000 20 0 EBADMM Cc1cncc(NC(=O)CCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)c1 ZINC001570938191 949097504 /nfs/dbraw/zinc/09/75/04/949097504.db2.gz BJYIWVCAEDCBOG-UHFFFAOYSA-N -1 1 342.319 -0.139 20 0 EBADMM O=C(Cc1cc[nH]n1)N[C@H]1C[C@@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001570938320 949101753 /nfs/dbraw/zinc/10/17/53/949101753.db2.gz PTBAMIKZAURRIE-AOOOYVTPSA-N -1 1 340.351 -0.318 20 0 EBADMM O=C(Cc1cc[nH]n1)N[C@H]1C[C@@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001570938320 949101764 /nfs/dbraw/zinc/10/17/64/949101764.db2.gz PTBAMIKZAURRIE-AOOOYVTPSA-N -1 1 340.351 -0.318 20 0 EBADMM C[C@H](CNC(=O)CO[C@@H]1CCOC1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574930196 949106192 /nfs/dbraw/zinc/10/61/92/949106192.db2.gz JVLJIWHGLDSRTQ-NXEZZACHSA-N -1 1 348.367 -0.621 20 0 EBADMM C[C@H](CNC(=O)CO[C@@H]1CCOC1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574930196 949106203 /nfs/dbraw/zinc/10/62/03/949106203.db2.gz JVLJIWHGLDSRTQ-NXEZZACHSA-N -1 1 348.367 -0.621 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)Cc1cc[nH]n1 ZINC001574695393 949113512 /nfs/dbraw/zinc/11/35/12/949113512.db2.gz GXRWENRZZXMJNU-VIFPVBQESA-N -1 1 342.367 -0.071 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)Cc1cc[nH]n1 ZINC001574695393 949113521 /nfs/dbraw/zinc/11/35/21/949113521.db2.gz GXRWENRZZXMJNU-VIFPVBQESA-N -1 1 342.367 -0.071 20 0 EBADMM Cn1nncc1C(=O)N1CC[C@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001570939143 949127111 /nfs/dbraw/zinc/12/71/11/949127111.db2.gz BYFQKWPLKSUILN-QMMMGPOBSA-N -1 1 341.339 -0.888 20 0 EBADMM Cn1nncc1C(=O)N1CC[C@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001570939143 949127129 /nfs/dbraw/zinc/12/71/29/949127129.db2.gz BYFQKWPLKSUILN-QMMMGPOBSA-N -1 1 341.339 -0.888 20 0 EBADMM O=C(c1cocn1)N1CCC[C@@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570939474 949139943 /nfs/dbraw/zinc/13/99/43/949139943.db2.gz DKLSOCGLTZDQCM-SECBINFHSA-N -1 1 341.335 -0.217 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cnon2)CCN1c1cncc(-c2nnn[n-]2)n1 ZINC001570939649 949146949 /nfs/dbraw/zinc/14/69/49/949146949.db2.gz QKCXRDQDLPHBGL-SFYZADRCSA-N -1 1 342.323 -0.563 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cnon2)CCN1c1cncc(-c2nn[n-]n2)n1 ZINC001570939649 949146957 /nfs/dbraw/zinc/14/69/57/949146957.db2.gz QKCXRDQDLPHBGL-SFYZADRCSA-N -1 1 342.323 -0.563 20 0 EBADMM C[C@@H](NC(=O)[C@@H](C)n1cnc(-c2nn[n-]n2)n1)c1nnc2n1CCC2 ZINC001575252337 949162215 /nfs/dbraw/zinc/16/22/15/949162215.db2.gz QDQHMQVLIFKMGI-HTQZYQBOSA-N -1 1 343.355 -0.566 20 0 EBADMM C[C@H](c1ccco1)N(C)C(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001575516175 949203143 /nfs/dbraw/zinc/20/31/43/949203143.db2.gz NFYWFSOXWVFACI-MRVPVSSYSA-N -1 1 345.319 -0.058 20 0 EBADMM CC1(OCC(=O)NCCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)CCC1 ZINC001575856573 949248340 /nfs/dbraw/zinc/24/83/40/949248340.db2.gz HEYUFJNZOHOXKQ-UHFFFAOYSA-N -1 1 349.351 -0.796 20 0 EBADMM Cn1ncc(C2CC2)c1CNc1[nH]c(=O)n(C)c(=O)c1-c1nn[n-]n1 ZINC001570942221 949271452 /nfs/dbraw/zinc/27/14/52/949271452.db2.gz HOAAWQZFBMSHMC-UHFFFAOYSA-N -1 1 343.351 -0.111 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(c3nccnc3-c3nnn[n-]3)C2)on1 ZINC001570942657 949298894 /nfs/dbraw/zinc/29/88/94/949298894.db2.gz STRCTBYYDKFFPZ-SECBINFHSA-N -1 1 341.335 -0.038 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(c3nccnc3-c3nn[n-]n3)C2)on1 ZINC001570942657 949298919 /nfs/dbraw/zinc/29/89/19/949298919.db2.gz STRCTBYYDKFFPZ-SECBINFHSA-N -1 1 341.335 -0.038 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(c3nccnc3-c3nnn[n-]3)C2)on1 ZINC001570942658 949301207 /nfs/dbraw/zinc/30/12/07/949301207.db2.gz STRCTBYYDKFFPZ-VIFPVBQESA-N -1 1 341.335 -0.038 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(c3nccnc3-c3nn[n-]n3)C2)on1 ZINC001570942658 949301225 /nfs/dbraw/zinc/30/12/25/949301225.db2.gz STRCTBYYDKFFPZ-VIFPVBQESA-N -1 1 341.335 -0.038 20 0 EBADMM CC(C)n1cc(C(C)(C)NC(=O)Cn2cnc(-c3nn[n-]n3)n2)nn1 ZINC001574455401 949304148 /nfs/dbraw/zinc/30/41/48/949304148.db2.gz QCKJWHMUZISCAU-UHFFFAOYSA-N -1 1 345.371 -0.318 20 0 EBADMM C[C@@H]1CCC[C@H]1NC(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001576154233 949327125 /nfs/dbraw/zinc/32/71/25/949327125.db2.gz CTKHKDXUVXDXAD-PSASIEDQSA-N -1 1 348.367 -0.383 20 0 EBADMM C[C@H](NC(=O)C1=COCCO1)[C@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001575259873 949338639 /nfs/dbraw/zinc/33/86/39/949338639.db2.gz RIHNNTZUSGEPKD-IUCAKERBSA-N -1 1 346.351 -0.150 20 0 EBADMM C[C@H](NC(=O)C1=COCCO1)[C@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001575259873 949338660 /nfs/dbraw/zinc/33/86/60/949338660.db2.gz RIHNNTZUSGEPKD-IUCAKERBSA-N -1 1 346.351 -0.150 20 0 EBADMM C[C@@H](CNC(=O)Cc1cc[nH]n1)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001574942637 949358822 /nfs/dbraw/zinc/35/88/22/949358822.db2.gz QEERNPXWGXZIPW-SECBINFHSA-N -1 1 342.367 -0.213 20 0 EBADMM C[C@@H](CNC(=O)Cc1cc[nH]n1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001574942637 949358835 /nfs/dbraw/zinc/35/88/35/949358835.db2.gz QEERNPXWGXZIPW-SECBINFHSA-N -1 1 342.367 -0.213 20 0 EBADMM C[C@@H](NC(=O)C1=COCCO1)[C@H](C)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575260832 949361587 /nfs/dbraw/zinc/36/15/87/949361587.db2.gz XSWMBEWQCPKIIC-DTWKUNHWSA-N -1 1 346.351 -0.728 20 0 EBADMM C[C@@H](CNC(=O)Cc1ncc[nH]1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001574944404 949391094 /nfs/dbraw/zinc/39/10/94/949391094.db2.gz CULFCAKQELHVTI-VIFPVBQESA-N -1 1 342.367 -0.437 20 0 EBADMM C[C@@H](CNC(=O)Cc1ncc[nH]1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001574944404 949391113 /nfs/dbraw/zinc/39/11/13/949391113.db2.gz CULFCAKQELHVTI-VIFPVBQESA-N -1 1 342.367 -0.437 20 0 EBADMM C[C@H](CNC(=O)Cc1cnoc1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574944547 949395389 /nfs/dbraw/zinc/39/53/89/949395389.db2.gz DXYPXZBWTFRFEQ-MRVPVSSYSA-N -1 1 329.324 -0.196 20 0 EBADMM C[C@H](CNC(=O)Cc1cnoc1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574944547 949395409 /nfs/dbraw/zinc/39/54/09/949395409.db2.gz DXYPXZBWTFRFEQ-MRVPVSSYSA-N -1 1 329.324 -0.196 20 0 EBADMM C[C@H]1CC=C(C(=O)NCCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)CC1 ZINC001570944324 949415853 /nfs/dbraw/zinc/41/58/53/949415853.db2.gz NBZLYQLGGKCRJH-VIFPVBQESA-N -1 1 345.363 -0.008 20 0 EBADMM C[C@@H]1CCC[C@H](C)N1NC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001576155733 949418631 /nfs/dbraw/zinc/41/86/31/949418631.db2.gz UYWVCVAORGWFGB-DTORHVGOSA-N -1 1 348.367 -0.577 20 0 EBADMM C[C@H](CNC(=O)Cc1cncs1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574947084 949441980 /nfs/dbraw/zinc/44/19/80/949441980.db2.gz ZCHJLMJNFAOZCJ-MRVPVSSYSA-N -1 1 345.392 -0.306 20 0 EBADMM C[C@@H](CNC(=O)Cn1ccnc1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574947436 949446725 /nfs/dbraw/zinc/44/67/25/949446725.db2.gz AXABJQGBQNQKOG-VIFPVBQESA-N -1 1 328.340 -0.530 20 0 EBADMM C[C@@H](CNC(=O)Cn1ccnc1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574947436 949446741 /nfs/dbraw/zinc/44/67/41/949446741.db2.gz AXABJQGBQNQKOG-VIFPVBQESA-N -1 1 328.340 -0.530 20 0 EBADMM Cc1cc(-c2nnn[n-]2)nc(N2C[C@@H](O)[C@H](NC(=O)[C@H]3C[C@@H]3C)C2)n1 ZINC001570945582 949487254 /nfs/dbraw/zinc/48/72/54/949487254.db2.gz FDBAJDSMWNBMEE-DFJQSBMWSA-N -1 1 344.379 -0.713 20 0 EBADMM Cc1cc(-c2nn[n-]n2)nc(N2C[C@@H](O)[C@H](NC(=O)[C@H]3C[C@@H]3C)C2)n1 ZINC001570945582 949487267 /nfs/dbraw/zinc/48/72/67/949487267.db2.gz FDBAJDSMWNBMEE-DFJQSBMWSA-N -1 1 344.379 -0.713 20 0 EBADMM COCC(=O)N1C[C@H]2CN(c3ccnc(-c4nn[n-]n4)n3)C[C@@]2(C)C1 ZINC001570945553 949490121 /nfs/dbraw/zinc/49/01/21/949490121.db2.gz DRPVLJLJAXRCSS-BMIGLBTASA-N -1 1 344.379 -0.412 20 0 EBADMM CC(C)(C)C(=O)N[C@@H]1CN(c2ccc(-c3nnn[n-]3)nn2)C[C@H]1O ZINC001573806774 949492561 /nfs/dbraw/zinc/49/25/61/949492561.db2.gz VOVMTSDZBNBCPA-NXEZZACHSA-N -1 1 332.368 -0.632 20 0 EBADMM CC(C)(C)C(=O)N[C@@H]1CN(c2ccc(-c3nn[n-]n3)nn2)C[C@H]1O ZINC001573806774 949492576 /nfs/dbraw/zinc/49/25/76/949492576.db2.gz VOVMTSDZBNBCPA-NXEZZACHSA-N -1 1 332.368 -0.632 20 0 EBADMM C[C@@]1(C(=O)N2CC[C@H](Nc3ccnc(-c4nn[n-]n4)n3)C2)CCOC1 ZINC001575537520 949498490 /nfs/dbraw/zinc/49/84/90/949498490.db2.gz WKZVTZDYMMNCNP-ZUZCIYMTSA-N -1 1 344.379 -0.482 20 0 EBADMM COCC(=O)N1CC[C@H](CN(C)c2nc(C)cc(-c3nnn[n-]3)n2)C1 ZINC001570945733 949499407 /nfs/dbraw/zinc/49/94/07/949499407.db2.gz BTDHWPRRZYJWEY-LLVKDONJSA-N -1 1 346.395 -0.104 20 0 EBADMM COCC(=O)N1CC[C@H](CN(C)c2nc(C)cc(-c3nn[n-]n3)n2)C1 ZINC001570945733 949499412 /nfs/dbraw/zinc/49/94/12/949499412.db2.gz BTDHWPRRZYJWEY-LLVKDONJSA-N -1 1 346.395 -0.104 20 0 EBADMM CCC(=O)N1CCC(N(CCO)c2cncc(-c3nnn[n-]3)n2)CC1 ZINC001570945886 949507386 /nfs/dbraw/zinc/50/73/86/949507386.db2.gz FQDJXHPBBZWZMJ-UHFFFAOYSA-N -1 1 346.395 -0.144 20 0 EBADMM CCC(=O)N1CCC(N(CCO)c2cncc(-c3nn[n-]n3)n2)CC1 ZINC001570945886 949507400 /nfs/dbraw/zinc/50/74/00/949507400.db2.gz FQDJXHPBBZWZMJ-UHFFFAOYSA-N -1 1 346.395 -0.144 20 0 EBADMM C[C@H]1CO[C@@H](C(=O)N2CCN(c3cnc(-c4nnn[n-]4)cn3)CC2)C1 ZINC001570945927 949511368 /nfs/dbraw/zinc/51/13/68/949511368.db2.gz LFPNVRGNVPTAKH-ZYHUDNBSSA-N -1 1 344.379 -0.270 20 0 EBADMM C[C@H]1CO[C@@H](C(=O)N2CCN(c3cnc(-c4nn[n-]n4)cn3)CC2)C1 ZINC001570945927 949511390 /nfs/dbraw/zinc/51/13/90/949511390.db2.gz LFPNVRGNVPTAKH-ZYHUDNBSSA-N -1 1 344.379 -0.270 20 0 EBADMM COC(=O)[C@@]1(CNC(=O)Cc2ccc(-c3nnn[n-]3)nc2)CCOC1 ZINC001570946350 949527659 /nfs/dbraw/zinc/52/76/59/949527659.db2.gz MAXUNPUNDMKFPP-OAHLLOKOSA-N -1 1 346.347 -0.500 20 0 EBADMM COC(=O)[C@@]1(CNC(=O)Cc2ccc(-c3nn[n-]n3)nc2)CCOC1 ZINC001570946350 949527669 /nfs/dbraw/zinc/52/76/69/949527669.db2.gz MAXUNPUNDMKFPP-OAHLLOKOSA-N -1 1 346.347 -0.500 20 0 EBADMM O=C(NC[C@@H]1CCCN1c1nccnc1-c1nnn[n-]1)[C@@H]1CCOC1 ZINC001570946848 949546975 /nfs/dbraw/zinc/54/69/75/949546975.db2.gz RQPRPTPGMVAUDP-MNOVXSKESA-N -1 1 344.379 -0.222 20 0 EBADMM O=C(NC[C@@H]1CCCN1c1nccnc1-c1nn[n-]n1)[C@@H]1CCOC1 ZINC001570946848 949546996 /nfs/dbraw/zinc/54/69/96/949546996.db2.gz RQPRPTPGMVAUDP-MNOVXSKESA-N -1 1 344.379 -0.222 20 0 EBADMM O=C(N[C@H]1CCCN(c2cnc(-c3nnn[n-]3)cn2)C1)[C@H]1CCOC1 ZINC001570946950 949552923 /nfs/dbraw/zinc/55/29/23/949552923.db2.gz UMADOQGRYHGOKK-QWRGUYRKSA-N -1 1 344.379 -0.222 20 0 EBADMM O=C(N[C@H]1CCCN(c2cnc(-c3nn[n-]n3)cn2)C1)[C@H]1CCOC1 ZINC001570946950 949552945 /nfs/dbraw/zinc/55/29/45/949552945.db2.gz UMADOQGRYHGOKK-QWRGUYRKSA-N -1 1 344.379 -0.222 20 0 EBADMM COc1cn(C)nc1[C@@H](C)NC(=O)[C@H](C)n1cnc(-c2nn[n-]n2)n1 ZINC001570947073 949558958 /nfs/dbraw/zinc/55/89/58/949558958.db2.gz RTESNKMTQCCETK-SFYZADRCSA-N -1 1 346.355 -0.361 20 0 EBADMM O=C([C@H]1CCCCO1)N1CCN(c2nccnc2-c2nnn[n-]2)CC1 ZINC001570947304 949566124 /nfs/dbraw/zinc/56/61/24/949566124.db2.gz XAYDLQSKLUKNOF-LLVKDONJSA-N -1 1 344.379 -0.126 20 0 EBADMM O=C([C@H]1CCCCO1)N1CCN(c2nccnc2-c2nn[n-]n2)CC1 ZINC001570947304 949566137 /nfs/dbraw/zinc/56/61/37/949566137.db2.gz XAYDLQSKLUKNOF-LLVKDONJSA-N -1 1 344.379 -0.126 20 0 EBADMM Cc1onc(CC(=O)N[C@H](CO)Cc2cnn(C)c2)c1-c1nnn[n-]1 ZINC001570947641 949580424 /nfs/dbraw/zinc/58/04/24/949580424.db2.gz XVLPDBQRMYFXOV-JTQLQIEISA-N -1 1 346.351 -0.841 20 0 EBADMM Cc1onc(CC(=O)N[C@H](CO)Cc2cnn(C)c2)c1-c1nn[n-]n1 ZINC001570947641 949580435 /nfs/dbraw/zinc/58/04/35/949580435.db2.gz XVLPDBQRMYFXOV-JTQLQIEISA-N -1 1 346.351 -0.841 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1COC(=O)N1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574712976 949593459 /nfs/dbraw/zinc/59/34/59/949593459.db2.gz VPMGEIXMKXQQLT-CBAPKCEASA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1COC(=O)N1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574712976 949593476 /nfs/dbraw/zinc/59/34/76/949593476.db2.gz VPMGEIXMKXQQLT-CBAPKCEASA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@H](CNC(=O)[C@@H]1CCC(=O)N1C)Nc1nccnc1-c1nnn[n-]1 ZINC001574957162 949597711 /nfs/dbraw/zinc/59/77/11/949597711.db2.gz RPWWZKSANBNJDZ-BDAKNGLRSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](CNC(=O)[C@@H]1CCC(=O)N1C)Nc1nccnc1-c1nn[n-]n1 ZINC001574957162 949597722 /nfs/dbraw/zinc/59/77/22/949597722.db2.gz RPWWZKSANBNJDZ-BDAKNGLRSA-N -1 1 345.367 -0.806 20 0 EBADMM CCO[C@@H]1C[C@@H]1C(=O)Nc1[nH]nc(N2CCOCC2)c1-c1nnn[n-]1 ZINC001570948364 949608986 /nfs/dbraw/zinc/60/89/86/949608986.db2.gz FDNHQIAFTLUBSJ-DTWKUNHWSA-N -1 1 348.367 -0.210 20 0 EBADMM CCO[C@@H]1C[C@@H]1C(=O)Nc1[nH]nc(N2CCOCC2)c1-c1nn[n-]n1 ZINC001570948364 949608995 /nfs/dbraw/zinc/60/89/95/949608995.db2.gz FDNHQIAFTLUBSJ-DTWKUNHWSA-N -1 1 348.367 -0.210 20 0 EBADMM O=C(N1CC[C@H](Nc2ccc(-c3nnn[n-]3)nn2)C1)[C@@]1(F)CCOC1 ZINC001570948576 949612208 /nfs/dbraw/zinc/61/22/08/949612208.db2.gz HKQPJZMWOFUFHA-LKFCYVNXSA-N -1 1 348.342 -0.202 20 0 EBADMM O=C(N1CC[C@H](Nc2ccc(-c3nn[n-]n3)nn2)C1)[C@@]1(F)CCOC1 ZINC001570948576 949612222 /nfs/dbraw/zinc/61/22/22/949612222.db2.gz HKQPJZMWOFUFHA-LKFCYVNXSA-N -1 1 348.342 -0.202 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1CCOC1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574713893 949615005 /nfs/dbraw/zinc/61/50/05/949615005.db2.gz YXWHTIFSKNRAPD-VHSXEESVSA-N -1 1 332.368 -0.626 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1CCOC1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574713892 949615278 /nfs/dbraw/zinc/61/52/78/949615278.db2.gz YXWHTIFSKNRAPD-UWVGGRQHSA-N -1 1 332.368 -0.626 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574957591 949622290 /nfs/dbraw/zinc/62/22/90/949622290.db2.gz CHJCFKORUNDOGK-APOZVJGGSA-N -1 1 330.352 -0.534 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574957591 949622309 /nfs/dbraw/zinc/62/23/09/949622309.db2.gz CHJCFKORUNDOGK-APOZVJGGSA-N -1 1 330.352 -0.534 20 0 EBADMM C[C@@]1(C(=O)N2CCN(c3ccc(-c4nnn[n-]4)nn3)CC2)CCOC1 ZINC001575547577 949631207 /nfs/dbraw/zinc/63/12/07/949631207.db2.gz ASWIZJSVNBECIS-OAHLLOKOSA-N -1 1 344.379 -0.268 20 0 EBADMM C[C@@]1(C(=O)N2CCN(c3ccc(-c4nn[n-]n4)nn3)CC2)CCOC1 ZINC001575547577 949631217 /nfs/dbraw/zinc/63/12/17/949631217.db2.gz ASWIZJSVNBECIS-OAHLLOKOSA-N -1 1 344.379 -0.268 20 0 EBADMM C[C@@H](CN(C)C(=O)CCCC(N)=O)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574715144 949643190 /nfs/dbraw/zinc/64/31/90/949643190.db2.gz BQGGAARALLPKKG-VIFPVBQESA-N -1 1 347.383 -0.429 20 0 EBADMM C[C@@H](CN(C)C(=O)CCCC(N)=O)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574715144 949643203 /nfs/dbraw/zinc/64/32/03/949643203.db2.gz BQGGAARALLPKKG-VIFPVBQESA-N -1 1 347.383 -0.429 20 0 EBADMM C[C@H](CNC(=O)[C@]12C[C@H]1COC2)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574957992 949645202 /nfs/dbraw/zinc/64/52/02/949645202.db2.gz KNXSJEZFAANUDC-ITMYJUKJSA-N -1 1 330.352 -0.390 20 0 EBADMM C[C@H](CNC(=O)[C@]12C[C@H]1COC2)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574957992 949645214 /nfs/dbraw/zinc/64/52/14/949645214.db2.gz KNXSJEZFAANUDC-ITMYJUKJSA-N -1 1 330.352 -0.390 20 0 EBADMM C[C@H](NC(=O)CCCC(N)=O)[C@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575272627 949650705 /nfs/dbraw/zinc/65/07/05/949650705.db2.gz RIZAESPFDVJMHK-IUCAKERBSA-N -1 1 347.383 -0.383 20 0 EBADMM C[C@H](NC(=O)CCCC(N)=O)[C@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575272627 949650713 /nfs/dbraw/zinc/65/07/13/949650713.db2.gz RIZAESPFDVJMHK-IUCAKERBSA-N -1 1 347.383 -0.383 20 0 EBADMM COC1CC(C(=O)Nc2[nH]nc(N3CCOCC3)c2-c2nnn[n-]2)C1 ZINC001570949662 949651713 /nfs/dbraw/zinc/65/17/13/949651713.db2.gz RNERPVHOJPWGMZ-UHFFFAOYSA-N -1 1 348.367 -0.210 20 0 EBADMM COC1CC(C(=O)Nc2[nH]nc(N3CCOCC3)c2-c2nn[n-]n2)C1 ZINC001570949662 949651732 /nfs/dbraw/zinc/65/17/32/949651732.db2.gz RNERPVHOJPWGMZ-UHFFFAOYSA-N -1 1 348.367 -0.210 20 0 EBADMM CO[C@]12CCC[C@@]1(C(=O)NCCn1cnc(-c3nn[n-]n3)n1)CCO2 ZINC001570949766 949654927 /nfs/dbraw/zinc/65/49/27/949654927.db2.gz SDWFLSDBBQNRMQ-KBPBESRZSA-N -1 1 348.367 -0.492 20 0 EBADMM C[C@H](CNC(=O)[C@]12C[C@H]1COC2)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001574958203 949656123 /nfs/dbraw/zinc/65/61/23/949656123.db2.gz NWVBQACWMMFWDV-FTGAXOIBSA-N -1 1 344.379 -0.366 20 0 EBADMM C[C@H](CNC(=O)[C@]12C[C@H]1COC2)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001574958203 949656135 /nfs/dbraw/zinc/65/61/35/949656135.db2.gz NWVBQACWMMFWDV-FTGAXOIBSA-N -1 1 344.379 -0.366 20 0 EBADMM CCOCC1(C(=O)NCCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)CC1 ZINC001570950091 949671335 /nfs/dbraw/zinc/67/13/35/949671335.db2.gz DEAJQEUXABIEER-UHFFFAOYSA-N -1 1 349.351 -0.938 20 0 EBADMM COC[C@H](NC(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)C1CC1 ZINC001570950263 949679314 /nfs/dbraw/zinc/67/93/14/949679314.db2.gz GHOABQCHEGHOGJ-JTQLQIEISA-N -1 1 349.351 -0.940 20 0 EBADMM C[C@H](CN(C)C(=O)C[C@@H]1CCOC1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574716333 949688939 /nfs/dbraw/zinc/68/89/39/949688939.db2.gz JNCDFBHBZHSZQV-MNOVXSKESA-N -1 1 346.395 -0.236 20 0 EBADMM CC[C@H](NC(C)=O)C(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC001570950604 949692217 /nfs/dbraw/zinc/69/22/17/949692217.db2.gz NTBNWQDEDHVECZ-VIFPVBQESA-N -1 1 349.399 -0.522 20 0 EBADMM CC[C@H](NC(C)=O)C(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC001570950604 949692243 /nfs/dbraw/zinc/69/22/43/949692243.db2.gz NTBNWQDEDHVECZ-VIFPVBQESA-N -1 1 349.399 -0.522 20 0 EBADMM C[C@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)Nc1nccnc1-c1nnn[n-]1 ZINC001574959159 949710790 /nfs/dbraw/zinc/71/07/90/949710790.db2.gz ZMQXTGLWJHPKTB-IMSYWVGJSA-N -1 1 330.352 -0.534 20 0 EBADMM C[C@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)Nc1nccnc1-c1nn[n-]n1 ZINC001574959159 949710801 /nfs/dbraw/zinc/71/08/01/949710801.db2.gz ZMQXTGLWJHPKTB-IMSYWVGJSA-N -1 1 330.352 -0.534 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CCCC(=O)N1)Nc1nccnc1-c1nnn[n-]1 ZINC001574963725 949756622 /nfs/dbraw/zinc/75/66/22/949756622.db2.gz LPQCLHLIESFJNT-RKDXNWHRSA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CCCC(=O)N1)Nc1nccnc1-c1nn[n-]n1 ZINC001574963725 949756640 /nfs/dbraw/zinc/75/66/40/949756640.db2.gz LPQCLHLIESFJNT-RKDXNWHRSA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@@H](NC(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)[C@@H]1CCOC1 ZINC001575278188 949765801 /nfs/dbraw/zinc/76/58/01/949765801.db2.gz UEPLHFMCOWSABB-RKDXNWHRSA-N -1 1 349.351 -0.940 20 0 EBADMM C[C@H](CNC(=O)[C@H]1C[C@@H]1C(F)F)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574965567 949799403 /nfs/dbraw/zinc/79/94/03/949799403.db2.gz HANMUHRDGBOADD-CSMHCCOUSA-N -1 1 338.322 -0.104 20 0 EBADMM CC1(C(=O)NC[C@H](CO)Nc2ccc(-c3nnn[n-]3)nn2)CCC1 ZINC001575572944 949983715 /nfs/dbraw/zinc/98/37/15/949983715.db2.gz JWNWWWXEGQJOBZ-SECBINFHSA-N -1 1 332.368 -0.264 20 0 EBADMM CC1(C(=O)NC[C@H](CO)Nc2ccc(-c3nn[n-]n3)nn2)CCC1 ZINC001575572944 949983728 /nfs/dbraw/zinc/98/37/28/949983728.db2.gz JWNWWWXEGQJOBZ-SECBINFHSA-N -1 1 332.368 -0.264 20 0 EBADMM C[C@@H](CN(C)C(=O)Cc1ccc[nH]1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574729400 950015480 /nfs/dbraw/zinc/01/54/80/950015480.db2.gz NNGUJKVJMXHTHE-JTQLQIEISA-N -1 1 341.379 -0.092 20 0 EBADMM C[C@@H](CN(C)C(=O)Cn1ccnc1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574730608 950030687 /nfs/dbraw/zinc/03/06/87/950030687.db2.gz AMUFBQXCZWNOKL-JTQLQIEISA-N -1 1 342.367 -0.766 20 0 EBADMM C[C@@H](CN(C)C(=O)Cc1ncc[nH]1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574731264 950050632 /nfs/dbraw/zinc/05/06/32/950050632.db2.gz CHIFLBAXSGUWNN-VIFPVBQESA-N -1 1 342.367 -0.119 20 0 EBADMM C[C@@H](CN(C)C(=O)Cc1ncc[nH]1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574731264 950050651 /nfs/dbraw/zinc/05/06/51/950050651.db2.gz CHIFLBAXSGUWNN-VIFPVBQESA-N -1 1 342.367 -0.119 20 0 EBADMM CC1(C(=O)NC[C@@H](O)CNc2ccc(-c3nnn[n-]3)nn2)CCC1 ZINC001575576178 950065966 /nfs/dbraw/zinc/06/59/66/950065966.db2.gz OVKUBKTUANBBBJ-VIFPVBQESA-N -1 1 332.368 -0.264 20 0 EBADMM CC1(C(=O)NC[C@@H](O)CNc2ccc(-c3nn[n-]n3)nn2)CCC1 ZINC001575576178 950065983 /nfs/dbraw/zinc/06/59/83/950065983.db2.gz OVKUBKTUANBBBJ-VIFPVBQESA-N -1 1 332.368 -0.264 20 0 EBADMM C[C@H](CN(C)C(=O)Cn1ccnc1)Nc1nccnc1-c1nnn[n-]1 ZINC001574732536 950077006 /nfs/dbraw/zinc/07/70/06/950077006.db2.gz JNCRRSUSFZCZIS-SNVBAGLBSA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@H](CN(C)C(=O)Cn1ccnc1)Nc1nccnc1-c1nn[n-]n1 ZINC001574732536 950077025 /nfs/dbraw/zinc/07/70/25/950077025.db2.gz JNCRRSUSFZCZIS-SNVBAGLBSA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@H](CN(C)C(=O)Cn1cncn1)Nc1nccnc1-c1nnn[n-]1 ZINC001574733058 950093845 /nfs/dbraw/zinc/09/38/45/950093845.db2.gz QXISGVKWMBAHRJ-SECBINFHSA-N -1 1 343.355 -0.793 20 0 EBADMM C[C@H](CN(C)C(=O)Cn1cncn1)Nc1nccnc1-c1nn[n-]n1 ZINC001574733058 950093860 /nfs/dbraw/zinc/09/38/60/950093860.db2.gz QXISGVKWMBAHRJ-SECBINFHSA-N -1 1 343.355 -0.793 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1CCC(=O)N1)Nc1nccnc1-c1nnn[n-]1 ZINC001574733176 950101417 /nfs/dbraw/zinc/10/14/17/950101417.db2.gz UXBJFBAECBZQCM-BDAKNGLRSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1CCC(=O)N1)Nc1nccnc1-c1nn[n-]n1 ZINC001574733176 950101427 /nfs/dbraw/zinc/10/14/27/950101427.db2.gz UXBJFBAECBZQCM-BDAKNGLRSA-N -1 1 345.367 -0.806 20 0 EBADMM CC1(C(=O)NC[C@H]2CN(c3cncc(-c4nnn[n-]4)n3)CCO2)CC1 ZINC001575592398 950285659 /nfs/dbraw/zinc/28/56/59/950285659.db2.gz AIZKIHMHPUYCIM-JTQLQIEISA-N -1 1 344.379 -0.222 20 0 EBADMM CC1(C(=O)NC[C@H]2CN(c3cncc(-c4nn[n-]n4)n3)CCO2)CC1 ZINC001575592398 950285675 /nfs/dbraw/zinc/28/56/75/950285675.db2.gz AIZKIHMHPUYCIM-JTQLQIEISA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@H](CC(=O)NC[C@H](O)CNc1nccnc1-c1nnn[n-]1)C1CC1 ZINC001574499426 950297051 /nfs/dbraw/zinc/29/70/51/950297051.db2.gz VBTOTIKBEWOGMP-KOLCDFICSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](CC(=O)NC[C@H](O)CNc1nccnc1-c1nn[n-]n1)C1CC1 ZINC001574499426 950297067 /nfs/dbraw/zinc/29/70/67/950297067.db2.gz VBTOTIKBEWOGMP-KOLCDFICSA-N -1 1 346.395 -0.018 20 0 EBADMM CC1(C(=O)N[C@@H]2CN(c3nccnc3-c3nnn[n-]3)C[C@H]2O)CCC1 ZINC001575604500 950444287 /nfs/dbraw/zinc/44/42/87/950444287.db2.gz BDGFPEFRYALOJN-NXEZZACHSA-N -1 1 344.379 -0.487 20 0 EBADMM CC1(C(=O)N[C@@H]2CN(c3nccnc3-c3nn[n-]n3)C[C@H]2O)CCC1 ZINC001575604500 950444298 /nfs/dbraw/zinc/44/42/98/950444298.db2.gz BDGFPEFRYALOJN-NXEZZACHSA-N -1 1 344.379 -0.487 20 0 EBADMM CC1(C(=O)N[C@@H]2CN(c3ccc(-c4nnn[n-]4)nn3)C[C@H]2O)CC1 ZINC001575604977 950449398 /nfs/dbraw/zinc/44/93/98/950449398.db2.gz JCPZPSXUQBFZDG-NXEZZACHSA-N -1 1 330.352 -0.878 20 0 EBADMM CC1(C(=O)N[C@@H]2CN(c3ccc(-c4nn[n-]n4)nn3)C[C@H]2O)CC1 ZINC001575604977 950449418 /nfs/dbraw/zinc/44/94/18/950449418.db2.gz JCPZPSXUQBFZDG-NXEZZACHSA-N -1 1 330.352 -0.878 20 0 EBADMM C[C@H](CN(C)C(=O)c1cn(C)nn1)Nc1nccnc1-c1nnn[n-]1 ZINC001574759817 950647745 /nfs/dbraw/zinc/64/77/45/950647745.db2.gz FRSGNHPWRPTRIE-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CN(C)C(=O)c1cn(C)nn1)Nc1nccnc1-c1nn[n-]n1 ZINC001574759817 950647756 /nfs/dbraw/zinc/64/77/56/950647756.db2.gz FRSGNHPWRPTRIE-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ccn(C)n1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574759948 950652455 /nfs/dbraw/zinc/65/24/55/950652455.db2.gz QNVKVVWILCLNAG-VIFPVBQESA-N -1 1 342.367 -0.615 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cn(C)cn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574760895 950684238 /nfs/dbraw/zinc/68/42/38/950684238.db2.gz NWIYTQSECVGWID-VIFPVBQESA-N -1 1 342.367 -0.615 20 0 EBADMM CC1(C)CC(=O)NCCN1S(=O)(=O)c1ccc(-c2nn[n-]n2)o1 ZINC001575625976 950703730 /nfs/dbraw/zinc/70/37/30/950703730.db2.gz VTDNVBYVZVLXAB-UHFFFAOYSA-N -1 1 340.365 -0.251 20 0 EBADMM C[C@@H]1[C@@H](Nc2cnc(-c3nn[n-]n3)cn2)CCN1C(=O)c1cn[nH]n1 ZINC001575926430 950708814 /nfs/dbraw/zinc/70/88/14/950708814.db2.gz KALVXQQAQZADHR-SFYZADRCSA-N -1 1 341.339 -0.510 20 0 EBADMM C[C@H](CN(C)C(=O)c1cnn(C)n1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574764144 950741938 /nfs/dbraw/zinc/74/19/38/950741938.db2.gz PWKMSMBKOHROMV-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CN(C)C(=O)c1cnn(C)n1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574764144 950741954 /nfs/dbraw/zinc/74/19/54/950741954.db2.gz PWKMSMBKOHROMV-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM CC(C)CCC(=O)NC[C@H](O)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001574182093 950757561 /nfs/dbraw/zinc/75/75/61/950757561.db2.gz UFVTZTMUENOQTH-SNVBAGLBSA-N -1 1 334.384 -0.596 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ncn(C)n1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574765251 950781126 /nfs/dbraw/zinc/78/11/26/950781126.db2.gz ATIMLORSIKLMGD-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ncn(C)n1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574765251 950781133 /nfs/dbraw/zinc/78/11/33/950781133.db2.gz ATIMLORSIKLMGD-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM CC(C)CCC(=O)N[C@@H]1CN(c2cncc(-c3nnn[n-]3)n2)C[C@H]1O ZINC001574184480 950784030 /nfs/dbraw/zinc/78/40/30/950784030.db2.gz LPHAFASDLPLNGV-VXGBXAGGSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)CCC(=O)N[C@@H]1CN(c2cncc(-c3nn[n-]n3)n2)C[C@H]1O ZINC001574184480 950784046 /nfs/dbraw/zinc/78/40/46/950784046.db2.gz LPHAFASDLPLNGV-VXGBXAGGSA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cnon1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574767680 950862667 /nfs/dbraw/zinc/86/26/67/950862667.db2.gz UMPGKXBHPXISLH-ZETCQYMHSA-N -1 1 330.312 -0.388 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cnon1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574767680 950862680 /nfs/dbraw/zinc/86/26/80/950862680.db2.gz UMPGKXBHPXISLH-ZETCQYMHSA-N -1 1 330.312 -0.388 20 0 EBADMM C[C@H]1[C@@H](Nc2nccnc2-c2nnn[n-]2)CCN1C(=O)c1cn[nH]n1 ZINC001575935752 950893160 /nfs/dbraw/zinc/89/31/60/950893160.db2.gz BBABFRDQQMWHGD-YUMQZZPRSA-N -1 1 341.339 -0.510 20 0 EBADMM C[C@H]1[C@@H](Nc2nccnc2-c2nn[n-]n2)CCN1C(=O)c1cn[nH]n1 ZINC001575935752 950893175 /nfs/dbraw/zinc/89/31/75/950893175.db2.gz BBABFRDQQMWHGD-YUMQZZPRSA-N -1 1 341.339 -0.510 20 0 EBADMM C[C@@H](CNC(=O)c1ccc(=O)[nH]c1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575018644 951025285 /nfs/dbraw/zinc/02/52/85/951025285.db2.gz NTKHZZPCJLLGNJ-QMMMGPOBSA-N -1 1 341.335 -0.590 20 0 EBADMM C[C@H](CNC(=O)c1ccc(F)cn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575025155 951127832 /nfs/dbraw/zinc/12/78/32/951127832.db2.gz WFCFOMQXKIQQNB-MRVPVSSYSA-N -1 1 343.326 -0.157 20 0 EBADMM C[C@H](CNC(=O)c1cccc(=O)[nH]1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575026744 951148647 /nfs/dbraw/zinc/14/86/47/951148647.db2.gz MWWHKZXRCAAYMG-MRVPVSSYSA-N -1 1 341.335 -0.590 20 0 EBADMM C[C@H](CNC(=O)c1ccc[nH]1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575027557 951159436 /nfs/dbraw/zinc/15/94/36/951159436.db2.gz RYGPPTPDQRWKGO-VIFPVBQESA-N -1 1 327.352 -0.115 20 0 EBADMM C[C@@H](CNC(=O)c1cccnn1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575031765 951203865 /nfs/dbraw/zinc/20/38/65/951203865.db2.gz IJLSPPNTXYLYMW-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1cccnn1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575031765 951203878 /nfs/dbraw/zinc/20/38/78/951203878.db2.gz IJLSPPNTXYLYMW-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1ccncn1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575033917 951231966 /nfs/dbraw/zinc/23/19/66/951231966.db2.gz DXMBMVAMOASACI-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1ccncn1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575033917 951231972 /nfs/dbraw/zinc/23/19/72/951231972.db2.gz DXMBMVAMOASACI-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1ccncn1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575034232 951242289 /nfs/dbraw/zinc/24/22/89/951242289.db2.gz JWVJQTNMNHSYQG-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1ccnn1C)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001575034304 951243450 /nfs/dbraw/zinc/24/34/50/951243450.db2.gz LORGTIMWPIBKEI-SECBINFHSA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@@H](CNC(=O)c1ccnn1C)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575034304 951243459 /nfs/dbraw/zinc/24/34/59/951243459.db2.gz LORGTIMWPIBKEI-SECBINFHSA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)cn1)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001575042306 951358878 /nfs/dbraw/zinc/35/88/78/951358878.db2.gz IBQJUVUDASKMRS-VIFPVBQESA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)cn1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001575042306 951358890 /nfs/dbraw/zinc/35/88/90/951358890.db2.gz IBQJUVUDASKMRS-VIFPVBQESA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)cn1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575042365 951359635 /nfs/dbraw/zinc/35/96/35/951359635.db2.gz INBJPPHSVFYUMM-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)cn1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575042365 951359644 /nfs/dbraw/zinc/35/96/44/951359644.db2.gz INBJPPHSVFYUMM-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)c(=O)[nH]1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575042359 951360682 /nfs/dbraw/zinc/36/06/82/951360682.db2.gz IJPNPNMIFLGHPQ-SSDOTTSWSA-N -1 1 344.339 -0.674 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)cn1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001575043223 951383731 /nfs/dbraw/zinc/38/37/31/951383731.db2.gz PEDDYWZWYYQUGI-QMMMGPOBSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)cn1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575043223 951383736 /nfs/dbraw/zinc/38/37/36/951383736.db2.gz PEDDYWZWYYQUGI-QMMMGPOBSA-N -1 1 328.340 -0.379 20 0 EBADMM CN1CCN(C)[C@H](CNC(=O)NCC2(C(=O)[O-])CCOCC2)C1 ZINC000391165369 951393468 /nfs/dbraw/zinc/39/34/68/951393468.db2.gz CZNHWYDWGKHQRR-GFCCVEGCSA-N -1 1 328.413 -0.587 20 0 EBADMM C[C@@H](CNC(=O)c1cncn1C)CNc1nccnc1-c1nnn[n-]1 ZINC001575045398 951427548 /nfs/dbraw/zinc/42/75/48/951427548.db2.gz BPQVVRVPJZAOIJ-SECBINFHSA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@@H](CNC(=O)c1cncn1C)CNc1nccnc1-c1nn[n-]n1 ZINC001575045398 951427555 /nfs/dbraw/zinc/42/75/55/951427555.db2.gz BPQVVRVPJZAOIJ-SECBINFHSA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@H](CNC(=O)c1cnccn1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575045614 951430020 /nfs/dbraw/zinc/43/00/20/951430020.db2.gz FJYUHFYDUURMGU-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@H](CNC(=O)c1cnccn1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575045614 951430036 /nfs/dbraw/zinc/43/00/36/951430036.db2.gz FJYUHFYDUURMGU-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1cnccn1)CNc1nccnc1-c1nnn[n-]1 ZINC001575046043 951438809 /nfs/dbraw/zinc/43/88/09/951438809.db2.gz KZTZREHGZLFBPD-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1cnccn1)CNc1nccnc1-c1nn[n-]n1 ZINC001575046043 951438816 /nfs/dbraw/zinc/43/88/16/951438816.db2.gz KZTZREHGZLFBPD-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1cncnc1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001575046649 951445297 /nfs/dbraw/zinc/44/52/97/951445297.db2.gz QJLQRWZPLIAZOX-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1cncnc1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575046649 951445308 /nfs/dbraw/zinc/44/53/08/951445308.db2.gz QJLQRWZPLIAZOX-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@H](CNC(=O)c1cncnc1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575047029 951453252 /nfs/dbraw/zinc/45/32/52/951453252.db2.gz XNZUNGGJFRGLLL-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1cncnc1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575047029 951453256 /nfs/dbraw/zinc/45/32/56/951453256.db2.gz XNZUNGGJFRGLLL-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)c1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575052366 951462396 /nfs/dbraw/zinc/46/23/96/951462396.db2.gz HJFIZZVGXNHTDG-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)c1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575052366 951462399 /nfs/dbraw/zinc/46/23/99/951462399.db2.gz HJFIZZVGXNHTDG-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)n1)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001575053675 951481994 /nfs/dbraw/zinc/48/19/94/951481994.db2.gz UGCDLTODUFJNQN-QMMMGPOBSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)n1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001575053675 951482007 /nfs/dbraw/zinc/48/20/07/951482007.db2.gz UGCDLTODUFJNQN-QMMMGPOBSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@H](CNC(=O)c1cnon1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575055034 951498060 /nfs/dbraw/zinc/49/80/60/951498060.db2.gz MEGYHOWWYRSIQG-SSDOTTSWSA-N -1 1 330.312 -0.706 20 0 EBADMM C[C@H](CNC(=O)c1cnsn1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575055243 951501161 /nfs/dbraw/zinc/50/11/61/951501161.db2.gz ORKWBFHIMFAEQG-SSDOTTSWSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@H](CNC(=O)c1cnsn1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575055243 951501171 /nfs/dbraw/zinc/50/11/71/951501171.db2.gz ORKWBFHIMFAEQG-SSDOTTSWSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@@H](CNC(=O)c1cnns1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575055262 951502122 /nfs/dbraw/zinc/50/21/22/951502122.db2.gz PAMKXOPJHRWDEO-ZETCQYMHSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@@H](CNC(=O)c1cnns1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575055262 951502127 /nfs/dbraw/zinc/50/21/27/951502127.db2.gz PAMKXOPJHRWDEO-ZETCQYMHSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@@H](CNC(=O)c1cocn1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575056103 951515762 /nfs/dbraw/zinc/51/57/62/951515762.db2.gz FJOCEWMHCNBFIE-QMMMGPOBSA-N -1 1 329.324 -0.101 20 0 EBADMM C[C@@H](CNC(=O)c1cocn1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575056103 951515770 /nfs/dbraw/zinc/51/57/70/951515770.db2.gz FJOCEWMHCNBFIE-QMMMGPOBSA-N -1 1 329.324 -0.101 20 0 EBADMM C[C@@H](CNC(=O)c1n[nH]cc1F)CNc1nccnc1-c1nnn[n-]1 ZINC001575060602 951543534 /nfs/dbraw/zinc/54/35/34/951543534.db2.gz ARHAJMOBMLNGKB-SSDOTTSWSA-N -1 1 346.330 -0.003 20 0 EBADMM C[C@@H](CNC(=O)c1n[nH]cc1F)CNc1nccnc1-c1nn[n-]n1 ZINC001575060602 951543545 /nfs/dbraw/zinc/54/35/45/951543545.db2.gz ARHAJMOBMLNGKB-SSDOTTSWSA-N -1 1 346.330 -0.003 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1F)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575067223 951606853 /nfs/dbraw/zinc/60/68/53/951606853.db2.gz KNRJYADYYLDMTH-QMMMGPOBSA-N -1 1 343.326 -0.157 20 0 EBADMM C[C@H](CNC(=O)c1ncccn1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575067695 951618314 /nfs/dbraw/zinc/61/83/14/951618314.db2.gz OEMTVIPYKXVKCX-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@H](CNC(=O)c1ncccn1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575067695 951618322 /nfs/dbraw/zinc/61/83/22/951618322.db2.gz OEMTVIPYKXVKCX-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@H](CNC(=O)c1ncccn1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575068292 951633353 /nfs/dbraw/zinc/63/33/53/951633353.db2.gz ZMQRUTSHZFQOFT-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@H](CNC(=O)c1ncccn1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575068292 951633358 /nfs/dbraw/zinc/63/33/58/951633358.db2.gz ZMQRUTSHZFQOFT-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM O=C([O-])CN1CCCC[C@@H](N[C@H]2C(=O)NCC23CCOCC3)C1=O ZINC001595095399 951810493 /nfs/dbraw/zinc/81/04/93/951810493.db2.gz DOOJNNPONUIAAD-YPMHNXCESA-N -1 1 339.392 -0.663 20 0 EBADMM O=C([O-])CS(=O)(=O)CCNCc1ccc(-n2cncn2)nc1 ZINC001595124781 952004564 /nfs/dbraw/zinc/00/45/64/952004564.db2.gz FLWQRPGMOMBENK-UHFFFAOYSA-N -1 1 325.350 -0.749 20 0 EBADMM C[C@@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)C1=COCCO1 ZINC001575134783 952114677 /nfs/dbraw/zinc/11/46/77/952114677.db2.gz PCWPWDPYAZFZOK-VIFPVBQESA-N -1 1 346.351 -0.196 20 0 EBADMM C[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)C1=COCCO1 ZINC001575134783 952114683 /nfs/dbraw/zinc/11/46/83/952114683.db2.gz PCWPWDPYAZFZOK-VIFPVBQESA-N -1 1 346.351 -0.196 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)[C@@H]1CCCOC1 ZINC001575143078 952150024 /nfs/dbraw/zinc/15/00/24/952150024.db2.gz MSLRKSPQJPGZGL-GHMZBOCLSA-N -1 1 346.395 -0.236 20 0 EBADMM O=C([O-])Cn1cccc(C(=O)N2CCN([C@@H]3CCC[C@@H]3O)CC2)c1=O ZINC001595153675 952152868 /nfs/dbraw/zinc/15/28/68/952152868.db2.gz FADAGBFUMOLCRT-KGLIPLIRSA-N -1 1 349.387 -0.396 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1cccn1C ZINC001575144720 952170207 /nfs/dbraw/zinc/17/02/07/952170207.db2.gz KTBGCBGICXMJQU-JTQLQIEISA-N -1 1 341.379 -0.010 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1cnco1 ZINC001575145138 952178521 /nfs/dbraw/zinc/17/85/21/952178521.db2.gz RDCOOSVSFXJJDB-QMMMGPOBSA-N -1 1 329.324 -0.361 20 0 EBADMM C[C@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)Cn1ccnc1 ZINC001575153390 952208505 /nfs/dbraw/zinc/20/85/05/952208505.db2.gz GUDNQTOVQIWKGQ-SNVBAGLBSA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)[C@]12C[C@H]1COC2 ZINC001575154182 952217818 /nfs/dbraw/zinc/21/78/18/952217818.db2.gz PRKVMNOYHUKCAG-YHAQOWFVSA-N -1 1 344.379 -0.048 20 0 EBADMM C[C@@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)[C@]12C[C@H]1COC2 ZINC001575154182 952217823 /nfs/dbraw/zinc/21/78/23/952217823.db2.gz PRKVMNOYHUKCAG-YHAQOWFVSA-N -1 1 344.379 -0.048 20 0 EBADMM C[C@@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)c1cncn1C ZINC001575155775 952243750 /nfs/dbraw/zinc/24/37/50/952243750.db2.gz AGAWAYCEUZWUJA-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)c1cncn1C ZINC001575155775 952243755 /nfs/dbraw/zinc/24/37/55/952243755.db2.gz AGAWAYCEUZWUJA-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)[C@H]1CCC(=O)N1 ZINC001575155970 952247618 /nfs/dbraw/zinc/24/76/18/952247618.db2.gz BDCKELJFTCEAOC-RKDXNWHRSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)[C@H]1CCC(=O)N1 ZINC001575155970 952247621 /nfs/dbraw/zinc/24/76/21/952247621.db2.gz BDCKELJFTCEAOC-RKDXNWHRSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)c1cncn1C ZINC001575156597 952255249 /nfs/dbraw/zinc/25/52/49/952255249.db2.gz VMDGURSDOXVQPA-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)c1cncn1C ZINC001575156597 952255252 /nfs/dbraw/zinc/25/52/52/952255252.db2.gz VMDGURSDOXVQPA-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)c1nc[nH]n1 ZINC001575156992 952262027 /nfs/dbraw/zinc/26/20/27/952262027.db2.gz KHZAVDMDEVLKOL-ZETCQYMHSA-N -1 1 329.328 -0.653 20 0 EBADMM C[C@@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)c1nc[nH]n1 ZINC001575156992 952262033 /nfs/dbraw/zinc/26/20/33/952262033.db2.gz KHZAVDMDEVLKOL-ZETCQYMHSA-N -1 1 329.328 -0.653 20 0 EBADMM C[C@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)Cc1ncc[nH]1 ZINC001575157705 952266951 /nfs/dbraw/zinc/26/69/51/952266951.db2.gz OZCSLFQCAOWPMO-SECBINFHSA-N -1 1 342.367 -0.119 20 0 EBADMM C[C@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)Cc1ncc[nH]1 ZINC001575157705 952266954 /nfs/dbraw/zinc/26/69/54/952266954.db2.gz OZCSLFQCAOWPMO-SECBINFHSA-N -1 1 342.367 -0.119 20 0 EBADMM C[C@@H](Cc1cnn(C)c1)C(=O)NCCn1cnc(-c2nn[n-]n2)n1 ZINC001575207207 952531671 /nfs/dbraw/zinc/53/16/71/952531671.db2.gz OSQSKZISEVKHEZ-VIFPVBQESA-N -1 1 330.356 -0.813 20 0 EBADMM C[C@H](Cc1cnn(C)c1)C(=O)NCCn1cnc(-c2nn[n-]n2)n1 ZINC001575207205 952532363 /nfs/dbraw/zinc/53/23/63/952532363.db2.gz OSQSKZISEVKHEZ-SECBINFHSA-N -1 1 330.356 -0.813 20 0 EBADMM CN(C(=O)C(=O)Nc1ccn(CC(=O)[O-])n1)[C@H]1CCN(C2CC2)C1 ZINC001593676931 953939003 /nfs/dbraw/zinc/93/90/03/953939003.db2.gz YYYLALJRXJYRHC-NSHDSACASA-N -1 1 335.364 -0.399 20 0 EBADMM O=C([O-])[C@@H]1[C@@H](NC(=O)C(F)(F)F)CCCN1C(=O)Cc1nnc[nH]1 ZINC001594888373 954484455 /nfs/dbraw/zinc/48/44/55/954484455.db2.gz CCOVJRZSPDPWOQ-RCOVLWMOSA-N -1 1 349.269 -0.530 20 0 EBADMM CN1CCN(C)CCN(C(=O)NCC2(C(=O)[O-])CCOCC2)CC1 ZINC001593785470 954698977 /nfs/dbraw/zinc/69/89/77/954698977.db2.gz VSFFXFQROOOXKM-UHFFFAOYSA-N -1 1 342.440 -0.243 20 0 EBADMM CNC(=O)[C@H](Cc1cnc[nH]1)NC(=O)C1(CC(=O)[O-])CCOCC1 ZINC001593794396 954795990 /nfs/dbraw/zinc/79/59/90/954795990.db2.gz NJENCIRVRVXSJG-NSHDSACASA-N -1 1 338.364 -0.546 20 0 EBADMM COC(=O)CC(=O)N1CCC[C@H](NC(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC001593829256 955134493 /nfs/dbraw/zinc/13/44/93/955134493.db2.gz FPZVLDYLDDGNNE-RCOVLWMOSA-N -1 1 340.254 -0.328 20 0 EBADMM C[C@H](CNC(=O)N[C@@H]1CCCN(CCN2CCOCC2)C1)C(=O)[O-] ZINC001589052128 955673051 /nfs/dbraw/zinc/67/30/51/955673051.db2.gz QGIZCJFAYKSYKR-ZIAGYGMSSA-N -1 1 342.440 -0.197 20 0 EBADMM CC(C)Cn1[nH]c(CC(=O)N2C[C@@H]3COC[C@]3(C(=O)[O-])C2)cc1=O ZINC001603054079 972118731 /nfs/dbraw/zinc/11/87/31/972118731.db2.gz KLZVCVUTPKIXKI-BDJLRTHQSA-N -1 1 337.376 -0.066 20 0 EBADMM COC(=O)c1ncsc1S(=O)(=O)N[C@]1(C(=O)[O-])CCOC1 ZINC001593897570 955834557 /nfs/dbraw/zinc/83/45/57/955834557.db2.gz IFMDUVHJAJJWFF-SNVBAGLBSA-N -1 1 336.347 -0.548 20 0 EBADMM Cn1nccc1[C@H](O)C1CCN([C@@H]2CCN(CC(=O)[O-])C2=O)CC1 ZINC001594593749 956069961 /nfs/dbraw/zinc/06/99/61/956069961.db2.gz WDXNKFWFONEXJB-UKRRQHHQSA-N -1 1 336.392 -0.149 20 0 EBADMM C[C@H](CN(C)C(=O)C(=O)N1CC[C@H]2[C@H]1CCCN2CCO)C(=O)[O-] ZINC001603144608 972239954 /nfs/dbraw/zinc/23/99/54/972239954.db2.gz CIIRRYVWINCLRM-FRRDWIJNSA-N -1 1 341.408 -0.777 20 0 EBADMM C[C@@H](COC(=O)[C@H]1CCCN(CC(=O)[O-])C1=O)CN1CCOCC1 ZINC001603201707 972309492 /nfs/dbraw/zinc/30/94/92/972309492.db2.gz HMWDBZOCUQBJNZ-OLZOCXBDSA-N -1 1 342.392 -0.179 20 0 EBADMM CN1CC(=O)N(CCCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])C1=O ZINC000391717867 972361216 /nfs/dbraw/zinc/36/12/16/972361216.db2.gz QHUADVKRVCHAFB-JTQLQIEISA-N -1 1 337.336 -0.804 20 0 EBADMM Cc1nc([C@H]2CCCN(C(=O)C(=O)N3CC[C@H](C(=O)[O-])C3)C2)n[nH]1 ZINC001594451415 959373316 /nfs/dbraw/zinc/37/33/16/959373316.db2.gz AMKXZNXQCADOSC-QWRGUYRKSA-N -1 1 335.364 -0.248 20 0 EBADMM C[C@]1(C(=O)[O-])CCN(C(=O)NCc2nc(CS(C)(=O)=O)n[nH]2)C1 ZINC001573652745 959649292 /nfs/dbraw/zinc/64/92/92/959649292.db2.gz AAANLSBBWOIWTK-LBPRGKRZSA-N -1 1 345.381 -0.645 20 0 EBADMM C[C@H](O)[C@H](O)C[N@H+]1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC001603266260 972458085 /nfs/dbraw/zinc/45/80/85/972458085.db2.gz SMLIRDXHBPNLLQ-KDXUFGMBSA-N -1 1 328.287 -0.676 20 0 EBADMM CS(=O)(=O)Cc1nc(CNC(=O)C2(CC(=O)[O-])CCC2)n[nH]1 ZINC001574241348 960463653 /nfs/dbraw/zinc/46/36/53/960463653.db2.gz AQOFITJMIITQFO-UHFFFAOYSA-N -1 1 330.366 -0.390 20 0 EBADMM CS(C)(=O)=NS(=O)(=O)NC[C@@H]1CCC2(CC(C(=O)[O-])C2)O1 ZINC001604948113 972516970 /nfs/dbraw/zinc/51/69/70/972516970.db2.gz GAEJYKOJYDEKTA-YUCVTWSNSA-N -1 1 340.423 -0.039 20 0 EBADMM CS[C@@H]1CN(S(=O)(=O)N2CCC[C@H]2C(=O)[O-])C[C@H]1N(C)C ZINC001604957414 972532318 /nfs/dbraw/zinc/53/23/18/972532318.db2.gz KIYAOSLRCBKXLD-HBNTYKKESA-N -1 1 337.467 -0.242 20 0 EBADMM O=C([O-])[C@H]1C[C@H]1C(=O)NC1CCN(C(=O)CCc2c[nH]nn2)CC1 ZINC001571222938 962558395 /nfs/dbraw/zinc/55/83/95/962558395.db2.gz AHAHJYNARPGMJS-NEPJUHHUSA-N -1 1 335.364 -0.435 20 0 EBADMM O=C([O-])[C@H]1C[C@H]1C(=O)NC1CCN(C(=O)CCc2cnn[nH]2)CC1 ZINC001571222938 962558413 /nfs/dbraw/zinc/55/84/13/962558413.db2.gz AHAHJYNARPGMJS-NEPJUHHUSA-N -1 1 335.364 -0.435 20 0 EBADMM O=C([O-])[C@@H]1C[C@@H]1C(=O)N[C@H]1C[C@H](NCc2nnc3n2CCOC3)C1 ZINC001571223349 962562069 /nfs/dbraw/zinc/56/20/69/962562069.db2.gz GIDSELPGESLRBM-XWLWVQCSSA-N -1 1 335.364 -0.734 20 0 EBADMM Cc1nc(CC(=O)N[C@H]2C[C@H](CNC(=O)[C@@H]3C[C@H]3C(=O)[O-])C2)n[nH]1 ZINC001571224436 962574346 /nfs/dbraw/zinc/57/43/46/962574346.db2.gz WBBQZBXFGMEDNX-GWOFURMSSA-N -1 1 335.364 -0.613 20 0 EBADMM Cc1ccc(S(=O)(=O)NCC(=O)N2CCNCC2)cc1C(=O)[O-] ZINC000321201165 970751486 /nfs/dbraw/zinc/75/14/86/970751486.db2.gz XNOAJGHCLASZIH-UHFFFAOYSA-N -1 1 341.389 -0.597 20 0 EBADMM CNC(=O)[C@H](Cc1cnc[nH]1)NC(=O)[C@@H]1CO[C@H](CCC(=O)[O-])C1 ZINC001604239939 972751752 /nfs/dbraw/zinc/75/17/52/972751752.db2.gz ILMJCAVBXDZFJZ-WCQGTBRESA-N -1 1 338.364 -0.547 20 0 EBADMM CN1CCC(O)(CNS(=O)(=O)c2scnc2C(=O)[O-])CC1 ZINC000397618211 973010857 /nfs/dbraw/zinc/01/08/57/973010857.db2.gz VAURAIFZCKFDTR-UHFFFAOYSA-N -1 1 335.407 -0.424 20 0 EBADMM O=C([O-])[C@H]1CN(C(=O)NCc2n[nH]c(C3CCOCC3)n2)CCO1 ZINC001606038161 973138250 /nfs/dbraw/zinc/13/82/50/973138250.db2.gz GZQJPNLWFGFDGR-SNVBAGLBSA-N -1 1 339.352 -0.306 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CCC[C@@H](NC(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC001605394387 973370715 /nfs/dbraw/zinc/37/07/15/973370715.db2.gz JSSZCHPNPXUHDT-HTRCEHHLSA-N -1 1 349.269 -0.151 20 0 EBADMM O=C([O-])CN1CCC[C@H](C(=O)NCCCCN2CCOCC2)C1=O ZINC001606252011 973560367 /nfs/dbraw/zinc/56/03/67/973560367.db2.gz VDYCBWPXYMNYSY-CYBMUJFWSA-N -1 1 341.408 -0.462 20 0 EBADMM O=C([O-])CN1CCCC[C@H](NC(=O)C2CN([C@H]3CCOC3)C2)C1=O ZINC001606258530 973611548 /nfs/dbraw/zinc/61/15/48/973611548.db2.gz WKDCDYGEENFHIB-STQMWFEESA-N -1 1 339.392 -0.711 20 0 EBADMM COCCN1CCCN(C(=O)[C@H]2CCCN(CC(=O)[O-])C2=O)CC1 ZINC001604612870 973735259 /nfs/dbraw/zinc/73/52/59/973735259.db2.gz ZHZYOYSOBXNOJG-CYBMUJFWSA-N -1 1 341.408 -0.510 20 0 EBADMM CN(C)CCN(CC(=O)[O-])S(=O)(=O)c1cc(C2CC2)nn1C ZINC001604067547 974604809 /nfs/dbraw/zinc/60/48/09/974604809.db2.gz ZPLBYXWQHVVYKG-UHFFFAOYSA-N -1 1 330.410 -0.066 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)c2ccc(-n3cnnn3)cn2)CC1 ZINC001592416129 978017124 /nfs/dbraw/zinc/01/71/24/978017124.db2.gz YSAFFPSZCUHMOB-NSHDSACASA-N -1 1 345.363 -0.322 20 0 EBADMM C[C@H](C[C@@H](C)Nc1ccnc(C(=O)[O-])n1)NC(=O)Cc1nnc[nH]1 ZINC001592454286 978162488 /nfs/dbraw/zinc/16/24/88/978162488.db2.gz VHGAZWNCYFNKJS-RKDXNWHRSA-N -1 1 333.352 -0.347 20 0 EBADMM C[C@@](CNc1ccnc(C(=O)[O-])n1)(NC(=O)c1cnn[nH]1)C1CC1 ZINC001592728859 979239165 /nfs/dbraw/zinc/23/91/65/979239165.db2.gz GXTRTIITEXPPTJ-AWEZNQCLSA-N -1 1 331.336 -0.275 20 0 EBADMM CC[C@@H](NC(=O)c1cc(C(=O)N[C@H](CC)C(=O)OC)n[nH]1)C(=O)[O-] ZINC001595264102 980269011 /nfs/dbraw/zinc/26/90/11/980269011.db2.gz ULHUFIYNAGYHQF-HTQZYQBOSA-N -1 1 340.336 -0.316 20 0 EBADMM CCC/C=C/[C@@H](O)C(=O)N(CCN1CCN(C)CC1)CC(=O)[O-] ZINC001595625854 981754355 /nfs/dbraw/zinc/75/43/55/981754355.db2.gz JHRMVCVMEVHYMO-VBROQKIQSA-N -1 1 327.425 -0.136 20 0 EBADMM CCN(CCO)CCNS(=O)(=O)c1ccc(OC)c(C(=O)[O-])c1 ZINC001596340212 983786264 /nfs/dbraw/zinc/78/62/64/983786264.db2.gz BIDJVNUJQKGWMB-UHFFFAOYSA-N -1 1 346.405 -0.014 20 0 EBADMM CC[N@@H+]1CCO[C@@H](C(=O)N2C[C@@H](C(=O)[O-])C3(CC(C(=O)[O-])C3)C2)C1 ZINC001596442574 984138366 /nfs/dbraw/zinc/13/83/66/984138366.db2.gz NGGWYNAQOYVPEE-LZUBYRBGSA-N -1 1 340.376 -0.269 20 0 EBADMM CC[N@H+]1CCO[C@@H](C(=O)N2C[C@@H](C(=O)[O-])C3(CC(C(=O)[O-])C3)C2)C1 ZINC001596442574 984138368 /nfs/dbraw/zinc/13/83/68/984138368.db2.gz NGGWYNAQOYVPEE-LZUBYRBGSA-N -1 1 340.376 -0.269 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CC[N@H+](C)CC(=O)[O-])o1 ZINC001596726266 984981909 /nfs/dbraw/zinc/98/19/09/984981909.db2.gz JFDBSPKJCVDCHY-UHFFFAOYSA-N -1 1 334.350 -0.249 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CC[N@@H+](C)CC(=O)[O-])o1 ZINC001596726266 984981915 /nfs/dbraw/zinc/98/19/15/984981915.db2.gz JFDBSPKJCVDCHY-UHFFFAOYSA-N -1 1 334.350 -0.249 20 0 EBADMM CCOC(C)(C)CNC(=O)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC001596768637 985188543 /nfs/dbraw/zinc/18/85/43/985188543.db2.gz LFKHFSCEEGRDCK-UHFFFAOYSA-N -1 1 343.424 -0.075 20 0 EBADMM CC(=O)NCC(=O)N1CCCN([C@H](C(=O)[O-])c2cccnc2)CC1 ZINC001589123625 985403123 /nfs/dbraw/zinc/40/31/23/985403123.db2.gz ZGVMVWLBSFPDBB-HNNXBMFYSA-N -1 1 334.376 -0.122 20 0 EBADMM C[C@@H]1CO[C@@H](C)CN1CCNS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC001594531991 986128279 /nfs/dbraw/zinc/12/82/79/986128279.db2.gz RPGSYMAJDWPMIK-BDAKNGLRSA-N -1 1 333.366 -0.240 20 0 EBADMM CCS(=O)(=O)C[C@H](C)NS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC001597210073 987129210 /nfs/dbraw/zinc/12/92/10/987129210.db2.gz MWCWORDIXFEUTG-LURJTMIESA-N -1 1 326.352 -0.526 20 0 EBADMM C[C@H](C(=O)[O-])c1cccc(NC(=O)C(=O)NCCN2CC[C@@H](O)C2)c1 ZINC001589522457 987178321 /nfs/dbraw/zinc/17/83/21/987178321.db2.gz KRTBYFZFXXGKCT-SMDDNHRTSA-N -1 1 349.387 -0.004 20 0 EBADMM C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CC[C@@H](C(=O)[O-])[C@@H]1C ZINC001589553843 987295133 /nfs/dbraw/zinc/29/51/33/987295133.db2.gz SYBSOKSBNGFNJV-DJLDLDEBSA-N -1 1 338.364 -0.968 20 0 EBADMM CC(C)(C(=O)[O-])N1CCN(C(=O)C(=O)NCc2nccs2)CC1 ZINC001589621654 987503970 /nfs/dbraw/zinc/50/39/70/987503970.db2.gz ZFXNASSKIJHPFD-UHFFFAOYSA-N -1 1 340.405 -0.233 20 0 EBADMM C[C@@H]1CN(C2CCOCC2)CCN1C(=O)C(=O)NC[C@H](F)C(=O)[O-] ZINC001599865027 989235117 /nfs/dbraw/zinc/23/51/17/989235117.db2.gz ROSXTZDDFABYCP-PWSUYJOCSA-N -1 1 345.371 -0.763 20 0 EBADMM CCn1c(N)c(C(=O)CN(C)C(C)(C)CC(=O)[O-])c(=O)[nH]c1=O ZINC001597859537 989628071 /nfs/dbraw/zinc/62/80/71/989628071.db2.gz VTBUWKLDOOVLED-UHFFFAOYSA-N -1 1 326.353 -0.081 20 0 EBADMM CN(CCCNc1ccnc(C(=O)[O-])n1)C(=O)CCc1c[nH]nn1 ZINC001598482887 992179033 /nfs/dbraw/zinc/17/90/33/992179033.db2.gz IDTYZHGMAOHGPE-UHFFFAOYSA-N -1 1 333.352 -0.392 20 0 EBADMM CN(CCCNc1ccnc(C(=O)[O-])n1)C(=O)CCc1cnn[nH]1 ZINC001598482887 992179037 /nfs/dbraw/zinc/17/90/37/992179037.db2.gz IDTYZHGMAOHGPE-UHFFFAOYSA-N -1 1 333.352 -0.392 20 0 EBADMM CC(C)CN(CC(C)(C)C(=O)[O-])C(=O)C1CNCCS1(=O)=O ZINC001591310230 992271220 /nfs/dbraw/zinc/27/12/20/992271220.db2.gz UEWOADJASHOMCU-NSHDSACASA-N -1 1 334.438 -0.032 20 0 EBADMM CC(C)C[C@H](NC(=O)CNC(=O)[C@H]1CN2CCN1C[C@@H]2C)C(=O)[O-] ZINC001591457475 992563774 /nfs/dbraw/zinc/56/37/74/992563774.db2.gz IIOHBPNZPBLDTJ-RWMBFGLXSA-N -1 1 340.424 -0.894 20 0 EBADMM CC(C)[N@@H+](CCCNC(=O)[C@@H]1C[C@H]1C(=O)[O-])Cc1n[nH]c(=O)[n-]1 ZINC001591590749 992802563 /nfs/dbraw/zinc/80/25/63/992802563.db2.gz GELSILPWJGNNQK-NXEZZACHSA-N -1 1 325.369 -0.052 20 0 EBADMM CN1CCC[C@@H]1C(=O)N[C@@H]1[C@H]2CN(c3ccnc(C(=O)[O-])n3)C[C@H]21 ZINC001598595391 993497262 /nfs/dbraw/zinc/49/72/62/993497262.db2.gz VEZHXVUCCNHSMP-XZUYRWCXSA-N -1 1 331.376 -0.180 20 0 EBADMM CN1CCN(C(=O)C(=O)Nc2cc3n(n2)CCCC3)C[C@H](C(=O)[O-])C1 ZINC001598604681 993627481 /nfs/dbraw/zinc/62/74/81/993627481.db2.gz WKSZOWWYMZJKLR-LLVKDONJSA-N -1 1 349.391 -0.367 20 0 EBADMM CN1CCN(C(=O)c2cncc(-n3ccnn3)c2)C[C@@H](C(=O)[O-])C1 ZINC001598613460 993829371 /nfs/dbraw/zinc/82/93/71/993829371.db2.gz UWOXGRLEMBEMJA-LBPRGKRZSA-N -1 1 330.348 -0.249 20 0 EBADMM CN1CCN(C(=O)c2ccnc(N3CCOCC3)n2)C[C@@H](C(=O)[O-])C1 ZINC001598613430 993829745 /nfs/dbraw/zinc/82/97/45/993829745.db2.gz UBNPAINCLQPYBQ-LBPRGKRZSA-N -1 1 349.391 -0.598 20 0 EBADMM Cn1cc(C(=O)N(CCN2CCN(C)CC2)CC(=O)[O-])cc1C=O ZINC001598615298 993867437 /nfs/dbraw/zinc/86/74/37/993867437.db2.gz BIGZOUDTGMMTOB-UHFFFAOYSA-N -1 1 336.392 -0.388 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)Cn2cc(Cl)cn2)CC1 ZINC001598615452 993869889 /nfs/dbraw/zinc/86/98/89/993869889.db2.gz CYPYHALFISINBB-UHFFFAOYSA-N -1 1 343.815 -0.303 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)c2nnc(C3CC3)o2)CC1 ZINC001598616488 993891194 /nfs/dbraw/zinc/89/11/94/993891194.db2.gz GUJXQTPGFFRKIL-UHFFFAOYSA-N -1 1 337.380 -0.279 20 0 EBADMM CN1CCN(CCCS(=O)(=O)NCCSCC(=O)[O-])CC1 ZINC001598618263 993934926 /nfs/dbraw/zinc/93/49/26/993934926.db2.gz YGMQCBOHAKTSLE-UHFFFAOYSA-N -1 1 339.483 -0.639 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)/C=C/c2ccncn2)CC1 ZINC001598618252 993935392 /nfs/dbraw/zinc/93/53/92/993935392.db2.gz XWARLRFQRZEQIH-NSCUHMNNSA-N -1 1 333.392 -0.350 20 0 EBADMM CNC(=O)C(C)(C)CNC(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C ZINC001598630853 994172224 /nfs/dbraw/zinc/17/22/24/994172224.db2.gz SVPJHIDJPZMPPA-NSHDSACASA-N -1 1 328.413 -0.051 20 0 EBADMM CNS(=O)(=O)C[C@@H]1CCCN(CCc2cn(CC(=O)[O-])nn2)C1 ZINC001598670872 994753723 /nfs/dbraw/zinc/75/37/23/994753723.db2.gz KHSDNTWMUULABD-LLVKDONJSA-N -1 1 345.425 -0.834 20 0 EBADMM C[C@H](O)CN1CCN(C(=O)CN2CCC[C@@H](C(=O)[O-])C2=O)[C@@H](C)C1 ZINC001593462771 995390136 /nfs/dbraw/zinc/39/01/36/995390136.db2.gz OWQLJQYBGQUBJL-RWMBFGLXSA-N -1 1 341.408 -0.777 20 0 EBADMM COC(=O)C[C@]1(NS(=O)(=O)c2cc(C(=O)[O-])no2)CCOC1 ZINC001598844164 996550197 /nfs/dbraw/zinc/55/01/97/996550197.db2.gz ZXBGDLRQLLQRDJ-LLVKDONJSA-N -1 1 334.306 -0.627 20 0 EBADMM CO[C@@]1(C(=O)[O-])CC[N@H+](Cc2cnc(N3CC[NH+](C)CC3)nc2)C1 ZINC001599078636 996939675 /nfs/dbraw/zinc/93/96/75/996939675.db2.gz FVPGKNNZPZETRI-INIZCTEOSA-N -1 1 335.408 -0.096 20 0 EBADMM COC(=O)[C@@H](Cc1cnc[nH]1)NC(=O)Cc1ccc(C(=O)[O-])nc1 ZINC001598894640 997057355 /nfs/dbraw/zinc/05/73/55/997057355.db2.gz KXGWEXLSDVUXPH-GFCCVEGCSA-N -1 1 332.316 -0.054 20 0 EBADMM COC(=O)[C@@H]1CC[C@@H]1C(=O)N(CCN1CCN(C)CC1)CC(=O)[O-] ZINC001598895641 997077062 /nfs/dbraw/zinc/07/70/62/997077062.db2.gz IGKMWVFUXYBUCR-QWHCGFSZSA-N -1 1 341.408 -0.654 20 0 EBADMM COc1cc(CN(CC(=O)[O-])C(=O)CNC(=O)CN)cc(OC)c1 ZINC001599404006 997263908 /nfs/dbraw/zinc/26/39/08/997263908.db2.gz VHFOFUQNPTUPKC-UHFFFAOYSA-N -1 1 339.348 -0.808 20 0 EBADMM COCc1nc(CNS(=O)(=O)c2ccc(C(=O)[O-])nc2)n[nH]1 ZINC001599306361 997321208 /nfs/dbraw/zinc/32/12/08/997321208.db2.gz PZXRYIQNEYFWBC-UHFFFAOYSA-N -1 1 327.322 -0.477 20 0 EBADMM CON1CCC(C(=O)N(CCN2CCN(C)CC2)CC(=O)[O-])CC1 ZINC001599318541 997540785 /nfs/dbraw/zinc/54/07/85/997540785.db2.gz MWAVHQKNKIQTJJ-UHFFFAOYSA-N -1 1 342.440 -0.580 20 0 EBADMM C[C@@]1(CNc2cc[nH+]c(C(=O)[O-])n2)CCN(C(=O)c2nnc[n-]2)C1 ZINC001594031790 997609610 /nfs/dbraw/zinc/60/96/10/997609610.db2.gz HFGIWULSNDNVMU-AWEZNQCLSA-N -1 1 331.336 -0.321 20 0 EBADMM COCCN1CC[C@@H](NC(=O)C(=O)N2CCC[C@@H]2CC(=O)[O-])C1 ZINC001599241128 998043272 /nfs/dbraw/zinc/04/32/72/998043272.db2.gz VENMCRIVFISONO-VXGBXAGGSA-N -1 1 327.381 -0.711 20 0 EBADMM COCCNCC(=O)N[C@H]1CCN(c2sccc2C(=O)[O-])C1=O ZINC001599244894 998122808 /nfs/dbraw/zinc/12/28/08/998122808.db2.gz MISHGPZXODNIIO-JTQLQIEISA-N -1 1 341.389 -0.096 20 0 EBADMM COCCNCC(=O)Nc1cccc(S(=O)(=O)NCC(=O)[O-])c1 ZINC001599244991 998125995 /nfs/dbraw/zinc/12/59/95/998125995.db2.gz STDQKKMTSWOKRU-UHFFFAOYSA-N -1 1 345.377 -0.776 20 0 EBADMM COc1cc(C(=O)[O-])ccc1NC(=O)NCC(=O)N1CCNCC1 ZINC001599372485 998432199 /nfs/dbraw/zinc/43/21/99/998432199.db2.gz KJYOWIYFUDJYSZ-UHFFFAOYSA-N -1 1 336.348 -0.053 20 0 EBADMM COC[C@H](NC(=O)Cn1c(=O)c2ccccc2[nH]c1=S)C(=O)[O-] ZINC001599169841 998596236 /nfs/dbraw/zinc/59/62/36/998596236.db2.gz JDOXPSKJNKZQTD-JTQLQIEISA-N -1 1 337.357 -0.099 20 0 EBADMM COC(=O)c1nscc1S(=O)(=O)NCC(F)(F)C(=O)[O-] ZINC001599016998 998947179 /nfs/dbraw/zinc/94/71/79/998947179.db2.gz DETSYJOQKFXCNJ-UHFFFAOYSA-N -1 1 330.290 -0.072 20 0 EBADMM CO[C@H](C)CN(C)C(=O)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC001599040265 999112909 /nfs/dbraw/zinc/11/29/09/999112909.db2.gz NXUSWFUETDHJPN-LLVKDONJSA-N -1 1 329.397 -0.513 20 0 EBADMM C[C@@H]1CN(C(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)CC[C@H]1O ZINC001594454693 999656913 /nfs/dbraw/zinc/65/69/13/999656913.db2.gz OZTUYBAXWSOXNP-VXGBXAGGSA-N -1 1 341.408 -0.777 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2CCc3nnnn3CC2)CCN1CCC(=O)[O-] ZINC001594466566 999689533 /nfs/dbraw/zinc/68/95/33/999689533.db2.gz LJNBHAXQMGNRLJ-NEPJUHHUSA-N -1 1 336.396 -0.367 20 0 EBADMM C[C@H]1CN(C(=O)CN2CCN([C@@]3(C(=O)[O-])CCOC3)CC2)CCO1 ZINC001594469064 999698132 /nfs/dbraw/zinc/69/81/32/999698132.db2.gz SHAPDFUZFUPSSD-BBRMVZONSA-N -1 1 341.408 -0.905 20 0 EBADMM C[C@@H]1CN(C(=O)CN2CCN([C@]3(C(=O)[O-])CCOC3)CC2)CCO1 ZINC001594469066 999698478 /nfs/dbraw/zinc/69/84/78/999698478.db2.gz SHAPDFUZFUPSSD-CZUORRHYSA-N -1 1 341.408 -0.905 20 0 EBADMM C[C@@H]1CN(C(=O)Cn2cccc(C(=O)[O-])c2=O)[C@@H](C)CN1CCO ZINC001594470134 999700300 /nfs/dbraw/zinc/70/03/00/999700300.db2.gz CLDNZPMJSKNYGC-NEPJUHHUSA-N -1 1 337.376 -0.540 20 0 EBADMM C[C@@H]1CN(C(=O)Cc2ccn[nH]2)C[C@@H]1Nc1ccnc(C(=O)[O-])n1 ZINC001594471665 999705126 /nfs/dbraw/zinc/70/51/26/999705126.db2.gz ZJHOSASMEXKYBR-KOLCDFICSA-N -1 1 330.348 -0.179 20 0 EBADMM CNS(=O)(=O)[C@@H]1CCN(C(=O)c2c(C)[n-]c(=O)nc2SC)C1 ZINC001649729167 1125802190 /nfs/dbraw/zinc/80/21/90/1125802190.db2.gz KCGFQLQEWNAFHA-MRVPVSSYSA-N -1 1 346.434 -0.024 20 0 EBADMM CN(C[C@H]1CCN1CCOCCO)C(=O)c1c[n-]n2c1nccc2=O ZINC001691062616 1125828697 /nfs/dbraw/zinc/82/86/97/1125828697.db2.gz UKOAWLWZVJFKMR-GFCCVEGCSA-N -1 1 349.391 -0.822 20 0 EBADMM CN(CCNC(=O)c1cnc(C2CC2)[n-]c1=O)CCS(C)(=O)=O ZINC001480921276 1125869039 /nfs/dbraw/zinc/86/90/39/1125869039.db2.gz ILGJILMLRIIPCJ-UHFFFAOYSA-N -1 1 342.421 -0.234 20 0 EBADMM O=C(CN1CC(CNC(=O)c2ncccc2[O-])C1)NC[C@@H]1CCCO1 ZINC001481621562 1126024355 /nfs/dbraw/zinc/02/43/55/1126024355.db2.gz PZIJCCOUHZBPHD-ZDUSSCGKSA-N -1 1 348.403 -0.256 20 0 EBADMM CCO[C@H](C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)C1CC1 ZINC001481731194 1126047441 /nfs/dbraw/zinc/04/74/41/1126047441.db2.gz YRODPCQIIYPBAF-JSGCOSHPSA-N -1 1 337.424 -0.044 20 0 EBADMM C[C@](CNCc1n[nH]c(=O)[n-]1)(NC(=O)C[C@H]1CCC(=O)N1)C1CC1 ZINC001481944676 1126068602 /nfs/dbraw/zinc/06/86/02/1126068602.db2.gz OIKXZPXAGDXPKU-MEBBXXQBSA-N -1 1 336.396 -0.447 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCCN(C(=O)OC)C2)[n-]n1 ZINC001414210949 1126106314 /nfs/dbraw/zinc/10/63/14/1126106314.db2.gz UXIUYYVKEZYLEQ-QMMMGPOBSA-N -1 1 346.365 -0.295 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCCN(C(=O)OC)C2)n[n-]1 ZINC001414210949 1126106316 /nfs/dbraw/zinc/10/63/16/1126106316.db2.gz UXIUYYVKEZYLEQ-QMMMGPOBSA-N -1 1 346.365 -0.295 20 0 EBADMM COCC1(C(=O)N(C)[C@H](C)CNCc2n[nH]c(=O)[n-]2)CCOCC1 ZINC001482291176 1126120927 /nfs/dbraw/zinc/12/09/27/1126120927.db2.gz CNQPJLVUVQEOEK-LLVKDONJSA-N -1 1 341.412 -0.110 20 0 EBADMM Cn1nccc1COCC(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC001409068506 1126174681 /nfs/dbraw/zinc/17/46/81/1126174681.db2.gz ONZSAZGNFSSPLV-UHFFFAOYSA-N -1 1 345.359 -0.322 20 0 EBADMM CC(C)[C@@H](CNC(=O)CC1OCCCO1)NCc1n[nH]c(=O)[n-]1 ZINC001482524172 1126188967 /nfs/dbraw/zinc/18/89/67/1126188967.db2.gz YFZCHCRSXXJHJT-SNVBAGLBSA-N -1 1 327.385 -0.106 20 0 EBADMM CCN1C[C@H](C(=O)NC[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)C)CC1=O ZINC001482531083 1126190840 /nfs/dbraw/zinc/19/08/40/1126190840.db2.gz CFEWLRSKUHJFAJ-GHMZBOCLSA-N -1 1 338.412 -0.391 20 0 EBADMM CCNC(=O)N1CC[C@@H]([N-]S(=O)(=O)N=S2(=O)CCCC2)C1 ZINC001414226453 1126211760 /nfs/dbraw/zinc/21/17/60/1126211760.db2.gz SPSAVIPSLZJKRV-SNVBAGLBSA-N -1 1 338.455 -0.114 20 0 EBADMM CCOC(=O)[C@](C)(O)C[N-]S(=O)(=O)Cc1cc(OC)ns1 ZINC001423903654 1126226994 /nfs/dbraw/zinc/22/69/94/1126226994.db2.gz YERHIVOQRIFGPM-LLVKDONJSA-N -1 1 338.407 -0.115 20 0 EBADMM NC(=O)NC1(C(=O)N2C[C@@H]3C[C@H]2CN3C(=O)c2ncccc2[O-])CC1 ZINC001409192141 1126229133 /nfs/dbraw/zinc/22/91/33/1126229133.db2.gz SNZDZPQYHAJQCN-UWVGGRQHSA-N -1 1 345.359 -0.587 20 0 EBADMM CC(C)(C)n1nnc(CNC[C@@H](O)CNC(=O)c2ncccc2[O-])n1 ZINC001482722225 1126265964 /nfs/dbraw/zinc/26/59/64/1126265964.db2.gz QBTIHAQYYIMSBO-SNVBAGLBSA-N -1 1 349.395 -0.591 20 0 EBADMM O=C(NC[C@H](O)CNCc1n[nH]c(=O)[n-]1)c1cc2n(c1)CCCC2 ZINC001482801263 1126295427 /nfs/dbraw/zinc/29/54/27/1126295427.db2.gz HUUXETMJJJBSDG-GFCCVEGCSA-N -1 1 334.380 -0.471 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCOc3c2c(C)nn3C)[n-]n1 ZINC001414234617 1126317051 /nfs/dbraw/zinc/31/70/51/1126317051.db2.gz WNNXELAIZFXYNJ-UHFFFAOYSA-N -1 1 341.349 -0.174 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCOc3c2c(C)nn3C)n[n-]1 ZINC001414234617 1126317053 /nfs/dbraw/zinc/31/70/53/1126317053.db2.gz WNNXELAIZFXYNJ-UHFFFAOYSA-N -1 1 341.349 -0.174 20 0 EBADMM C[C@H](CNCc1cnns1)NC(=O)CCCn1c(=O)[n-][nH]c1=O ZINC001483145369 1126346640 /nfs/dbraw/zinc/34/66/40/1126346640.db2.gz XKPALYDHORLPRO-MRVPVSSYSA-N -1 1 341.397 -0.385 20 0 EBADMM Cc1c(CC(=O)NC[C@@H](C)N(C)Cc2nc(=O)n(C)[n-]2)cnn1C ZINC001409589022 1126380573 /nfs/dbraw/zinc/38/05/73/1126380573.db2.gz OBERZCJOSNAJSZ-SNVBAGLBSA-N -1 1 335.412 -0.670 20 0 EBADMM Cn1[n-]c(CN2CC(CCO)(NC(=O)C3(CCF)CC3)C2)nc1=O ZINC001409732897 1126402735 /nfs/dbraw/zinc/40/27/35/1126402735.db2.gz IXAMJLIQCBNSGE-UHFFFAOYSA-N -1 1 341.387 -0.699 20 0 EBADMM Cc1oncc1CNC[C@H](C)NC(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC001483276809 1126413091 /nfs/dbraw/zinc/41/30/91/1126413091.db2.gz TURDBFYKDHCUPT-VIFPVBQESA-N -1 1 345.363 -0.338 20 0 EBADMM Cc1cc(CN(C)C[C@@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)on1 ZINC001483397535 1126423929 /nfs/dbraw/zinc/42/39/29/1126423929.db2.gz UVFHYVRBGGPBAL-GFCCVEGCSA-N -1 1 349.391 -0.140 20 0 EBADMM CCCC[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CCC(=O)NC1 ZINC001409813354 1126443518 /nfs/dbraw/zinc/44/35/18/1126443518.db2.gz FUDRDBBWXMSHGG-GHMZBOCLSA-N -1 1 338.412 -0.199 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCS(=O)(=O)C1CC1 ZINC001365419851 1126465435 /nfs/dbraw/zinc/46/54/35/1126465435.db2.gz YJMWLVKJFUEOTL-UHFFFAOYSA-N -1 1 337.379 -0.948 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@](C)(CO)C(=O)OC)cc1C ZINC001365416472 1126465550 /nfs/dbraw/zinc/46/55/50/1126465550.db2.gz GAGCIBHEVATDKF-LBPRGKRZSA-N -1 1 335.334 -0.423 20 0 EBADMM CCCSCC(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001280559976 1126470358 /nfs/dbraw/zinc/47/03/58/1126470358.db2.gz QGSYGFLKNNRUBF-JTQLQIEISA-N -1 1 329.426 -0.030 20 0 EBADMM Cc1nc(CN2CC(N(C)C(=O)CCn3cc[n-]c(=O)c3=O)C2)co1 ZINC001483883978 1126485664 /nfs/dbraw/zinc/48/56/64/1126485664.db2.gz YRBUXRCQZTUXKY-UHFFFAOYSA-N -1 1 347.375 -0.434 20 0 EBADMM Cn1cc(CCC(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001484071876 1126505114 /nfs/dbraw/zinc/50/51/14/1126505114.db2.gz SYIAHOLOGKIIMJ-INIZCTEOSA-N -1 1 347.423 -0.445 20 0 EBADMM CCn1cc(C(=O)NCC2(NCc3n[nH]c(=O)[n-]3)CCOCC2)cn1 ZINC001484178630 1126511677 /nfs/dbraw/zinc/51/16/77/1126511677.db2.gz LIKUBKHAQWCEKD-UHFFFAOYSA-N -1 1 349.395 -0.205 20 0 EBADMM O=C(C[C@H]1CCCO1)NCC1(NCc2n[nH]c(=O)[n-]2)CCOCC1 ZINC001484180278 1126512001 /nfs/dbraw/zinc/51/20/01/1126512001.db2.gz SBLFKWXQDQZYLF-LLVKDONJSA-N -1 1 339.396 -0.166 20 0 EBADMM Cc1cc[nH]c1C(=O)NC[C@]1(O)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001484216926 1126524298 /nfs/dbraw/zinc/52/42/98/1126524298.db2.gz NZWJIFIJBRQXCV-OAHLLOKOSA-N -1 1 334.380 -0.888 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](O)(CNC(=O)[C@@H]3CCCC34CC4)C2)nc1=O ZINC001484226712 1126528158 /nfs/dbraw/zinc/52/81/58/1126528158.db2.gz ZXPACHLQPNYYOU-YVEFUNNKSA-N -1 1 349.435 -0.258 20 0 EBADMM O=C(c1ccc(Cl)o1)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001484475459 1126589739 /nfs/dbraw/zinc/58/97/39/1126589739.db2.gz WKZLARMKVUQZIL-UHFFFAOYSA-N -1 1 329.744 -0.019 20 0 EBADMM CN1CC[C@H](C(=O)N2CC[C@@H](CCNCc3n[nH]c(=O)[n-]3)C2)C1=O ZINC001484536063 1126602494 /nfs/dbraw/zinc/60/24/94/1126602494.db2.gz PYOWLJYAIMONJC-MNOVXSKESA-N -1 1 336.396 -0.683 20 0 EBADMM CC[C@H](C)CC(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001484591632 1126607054 /nfs/dbraw/zinc/60/70/54/1126607054.db2.gz ZDDXBCMQJDPWMX-NWDGAFQWSA-N -1 1 325.413 -0.138 20 0 EBADMM CC(C)[C@H](C)C(=O)N[C@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001484804503 1126636897 /nfs/dbraw/zinc/63/68/97/1126636897.db2.gz KMFONZHBOOYMLO-NWDGAFQWSA-N -1 1 337.424 -0.140 20 0 EBADMM CO[C@@H](C)CCC(=O)N1CCC(O)(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001484952935 1126657778 /nfs/dbraw/zinc/65/77/78/1126657778.db2.gz DUFSTECGINKEMT-NSHDSACASA-N -1 1 341.412 -0.232 20 0 EBADMM CC(=O)N(C)CC(=O)N1CCC[C@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001485048540 1126669745 /nfs/dbraw/zinc/66/97/45/1126669745.db2.gz PJECOVHJTPSVCO-LBPRGKRZSA-N -1 1 338.412 -0.991 20 0 EBADMM CN(CC(C)(C)CNCc1n[nH]c(=O)[n-]1)C(=O)CS(C)(=O)=O ZINC001485247985 1126683424 /nfs/dbraw/zinc/68/34/24/1126683424.db2.gz UZDMDXTYGFWWMD-UHFFFAOYSA-N -1 1 333.414 -0.871 20 0 EBADMM Cc1nn(C)cc1CC(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001409920327 1126717890 /nfs/dbraw/zinc/71/78/90/1126717890.db2.gz MYCVTQDBYIDIAJ-SNVBAGLBSA-N -1 1 347.423 -0.670 20 0 EBADMM CN(CC[N-]S(=O)(=O)N=S1(=O)CCCC1)CCOCCO ZINC001671135126 1130513745 /nfs/dbraw/zinc/51/37/45/1130513745.db2.gz IJJFIQAWKNLQJT-UHFFFAOYSA-N -1 1 343.471 -0.977 20 0 EBADMM CN(CCOCCNC(=O)c1cc(F)c[nH]1)Cc1nc(=O)n(C)[n-]1 ZINC001485774739 1126833187 /nfs/dbraw/zinc/83/31/87/1126833187.db2.gz XUVSBVQBVFCFGW-UHFFFAOYSA-N -1 1 340.359 -0.546 20 0 EBADMM CC(C)COC(=O)N1CCN(c2nnc(-c3nnn[n-]3)n2C)CC1 ZINC001649245566 1126878510 /nfs/dbraw/zinc/87/85/10/1126878510.db2.gz NZWNRVAMBBDAQV-UHFFFAOYSA-N -1 1 335.372 -0.090 20 0 EBADMM CC(C)COC(=O)N1CCN(c2nnc(-c3nn[n-]n3)n2C)CC1 ZINC001649245566 1126878513 /nfs/dbraw/zinc/87/85/13/1126878513.db2.gz NZWNRVAMBBDAQV-UHFFFAOYSA-N -1 1 335.372 -0.090 20 0 EBADMM COC1(OC)CCCC[C@H]1[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001670922555 1126878777 /nfs/dbraw/zinc/87/87/77/1126878777.db2.gz CWXHSMOFIFSXMN-SNVBAGLBSA-N -1 1 347.393 -0.304 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1CCCc2nn[nH]c21)NCc1n[nH]c(=O)[n-]1 ZINC001485916184 1126915193 /nfs/dbraw/zinc/91/51/93/1126915193.db2.gz LPDSFVFOFOBEJT-DTWKUNHWSA-N -1 1 334.384 -0.315 20 0 EBADMM Cn1[n-]c(CN[C@H](CO)CNC(=O)c2cc3cnccc3o2)nc1=O ZINC001486013350 1126964384 /nfs/dbraw/zinc/96/43/84/1126964384.db2.gz QOCYPQVPNCFROL-JTQLQIEISA-N -1 1 346.347 -0.870 20 0 EBADMM Cn1[n-]c(CN[C@@H](CO)CNC(=O)c2ccc(C3CC3)cn2)nc1=O ZINC001486057629 1127026191 /nfs/dbraw/zinc/02/61/91/1127026191.db2.gz OLFNENRXBBDZRY-GFCCVEGCSA-N -1 1 346.391 -0.739 20 0 EBADMM CN(C)c1cccc(C(=O)N(C)C[C@@H](O)CNCc2n[nH]c(=O)[n-]2)c1 ZINC001486315112 1127116932 /nfs/dbraw/zinc/11/69/32/1127116932.db2.gz SIVCKLIYGIYGRO-ZDUSSCGKSA-N -1 1 348.407 -0.201 20 0 EBADMM CN(CC(=O)N1CCC1)C[C@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001486330771 1127132542 /nfs/dbraw/zinc/13/25/42/1127132542.db2.gz QGOACAWROAYOBR-LBPRGKRZSA-N -1 1 336.392 -0.616 20 0 EBADMM Cc1nnc(CN(C)C[C@H](O)CN(C)C(=O)c2ncccc2[O-])[nH]1 ZINC001486330440 1127132558 /nfs/dbraw/zinc/13/25/58/1127132558.db2.gz LYTWHPBYRLAEDH-NSHDSACASA-N -1 1 334.380 -0.221 20 0 EBADMM CCC(C)(C)C(=O)N(C)C[C@H](O)CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001486346950 1127158358 /nfs/dbraw/zinc/15/83/58/1127158358.db2.gz JXFXBZZBJQCXCV-LLVKDONJSA-N -1 1 327.429 -0.204 20 0 EBADMM COCCN(CCNC(=O)c1ncccc1[O-])[C@H]1CCCNC1=O ZINC001486427322 1127170910 /nfs/dbraw/zinc/17/09/10/1127170910.db2.gz AVGHOPGSSXRZHD-LBPRGKRZSA-N -1 1 336.392 -0.256 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1CC(NC(=O)C(F)F)C1 ZINC001486576963 1127207424 /nfs/dbraw/zinc/20/74/24/1127207424.db2.gz VQFMDLGYHWGQGJ-UHFFFAOYSA-N -1 1 344.318 -0.797 20 0 EBADMM CN(CCN(C)C(=O)c1cnc([O-])n(C)c1=O)C(=O)c1ccn[nH]1 ZINC001409963889 1127285147 /nfs/dbraw/zinc/28/51/47/1127285147.db2.gz IQQQWCDEWBUBEJ-UHFFFAOYSA-N -1 1 334.336 -0.947 20 0 EBADMM C[C@@H](CNC(=O)CCn1cnnn1)N(C)C(=O)c1ncccc1[O-] ZINC001486973276 1127352305 /nfs/dbraw/zinc/35/23/05/1127352305.db2.gz XZRZBNDUEPVNDN-JTQLQIEISA-N -1 1 333.352 -0.559 20 0 EBADMM CC(=O)NCC(=O)NC[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001487279633 1127451636 /nfs/dbraw/zinc/45/16/36/1127451636.db2.gz HLZSNXRMYBHHAJ-CNDDSTCGSA-N -1 1 332.360 -0.643 20 0 EBADMM O=C(COC1CCCC1)NC[C@H](O)CNC(=O)c1ncccc1[O-] ZINC001410100038 1127560785 /nfs/dbraw/zinc/56/07/85/1127560785.db2.gz TZBVKHAMBVKLMX-NSHDSACASA-N -1 1 337.376 -0.047 20 0 EBADMM C[C@H](C(=O)NC[C@@H](O)CNC(=O)c1ncccc1[O-])c1cnn(C)c1 ZINC001410101491 1127562331 /nfs/dbraw/zinc/56/23/31/1127562331.db2.gz KHWYJGPSZHNMTG-CMPLNLGQSA-N -1 1 347.375 -0.469 20 0 EBADMM C[C@H](CNC(=O)c1cnc(C2CC2)[n-]c1=O)NC(=O)c1cnn(C)n1 ZINC001410242188 1127656673 /nfs/dbraw/zinc/65/66/73/1127656673.db2.gz OSQFPIWUEHMDCH-MRVPVSSYSA-N -1 1 345.363 -0.264 20 0 EBADMM Cc1nnc(CC(=O)N(C)[C@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)o1 ZINC001410312489 1127675743 /nfs/dbraw/zinc/67/57/43/1127675743.db2.gz RUJGOXBOWLSGEV-SNVBAGLBSA-N -1 1 335.368 -0.229 20 0 EBADMM CN(C(=O)c1cnc[nH]c1=O)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001410317612 1127677924 /nfs/dbraw/zinc/67/79/24/1127677924.db2.gz VEXMCWYGCAEMCG-SNVBAGLBSA-N -1 1 333.352 -0.354 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1CCn2ccnc2C1)NCc1n[nH]c(=O)[n-]1 ZINC001410371080 1127690796 /nfs/dbraw/zinc/69/07/96/1127690796.db2.gz BWOTVMOVIGFFDN-GHMZBOCLSA-N -1 1 333.396 -0.094 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]CC1(S(=O)(=O)N(C)C)CC1 ZINC001434620365 1127736625 /nfs/dbraw/zinc/73/66/25/1127736625.db2.gz TZKUDSDXPPZATJ-UHFFFAOYSA-N -1 1 338.411 -0.732 20 0 EBADMM Cc1nnc(CN[C@@H](CO)CNC(=O)c2ncccc2[O-])n1C1CC1 ZINC001410594477 1127761470 /nfs/dbraw/zinc/76/14/70/1127761470.db2.gz LUDABOHKRLMEBT-LLVKDONJSA-N -1 1 346.391 -0.097 20 0 EBADMM Cc1ccc(F)c(C(=O)NC[C@@H](CO)NCc2nc(=O)n(C)[n-]2)c1 ZINC001410719220 1127837840 /nfs/dbraw/zinc/83/78/40/1127837840.db2.gz GELGACKJIUJXCL-JTQLQIEISA-N -1 1 337.355 -0.564 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCCN1CC(=O)NC(N)=O ZINC001410905287 1127938289 /nfs/dbraw/zinc/93/82/89/1127938289.db2.gz AQMIFOVPTVASTQ-ZJUUUORDSA-N -1 1 335.364 -0.435 20 0 EBADMM C[C@@]1(NC(=O)C(F)F)CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001410960789 1127952360 /nfs/dbraw/zinc/95/23/60/1127952360.db2.gz JQYLSOCOTSJMAD-CYBMUJFWSA-N -1 1 340.290 -0.597 20 0 EBADMM CN(C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)Cc1cncs1 ZINC001411065892 1127997418 /nfs/dbraw/zinc/99/74/18/1127997418.db2.gz HDANDDDNUSYZMP-QMMMGPOBSA-N -1 1 326.382 -0.882 20 0 EBADMM CN(C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)c1cc2c([nH]1)CCC2 ZINC001411155222 1128048993 /nfs/dbraw/zinc/04/89/93/1128048993.db2.gz VOGYHQXTZYOSPW-JTQLQIEISA-N -1 1 334.380 -0.450 20 0 EBADMM O=C(NC[C@@]1(O)CCN(C(=O)[C@H]2CCCOC2)C1)c1ncccc1[O-] ZINC001411160821 1128052423 /nfs/dbraw/zinc/05/24/23/1128052423.db2.gz PMPVAVHACLRNTA-SJCJKPOMSA-N -1 1 349.387 -0.093 20 0 EBADMM CN(Cc1cnnn1C)C[C@@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001411166403 1128059950 /nfs/dbraw/zinc/05/99/50/1128059950.db2.gz WCLYUPXKRLUQNU-GFCCVEGCSA-N -1 1 334.380 -0.519 20 0 EBADMM Cn1nccc1COCC(=O)NCC1CC(NC(=O)c2cnn[nH]2)C1 ZINC001411318350 1128146284 /nfs/dbraw/zinc/14/62/84/1128146284.db2.gz HDSRGVNZTSECPA-UHFFFAOYSA-N -1 1 347.379 -0.620 20 0 EBADMM CCCN1CC2(C1)C[C@@H](NC(=O)c1n[nH]c(=O)[n-]c1=O)c1nccn12 ZINC001092380296 1128232786 /nfs/dbraw/zinc/23/27/86/1128232786.db2.gz UNGGAYLHSFMRGU-SECBINFHSA-N -1 1 345.363 -0.225 20 0 EBADMM NC(=O)CN1CC2(C1)C[C@H](NC(=O)c1ncccc1[O-])c1nccn12 ZINC001092365990 1128240048 /nfs/dbraw/zinc/24/00/48/1128240048.db2.gz FQWQXNRYUGNTPR-JTQLQIEISA-N -1 1 342.359 -0.645 20 0 EBADMM C[C@@H]1CN(Cc2ccn(C)n2)C[C@H]1CNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001092782019 1128277901 /nfs/dbraw/zinc/27/79/01/1128277901.db2.gz BDYNFKAOWKSORS-NXEZZACHSA-N -1 1 347.379 -0.486 20 0 EBADMM CNC(=O)CN1C[C@@H](CNC(=O)c2c[n-]n3c2nccc3=O)[C@H](C)C1 ZINC001093032440 1128292464 /nfs/dbraw/zinc/29/24/64/1128292464.db2.gz MHUQENYJAHZAFG-GHMZBOCLSA-N -1 1 346.391 -0.934 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)[C@@H]1CN(C)CCO1 ZINC001487756006 1128418334 /nfs/dbraw/zinc/41/83/34/1128418334.db2.gz OYWAMRPBDCZFAX-AAEUAGOBSA-N -1 1 336.392 -0.306 20 0 EBADMM Cn1cnc(C(=O)NCCCOCCNC(=O)c2ncccc2[O-])n1 ZINC001487618511 1128385537 /nfs/dbraw/zinc/38/55/37/1128385537.db2.gz QFALDFZLIVGNOC-UHFFFAOYSA-N -1 1 348.363 -0.518 20 0 EBADMM C[C@H](CN(C)C(=O)C1(NC(N)=O)CC1)NC(=O)c1ncccc1[O-] ZINC001487751916 1128417417 /nfs/dbraw/zinc/41/74/17/1128417417.db2.gz QLVWDPWGZBVFJP-SECBINFHSA-N -1 1 335.364 -0.435 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)c1cc(=O)n(C)o1 ZINC001487755124 1128418255 /nfs/dbraw/zinc/41/82/55/1128418255.db2.gz GRDYQINQACPNPG-VIFPVBQESA-N -1 1 334.332 -0.031 20 0 EBADMM COCC1(CC(=O)N[C@@H](CO)CNC(=O)c2ncccc2[O-])CC1 ZINC001487979528 1128475694 /nfs/dbraw/zinc/47/56/94/1128475694.db2.gz ZNGBUFUOWSPXBA-LLVKDONJSA-N -1 1 337.376 -0.189 20 0 EBADMM CNC(=O)NCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])[C@H]1C ZINC001488128573 1128630078 /nfs/dbraw/zinc/63/00/78/1128630078.db2.gz HQSKPUZNRZECOZ-UWVGGRQHSA-N -1 1 335.364 -0.565 20 0 EBADMM C[C@@H]1[C@H](NC(=O)CCn2cnnn2)CCN1C(=O)c1ncccc1[O-] ZINC001488130792 1128630267 /nfs/dbraw/zinc/63/02/67/1128630267.db2.gz WSSAPFMLXZJYRL-GHMZBOCLSA-N -1 1 345.363 -0.417 20 0 EBADMM CC(C)=CC(=O)N1C[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H]1C ZINC001488164005 1128639559 /nfs/dbraw/zinc/63/95/59/1128639559.db2.gz CPTBHVSLXIKOAI-STQMWFEESA-N -1 1 348.403 -0.002 20 0 EBADMM Cc1cc(CC(=O)N(C)C[C@@H](O)CNC(=O)c2ncccc2[O-])no1 ZINC001488341050 1128727122 /nfs/dbraw/zinc/72/71/22/1128727122.db2.gz GZKSWMQEVGNRGK-LBPRGKRZSA-N -1 1 348.359 -0.125 20 0 EBADMM Cc1nnccc1C(=O)N(C)C[C@H](O)CNC(=O)c1ncccc1[O-] ZINC001488384548 1128761303 /nfs/dbraw/zinc/76/13/03/1128761303.db2.gz QAYHFLDTVMFNOR-LLVKDONJSA-N -1 1 345.359 -0.251 20 0 EBADMM O=C(CC[C@@H]1CCOC1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001489567482 1129007429 /nfs/dbraw/zinc/00/74/29/1129007429.db2.gz JJTYLUKILOFLLF-BZPMIXESSA-N -1 1 349.387 -0.095 20 0 EBADMM CCNC(=O)CC(=O)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001489645895 1129037029 /nfs/dbraw/zinc/03/70/29/1129037029.db2.gz FFVHKEGIFSQYAW-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@H](CC(N)=O)C(=O)N1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001489735082 1129055806 /nfs/dbraw/zinc/05/58/06/1129055806.db2.gz PUEGBPUMIXDMNJ-GHMZBOCLSA-N -1 1 334.376 -0.028 20 0 EBADMM CC(=O)N(C)CC(=O)N1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001489735654 1129056501 /nfs/dbraw/zinc/05/65/01/1129056501.db2.gz UPECPEWDBLAJJE-GFCCVEGCSA-N -1 1 334.376 -0.062 20 0 EBADMM O=C(C[C@@H]1COC(=O)C1)NCCCN(Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001490371410 1129168039 /nfs/dbraw/zinc/16/80/39/1129168039.db2.gz XLZUXLDJUPTTGP-JTQLQIEISA-N -1 1 337.380 -0.066 20 0 EBADMM CC[C@@H](CNC(=O)[C@@H]1CCOC1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001416192702 1131277558 /nfs/dbraw/zinc/27/75/58/1131277558.db2.gz PESADAFLRCZQSI-ZJUUUORDSA-N -1 1 348.363 -0.921 20 0 EBADMM Cn1[n-]c(CN2CCN(CCNC(=O)CC3(C)CC3)CC2)nc1=O ZINC001490499477 1129195523 /nfs/dbraw/zinc/19/55/23/1129195523.db2.gz KIVIJNFZNXPZGW-UHFFFAOYSA-N -1 1 336.440 -0.468 20 0 EBADMM O=C(COCC1CC1)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001414051418 1131358197 /nfs/dbraw/zinc/35/81/97/1131358197.db2.gz TZCVRUZYJNHLFI-YPMHNXCESA-N -1 1 335.360 -0.485 20 0 EBADMM CNC(=O)C1(C(=O)NCCCN(C)C(=O)c2ncccc2[O-])CC1 ZINC001687542705 1129272404 /nfs/dbraw/zinc/27/24/04/1129272404.db2.gz UCPIUAJNBCBLMX-UHFFFAOYSA-N -1 1 334.376 -0.108 20 0 EBADMM CCN(CCNC(=O)c1cn(C)ccc1=O)Cc1nc(=O)n(C)[n-]1 ZINC001492986803 1129274121 /nfs/dbraw/zinc/27/41/21/1129274121.db2.gz LVJPKXHDQAKTFW-UHFFFAOYSA-N -1 1 334.380 -0.941 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)[C@H]1CC(=O)N(C)C1 ZINC001411526747 1129310013 /nfs/dbraw/zinc/31/00/13/1129310013.db2.gz ACNOCXPGXLOUHP-QWRGUYRKSA-N -1 1 334.376 -0.158 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)[C@H]1CC(=O)N(C)C1 ZINC001411526738 1129310265 /nfs/dbraw/zinc/31/02/65/1129310265.db2.gz ACNOCXPGXLOUHP-MNOVXSKESA-N -1 1 334.376 -0.158 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CSC[C@@H]1C(=O)N(C)C ZINC001411732566 1129358593 /nfs/dbraw/zinc/35/85/93/1129358593.db2.gz ZGXRLUFHUPPEPG-ZCFIWIBFSA-N -1 1 328.350 -0.500 20 0 EBADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCS(=O)(=O)C3)nc2n1 ZINC001412236904 1129485036 /nfs/dbraw/zinc/48/50/36/1129485036.db2.gz DVTWMBSRGHDKGW-SSDOTTSWSA-N -1 1 325.350 -0.647 20 0 EBADMM CS(=O)(=O)c1ccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)s1 ZINC001412427870 1129526473 /nfs/dbraw/zinc/52/64/73/1129526473.db2.gz PKFHQGRKRUIDIB-ZETCQYMHSA-N -1 1 343.390 -0.122 20 0 EBADMM Cc1ccc(C(=O)NCC(=O)N2CCOC[C@@H]2c2nn[n-]n2)s1 ZINC001412430336 1129527285 /nfs/dbraw/zinc/52/72/85/1129527285.db2.gz IXHQQGMLXJAPRW-SECBINFHSA-N -1 1 336.377 -0.100 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)[C@H]1CCNC(=O)C1 ZINC001412501615 1129543857 /nfs/dbraw/zinc/54/38/57/1129543857.db2.gz WGRZFNRVPXJGFD-QWRGUYRKSA-N -1 1 334.376 -0.110 20 0 EBADMM O=C(CCCc1nn[n-]n1)N1CCN(CCc2cnccn2)CC1 ZINC001412498249 1129544484 /nfs/dbraw/zinc/54/44/84/1129544484.db2.gz JKHIKPYMNOQHRR-UHFFFAOYSA-N -1 1 330.396 -0.301 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@@H](NC(=O)NC)C2)o1 ZINC001412594215 1129570790 /nfs/dbraw/zinc/57/07/90/1129570790.db2.gz KKRPQUGUECWSFO-SECBINFHSA-N -1 1 344.393 -0.279 20 0 EBADMM O=C(c1cn(C[C@@H]2CCOC2)nn1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001412607737 1129574967 /nfs/dbraw/zinc/57/49/67/1129574967.db2.gz ISXLIYMZZRPAPS-NEPJUHHUSA-N -1 1 346.395 -0.077 20 0 EBADMM C[C@H]1C[C@H](NC(=O)CNC(=O)c2ncccc2[O-])CCS1(=O)=O ZINC001412674384 1129590457 /nfs/dbraw/zinc/59/04/57/1129590457.db2.gz CARPUSYGTJLNMB-VHSXEESVSA-N -1 1 341.389 -0.401 20 0 EBADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@H]1CCN(C)C(=O)C1 ZINC001649174861 1129619420 /nfs/dbraw/zinc/61/94/20/1129619420.db2.gz PTZGYQARTRPABY-JTQLQIEISA-N -1 1 333.348 -0.320 20 0 EBADMM O=C(N=S1(=O)CCOCC1)c1ccc(-n2[n-]c(=O)[nH]c2=O)cc1 ZINC001412773412 1129638899 /nfs/dbraw/zinc/63/88/99/1129638899.db2.gz AXFGKWKKANROEA-UHFFFAOYSA-N -1 1 338.345 -0.095 20 0 EBADMM COC(=O)[C@@]1(NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)CCSC1 ZINC001412778481 1129643238 /nfs/dbraw/zinc/64/32/38/1129643238.db2.gz YUIBYTIESJVOJM-GFCCVEGCSA-N -1 1 329.334 -0.325 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@@H](S(C)(=O)=O)C1 ZINC001412792034 1129651661 /nfs/dbraw/zinc/65/16/61/1129651661.db2.gz GUHMZLKUGUUCDC-SSDOTTSWSA-N -1 1 331.350 -0.454 20 0 EBADMM C[C@@H](C(=O)N[C@H](CO)CNC(=O)c1ncccc1[O-])n1cccn1 ZINC001412802876 1129658961 /nfs/dbraw/zinc/65/89/61/1129658961.db2.gz DXPQTCNMDKYFGI-QWRGUYRKSA-N -1 1 333.348 -0.548 20 0 EBADMM Cc1cnn(CC(=O)NC[C@@H](CO)NC(=O)c2ncccc2[O-])c1 ZINC001412807933 1129663960 /nfs/dbraw/zinc/66/39/60/1129663960.db2.gz VGKXGHKODGPWSS-NSHDSACASA-N -1 1 333.348 -0.801 20 0 EBADMM CCOC1CC(C(=O)NC[C@@H](CO)NC(=O)c2ncccc2[O-])C1 ZINC001412827582 1129678475 /nfs/dbraw/zinc/67/84/75/1129678475.db2.gz BITRVWWIURJLOC-CXQJBGSLSA-N -1 1 337.376 -0.191 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCS(=O)(=O)C[C@@H](C)C1 ZINC001412833625 1129699809 /nfs/dbraw/zinc/69/98/09/1129699809.db2.gz XVDZICGYUNUFCF-ZETCQYMHSA-N -1 1 331.350 -0.597 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)C[C@H]2CCCS(=O)(=O)C2)n1 ZINC001412845537 1129726559 /nfs/dbraw/zinc/72/65/59/1129726559.db2.gz ZHTROXYPSAVBJX-SSDOTTSWSA-N -1 1 336.395 -0.638 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)C[C@H]2CCCS(=O)(=O)C2)[n-]1 ZINC001412845537 1129726563 /nfs/dbraw/zinc/72/65/63/1129726563.db2.gz ZHTROXYPSAVBJX-SSDOTTSWSA-N -1 1 336.395 -0.638 20 0 EBADMM COC(=O)[C@H](NC(=O)CCc1nn[n-]n1)c1ccc(OC)c(O)c1 ZINC001412856044 1129735617 /nfs/dbraw/zinc/73/56/17/1129735617.db2.gz WBRHGHNXDZGQIR-CYBMUJFWSA-N -1 1 335.320 -0.123 20 0 EBADMM C[S@](=O)C1(CNC(=O)c2ccc(-n3[n-]c(=O)[nH]c3=O)cc2)CC1 ZINC001412876939 1129754123 /nfs/dbraw/zinc/75/41/23/1129754123.db2.gz GMIQNKAVBUIOGO-QHCPKHFHSA-N -1 1 336.373 -0.093 20 0 EBADMM CNC(=O)c1cccc(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)n1 ZINC001412902047 1129768893 /nfs/dbraw/zinc/76/88/93/1129768893.db2.gz QOACACIJDVRMNJ-UHFFFAOYSA-N -1 1 331.336 -0.922 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCO[C@@]2(CCO[C@H]2C)C1 ZINC001412914109 1129780519 /nfs/dbraw/zinc/78/05/19/1129780519.db2.gz GFCMBSXNVJURQO-RTHLEPHNSA-N -1 1 325.321 -0.084 20 0 EBADMM Cn1ccnc1CCC(=O)NC[C@@H](CO)NC(=O)c1ncccc1[O-] ZINC001412939695 1129794561 /nfs/dbraw/zinc/79/45/61/1129794561.db2.gz DCRNSMZPASSAAL-NSHDSACASA-N -1 1 347.375 -0.640 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)c3cn(C[C@@H]4CNC(=O)O4)nn3)ccnc1-2 ZINC001361423662 1131405900 /nfs/dbraw/zinc/40/59/00/1131405900.db2.gz KQQTVXQITQDZPK-QMMMGPOBSA-N -1 1 342.319 -0.706 20 0 EBADMM C[C@@H]1CN(Cc2cc(=O)n3[n-]ccc3n2)C[C@H]1CS(N)(=O)=O ZINC001413069031 1129914304 /nfs/dbraw/zinc/91/43/04/1129914304.db2.gz KAGGPMDTGDCXCL-ZJUUUORDSA-N -1 1 325.394 -0.621 20 0 EBADMM COc1nscc1S(=O)(=O)[N-]CC1CN(S(C)(=O)=O)C1 ZINC001413328156 1130016595 /nfs/dbraw/zinc/01/65/95/1130016595.db2.gz LAPWSPVNAOCSMR-UHFFFAOYSA-N -1 1 341.436 -0.679 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@]3(CNC(=O)C3)C2)[n-]n1 ZINC001413345711 1130032952 /nfs/dbraw/zinc/03/29/52/1130032952.db2.gz DCHUXYVPJHISHM-LBPRGKRZSA-N -1 1 328.350 -0.903 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@]3(CNC(=O)C3)C2)n[n-]1 ZINC001413345711 1130032955 /nfs/dbraw/zinc/03/29/55/1130032955.db2.gz DCHUXYVPJHISHM-LBPRGKRZSA-N -1 1 328.350 -0.903 20 0 EBADMM CCn1cc([C@H](C)[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)cn1 ZINC001413351893 1130036734 /nfs/dbraw/zinc/03/67/34/1130036734.db2.gz YVZZWENEEWWKTE-QMMMGPOBSA-N -1 1 327.366 -0.258 20 0 EBADMM CCOCC(=O)N(C)C[C@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001413368893 1130054335 /nfs/dbraw/zinc/05/43/35/1130054335.db2.gz XJSVQMIMMOPLAS-NSHDSACASA-N -1 1 325.365 -0.285 20 0 EBADMM COC1CCC([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CC1 ZINC001413365352 1130056223 /nfs/dbraw/zinc/05/62/23/1130056223.db2.gz ILVXYCXVPJYVKT-UHFFFAOYSA-N -1 1 331.394 -0.680 20 0 EBADMM O=C(C[C@H]1NC(=O)c2ccccc21)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001413390283 1130068977 /nfs/dbraw/zinc/06/89/77/1130068977.db2.gz WNGSUXNYEDYHSL-VXGBXAGGSA-N -1 1 328.332 -0.026 20 0 EBADMM Cc1cc(S(=O)(=O)[N-]CCN(C)CCS(C)(=O)=O)sn1 ZINC001413425064 1130083338 /nfs/dbraw/zinc/08/33/38/1130083338.db2.gz OQWFNBJOYXETQR-UHFFFAOYSA-N -1 1 341.480 -0.294 20 0 EBADMM CCCn1cc(CNS(=O)(=O)c2cc(C(=O)OC)n[n-]2)nn1 ZINC001413427170 1130083760 /nfs/dbraw/zinc/08/37/60/1130083760.db2.gz YABBWGLTIMYOCS-UHFFFAOYSA-N -1 1 328.354 -0.324 20 0 EBADMM CCCn1cc(CNS(=O)(=O)c2cc(C(=O)OC)[n-]n2)nn1 ZINC001413427170 1130083768 /nfs/dbraw/zinc/08/37/68/1130083768.db2.gz YABBWGLTIMYOCS-UHFFFAOYSA-N -1 1 328.354 -0.324 20 0 EBADMM COC(=O)CC1CC([N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)C1 ZINC001413433147 1130086845 /nfs/dbraw/zinc/08/68/45/1130086845.db2.gz RKGJMIKNNPWAMD-UHFFFAOYSA-N -1 1 331.350 -0.894 20 0 EBADMM O=C(CSCC(=O)N1CCOCC1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001413468878 1130098759 /nfs/dbraw/zinc/09/87/59/1130098759.db2.gz MCQLUTGGTGKZIH-JTQLQIEISA-N -1 1 340.409 -0.892 20 0 EBADMM CC(C)[C@H](C(=O)N1CC[C@@H](c2nn[n-]n2)C1)N1CCCS1(=O)=O ZINC001413469061 1130099101 /nfs/dbraw/zinc/09/91/01/1130099101.db2.gz NPNAYNIKFCHVGI-GHMZBOCLSA-N -1 1 342.425 -0.424 20 0 EBADMM CCC[C@H]([N-]S(=O)(=O)CCS(=O)(=O)N(C)C)C(=O)OC ZINC001413477320 1130102066 /nfs/dbraw/zinc/10/20/66/1130102066.db2.gz GPQJNTSDKHQSKH-VIFPVBQESA-N -1 1 330.428 -0.861 20 0 EBADMM COCCN(CCNC(=O)c1cnn(C)n1)C(=O)c1ncccc1[O-] ZINC001413503287 1130113481 /nfs/dbraw/zinc/11/34/81/1130113481.db2.gz DUMUOTLLFYKMCN-UHFFFAOYSA-N -1 1 348.363 -0.566 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC(c3nnc(C)[nH]3)C2)co1 ZINC001413541121 1130128118 /nfs/dbraw/zinc/12/81/18/1130128118.db2.gz PTZOQOROMAQWDW-UHFFFAOYSA-N -1 1 325.350 -0.146 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC[C@@H]2CC(=O)N(C)C2)co1 ZINC001413584755 1130161918 /nfs/dbraw/zinc/16/19/18/1130161918.db2.gz RRXBIKUMMFELSV-SECBINFHSA-N -1 1 329.378 -0.214 20 0 EBADMM C[C@@H](C(=O)NC[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1)n1cncn1 ZINC001491097811 1130322530 /nfs/dbraw/zinc/32/25/30/1130322530.db2.gz IJHORXHRONDXFL-NWDGAFQWSA-N -1 1 348.411 -0.711 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001491197199 1130356307 /nfs/dbraw/zinc/35/63/07/1130356307.db2.gz SADRKXKNPQLGPQ-LBPRGKRZSA-N -1 1 338.412 -0.943 20 0 EBADMM C[C@@H](CNC(=O)CCCn1ccccc1=O)NCc1n[nH]c(=O)[n-]1 ZINC001491549539 1130501644 /nfs/dbraw/zinc/50/16/44/1130501644.db2.gz YRSVLTCGFRVPEL-NSHDSACASA-N -1 1 334.380 -0.253 20 0 EBADMM CCC(=O)N1CCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC001413920106 1130753875 /nfs/dbraw/zinc/75/38/75/1130753875.db2.gz OYBBUVICPNSHOK-UHFFFAOYSA-N -1 1 335.364 -0.024 20 0 EBADMM CC(C)C(=O)N(CCNC(=O)CCn1cc[n-]c(=O)c1=O)C1CC1 ZINC001356635840 1130888946 /nfs/dbraw/zinc/88/89/46/1130888946.db2.gz UBDJESPOJOTFDR-UHFFFAOYSA-N -1 1 336.392 -0.310 20 0 EBADMM CN(C)C(=O)CC(=O)NC[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001356991049 1131016899 /nfs/dbraw/zinc/01/68/99/1131016899.db2.gz ZNAKALXBGAOMJF-LLVKDONJSA-N -1 1 334.376 -0.014 20 0 EBADMM Cc1cnc(N2CCN(C(=O)[C@@]3(C(=O)[O-])CNCCO3)CC2)s1 ZINC001357413906 1131082350 /nfs/dbraw/zinc/08/23/50/1131082350.db2.gz KIASQVZJASMHKE-CQSZACIVSA-N -1 1 340.405 -0.457 20 0 EBADMM CCOCCC(=O)NC/C=C/CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001357656730 1131112031 /nfs/dbraw/zinc/11/20/31/1131112031.db2.gz BQZMOWWHGFAQIS-ONEGZZNKSA-N -1 1 348.363 -0.754 20 0 EBADMM CC(=O)N1CC(C(=O)NC/C=C\CNC(=O)c2ncccc2[O-])C1 ZINC001357753368 1131123351 /nfs/dbraw/zinc/12/33/51/1131123351.db2.gz SRPWIGVSKQMOFI-IHWYPQMZSA-N -1 1 332.360 -0.332 20 0 EBADMM CC(C)CC(=O)N1CCCN(C(=O)[C@@]2(C(=O)[O-])CNCCO2)CC1 ZINC001358001916 1131160156 /nfs/dbraw/zinc/16/01/56/1131160156.db2.gz SLINXKRKGRTXPE-MRXNPFEDSA-N -1 1 341.408 -0.463 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)C1CC(C)C1 ZINC001358117385 1131166894 /nfs/dbraw/zinc/16/68/94/1131166894.db2.gz UPUQFIVAKXTSGP-MOENNCHZSA-N -1 1 336.392 -0.406 20 0 EBADMM Cc1nsc([N-]C(=O)c2cc3n(n2)CCN(C)S3(=O)=O)n1 ZINC001361740644 1131448019 /nfs/dbraw/zinc/44/80/19/1131448019.db2.gz YBJMPBMUWRJUSM-UHFFFAOYSA-N -1 1 328.379 -0.071 20 0 EBADMM CCN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1ccc([O-])cn1 ZINC001361760364 1131451224 /nfs/dbraw/zinc/45/12/24/1131451224.db2.gz DLVJWVVCPXTBGA-SNVBAGLBSA-N -1 1 341.389 -0.447 20 0 EBADMM Cn1ncc(CNC(=O)OC(C)(C)C)c1C(=O)NCc1nn[n-]n1 ZINC001361759492 1131451248 /nfs/dbraw/zinc/45/12/48/1131451248.db2.gz GAWXZVYXTKFFMH-UHFFFAOYSA-N -1 1 336.356 -0.112 20 0 EBADMM CCc1nc(CN2CCN(C(=O)CCCc3nn[n-]n3)CC2)no1 ZINC001361781536 1131454334 /nfs/dbraw/zinc/45/43/34/1131454334.db2.gz FXOCCJAJVLQDPZ-UHFFFAOYSA-N -1 1 334.384 -0.188 20 0 EBADMM O=C(CNC(=O)c1ccc(F)c([O-])c1)NCCN1CCOCC1 ZINC001361914372 1131477897 /nfs/dbraw/zinc/47/78/97/1131477897.db2.gz JASVCFOVZMTBEV-UHFFFAOYSA-N -1 1 325.340 -0.291 20 0 EBADMM O=C(c1cnncc1[O-])N(C[C@H]1CCCO1)[C@@H]1CCS(=O)(=O)C1 ZINC001361926024 1131480935 /nfs/dbraw/zinc/48/09/35/1131480935.db2.gz LIRSXJJACFZPCA-GHMZBOCLSA-N -1 1 341.389 -0.010 20 0 EBADMM O=C(c1cnc2c(c1)NC(=O)CS2)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001362059504 1131506693 /nfs/dbraw/zinc/50/66/93/1131506693.db2.gz HJSQDEIJCAHUIH-VIFPVBQESA-N -1 1 347.360 -0.147 20 0 EBADMM COC(=O)[C@@H]1CO[C@H](CCC(=O)N2CCO[C@H](c3nn[n-]n3)C2)C1 ZINC001362127786 1131521194 /nfs/dbraw/zinc/52/11/94/1131521194.db2.gz XQHOOYFETZMSDT-AXFHLTTASA-N -1 1 339.352 -0.542 20 0 EBADMM O=C([C@@H]1C[C@H]2CC[C@@H](C1)S2(=O)=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001362244842 1131543798 /nfs/dbraw/zinc/54/37/98/1131543798.db2.gz JEISFQKGRSVNAU-MMWGEVLESA-N -1 1 341.393 -0.545 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C1CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001362253441 1131546142 /nfs/dbraw/zinc/54/61/42/1131546142.db2.gz LEJUWMWYERILJG-MRVPVSSYSA-N -1 1 343.361 -0.312 20 0 EBADMM CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362405280 1131576470 /nfs/dbraw/zinc/57/64/70/1131576470.db2.gz FFFZOQWXIXZPRL-VXGBXAGGSA-N -1 1 349.395 -0.967 20 0 EBADMM COc1ccc(C[C@H](CO)CNC(=O)CCc2nn[n-]n2)cc1O ZINC001362480812 1131592682 /nfs/dbraw/zinc/59/26/82/1131592682.db2.gz OHOJFLDETILLPW-NSHDSACASA-N -1 1 335.364 -0.186 20 0 EBADMM Cc1cc(C)n(CC(=O)N2CCSC[C@H]2c2nn[n-]n2)c(=O)n1 ZINC001362520574 1131600610 /nfs/dbraw/zinc/60/06/10/1131600610.db2.gz PKLCZIMGCNBBPT-JTQLQIEISA-N -1 1 335.393 -0.310 20 0 EBADMM Cc1nnc2n1C[C@@H](C(=O)N1CCSC[C@@H]1c1nn[n-]n1)CC2 ZINC001362523081 1131601193 /nfs/dbraw/zinc/60/11/93/1131601193.db2.gz ZSQDVRDTEVTSBV-VHSXEESVSA-N -1 1 334.409 -0.021 20 0 EBADMM CNC(=O)[C@@H](Cc1ccccc1)NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001362567002 1131613249 /nfs/dbraw/zinc/61/32/49/1131613249.db2.gz CYWWBJRPSRQRNL-SNVBAGLBSA-N -1 1 346.343 -0.017 20 0 EBADMM O=C(CCCc1nn[n-]n1)NCCS(=O)(=O)C1CCOCC1 ZINC001362596855 1131621124 /nfs/dbraw/zinc/62/11/24/1131621124.db2.gz RLHVVGOFIMHMKU-UHFFFAOYSA-N -1 1 331.398 -0.768 20 0 EBADMM CS(=O)(=O)NC1CC(NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001362693663 1131643517 /nfs/dbraw/zinc/64/35/17/1131643517.db2.gz GXKFBJAWHCDULB-UHFFFAOYSA-N -1 1 326.378 -0.130 20 0 EBADMM CCOC(=O)[C@@]1(COC)CCCN(C(=O)CCc2nn[n-]n2)C1 ZINC001362703765 1131646443 /nfs/dbraw/zinc/64/64/43/1131646443.db2.gz GLVWGTXJDNFVRW-AWEZNQCLSA-N -1 1 325.369 -0.049 20 0 EBADMM CNC(=O)NC[C@H]1CN(C(=O)c2cnc(SC)[n-]c2=O)CCO1 ZINC001362712872 1131649578 /nfs/dbraw/zinc/64/95/78/1131649578.db2.gz BFVHOAGNKUUYJH-QMMMGPOBSA-N -1 1 341.393 -0.326 20 0 EBADMM CN(C(=O)CCC(=O)N1CC(=O)Nc2ccccc21)c1nn[n-]n1 ZINC001362715111 1131650628 /nfs/dbraw/zinc/65/06/28/1131650628.db2.gz CPNADSXFRDUPOE-UHFFFAOYSA-N -1 1 329.320 -0.072 20 0 EBADMM CO[C@@H]1CCn2cc(C(=O)N3CCC(O)(c4nn[n-]n4)CC3)nc2C1 ZINC001362727550 1131655765 /nfs/dbraw/zinc/65/57/65/1131655765.db2.gz SOCCYWKJOSBTPA-SNVBAGLBSA-N -1 1 347.379 -0.519 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)CS1 ZINC001362756467 1131664617 /nfs/dbraw/zinc/66/46/17/1131664617.db2.gz DIUQEIHYYAMWER-JGVFFNPUSA-N -1 1 347.418 -0.333 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)CS1 ZINC001362756465 1131664640 /nfs/dbraw/zinc/66/46/40/1131664640.db2.gz DIUQEIHYYAMWER-HTQZYQBOSA-N -1 1 347.418 -0.333 20 0 EBADMM COC(=O)C[C@H]1CS(=O)(=O)CCN1C(=O)c1ccc([O-])cn1 ZINC001362768775 1131667918 /nfs/dbraw/zinc/66/79/18/1131667918.db2.gz JLVHVFUIMOJPRB-VIFPVBQESA-N -1 1 328.346 -0.411 20 0 EBADMM C[C@@]1(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CCCS1(=O)=O ZINC001362809308 1131681927 /nfs/dbraw/zinc/68/19/27/1131681927.db2.gz POUDBKVZAFNQPA-AWEZNQCLSA-N -1 1 341.389 -0.162 20 0 EBADMM O=C(CCc1nn[n-]n1)N1CCC(NC(=O)N2CCOCC2)CC1 ZINC001362810100 1131682289 /nfs/dbraw/zinc/68/22/89/1131682289.db2.gz CDXUVHWBQHVCNG-UHFFFAOYSA-N -1 1 337.384 -0.835 20 0 EBADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@](C)(O)Cn1ccccc1=O ZINC001362829007 1131687641 /nfs/dbraw/zinc/68/76/41/1131687641.db2.gz DOWISIRCMOSMQE-OAHLLOKOSA-N -1 1 334.380 -0.203 20 0 EBADMM COC[C@H](NC(=O)c1ncc(Br)cn1)c1nn[n-]n1 ZINC001362898100 1131707197 /nfs/dbraw/zinc/70/71/97/1131707197.db2.gz HKTXPLASHIBIJD-LURJTMIESA-N -1 1 328.130 -0.130 20 0 EBADMM O=C(CCCc1nn[n-]n1)NC[C@@H]1CCCN(Cc2ncon2)C1 ZINC001362896943 1131707359 /nfs/dbraw/zinc/70/73/59/1131707359.db2.gz WBLJWSVOGPRBRO-NSHDSACASA-N -1 1 334.384 -0.066 20 0 EBADMM COC[C@H](NC(=O)C[C@@H]1Oc2ccccc2NC1=O)c1nn[n-]n1 ZINC001362904434 1131709083 /nfs/dbraw/zinc/70/90/83/1131709083.db2.gz CRNCKVPABCDSKC-ONGXEEELSA-N -1 1 332.320 -0.207 20 0 EBADMM COC[C@@H](NC(=O)C[C@@H]1Oc2ccccc2NC1=O)c1nn[n-]n1 ZINC001362904431 1131709445 /nfs/dbraw/zinc/70/94/45/1131709445.db2.gz CRNCKVPABCDSKC-KOLCDFICSA-N -1 1 332.320 -0.207 20 0 EBADMM CC(C)NC(=O)CN(C)CC(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001362909200 1131711253 /nfs/dbraw/zinc/71/12/53/1131711253.db2.gz WLQQVCRURFTREJ-GFCCVEGCSA-N -1 1 337.428 -0.563 20 0 EBADMM COC[C@H](NC(=O)CC1CCN(S(C)(=O)=O)CC1)c1nn[n-]n1 ZINC001362912261 1131711512 /nfs/dbraw/zinc/71/15/12/1131711512.db2.gz WGNXTRJPISZEAN-JTQLQIEISA-N -1 1 346.413 -0.935 20 0 EBADMM CC(C)OC(=O)c1cc(NC(=O)C2(CS(C)(=O)=O)COC2)n[nH]1 ZINC001362996113 1131737298 /nfs/dbraw/zinc/73/72/98/1131737298.db2.gz OLWCERLZOAYCCV-UHFFFAOYSA-N -1 1 345.377 -0.025 20 0 EBADMM COCCN1C[C@H](C(=O)N[C@@H](CCSC)c2nn[n-]n2)CC1=O ZINC001363005399 1131742119 /nfs/dbraw/zinc/74/21/19/1131742119.db2.gz YVWVKYDNUAYSFP-ZJUUUORDSA-N -1 1 342.425 -0.395 20 0 EBADMM CC(=O)NCC(=O)NCC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001363058186 1131766353 /nfs/dbraw/zinc/76/63/53/1131766353.db2.gz HERKWMYQZXQVGM-UHFFFAOYSA-N -1 1 331.332 -0.231 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H](CO)OC(C)(C)C2)o1 ZINC001363094786 1131782245 /nfs/dbraw/zinc/78/22/45/1131782245.db2.gz YNHNCFPUQUIXSQ-VIFPVBQESA-N -1 1 332.378 -0.200 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCO[C@@H](Cn2ccnn2)C1 ZINC001363100836 1131784471 /nfs/dbraw/zinc/78/44/71/1131784471.db2.gz VEOVMOCJDMIRCW-MRVPVSSYSA-N -1 1 336.308 -0.971 20 0 EBADMM CN(C[C@@H]1CCCN(C(=O)c2cnncc2[O-])C1)S(C)(=O)=O ZINC001363170476 1131813041 /nfs/dbraw/zinc/81/30/41/1131813041.db2.gz AJSRFMXQYZHCSV-JTQLQIEISA-N -1 1 328.394 -0.074 20 0 EBADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N1C[C@H](O)[C@H](CO)C1 ZINC001363242988 1131846395 /nfs/dbraw/zinc/84/63/95/1131846395.db2.gz APVOWMJGFGMBSK-JSGCOSHPSA-N -1 1 344.371 -0.506 20 0 EBADMM CNS(=O)(=O)c1csc(C(=O)NC2(c3nn[n-]n3)CCC2)c1 ZINC001363276647 1131866025 /nfs/dbraw/zinc/86/60/25/1131866025.db2.gz HSKATDRKEVKPSF-UHFFFAOYSA-N -1 1 342.406 -0.022 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H](OC(=O)N(C)C)C1 ZINC001363299093 1131882725 /nfs/dbraw/zinc/88/27/25/1131882725.db2.gz SKVDPNUHJUTFOI-SSDOTTSWSA-N -1 1 326.309 -0.191 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H]2CCOC[C@@H]2OC)o1 ZINC001363309469 1131889126 /nfs/dbraw/zinc/88/91/26/1131889126.db2.gz XSQLEZQKYZIHJV-KOLCDFICSA-N -1 1 332.378 -0.031 20 0 EBADMM CN1CC(=O)Nc2cc(C(=O)N3CC[C@@H](c4nn[n-]n4)C3)cnc21 ZINC001363324278 1131899798 /nfs/dbraw/zinc/89/97/98/1131899798.db2.gz VXPFPQBGSSZTDH-MRVPVSSYSA-N -1 1 328.336 -0.387 20 0 EBADMM C[C@@H](C(=O)NC1(c2nn[n-]n2)CCC1)S(=O)(=O)C1CCOCC1 ZINC001363375869 1131920807 /nfs/dbraw/zinc/92/08/07/1131920807.db2.gz HXAHOGSGIVVPFR-VIFPVBQESA-N -1 1 343.409 -0.323 20 0 EBADMM COC[C@@H](NC(=O)c1ccc(S(C)(=O)=O)s1)c1nn[n-]n1 ZINC001363418213 1131941308 /nfs/dbraw/zinc/94/13/08/1131941308.db2.gz SLSLLCZAYZMXMH-ZCFIWIBFSA-N -1 1 331.379 -0.218 20 0 EBADMM COC[C@@H](NC(=O)[C@H]1CN(C)C(=O)c2ccccc21)c1nn[n-]n1 ZINC001363435191 1131948525 /nfs/dbraw/zinc/94/85/25/1131948525.db2.gz LZJJZYDEDXLUQW-NWDGAFQWSA-N -1 1 330.348 -0.127 20 0 EBADMM CS(=O)(=O)C1(C(=O)N2CCC(c3nn[n-]n3)CC2)CCOCC1 ZINC001363519341 1131988543 /nfs/dbraw/zinc/98/85/43/1131988543.db2.gz OVESDMYXTRQMMA-UHFFFAOYSA-N -1 1 343.409 -0.501 20 0 EBADMM C[C@@H](C(=O)N1CC[C@H](c2nn[n-]n2)C1)S(=O)(=O)C1CCOCC1 ZINC001363525988 1131993463 /nfs/dbraw/zinc/99/34/63/1131993463.db2.gz QNCAIUZAYSFARM-UWVGGRQHSA-N -1 1 343.409 -0.502 20 0 EBADMM CCN1CCC[C@@H](NC(=O)c2coc(S(=O)(=O)[N-]C)c2)C1=O ZINC001363577309 1132019954 /nfs/dbraw/zinc/01/99/54/1132019954.db2.gz JBXVJMJVNRWCCB-SNVBAGLBSA-N -1 1 329.378 -0.072 20 0 EBADMM O=C(Cn1nc2n(c1=O)CCCC2)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001363582811 1132021882 /nfs/dbraw/zinc/02/18/82/1132021882.db2.gz LJLKBMIAMSRRRP-NSHDSACASA-N -1 1 346.395 -0.625 20 0 EBADMM CCN1CCC[C@@H](NC(=O)c2ccc(S(=O)(=O)[N-]C)o2)C1=O ZINC001363617834 1132034160 /nfs/dbraw/zinc/03/41/60/1132034160.db2.gz WZLGCVGFVZJSST-SECBINFHSA-N -1 1 329.378 -0.072 20 0 EBADMM CC(=O)c1cn(CC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)nn1 ZINC001363690500 1132058733 /nfs/dbraw/zinc/05/87/33/1132058733.db2.gz RKYCPYSXBQDLAV-UHFFFAOYSA-N -1 1 332.320 -0.635 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)CNC(=O)c2ccoc2C)n[n-]1 ZINC001363705483 1132063358 /nfs/dbraw/zinc/06/33/58/1132063358.db2.gz IPWKLQXHNSQMSD-UHFFFAOYSA-N -1 1 335.320 -0.071 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)CNC(=O)c2ccoc2C)n1 ZINC001363705483 1132063368 /nfs/dbraw/zinc/06/33/68/1132063368.db2.gz IPWKLQXHNSQMSD-UHFFFAOYSA-N -1 1 335.320 -0.071 20 0 EBADMM Cc1nn(C)cc1C1=NO[C@H](C(=O)N2CC[C@@H](c3nn[n-]n3)C2)C1 ZINC001363729653 1132070484 /nfs/dbraw/zinc/07/04/84/1132070484.db2.gz YHTLQZRCXKBFAH-SKDRFNHKSA-N -1 1 330.352 -0.249 20 0 EBADMM Cn1c(=O)cc(N2CC[C@H](NC(=O)c3cncc([O-])c3)C2)[nH]c1=O ZINC001363747887 1132075128 /nfs/dbraw/zinc/07/51/28/1132075128.db2.gz KBJNNCLVKWZOGO-JTQLQIEISA-N -1 1 331.332 -0.405 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1nnc2n1CCC2 ZINC001363763334 1132079580 /nfs/dbraw/zinc/07/95/80/1132079580.db2.gz XIMOCBFPISJUNY-UHFFFAOYSA-N -1 1 326.338 -0.788 20 0 EBADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@@H]1CC[C@H](CN2CCOCC2)O1 ZINC001363819551 1132100081 /nfs/dbraw/zinc/10/00/81/1132100081.db2.gz IYKZRVRNDKTCCJ-NEPJUHHUSA-N -1 1 336.396 -0.425 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCC2(CO)CCOCC2)[n-]n1 ZINC001363828483 1132103960 /nfs/dbraw/zinc/10/39/60/1132103960.db2.gz LMEGEHQCQUDONB-UHFFFAOYSA-N -1 1 333.366 -0.736 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCC2(CO)CCOCC2)n[n-]1 ZINC001363828483 1132103964 /nfs/dbraw/zinc/10/39/64/1132103964.db2.gz LMEGEHQCQUDONB-UHFFFAOYSA-N -1 1 333.366 -0.736 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2cnc3n2CCOC3)co1 ZINC001363883465 1132118465 /nfs/dbraw/zinc/11/84/65/1132118465.db2.gz KJGPGEAYLLUYKO-UHFFFAOYSA-N -1 1 340.361 -0.156 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H](O)COCC(C)C ZINC001363927968 1132132398 /nfs/dbraw/zinc/13/23/98/1132132398.db2.gz BPXQAYDSFLUTIN-VIFPVBQESA-N -1 1 335.382 -0.492 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H](c3nnc(C)[nH]3)C2)n[n-]1 ZINC001363933024 1132133565 /nfs/dbraw/zinc/13/35/65/1132133565.db2.gz GVZXYSYOEGBDGX-QMMMGPOBSA-N -1 1 340.365 -0.199 20 0 EBADMM CC[C@H](CO)N1CCN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)CC1 ZINC001363946165 1132138558 /nfs/dbraw/zinc/13/85/58/1132138558.db2.gz NKXBFYCDIMDQLB-SNVBAGLBSA-N -1 1 346.409 -0.727 20 0 EBADMM CC[C@H](CO)N1CCN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)CC1 ZINC001363946165 1132138562 /nfs/dbraw/zinc/13/85/62/1132138562.db2.gz NKXBFYCDIMDQLB-SNVBAGLBSA-N -1 1 346.409 -0.727 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CCC[C@@H]3OCC[C@@H]32)c(=O)[nH]c1=O ZINC001363949462 1132139436 /nfs/dbraw/zinc/13/94/36/1132139436.db2.gz CNLABISOCXJADH-BBBLOLIVSA-N -1 1 329.378 -0.278 20 0 EBADMM CCOC(=O)c1nnc([C@@H](C)NS(=O)(=O)c2cn(C)cn2)[n-]1 ZINC001363994187 1132157874 /nfs/dbraw/zinc/15/78/74/1132157874.db2.gz AWOUGIBFOBDAHW-SSDOTTSWSA-N -1 1 328.354 -0.246 20 0 EBADMM CCOC(=O)c1nc([C@@H](C)NS(=O)(=O)c2cn(C)cn2)n[n-]1 ZINC001363994187 1132157878 /nfs/dbraw/zinc/15/78/78/1132157878.db2.gz AWOUGIBFOBDAHW-SSDOTTSWSA-N -1 1 328.354 -0.246 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](C)NS(=O)(=O)c2cn(C)cn2)n1 ZINC001363994187 1132157883 /nfs/dbraw/zinc/15/78/83/1132157883.db2.gz AWOUGIBFOBDAHW-SSDOTTSWSA-N -1 1 328.354 -0.246 20 0 EBADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@H]1C(=O)OCC1(C)C ZINC001364002146 1132160713 /nfs/dbraw/zinc/16/07/13/1132160713.db2.gz MLJIPRSZJMDFBM-QMMMGPOBSA-N -1 1 331.350 -0.265 20 0 EBADMM COc1cc(S(=O)(=O)[N-][C@H]2CS(=O)(=O)C[C@@H]2OC)sn1 ZINC001364007476 1132163141 /nfs/dbraw/zinc/16/31/41/1132163141.db2.gz YZXFLKVNMXFVAO-BQBZGAKWSA-N -1 1 342.420 -0.758 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2C[C@H]3CCC[C@H]3O2)c(=O)[nH]c1=O ZINC001364041049 1132178621 /nfs/dbraw/zinc/17/86/21/1132178621.db2.gz NRXGAELLUXBQFQ-KXUCPTDWSA-N -1 1 329.378 -0.278 20 0 EBADMM O=C1NCC2(CCOCC2)[C@H]1[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC001364049593 1132183855 /nfs/dbraw/zinc/18/38/55/1132183855.db2.gz MSBDRJPLJAIBFY-QMMMGPOBSA-N -1 1 334.785 -0.363 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)C[C@H]2CCCS2(=O)=O)n[n-]1 ZINC001364068481 1132194066 /nfs/dbraw/zinc/19/40/66/1132194066.db2.gz YFJYLLCPLNLYSJ-MRVPVSSYSA-N -1 1 330.366 -0.435 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)C[C@H]2CCCS2(=O)=O)n1 ZINC001364068481 1132194076 /nfs/dbraw/zinc/19/40/76/1132194076.db2.gz YFJYLLCPLNLYSJ-MRVPVSSYSA-N -1 1 330.366 -0.435 20 0 EBADMM CCn1ccc(CC(=O)Nc2n[n-]c(OCCO)c2C(=O)OC)n1 ZINC001364107795 1132208601 /nfs/dbraw/zinc/20/86/01/1132208601.db2.gz WIGCMLXGNMNZPW-UHFFFAOYSA-N -1 1 337.336 -0.035 20 0 EBADMM CCn1ccc(CC(=O)Nc2[n-]nc(OCCO)c2C(=O)OC)n1 ZINC001364107795 1132208604 /nfs/dbraw/zinc/20/86/04/1132208604.db2.gz WIGCMLXGNMNZPW-UHFFFAOYSA-N -1 1 337.336 -0.035 20 0 EBADMM CS(=O)(=O)C[C@@H](O)C[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC001364113795 1132211175 /nfs/dbraw/zinc/21/11/75/1132211175.db2.gz ADBJSHGTNZVIOS-LURJTMIESA-N -1 1 333.334 -0.099 20 0 EBADMM CC(C)NC(=O)CCNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001364217390 1132241544 /nfs/dbraw/zinc/24/15/44/1132241544.db2.gz VIDPIOUNVAIMPE-UHFFFAOYSA-N -1 1 337.380 -0.031 20 0 EBADMM CC(=O)N[C@@H](CC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1)C(N)=O ZINC001364261686 1132254507 /nfs/dbraw/zinc/25/45/07/1132254507.db2.gz JIHJXKFGPVSPMS-LBPRGKRZSA-N -1 1 331.332 -0.104 20 0 EBADMM COC(=O)N(C)CCNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001364315037 1132272676 /nfs/dbraw/zinc/27/26/76/1132272676.db2.gz LBMLBNYYBYCHDZ-UHFFFAOYSA-N -1 1 339.352 -0.248 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@H](OC)C[C@@]2(C)CO)co1 ZINC001364441279 1132318184 /nfs/dbraw/zinc/31/81/84/1132318184.db2.gz YIOOQTCPSBIBMW-MFKMUULPSA-N -1 1 332.378 -0.200 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N1CCn2ncnc2C1 ZINC001364729345 1132406110 /nfs/dbraw/zinc/40/61/10/1132406110.db2.gz RCVIWRMQOZQWCG-UHFFFAOYSA-N -1 1 331.336 -0.428 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@H]2C(=O)NC2CC2)[n-]n1 ZINC001364764228 1132418447 /nfs/dbraw/zinc/41/84/47/1132418447.db2.gz YOYNLDIBTQSDBZ-JTQLQIEISA-N -1 1 342.377 -0.372 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@H]2C(=O)NC2CC2)n[n-]1 ZINC001364764228 1132418450 /nfs/dbraw/zinc/41/84/50/1132418450.db2.gz YOYNLDIBTQSDBZ-JTQLQIEISA-N -1 1 342.377 -0.372 20 0 EBADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N2CC(CO)(CO)C2)o1 ZINC001364763458 1132418746 /nfs/dbraw/zinc/41/87/46/1132418746.db2.gz YQUDLPYFHUHOGS-UHFFFAOYSA-N -1 1 346.405 -0.217 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC[S@](=O)C(C)(C)C)c(=O)[nH]c1=O ZINC001364814840 1132436507 /nfs/dbraw/zinc/43/65/07/1132436507.db2.gz VXFBLAXMQSUAHG-FQEVSTJZSA-N -1 1 337.423 -0.689 20 0 EBADMM CC[C@@H](O)Cn1cc(C(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)nn1 ZINC001364818000 1132438289 /nfs/dbraw/zinc/43/82/89/1132438289.db2.gz FZMFAWZJXBEOBO-GHMZBOCLSA-N -1 1 334.384 -0.343 20 0 EBADMM CC[C@@H](O)Cn1cc(C(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)nn1 ZINC001364818008 1132438525 /nfs/dbraw/zinc/43/85/25/1132438525.db2.gz FZMFAWZJXBEOBO-WDEREUQCSA-N -1 1 334.384 -0.343 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H](O)Cn2ccnn2)c1 ZINC001364859240 1132456674 /nfs/dbraw/zinc/45/66/74/1132456674.db2.gz PLZACUNLIMHKPS-SECBINFHSA-N -1 1 328.350 -0.668 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2C[C@H]3CCCCN3C2=O)[n-]n1 ZINC001364874969 1132463111 /nfs/dbraw/zinc/46/31/11/1132463111.db2.gz JOKFZFTVKSLENV-BDAKNGLRSA-N -1 1 342.377 -0.372 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2C[C@H]3CCCCN3C2=O)n[n-]1 ZINC001364874969 1132463112 /nfs/dbraw/zinc/46/31/12/1132463112.db2.gz JOKFZFTVKSLENV-BDAKNGLRSA-N -1 1 342.377 -0.372 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C[C@H]2CCC(=O)N2)C(C)C)[n-]n1 ZINC001364879988 1132466511 /nfs/dbraw/zinc/46/65/11/1132466511.db2.gz BOKKGSRFCZOJCD-SECBINFHSA-N -1 1 344.393 -0.126 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C[C@H]2CCC(=O)N2)C(C)C)n[n-]1 ZINC001364879988 1132466514 /nfs/dbraw/zinc/46/65/14/1132466514.db2.gz BOKKGSRFCZOJCD-SECBINFHSA-N -1 1 344.393 -0.126 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@H]1[N-]S(=O)(=O)c1cc(C)ns1 ZINC001364909321 1132479850 /nfs/dbraw/zinc/47/98/50/1132479850.db2.gz UZZWDNFEJQGFLU-HTQZYQBOSA-N -1 1 326.421 -0.458 20 0 EBADMM COC(=O)c1occc1C[N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C ZINC001364912508 1132480787 /nfs/dbraw/zinc/48/07/87/1132480787.db2.gz DJMZYOLVJVDYEW-HXUWFJFHSA-N -1 1 339.395 -0.025 20 0 EBADMM COC(=O)[C@H](CC1CCOCC1)[N-]S(=O)(=O)[C@@H]1COC[C@H]1O ZINC001364913902 1132481782 /nfs/dbraw/zinc/48/17/82/1132481782.db2.gz WBCKJFXMKPOVFB-QJPTWQEYSA-N -1 1 337.394 -0.976 20 0 EBADMM COc1ccc(CC[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)cn1 ZINC001364918214 1132485470 /nfs/dbraw/zinc/48/54/70/1132485470.db2.gz FCWWIDMSGFDQPL-UHFFFAOYSA-N -1 1 340.361 -0.590 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)[C@H]3CCCc4n[nH]cc43)CC2)nc1=O ZINC001364948290 1132498487 /nfs/dbraw/zinc/49/84/87/1132498487.db2.gz SSWZWPXBDKPCFH-NSHDSACASA-N -1 1 345.407 -0.404 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC[C@H]1CNC(=O)C1 ZINC001364955744 1132502289 /nfs/dbraw/zinc/50/22/89/1132502289.db2.gz WQKNCAGWIYXEMY-MRVPVSSYSA-N -1 1 330.366 -0.609 20 0 EBADMM CO[C@]1(C[N-]S(=O)(=O)c2c[nH]nc2Cl)CCS(=O)(=O)C1 ZINC001364967396 1132506849 /nfs/dbraw/zinc/50/68/49/1132506849.db2.gz VUAIMEJVYUWXDV-VIFPVBQESA-N -1 1 343.814 -0.455 20 0 EBADMM CCC1(CC)CNC(=O)[C@@H]1[N-]S(=O)(=O)c1n[nH]cc1C(=O)OC ZINC001364974915 1132508349 /nfs/dbraw/zinc/50/83/49/1132508349.db2.gz DRQBHNWKBINUMG-VIFPVBQESA-N -1 1 344.393 -0.221 20 0 EBADMM CC(C)OC(=O)C(C)(C)[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001364976487 1132509788 /nfs/dbraw/zinc/50/97/88/1132509788.db2.gz OEIYQAVBGWEQRX-UHFFFAOYSA-N -1 1 333.366 -0.506 20 0 EBADMM Cc1cc(CS(=O)(=O)[N-][C@](C)(Cn2cccn2)C(N)=O)on1 ZINC001365002555 1132518114 /nfs/dbraw/zinc/51/81/14/1132518114.db2.gz BOMDCEIHHPDYMD-GFCCVEGCSA-N -1 1 327.366 -0.457 20 0 EBADMM CCOC(=O)[C@H](Cc1ccccc1)[N-]S(=O)(=O)[C@@H]1COC[C@H]1O ZINC001365034119 1132524748 /nfs/dbraw/zinc/52/47/48/1132524748.db2.gz WRJFYHAKJPAHGV-BFHYXJOUSA-N -1 1 343.401 -0.160 20 0 EBADMM COC(=O)C[C@H](NS(=O)(=O)c1cc(C(=O)OC)n[n-]1)C1CC1 ZINC001365132684 1132554391 /nfs/dbraw/zinc/55/43/91/1132554391.db2.gz DNSHUGNCXNWEDF-QMMMGPOBSA-N -1 1 331.350 -0.184 20 0 EBADMM COC(=O)C[C@H](NS(=O)(=O)c1cc(C(=O)OC)[n-]n1)C1CC1 ZINC001365132684 1132554396 /nfs/dbraw/zinc/55/43/96/1132554396.db2.gz DNSHUGNCXNWEDF-QMMMGPOBSA-N -1 1 331.350 -0.184 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCN3C(=O)CC[C@H]3C2)[n-]n1 ZINC001365137234 1132556595 /nfs/dbraw/zinc/55/65/95/1132556595.db2.gz SUPUFKBCXOQCEV-QMMMGPOBSA-N -1 1 328.350 -0.808 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCN3C(=O)CC[C@H]3C2)n[n-]1 ZINC001365137234 1132556601 /nfs/dbraw/zinc/55/66/01/1132556601.db2.gz SUPUFKBCXOQCEV-QMMMGPOBSA-N -1 1 328.350 -0.808 20 0 EBADMM CO[C@@H]1CC[C@H]1N(C)C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC001365156745 1132562955 /nfs/dbraw/zinc/56/29/55/1132562955.db2.gz DCRQXDQHTMSDOM-RKDXNWHRSA-N -1 1 345.377 -0.707 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)CCNC(N)=O ZINC001414110077 1132570346 /nfs/dbraw/zinc/57/03/46/1132570346.db2.gz NWTWJOWYCOIQHM-JTQLQIEISA-N -1 1 335.364 -0.482 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@]2(C(=O)OC)CCOC2)co1 ZINC001365180724 1132573158 /nfs/dbraw/zinc/57/31/58/1132573158.db2.gz ZAQPDGDQWAINJX-ZDUSSCGKSA-N -1 1 346.361 -0.503 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](C(F)(F)F)[C@H](S(C)(=O)=O)C2)nc1=O ZINC001365315513 1132616975 /nfs/dbraw/zinc/61/69/75/1132616975.db2.gz CMAHTYBONQVUJC-RNFRBKRXSA-N -1 1 328.316 -0.484 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H](CO)CCC(C)(C)C)c(=O)[nH]c1=O ZINC001365386557 1132647010 /nfs/dbraw/zinc/64/70/10/1132647010.db2.gz XTHJTUURABAXSL-VIFPVBQESA-N -1 1 333.410 -0.049 20 0 EBADMM CCCN1C[C@@H](C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)CC1=O ZINC001365423782 1132664543 /nfs/dbraw/zinc/66/45/43/1132664543.db2.gz VKROFIFHBIDEOQ-SECBINFHSA-N -1 1 344.393 -0.977 20 0 EBADMM Cn1cc([C@H](O)CNC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)cn1 ZINC001365431434 1132670467 /nfs/dbraw/zinc/67/04/67/1132670467.db2.gz WGXVTMHFHYNEAR-GFCCVEGCSA-N -1 1 348.363 -0.479 20 0 EBADMM CNC(=O)[C@@H]1CCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC001365431929 1132670754 /nfs/dbraw/zinc/67/07/54/1132670754.db2.gz YLHCSKFXSHVLNK-SECBINFHSA-N -1 1 335.364 -0.468 20 0 EBADMM COC[C@@H](NS(=O)(=O)c1cc(C(=O)OC)n[n-]1)C1CCOCC1 ZINC001365434531 1132672844 /nfs/dbraw/zinc/67/28/44/1132672844.db2.gz HDRIMRNFJCMWRF-LLVKDONJSA-N -1 1 347.393 -0.084 20 0 EBADMM COC[C@@H](NS(=O)(=O)c1cc(C(=O)OC)[n-]n1)C1CCOCC1 ZINC001365434531 1132672847 /nfs/dbraw/zinc/67/28/47/1132672847.db2.gz HDRIMRNFJCMWRF-LLVKDONJSA-N -1 1 347.393 -0.084 20 0 EBADMM COC[C@@H](CS(=O)(=O)NCc1nc2c(c(=O)[n-]1)COCC2)OC ZINC001365453910 1132686197 /nfs/dbraw/zinc/68/61/97/1132686197.db2.gz JGGYHCYEQBOESF-VIFPVBQESA-N -1 1 347.393 -0.664 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)N[C@@H](CO)c2nncn2C)c1 ZINC001365457089 1132688313 /nfs/dbraw/zinc/68/83/13/1132688313.db2.gz DAJFWNGBUXJBBL-VIFPVBQESA-N -1 1 328.350 -0.459 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC2CCN(C(C)=O)CC2)[n-]n1 ZINC001365628476 1132738745 /nfs/dbraw/zinc/73/87/45/1132738745.db2.gz GPZVXULNBBICCC-UHFFFAOYSA-N -1 1 330.366 -0.515 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC2CCN(C(C)=O)CC2)n[n-]1 ZINC001365628476 1132738753 /nfs/dbraw/zinc/73/87/53/1132738753.db2.gz GPZVXULNBBICCC-UHFFFAOYSA-N -1 1 330.366 -0.515 20 0 EBADMM COC[C@@H](NS(=O)(=O)c1cc(C(=O)OC)n[n-]1)[C@H]1CCCO1 ZINC001365703617 1132768785 /nfs/dbraw/zinc/76/87/85/1132768785.db2.gz IIOGMADEQSXGDO-NXEZZACHSA-N -1 1 333.366 -0.331 20 0 EBADMM COC[C@@H](NS(=O)(=O)c1cc(C(=O)OC)[n-]n1)[C@H]1CCCO1 ZINC001365703617 1132768790 /nfs/dbraw/zinc/76/87/90/1132768790.db2.gz IIOGMADEQSXGDO-NXEZZACHSA-N -1 1 333.366 -0.331 20 0 EBADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]CCn1cnccc1=O ZINC001365725472 1132777517 /nfs/dbraw/zinc/77/75/17/1132777517.db2.gz NTBLYHXTEYYEEZ-HXUWFJFHSA-N -1 1 336.439 -0.026 20 0 EBADMM Cc1nc(CS(=O)(=O)[N-][C@H]2C(=O)NCC23CCOCC3)no1 ZINC001365735091 1132783621 /nfs/dbraw/zinc/78/36/21/1132783621.db2.gz CCBYDQWPTYCRRY-JTQLQIEISA-N -1 1 330.366 -0.907 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCSC[C@H]2C(=O)OC)[n-]n1 ZINC001365737805 1132785683 /nfs/dbraw/zinc/78/56/83/1132785683.db2.gz OIXMPQDNGXDGEA-QMMMGPOBSA-N -1 1 349.390 -0.525 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCSC[C@H]2C(=O)OC)n[n-]1 ZINC001365737805 1132785689 /nfs/dbraw/zinc/78/56/89/1132785689.db2.gz OIXMPQDNGXDGEA-QMMMGPOBSA-N -1 1 349.390 -0.525 20 0 EBADMM COC(=O)[C@H](CC1OCCO1)[N-]S(=O)(=O)[C@H]1COC(C)(C)C1 ZINC001365753267 1132792026 /nfs/dbraw/zinc/79/20/26/1132792026.db2.gz UCAQMOOMCBNVSQ-ZJUUUORDSA-N -1 1 337.394 -0.222 20 0 EBADMM CC[C@H](NC(C)=O)C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001365792838 1132801024 /nfs/dbraw/zinc/80/10/24/1132801024.db2.gz HJCHDWWYNCIMRK-NEPJUHHUSA-N -1 1 338.412 -0.944 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)CCn1ccnc1 ZINC001365798114 1132804902 /nfs/dbraw/zinc/80/49/02/1132804902.db2.gz VCGCQNUUJUHHSL-LBPRGKRZSA-N -1 1 333.396 -0.572 20 0 EBADMM CC[C@@H](NC(C)=O)C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001365941174 1132902276 /nfs/dbraw/zinc/90/22/76/1132902276.db2.gz ITQDWKCSTILDOQ-VXGBXAGGSA-N -1 1 338.412 -0.896 20 0 EBADMM Cc1noc(CCC(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001365947703 1132906219 /nfs/dbraw/zinc/90/62/19/1132906219.db2.gz UAZPUKVYCACLJL-LLVKDONJSA-N -1 1 349.395 -0.487 20 0 EBADMM CC(C)OCCN1CC[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001365988237 1132949043 /nfs/dbraw/zinc/94/90/43/1132949043.db2.gz DQPXITFHJHKAMY-LBPRGKRZSA-N -1 1 348.407 -0.313 20 0 EBADMM Cn1ccnc1CCC(=O)NC[C@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001366040482 1132977078 /nfs/dbraw/zinc/97/70/78/1132977078.db2.gz FXECOWOMULMEEQ-NSHDSACASA-N -1 1 333.396 -0.139 20 0 EBADMM CC[C@@H](NC(C)=O)C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001366223789 1133063514 /nfs/dbraw/zinc/06/35/14/1133063514.db2.gz FWNJQKXLACIEEH-VXGBXAGGSA-N -1 1 338.412 -0.104 20 0 EBADMM CC(C)NC(=O)CCCC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001366387188 1133124138 /nfs/dbraw/zinc/12/41/38/1133124138.db2.gz HQFIBDWAUCOGSX-NSHDSACASA-N -1 1 338.412 -0.104 20 0 EBADMM C[C@@H](CCNC(=O)[C@@]1(C)CCCS1(=O)=O)NCc1n[nH]c(=O)[n-]1 ZINC001366493263 1133157993 /nfs/dbraw/zinc/15/79/93/1133157993.db2.gz VGAJZFLICDUSIN-TVQRCGJNSA-N -1 1 345.425 -0.538 20 0 EBADMM CC[C@@H](NC(C)=O)C(=O)NC[C@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001366663245 1133235385 /nfs/dbraw/zinc/23/53/85/1133235385.db2.gz FLJOKNSCGRPDBB-VXGBXAGGSA-N -1 1 338.412 -0.896 20 0 EBADMM Cn1[n-]c(CN(CCNC(=O)[C@@H]2C[C@]23CCOC3)C2CC2)nc1=O ZINC001366759647 1133286357 /nfs/dbraw/zinc/28/63/57/1133286357.db2.gz ILEDWULZNQPUKO-LRDDRELGSA-N -1 1 335.408 -0.384 20 0 EBADMM COCCC1(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)CCC1 ZINC001366992130 1133407290 /nfs/dbraw/zinc/40/72/90/1133407290.db2.gz SZUSMNCOZZRRGQ-UHFFFAOYSA-N -1 1 337.424 -0.137 20 0 EBADMM CCOCC1(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001367056891 1133458429 /nfs/dbraw/zinc/45/84/29/1133458429.db2.gz ZOXOVHUMYJSABX-LBPRGKRZSA-N -1 1 337.424 -0.042 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)CNC(=O)CS(=O)(=O)C(C)(C)C ZINC001367288536 1133526815 /nfs/dbraw/zinc/52/68/15/1133526815.db2.gz LHWGTTZCVQULKV-SECBINFHSA-N -1 1 347.441 -0.435 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)CC(C)(C)C)C2)nc1=O ZINC001367308204 1133541655 /nfs/dbraw/zinc/54/16/55/1133541655.db2.gz XZYRIKGSGHYGPI-NSHDSACASA-N -1 1 325.413 -0.138 20 0 EBADMM Cc1cnc(COCC(=O)N(C)[C@@H](C)CNCc2n[nH]c(=O)[n-]2)o1 ZINC001367366842 1133565435 /nfs/dbraw/zinc/56/54/35/1133565435.db2.gz IXZNPXDUPDUAHV-VIFPVBQESA-N -1 1 338.368 -0.040 20 0 EBADMM COCc1nn(C)cc1C(=O)N(C)[C@@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001367370536 1133566798 /nfs/dbraw/zinc/56/67/98/1133566798.db2.gz PJYZJIMYWJRVOH-VIFPVBQESA-N -1 1 337.384 -0.360 20 0 EBADMM CC(C)C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H](C)S(C)(=O)=O ZINC001367414661 1133584253 /nfs/dbraw/zinc/58/42/53/1133584253.db2.gz AQPNRFFWXKPQOY-VHSXEESVSA-N -1 1 347.441 -0.436 20 0 EBADMM CC(C)[C@H](CNC(=O)[C@@]1(C)CCC(=O)NC1)NCc1n[nH]c(=O)[n-]1 ZINC001367476751 1133598308 /nfs/dbraw/zinc/59/83/08/1133598308.db2.gz CVIVJBMLEPHMGY-BONVTDFDSA-N -1 1 338.412 -0.343 20 0 EBADMM CC(=O)N[C@@H](C(=O)N(C)CCN(C)Cc1nc(=O)n(C)[n-]1)C(C)C ZINC001367547270 1133624028 /nfs/dbraw/zinc/62/40/28/1133624028.db2.gz YLZOHYRDACOHEM-CYBMUJFWSA-N -1 1 340.428 -0.841 20 0 EBADMM O=C(NC[C@@H](O)CNC/C(Cl)=C/Cl)c1n[nH]c(=O)[n-]c1=O ZINC001367599840 1133676363 /nfs/dbraw/zinc/67/63/63/1133676363.db2.gz BOZBBAUTOZTEAN-NZKFJSAPSA-N -1 1 338.151 -0.718 20 0 EBADMM C[C@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)CCc1cncnc1 ZINC001367817976 1133797969 /nfs/dbraw/zinc/79/79/69/1133797969.db2.gz JRIRFZHMULDUEI-LLVKDONJSA-N -1 1 333.396 -0.532 20 0 EBADMM C[C@@H](CN(C)Cc1cc(=O)n2[n-]ccc2n1)NC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001367824656 1133800389 /nfs/dbraw/zinc/80/03/89/1133800389.db2.gz HJDCDNIGRYTBLX-CNXAATOLSA-N -1 1 345.403 -0.149 20 0 EBADMM CN(CCN1CC(N(C)C(=O)c2ncccc2[O-])C1)S(C)(=O)=O ZINC001368064202 1133919923 /nfs/dbraw/zinc/91/99/23/1133919923.db2.gz DSVTWGCXSQDVIQ-UHFFFAOYSA-N -1 1 342.421 -0.565 20 0 EBADMM Cn1cc(C(=O)NC[C@@]2(C)CCCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001368215116 1133992577 /nfs/dbraw/zinc/99/25/77/1133992577.db2.gz BMNLDUXTEMFYQS-OAHLLOKOSA-N -1 1 348.411 -0.731 20 0 EBADMM Cc1nc(CC(=O)NC[C@]2(C)CCCN(Cc3n[nH]c(=O)[n-]3)C2)n[nH]1 ZINC001368217908 1133993153 /nfs/dbraw/zinc/99/31/53/1133993153.db2.gz NJVMWLBSPYXQJI-HNNXBMFYSA-N -1 1 348.411 -0.102 20 0 EBADMM CCO[C@@H]1C[C@@H]1C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCOCC1 ZINC001368222517 1133995697 /nfs/dbraw/zinc/99/56/97/1133995697.db2.gz JXWOSRSANIFJIR-WDEREUQCSA-N -1 1 339.396 -0.310 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](O)(CNC(=O)CC(F)(F)F)C2)nc1=O ZINC001368239569 1134008239 /nfs/dbraw/zinc/00/82/39/1134008239.db2.gz LMYCJCQVUKLIBN-LLVKDONJSA-N -1 1 337.302 -0.886 20 0 EBADMM C[C@H](c1nnnn1C)N1CC[C@](O)(CNC(=O)c2ncccc2[O-])C1 ZINC001368268307 1134068990 /nfs/dbraw/zinc/06/89/90/1134068990.db2.gz UJDWXMWQDHZOPR-BMIGLBTASA-N -1 1 347.379 -0.762 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1(O)CN(CC[C@@H](C)F)C1 ZINC001368318198 1134141678 /nfs/dbraw/zinc/14/16/78/1134141678.db2.gz CHTXCCACQUUJSZ-MRVPVSSYSA-N -1 1 344.343 -0.579 20 0 EBADMM Cc1c[nH]cc1C(=O)N[C@]1(CO)CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001368388064 1134191027 /nfs/dbraw/zinc/19/10/27/1134191027.db2.gz HUPRWKOBDUENLI-MRXNPFEDSA-N -1 1 348.407 -0.498 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)Cc1cncn1C ZINC001368426874 1134218322 /nfs/dbraw/zinc/21/83/22/1134218322.db2.gz DKAYOIKSMCUWNV-NSHDSACASA-N -1 1 333.396 -0.883 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)Cc1cncn1C ZINC001368426871 1134218492 /nfs/dbraw/zinc/21/84/92/1134218492.db2.gz DKAYOIKSMCUWNV-LLVKDONJSA-N -1 1 333.396 -0.883 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)NC[C@@H]1Cc2ccccc2CN1CCO ZINC001368614700 1134326388 /nfs/dbraw/zinc/32/63/88/1134326388.db2.gz PYXROEDGSVYGCB-ZDUSSCGKSA-N -1 1 347.375 -0.775 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)CCc2ccn(C)n2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001368767430 1134429029 /nfs/dbraw/zinc/42/90/29/1134429029.db2.gz KEANRBPOXYSEQN-YPMHNXCESA-N -1 1 347.423 -0.446 20 0 EBADMM CCc1nnsc1C(=O)NC[C@@H](C)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001368968870 1134502642 /nfs/dbraw/zinc/50/26/42/1134502642.db2.gz MXSKTHXXXDXSSS-MRVPVSSYSA-N -1 1 339.425 -0.227 20 0 EBADMM C[C@H](CNC(=O)[C@@H](C)Oc1cccnc1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001368982854 1134508674 /nfs/dbraw/zinc/50/86/74/1134508674.db2.gz YYODWFSHCUGKOD-VXGBXAGGSA-N -1 1 348.407 -0.093 20 0 EBADMM C[C@@H](NC(=O)CCc1ccn(C)n1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001369084481 1134556464 /nfs/dbraw/zinc/55/64/64/1134556464.db2.gz QNEANDUMAIZHMX-LLVKDONJSA-N -1 1 347.423 -0.589 20 0 EBADMM CO[C@H](C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C)C1CC1 ZINC001369131592 1134581517 /nfs/dbraw/zinc/58/15/17/1134581517.db2.gz XXSKBPXEGQNPPT-AAEUAGOBSA-N -1 1 337.424 -0.140 20 0 EBADMM Cc1cc(CN[C@@H](C)CN(C)C(=O)c2n[nH]c(=O)[n-]c2=O)nn1C ZINC001369262916 1134634059 /nfs/dbraw/zinc/63/40/59/1134634059.db2.gz BIFCKAYOEXJEEM-QMMMGPOBSA-N -1 1 335.368 -0.425 20 0 EBADMM Cn1[n-]c(CN[C@@H](CO)CNC(=O)c2ccc(Cl)o2)nc1=O ZINC001369317376 1134657884 /nfs/dbraw/zinc/65/78/84/1134657884.db2.gz ZFGIXTJYTQSTIA-SSDOTTSWSA-N -1 1 329.744 -0.765 20 0 EBADMM CCN(CC)C(=O)[C@@H](C)N[C@@H](CO)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001369323982 1134664217 /nfs/dbraw/zinc/66/42/17/1134664217.db2.gz RTRNZTZZFWGRCA-GHMZBOCLSA-N -1 1 341.412 -0.639 20 0 EBADMM Cn1[n-]c(CN[C@H](CO)CNC(=O)c2ccc(CF)cc2)nc1=O ZINC001369371838 1134728678 /nfs/dbraw/zinc/72/86/78/1134728678.db2.gz HCMSIGYPOXYWBQ-LBPRGKRZSA-N -1 1 337.355 -0.542 20 0 EBADMM C[C@H]1[C@H](NC(=O)CC(C)(C)O)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001369418636 1134771174 /nfs/dbraw/zinc/77/11/74/1134771174.db2.gz BWUKXOLBUZIYMD-WDEREUQCSA-N -1 1 325.413 -0.262 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001369535714 1134925815 /nfs/dbraw/zinc/92/58/15/1134925815.db2.gz CWQOKIXZMLCBHO-JTQLQIEISA-N -1 1 326.401 -0.391 20 0 EBADMM CC(C)(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)Cn1ccccc1=O ZINC001369552774 1134935087 /nfs/dbraw/zinc/93/50/87/1134935087.db2.gz KCUVKLNPDZAOGY-LLVKDONJSA-N -1 1 348.407 -0.007 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CCC(NC(=O)CNC(N)=O)CC1 ZINC001369726323 1135001408 /nfs/dbraw/zinc/00/14/08/1135001408.db2.gz UXKDYBANBKZQHW-UHFFFAOYSA-N -1 1 349.391 -0.045 20 0 EBADMM C[C@H](CC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)n1ccnc1 ZINC001370014537 1135174424 /nfs/dbraw/zinc/17/44/24/1135174424.db2.gz FKLLAVJRYKCVKA-VXGBXAGGSA-N -1 1 333.396 -0.353 20 0 EBADMM CCCC(=O)NC[C@@H]1[C@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]21 ZINC001370182545 1135239032 /nfs/dbraw/zinc/23/90/32/1135239032.db2.gz BWRNCPHQCBQLHX-RTCCRHLQSA-N -1 1 344.375 -0.348 20 0 EBADMM CCC(=O)NCC(=O)N1CCC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001370292993 1135287229 /nfs/dbraw/zinc/28/72/29/1135287229.db2.gz NLNGHQDFDJTHKI-NSHDSACASA-N -1 1 338.412 -0.293 20 0 EBADMM C[C@H](O)CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001370333388 1135308770 /nfs/dbraw/zinc/30/87/70/1135308770.db2.gz WUKNYDCHLRAHMA-GARJFASQSA-N -1 1 337.380 -0.267 20 0 EBADMM Cc1ncncc1C(=O)N[C@@H](CO)CNC(=O)c1ncccc1[O-] ZINC001370688027 1135499749 /nfs/dbraw/zinc/49/97/49/1135499749.db2.gz MHRBAQLGPLVWJZ-SNVBAGLBSA-N -1 1 331.332 -0.594 20 0 EBADMM Cc1cnc(C(=O)NC[C@H](O)CN(C)C(=O)c2ncccc2[O-])cn1 ZINC001370987353 1135738459 /nfs/dbraw/zinc/73/84/59/1135738459.db2.gz HLJNROCRYYKASS-NSHDSACASA-N -1 1 345.359 -0.251 20 0 EBADMM Cc1cc(CN[C@H](C)CNC(=O)CCc2n[nH]c(=O)[n-]c2=O)nn1C ZINC001371261524 1135873935 /nfs/dbraw/zinc/87/39/35/1135873935.db2.gz LMVRKTIQBPJHRI-SECBINFHSA-N -1 1 349.395 -0.448 20 0 EBADMM C[C@H](C[C@H](C)NC(=O)c1ncccc1[O-])NC(=O)CS(C)(=O)=O ZINC001371265678 1135874747 /nfs/dbraw/zinc/87/47/47/1135874747.db2.gz SCUONYNCLKZJMY-ZJUUUORDSA-N -1 1 343.405 -0.155 20 0 EBADMM Cc1nnc2n1C[C@@H](C(=O)NC[C@H](C)NCc1n[nH]c(=O)[n-]1)CC2 ZINC001371464931 1135925292 /nfs/dbraw/zinc/92/52/92/1135925292.db2.gz LHJUQUXHUMSSRA-WPRPVWTQSA-N -1 1 334.384 -0.733 20 0 EBADMM C[C@H](CNC(=O)CNC(=O)c1ccsc1)NCc1n[nH]c(=O)[n-]1 ZINC001371477991 1135932776 /nfs/dbraw/zinc/93/27/76/1135932776.db2.gz SBOJZYGCADQZKB-MRVPVSSYSA-N -1 1 338.393 -0.404 20 0 EBADMM C[C@H](NC(N)=O)C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001371500410 1135937225 /nfs/dbraw/zinc/93/72/25/1135937225.db2.gz PFJKKUGEUAPZLE-KXUCPTDWSA-N -1 1 335.364 -0.579 20 0 EBADMM COCC1(CC(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)CC1 ZINC001371523804 1135949044 /nfs/dbraw/zinc/94/90/44/1135949044.db2.gz MUPRFMFCCBYCBW-YPMHNXCESA-N -1 1 349.387 -0.095 20 0 EBADMM CCn1cc(CNC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)nn1 ZINC001371546002 1135966117 /nfs/dbraw/zinc/96/61/17/1135966117.db2.gz YURODXAYUIZMGR-UHFFFAOYSA-N -1 1 333.352 -0.134 20 0 EBADMM C[C@@H](CC(N)=O)C(=O)N(C)C[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001371646119 1135991891 /nfs/dbraw/zinc/99/18/91/1135991891.db2.gz WXWGVMPOINYPOX-WDEREUQCSA-N -1 1 334.376 -0.028 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)S(C)(=O)=O ZINC001372010823 1136085418 /nfs/dbraw/zinc/08/54/18/1136085418.db2.gz AGIMUGYFDBQSFE-VHSXEESVSA-N -1 1 341.389 -0.449 20 0 EBADMM O=C(CCc1nnc[nH]1)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001372010853 1136085429 /nfs/dbraw/zinc/08/54/29/1136085429.db2.gz BLCHZNJTAPZQKO-SNVBAGLBSA-N -1 1 330.348 -0.131 20 0 EBADMM O=C(CCc1nc[nH]n1)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001372010853 1136085431 /nfs/dbraw/zinc/08/54/31/1136085431.db2.gz BLCHZNJTAPZQKO-SNVBAGLBSA-N -1 1 330.348 -0.131 20 0 EBADMM CC(C)n1ccc(CC(=O)NCCN(C)Cc2nc(=O)n(C)[n-]2)n1 ZINC001372051086 1136098951 /nfs/dbraw/zinc/09/89/51/1136098951.db2.gz OQTTUGGYUVSYSI-UHFFFAOYSA-N -1 1 335.412 -0.324 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001372063791 1136103005 /nfs/dbraw/zinc/10/30/05/1136103005.db2.gz VIJGMFPXSPXFBC-ZYHUDNBSSA-N -1 1 334.376 -0.015 20 0 EBADMM CCOCC(=O)N1CCC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001372170868 1136126726 /nfs/dbraw/zinc/12/67/26/1136126726.db2.gz DZOXGYPVLZUBFT-JTQLQIEISA-N -1 1 348.363 -0.825 20 0 EBADMM CO[C@H](C)C(=O)N1CCN(CCNC(=O)c2ncccc2[O-])CC1 ZINC001372213706 1136139402 /nfs/dbraw/zinc/13/94/02/1136139402.db2.gz RKWKXCFIHBEUKU-GFCCVEGCSA-N -1 1 336.392 -0.304 20 0 EBADMM CCN(CCNC(=O)c1c[n-]n2c1nccc2=O)[C@@H]1CCCNC1=O ZINC001372432388 1136200166 /nfs/dbraw/zinc/20/01/66/1136200166.db2.gz ZOGNRNMGNJROTI-GFCCVEGCSA-N -1 1 346.391 -0.647 20 0 EBADMM C[C@H](CNC(=O)C1CCCC1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001372757375 1136285987 /nfs/dbraw/zinc/28/59/87/1136285987.db2.gz YJEVIYOBNCYJPR-SECBINFHSA-N -1 1 332.364 -0.158 20 0 EBADMM C[C@@H](CNC(=O)C(C)(F)F)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001372764525 1136287263 /nfs/dbraw/zinc/28/72/63/1136287263.db2.gz VVWAYJCDHUQZIU-LURJTMIESA-N -1 1 328.279 -0.693 20 0 EBADMM C[C@@H]1COCC[C@H]1C(=O)NCCN(Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001372856187 1136306064 /nfs/dbraw/zinc/30/60/64/1136306064.db2.gz BWCCKGYIKOUNTC-DGCLKSJQSA-N -1 1 337.424 -0.138 20 0 EBADMM C[C@H]1COCC[C@@H]1C(=O)NCCN(Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001372856183 1136306079 /nfs/dbraw/zinc/30/60/79/1136306079.db2.gz BWCCKGYIKOUNTC-AAEUAGOBSA-N -1 1 337.424 -0.138 20 0 EBADMM CNC(=O)NC(=O)[C@@H](C)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001373394493 1136443798 /nfs/dbraw/zinc/44/37/98/1136443798.db2.gz IKRQWDZITZSPTA-MNOVXSKESA-N -1 1 349.391 -0.175 20 0 EBADMM Cc1ccccc1COCC(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001373463311 1136464747 /nfs/dbraw/zinc/46/47/47/1136464747.db2.gz QVRBSHMXCMJSPV-UHFFFAOYSA-N -1 1 345.403 -0.066 20 0 EBADMM CC[C@H](CNC(=O)c1cnn2c1OCCC2)NCc1n[nH]c(=O)[n-]1 ZINC001373770765 1136556774 /nfs/dbraw/zinc/55/67/74/1136556774.db2.gz ZMMXLFBCMOZXCP-SECBINFHSA-N -1 1 335.368 -0.213 20 0 EBADMM CN1C[C@@H](C(=O)N[C@](C)(CNCc2n[nH]c(=O)[n-]2)C2CC2)CC1=O ZINC001373881892 1136579852 /nfs/dbraw/zinc/57/98/52/1136579852.db2.gz TXMWJHGGNUKZQE-BJOHPYRUSA-N -1 1 336.396 -0.637 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)C[C@@H]3C[C@H]3C3CC3)C2)nc1=O ZINC001374132782 1136633326 /nfs/dbraw/zinc/63/33/26/1136633326.db2.gz VXMKAWVELCCMTI-IHRRRGAJSA-N -1 1 349.435 -0.138 20 0 EBADMM CCCCN1CCO[C@@H](CNC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001374135768 1136634705 /nfs/dbraw/zinc/63/47/05/1136634705.db2.gz LDEUQFTYJRSTNF-LBPRGKRZSA-N -1 1 348.407 -0.312 20 0 EBADMM CC(=O)N(C)CC(=O)N1CCC[C@H](C)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001374399639 1136683534 /nfs/dbraw/zinc/68/35/34/1136683534.db2.gz UZYCXZPPUIYVPV-JQWIXIFHSA-N -1 1 338.412 -0.295 20 0 EBADMM O=C(NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1)c1ccc2c(c1)COC2 ZINC001374659424 1136782279 /nfs/dbraw/zinc/78/22/79/1136782279.db2.gz WWRLMSJZBIUPAO-LBPRGKRZSA-N -1 1 333.348 -0.579 20 0 EBADMM Cc1cc(CNC[C@@H](C)NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)on1 ZINC001374821455 1136842075 /nfs/dbraw/zinc/84/20/75/1136842075.db2.gz FHHJWHUPMBRGIF-SNVBAGLBSA-N -1 1 345.363 -0.338 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)Cc1cnn2c1CCCC2 ZINC001374898062 1136868335 /nfs/dbraw/zinc/86/83/35/1136868335.db2.gz JZUCWUVQBFNWDA-JTQLQIEISA-N -1 1 333.396 -0.120 20 0 EBADMM C[C@@H](CNCc1cc(C2CC2)no1)NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001374965697 1136885924 /nfs/dbraw/zinc/88/59/24/1136885924.db2.gz SVIYKLMDSWJLJM-QMMMGPOBSA-N -1 1 336.352 -0.151 20 0 EBADMM C[C@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)Cn1cc(C2CC2)nn1 ZINC001375057815 1136903147 /nfs/dbraw/zinc/90/31/47/1136903147.db2.gz UJAWRTBOBPSMFK-SNVBAGLBSA-N -1 1 348.411 -0.786 20 0 EBADMM C[C@@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)c1cnn(C(F)F)c1 ZINC001375130386 1136922530 /nfs/dbraw/zinc/92/25/30/1136922530.db2.gz AXIOWMVONLCOCI-QMMMGPOBSA-N -1 1 343.338 -0.050 20 0 EBADMM O=C([O-])[C@@H](Cc1cnc[nH]1)NS(=O)(=O)c1cnn(CC(F)F)c1 ZINC000275332922 304094072 /nfs/dbraw/zinc/09/40/72/304094072.db2.gz ZPDRHCXCYIPRRV-SECBINFHSA-N -1 1 349.319 -0.155 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@](OC)(C(=O)OC)C2)o1 ZINC000600286258 375943674 /nfs/dbraw/zinc/94/36/74/375943674.db2.gz DHINLNYMZLWYKR-CYBMUJFWSA-N -1 1 346.361 -0.408 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)CS(C)(=O)=O ZINC000081902699 376096035 /nfs/dbraw/zinc/09/60/35/376096035.db2.gz YODDEPZQDZQWQU-ZETCQYMHSA-N -1 1 339.395 -0.702 20 0 EBADMM CC(C)(CCS(=O)(=O)[N-][C@H]1COCCC1=O)S(C)(=O)=O ZINC000625490667 376242231 /nfs/dbraw/zinc/24/22/31/376242231.db2.gz FXUABDCVBZWNBR-VIFPVBQESA-N -1 1 327.424 -0.523 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)CN1CC(=O)N(C)C1=O ZINC000625606559 376320283 /nfs/dbraw/zinc/32/02/83/376320283.db2.gz SXTQKVRQGQHCDL-UHFFFAOYSA-N -1 1 346.347 -0.438 20 0 EBADMM CCC[C@@H](NC(=O)[C@H]1CC(=O)N(c2cnn(C)c2)C1)c1nn[n-]n1 ZINC000626024732 376662207 /nfs/dbraw/zinc/66/22/07/376662207.db2.gz UDZUIGQRJZGXSR-GXSJLCMTSA-N -1 1 332.368 -0.056 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2ccc(Cl)nc2)c(=O)n(C)c1=O ZINC000079278920 376796988 /nfs/dbraw/zinc/79/69/88/376796988.db2.gz NVKBPJPSEVCREH-UHFFFAOYSA-N -1 1 344.780 -0.389 20 0 EBADMM COCC(COC)N1CCN(C(=O)CCCc2nn[n-]n2)CC1 ZINC000636022894 377148702 /nfs/dbraw/zinc/14/87/02/377148702.db2.gz SDVSSXOVCZXLTP-UHFFFAOYSA-N -1 1 326.401 -0.672 20 0 EBADMM O=S(=O)(CCCS(=O)(=O)N1CCCOC1)[N-]c1c[nH]cn1 ZINC000572736525 377172605 /nfs/dbraw/zinc/17/26/05/377172605.db2.gz ZSRSUMOPYGZMGG-UHFFFAOYSA-N -1 1 338.411 -0.449 20 0 EBADMM COC(=O)[C@@](C)(CCF)[N-]S(=O)(=O)[C@H]1CC(=O)N(C2CC2)C1 ZINC000601467266 377590540 /nfs/dbraw/zinc/59/05/40/377590540.db2.gz SOXNGKLBUSQUCD-GXFFZTMASA-N -1 1 336.385 -0.040 20 0 EBADMM CCOC(=O)c1c[n-]c(NC(=O)[C@@H]2CCCN2S(C)(=O)=O)n1 ZINC000591303544 377706953 /nfs/dbraw/zinc/70/69/53/377706953.db2.gz WJFQJHDEPUFTQK-VIFPVBQESA-N -1 1 330.366 -0.051 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@@H](OC)C1CC1)c1ccnn1C ZINC000592096860 377790367 /nfs/dbraw/zinc/79/03/67/377790367.db2.gz MXKQFMHRMKKIPF-VXGBXAGGSA-N -1 1 331.394 -0.021 20 0 EBADMM COCCOCCS(=O)(=O)[N-][C@H](C(=O)OC)c1ccnn1C ZINC000592092938 377797987 /nfs/dbraw/zinc/79/79/87/377797987.db2.gz RHTAHVUSPSFXNP-NSHDSACASA-N -1 1 335.382 -0.783 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@H](O)C[C@H](C(=O)OC)C2)co1 ZINC000592938414 377860639 /nfs/dbraw/zinc/86/06/39/377860639.db2.gz PMNSSKYSBMUEFC-WCBMZHEXSA-N -1 1 346.361 -0.816 20 0 EBADMM CCOC(=O)c1c[n-]c(NC(=O)CN2CCN(CC)C(=O)C2=O)n1 ZINC000593901843 377920682 /nfs/dbraw/zinc/92/06/82/377920682.db2.gz UAERQNWQQNGBLQ-UHFFFAOYSA-N -1 1 337.336 -0.784 20 0 EBADMM COC(=O)[C@H](CCF)[N-]S(=O)(=O)C[C@H](OC)[C@H]1CCOC1 ZINC000594797050 377954101 /nfs/dbraw/zinc/95/41/01/377954101.db2.gz UURJMKZWHVWTEY-DCAQKATOSA-N -1 1 327.374 -0.142 20 0 EBADMM CCOC(=O)[C@@H](CO)[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595317890 377997127 /nfs/dbraw/zinc/99/71/27/377997127.db2.gz FWAZRMBCVFLQFO-MRVPVSSYSA-N -1 1 335.334 -0.423 20 0 EBADMM CCOC(=O)[C@@](C)(O)C[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595327933 377998601 /nfs/dbraw/zinc/99/86/01/377998601.db2.gz GBOLSOVYNQGPIY-ZDUSSCGKSA-N -1 1 349.361 -0.033 20 0 EBADMM COCCCN(C)CC[N-]S(=O)(=O)c1cc(C(=O)OC)no1 ZINC000599241573 378207262 /nfs/dbraw/zinc/20/72/62/378207262.db2.gz IVISNRIMGRGKTN-UHFFFAOYSA-N -1 1 335.382 -0.292 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)[N-][C@@H](C)CN2CCN(C)CC2)on1 ZINC000599232117 378211078 /nfs/dbraw/zinc/21/10/78/378211078.db2.gz HMTVYSDKBZHIOE-JTQLQIEISA-N -1 1 346.409 -0.625 20 0 EBADMM C[C@H]1Cc2cc(C(=O)NCc3nn[n-]n3)ccc2N1S(C)(=O)=O ZINC000599334297 378231636 /nfs/dbraw/zinc/23/16/36/378231636.db2.gz KMMDHCLHDTVCLM-QMMMGPOBSA-N -1 1 336.377 -0.160 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)CNC(=O)c2ccsc2)n1 ZINC000338122236 378734832 /nfs/dbraw/zinc/73/48/32/378734832.db2.gz UCZYDHQBHWWWIM-UHFFFAOYSA-N -1 1 329.363 -0.362 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)CNC(=O)c2ccsc2)[n-]1 ZINC000338122236 378734834 /nfs/dbraw/zinc/73/48/34/378734834.db2.gz UCZYDHQBHWWWIM-UHFFFAOYSA-N -1 1 329.363 -0.362 20 0 EBADMM COC(=O)[C@@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])C[C@@H](C)O1 ZINC000338170033 378742667 /nfs/dbraw/zinc/74/26/67/378742667.db2.gz KXHZYAFUWCEYHE-KOLCDFICSA-N -1 1 337.332 -0.694 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC1([S@@](C)=O)CC1 ZINC000282682333 378753658 /nfs/dbraw/zinc/75/36/58/378753658.db2.gz WTYDBXASJSPSBM-HXUWFJFHSA-N -1 1 335.407 -0.224 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@H]1CN1CCOCC1 ZINC000337918032 378707260 /nfs/dbraw/zinc/70/72/60/378707260.db2.gz WGHBLEUNWLJTST-ZDUSSCGKSA-N -1 1 348.403 -0.160 20 0 EBADMM NC(=O)c1cccc(CNC(=O)CNC(=O)c2ncccc2[O-])c1 ZINC000337944760 378717208 /nfs/dbraw/zinc/71/72/08/378717208.db2.gz CLUOZCSAVNKFSG-UHFFFAOYSA-N -1 1 328.328 -0.068 20 0 EBADMM C[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1ccncc1F ZINC000337983356 378723024 /nfs/dbraw/zinc/72/30/24/378723024.db2.gz HJCIVYXXJBRFNQ-QMMMGPOBSA-N -1 1 342.352 -0.342 20 0 EBADMM CC(C)NC(=O)N1CCC[C@H]1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000366182327 378825093 /nfs/dbraw/zinc/82/50/93/378825093.db2.gz MGBHBJVOVMRJJZ-QWRGUYRKSA-N -1 1 337.384 -0.318 20 0 EBADMM COCCOCCS(=O)(=O)[N-]c1ccc(C(=O)NCCO)cc1 ZINC000339072479 378848021 /nfs/dbraw/zinc/84/80/21/378848021.db2.gz SEIZUVYOHMBDAT-UHFFFAOYSA-N -1 1 346.405 -0.187 20 0 EBADMM O=C(CN1CCCS1(=O)=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000339198676 378861422 /nfs/dbraw/zinc/86/14/22/378861422.db2.gz MKNYKMQBEJQLRT-SECBINFHSA-N -1 1 330.366 -0.483 20 0 EBADMM CCS(=O)(=O)C[C@@H](C)NC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000339370745 378908396 /nfs/dbraw/zinc/90/83/96/378908396.db2.gz BSXLLUUYRPBDKQ-MRVPVSSYSA-N -1 1 338.407 -0.259 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@H]2CCCC2(F)F)c(=O)n(C)c1=O ZINC000451829688 379070592 /nfs/dbraw/zinc/07/05/92/379070592.db2.gz XXIGJONXLJLKPF-MRVPVSSYSA-N -1 1 337.348 -0.202 20 0 EBADMM CC[C@](COC)(NC(=O)CNC(=O)c1ncccc1[O-])C(=O)OC ZINC000457279205 379185550 /nfs/dbraw/zinc/18/55/50/379185550.db2.gz IYTLJEZOVLFSMH-OAHLLOKOSA-N -1 1 339.348 -0.399 20 0 EBADMM COC[C@@](C)([N-]S(=O)(=O)c1ncn(C)c1Cl)C(=O)OC ZINC000268220266 379288570 /nfs/dbraw/zinc/28/85/70/379288570.db2.gz JHTSVEBCOSRTAY-SNVBAGLBSA-N -1 1 325.774 -0.070 20 0 EBADMM CCC(=O)N1CC[C@H](NC(=O)c2ccc(S(=O)(=O)[N-]C)o2)C1 ZINC000268591162 379316302 /nfs/dbraw/zinc/31/63/02/379316302.db2.gz UYLJLOIBPVVGDR-VIFPVBQESA-N -1 1 329.378 -0.072 20 0 EBADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)[C@@H]1CC(=O)NC(=O)N1 ZINC000613250209 379328197 /nfs/dbraw/zinc/32/81/97/379328197.db2.gz NDIOPMNWDRQOGM-VIFPVBQESA-N -1 1 344.324 -0.266 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H]2CN(C)CCN2C)c1 ZINC000270067036 379430315 /nfs/dbraw/zinc/43/03/15/379430315.db2.gz YIEOFFJDTAHDSA-NSHDSACASA-N -1 1 331.394 -0.410 20 0 EBADMM COCC(=O)N(C)CC(=O)NCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000270423923 379450076 /nfs/dbraw/zinc/45/00/76/379450076.db2.gz MGHDWOFLCWAUEJ-UHFFFAOYSA-N -1 1 335.364 -0.640 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@@H]1[N-]S(=O)(=O)C[C@]1(C)CC1(F)F ZINC000641605737 379449433 /nfs/dbraw/zinc/44/94/33/379449433.db2.gz FMICKUYNKIDZTI-YIZRAAEISA-N -1 1 333.378 -0.237 20 0 EBADMM COCCN1C[C@@H](C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)CC1=O ZINC000622611655 379450825 /nfs/dbraw/zinc/45/08/25/379450825.db2.gz HUSABELROCXEAT-GXTWGEPZSA-N -1 1 344.375 -0.100 20 0 EBADMM O=C(CN1CCCS1(=O)=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000622610032 379452431 /nfs/dbraw/zinc/45/24/31/379452431.db2.gz NZWDUMZKZPANDM-GFCCVEGCSA-N -1 1 336.377 -0.559 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@H]1[N-]S(=O)(=O)[C@H]1C[C@@H]2CC[C@H]1O2 ZINC000641605351 379453959 /nfs/dbraw/zinc/45/39/59/379453959.db2.gz ADCSVSWCKFJDFX-LXUNUROVSA-N -1 1 325.408 -0.962 20 0 EBADMM COCC(COC)S(=O)(=O)[N-]C[C@H](n1cncn1)C(F)(F)F ZINC000641639466 379458559 /nfs/dbraw/zinc/45/85/59/379458559.db2.gz AQAMJPZJJYUKNI-VIFPVBQESA-N -1 1 346.331 -0.038 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)CN2CCN(C(=O)[C@@H](C)O)CC2)cc1 ZINC000270857550 379483158 /nfs/dbraw/zinc/48/31/58/379483158.db2.gz JFYFYBMBEWCEOU-GFCCVEGCSA-N -1 1 349.387 -0.523 20 0 EBADMM CCC(CC)(C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)OC ZINC000490528347 379546986 /nfs/dbraw/zinc/54/69/86/379546986.db2.gz RGOUZLDDGABQCY-UHFFFAOYSA-N -1 1 333.410 -0.433 20 0 EBADMM Cc1cc(=O)[nH]cc1[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000271972567 379554113 /nfs/dbraw/zinc/55/41/13/379554113.db2.gz UXEXUKCCHJSJQQ-UHFFFAOYSA-N -1 1 326.334 -0.706 20 0 EBADMM C[C@@H](CN(C)C(=O)CN1C(=O)N[C@](C)(C2CC2)C1=O)c1nn[n-]n1 ZINC000273554803 379651877 /nfs/dbraw/zinc/65/18/77/379651877.db2.gz WHDWKWFGHVRADI-RMLUDKJBSA-N -1 1 335.368 -0.518 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H](CCO)c2ccco2)c(=O)n(C)c1=O ZINC000274346861 379685412 /nfs/dbraw/zinc/68/54/12/379685412.db2.gz CLRLMZKDLHIFOU-VIFPVBQESA-N -1 1 343.361 -0.921 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)c1c(C)nn(C)c1Cl)[C@H](C)O ZINC000274397038 379688814 /nfs/dbraw/zinc/68/88/14/379688814.db2.gz XAJNQJDUIUMCSJ-NKWVEPMBSA-N -1 1 325.774 -0.417 20 0 EBADMM C[C@H]1C[C@@H](CC[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCO1 ZINC000273657436 379656354 /nfs/dbraw/zinc/65/63/54/379656354.db2.gz ZPGJLNFZMCRSPP-QWRGUYRKSA-N -1 1 345.421 -0.433 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H]2CN(C)CCN2C)c1 ZINC000631943125 379788302 /nfs/dbraw/zinc/78/83/02/379788302.db2.gz NOQDAQAXVNKVLM-NSHDSACASA-N -1 1 329.422 -0.075 20 0 EBADMM Cn1cnnc1S(=O)(=O)[N-]C[C@@H](N1CCOCC1)C(F)(F)F ZINC000632333524 379847954 /nfs/dbraw/zinc/84/79/54/379847954.db2.gz DBQBXUUJHUETAA-MRVPVSSYSA-N -1 1 343.331 -0.644 20 0 EBADMM CC(C)[C@@H](NS(=O)(=O)C1CC1)C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000613475050 379826782 /nfs/dbraw/zinc/82/67/82/379826782.db2.gz LTDYVLPMRDUFKU-MWLCHTKSSA-N -1 1 344.441 -0.132 20 0 EBADMM C[C@H](CN(C)C(=O)CNS(=O)(=O)c1cccnc1)c1nn[n-]n1 ZINC000613474019 379826802 /nfs/dbraw/zinc/82/68/02/379826802.db2.gz DWJTZGOEWKDSPD-SECBINFHSA-N -1 1 339.381 -0.865 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@H]1[N-]S(=O)(=O)C[C@@H]1CCC1(F)F ZINC000632551587 379880684 /nfs/dbraw/zinc/88/06/84/379880684.db2.gz FFNDYSVGXYLDDR-DJLDLDEBSA-N -1 1 333.378 -0.237 20 0 EBADMM CCOC1CC(O)(CNC(=O)c2coc(S(=O)(=O)[N-]C)c2)C1 ZINC000277696974 379902771 /nfs/dbraw/zinc/90/27/71/379902771.db2.gz LSZHFZNLGNRTRX-UHFFFAOYSA-N -1 1 332.378 -0.153 20 0 EBADMM CC[C@H](C)[C@H](NS(C)(=O)=O)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000539323957 379995198 /nfs/dbraw/zinc/99/51/98/379995198.db2.gz AGYLBKIRLWRBBJ-DCAQKATOSA-N -1 1 344.441 -0.130 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H](CO)[C@H]2CCOC2)c1 ZINC000281109038 380007851 /nfs/dbraw/zinc/00/78/51/380007851.db2.gz XJZXAALPHFCFJX-ONGXEEELSA-N -1 1 333.362 -0.011 20 0 EBADMM C[C@@H](CN(C)C(=O)CCn1nc2ccccn2c1=O)c1nn[n-]n1 ZINC000542773360 380051459 /nfs/dbraw/zinc/05/14/59/380051459.db2.gz WRZDVNNYVROVJG-JTQLQIEISA-N -1 1 330.352 -0.339 20 0 EBADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCCN1CCNC(=O)C1)c2=O ZINC000543759627 380067817 /nfs/dbraw/zinc/06/78/17/380067817.db2.gz QEXLHJHIQVNBJE-UHFFFAOYSA-N -1 1 329.360 -0.195 20 0 EBADMM Cc1ccc2c([O-])c(C(=O)NCCN3CCNC(=O)C3)cnc2n1 ZINC000543759627 380067821 /nfs/dbraw/zinc/06/78/21/380067821.db2.gz QEXLHJHIQVNBJE-UHFFFAOYSA-N -1 1 329.360 -0.195 20 0 EBADMM O=C(N[C@H]1CCCC[C@@H]1N1CCOCC1)c1cc(=O)n2[n-]cnc2n1 ZINC000544780590 380080034 /nfs/dbraw/zinc/08/00/34/380080034.db2.gz MMDBRCJKWQSOTJ-AAEUAGOBSA-N -1 1 346.391 -0.209 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@H]2CC(=O)N(C3CCCC3)C2)n1 ZINC000354694874 380083134 /nfs/dbraw/zinc/08/31/34/380083134.db2.gz AYRWZJLLUPMIIS-QMMMGPOBSA-N -1 1 341.393 -0.062 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)[C@H]2CC(=O)N(C3CCCC3)C2)[n-]1 ZINC000354694874 380083139 /nfs/dbraw/zinc/08/31/39/380083139.db2.gz AYRWZJLLUPMIIS-QMMMGPOBSA-N -1 1 341.393 -0.062 20 0 EBADMM COC(=O)[C@@H](C)CS(=O)(=O)N[C@@H](CN1CCCCC1)C(=O)[O-] ZINC000547561645 380145405 /nfs/dbraw/zinc/14/54/05/380145405.db2.gz BJSQSCJGSAXYLB-QWRGUYRKSA-N -1 1 336.410 -0.346 20 0 EBADMM CC(C)(NC(=O)c1ccc(CN2C(=O)CNC2=O)cc1)c1nn[n-]n1 ZINC000354785598 380097878 /nfs/dbraw/zinc/09/78/78/380097878.db2.gz ILOKPUBMWYWWNY-UHFFFAOYSA-N -1 1 343.347 -0.083 20 0 EBADMM CNC(=O)C1CN(C(=O)Cc2c(C)nc(-c3ccncc3)[n-]c2=O)C1 ZINC000545951804 380104360 /nfs/dbraw/zinc/10/43/60/380104360.db2.gz LCPSSSDRGNFECG-UHFFFAOYSA-N -1 1 341.371 -0.113 20 0 EBADMM CNC(=O)CNC(=O)Nc1ccc([N-]S(C)(=O)=O)c(OC)c1 ZINC000355009867 380128940 /nfs/dbraw/zinc/12/89/40/380128940.db2.gz YBDSIMCWJPRPAT-UHFFFAOYSA-N -1 1 330.366 -0.066 20 0 EBADMM Cn1cc(-n2cc(C(=O)NC(C)(C)c3nn[n-]n3)ccc2=O)cn1 ZINC000355054537 380134656 /nfs/dbraw/zinc/13/46/56/380134656.db2.gz FZVVTOCKVXDMIV-UHFFFAOYSA-N -1 1 328.336 -0.251 20 0 EBADMM CCS(=O)(=O)N1CCC[C@@H](C(=O)N(C)C[C@@H](C)c2nn[n-]n2)C1 ZINC000548138853 380184078 /nfs/dbraw/zinc/18/40/78/380184078.db2.gz FMTKBVZAZOQNSW-GHMZBOCLSA-N -1 1 344.441 -0.177 20 0 EBADMM CCOCCOC[C@H](O)CNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000361617267 380326322 /nfs/dbraw/zinc/32/63/22/380326322.db2.gz BLBSZAVHIPBBTH-SNVBAGLBSA-N -1 1 338.364 -0.182 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)[C@@H]2CCC(=O)N(C)C2)o1 ZINC000552319611 380295847 /nfs/dbraw/zinc/29/58/47/380295847.db2.gz YJEIPYRQBJJKLV-SECBINFHSA-N -1 1 329.378 -0.120 20 0 EBADMM CC(C)[C@@H](CCO)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000361312885 380301086 /nfs/dbraw/zinc/30/10/86/380301086.db2.gz XIZZMNCJWMOTQM-SECBINFHSA-N -1 1 347.393 -0.820 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@]2(CC(=O)OC)CCOC2)o1 ZINC000362035773 380367306 /nfs/dbraw/zinc/36/73/06/380367306.db2.gz ICEPFPYPVOTBGH-CYBMUJFWSA-N -1 1 346.361 -0.360 20 0 EBADMM CN(C)C(=O)[C@H]1CCCN1C(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287852852 380376313 /nfs/dbraw/zinc/37/63/13/380376313.db2.gz CPOKZMBGCWHJAJ-ZDFPAGSVSA-N -1 1 344.375 -0.641 20 0 EBADMM Cn1[n-]cc2/c(=N\C(=O)N3CC[C@@H](NS(C)(=O)=O)C3)ccnc1-2 ZINC000287569711 380363294 /nfs/dbraw/zinc/36/32/94/380363294.db2.gz KUEOEZHULVLJCH-IUJQDPHBSA-N -1 1 338.393 -0.503 20 0 EBADMM Cn1nnc(C[N-]S(=O)(=O)c2cnn(Cc3ccccc3)c2)n1 ZINC000362982900 380449386 /nfs/dbraw/zinc/44/93/86/380449386.db2.gz QCSKVSGSIJUZEL-UHFFFAOYSA-N -1 1 333.377 -0.067 20 0 EBADMM Cc1ccn(C[C@](C)(O)C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c(=O)c1 ZINC000566771875 380488733 /nfs/dbraw/zinc/48/87/33/380488733.db2.gz IZRDGIKQRWLCOX-LRDDRELGSA-N -1 1 346.391 -0.173 20 0 EBADMM COCCCO[N-]C(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000367776556 380481756 /nfs/dbraw/zinc/48/17/56/380481756.db2.gz NPPNWBCWGRSMLT-ZDUSSCGKSA-N -1 1 329.397 -0.749 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H](CCO)C(C)(C)C)c(=O)n(C)c1=O ZINC000269708922 380523453 /nfs/dbraw/zinc/52/34/53/380523453.db2.gz MYJULZBTILVVOE-SNVBAGLBSA-N -1 1 333.410 -0.841 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC(C)(C)CCCO)c(=O)n(C)c1=O ZINC000271755046 380533909 /nfs/dbraw/zinc/53/39/09/380533909.db2.gz ZXPZPYWZGSBRBB-UHFFFAOYSA-N -1 1 333.410 -0.839 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCNC(=O)N(C)C ZINC000272840175 380540406 /nfs/dbraw/zinc/54/04/06/380540406.db2.gz SWJCXZHMNLINSF-UHFFFAOYSA-N -1 1 333.370 -0.864 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2C[NH2+]CCN2C)[n-]c2nc(=O)[n-]c(=O)c1-2 ZINC000567982913 380562404 /nfs/dbraw/zinc/56/24/04/380562404.db2.gz ZKQSWOMGILKINU-SECBINFHSA-N -1 1 332.364 -0.622 20 0 EBADMM C[C@@H](C1CC1)N(C)C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000573297473 380667234 /nfs/dbraw/zinc/66/72/34/380667234.db2.gz CZGUDXAWBSHIDH-QMMMGPOBSA-N -1 1 329.378 -0.086 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N2CC(C3CCC3)C2)o1 ZINC000341407796 380694402 /nfs/dbraw/zinc/69/44/02/380694402.db2.gz RTGJFRAIKZTYEV-UHFFFAOYSA-N -1 1 341.389 -0.085 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1CCC(=O)N(C)C1=O ZINC000572954184 380651316 /nfs/dbraw/zinc/65/13/16/380651316.db2.gz IDJSHMNTBPVRGX-NSHDSACASA-N -1 1 345.359 -0.142 20 0 EBADMM COC(=O)Cn1ccc([N-]S(=O)(=O)N2C[C@@H](C)OC[C@@H]2C)n1 ZINC000340976313 380659499 /nfs/dbraw/zinc/65/94/99/380659499.db2.gz NJIQLSZUDVLKTG-VHSXEESVSA-N -1 1 332.382 -0.178 20 0 EBADMM CS(=O)(=O)c1ccccc1S(=O)(=O)[N-]CC(F)(F)CO ZINC000341646150 380724619 /nfs/dbraw/zinc/72/46/19/380724619.db2.gz KHLVBZBRNGFVOS-UHFFFAOYSA-N -1 1 329.346 -0.004 20 0 EBADMM CCOCCO[N-]C(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000373474106 380752317 /nfs/dbraw/zinc/75/23/17/380752317.db2.gz WNDYIJLYDNDCBH-CYBMUJFWSA-N -1 1 329.397 -0.749 20 0 EBADMM CO[C@H]1C[C@H](c2nnc[nH]2)N(S(=O)(=O)[N-]CC(F)(F)F)C1 ZINC000343164465 380772129 /nfs/dbraw/zinc/77/21/29/380772129.db2.gz KTJWWABGAIDICK-NKWVEPMBSA-N -1 1 329.304 -0.037 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@@H]3CCC[C@@H]3[C@H]2C(N)=O)co1 ZINC000375495931 380852159 /nfs/dbraw/zinc/85/21/59/380852159.db2.gz OKGJZWLQLNHMRF-PEXQALLHSA-N -1 1 341.389 -0.086 20 0 EBADMM COCCc1ccnc([N-]S(=O)(=O)CCCS(N)(=O)=O)c1 ZINC000349464037 380880893 /nfs/dbraw/zinc/88/08/93/380880893.db2.gz ZFSZYPLBSQOXJE-UHFFFAOYSA-N -1 1 337.423 -0.309 20 0 EBADMM Cc1ccc([N-]S(=O)(=O)CCCS(=O)(=O)NCCO)nc1 ZINC000349603327 380892124 /nfs/dbraw/zinc/89/21/24/380892124.db2.gz NBSGOSDMHFUPFK-UHFFFAOYSA-N -1 1 337.423 -0.566 20 0 EBADMM O=C(CC[S@](=O)c1ccc2c(c1)OCCO2)NCc1nn[n-]n1 ZINC000610427378 381132492 /nfs/dbraw/zinc/13/24/92/381132492.db2.gz LQQBEMHDRWBGKU-QHCPKHFHSA-N -1 1 337.361 -0.215 20 0 EBADMM NC(=O)C[C@H](NS(=O)(=O)c1c(F)cc(F)cc1F)C(=O)[O-] ZINC000037908957 381189141 /nfs/dbraw/zinc/18/91/41/381189141.db2.gz XVWLUYRTYQXDDL-ZETCQYMHSA-N -1 1 326.252 -0.289 20 0 EBADMM Cn1cc(C(N)=O)cc1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000347723369 381253383 /nfs/dbraw/zinc/25/33/83/381253383.db2.gz SFWJAQPBOPEWPU-UHFFFAOYSA-N -1 1 338.345 -0.271 20 0 EBADMM O=C(CCn1nnc2ccccc2c1=O)NC1(c2nn[n-]n2)CC1 ZINC000348274854 381352725 /nfs/dbraw/zinc/35/27/25/381352725.db2.gz JZELWBHNTOMCBD-UHFFFAOYSA-N -1 1 326.320 -0.500 20 0 EBADMM CN(CC(=O)NC1(c2nn[n-]n2)CC1)S(=O)(=O)c1ccccc1 ZINC000348282748 381353123 /nfs/dbraw/zinc/35/31/23/381353123.db2.gz XOJZWWAGUIEOPR-UHFFFAOYSA-N -1 1 336.377 -0.374 20 0 EBADMM NC(=O)[C@@H]1[C@@H]2CCC[C@H]2CN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000348962156 381425958 /nfs/dbraw/zinc/42/59/58/381425958.db2.gz GPRLCOSICZBZOV-RBZYPMLTSA-N -1 1 332.360 -0.371 20 0 EBADMM NC(=O)[C@@H]1[C@@H]2CCC[C@@H]2CN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000348962157 381426539 /nfs/dbraw/zinc/42/65/39/381426539.db2.gz GPRLCOSICZBZOV-RULNRJAQSA-N -1 1 332.360 -0.371 20 0 EBADMM COC(=O)c1c[n-]c(S(=O)(=O)CC(=O)Nc2cc(C)nn2C)n1 ZINC000350218934 381512410 /nfs/dbraw/zinc/51/24/10/381512410.db2.gz JTOJJAPRQXTMNB-UHFFFAOYSA-N -1 1 341.349 -0.349 20 0 EBADMM O=C(CN1CCN(C(=O)c2cncc([O-])c2)CC1)N1CCOCC1 ZINC000332510009 381804020 /nfs/dbraw/zinc/80/40/20/381804020.db2.gz DBUNUMGLFJUMJG-UHFFFAOYSA-N -1 1 334.376 -0.596 20 0 EBADMM C[C@@H]1[C@H](NC(=O)CNC(=O)c2ncccc2[O-])CCS1(=O)=O ZINC000351971188 381854578 /nfs/dbraw/zinc/85/45/78/381854578.db2.gz QMLFPMBXNNDOPE-RKDXNWHRSA-N -1 1 327.362 -0.791 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H](C2CC2)C2CCC2)c(=O)n(C)c1=O ZINC000333144439 381892644 /nfs/dbraw/zinc/89/26/44/381892644.db2.gz JVRXVCWAKWNPDH-GFCCVEGCSA-N -1 1 327.406 -0.059 20 0 EBADMM C[C@@H]1C[C@H](C)CN(C(=O)CN2Cc3n[nH]c(=O)n3C[C@H]2C(=O)[O-])C1 ZINC000333605726 381940093 /nfs/dbraw/zinc/94/00/93/381940093.db2.gz RDUHJXBKZDOTQH-AXFHLTTASA-N -1 1 337.380 -0.243 20 0 EBADMM C[C@@H]1CCCC[C@@H]1NC(=O)CN1Cc2n[nH]c(=O)n2C[C@@H]1C(=O)[O-] ZINC000333655388 381946872 /nfs/dbraw/zinc/94/68/72/381946872.db2.gz ZTTYNGLLKMYDFA-OUAUKWLOSA-N -1 1 337.380 -0.053 20 0 EBADMM O=C(NC[C@H]1COc2ccccc2O1)c1cc(=O)n2[n-]cnc2n1 ZINC000352607688 382010890 /nfs/dbraw/zinc/01/08/90/382010890.db2.gz YJRUECDDNHXDHT-VIFPVBQESA-N -1 1 327.300 -0.013 20 0 EBADMM CS(=O)(=O)N[C@@H]1CCCC[C@H]1NCc1cc(=O)n2[n-]ccc2n1 ZINC000352412173 381981645 /nfs/dbraw/zinc/98/16/45/381981645.db2.gz CQCYXMVQPHISBA-VXGBXAGGSA-N -1 1 339.421 -0.027 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@H]2COCCN2C2CCCC2)n1 ZINC000352795513 382032731 /nfs/dbraw/zinc/03/27/31/382032731.db2.gz KESURNCZEDWWKZ-SNVBAGLBSA-N -1 1 343.409 -0.210 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)[C@H]2COCCN2C2CCCC2)[n-]1 ZINC000352795513 382032736 /nfs/dbraw/zinc/03/27/36/382032736.db2.gz KESURNCZEDWWKZ-SNVBAGLBSA-N -1 1 343.409 -0.210 20 0 EBADMM CC(C)C[C@H]1C(=O)NCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000353275077 382134431 /nfs/dbraw/zinc/13/44/31/382134431.db2.gz SWVSCOSWHDCQSV-NSHDSACASA-N -1 1 334.376 -0.110 20 0 EBADMM CC(C)(C)[C@H](CO)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000444763533 382159456 /nfs/dbraw/zinc/15/94/56/382159456.db2.gz VNKXDBJIFLDRPK-VIFPVBQESA-N -1 1 347.393 -0.820 20 0 EBADMM COC[C@@H](O)C[N-]S(=O)(=O)c1nc(C)n(C)c1Br ZINC000288334161 382171752 /nfs/dbraw/zinc/17/17/52/382171752.db2.gz XJDOCOBUEMZKDF-ZETCQYMHSA-N -1 1 342.215 -0.223 20 0 EBADMM CSc1nc(CNC(=O)CN2CCN(C)C(=O)C2)cc(=O)[n-]1 ZINC000640657050 382204008 /nfs/dbraw/zinc/20/40/08/382204008.db2.gz ZJZBQDCPWQVVRE-UHFFFAOYSA-N -1 1 325.394 -0.706 20 0 EBADMM CS(=O)(=O)NC[C@H]1COCCN1C(=O)c1ccc([O-])c(F)c1 ZINC000629569375 382231381 /nfs/dbraw/zinc/23/13/81/382231381.db2.gz BJRNFSOLZSUPFN-JTQLQIEISA-N -1 1 332.353 -0.079 20 0 EBADMM CCC1([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCOCC1 ZINC000328896307 155193249 /nfs/dbraw/zinc/19/32/49/155193249.db2.gz VQBNDJQBELHOJY-UHFFFAOYSA-N -1 1 331.394 -0.679 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CCCS(=O)(=O)C2)o1 ZINC000330771057 155308092 /nfs/dbraw/zinc/30/80/92/155308092.db2.gz OHCXNACWEXPVQR-MRVPVSSYSA-N -1 1 337.375 -0.078 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@@H]1CN2CCN1CC2 ZINC000178779738 154121563 /nfs/dbraw/zinc/12/15/63/154121563.db2.gz LHRQWPBNVWWVJL-CYBMUJFWSA-N -1 1 344.419 -0.702 20 0 EBADMM O=C(NCCNC(=O)c1nc2ccccc2c(=O)[n-]1)c1ccn[nH]1 ZINC000181317524 154129406 /nfs/dbraw/zinc/12/94/06/154129406.db2.gz PGDUKYRBUROTIJ-UHFFFAOYSA-N -1 1 326.316 -0.194 20 0 EBADMM C[C@H](C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1nccs1 ZINC000186694518 154144435 /nfs/dbraw/zinc/14/44/35/154144435.db2.gz VKCQGJKTMUROTJ-MRVPVSSYSA-N -1 1 344.418 -0.378 20 0 EBADMM CN(C)S(=O)(=O)CC[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000230996088 154167037 /nfs/dbraw/zinc/16/70/37/154167037.db2.gz IRGZDQBADHWTPT-UHFFFAOYSA-N -1 1 330.819 -0.757 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)[C@@H](CO)SC ZINC000230193021 154167394 /nfs/dbraw/zinc/16/73/94/154167394.db2.gz UQDWPBBKMMXMJG-IONNQARKSA-N -1 1 337.423 -0.023 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)[C@@H](CO)SC ZINC000230193026 154167443 /nfs/dbraw/zinc/16/74/43/154167443.db2.gz UQDWPBBKMMXMJG-VXNVDRBHSA-N -1 1 337.423 -0.023 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2[C@H]3Cc4ccccc4[C@@H]23)c(=O)n(C)c1=O ZINC000245985658 154179222 /nfs/dbraw/zinc/17/92/22/154179222.db2.gz HLCPHXZCGVIDOA-IACUBPJLSA-N -1 1 347.396 -0.299 20 0 EBADMM C[C@@H](NC(=O)c1n[nH]c2ccccc21)C(=O)NC[C@](C)(O)C(=O)[O-] ZINC000262363689 154226907 /nfs/dbraw/zinc/22/69/07/154226907.db2.gz HIPSUUCGQLKPEI-GLEZIHRCSA-N -1 1 334.332 -0.367 20 0 EBADMM CC(=O)[C@@H]1CC(C(=O)NN2CC(=O)[N-]C2=O)=NN1c1ccccc1 ZINC000263640676 154259189 /nfs/dbraw/zinc/25/91/89/154259189.db2.gz ALKUYZPEFBNJHT-LBPRGKRZSA-N -1 1 329.316 -0.207 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCNC(=O)[C@@H]2C(C)C)o1 ZINC000264076144 154273536 /nfs/dbraw/zinc/27/35/36/154273536.db2.gz CAMMEPRRCBVFOW-NSHDSACASA-N -1 1 329.378 -0.216 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)c1ccc(=O)n(C)n1 ZINC000265199499 154308466 /nfs/dbraw/zinc/30/84/66/154308466.db2.gz JSKBPLSBLXRQLE-UHFFFAOYSA-N -1 1 328.332 -0.337 20 0 EBADMM O=C(NN1CC(=O)[N-]C1=O)[C@H]1CCCN1C(=O)OCC(F)(F)F ZINC000266619608 154349849 /nfs/dbraw/zinc/34/98/49/154349849.db2.gz SQWMWIUWISBMAI-ZCFIWIBFSA-N -1 1 338.242 -0.267 20 0 EBADMM O=C(CCNC(=O)c1cc(F)ccc1[O-])N[C@H]1CCS(=O)(=O)C1 ZINC000267483043 154376869 /nfs/dbraw/zinc/37/68/69/154376869.db2.gz CTKTXQPBFWVVCI-JTQLQIEISA-N -1 1 344.364 -0.046 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@](C)(COC)C(=O)OC)o1 ZINC000268164706 154402921 /nfs/dbraw/zinc/40/29/21/154402921.db2.gz WOHSFXCFVCPHNG-LBPRGKRZSA-N -1 1 334.350 -0.504 20 0 EBADMM COCCC(=O)N1CCC([N-]S(=O)(=O)c2c(C)onc2N)CC1 ZINC000290703643 155007356 /nfs/dbraw/zinc/00/73/56/155007356.db2.gz IYLLVVMOZPHCAC-UHFFFAOYSA-N -1 1 346.409 -0.129 20 0 EBADMM COCc1nc2n(n1)C[C@H]([N-]S(=O)(=O)c1c(C)onc1N)CC2 ZINC000290744099 155008701 /nfs/dbraw/zinc/00/87/01/155008701.db2.gz METSBMIETMVRHL-MRVPVSSYSA-N -1 1 342.381 -0.404 20 0 EBADMM CCOC(=O)CCS(=O)(=O)N1CCC(c2nc(=O)[n-][nH]2)CC1 ZINC000294631270 155062586 /nfs/dbraw/zinc/06/25/86/155062586.db2.gz PHVVLPWUUYGNCH-UHFFFAOYSA-N -1 1 332.382 -0.440 20 0 EBADMM COCCCO[N-]C(=O)[C@@H]1CC(=O)N(c2cnn(CCOC)c2)C1 ZINC000295642764 155070115 /nfs/dbraw/zinc/07/01/15/155070115.db2.gz DLFWNKUHPFPUSI-GFCCVEGCSA-N -1 1 340.380 -0.033 20 0 EBADMM NC(=O)[C@@H](NC(=O)CNC(=O)c1ncccc1[O-])c1ccccc1 ZINC000343174854 156107475 /nfs/dbraw/zinc/10/74/75/156107475.db2.gz BFUFZWPSUQVFHO-ZDUSSCGKSA-N -1 1 328.328 -0.140 20 0 EBADMM CCN(C(=O)CNC(=O)c1ncccc1[O-])[C@@H]1CCS(=O)(=O)C1 ZINC000343179265 156109127 /nfs/dbraw/zinc/10/91/27/156109127.db2.gz JIDNDYDRNIWQEV-SNVBAGLBSA-N -1 1 341.389 -0.447 20 0 EBADMM CC(=O)NC[C@@H]1CCCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000343365469 156131447 /nfs/dbraw/zinc/13/14/47/156131447.db2.gz MMAHWHZFPNWZFW-LBPRGKRZSA-N -1 1 334.376 -0.108 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCO[C@H](Cn2cccn2)C1 ZINC000343618621 156149141 /nfs/dbraw/zinc/14/91/41/156149141.db2.gz HKKHCPITWMJWIT-LBPRGKRZSA-N -1 1 345.359 -0.359 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@H]1CCCS(=O)(=O)C1 ZINC000343622264 156149273 /nfs/dbraw/zinc/14/92/73/156149273.db2.gz KBRLFPDGBGKVNM-VIFPVBQESA-N -1 1 327.362 -0.790 20 0 EBADMM C[C@@H]1[C@H](C)[S@@](=O)CCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000343717900 156160504 /nfs/dbraw/zinc/16/05/04/156160504.db2.gz QYOUWVQNPNJMLZ-BNMUBRSASA-N -1 1 325.390 -0.115 20 0 EBADMM C[C@@H](CCS(C)(=O)=O)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000343848187 156172430 /nfs/dbraw/zinc/17/24/30/156172430.db2.gz KIQBJFZPHLAVAW-VIFPVBQESA-N -1 1 329.378 -0.544 20 0 EBADMM COc1ncc(NC(=O)CNC(=O)c2ncccc2[O-])cc1C(N)=O ZINC000343851541 156173166 /nfs/dbraw/zinc/17/31/66/156173166.db2.gz PMFWQPSJSSTPMR-UHFFFAOYSA-N -1 1 345.315 -0.342 20 0 EBADMM CNC(=O)CC1CCN(C(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000344023256 156197881 /nfs/dbraw/zinc/19/78/81/156197881.db2.gz UMXUNJMKTMNTDX-UHFFFAOYSA-N -1 1 334.376 -0.108 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NCCOCC2CC2)o1 ZINC000345466958 156334813 /nfs/dbraw/zinc/33/48/13/156334813.db2.gz QTXIEKSMLCCZCQ-UHFFFAOYSA-N -1 1 345.377 -0.800 20 0 EBADMM O=C(Cn1nnc2ccccc2c1=O)NC1(c2nn[n-]n2)CCCC1 ZINC000345536313 156343871 /nfs/dbraw/zinc/34/38/71/156343871.db2.gz IIIGFFZZDHSVCA-UHFFFAOYSA-N -1 1 340.347 -0.110 20 0 EBADMM CC1(C)C[C@H]1CNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000345634825 156351654 /nfs/dbraw/zinc/35/16/54/156351654.db2.gz HZXRKRRNMKUXMG-QMMMGPOBSA-N -1 1 329.378 -0.181 20 0 EBADMM COC(=O)CC[C@H]([N-]S(=O)(=O)Cc1cc(C)no1)C(=O)OC ZINC000345652085 156354954 /nfs/dbraw/zinc/35/49/54/156354954.db2.gz YLGRVJDDSHHCLC-JTQLQIEISA-N -1 1 334.350 -0.103 20 0 EBADMM Cc1ncc(NC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)cn1 ZINC000345800815 156361780 /nfs/dbraw/zinc/36/17/80/156361780.db2.gz TTYBZOULEJPENQ-UHFFFAOYSA-N -1 1 339.333 -0.606 20 0 EBADMM Cn1nccc1[C@H]1OCC[C@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000346103849 156385735 /nfs/dbraw/zinc/38/57/35/156385735.db2.gz JRQGJIMSSUXIPS-BMIGLBTASA-N -1 1 345.359 -0.103 20 0 EBADMM NS(=O)(=O)c1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)co1 ZINC000356027679 157040076 /nfs/dbraw/zinc/04/00/76/157040076.db2.gz BRCGHOGPYGFVPJ-UHFFFAOYSA-N -1 1 326.338 -0.361 20 0 EBADMM Cc1ccc2c(c1)C(=O)N(CC(=O)NC1(c3nn[n-]n3)CC1)C2=O ZINC000357068872 157116507 /nfs/dbraw/zinc/11/65/07/157116507.db2.gz APZBPJAVMZUIKU-UHFFFAOYSA-N -1 1 326.316 -0.090 20 0 EBADMM CN(C)S(=O)(=O)c1ccc(C(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000357067659 157116859 /nfs/dbraw/zinc/11/68/59/157116859.db2.gz FLJCIYXOIFIEIT-UHFFFAOYSA-N -1 1 336.377 -0.131 20 0 EBADMM C[C@H](CN(C)C(=O)CCCS(=O)(=O)N1CCCC1)c1nn[n-]n1 ZINC000358005875 157200386 /nfs/dbraw/zinc/20/03/86/157200386.db2.gz ZXFVGHUIVWBZQC-LLVKDONJSA-N -1 1 344.441 -0.033 20 0 EBADMM CC(C)OCCS(=O)(=O)NCC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000358375869 157238872 /nfs/dbraw/zinc/23/88/72/157238872.db2.gz AEHLTZIQUKIRRR-SNVBAGLBSA-N -1 1 348.429 -0.894 20 0 EBADMM CNS(=O)(=O)c1ccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)cn1 ZINC000358388857 157240932 /nfs/dbraw/zinc/24/09/32/157240932.db2.gz NOXBJKDXEDVQSI-MRVPVSSYSA-N -1 1 339.381 -0.622 20 0 EBADMM CCN(Cc1cn(C)nn1)C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000359566521 157331253 /nfs/dbraw/zinc/33/12/53/157331253.db2.gz VXLYPBSRTKIBIP-UHFFFAOYSA-N -1 1 327.366 -0.022 20 0 EBADMM CCN1CCO[C@@H](C(=O)Nc2cc(S(=O)(=O)NC)ccc2[O-])C1 ZINC000359680586 157336601 /nfs/dbraw/zinc/33/66/01/157336601.db2.gz KOUWCNJNRITXBX-CYBMUJFWSA-N -1 1 343.405 -0.041 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CCNC(=O)C2)c1 ZINC000359679939 157336783 /nfs/dbraw/zinc/33/67/83/157336783.db2.gz MYBGEMHBASJZJZ-QMMMGPOBSA-N -1 1 327.362 -0.235 20 0 EBADMM CC(C)(NC(=O)CCn1nnc2ccccc2c1=O)c1nn[n-]n1 ZINC000359780781 157341212 /nfs/dbraw/zinc/34/12/12/157341212.db2.gz DBCVYCFYOLRCPG-UHFFFAOYSA-N -1 1 328.336 -0.254 20 0 EBADMM CN(CC(=O)NC(C)(C)c1nn[n-]n1)S(=O)(=O)c1ccccc1 ZINC000359780247 157341492 /nfs/dbraw/zinc/34/14/92/157341492.db2.gz AMUUUZCUXWPYRD-UHFFFAOYSA-N -1 1 338.393 -0.128 20 0 EBADMM CC(C)(NC(=O)c1ccc([C@@]2(C)NC(=O)NC2=O)cc1)c1nn[n-]n1 ZINC000360125190 157358112 /nfs/dbraw/zinc/35/81/12/157358112.db2.gz WHZREMMCYPXTDN-OAHLLOKOSA-N -1 1 343.347 -0.081 20 0 EBADMM COCC[C@@H]([N-]S(=O)(=O)CC1(C(=O)OC)CCC1)C(=O)OC ZINC000360250614 157368605 /nfs/dbraw/zinc/36/86/05/157368605.db2.gz IVWSLSXAKXGIAV-SNVBAGLBSA-N -1 1 337.394 -0.173 20 0 EBADMM COCC[C@H]([N-]S(=O)(=O)CC1(C(=O)OC)CCC1)C(=O)OC ZINC000360250613 157369222 /nfs/dbraw/zinc/36/92/22/157369222.db2.gz IVWSLSXAKXGIAV-JTQLQIEISA-N -1 1 337.394 -0.173 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)[C@H]2CCCCNC2=O)o1 ZINC000360601275 157388065 /nfs/dbraw/zinc/38/80/65/157388065.db2.gz NEZCIMMIMRNYJV-VIFPVBQESA-N -1 1 329.378 -0.072 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCN3C(=O)CC[C@H]3C2)co1 ZINC000408040970 157706986 /nfs/dbraw/zinc/70/69/86/157706986.db2.gz AXUXYVQQHISABC-JTQLQIEISA-N -1 1 327.362 -0.366 20 0 EBADMM COCC1(CNC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)CC1 ZINC000655259999 418978167 /nfs/dbraw/zinc/97/81/67/418978167.db2.gz FPQJOEMLTHLHNA-UHFFFAOYSA-N -1 1 345.377 -0.800 20 0 EBADMM CC(=O)Nc1cccc(N2C(=O)C[C@H](NCc3nn[n-]n3)C2=O)c1 ZINC000653285173 419120597 /nfs/dbraw/zinc/12/05/97/419120597.db2.gz GGCPIQXSCODKTH-NSHDSACASA-N -1 1 329.320 -0.420 20 0 EBADMM COc1ccc(N2C(=O)C[C@@H](NCc3nn[n-]n3)C2=O)c(OC)c1 ZINC000653285745 419121759 /nfs/dbraw/zinc/12/17/59/419121759.db2.gz YFIVEEKHLIBLQF-SECBINFHSA-N -1 1 332.320 -0.361 20 0 EBADMM O=C(Nc1nn[n-]n1)c1cc(Cn2cc(Br)cn2)on1 ZINC000337538868 419211666 /nfs/dbraw/zinc/21/16/66/419211666.db2.gz HQFVMGBASZLXJK-UHFFFAOYSA-N -1 1 339.113 0.447 20 0 EBADMM COC1(CS(=O)(=O)[N-][C@@H]2CC(=O)N(C(C)C)C2=O)CCOCC1 ZINC000651589398 419212888 /nfs/dbraw/zinc/21/28/88/419212888.db2.gz CMQXVHYVSOUMEW-LLVKDONJSA-N -1 1 348.421 -0.363 20 0 EBADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ccc2[nH]c(=O)c(=O)[nH]c2c1 ZINC000346356779 419483215 /nfs/dbraw/zinc/48/32/15/419483215.db2.gz WVUFYZMHUZGMKV-UHFFFAOYSA-N -1 1 341.331 -0.071 20 0 EBADMM CC[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)[C@@H]1CCCCO1 ZINC000655665796 419500599 /nfs/dbraw/zinc/50/05/99/419500599.db2.gz IFBYSXJCWFSFOC-QWRGUYRKSA-N -1 1 345.421 -0.290 20 0 EBADMM Cc1[nH]c(=O)sc1S(=O)(=O)[N-]CCNC(=O)[C@@H]1CO[C@H](C)C1 ZINC000526242281 419604954 /nfs/dbraw/zinc/60/49/54/419604954.db2.gz VHTAMKHTBPHXPR-APPZFPTMSA-N -1 1 349.434 -0.023 20 0 EBADMM C[C@H](NC(=O)c1n[nH]c2ccccc21)C(=O)N1CCOC[C@H]1C(=O)[O-] ZINC000648451346 419787028 /nfs/dbraw/zinc/78/70/28/419787028.db2.gz QVVHBNYXCCGHFN-CABZTGNLSA-N -1 1 346.343 -0.007 20 0 EBADMM O=C(Cn1nc2n(c1=O)CCCC2)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000653030588 419783810 /nfs/dbraw/zinc/78/38/10/419783810.db2.gz KLGOQFBJSAFNLX-UHFFFAOYSA-N -1 1 348.363 -0.124 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2C[C@@H](OC(C)(C)C)C2)c(=O)n(C)c1=O ZINC000645841075 420521481 /nfs/dbraw/zinc/52/14/81/420521481.db2.gz WNYIFAMIUSRLRO-AOOOYVTPSA-N -1 1 345.421 -0.292 20 0 EBADMM CC(C)(C)OC(=O)N1CC(C)(OCC(=O)NN2CC(=O)[N-]C2=O)C1 ZINC000492078982 420568707 /nfs/dbraw/zinc/56/87/07/420568707.db2.gz SPLKKJWXXGKOIN-UHFFFAOYSA-N -1 1 342.352 -0.405 20 0 EBADMM CS(=O)(=O)c1nc(NC(=O)c2cccnc2OCC(F)F)n[n-]1 ZINC000340618358 420579239 /nfs/dbraw/zinc/57/92/39/420579239.db2.gz CNSIFZIDPMBGCZ-UHFFFAOYSA-N -1 1 347.303 0.499 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2cccnc2OCC(F)F)n1 ZINC000340618358 420579242 /nfs/dbraw/zinc/57/92/42/420579242.db2.gz CNSIFZIDPMBGCZ-UHFFFAOYSA-N -1 1 347.303 0.499 20 0 EBADMM CCc1oc(C(=O)[N-]c2ncn(CC(=O)NC)n2)cc1C(=O)OC ZINC000338523470 420582539 /nfs/dbraw/zinc/58/25/39/420582539.db2.gz IFCYDAUFYVTBLB-UHFFFAOYSA-N -1 1 335.320 0.218 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@H]2CCOC2(C)C)c(=O)n(C)c1=O ZINC000660094962 420730589 /nfs/dbraw/zinc/73/05/89/420730589.db2.gz MJCZADJHXLEHDS-SECBINFHSA-N -1 1 331.394 -0.823 20 0 EBADMM C[C@H](CNC(=O)c1c[nH]c2nc(=O)[n-]c(=O)c-2c1)N1CCN(C)CC1 ZINC000524416868 420732268 /nfs/dbraw/zinc/73/22/68/420732268.db2.gz JDWFEXUPKYVOKX-SNVBAGLBSA-N -1 1 346.391 -0.198 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC[C@]2(C)CC2(F)F)c(=O)n(C)c1=O ZINC000657159036 420920740 /nfs/dbraw/zinc/92/07/40/420920740.db2.gz QSLPLGCLEMKIMQ-LLVKDONJSA-N -1 1 337.348 -0.202 20 0 EBADMM Cn1nnnc1CC[N-]S(=O)(=O)c1ncccc1Br ZINC000656950131 420905109 /nfs/dbraw/zinc/90/51/09/420905109.db2.gz CWHAXMCLNMBVBK-UHFFFAOYSA-N -1 1 347.198 -0.111 20 0 EBADMM CO[C@H]1CS(=O)(=O)C[C@@H]1[N-]S(=O)(=O)CCC(F)(F)F ZINC000657099715 420914915 /nfs/dbraw/zinc/91/49/15/420914915.db2.gz HANSPORZROFXKT-BQBZGAKWSA-N -1 1 325.330 -0.330 20 0 EBADMM CO[C@H](CS(=O)(=O)[N-][C@@H]1CS(=O)(=O)C[C@H]1OC)C1CC1 ZINC000657100082 420916141 /nfs/dbraw/zinc/91/61/41/420916141.db2.gz JRSFVSJESRDPJP-GMTAPVOTSA-N -1 1 327.424 -0.857 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC[C@@]2(C)CC2(F)F)c(=O)n(C)c1=O ZINC000657159037 420919847 /nfs/dbraw/zinc/91/98/47/420919847.db2.gz QSLPLGCLEMKIMQ-NSHDSACASA-N -1 1 337.348 -0.202 20 0 EBADMM CCC(C)(C)N1CCN(C(=O)C(=O)N2CCO[C@H](C(=O)[O-])C2)CC1 ZINC000656103296 420880408 /nfs/dbraw/zinc/88/04/08/420880408.db2.gz ZSZWMPXDAAJOIH-LBPRGKRZSA-N -1 1 341.408 -0.369 20 0 EBADMM O=C(CSc1nnc(NC[C@@H]2CCCO2)s1)Nc1nnn[n-]1 ZINC000439278706 421223349 /nfs/dbraw/zinc/22/33/49/421223349.db2.gz QDACYTNAFIFECA-LURJTMIESA-N -1 1 342.410 0.373 20 0 EBADMM O=C(CSc1nnc(NC[C@@H]2CCCO2)s1)Nc1nn[n-]n1 ZINC000439278706 421223350 /nfs/dbraw/zinc/22/33/50/421223350.db2.gz QDACYTNAFIFECA-LURJTMIESA-N -1 1 342.410 0.373 20 0 EBADMM O=C([O-])[C@@H]1CC[C@H](NC(=O)C(=O)Nc2ccn(-c3ccncc3)n2)C1 ZINC000647492283 421292698 /nfs/dbraw/zinc/29/26/98/421292698.db2.gz YZNVZNSUQDFAJZ-MNOVXSKESA-N -1 1 343.343 0.575 20 0 EBADMM COc1ccnc(C[N-]S(=O)(=O)c2cnn3c2OCCC3)n1 ZINC000425189278 265086099 /nfs/dbraw/zinc/08/60/99/265086099.db2.gz ZRPHCPPNVQRWNK-UHFFFAOYSA-N -1 1 325.350 -0.057 20 0 EBADMM CCc1ccnc(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)c1 ZINC000435636933 265229158 /nfs/dbraw/zinc/22/91/58/265229158.db2.gz MLYCTSNBIMBDNX-UHFFFAOYSA-N -1 1 338.389 -0.480 20 0 EBADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)C(=O)NC3CC3)CC2)n1 ZINC000436095905 265237490 /nfs/dbraw/zinc/23/74/90/265237490.db2.gz CLXMJEZFEJOJQG-UHFFFAOYSA-N -1 1 335.364 -0.034 20 0 EBADMM CN(CC(=O)N[C@@H]1CCS(=O)(=O)C1)C(=O)c1cc(F)ccc1[O-] ZINC000436525094 265241758 /nfs/dbraw/zinc/24/17/58/265241758.db2.gz MDJRXKILTJAWMY-SNVBAGLBSA-N -1 1 344.364 -0.093 20 0 EBADMM CNC(=O)[C@H]1CCCN1C(=O)CNC(=O)C(=O)c1ccc([O-])cc1 ZINC000436982049 265243542 /nfs/dbraw/zinc/24/35/42/265243542.db2.gz FODRNPVQVGUMMC-GFCCVEGCSA-N -1 1 333.344 -0.572 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCNC(=O)c1ccc(O)cc1 ZINC000436992996 265243812 /nfs/dbraw/zinc/24/38/12/265243812.db2.gz ZSQNGCCHSAMHTR-UHFFFAOYSA-N -1 1 348.315 -0.238 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H](O)[C@H]1CCOC1 ZINC000443271875 265345207 /nfs/dbraw/zinc/34/52/07/265345207.db2.gz JPKMSRXMNPFTLM-WCBMZHEXSA-N -1 1 333.366 -0.738 20 0 EBADMM Cc1nc(C(=O)N[C@@H](C)C(=O)[O-])nn1-c1nnc(C(F)(F)F)[nH]1 ZINC000262485983 293180705 /nfs/dbraw/zinc/18/07/05/293180705.db2.gz FGJJPHKCYHNWCX-VKHMYHEASA-N -1 1 333.230 -0.084 20 0 EBADMM C[C@@H]1C[C@@H](C)CN(C(=O)CN2Cc3n[nH]c(=O)n3C[C@@H]2C(=O)[O-])C1 ZINC000333605731 297224039 /nfs/dbraw/zinc/22/40/39/297224039.db2.gz RDUHJXBKZDOTQH-GMTAPVOTSA-N -1 1 337.380 -0.243 20 0 EBADMM CO[C@H]1C[C@H]([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)C12CCC2 ZINC000668270484 545651738 /nfs/dbraw/zinc/65/17/38/545651738.db2.gz OHONXDLLHBBQCE-QWRGUYRKSA-N -1 1 343.405 -0.680 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCOC[C@]23CCOC3)co1 ZINC000669625543 545916526 /nfs/dbraw/zinc/91/65/26/545916526.db2.gz BGPPYXYQYAGRRT-CYBMUJFWSA-N -1 1 330.362 -0.181 20 0 EBADMM O=S(=O)(CCCS(=O)(=O)N1CC[C@H](F)C1)[N-]CC(F)F ZINC000349513964 545936090 /nfs/dbraw/zinc/93/60/90/545936090.db2.gz YMRSFJMJIFVQDT-QMMMGPOBSA-N -1 1 338.373 -0.065 20 0 EBADMM O=C(Cn1nc2ccccn2c1=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000670384299 545995041 /nfs/dbraw/zinc/99/50/41/545995041.db2.gz MBXMYWFZCJTCTQ-SNVBAGLBSA-N -1 1 344.331 -0.009 20 0 EBADMM CC1(C)C(=O)NC(=O)N1CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000670383460 545995162 /nfs/dbraw/zinc/99/51/62/545995162.db2.gz OUJUKRVNOWQOCH-QMMMGPOBSA-N -1 1 337.336 -0.188 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccc[nH]c2=O)o1 ZINC000673653191 546145198 /nfs/dbraw/zinc/14/51/98/546145198.db2.gz JCNSAJMEFFGFMW-UHFFFAOYSA-N -1 1 340.317 -0.604 20 0 EBADMM CN(C)C(=O)CN1CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000675287569 546182586 /nfs/dbraw/zinc/18/25/86/546182586.db2.gz FWQAIGPUXDWOBP-UHFFFAOYSA-N -1 1 343.387 -0.231 20 0 EBADMM Cc1cc(C(=O)NC[C@@](C)(O)C[NH+](C)C)[n-]c2nc(=O)[n-]c(=O)c1-2 ZINC000676997886 546222060 /nfs/dbraw/zinc/22/20/60/546222060.db2.gz IWQWSNTVKWXMER-OAHLLOKOSA-N -1 1 335.364 -0.213 20 0 EBADMM CNC(=O)[C@@H](CO)[N-]S(=O)(=O)Cc1cc(-c2ccccc2)no1 ZINC000684587524 546373906 /nfs/dbraw/zinc/37/39/06/546373906.db2.gz AUGJXBNQIAULHQ-CYBMUJFWSA-N -1 1 339.373 -0.132 20 0 EBADMM CS(=O)(=O)C1(CNC(=O)CCn2cc[n-]c(=O)c2=O)CCCC1 ZINC000804700135 582533854 /nfs/dbraw/zinc/53/38/54/582533854.db2.gz QDVYMUNTCROCAX-UHFFFAOYSA-N -1 1 343.405 -0.600 20 0 EBADMM NS(=O)(=O)N1CCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)CC1 ZINC000737764971 582619745 /nfs/dbraw/zinc/61/97/45/582619745.db2.gz LCIKXIGVQIEYOR-UHFFFAOYSA-N -1 1 344.788 -0.759 20 0 EBADMM NS(=O)(=O)N1CCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)CC1 ZINC000737764971 582619747 /nfs/dbraw/zinc/61/97/47/582619747.db2.gz LCIKXIGVQIEYOR-UHFFFAOYSA-N -1 1 344.788 -0.759 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1ccc2c(c1)NC(=O)CO2 ZINC000727848607 582660192 /nfs/dbraw/zinc/66/01/92/582660192.db2.gz NKPNMEPQMAGUQY-UHFFFAOYSA-N -1 1 330.300 -0.104 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(CC(F)(F)F)CC1 ZINC000727848992 582660947 /nfs/dbraw/zinc/66/09/47/582660947.db2.gz RKCSALMCAHJGTF-UHFFFAOYSA-N -1 1 334.298 -0.367 20 0 EBADMM CCOC(=O)[C@H](CC1CC1)[N-]S(=O)(=O)C[C@H]1CN(C)CCO1 ZINC000866912579 582675618 /nfs/dbraw/zinc/67/56/18/582675618.db2.gz KHNKQRSOKHYCCW-OLZOCXBDSA-N -1 1 334.438 -0.032 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC(=O)N3CCC[C@@H]32)o1 ZINC000888178083 582687836 /nfs/dbraw/zinc/68/78/36/582687836.db2.gz HWAXNIFOYXDSOJ-JTQLQIEISA-N -1 1 327.362 -0.018 20 0 EBADMM COC[C@]1(C(=O)[N-]S(=O)(=O)c2cccc(C)c2)CNCCO1 ZINC000901011663 582788446 /nfs/dbraw/zinc/78/84/46/582788446.db2.gz XFLYVHDBZBZESA-AWEZNQCLSA-N -1 1 328.390 -0.195 20 0 EBADMM O=C([N-]S(=O)(=O)Cc1ccc2c(c1)COC2)[C@H]1CNCCO1 ZINC000901055937 582789990 /nfs/dbraw/zinc/78/99/90/582789990.db2.gz VTKZVMFYFFIYFP-CYBMUJFWSA-N -1 1 326.374 -0.349 20 0 EBADMM CC[C@H](C)[C@@H](C(=O)[O-])N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000903594830 582813954 /nfs/dbraw/zinc/81/39/54/582813954.db2.gz HBDXIMYJOVZRPH-STQMWFEESA-N -1 1 349.453 -0.320 20 0 EBADMM COC(=O)COc1cccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c1 ZINC000732089237 582844234 /nfs/dbraw/zinc/84/42/34/582844234.db2.gz MAQGCHIGBJGUKI-GFCCVEGCSA-N -1 1 347.331 -0.035 20 0 EBADMM O=C(c1cnn(-c2ccccc2)n1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000732091130 582844488 /nfs/dbraw/zinc/84/44/88/582844488.db2.gz SJMUUPYFEMNQQC-LBPRGKRZSA-N -1 1 326.320 -0.006 20 0 EBADMM NC(=O)CN(Cc1ccccc1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000732831771 582854302 /nfs/dbraw/zinc/85/43/02/582854302.db2.gz YXKSLGAMFFCXKG-UHFFFAOYSA-N -1 1 330.344 -0.559 20 0 EBADMM C[C@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000733275129 582859083 /nfs/dbraw/zinc/85/90/83/582859083.db2.gz GFEIABDEHBRORP-ZJUUUORDSA-N -1 1 331.394 -0.793 20 0 EBADMM CC(C)(C)c1nc(-c2nn[n-]n2)c(NNC(=S)NCC(N)=O)o1 ZINC000735657060 582902576 /nfs/dbraw/zinc/90/25/76/582902576.db2.gz NYAFGDULTBXBIW-UHFFFAOYSA-N -1 1 339.385 -0.572 20 0 EBADMM CC(C)[C@@H](OC(=O)c1ccc(-c2nnn[n-]2)nc1)C(=O)NC(N)=O ZINC000735732576 582904468 /nfs/dbraw/zinc/90/44/68/582904468.db2.gz BNDNPTLOKZOYNZ-SECBINFHSA-N -1 1 333.308 -0.362 20 0 EBADMM CC(C)[C@@H](OC(=O)c1ccc(-c2nn[n-]n2)nc1)C(=O)NC(N)=O ZINC000735732576 582904469 /nfs/dbraw/zinc/90/44/69/582904469.db2.gz BNDNPTLOKZOYNZ-SECBINFHSA-N -1 1 333.308 -0.362 20 0 EBADMM CC(C)[C@@H](NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)C(N)=O ZINC000735734199 582904870 /nfs/dbraw/zinc/90/48/70/582904870.db2.gz LQKZMXIYLIVTOQ-SECBINFHSA-N -1 1 325.354 -0.950 20 0 EBADMM CC(C)[C@@H](NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)C(N)=O ZINC000735734199 582904874 /nfs/dbraw/zinc/90/48/74/582904874.db2.gz LQKZMXIYLIVTOQ-SECBINFHSA-N -1 1 325.354 -0.950 20 0 EBADMM CC(C)[C@@H](NC(=O)[O-])C(=O)N1CCO[C@@H](CN2CCN(C)CC2)C1 ZINC000736362046 582931426 /nfs/dbraw/zinc/93/14/26/582931426.db2.gz FHUNIESMQCROBX-UONOGXRCSA-N -1 1 342.440 -0.247 20 0 EBADMM CC(C)Oc1ccc(C(=O)[O-])cc1NC(=O)C(=O)NCc1nn[nH]n1 ZINC000736487213 582935583 /nfs/dbraw/zinc/93/55/83/582935583.db2.gz INCWYABVNSCWJU-UHFFFAOYSA-N -1 1 348.319 -0.060 20 0 EBADMM CCCOC(=O)CCNc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000736489688 582935759 /nfs/dbraw/zinc/93/57/59/582935759.db2.gz ZUTXZMXZFNWATL-UHFFFAOYSA-N -1 1 337.340 -0.981 20 0 EBADMM C[C@@H](CNS(=O)(=O)N1CCC(C(=O)[O-])CC1)N1CCN(C)CC1 ZINC000736603889 582946413 /nfs/dbraw/zinc/94/64/13/582946413.db2.gz AUHYOXJWWBMRFW-LBPRGKRZSA-N -1 1 348.469 -0.747 20 0 EBADMM C[C@@H]1C[C@H](C)CN(C(=O)CN2CCN(C[C@](C)(O)C(=O)[O-])CC2)C1 ZINC000736750673 582953499 /nfs/dbraw/zinc/95/34/99/582953499.db2.gz QSHDEDISRBZQBQ-VBQJREDUSA-N -1 1 341.452 -0.056 20 0 EBADMM C[C@@H]1CN(CCCNC(=O)N2CCO[C@@H](C(=O)[O-])C2)C[C@H](C)O1 ZINC000736811018 582958993 /nfs/dbraw/zinc/95/89/93/582958993.db2.gz QBDKZHDEPOQEFA-FRRDWIJNSA-N -1 1 329.397 -0.019 20 0 EBADMM C[C@@H]1CN(CCCNC(=O)N2CCO[C@H](C(=O)[O-])C2)C[C@@H](C)O1 ZINC000736811022 582959033 /nfs/dbraw/zinc/95/90/33/582959033.db2.gz QBDKZHDEPOQEFA-UPJWGTAASA-N -1 1 329.397 -0.019 20 0 EBADMM CC[C@H](C)NC(=O)CN1CCN(CCC(=O)NCC(=O)[O-])CC1 ZINC000736887617 582969736 /nfs/dbraw/zinc/96/97/36/582969736.db2.gz SVDAMRFDVILPNM-LBPRGKRZSA-N -1 1 328.413 -0.890 20 0 EBADMM COC(=O)[C@@H](C)CSc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000736892204 582969811 /nfs/dbraw/zinc/96/98/11/582969811.db2.gz NPFAPJACKVQXDG-LURJTMIESA-N -1 1 340.365 -0.835 20 0 EBADMM CC[C@H]1CN(CCn2cccn2)CCN1[C@@H](C)C(=O)NCC(=O)[O-] ZINC000736943577 582976582 /nfs/dbraw/zinc/97/65/82/582976582.db2.gz OSMYLAQFPKXMHM-KBPBESRZSA-N -1 1 337.424 -0.131 20 0 EBADMM COCCN(CC(=O)N(C)C)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736990184 582980415 /nfs/dbraw/zinc/98/04/15/582980415.db2.gz KKMWVWITVYESRK-UHFFFAOYSA-N -1 1 333.352 -0.562 20 0 EBADMM COCCN(CC(=O)N(C)C)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736990184 582980416 /nfs/dbraw/zinc/98/04/16/582980416.db2.gz KKMWVWITVYESRK-UHFFFAOYSA-N -1 1 333.352 -0.562 20 0 EBADMM C[S@@](=O)CCCNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737174924 582995465 /nfs/dbraw/zinc/99/54/65/582995465.db2.gz YHAQLVWHYBPJKO-HXUWFJFHSA-N -1 1 330.395 -0.691 20 0 EBADMM C[S@@](=O)CCCNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737174924 582995469 /nfs/dbraw/zinc/99/54/69/582995469.db2.gz YHAQLVWHYBPJKO-HXUWFJFHSA-N -1 1 330.395 -0.691 20 0 EBADMM CCn1ccnc1CN1CCN(CCC(=O)N(C)CC(=O)[O-])CC1 ZINC000737304338 583004806 /nfs/dbraw/zinc/00/48/06/583004806.db2.gz ZULZDFQGOBQOMM-UHFFFAOYSA-N -1 1 337.424 -0.046 20 0 EBADMM CN(CC(=O)Nc1ccn(CC(=O)[O-])n1)c1ncnc2[nH]cnc21 ZINC000737399203 583007137 /nfs/dbraw/zinc/00/71/37/583007137.db2.gz DRBLXRKMJKEVPD-UHFFFAOYSA-N -1 1 330.308 -0.291 20 0 EBADMM CN1CCN(C[C@H]2CN(C(=O)NCCCCC(=O)[O-])CCO2)CC1 ZINC000737525951 583012132 /nfs/dbraw/zinc/01/21/32/583012132.db2.gz IOFIPXCUTMHZPP-AWEZNQCLSA-N -1 1 342.440 -0.101 20 0 EBADMM COC(=O)[C@@H]1CN(C[C@H](C)CN2CCO[C@H](C(=O)[O-])C2)CCO1 ZINC000737587837 583017889 /nfs/dbraw/zinc/01/78/89/583017889.db2.gz VEOYVAYTBFORLN-AGIUHOORSA-N -1 1 330.381 -0.718 20 0 EBADMM CN1CC(=O)N(CC(=O)OCCC[N-]C(=O)C(F)(F)F)C1=O ZINC000774908092 583018408 /nfs/dbraw/zinc/01/84/08/583018408.db2.gz JJWZVUZLEUKHQH-UHFFFAOYSA-N -1 1 325.243 -0.508 20 0 EBADMM O=C(c1sccc1-c1nn[nH]n1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000738289087 583047371 /nfs/dbraw/zinc/04/73/71/583047371.db2.gz GHGWRRWDFRVCRS-ZETCQYMHSA-N -1 1 333.337 -0.345 20 0 EBADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N(C1CC1)[C@H]1CC(=O)NC1=O ZINC000738300335 583047485 /nfs/dbraw/zinc/04/74/85/583047485.db2.gz DKYCZTWIYRRCPY-JTQLQIEISA-N -1 1 327.304 -0.719 20 0 EBADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N(C1CC1)[C@H]1CC(=O)NC1=O ZINC000738300335 583047488 /nfs/dbraw/zinc/04/74/88/583047488.db2.gz DKYCZTWIYRRCPY-JTQLQIEISA-N -1 1 327.304 -0.719 20 0 EBADMM O=C1CN(S(=O)(=O)c2ccc(Cl)cc2-c2nn[n-]n2)CCN1 ZINC000738306415 583049217 /nfs/dbraw/zinc/04/92/17/583049217.db2.gz GXONTDDCTJAWPJ-UHFFFAOYSA-N -1 1 342.768 -0.359 20 0 EBADMM CN1C(=O)COc2ccc(NC(=O)CCn3cc[n-]c(=O)c3=O)cc21 ZINC000743802777 583087994 /nfs/dbraw/zinc/08/79/94/583087994.db2.gz VGVGVGQRKWUAJI-UHFFFAOYSA-N -1 1 344.327 -0.079 20 0 EBADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@H]1CCN(C(C)C)C1=O ZINC000751105208 583142341 /nfs/dbraw/zinc/14/23/41/583142341.db2.gz QYDBKRQJLKFSMI-VIFPVBQESA-N -1 1 344.393 -0.208 20 0 EBADMM CCNC(=O)NC(=O)[C@H](C)OC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000756400567 583183161 /nfs/dbraw/zinc/18/31/61/583183161.db2.gz DQBCURSKNBPYDC-ZETCQYMHSA-N -1 1 347.349 -0.421 20 0 EBADMM COC[C@H](NC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1)C(N)=O ZINC000760062802 583223105 /nfs/dbraw/zinc/22/31/05/583223105.db2.gz SMUFVNMCBODXKS-QMMMGPOBSA-N -1 1 347.393 -0.414 20 0 EBADMM C[C@@H](C(=O)OCc1nc(=O)n(C)[n-]1)N1C(=O)[C@H]2CCCC[C@@H]2C1=O ZINC000765417234 583281828 /nfs/dbraw/zinc/28/18/28/583281828.db2.gz POUUIIQFTYIPPF-GUBZILKMSA-N -1 1 336.348 -0.285 20 0 EBADMM C[C@H]1Oc2ccccc2N(CC(=O)OCc2nc(=O)n(C)[n-]2)C1=O ZINC000765431232 583282271 /nfs/dbraw/zinc/28/22/71/583282271.db2.gz KKPKVTPXPUKVSZ-SECBINFHSA-N -1 1 332.316 -0.034 20 0 EBADMM CCn1c2ccccc2n(CC(=O)OCc2nc(=O)n(C)[n-]2)c1=O ZINC000765432634 583282354 /nfs/dbraw/zinc/28/23/54/583282354.db2.gz DZOCOCDRGUFPSU-UHFFFAOYSA-N -1 1 331.332 -0.012 20 0 EBADMM Cn1[n-]c(COC(=O)c2ccc(S(N)(=O)=O)c(Cl)c2)nc1=O ZINC000765466675 583282786 /nfs/dbraw/zinc/28/27/86/583282786.db2.gz WKZYJTXSJCWJJG-UHFFFAOYSA-N -1 1 346.752 -0.234 20 0 EBADMM Cn1[n-]c(COC(=O)CN2CCN(c3ccccn3)CC2)nc1=O ZINC000765482943 583283557 /nfs/dbraw/zinc/28/35/57/583283557.db2.gz RLUBHGLPCNODQW-UHFFFAOYSA-N -1 1 332.364 -0.631 20 0 EBADMM CCOC(=O)[C@@H](COC)OC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000766311470 583293273 /nfs/dbraw/zinc/29/32/73/583293273.db2.gz KGHGSEHENSLXFG-SECBINFHSA-N -1 1 335.334 -0.077 20 0 EBADMM CCOC(=O)[C@](C)(O)C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000767850222 583310453 /nfs/dbraw/zinc/31/04/53/583310453.db2.gz RQAMYHGTFRSJSA-SNVBAGLBSA-N -1 1 325.774 -0.334 20 0 EBADMM C[C@@H]1CCCN(S(=O)(=O)NNc2nc(=O)c3cnn(C)c3[n-]2)C1 ZINC000769832681 583328529 /nfs/dbraw/zinc/32/85/29/583328529.db2.gz MLRGMOFAOBFSPB-MRVPVSSYSA-N -1 1 341.397 -0.038 20 0 EBADMM CN1C(=O)CN([N-]C(=O)c2cc(N3CCNC3=O)ccc2F)C1=O ZINC000770452744 583337199 /nfs/dbraw/zinc/33/71/99/583337199.db2.gz JWCMTLBJSIVKDX-UHFFFAOYSA-N -1 1 335.295 -0.106 20 0 EBADMM CNS(=O)(=O)c1ccc(NC(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000770484543 583338184 /nfs/dbraw/zinc/33/81/84/583338184.db2.gz MWTZLAVZVQALKU-UHFFFAOYSA-N -1 1 327.322 -0.817 20 0 EBADMM O=C(Cn1cc(NC(=O)NN2CC(=O)[N-]C2=O)cn1)NC1CCCC1 ZINC000770515913 583338878 /nfs/dbraw/zinc/33/88/78/583338878.db2.gz CTOWRTJHWSSFBU-UHFFFAOYSA-N -1 1 349.351 -0.470 20 0 EBADMM COc1ccccc1[C@@H](CO)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000771138078 583345833 /nfs/dbraw/zinc/34/58/33/583345833.db2.gz NOPJSOVNDDWXSK-GFCCVEGCSA-N -1 1 333.344 -0.215 20 0 EBADMM CCNS(=O)(=O)CC[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000771949087 583353451 /nfs/dbraw/zinc/35/34/51/583353451.db2.gz QIYISYRNVLZNLT-UHFFFAOYSA-N -1 1 327.453 -0.022 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@@H]2CCN(C)C2=O)cc1 ZINC000772515690 583361170 /nfs/dbraw/zinc/36/11/70/583361170.db2.gz WGSRPGYUJNTHQV-GFCCVEGCSA-N -1 1 334.328 -0.027 20 0 EBADMM O=C(CN1CCCS1(=O)=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774924072 583392596 /nfs/dbraw/zinc/39/25/96/583392596.db2.gz PHLPBJPHLQPNBO-UHFFFAOYSA-N -1 1 332.300 -0.366 20 0 EBADMM C[C@@H]1CCN(S(=O)(=O)[N-]c2ccn(CCS(C)(=O)=O)n2)C1 ZINC000777935445 583416360 /nfs/dbraw/zinc/41/63/60/583416360.db2.gz NUGUOVFCDVENIJ-SNVBAGLBSA-N -1 1 336.439 -0.074 20 0 EBADMM CCOC(=O)N1CCN(C(=O)[C@@H](C)OC(=O)c2cn[n-]n2)CC1 ZINC000805602889 583418558 /nfs/dbraw/zinc/41/85/58/583418558.db2.gz DPKLRAFNVZGZCD-SECBINFHSA-N -1 1 325.325 -0.349 20 0 EBADMM CCCN(C(=O)[C@@H](C)OC(=O)c1cn[n-]n1)[C@H]1CCS(=O)(=O)C1 ZINC000805603701 583418970 /nfs/dbraw/zinc/41/89/70/583418970.db2.gz SOHMWSKWEJYOQH-ZJUUUORDSA-N -1 1 344.393 -0.224 20 0 EBADMM CC(C)N(CCCNC(=O)COC(=O)c1cn[n-]n1)S(C)(=O)=O ZINC000805607112 583421606 /nfs/dbraw/zinc/42/16/06/583421606.db2.gz HBEMOEOAHLRQJN-UHFFFAOYSA-N -1 1 347.397 -0.862 20 0 EBADMM O=C(N[C@H]1CN(Cc2ccccc2)CC[C@@H]1CO)c1cc(=O)[nH][n-]1 ZINC000779238811 583432190 /nfs/dbraw/zinc/43/21/90/583432190.db2.gz QEVATIQZYIOODJ-HIFRSBDPSA-N -1 1 330.388 -0.139 20 0 EBADMM COC(=O)c1cc(OC)ccc1NC(=O)C(=O)NCc1nn[n-]n1 ZINC000781517251 583458129 /nfs/dbraw/zinc/45/81/29/583458129.db2.gz OFUTWYAMUFHATF-UHFFFAOYSA-N -1 1 334.292 -0.750 20 0 EBADMM CSCCCOC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000786198469 583507183 /nfs/dbraw/zinc/50/71/83/583507183.db2.gz SCPQXNQVZBEOIQ-UHFFFAOYSA-N -1 1 336.391 -0.047 20 0 EBADMM C[C@@H](OC(=O)c1cc(=O)[n-]c(N(C)C)n1)C(=O)NC(=O)NC1CC1 ZINC000786496277 583510494 /nfs/dbraw/zinc/51/04/94/583510494.db2.gz IEDQBIYHAVRUQR-SSDOTTSWSA-N -1 1 337.336 -0.218 20 0 EBADMM CSCCO[N-]C(=O)C(=O)NC[C@H](C(C)C)N1CCN(C)CC1 ZINC000786649224 583512200 /nfs/dbraw/zinc/51/22/00/583512200.db2.gz IVMPRNNENMJWHE-CYBMUJFWSA-N -1 1 346.497 -0.215 20 0 EBADMM CSCCO[N-]C(=O)C(=O)NC[C@@H](C(C)C)N1CCN(C)CC1 ZINC000786649225 583512363 /nfs/dbraw/zinc/51/23/63/583512363.db2.gz IVMPRNNENMJWHE-ZDUSSCGKSA-N -1 1 346.497 -0.215 20 0 EBADMM Cn1nnc2cc(C(=O)N3CCSC[C@@H]3c3nn[n-]n3)cnc21 ZINC000787902330 583524210 /nfs/dbraw/zinc/52/42/10/583524210.db2.gz MKUQGVMMGJUVFI-SECBINFHSA-N -1 1 331.365 -0.193 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CC(C(N)=O)=NO2)o1 ZINC000790007268 583540682 /nfs/dbraw/zinc/54/06/82/583540682.db2.gz IRUXZOUAYQDMQG-ZETCQYMHSA-N -1 1 345.333 -0.635 20 0 EBADMM COc1cc2c(c(OC)c1)S(=O)(=O)N(Cc1nn[n-]n1)C2=O ZINC000790029172 583541132 /nfs/dbraw/zinc/54/11/32/583541132.db2.gz HRWAYAOIYNPVDN-UHFFFAOYSA-N -1 1 325.306 -0.438 20 0 EBADMM COC(=O)[C@H](C[S@](=O)CCC[N-]C(=O)C(F)(F)F)NC(C)=O ZINC000790637173 583545048 /nfs/dbraw/zinc/54/50/48/583545048.db2.gz UXRHLPNJOBLUTB-QSIZCVBASA-N -1 1 346.327 -0.519 20 0 EBADMM Cc1ccn(C[C@@](C)(O)C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c(=O)c1 ZINC000791131424 583549666 /nfs/dbraw/zinc/54/96/66/583549666.db2.gz ZWHVLKQVZKVHIQ-XHDPSFHLSA-N -1 1 348.363 -0.979 20 0 EBADMM O=C([C@H]1CCN(CC(F)(F)F)C1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000792151016 583557091 /nfs/dbraw/zinc/55/70/91/583557091.db2.gz TWFZKFNWXLAJPC-DTWKUNHWSA-N -1 1 334.302 -0.016 20 0 EBADMM Cc1cccc(=O)n1C[C@](C)(O)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000792147411 583557181 /nfs/dbraw/zinc/55/71/81/583557181.db2.gz CAUNPEAWYLGBPJ-ABAIWWIYSA-N -1 1 348.363 -0.979 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N(CCCO)Cc1ccccn1 ZINC000794355110 583579610 /nfs/dbraw/zinc/57/96/10/583579610.db2.gz QQHWFGXKFVGINA-UHFFFAOYSA-N -1 1 332.360 -0.267 20 0 EBADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@@H]2CC(C(N)=O)=NO2)c(F)c1 ZINC000795174275 583588359 /nfs/dbraw/zinc/58/83/59/583588359.db2.gz JZQJFBPGTWWXQR-ZETCQYMHSA-N -1 1 349.315 -0.118 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2cccnc2F)c(=O)n(C)c1=O ZINC000795267656 583588730 /nfs/dbraw/zinc/58/87/30/583588730.db2.gz INSUAYPFYGRQHK-UHFFFAOYSA-N -1 1 328.325 -0.903 20 0 EBADMM CN(C)C(=O)CN1CCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1 ZINC000806490259 583650592 /nfs/dbraw/zinc/65/05/92/583650592.db2.gz QWRIINQFYMBGOQ-UHFFFAOYSA-N -1 1 345.407 -0.421 20 0 EBADMM C[C@H](CN1CCOCC1)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806836748 583654820 /nfs/dbraw/zinc/65/48/20/583654820.db2.gz NUVOFBJEFOKBNR-LLVKDONJSA-N -1 1 346.391 -0.883 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCCOC[C@@H]3CCCO3)ccnc1-2 ZINC000806899865 583655220 /nfs/dbraw/zinc/65/52/20/583655220.db2.gz OQNFAVLFQQEAQJ-NSHDSACASA-N -1 1 347.375 -0.408 20 0 EBADMM C[C@@H]1[C@@H](C)[S@@](=O)CCN1C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806906876 583655600 /nfs/dbraw/zinc/65/56/00/583655600.db2.gz JMXGTKMJGJDPCR-MZEXLTETSA-N -1 1 349.416 -0.352 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCSC[C@@H]3CO)ccnc1-2 ZINC000807014316 583656719 /nfs/dbraw/zinc/65/67/19/583656719.db2.gz DFINQAOVOMMXMJ-VIFPVBQESA-N -1 1 335.389 -0.783 20 0 EBADMM O=C(NN1CC(=O)[N-]C1=O)C(=O)N1C[C@H]2CCCN2c2ccccc21 ZINC000807062816 583657710 /nfs/dbraw/zinc/65/77/10/583657710.db2.gz FVSFQVDAXVDMQJ-SNVBAGLBSA-N -1 1 343.343 -0.415 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1COc2ccccc2[C@H]1O ZINC000811580783 583692384 /nfs/dbraw/zinc/69/23/84/583692384.db2.gz MIAAQRKAHIXNQU-SMDDNHRTSA-N -1 1 331.328 -0.463 20 0 EBADMM C[C@@H](c1ccccc1)[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C(N)=O ZINC000816800391 583721809 /nfs/dbraw/zinc/72/18/09/583721809.db2.gz YABBMGMNHFAHEP-FZMZJTMJSA-N -1 1 344.371 -0.300 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCCc2cnn(C)n2)o1 ZINC000817978839 583732120 /nfs/dbraw/zinc/73/21/20/583732120.db2.gz LSCDYFBMFCFKBZ-UHFFFAOYSA-N -1 1 327.366 -0.321 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCCc2cnn(C)n2)co1 ZINC000817995488 583733311 /nfs/dbraw/zinc/73/33/11/583733311.db2.gz MSJACLXYJZOTSU-UHFFFAOYSA-N -1 1 327.366 -0.321 20 0 EBADMM NS(=O)(=O)c1cccc(NC(=O)CN2CC[C@H](C(=O)[O-])C2)c1 ZINC000819130949 583755579 /nfs/dbraw/zinc/75/55/79/583755579.db2.gz RUBJKAYTJBRMDX-VIFPVBQESA-N -1 1 327.362 -0.321 20 0 EBADMM O=C(N[C@H](CO)CN1CCOCC1)c1ccc2n[n-]c(=S)n2c1 ZINC000820015872 583764850 /nfs/dbraw/zinc/76/48/50/583764850.db2.gz OIAFGNLWKDNAMI-NSHDSACASA-N -1 1 337.405 -0.559 20 0 EBADMM CC(C)OC(=O)[C@@H]1CCCN1C(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000820142670 583767227 /nfs/dbraw/zinc/76/72/27/583767227.db2.gz QMANDQNVIFKDFH-VIFPVBQESA-N -1 1 334.340 -0.599 20 0 EBADMM C[C@H](CNc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C)c1ccncc1 ZINC000820274289 583770142 /nfs/dbraw/zinc/77/01/42/583770142.db2.gz GLFXBGZUWAGSDK-SECBINFHSA-N -1 1 342.363 -0.125 20 0 EBADMM C[C@@H](Cc1ccc(O)cc1)NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000820299668 583771173 /nfs/dbraw/zinc/77/11/73/583771173.db2.gz IRNRSEQINODCRY-VIFPVBQESA-N -1 1 328.336 -0.089 20 0 EBADMM C[C@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)C(=O)N1CCCC[C@H]1C ZINC000820334219 583771372 /nfs/dbraw/zinc/77/13/72/583771372.db2.gz LIVDZRXWNUPFLN-ZJUUUORDSA-N -1 1 347.383 -0.636 20 0 EBADMM C[C@@H](NC(=O)Nc1nn(C)cc1-c1nn[n-]n1)[C@@H](C)N1CCOCC1 ZINC000820379317 583772054 /nfs/dbraw/zinc/77/20/54/583772054.db2.gz AGOVMYULTFNKTP-NXEZZACHSA-N -1 1 349.399 -0.169 20 0 EBADMM CC1(C)[C@H](NC(=O)Cn2cnc(-c3nn[n-]n3)n2)[C@H]2CCCO[C@@H]21 ZINC000820583863 583775915 /nfs/dbraw/zinc/77/59/15/583775915.db2.gz GAHNJUONIQRYPQ-IEBDPFPHSA-N -1 1 332.368 -0.222 20 0 EBADMM C[C@@]12CCC(=O)N1[C@@H](C(=O)Nc1n[nH]cc1-c1nnn[n-]1)CS2 ZINC000820634257 583776415 /nfs/dbraw/zinc/77/64/15/583776415.db2.gz GGBASENVNBUAHF-JMCQJSRRSA-N -1 1 334.365 -0.018 20 0 EBADMM C[C@@]12CCC(=O)N1[C@@H](C(=O)Nc1n[nH]cc1-c1nn[n-]n1)CS2 ZINC000820634257 583776416 /nfs/dbraw/zinc/77/64/16/583776416.db2.gz GGBASENVNBUAHF-JMCQJSRRSA-N -1 1 334.365 -0.018 20 0 EBADMM CNS(=O)(=O)c1ccc([C@@H](C)NCC(=O)NCC(=O)[O-])cc1 ZINC000820645955 583776717 /nfs/dbraw/zinc/77/67/17/583776717.db2.gz SQVCLECUWVHCNQ-SECBINFHSA-N -1 1 329.378 -0.554 20 0 EBADMM C[C@H]1CCN(C(=O)Cn2cnc(-c3nn[n-]n3)n2)C[C@H]1n1ccnc1 ZINC000820717834 583778389 /nfs/dbraw/zinc/77/83/89/583778389.db2.gz XNJLUUGCOUHSPK-WDEREUQCSA-N -1 1 342.367 -0.236 20 0 EBADMM CC(C)[N@H+]1C[C@@H](C)[C@@H](Nc2c(-c3nnn[n-]3)c(=O)n(C)c(=O)n2C)C1 ZINC000820752876 583779227 /nfs/dbraw/zinc/77/92/27/583779227.db2.gz SZPJKUTWCRBPGE-ZJUUUORDSA-N -1 1 348.411 -0.595 20 0 EBADMM CCC(CC)(CC(=O)OC)NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000820881816 583782444 /nfs/dbraw/zinc/78/24/44/583782444.db2.gz DEZYAIAZYUFVMU-UHFFFAOYSA-N -1 1 336.356 -0.304 20 0 EBADMM CCCn1cc(Nc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)cn1 ZINC000821148889 583785795 /nfs/dbraw/zinc/78/57/95/583785795.db2.gz PXTVLKWBQKNQHK-UHFFFAOYSA-N -1 1 331.340 -0.386 20 0 EBADMM CCN(C)C(=O)CN1CCN(c2nccnc2-c2nnn[n-]2)C[C@H]1C ZINC000821160728 583786164 /nfs/dbraw/zinc/78/61/64/583786164.db2.gz PIYUUBDOYRWKGC-LLVKDONJSA-N -1 1 345.411 -0.355 20 0 EBADMM CCN(C)C(=O)CN1CCN(c2nccnc2-c2nn[n-]n2)C[C@H]1C ZINC000821160728 583786165 /nfs/dbraw/zinc/78/61/65/583786165.db2.gz PIYUUBDOYRWKGC-LLVKDONJSA-N -1 1 345.411 -0.355 20 0 EBADMM CCOC(=O)[C@@H](C)CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821313140 583788517 /nfs/dbraw/zinc/78/85/17/583788517.db2.gz AYDPNBDXABWGRH-QMMMGPOBSA-N -1 1 340.365 -0.261 20 0 EBADMM CCOC(=O)[C@@H](C)CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821313140 583788520 /nfs/dbraw/zinc/78/85/20/583788520.db2.gz AYDPNBDXABWGRH-QMMMGPOBSA-N -1 1 340.365 -0.261 20 0 EBADMM CN(Cc1nc2ccccc2[nH]1)C(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821617100 583795214 /nfs/dbraw/zinc/79/52/14/583795214.db2.gz WWPJMCOHBRGLTC-UHFFFAOYSA-N -1 1 338.335 -0.007 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nn[n-]n1)c1cncc(C(N)=O)n1 ZINC000821601691 583795355 /nfs/dbraw/zinc/79/53/55/583795355.db2.gz VFOAPYJHDGUVOP-UHFFFAOYSA-N -1 1 343.355 -0.870 20 0 EBADMM CN1CCOc2ccc(NC(=O)Cn3cnc(-c4nn[n-]n4)n3)cc21 ZINC000821628556 583797024 /nfs/dbraw/zinc/79/70/24/583797024.db2.gz KWGJAFDXBMMHBB-UHFFFAOYSA-N -1 1 341.335 -0.075 20 0 EBADMM COC(=O)[C@@H](C)CN(C(=O)Cn1cnc(-c2nn[n-]n2)n1)C1CC1 ZINC000821638846 583798413 /nfs/dbraw/zinc/79/84/13/583798413.db2.gz JMIABRBOCARXOR-QMMMGPOBSA-N -1 1 334.340 -0.742 20 0 EBADMM CO[C@]1(C)C[C@H](Nc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)C1(C)C ZINC000821677988 583800511 /nfs/dbraw/zinc/80/05/11/583800511.db2.gz HWUFZQICWSDMCK-VXJOIVPMSA-N -1 1 349.395 -0.120 20 0 EBADMM COC[C@H](Cc1ccccc1)NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821682487 583801134 /nfs/dbraw/zinc/80/11/34/583801134.db2.gz GRGVPLDNWXPRCD-LBPRGKRZSA-N -1 1 342.363 -0.168 20 0 EBADMM COc1ccc(CN(C)C(=O)Cn2cnc(-c3nn[n-]n3)n2)cc1O ZINC000821795129 583804307 /nfs/dbraw/zinc/80/43/07/583804307.db2.gz UMEJZUSSKYYPJT-UHFFFAOYSA-N -1 1 344.335 -0.169 20 0 EBADMM COc1ccc(NC(=O)Cn2cnc(-c3nn[n-]n3)n2)c(OC)n1 ZINC000821832081 583804835 /nfs/dbraw/zinc/80/48/35/583804835.db2.gz YRKSOIPCZNXZJT-UHFFFAOYSA-N -1 1 331.296 -0.491 20 0 EBADMM Cc1ccccc1[C@H](O)Cn1c(=O)c(-c2nn[n-]n2)cn(C)c1=O ZINC000822351964 583810005 /nfs/dbraw/zinc/81/00/05/583810005.db2.gz SHRAICNLKQRDDW-GFCCVEGCSA-N -1 1 328.332 -0.231 20 0 EBADMM Cc1ncc2c(n1)CCC[C@@H]2NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000822472388 583811378 /nfs/dbraw/zinc/81/13/78/583811378.db2.gz QRNPRIBRGBQHSO-NSHDSACASA-N -1 1 340.351 -0.250 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NCC2(C)CCOCC2)n(C)c1=O ZINC000822600298 583813374 /nfs/dbraw/zinc/81/33/74/583813374.db2.gz KYSYKWCUAWWTNV-UHFFFAOYSA-N -1 1 335.368 -0.507 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NC[C@@](C)(O)c2ccco2)n(C)c1=O ZINC000822599226 583813398 /nfs/dbraw/zinc/81/33/98/583813398.db2.gz BXASLESQINEPJS-CQSZACIVSA-N -1 1 347.335 -0.823 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CCC[C@@H]2C(=O)NC2CC2)n1 ZINC000822609258 583813718 /nfs/dbraw/zinc/81/37/18/583813718.db2.gz LITOJDJXXAYQNI-SNVBAGLBSA-N -1 1 345.367 -0.125 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CCC[C@@H]2C(=O)NC2CC2)n1 ZINC000822609258 583813720 /nfs/dbraw/zinc/81/37/20/583813720.db2.gz LITOJDJXXAYQNI-SNVBAGLBSA-N -1 1 345.367 -0.125 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCCCO[C@H]2CCOC2)n1 ZINC000822613135 583814386 /nfs/dbraw/zinc/81/43/86/583814386.db2.gz BCNODAAGLMZDAK-VIFPVBQESA-N -1 1 336.356 -0.083 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCCCO[C@H]2CCOC2)n1 ZINC000822613135 583814388 /nfs/dbraw/zinc/81/43/88/583814388.db2.gz BCNODAAGLMZDAK-VIFPVBQESA-N -1 1 336.356 -0.083 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCCN2CCOCC2(C)C)n1 ZINC000822614945 583814828 /nfs/dbraw/zinc/81/48/28/583814828.db2.gz XWVWUBFGMDOKRG-UHFFFAOYSA-N -1 1 349.399 -0.168 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@@H]1c2ccccc2C[C@H]1O ZINC000823126350 583824709 /nfs/dbraw/zinc/82/47/09/583824709.db2.gz ISTHBZOFMKLGKL-ZYHUDNBSSA-N -1 1 326.320 -0.767 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@H]1COc2ccccc2C1 ZINC000823126339 583824842 /nfs/dbraw/zinc/82/48/42/583824842.db2.gz IJEQNRAFCNWUDS-SNVBAGLBSA-N -1 1 326.320 -0.422 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1cc(-c2nn[nH]n2)ccc1Cl ZINC000823270026 583827663 /nfs/dbraw/zinc/82/76/63/583827663.db2.gz VUDWSRZYTWYXNS-UHFFFAOYSA-N -1 1 348.714 -0.712 20 0 EBADMM O=C1NC(=O)[C@@]2(CCN(c3ccc(Cl)c(-c4nnn[n-]4)n3)C2)N1 ZINC000823541563 583832055 /nfs/dbraw/zinc/83/20/55/583832055.db2.gz QKVYYZCOXHNORR-LBPRGKRZSA-N -1 1 334.727 -0.297 20 0 EBADMM O=C1NC(=O)[C@@]2(CCN(c3ccc(Cl)c(-c4nn[n-]n4)n3)C2)N1 ZINC000823541563 583832057 /nfs/dbraw/zinc/83/20/57/583832057.db2.gz QKVYYZCOXHNORR-LBPRGKRZSA-N -1 1 334.727 -0.297 20 0 EBADMM O=C1CN(CCC[N-]S(=O)(=O)c2ccc(F)nc2F)CCN1 ZINC000867038815 583834725 /nfs/dbraw/zinc/83/47/25/583834725.db2.gz PNBQAPFFXBDKNH-UHFFFAOYSA-N -1 1 334.348 -0.540 20 0 EBADMM CC(C)OCCOC[C@H](O)CN(C)c1ccc(-c2nnn[n-]2)nn1 ZINC000824315527 583843905 /nfs/dbraw/zinc/84/39/05/583843905.db2.gz QZDNABKZXIRZIZ-LLVKDONJSA-N -1 1 337.384 -0.105 20 0 EBADMM CC(C)OCCOC[C@H](O)CN(C)c1ccc(-c2nn[n-]n2)nn1 ZINC000824315527 583843907 /nfs/dbraw/zinc/84/39/07/583843907.db2.gz QZDNABKZXIRZIZ-LLVKDONJSA-N -1 1 337.384 -0.105 20 0 EBADMM C[C@H](CNC(=O)Nc1nn(C)cc1-c1nnn[n-]1)S(C)(=O)=O ZINC000824420393 583845405 /nfs/dbraw/zinc/84/54/05/583845405.db2.gz IZTVYCLYQYSUIK-ZCFIWIBFSA-N -1 1 328.358 -0.845 20 0 EBADMM C[C@H](CNC(=O)Nc1nn(C)cc1-c1nn[n-]n1)S(C)(=O)=O ZINC000824420393 583845406 /nfs/dbraw/zinc/84/54/06/583845406.db2.gz IZTVYCLYQYSUIK-ZCFIWIBFSA-N -1 1 328.358 -0.845 20 0 EBADMM C[C@@H](CNc1c2ccccc2nnc1-c1nnn[n-]1)S(N)(=O)=O ZINC000824429034 583845690 /nfs/dbraw/zinc/84/56/90/583845690.db2.gz VGHWIOXAZLBRHI-ZETCQYMHSA-N -1 1 334.365 -0.101 20 0 EBADMM C[C@@H](CNc1c2ccccc2nnc1-c1nn[n-]n1)S(N)(=O)=O ZINC000824429034 583845691 /nfs/dbraw/zinc/84/56/91/583845691.db2.gz VGHWIOXAZLBRHI-ZETCQYMHSA-N -1 1 334.365 -0.101 20 0 EBADMM C[C@H](CN1CCN(C)CC1)NC(=O)C[C@@H]1CN(C(=O)[O-])CCO1 ZINC000824907852 583852057 /nfs/dbraw/zinc/85/20/57/583852057.db2.gz OHUPKZLMFGNYOG-CHWSQXEVSA-N -1 1 328.413 -0.493 20 0 EBADMM CC[C@H](NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)C(=O)OC ZINC000825148671 583855730 /nfs/dbraw/zinc/85/57/30/583855730.db2.gz RPSWHNVCZAZACV-QMMMGPOBSA-N -1 1 326.338 -0.508 20 0 EBADMM CC[C@H](NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)C(=O)OC ZINC000825148671 583855733 /nfs/dbraw/zinc/85/57/33/583855733.db2.gz RPSWHNVCZAZACV-QMMMGPOBSA-N -1 1 326.338 -0.508 20 0 EBADMM CCOC(=O)c1cnn(C)c1NC(=O)Cn1ccnc1-c1nnn[n-]1 ZINC000825565357 583863228 /nfs/dbraw/zinc/86/32/28/583863228.db2.gz RRYLQGWGZCCUHI-UHFFFAOYSA-N -1 1 345.323 -0.388 20 0 EBADMM CCOC(=O)c1cnn(C)c1NC(=O)Cn1ccnc1-c1nn[n-]n1 ZINC000825565357 583863230 /nfs/dbraw/zinc/86/32/30/583863230.db2.gz RRYLQGWGZCCUHI-UHFFFAOYSA-N -1 1 345.323 -0.388 20 0 EBADMM CCOCCO[C@H]1CCN(C(=O)Cn2cnc(-c3nn[n-]n3)n2)C1 ZINC000825599841 583864266 /nfs/dbraw/zinc/86/42/66/583864266.db2.gz SMTQKJPTNIFEBS-JTQLQIEISA-N -1 1 336.356 -0.888 20 0 EBADMM CCOc1ccc(Cn2c(=O)c(-c3nn[n-]n3)cn(C)c2=O)nc1 ZINC000825637897 583864498 /nfs/dbraw/zinc/86/44/98/583864498.db2.gz AQCNKPLCQHTFBE-UHFFFAOYSA-N -1 1 329.320 -0.431 20 0 EBADMM CCS(=O)(=O)NCC(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000825663463 583865208 /nfs/dbraw/zinc/86/52/08/583865208.db2.gz PQCPFRLBWSFUJK-UHFFFAOYSA-N -1 1 326.338 -0.550 20 0 EBADMM C[C@@H]1CC[C@@H](C)N1CC(=O)NCC(=O)N1CCN(C(=O)[O-])CC1 ZINC000825873575 583869421 /nfs/dbraw/zinc/86/94/21/583869421.db2.gz VINCWDHJTYKGTB-VXGBXAGGSA-N -1 1 326.397 -0.202 20 0 EBADMM C[C@@H]1CC[C@H](C)N1CC(=O)NCC(=O)N1CCN(C(=O)[O-])CC1 ZINC000825873574 583869511 /nfs/dbraw/zinc/86/95/11/583869511.db2.gz VINCWDHJTYKGTB-TXEJJXNPSA-N -1 1 326.397 -0.202 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nnn[n-]1)C(=O)Cc1ccn(C)n1 ZINC000825929550 583871123 /nfs/dbraw/zinc/87/11/23/583871123.db2.gz HTGPDUFLVNPZRT-UHFFFAOYSA-N -1 1 344.383 -0.461 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nn[n-]n1)C(=O)Cc1ccn(C)n1 ZINC000825929550 583871126 /nfs/dbraw/zinc/87/11/26/583871126.db2.gz HTGPDUFLVNPZRT-UHFFFAOYSA-N -1 1 344.383 -0.461 20 0 EBADMM CN1C(=O)N[C@]2(CCC[C@H]2CNc2ccc(-c3nnn[n-]3)nn2)C1=O ZINC000826018021 583873782 /nfs/dbraw/zinc/87/37/82/583873782.db2.gz QFTJDKZUWLMSMV-RTHLEPHNSA-N -1 1 343.351 -0.211 20 0 EBADMM CN1C(=O)N[C@]2(CCC[C@H]2CNc2ccc(-c3nn[n-]n3)nn2)C1=O ZINC000826018021 583873783 /nfs/dbraw/zinc/87/37/83/583873783.db2.gz QFTJDKZUWLMSMV-RTHLEPHNSA-N -1 1 343.351 -0.211 20 0 EBADMM CO[C@H]1CCCN(c2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)CC1 ZINC000826146944 583883244 /nfs/dbraw/zinc/88/32/44/583883244.db2.gz JHGTTWKPCXPUCT-VIFPVBQESA-N -1 1 335.368 -0.731 20 0 EBADMM COC[C@H](CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)OC ZINC000826149796 583883827 /nfs/dbraw/zinc/88/38/27/583883827.db2.gz PHYQHMPARIQDIX-QMMMGPOBSA-N -1 1 328.354 -0.799 20 0 EBADMM COC[C@H](CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)OC ZINC000826149796 583883829 /nfs/dbraw/zinc/88/38/29/583883829.db2.gz PHYQHMPARIQDIX-QMMMGPOBSA-N -1 1 328.354 -0.799 20 0 EBADMM COCCCOC1CN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000826156896 583885432 /nfs/dbraw/zinc/88/54/32/583885432.db2.gz YONMKGAVGZOFDR-UHFFFAOYSA-N -1 1 336.356 -0.131 20 0 EBADMM COCCCOC1CN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000826156896 583885434 /nfs/dbraw/zinc/88/54/34/583885434.db2.gz YONMKGAVGZOFDR-UHFFFAOYSA-N -1 1 336.356 -0.131 20 0 EBADMM Cc1[nH]c(=O)c(-c2nn[n-]n2)c(C)c1CCC(=O)N[C@@H]1CNC(=O)C1 ZINC000826233138 583888903 /nfs/dbraw/zinc/88/89/03/583888903.db2.gz VGLBSQBIMZBLIV-VIFPVBQESA-N -1 1 345.363 -0.479 20 0 EBADMM C[C@H]1CN(Cc2ccnc(-c3nnn[n-]3)c2)CCN1S(C)(=O)=O ZINC000826232366 583889165 /nfs/dbraw/zinc/88/91/65/583889165.db2.gz FYTOKRNXPQWLPH-JTQLQIEISA-N -1 1 337.409 -0.273 20 0 EBADMM C[C@H]1CN(Cc2ccnc(-c3nn[n-]n3)c2)CCN1S(C)(=O)=O ZINC000826232366 583889168 /nfs/dbraw/zinc/88/91/68/583889168.db2.gz FYTOKRNXPQWLPH-JTQLQIEISA-N -1 1 337.409 -0.273 20 0 EBADMM Cc1cnc(CCN(C)C(=O)Cn2cnc(-c3nn[n-]n3)n2)s1 ZINC000826318314 583891331 /nfs/dbraw/zinc/89/13/31/583891331.db2.gz DCBFEXIQMRNKFS-UHFFFAOYSA-N -1 1 333.381 -0.076 20 0 EBADMM Cc1nc(CN(C)S(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)no1 ZINC000826325588 583891368 /nfs/dbraw/zinc/89/13/68/583891368.db2.gz DIPPXHBTZHSMIU-UHFFFAOYSA-N -1 1 336.337 -0.226 20 0 EBADMM Cc1nc(CN(C)S(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)no1 ZINC000826325588 583891369 /nfs/dbraw/zinc/89/13/69/583891369.db2.gz DIPPXHBTZHSMIU-UHFFFAOYSA-N -1 1 336.337 -0.226 20 0 EBADMM Cc1onc(CC(=O)N2CCC[C@H](CC(N)=O)C2)c1-c1nnn[n-]1 ZINC000826344224 583892198 /nfs/dbraw/zinc/89/21/98/583892198.db2.gz ARXOFVBVEHZESY-SECBINFHSA-N -1 1 333.352 -0.180 20 0 EBADMM Cc1onc(CC(=O)N2CCC[C@H](CC(N)=O)C2)c1-c1nn[n-]n1 ZINC000826344224 583892199 /nfs/dbraw/zinc/89/21/99/583892199.db2.gz ARXOFVBVEHZESY-SECBINFHSA-N -1 1 333.352 -0.180 20 0 EBADMM Cc1onc(CC(=O)N2CCS(=O)(=O)[C@H](C)C2)c1-c1nnn[n-]1 ZINC000826345256 583892467 /nfs/dbraw/zinc/89/24/67/583892467.db2.gz HOCQCSMQKDLMHP-SSDOTTSWSA-N -1 1 340.365 -0.649 20 0 EBADMM Cc1onc(CC(=O)N2CCS(=O)(=O)[C@H](C)C2)c1-c1nn[n-]n1 ZINC000826345256 583892469 /nfs/dbraw/zinc/89/24/69/583892469.db2.gz HOCQCSMQKDLMHP-SSDOTTSWSA-N -1 1 340.365 -0.649 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NCc2ccc(Cl)cn2)n(C)c1=O ZINC000826355510 583893312 /nfs/dbraw/zinc/89/33/12/583893312.db2.gz CMSBIAASICSFQE-UHFFFAOYSA-N -1 1 348.754 -0.075 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N2CCC[C@@H]2c2ncc[nH]2)n(C)c1=O ZINC000826356098 583893936 /nfs/dbraw/zinc/89/39/36/583893936.db2.gz ZYLMNMMMROTJIS-MRVPVSSYSA-N -1 1 343.351 -0.671 20 0 EBADMM NS(=O)(=O)c1ccc(OCCn2ccnc2-c2nnn[n-]2)cc1 ZINC000826394826 583898292 /nfs/dbraw/zinc/89/82/92/583898292.db2.gz ARWJJCSDTIBDER-UHFFFAOYSA-N -1 1 335.349 -0.210 20 0 EBADMM NS(=O)(=O)c1ccc(OCCn2ccnc2-c2nn[n-]n2)cc1 ZINC000826394826 583898294 /nfs/dbraw/zinc/89/82/94/583898294.db2.gz ARWJJCSDTIBDER-UHFFFAOYSA-N -1 1 335.349 -0.210 20 0 EBADMM O=C(NC[C@H]1CCCCS1(=O)=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000826435632 583901980 /nfs/dbraw/zinc/90/19/80/583901980.db2.gz SPIPBBJEQOAKCF-SNVBAGLBSA-N -1 1 336.377 -0.041 20 0 EBADMM O=C(NC[C@H]1CCCCS1(=O)=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000826435632 583901983 /nfs/dbraw/zinc/90/19/83/583901983.db2.gz SPIPBBJEQOAKCF-SNVBAGLBSA-N -1 1 336.377 -0.041 20 0 EBADMM O=S(=O)(NCCn1ccnn1)c1ccc(F)cc1-c1nn[n-]n1 ZINC000826491433 583905557 /nfs/dbraw/zinc/90/55/57/583905557.db2.gz IZZMNYMGOFOHSY-UHFFFAOYSA-N -1 1 338.328 -0.424 20 0 EBADMM O[C@@H](COc1ccc2c(c1)OCO2)Cn1cnc(-c2nn[n-]n2)n1 ZINC000826505302 583906305 /nfs/dbraw/zinc/90/63/05/583906305.db2.gz RORYRALWDQVKDP-MRVPVSSYSA-N -1 1 331.292 -0.373 20 0 EBADMM c1ncn(C[C@@H]2CN(Cc3ccnc(-c4nn[n-]n4)c3)CCO2)n1 ZINC000826516043 583906661 /nfs/dbraw/zinc/90/66/61/583906661.db2.gz VHSNUBBHBMNXFZ-LBPRGKRZSA-N -1 1 327.352 -0.246 20 0 EBADMM CC(C)(CNC(=O)N[C@H]1CCN(CCN2CCOCC2)C1)C(=O)[O-] ZINC000826577357 583908492 /nfs/dbraw/zinc/90/84/92/583908492.db2.gz VRHYQXAACKECFL-ZDUSSCGKSA-N -1 1 342.440 -0.197 20 0 EBADMM O=C(N1CCO[C@H](c2nn[n-]n2)C1)[C@@]1(c2ccccc2)CNC(=O)C1 ZINC000826833694 583911163 /nfs/dbraw/zinc/91/11/63/583911163.db2.gz HPDLZVCNQYUUJL-BLLLJJGKSA-N -1 1 342.359 -0.443 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@H](C)N2C(=O)CCC2=O)CC1 ZINC000827356346 583919663 /nfs/dbraw/zinc/91/96/63/583919663.db2.gz QOKJOQPDARQKAD-QWRGUYRKSA-N -1 1 325.365 -0.469 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@H]2CC(=O)N(c3cn[nH]c3)C2)CC1 ZINC000827355080 583919754 /nfs/dbraw/zinc/91/97/54/583919754.db2.gz IDGYSAGKWTXRQD-RYUDHWBXSA-N -1 1 349.391 -0.230 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)Cn2nc3ccccn3c2=O)CC1 ZINC000827368550 583920237 /nfs/dbraw/zinc/92/02/37/583920237.db2.gz GCJQXIHAKDMKDE-GFCCVEGCSA-N -1 1 347.375 -0.497 20 0 EBADMM C[C@@H](CN1CCN(C)CC1)NS(=O)(=O)c1cc(C(=O)[O-])n(C)c1 ZINC000827461264 583921570 /nfs/dbraw/zinc/92/15/70/583921570.db2.gz RZDBKCHGFZYEGH-NSHDSACASA-N -1 1 344.437 -0.363 20 0 EBADMM C[C@@H](CNS(=O)(=O)c1c[nH]c(C(=O)[O-])c1)CN1CCN(C)CC1 ZINC000827501863 583922249 /nfs/dbraw/zinc/92/22/49/583922249.db2.gz FKHGFMXFYMVSMJ-NSHDSACASA-N -1 1 344.437 -0.125 20 0 EBADMM CCc1nnc([C@@H]2CN(C(=O)[C@H]3CN(C(=O)[O-])CCO3)CCO2)[nH]1 ZINC000827621652 583925029 /nfs/dbraw/zinc/92/50/29/583925029.db2.gz UBLTZZDQTCJOEM-VHSXEESVSA-N -1 1 339.352 -0.354 20 0 EBADMM C[C@@H](O)c1cn(CC(=O)N2CCN([C@H](C)CC(=O)[O-])CC2)nn1 ZINC000827804058 583927968 /nfs/dbraw/zinc/92/79/68/583927968.db2.gz RHRIQMAPAVCNEC-GHMZBOCLSA-N -1 1 325.369 -0.661 20 0 EBADMM CN(C)CC(=O)N1CCN(C(=O)[C@]2(F)CCN(C(=O)[O-])C2)CC1 ZINC000828009237 583931470 /nfs/dbraw/zinc/93/14/70/583931470.db2.gz LMYBZJDAMPNOFI-AWEZNQCLSA-N -1 1 330.360 -0.689 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)C[C@@H]1CCCOC1)c1ccnn1C ZINC000828127064 583934174 /nfs/dbraw/zinc/93/41/74/583934174.db2.gz AIJGEDDULJTICM-ZYHUDNBSSA-N -1 1 331.394 -0.020 20 0 EBADMM C[C@@H]1CN(CC(=O)Nc2ccc(S(N)(=O)=O)cc2)C[C@@H]1C(=O)[O-] ZINC000828438893 583938755 /nfs/dbraw/zinc/93/87/55/583938755.db2.gz GRMITXGEEJRCSL-SKDRFNHKSA-N -1 1 341.389 -0.075 20 0 EBADMM C[C@H]1CN(C2CC2)C[C@H]1NS(=O)(=O)c1cnn(CC(=O)[O-])c1 ZINC000828432766 583938764 /nfs/dbraw/zinc/93/87/64/583938764.db2.gz OIQCAUZXQNOYAD-JOYOIKCWSA-N -1 1 328.394 -0.271 20 0 EBADMM CC[C@@H](CO)N1CCN(CC(=O)N2CCC(C(=O)[O-])CC2)CC1 ZINC000828890400 583948156 /nfs/dbraw/zinc/94/81/56/583948156.db2.gz DYASRPXHYMABLY-AWEZNQCLSA-N -1 1 327.425 -0.302 20 0 EBADMM COCCN(CC(=O)N1CCN(C2CCOCC2)CC1)C(=O)[O-] ZINC000828971521 583949336 /nfs/dbraw/zinc/94/93/36/583949336.db2.gz YUXZNYDGIXKWQN-UHFFFAOYSA-N -1 1 329.397 -0.064 20 0 EBADMM CN1CC[C@@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)S1(=O)=O ZINC000830177900 583965161 /nfs/dbraw/zinc/96/51/61/583965161.db2.gz RXRRZKAQASDWAT-NSHDSACASA-N -1 1 340.357 -0.480 20 0 EBADMM CN1CC[C@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)S1(=O)=O ZINC000830177899 583965185 /nfs/dbraw/zinc/96/51/85/583965185.db2.gz RXRRZKAQASDWAT-LLVKDONJSA-N -1 1 340.357 -0.480 20 0 EBADMM CN(C)CCCN(C(=O)CCNC(=O)[O-])[C@H]1CCS(=O)(=O)C1 ZINC000830600378 583968256 /nfs/dbraw/zinc/96/82/56/583968256.db2.gz IWNPYRGUJYNJRH-NSHDSACASA-N -1 1 335.426 -0.389 20 0 EBADMM CN(C)CCCN(C(=O)[C@@H]1C[C@@H]1C(=O)[O-])[C@H]1CCS(=O)(=O)C1 ZINC000830601552 583968418 /nfs/dbraw/zinc/96/84/18/583968418.db2.gz ZBRIECADEVQWCI-TUAOUCFPSA-N -1 1 332.422 -0.326 20 0 EBADMM Cc1nnc([C@H]2CN(C(=O)[C@@H]3CN(C(=O)[O-])CCO3)CCO2)[nH]1 ZINC000830679966 583969454 /nfs/dbraw/zinc/96/94/54/583969454.db2.gz YJIIUYCPFYFXCJ-ZJUUUORDSA-N -1 1 325.325 -0.608 20 0 EBADMM CN(C)C(=O)[C@@H]1CC[C@H](C[N-]S(=O)(=O)c2c[nH]nc2Cl)O1 ZINC000831032505 583975269 /nfs/dbraw/zinc/97/52/69/583975269.db2.gz FHJJVWNPFNTIMM-SFYZADRCSA-N -1 1 336.801 -0.023 20 0 EBADMM C[C@H](C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)N1C(=O)CCC1=O ZINC000831154165 583977421 /nfs/dbraw/zinc/97/74/21/583977421.db2.gz JPHBBMYQPUHLBD-SSDOTTSWSA-N -1 1 335.282 -0.339 20 0 EBADMM CN1CC(=O)N(CC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)C1=O ZINC000831158176 583977438 /nfs/dbraw/zinc/97/74/38/583977438.db2.gz GZEHURDLJJHZQY-UHFFFAOYSA-N -1 1 336.270 -0.983 20 0 EBADMM COC(=O)[C@H](C)NC(=O)C(F)(F)C(F)(F)C(=O)N[C@@H](C)C(=O)[O-] ZINC000831215227 583979506 /nfs/dbraw/zinc/97/95/06/583979506.db2.gz MQKZOCUOZCDXJY-WHFBIAKZSA-N -1 1 346.233 -0.476 20 0 EBADMM O=C([O-])N1CCOC[C@@H]1C(=O)N1CCN(C2CCOCC2)CC1 ZINC000831284799 583981433 /nfs/dbraw/zinc/98/14/33/583981433.db2.gz XHUSKMGDWHMCHY-CYBMUJFWSA-N -1 1 327.381 -0.312 20 0 EBADMM O=C([O-])NC1CCN(CC(=O)NCCCCN2CCOCC2)CC1 ZINC000832386353 583997911 /nfs/dbraw/zinc/99/79/11/583997911.db2.gz AVMRAQVYDHSFEL-UHFFFAOYSA-N -1 1 342.440 -0.053 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NCc1ccc(C(N)=O)nc1 ZINC000833623406 584012622 /nfs/dbraw/zinc/01/26/22/584012622.db2.gz HKAGMJWNDRUMMA-LLVKDONJSA-N -1 1 349.391 -0.129 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NCCN1CCOCC1 ZINC000833627121 584012754 /nfs/dbraw/zinc/01/27/54/584012754.db2.gz UGNJDUVULGBSQR-ZDUSSCGKSA-N -1 1 328.413 -0.491 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000833625638 584012895 /nfs/dbraw/zinc/01/28/95/584012895.db2.gz WYXOAFMZPFCMNG-VXGBXAGGSA-N -1 1 349.391 -0.230 20 0 EBADMM C[C@H](NC(=O)[O-])[C@H]1CCN(C(=O)NC[C@@H]2CN(C)CCN2C)C1 ZINC000833814507 584016832 /nfs/dbraw/zinc/01/68/32/584016832.db2.gz DPQZUQPCXXPPOW-RWMBFGLXSA-N -1 1 327.429 -0.080 20 0 EBADMM C[C@@H]1C[C@H](CNC(=O)[O-])CN1CCNS(=O)(=O)c1cnn(C)c1 ZINC000833835421 584017216 /nfs/dbraw/zinc/01/72/16/584017216.db2.gz ZAVOHBLODITATK-GHMZBOCLSA-N -1 1 345.425 -0.324 20 0 EBADMM O=C([O-])N1CC[C@@H](C(=O)N2CCC(O)(CN3CCOCC3)CC2)C1 ZINC000834070571 584025833 /nfs/dbraw/zinc/02/58/33/584025833.db2.gz CVRZOFQIFCNEOU-CYBMUJFWSA-N -1 1 341.408 -0.328 20 0 EBADMM O=C([O-])N1CCC2(C[C@H]2C(=O)N2CCO[C@H](c3nn[nH]n3)C2)CC1 ZINC000834086227 584026090 /nfs/dbraw/zinc/02/60/90/584026090.db2.gz CEHOQVYHBTYXQN-UWVGGRQHSA-N -1 1 336.352 -0.120 20 0 EBADMM CC(C)[C@H](CO)O[N-]C(=O)C1=CC=CN2CCS(=O)(=O)N=C12 ZINC000836899411 584046046 /nfs/dbraw/zinc/04/60/46/584046046.db2.gz CHNYZKJGCZGWFR-NSHDSACASA-N -1 1 329.378 -0.451 20 0 EBADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)CN2CCCCC2=O)CCC1 ZINC000843015915 584069848 /nfs/dbraw/zinc/06/98/48/584069848.db2.gz ZDYYWNWSMMRYRR-UHFFFAOYSA-N -1 1 331.438 -0.071 20 0 EBADMM CCON(C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)C(C)C ZINC000844076410 584087701 /nfs/dbraw/zinc/08/77/01/584087701.db2.gz NMSZUFKEMYWQKQ-UHFFFAOYSA-N -1 1 333.366 -0.155 20 0 EBADMM CCO[N-]C(=O)CNC(=O)Cn1c(=O)c2ccccc2[nH]c1=S ZINC000846497603 584126947 /nfs/dbraw/zinc/12/69/47/584126947.db2.gz VLLQQYMRIYKGOQ-UHFFFAOYSA-N -1 1 336.373 -0.131 20 0 EBADMM CC1=C(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)Cn2nnnc2N1C ZINC000847080952 584134903 /nfs/dbraw/zinc/13/49/03/584134903.db2.gz PUJYTVPAOPDRJT-VIFPVBQESA-N -1 1 346.351 -0.108 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H]2CN(C3CC3)C(=O)O2)o1 ZINC000848365686 584156287 /nfs/dbraw/zinc/15/62/87/584156287.db2.gz BINREQNBOUNQIB-SECBINFHSA-N -1 1 343.361 -0.099 20 0 EBADMM COC(=O)C12CC(C1)CN2C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000850614986 584180877 /nfs/dbraw/zinc/18/08/77/584180877.db2.gz GAWUBGLIMMAFHG-UHFFFAOYSA-N -1 1 343.343 -0.556 20 0 EBADMM CO[C@H](C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1)[C@H]1CCOC1 ZINC000851103217 584192026 /nfs/dbraw/zinc/19/20/26/584192026.db2.gz ARVSVUPRPBHZFK-RYUDHWBXSA-N -1 1 334.438 -0.032 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCN1C[C@@H]2CCCCN2C1=O ZINC000856143828 584267031 /nfs/dbraw/zinc/26/70/31/584267031.db2.gz OIBREKDOMLHFNX-LBPRGKRZSA-N -1 1 349.391 -0.667 20 0 EBADMM NC(=O)c1cccc2c1CCN(C(=O)CCn1cc[n-]c(=O)c1=O)C2 ZINC000856403886 584268480 /nfs/dbraw/zinc/26/84/80/584268480.db2.gz KYASGGQUSFRTMM-UHFFFAOYSA-N -1 1 342.355 -0.390 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)CC3(n4cnnn4)CCOCC3)ccnc1-2 ZINC000857614895 584279313 /nfs/dbraw/zinc/27/93/13/584279313.db2.gz MEOFLLGVSJBHRF-UHFFFAOYSA-N -1 1 342.363 -0.137 20 0 EBADMM CCOC(=O)c1cc(CS(=O)(=O)[N-][C@]2(C)CCOC2=O)on1 ZINC000859164903 584296486 /nfs/dbraw/zinc/29/64/86/584296486.db2.gz NDXWNPKEJHANOH-GFCCVEGCSA-N -1 1 332.334 -0.024 20 0 EBADMM Cc1ccc(CS(=O)(=O)[N-][C@@H]2CS(=O)(=O)C[C@H]2O)c(F)c1 ZINC000863983870 584382355 /nfs/dbraw/zinc/38/23/55/584382355.db2.gz XBBNDSFMYGVNGE-VXGBXAGGSA-N -1 1 337.394 -0.289 20 0 EBADMM Cn1ncc(S(=O)(=O)[N-][C@H]2CCCNC2=O)c1C(F)(F)F ZINC000866676926 584403515 /nfs/dbraw/zinc/40/35/15/584403515.db2.gz QIUHKTHKZYIBCY-LURJTMIESA-N -1 1 326.300 -0.004 20 0 EBADMM COC(=O)CCCS(=O)(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000867901303 584420319 /nfs/dbraw/zinc/42/03/19/584420319.db2.gz LGKJYTRKVUVYTL-UHFFFAOYSA-N -1 1 346.327 -0.120 20 0 EBADMM CC[C@@]1(C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)CCNC1=O ZINC000870447773 584447068 /nfs/dbraw/zinc/44/70/68/584447068.db2.gz XXRBCJNLOKPQEE-CQSZACIVSA-N -1 1 331.438 -0.167 20 0 EBADMM O=C(NC[C@@]12COC(=O)N1CCOC2)c1ccc2n[n-]c(=S)n2c1 ZINC000871407050 584452987 /nfs/dbraw/zinc/45/29/87/584452987.db2.gz GHDBJKQFKIUXJT-CQSZACIVSA-N -1 1 349.372 -0.031 20 0 EBADMM CN1C(=O)[C@H]2CN(C(=O)c3ccc4n[n-]c(=S)n4c3)C[C@H]2C1=O ZINC000871530733 584455894 /nfs/dbraw/zinc/45/58/94/584455894.db2.gz IDLWQKLJQKPHAG-DTORHVGOSA-N -1 1 331.357 -0.295 20 0 EBADMM CCO[C@@H]1COCC[C@@H]1[N-]S(=O)(=O)N=[S@](C)(=O)N(C)C ZINC000882066599 584582898 /nfs/dbraw/zinc/58/28/98/584582898.db2.gz NZPUDKQOQIHZJV-DQPNGWRMSA-N -1 1 329.444 -0.411 20 0 EBADMM CN(C)[S@@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCN(C(C)(C)C)C1=O ZINC000882299586 584587639 /nfs/dbraw/zinc/58/76/39/584587639.db2.gz KJHFKEWBGUJYPC-YBYGRFCBSA-N -1 1 340.471 -0.205 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@H]2CCC(C)(C)CO2)c(=O)n(C)c1=O ZINC000882565840 584592196 /nfs/dbraw/zinc/59/21/96/584592196.db2.gz FVJQFQUWSVDNDV-SNVBAGLBSA-N -1 1 345.421 -0.433 20 0 EBADMM CC(C)NS(=O)(=O)c1cccc(C(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000025612980 584594494 /nfs/dbraw/zinc/59/44/94/584594494.db2.gz BUAKHHPLRJOHEG-UHFFFAOYSA-N -1 1 340.361 -0.430 20 0 EBADMM CCC(C)(C)N1CCN(C(=O)C(=O)NCCOCC(=O)[O-])CC1 ZINC000884476961 584624411 /nfs/dbraw/zinc/62/44/11/584624411.db2.gz HVDGIGUDCAXEQL-UHFFFAOYSA-N -1 1 329.397 -0.463 20 0 EBADMM Cc1nn(C)cc1[C@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000069125822 584665437 /nfs/dbraw/zinc/66/54/37/584665437.db2.gz DOJPAVXQQABYCN-VIFPVBQESA-N -1 1 341.393 -0.835 20 0 EBADMM O=S(=O)(c1ccc(-c2nnn[n-]2)nc1)N1CCN(CC2CC2)CC1 ZINC000738352774 598268283 /nfs/dbraw/zinc/26/82/83/598268283.db2.gz ANEVKGONVUQLSG-UHFFFAOYSA-N -1 1 349.420 -0.022 20 0 EBADMM O=S(=O)(c1ccc(-c2nn[n-]n2)nc1)N1CCN(CC2CC2)CC1 ZINC000738352774 598268285 /nfs/dbraw/zinc/26/82/85/598268285.db2.gz ANEVKGONVUQLSG-UHFFFAOYSA-N -1 1 349.420 -0.022 20 0 EBADMM O=S(=O)(CCNc1cccc(-c2nnn[n-]2)n1)N1CCOCC1 ZINC000738332407 599422799 /nfs/dbraw/zinc/42/27/99/599422799.db2.gz XEVXAKNHGISNBG-UHFFFAOYSA-N -1 1 339.381 -0.664 20 0 EBADMM O=S(=O)(CCNc1cccc(-c2nn[n-]n2)n1)N1CCOCC1 ZINC000738332407 599422800 /nfs/dbraw/zinc/42/28/00/599422800.db2.gz XEVXAKNHGISNBG-UHFFFAOYSA-N -1 1 339.381 -0.664 20 0 EBADMM O=C([O-])[C@@H]1C[C@@H](O)CN1CC1=Nc2ccccc2S(=O)(=O)N1 ZINC000740057114 600139327 /nfs/dbraw/zinc/13/93/27/600139327.db2.gz OGEYNGQAMDYNJL-SCZZXKLOSA-N -1 1 325.346 -0.472 20 0 EBADMM C[C@H](C(=O)N(C)CC(=O)NC1CC1)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000738602905 601941358 /nfs/dbraw/zinc/94/13/58/601941358.db2.gz OBAYXNZQSBYUBK-MNOVXSKESA-N -1 1 326.397 -0.299 20 0 EBADMM CC[C@H](C)[C@H](NC(=O)[O-])C(=O)N1CCN(C(=O)CN(C)C)CC1 ZINC000739229877 602191147 /nfs/dbraw/zinc/19/11/47/602191147.db2.gz INQLKBNMAORNLL-AAEUAGOBSA-N -1 1 328.413 -0.099 20 0 EBADMM CC(=O)N1CCN(C(=O)CN2CC[C@@H](CN(C)C(=O)[O-])C2)CC1 ZINC000738523491 602535623 /nfs/dbraw/zinc/53/56/23/602535623.db2.gz KHTYCQCXWYCLKT-ZDUSSCGKSA-N -1 1 326.397 -0.391 20 0 EBADMM O=C([O-])N1CC[C@H](NC(=O)N2CCN([C@H]3CCC[C@@H]3O)CC2)C1 ZINC000740505772 602636408 /nfs/dbraw/zinc/63/64/08/602636408.db2.gz RGKCOSUOCQSAQV-AVGNSLFASA-N -1 1 326.397 -0.021 20 0 EBADMM CN1CCN(CCNC(=O)c2csc(CNC(=O)[O-])n2)CC1 ZINC000739736814 602706311 /nfs/dbraw/zinc/70/63/11/602706311.db2.gz HYJXHICDDAUFOB-UHFFFAOYSA-N -1 1 327.410 -0.112 20 0 EBADMM C[C@@H](CN1CCN(C)CC1)NC(=O)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000738913273 602717086 /nfs/dbraw/zinc/71/70/86/602717086.db2.gz SPKYAKHAADRYFX-STQMWFEESA-N -1 1 327.429 -0.079 20 0 EBADMM CCN(CC(=O)N[C@@H]1CCN(CCN2CCOCC2)C1)C(=O)[O-] ZINC000739394463 602770829 /nfs/dbraw/zinc/77/08/29/602770829.db2.gz WKAOMRPNAVQIMN-CYBMUJFWSA-N -1 1 328.413 -0.491 20 0 EBADMM O=C([O-])NCCCC(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC000739963269 602771043 /nfs/dbraw/zinc/77/10/43/602771043.db2.gz JHPULWPCNAZWDI-ZDUSSCGKSA-N -1 1 328.413 -0.443 20 0 EBADMM C[C@@H]1CN(CCCNC(=O)[C@@H]2COCCN2C(=O)[O-])C[C@@H](C)O1 ZINC000739184660 602834593 /nfs/dbraw/zinc/83/45/93/602834593.db2.gz XNZOBSWTIWYMSD-UPJWGTAASA-N -1 1 329.397 -0.019 20 0 EBADMM C[N@@H+](CCN1CCN(C(=O)[O-])CC1)Cc1cc(=O)n2[n-]ccc2n1 ZINC000739685346 602868733 /nfs/dbraw/zinc/86/87/33/602868733.db2.gz VKMKXMZMCGRTAV-UHFFFAOYSA-N -1 1 334.380 -0.250 20 0 EBADMM C[C@@H](CNC(=O)CN(C[C@@H]1CCCO1)C(=O)[O-])N1CCN(C)CC1 ZINC000738915875 602904966 /nfs/dbraw/zinc/90/49/66/602904966.db2.gz JDVWDTCORNXBSL-KBPBESRZSA-N -1 1 342.440 -0.103 20 0 EBADMM O=C([O-])N1CCOC[C@H]1C(=O)N1CC[C@@H](CN2CCOCC2)C1 ZINC000740344369 602971207 /nfs/dbraw/zinc/97/12/07/602971207.db2.gz GOYQPOKYYWHKMX-STQMWFEESA-N -1 1 327.381 -0.454 20 0 EBADMM C[C@H](CN1CCN(C)CC1)NC(=O)N[C@H](CNC(=O)[O-])C1CC1 ZINC000738911132 603088194 /nfs/dbraw/zinc/08/81/94/603088194.db2.gz LBWCZROJBZBMAU-DGCLKSJQSA-N -1 1 327.429 -0.032 20 0 EBADMM CCN(CC(=O)N1CCO[C@@H](CN2CCN(C)CC2)C1)C(=O)[O-] ZINC000739393124 603431712 /nfs/dbraw/zinc/43/17/12/603431712.db2.gz GDUZKTQHJUGIGT-ZDUSSCGKSA-N -1 1 328.413 -0.539 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCCC2=O)o1 ZINC000046316108 649946162 /nfs/dbraw/zinc/94/61/62/649946162.db2.gz WCWSWPHVVUYGFM-UHFFFAOYSA-N -1 1 330.318 -0.507 20 0 EBADMM O=C(COC(=O)Cn1cnc([N+](=O)[O-])n1)[N-]C(=O)c1ccccc1 ZINC000052032098 649954078 /nfs/dbraw/zinc/95/40/78/649954078.db2.gz CJBMWGKFXMUDIY-UHFFFAOYSA-N -1 1 333.260 -0.314 20 0 EBADMM CC(C)CN1CCO[C@@H](CNC(=O)CN2CC[C@H](NC(=O)[O-])C2)C1 ZINC000738825308 605910470 /nfs/dbraw/zinc/91/04/70/605910470.db2.gz ORVCTNYYMURQTK-KBPBESRZSA-N -1 1 342.440 -0.199 20 0 EBADMM CCNS(=O)(=O)CC[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000068922430 649966620 /nfs/dbraw/zinc/96/66/20/649966620.db2.gz FTSFWEPCZHSNTD-UHFFFAOYSA-N -1 1 340.379 -0.716 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-][C@@H]2CCCS(=O)(=O)C2)c1Cl ZINC000069143566 649967449 /nfs/dbraw/zinc/96/74/49/649967449.db2.gz JVLAQMLZISSGPN-SSDOTTSWSA-N -1 1 327.815 -0.071 20 0 EBADMM C[C@@H]1[C@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)CCS1(=O)=O ZINC000311625065 649993749 /nfs/dbraw/zinc/99/37/49/649993749.db2.gz IJWNTOIHWLARAL-RNFRBKRXSA-N -1 1 327.815 -0.073 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H](CO)CC2CCCC2)c(=O)n(C)c1=O ZINC000558701194 650013199 /nfs/dbraw/zinc/01/31/99/650013199.db2.gz WVKMGEQETMDQHE-NSHDSACASA-N -1 1 345.421 -0.697 20 0 EBADMM CC(=O)N1CSC[C@@H]1C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907476720 650083441 /nfs/dbraw/zinc/08/34/41/650083441.db2.gz ROWGSBONZNRDIR-RKDXNWHRSA-N -1 1 328.423 -0.262 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@H]2CCCC(C)(C)O2)c(=O)n(C)c1=O ZINC000908106044 650096399 /nfs/dbraw/zinc/09/63/99/650096399.db2.gz KAQYTXQLAMLTTM-SNVBAGLBSA-N -1 1 345.421 -0.290 20 0 EBADMM CN(C)[S@@](C)(=O)=NS(=O)(=O)[N-][C@H]1CCOC12CCOCC2 ZINC000908195632 650098037 /nfs/dbraw/zinc/09/80/37/650098037.db2.gz ZKQKFDKCDNITLU-FVINQWEUSA-N -1 1 341.455 -0.267 20 0 EBADMM COc1ccc(OCCN(C)C(=O)[C@@]2(C(=O)[O-])CNCCO2)cc1 ZINC000912079002 650200265 /nfs/dbraw/zinc/20/02/65/650200265.db2.gz DOSVYPKGSJUROL-MRXNPFEDSA-N -1 1 338.360 -0.024 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC(=O)NC1CCCCC1 ZINC000912536932 650206736 /nfs/dbraw/zinc/20/67/36/650206736.db2.gz AKVUYFYJZVZGDV-UHFFFAOYSA-N -1 1 344.393 -0.077 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCCC[C@H]1C(N)=O ZINC000912540777 650207099 /nfs/dbraw/zinc/20/70/99/650207099.db2.gz CMFCYOMOLAUTTF-VXNVDRBHSA-N -1 1 330.366 -0.481 20 0 EBADMM CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@H]1C[C@@H](C)OC1=O ZINC000912551905 650208448 /nfs/dbraw/zinc/20/84/48/650208448.db2.gz CXQHMQHQRITFGP-SVRRBLITSA-N -1 1 331.350 -0.123 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@]1(C)CCC(=O)N1 ZINC000912556223 650208888 /nfs/dbraw/zinc/20/88/88/650208888.db2.gz JQCYEHSJOGEWDE-GFCCVEGCSA-N -1 1 330.366 -0.467 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)C1N=NC(=O)N1C ZINC000912557254 650208953 /nfs/dbraw/zinc/20/89/53/650208953.db2.gz VZAAPFPKPLXTLM-LURJTMIESA-N -1 1 344.353 -0.540 20 0 EBADMM C[C@H]1C(=O)N([N-]C(=O)c2cccc(N3CCN(C)CC3)n2)C(=O)N1C ZINC000912822871 650214213 /nfs/dbraw/zinc/21/42/13/650214213.db2.gz BZWKAPRCRKZXHN-NSHDSACASA-N -1 1 346.391 -0.239 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCN(C)[C@H](CO)C1 ZINC000913548151 650237972 /nfs/dbraw/zinc/23/79/72/650237972.db2.gz FJUWNFCRNZEGDV-LBPRGKRZSA-N -1 1 333.392 -0.271 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H](C)C(=O)NCCOC)o1 ZINC000915417170 650269295 /nfs/dbraw/zinc/26/92/95/650269295.db2.gz XMJQGKIHJSMHOO-QMMMGPOBSA-N -1 1 334.350 -0.504 20 0 EBADMM COCCS(=O)(=O)CC(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000915555121 650270283 /nfs/dbraw/zinc/27/02/83/650270283.db2.gz WHRJXVIRHQDUJB-UHFFFAOYSA-N -1 1 343.357 -0.453 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CN3CCN2CCC3)o1 ZINC000917051599 650279024 /nfs/dbraw/zinc/27/90/24/650279024.db2.gz YBOVVZBCMKKVHZ-NSHDSACASA-N -1 1 343.405 -0.266 20 0 EBADMM O=S(=O)([N-]C[C@H](n1cccn1)C(F)(F)F)[C@@H]1COC[C@H]1O ZINC000917947635 650285805 /nfs/dbraw/zinc/28/58/05/650285805.db2.gz LEDOKIKGOWOCHH-HLTSFMKQSA-N -1 1 329.300 -0.334 20 0 EBADMM CO[C@]12CCC[C@@]1([N-]S(=O)(=O)C[C@@H]1CN(C)CCO1)CCO2 ZINC000921314262 650317280 /nfs/dbraw/zinc/31/72/80/650317280.db2.gz KMWTYQAOCULSGC-MJBXVCDLSA-N -1 1 334.438 -0.078 20 0 EBADMM CCN1CCC[C@H]([N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C)C1=O ZINC000921489733 650322329 /nfs/dbraw/zinc/32/23/29/650322329.db2.gz PBQUCOZRQKKWOG-ZRNGKTOUSA-N -1 1 326.444 -0.594 20 0 EBADMM CCN1CCC[C@H]([N-]S(=O)(=O)N=[S@](C)(=O)N(C)C)C1=O ZINC000921489732 650322377 /nfs/dbraw/zinc/32/23/77/650322377.db2.gz PBQUCOZRQKKWOG-UGZDLDLSSA-N -1 1 326.444 -0.594 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2C[C@H]2C(F)(F)F)c(=O)n(C)c1=O ZINC000921623652 650323630 /nfs/dbraw/zinc/32/36/30/650323630.db2.gz JXMMEEQHUSFOCR-NKWVEPMBSA-N -1 1 341.311 -0.439 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@]2(C)CCCCO2)c(=O)n(C)c1=O ZINC000921677379 650324034 /nfs/dbraw/zinc/32/40/34/650324034.db2.gz BJEQIUYICMYGSA-CYBMUJFWSA-N -1 1 331.394 -0.679 20 0 EBADMM CCOC(=O)[C@@H](CSC)[N-]S(=O)(=O)[C@H](C)C(=O)N(C)C ZINC000921377934 650318613 /nfs/dbraw/zinc/31/86/13/650318613.db2.gz KHOVDKUNVVGDAK-RKDXNWHRSA-N -1 1 326.440 -0.323 20 0 EBADMM CCOC(=O)[C@@H](CSC)[N-]S(=O)(=O)[C@@H](C)C(=O)N(C)C ZINC000921377932 650319026 /nfs/dbraw/zinc/31/90/26/650319026.db2.gz KHOVDKUNVVGDAK-DTWKUNHWSA-N -1 1 326.440 -0.323 20 0 EBADMM CC(C)O[C@@]1(C[N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C)CCOC1 ZINC000921460370 650321065 /nfs/dbraw/zinc/32/10/65/650321065.db2.gz RSCMRMPWPGXNAN-BIBXISHDSA-N -1 1 343.471 -0.021 20 0 EBADMM COC[C@H](C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C(C)C ZINC000921902416 650326583 /nfs/dbraw/zinc/32/65/83/650326583.db2.gz YOHUHTJTBZMDMU-JTQLQIEISA-N -1 1 333.410 -0.719 20 0 EBADMM CN1C[C@H](O)C[C@@H]1CNC(=O)c1cc(F)ccc1[N-]S(C)(=O)=O ZINC000924985424 650370709 /nfs/dbraw/zinc/37/07/09/650370709.db2.gz AIDWGXVMEIBALU-GHMZBOCLSA-N -1 1 345.396 -0.008 20 0 EBADMM O=C(COC(=O)c1nn(-c2ccccc2)cc1[O-])N1CCNC(=O)C1 ZINC000925269413 650374967 /nfs/dbraw/zinc/37/49/67/650374967.db2.gz ORCRYFWAASUMOP-UHFFFAOYSA-N -1 1 344.327 -0.307 20 0 EBADMM CN(C(=O)[C@@]1(C)CNC(=O)C1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216562 651684571 /nfs/dbraw/zinc/68/45/71/651684571.db2.gz GUHQWRVLPOXJMM-PIGZYNQJSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)c1cnnn1C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937220464 651686846 /nfs/dbraw/zinc/68/68/46/651686846.db2.gz RCLWFBCIAOOBNH-SNVBAGLBSA-N -1 1 330.348 -0.098 20 0 EBADMM CN1CCN(C(=O)C(=O)NCCc2c(F)cc([O-])cc2F)CC1=O ZINC000933110536 651714719 /nfs/dbraw/zinc/71/47/19/651714719.db2.gz RVSHBLYVNAUFBM-UHFFFAOYSA-N -1 1 341.314 -0.370 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)[C@H]1CCN(C(=O)C(F)F)C1 ZINC000936318563 651764687 /nfs/dbraw/zinc/76/46/87/651764687.db2.gz WLAZKJWAYKJJPI-ZETCQYMHSA-N -1 1 340.290 -0.644 20 0 EBADMM NC(=O)C(=O)N1CCCC[C@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000937467535 651788518 /nfs/dbraw/zinc/78/85/18/651788518.db2.gz GEGJBKQTEJRIQI-JTQLQIEISA-N -1 1 347.375 -0.344 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)Cn2cnnn2)C1 ZINC000936546548 651846345 /nfs/dbraw/zinc/84/63/45/651846345.db2.gz GNOLGYKRKZSCPA-SNVBAGLBSA-N -1 1 331.336 -0.853 20 0 EBADMM CC1(C)C[C@@H]1C(=O)N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000937845301 651942284 /nfs/dbraw/zinc/94/22/84/651942284.db2.gz SSALJEBFMJPMMB-VHSXEESVSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(CO[C@@H]1CCOC1)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937860973 651957816 /nfs/dbraw/zinc/95/78/16/651957816.db2.gz RLGCDRBTBUQJQN-NWDGAFQWSA-N -1 1 335.360 -0.077 20 0 EBADMM O=C(CCn1cncn1)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937861236 651958301 /nfs/dbraw/zinc/95/83/01/651958301.db2.gz RKZDRIDYDVVZNW-NSHDSACASA-N -1 1 330.348 -0.200 20 0 EBADMM CC(=O)N1CC[C@@H](C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000937861252 651958328 /nfs/dbraw/zinc/95/83/28/651958328.db2.gz SUYNUHLPWCXVLK-OLZOCXBDSA-N -1 1 346.387 -0.014 20 0 EBADMM CN1CC[C@@H](C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)C1=O ZINC000937863555 651959152 /nfs/dbraw/zinc/95/91/52/651959152.db2.gz JKAYOJXVFLIGDA-WDEREUQCSA-N -1 1 332.360 -0.404 20 0 EBADMM CCN1C[C@@H](C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000937863979 651959171 /nfs/dbraw/zinc/95/91/71/651959171.db2.gz NDAJKPFYEKOGQH-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cn[nH]c(=O)c2)C1)c1ncccc1[O-] ZINC000937866103 651959890 /nfs/dbraw/zinc/95/98/90/651959890.db2.gz UBGDAGBWNGSANJ-JTQLQIEISA-N -1 1 329.316 -0.073 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)[C@H]2CCCC(=O)N2)C1)c1ncccc1[O-] ZINC000937865663 651960032 /nfs/dbraw/zinc/96/00/32/651960032.db2.gz MCEJSWJVBNEJRW-WDEREUQCSA-N -1 1 332.360 -0.213 20 0 EBADMM CCN1CCO[C@H](C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000937866582 651961161 /nfs/dbraw/zinc/96/11/61/651961161.db2.gz KGDAPUDQDSSLTC-JSGCOSHPSA-N -1 1 348.403 -0.161 20 0 EBADMM O=C(C[C@@H]1CCNC1=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000938073653 652067302 /nfs/dbraw/zinc/06/73/02/652067302.db2.gz MJWARZQOJAPCHR-QWRGUYRKSA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCCNC1=O ZINC000938109597 652084993 /nfs/dbraw/zinc/08/49/93/652084993.db2.gz GXNUFYJATUZDAW-WDEREUQCSA-N -1 1 332.360 -0.356 20 0 EBADMM CC1(C(=O)N2CCC[C@@H]2CNC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000944067886 652662710 /nfs/dbraw/zinc/66/27/10/652662710.db2.gz URHIKZOBPRNTCL-SNVBAGLBSA-N -1 1 344.375 -0.062 20 0 EBADMM COC[C@H](C)N1CC(N2CCN(C(=O)c3n[nH]c(C)c3[O-])CC2)C1 ZINC000941380772 652705846 /nfs/dbraw/zinc/70/58/46/652705846.db2.gz QUDCLYJMOUFLDP-NSHDSACASA-N -1 1 337.424 -0.099 20 0 EBADMM COC[C@@H](C)N1CC(N2CCN(C(=O)c3n[nH]c(C)c3[O-])CC2)C1 ZINC000941380771 652705863 /nfs/dbraw/zinc/70/58/63/652705863.db2.gz QUDCLYJMOUFLDP-LLVKDONJSA-N -1 1 337.424 -0.099 20 0 EBADMM O=C(c1ncccc1[O-])N1CCN(C2CN([C@@H]3CCNC3=O)C2)CC1 ZINC000941387736 652709576 /nfs/dbraw/zinc/70/95/76/652709576.db2.gz NAIBNAWAXAFHMB-CYBMUJFWSA-N -1 1 345.403 -0.882 20 0 EBADMM NC(=O)c1ccc(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)[nH]1 ZINC000938840707 652737819 /nfs/dbraw/zinc/73/78/19/652737819.db2.gz YZJOJIITQHUGPM-VIFPVBQESA-N -1 1 343.343 -0.141 20 0 EBADMM CN(C(=O)C1(C)CC1)[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000938872397 652750847 /nfs/dbraw/zinc/75/08/47/652750847.db2.gz XBBWDLVLWQATGP-GFCCVEGCSA-N -1 1 348.403 -0.214 20 0 EBADMM CN(C(=O)C(F)F)[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000938901464 652765581 /nfs/dbraw/zinc/76/55/81/652765581.db2.gz JVMCQCITZWEWOU-SSDOTTSWSA-N -1 1 340.290 -0.644 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1nnn(C)n1 ZINC000939113045 652832167 /nfs/dbraw/zinc/83/21/67/652832167.db2.gz BEBLWXQYTSOZDH-RKDXNWHRSA-N -1 1 331.336 -0.799 20 0 EBADMM Cn1cnc(C(=O)N2CCO[C@@H](CNC(=O)c3ncccc3[O-])C2)c1 ZINC000944559300 652858390 /nfs/dbraw/zinc/85/83/90/652858390.db2.gz BCMVKKUQPSTGML-NSHDSACASA-N -1 1 345.359 -0.208 20 0 EBADMM COc1c(=O)[nH]c(=O)[nH]c1C(=O)N[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC000941663085 652864330 /nfs/dbraw/zinc/86/43/30/652864330.db2.gz JXIKEHCDKSLADH-SSDOTTSWSA-N -1 1 348.319 -0.736 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCN(C(=O)c2cc[nH]n2)C1 ZINC000941663085 652864332 /nfs/dbraw/zinc/86/43/32/652864332.db2.gz JXIKEHCDKSLADH-SSDOTTSWSA-N -1 1 348.319 -0.736 20 0 EBADMM CSCC(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000941664046 652865308 /nfs/dbraw/zinc/86/53/08/652865308.db2.gz LCPOLUROUNNZCV-MRVPVSSYSA-N -1 1 336.377 -0.889 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)C2CC=CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000941663810 652865868 /nfs/dbraw/zinc/86/58/68/652865868.db2.gz FHDKIMFROFNJHM-LLVKDONJSA-N -1 1 342.359 -0.286 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@H]2CNC(=O)N2)C1 ZINC000939349238 652907039 /nfs/dbraw/zinc/90/70/39/652907039.db2.gz KKTNMVOVYMVIAD-VHSXEESVSA-N -1 1 333.348 -0.859 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)Cn1cccn1 ZINC000941819024 652921641 /nfs/dbraw/zinc/92/16/41/652921641.db2.gz JEKPSDWRDFJONE-NWDGAFQWSA-N -1 1 333.396 -0.668 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1ccc(=O)[nH]c1 ZINC000944634676 652923343 /nfs/dbraw/zinc/92/33/43/652923343.db2.gz NWZSBXHVCZFWGI-CMPLNLGQSA-N -1 1 346.391 -0.151 20 0 EBADMM Cc1c(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)cnn1C ZINC000941822993 652923515 /nfs/dbraw/zinc/92/35/15/652923515.db2.gz FIGBMQLODNEVCZ-ZWNOBZJWSA-N -1 1 347.423 -0.209 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)[nH]n1 ZINC000941858608 652931903 /nfs/dbraw/zinc/93/19/03/652931903.db2.gz RJIFUEGCQBXZMH-KOLCDFICSA-N -1 1 333.396 -0.220 20 0 EBADMM Cc1nn(C)cc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC000941894029 652944550 /nfs/dbraw/zinc/94/45/50/652944550.db2.gz ZUEPYTQAJJYPNA-ZWNOBZJWSA-N -1 1 347.423 -0.209 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1c[nH]c(=O)cn1 ZINC000939599881 653009000 /nfs/dbraw/zinc/00/90/00/653009000.db2.gz AJAMEMOVDGCHFW-MWLCHTKSSA-N -1 1 343.343 -0.239 20 0 EBADMM C[C@@H]1CN(Cc2nnn(C)n2)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC000941989355 653014195 /nfs/dbraw/zinc/01/41/95/653014195.db2.gz LLMSPSUQIPKMGJ-GHMZBOCLSA-N -1 1 331.380 -0.049 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@@H]1CNC(=O)N1 ZINC000939650736 653016106 /nfs/dbraw/zinc/01/61/06/653016106.db2.gz FTGSPHOAXGIIMY-KXUCPTDWSA-N -1 1 333.348 -0.955 20 0 EBADMM CCn1ccnc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC000942010139 653019758 /nfs/dbraw/zinc/01/97/58/653019758.db2.gz UZPQRJZTPCMWSI-NEPJUHHUSA-N -1 1 347.423 -0.035 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000942018772 653022246 /nfs/dbraw/zinc/02/22/46/653022246.db2.gz XGRRHSYOLNDZLY-OPRDCNLKSA-N -1 1 330.348 -0.596 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCNC(=O)C1 ZINC000939994489 653071873 /nfs/dbraw/zinc/07/18/73/653071873.db2.gz JAIPVEUOMOHHFX-GHMZBOCLSA-N -1 1 332.360 -0.356 20 0 EBADMM CC1(C)C[C@H]1C(=O)N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000940056922 653077140 /nfs/dbraw/zinc/07/71/40/653077140.db2.gz SSALJEBFMJPMMB-ZJUUUORDSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@@H]1CN(Cc2ccnn2C)CC[C@@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC000942301232 653077385 /nfs/dbraw/zinc/07/73/85/653077385.db2.gz CLWIPNWDBQRFCQ-KOLCDFICSA-N -1 1 347.379 -0.343 20 0 EBADMM C[C@H]1CN(Cc2cncn2C)CC[C@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC000942306392 653078889 /nfs/dbraw/zinc/07/88/89/653078889.db2.gz YCUMUWZLIHOPKH-GXSJLCMTSA-N -1 1 347.379 -0.343 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)[C@H]2CCNC(=O)C2)C1)c1ncccc1[O-] ZINC000940131334 653084889 /nfs/dbraw/zinc/08/48/89/653084889.db2.gz BLRBFTWUPVCQDC-WDEREUQCSA-N -1 1 332.360 -0.356 20 0 EBADMM Cn1oc(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)cc1=O ZINC000940187532 653091575 /nfs/dbraw/zinc/09/15/75/653091575.db2.gz DGASSYAGXOPXGB-SECBINFHSA-N -1 1 332.316 -0.277 20 0 EBADMM CN1CCOC[C@H]1C(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000946512496 653136613 /nfs/dbraw/zinc/13/66/13/653136613.db2.gz NVMSLJWGYIWOIJ-ZDUSSCGKSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1n[nH]cc1F ZINC000942600619 653160520 /nfs/dbraw/zinc/16/05/20/653160520.db2.gz RYDXVDPQKLTBKY-SCZZXKLOSA-N -1 1 337.359 -0.389 20 0 EBADMM Cc1nn[nH]c1C(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC000944698992 653166144 /nfs/dbraw/zinc/16/61/44/653166144.db2.gz NTAHTKCENWJMAA-JTQLQIEISA-N -1 1 346.347 -0.515 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1)c1ccncn1 ZINC000944735256 653210892 /nfs/dbraw/zinc/21/08/92/653210892.db2.gz WEPXXVHZZIIKDF-NSHDSACASA-N -1 1 343.343 -0.152 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@H]3CCOC3)C(C)(C)C2)nc1=O ZINC000940611379 653243868 /nfs/dbraw/zinc/24/38/68/653243868.db2.gz YBCOSYMRYLAZPM-NWDGAFQWSA-N -1 1 337.424 -0.138 20 0 EBADMM Cn1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)nn1 ZINC000940724673 653268389 /nfs/dbraw/zinc/26/83/89/653268389.db2.gz NRLSMDKAMBUSMU-LLVKDONJSA-N -1 1 348.411 -0.733 20 0 EBADMM O=C(Cn1cnnn1)N[C@H]1CCCCN(C(=O)c2ncccc2[O-])C1 ZINC000943069324 653283876 /nfs/dbraw/zinc/28/38/76/653283876.db2.gz ADNQGDKICUDMGX-NSHDSACASA-N -1 1 345.363 -0.415 20 0 EBADMM CN1C[C@@H](C(=O)N2CCC(NC(=O)c3ncccc3[O-])CC2)CC1=O ZINC000947028539 653294241 /nfs/dbraw/zinc/29/42/41/653294241.db2.gz VVUNJRVWJPAOIW-NSHDSACASA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1ncc(C(=O)N2CCCN(C(=O)c3ncccc3[O-])CC2)n1 ZINC000940833166 653298289 /nfs/dbraw/zinc/29/82/89/653298289.db2.gz VTINHMLHKNHRKT-UHFFFAOYSA-N -1 1 330.348 -0.096 20 0 EBADMM O=C(c1ncccc1[O-])N1CCCN(C(=O)[C@@H]2COC(=O)N2)CC1 ZINC000940833245 653298328 /nfs/dbraw/zinc/29/83/28/653298328.db2.gz ZTXBSTRHGNPFRV-JTQLQIEISA-N -1 1 334.332 -0.430 20 0 EBADMM O=C(C[C@@H]1CCNC1=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940832382 653298394 /nfs/dbraw/zinc/29/83/94/653298394.db2.gz FIXPWGXRGDAWAX-LBPRGKRZSA-N -1 1 346.387 -0.012 20 0 EBADMM Cc1c(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H](C)C2)cnn1C ZINC000947062837 653303394 /nfs/dbraw/zinc/30/33/94/653303394.db2.gz VMLDMQOVPXXPLK-JQWIXIFHSA-N -1 1 347.423 -0.067 20 0 EBADMM Cc1ncncc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@H](C)C1 ZINC000947085684 653308451 /nfs/dbraw/zinc/30/84/51/653308451.db2.gz XJXFVJZRRNGPLF-PWSUYJOCSA-N -1 1 345.407 -0.010 20 0 EBADMM Cc1nccc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)n1 ZINC000945005099 653314711 /nfs/dbraw/zinc/31/47/11/653314711.db2.gz ADGKBVPNGHLXQG-ZYHUDNBSSA-N -1 1 345.407 -0.153 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)Cc2cc[nH]n2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947148561 653327524 /nfs/dbraw/zinc/32/75/24/653327524.db2.gz QVSFVKRRETXRNP-QWRGUYRKSA-N -1 1 333.396 -0.457 20 0 EBADMM COCC(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@H](C)C1 ZINC000945115825 653345696 /nfs/dbraw/zinc/34/56/96/653345696.db2.gz LHCGBPOJROZNIO-NXEZZACHSA-N -1 1 348.363 -0.969 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2cn(C)nn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947262241 653351406 /nfs/dbraw/zinc/35/14/06/653351406.db2.gz UHYTZSHYPHUZJD-ZJUUUORDSA-N -1 1 334.384 -0.980 20 0 EBADMM Cc1c[nH]nc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H](C)C1 ZINC000947291542 653358252 /nfs/dbraw/zinc/35/82/52/653358252.db2.gz RNUYSOQSXQMICM-QWRGUYRKSA-N -1 1 333.396 -0.077 20 0 EBADMM Cc1nc(CC(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[n-]3)[C@@H](C)C2)n[nH]1 ZINC000947294236 653358937 /nfs/dbraw/zinc/35/89/37/653358937.db2.gz AZDQEPIOZQBOMD-WPRPVWTQSA-N -1 1 334.384 -0.351 20 0 EBADMM Cc1nc(CC(=O)N[C@@H]2CCN(Cc3n[nH]c(=O)[n-]3)[C@H](C)C2)n[nH]1 ZINC000947294233 653359941 /nfs/dbraw/zinc/35/99/41/653359941.db2.gz AZDQEPIOZQBOMD-PSASIEDQSA-N -1 1 334.384 -0.351 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)Cc2ccn(C)n2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947303829 653362620 /nfs/dbraw/zinc/36/26/20/653362620.db2.gz APRVJCOAEDBTHQ-NEPJUHHUSA-N -1 1 347.423 -0.446 20 0 EBADMM Cc1c[nH]c(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)n1 ZINC000943482756 653402956 /nfs/dbraw/zinc/40/29/56/653402956.db2.gz QHXWBFPLAFOFBV-GXSJLCMTSA-N -1 1 333.396 -0.220 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC(NC(=O)C(F)F)CC1 ZINC000947442770 653404183 /nfs/dbraw/zinc/40/41/83/653404183.db2.gz FUOBKBCOJWGPNG-UHFFFAOYSA-N -1 1 344.318 -0.701 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CC[C@H](NC(=O)Cc3nnc[nH]3)CC2)c1[O-] ZINC000943680067 653447707 /nfs/dbraw/zinc/44/77/07/653447707.db2.gz GFUQAJDQVDBBST-MGCOHNPYSA-N -1 1 347.379 -0.058 20 0 EBADMM O=C(NC1CCN(C(=O)c2ccn3nnnc3c2)CC1)c1cnn[nH]1 ZINC000947686749 653458832 /nfs/dbraw/zinc/45/88/32/653458832.db2.gz MQGNKBCFRZMTLQ-UHFFFAOYSA-N -1 1 341.335 -0.723 20 0 EBADMM C[C@H]1CN(C(=O)c2nnn(C)n2)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC000945459791 653459629 /nfs/dbraw/zinc/45/96/29/653459629.db2.gz ZQIULZVGZZSTES-UWVGGRQHSA-N -1 1 345.363 -0.409 20 0 EBADMM O=C(NC[C@H]1CCCN1C(=O)C1CC1)c1cc(=O)n2[n-]cnc2n1 ZINC000943803700 653475678 /nfs/dbraw/zinc/47/56/78/653475678.db2.gz XHUWKQJGRXWRDG-SNVBAGLBSA-N -1 1 330.348 -0.452 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2cnn(C)n2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947805266 653486583 /nfs/dbraw/zinc/48/65/83/653486583.db2.gz GPTHTHRTUSVPKW-UWVGGRQHSA-N -1 1 334.384 -0.980 20 0 EBADMM NC(=O)CC(=O)N1CCC[C@@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000943923334 653493883 /nfs/dbraw/zinc/49/38/83/653493883.db2.gz NHZQFVBOSYBNFC-SNVBAGLBSA-N -1 1 347.375 -0.344 20 0 EBADMM Cc1nonc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H](C)C1 ZINC000948095353 653522588 /nfs/dbraw/zinc/52/25/88/653522588.db2.gz VUQDKTRNYRHNHU-WCBMZHEXSA-N -1 1 335.368 -0.417 20 0 EBADMM CC[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)CC[C@@H]1NC(C)=O ZINC000948480945 653548485 /nfs/dbraw/zinc/54/84/85/653548485.db2.gz NIIMFODTHLWLAQ-OLZOCXBDSA-N -1 1 336.392 -0.310 20 0 EBADMM Cn1nnc(C(=O)N[C@@H]2CCC[C@@H]2CNC(=O)c2ncccc2[O-])n1 ZINC000946284257 653560018 /nfs/dbraw/zinc/56/00/18/653560018.db2.gz UJCOCDAHTWUVRN-NXEZZACHSA-N -1 1 345.363 -0.361 20 0 EBADMM Cn1nc(C2CC2)cc1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000948592659 653561220 /nfs/dbraw/zinc/56/12/20/653561220.db2.gz OEAMUJZWPXLPFQ-UHFFFAOYSA-N -1 1 345.407 -0.323 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnn(C)c2N)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000948604059 653562095 /nfs/dbraw/zinc/56/20/95/653562095.db2.gz MSPSBSWZMYCCAT-MWLCHTKSSA-N -1 1 344.375 -0.007 20 0 EBADMM Cc1ccncc1CC(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000948835485 653575300 /nfs/dbraw/zinc/57/53/00/653575300.db2.gz OQQIRCKLBWNCGQ-UHFFFAOYSA-N -1 1 330.392 -0.301 20 0 EBADMM Cc1nc2c([nH]1)CC[C@H](C(=O)N1CCN(Cc3n[nH]c(=O)[n-]3)CC1)C2 ZINC000948956951 653584947 /nfs/dbraw/zinc/58/49/47/653584947.db2.gz CKOYYMDRVZHWIK-NSHDSACASA-N -1 1 345.407 -0.009 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)CCc3ccncc3)CC2)nc1=O ZINC000949029395 653589457 /nfs/dbraw/zinc/58/94/57/653589457.db2.gz HIKHMQJGYHVPAJ-UHFFFAOYSA-N -1 1 330.392 -0.220 20 0 EBADMM CC[C@H](CN1CCN(C(=O)CCc2n[nH]c(=O)[n-]c2=O)CC1)OC ZINC000949069777 653592719 /nfs/dbraw/zinc/59/27/19/653592719.db2.gz OUOXUDFQRLZPSG-LLVKDONJSA-N -1 1 339.396 -0.215 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)[C@]34CCC[C@H]3OCC4)CC2)nc1=O ZINC000949257779 653850529 /nfs/dbraw/zinc/85/05/29/653850529.db2.gz LFTUIGKHAQWMLP-WBMJQRKESA-N -1 1 335.408 -0.288 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)N1CCC2(CC1)CN(Cc1nc(=O)n(C)[n-]1)CCO2 ZINC000949289541 653855482 /nfs/dbraw/zinc/85/54/82/653855482.db2.gz LYZVKZKYJRBQST-OLZOCXBDSA-N -1 1 349.435 -0.042 20 0 EBADMM CC1(C)C[C@@H]1C(=O)NC[C@@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000949455346 653898724 /nfs/dbraw/zinc/89/87/24/653898724.db2.gz APLWWOAAUVVPKX-VHSXEESVSA-N -1 1 344.375 -0.206 20 0 EBADMM CC(=O)N1CC(C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])C1 ZINC000949534876 653922110 /nfs/dbraw/zinc/92/21/10/653922110.db2.gz HSXVFSSWFLYKPE-LBPRGKRZSA-N -1 1 332.360 -0.404 20 0 EBADMM O=C(CO[C@@H]1CCOC1)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949538388 653922834 /nfs/dbraw/zinc/92/28/34/653922834.db2.gz JRWDEACSVLVEQG-NWDGAFQWSA-N -1 1 335.360 -0.077 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1c[nH]c(=O)cn1)c1ncccc1[O-] ZINC000949538672 653923728 /nfs/dbraw/zinc/92/37/28/653923728.db2.gz OTZVLCQERUFGPF-SECBINFHSA-N -1 1 329.316 -0.485 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1cnc[nH]c1=O)c1ncccc1[O-] ZINC000949538749 653923845 /nfs/dbraw/zinc/92/38/45/653923845.db2.gz QDKBKEMVCBEDCX-VIFPVBQESA-N -1 1 329.316 -0.073 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1cnc[nH]c1=O)c1ncccc1[O-] ZINC000949538748 653923964 /nfs/dbraw/zinc/92/39/64/653923964.db2.gz QDKBKEMVCBEDCX-SECBINFHSA-N -1 1 329.316 -0.073 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)[C@H]1CCC(=O)NC1)c1ncccc1[O-] ZINC000949541462 653923977 /nfs/dbraw/zinc/92/39/77/653923977.db2.gz IDKQTKDIMYTBLB-QWRGUYRKSA-N -1 1 332.360 -0.356 20 0 EBADMM CN1C[C@@H](C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])CC1=O ZINC000949541815 653924525 /nfs/dbraw/zinc/92/45/25/653924525.db2.gz PXLIIWNBIXLGGD-WDEREUQCSA-N -1 1 332.360 -0.404 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)CCc3ccccn3)CC2)nc1=O ZINC000949617581 653936639 /nfs/dbraw/zinc/93/66/39/653936639.db2.gz RKRFQXQXMAHELQ-UHFFFAOYSA-N -1 1 330.392 -0.220 20 0 EBADMM CCN(C(=O)c1cc(C)nn1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000949744322 653962901 /nfs/dbraw/zinc/96/29/01/653962901.db2.gz JABHFEIJIDEDFT-UHFFFAOYSA-N -1 1 333.396 -0.503 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)N1CC[NH+](C[C@@H](O)Cn2cccn2)CC1 ZINC000949788880 653976309 /nfs/dbraw/zinc/97/63/09/653976309.db2.gz NXWSBMAGBTWIRD-CYBMUJFWSA-N -1 1 346.391 -0.494 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)C3(c4cccnc4)CC3)CC2)nc1=O ZINC000949807318 653978362 /nfs/dbraw/zinc/97/83/62/653978362.db2.gz QFVUSYWAFYWJTB-UHFFFAOYSA-N -1 1 342.403 -0.121 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)[C@@H]3CCc4cccnc43)CC2)nc1=O ZINC000949899611 653998393 /nfs/dbraw/zinc/99/83/93/653998393.db2.gz GVZXAFCUTPFFPV-CYBMUJFWSA-N -1 1 342.403 -0.122 20 0 EBADMM O=C(N[C@@H]1CCCN(C(=O)c2ccn[nH]2)C1)c1n[nH]c(=O)[n-]c1=O ZINC000950115595 654041700 /nfs/dbraw/zinc/04/17/00/654041700.db2.gz UVLDUPLYGQITND-SSDOTTSWSA-N -1 1 333.308 -0.960 20 0 EBADMM Cc1ncc(C(=O)N2CCC[C@@H](NC(=O)Cn3c(=O)[n-][nH]c3=O)C2)[nH]1 ZINC000950224169 654068812 /nfs/dbraw/zinc/06/88/12/654068812.db2.gz SLGUADZNJGCYHI-SECBINFHSA-N -1 1 349.351 -0.858 20 0 EBADMM Cn1ncc(C(=O)N2CCC[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC000951349758 654097819 /nfs/dbraw/zinc/09/78/19/654097819.db2.gz AMUZXRVBBOXBSO-JTQLQIEISA-N -1 1 330.348 -0.050 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N[C@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000951362091 654103563 /nfs/dbraw/zinc/10/35/63/654103563.db2.gz JGQPHLZQCADYDT-AVGNSLFASA-N -1 1 348.403 -0.310 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H]1CNC(=O)c1cc[nH]c1 ZINC000950382111 654113295 /nfs/dbraw/zinc/11/32/95/654113295.db2.gz KNTXIJFVHDZJJP-VIFPVBQESA-N -1 1 347.331 -0.131 20 0 EBADMM O=C(N[C@@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CCC1 ZINC000951408096 654117623 /nfs/dbraw/zinc/11/76/23/654117623.db2.gz DKKGCCKIUPXYPL-LLVKDONJSA-N -1 1 344.375 -0.062 20 0 EBADMM C[C@H](Oc1cccnc1)C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000950424343 654128693 /nfs/dbraw/zinc/12/86/93/654128693.db2.gz MOKJOQXRKQSDMT-LBPRGKRZSA-N -1 1 346.391 -0.385 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cc(C(F)F)n[nH]3)CC2)nc1=O ZINC000950433723 654131410 /nfs/dbraw/zinc/13/14/10/654131410.db2.gz MGIALQDDHMDPMU-UHFFFAOYSA-N -1 1 341.322 -0.273 20 0 EBADMM CCN(C(=O)c1cc2n(n1)CCO2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950518753 654160340 /nfs/dbraw/zinc/16/03/40/654160340.db2.gz VBFGGZUHFCSSQC-UHFFFAOYSA-N -1 1 347.379 -0.956 20 0 EBADMM O=C(Cc1nc[nH]n1)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950542664 654173535 /nfs/dbraw/zinc/17/35/35/654173535.db2.gz GSQWOXOQGDECKC-SNVBAGLBSA-N -1 1 330.348 -0.131 20 0 EBADMM O=C(Cc1nnc[nH]1)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950542664 654173538 /nfs/dbraw/zinc/17/35/38/654173538.db2.gz GSQWOXOQGDECKC-SNVBAGLBSA-N -1 1 330.348 -0.131 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000950543202 654173690 /nfs/dbraw/zinc/17/36/90/654173690.db2.gz SZDRJQZTQHMDTA-CYBMUJFWSA-N -1 1 346.387 -0.014 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@H]2CCCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000950543203 654173752 /nfs/dbraw/zinc/17/37/52/654173752.db2.gz SZDRJQZTQHMDTA-ZDUSSCGKSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1cc(C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])n(C)c1=O ZINC000950629629 654201245 /nfs/dbraw/zinc/20/12/45/654201245.db2.gz HKKBECZRAGGUJF-JTQLQIEISA-N -1 1 345.359 -0.531 20 0 EBADMM Cc1ccc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c(=O)[nH]1 ZINC000950725161 654236464 /nfs/dbraw/zinc/23/64/64/654236464.db2.gz DFDDCCAKXQJTHH-UHFFFAOYSA-N -1 1 332.364 -0.525 20 0 EBADMM CN1CC[C@@H](C(=O)N2CCC[C@@H](NC(=O)c3ncccc3[O-])C2)C1=O ZINC000951692300 654250037 /nfs/dbraw/zinc/25/00/37/654250037.db2.gz XRKHEAIVWOZITO-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(N[C@@H]1CCCN(C(=O)[C@@H]2CNC(=O)N2)C1)c1ncccc1[O-] ZINC000951836435 654304046 /nfs/dbraw/zinc/30/40/46/654304046.db2.gz GRSMRMBQLAZFIQ-ZJUUUORDSA-N -1 1 333.348 -0.811 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3csc4cncn43)CC2)nc1=O ZINC000951126219 654394761 /nfs/dbraw/zinc/39/47/61/654394761.db2.gz BRYMNSIDARVINA-UHFFFAOYSA-N -1 1 347.404 -0.224 20 0 EBADMM CCC1(C(=O)N2CC[C@@H]2CNC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000951224974 654426052 /nfs/dbraw/zinc/42/60/52/654426052.db2.gz RXEJCHIOERZTOK-SNVBAGLBSA-N -1 1 344.375 -0.062 20 0 EBADMM CCCn1cc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c(C)n1 ZINC000952399302 654452864 /nfs/dbraw/zinc/45/28/64/654452864.db2.gz ONSWKINFVJLYSH-UHFFFAOYSA-N -1 1 347.423 -0.019 20 0 EBADMM C[C@@H]1CN(C(=O)[C@]2(C)CNC(=O)C2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000952443369 654460071 /nfs/dbraw/zinc/46/00/71/654460071.db2.gz HUPGGQGYDVKPEH-AGKHESDQSA-N -1 1 346.387 -0.110 20 0 EBADMM CC(=O)N1CC[C@]2(C1)CCCN(C(=O)c1cc(=O)n3[n-]cnc3n1)C2 ZINC000952817330 654504882 /nfs/dbraw/zinc/50/48/82/654504882.db2.gz MDMNZXSQNKDSCY-INIZCTEOSA-N -1 1 344.375 -0.108 20 0 EBADMM CCC(=O)N1CC[C@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)[C@H]2C1 ZINC000953222689 654545271 /nfs/dbraw/zinc/54/52/71/654545271.db2.gz DCRLMWYLZYAPBD-JQWIXIFHSA-N -1 1 344.375 -0.109 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H]2CCN(C(C)=O)C[C@@H]21 ZINC000953245409 654546652 /nfs/dbraw/zinc/54/66/52/654546652.db2.gz YDYZSIHJIDRXJG-UWVGGRQHSA-N -1 1 336.348 -0.021 20 0 EBADMM CCC(=O)N1CC[C@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]21 ZINC000953383887 654570759 /nfs/dbraw/zinc/57/07/59/654570759.db2.gz RCGAHEHLGLYKDC-ZYHUDNBSSA-N -1 1 344.375 -0.109 20 0 EBADMM CC(=O)N1CCCC[C@@H]1[C@H](C)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000953494532 654591842 /nfs/dbraw/zinc/59/18/42/654591842.db2.gz FFWWUMMYFDEMPM-JOYOIKCWSA-N -1 1 332.364 -0.063 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(N(C)C(=O)[C@@H]2C[C@@H]2C)C1 ZINC000953625611 654599679 /nfs/dbraw/zinc/59/96/79/654599679.db2.gz ZIMZSRNVXCMKFL-IONNQARKSA-N -1 1 336.348 -0.165 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1cnn(C)c1N ZINC000965925407 724541749 /nfs/dbraw/zinc/54/17/49/724541749.db2.gz JXYAOBKMDKGYBY-KOLCDFICSA-N -1 1 348.411 -0.936 20 0 EBADMM O=C([O-])N(CC(=O)N1CCO[C@H](c2nn[nH]n2)C1)C[C@H]1CCCO1 ZINC000740491536 719420373 /nfs/dbraw/zinc/42/03/73/719420373.db2.gz LZUXLSRYFQWAMY-ZJUUUORDSA-N -1 1 340.340 -0.741 20 0 EBADMM Cn1nc(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)ccc1=O ZINC000936141063 719491634 /nfs/dbraw/zinc/49/16/34/719491634.db2.gz NHMHDQDFPZMVQL-SNVBAGLBSA-N -1 1 343.343 -0.475 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)C[C@@H]2CCC(=O)N2)C1 ZINC000954124109 719569531 /nfs/dbraw/zinc/56/95/31/719569531.db2.gz LUGAZPADUNVKFX-JTQLQIEISA-N -1 1 332.360 -0.261 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)c2cnc[nH]c2=O)C1 ZINC000954127709 719570806 /nfs/dbraw/zinc/57/08/06/719570806.db2.gz DIBDJGKXXGGSQK-UHFFFAOYSA-N -1 1 329.316 -0.121 20 0 EBADMM CN(C(=O)c1cnc(C2CC2)[n-]c1=O)C1CN(C(=O)c2cn[nH]n2)C1 ZINC000954305304 719659767 /nfs/dbraw/zinc/65/97/67/719659767.db2.gz FWVCZTOREAPQQE-UHFFFAOYSA-N -1 1 343.347 -0.226 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)CN1CCCC1=O ZINC000966333545 724615570 /nfs/dbraw/zinc/61/55/70/724615570.db2.gz VYHVEZNOZWEXBU-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)Cc1nc[nH]n1 ZINC000966351115 724620377 /nfs/dbraw/zinc/62/03/77/724620377.db2.gz RPPBOORBZUJEFH-VHSXEESVSA-N -1 1 330.348 -0.275 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)Cc1nnc[nH]1 ZINC000966351115 724620381 /nfs/dbraw/zinc/62/03/81/724620381.db2.gz RPPBOORBZUJEFH-VHSXEESVSA-N -1 1 330.348 -0.275 20 0 EBADMM CC(=O)N1CC(C(=O)N2C[C@H](C)[C@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000966369720 724626524 /nfs/dbraw/zinc/62/65/24/724626524.db2.gz ZZCUUXDLVZZBNW-GXFFZTMASA-N -1 1 346.387 -0.158 20 0 EBADMM C[C@@H](C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1)N1CCOCC1 ZINC000954736741 719876165 /nfs/dbraw/zinc/87/61/65/719876165.db2.gz NNXJLZPTYCTNNR-LBPRGKRZSA-N -1 1 348.403 -0.209 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C1CN(C(=O)[C@H](C)OC)C1 ZINC000954828934 719924130 /nfs/dbraw/zinc/92/41/30/719924130.db2.gz TTYLYTSOGBULQF-ZETCQYMHSA-N -1 1 340.336 -0.786 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)C2CCC2)C1 ZINC000954830502 719925205 /nfs/dbraw/zinc/92/52/05/719925205.db2.gz IKSLBFPANCWCNE-UHFFFAOYSA-N -1 1 330.348 -0.500 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(N(C)C(=O)c2cnco2)C1 ZINC000954870721 719943251 /nfs/dbraw/zinc/94/32/51/719943251.db2.gz WACPCXAIDPFTOL-UHFFFAOYSA-N -1 1 349.303 -0.519 20 0 EBADMM CN(C(=O)[C@H]1CN(C)C(=O)N1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954967112 719998469 /nfs/dbraw/zinc/99/84/69/719998469.db2.gz YIPMOFSPZLXLOX-SNVBAGLBSA-N -1 1 333.348 -0.906 20 0 EBADMM CC(=O)N1CC[C@H](C(=O)N(C)C2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000955004216 720007727 /nfs/dbraw/zinc/00/77/27/720007727.db2.gz UNKFSTVNRXGBMZ-LBPRGKRZSA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C(=O)[C@@H]1CCC(=O)N1C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955023190 720018292 /nfs/dbraw/zinc/01/82/92/720018292.db2.gz GMRCNQULASHTQY-NSHDSACASA-N -1 1 332.360 -0.309 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)Cn2ncnn2)CCN1C(=O)c1ncccc1[O-] ZINC000955648927 720209930 /nfs/dbraw/zinc/20/99/30/720209930.db2.gz HIZAVRNDUBEHLM-GHMZBOCLSA-N -1 1 345.363 -0.417 20 0 EBADMM CN1C[C@@H](C(=O)N2CCC[C@H]2CNC(=O)c2ncccc2[O-])NC1=O ZINC000956137146 720375557 /nfs/dbraw/zinc/37/55/57/720375557.db2.gz QJNWFOJFBFBMPH-QWRGUYRKSA-N -1 1 347.375 -0.468 20 0 EBADMM C[C@H]1CN(C(=O)c2cnn(C)n2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966599148 724694130 /nfs/dbraw/zinc/69/41/30/724694130.db2.gz ZXPJXCIYQAAHRY-GXSJLCMTSA-N -1 1 330.348 -0.194 20 0 EBADMM Cc1ncc(CC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)o1 ZINC000966697214 724722444 /nfs/dbraw/zinc/72/24/44/724722444.db2.gz XHEGWKWJXIDSES-GXFFZTMASA-N -1 1 348.407 -0.026 20 0 EBADMM C[C@H]1CN(C(=O)C(N)=O)C[C@H]1NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000966764403 724746405 /nfs/dbraw/zinc/74/64/05/724746405.db2.gz ZVXUZRFTKWBKJT-OIBJUYFYSA-N -1 1 333.348 -0.878 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1cnc[nH]c1=O ZINC000966896586 724778509 /nfs/dbraw/zinc/77/85/09/724778509.db2.gz UGPSXDDAQUSBJM-KOLCDFICSA-N -1 1 347.379 -0.756 20 0 EBADMM CC(=O)N(C)C[C@@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000967095611 724797757 /nfs/dbraw/zinc/79/77/57/724797757.db2.gz QGSGUPFBPOIVLJ-NSHDSACASA-N -1 1 332.364 -0.252 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@]2(C)CCN(C(=O)CCc3c[nH]nn3)C2)c1[O-] ZINC000956831810 722114850 /nfs/dbraw/zinc/11/48/50/722114850.db2.gz RBZKKUSELBDEKZ-HNNXBMFYSA-N -1 1 347.379 -0.105 20 0 EBADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)[C@H]2COC(=O)N2)C1 ZINC000956848635 722119077 /nfs/dbraw/zinc/11/90/77/722119077.db2.gz CVJQSQZMKUFGET-RFAUZJTJSA-N -1 1 334.332 -0.384 20 0 EBADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)[C@H]2CCNC2=O)C1 ZINC000956852219 722119811 /nfs/dbraw/zinc/11/98/11/722119811.db2.gz TXQZLKXHCXFOAY-QFYYESIMSA-N -1 1 332.360 -0.356 20 0 EBADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)C2(C(N)=O)CC2)C1 ZINC000956850691 722119880 /nfs/dbraw/zinc/11/98/80/722119880.db2.gz RFIQLOSRUFGTMM-OAHLLOKOSA-N -1 1 332.360 -0.227 20 0 EBADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)C2(C(N)=O)CC2)C1 ZINC000956850690 722119894 /nfs/dbraw/zinc/11/98/94/722119894.db2.gz RFIQLOSRUFGTMM-HNNXBMFYSA-N -1 1 332.360 -0.227 20 0 EBADMM CN1C[C@@H](C(=O)N2CC[C@](C)(NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000956852497 722120239 /nfs/dbraw/zinc/12/02/39/722120239.db2.gz XWRKZTTVNOOWJZ-GTNSWQLSSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)CC[C@@H]3CCCOC3)CC2)nc1=O ZINC000956953250 722138800 /nfs/dbraw/zinc/13/88/00/722138800.db2.gz YIEPCGPWUZRSFV-ZDUSSCGKSA-N -1 1 337.424 -0.041 20 0 EBADMM CCN(C(=O)c1cnn(CC)c1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000956994906 722143453 /nfs/dbraw/zinc/14/34/53/722143453.db2.gz OPDRLQWNRWCHHA-UHFFFAOYSA-N -1 1 347.423 -0.020 20 0 EBADMM CO[C@@H](C)C(=O)N1CCN(C2CN(C(=O)c3ncccc3[O-])C2)CC1 ZINC000967196986 724829627 /nfs/dbraw/zinc/82/96/27/724829627.db2.gz ZPARFHDYAJZUQO-LBPRGKRZSA-N -1 1 348.403 -0.209 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)CCc3cnccn3)CC2)nc1=O ZINC000957079819 722152374 /nfs/dbraw/zinc/15/23/74/722152374.db2.gz WHZLGGQERYMNHK-UHFFFAOYSA-N -1 1 331.380 -0.825 20 0 EBADMM CCN(C(=O)c1ccc(OC)nn1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000957094403 722155439 /nfs/dbraw/zinc/15/54/39/722155439.db2.gz ANWPAZUAIBWYNB-UHFFFAOYSA-N -1 1 347.379 -0.747 20 0 EBADMM CCN(C(=O)[C@@H]1C[C@@]12CCOC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000957108822 722158176 /nfs/dbraw/zinc/15/81/76/722158176.db2.gz ODFZRRVPQGMNDZ-BLLLJJGKSA-N -1 1 335.408 -0.432 20 0 EBADMM CCN(C(=O)c1[nH]c(=O)[n-]c(=O)c1OC)C1CN(C[C@H](C)O)C1 ZINC000957132732 722161556 /nfs/dbraw/zinc/16/15/56/722161556.db2.gz YDKLLGBSWLHTJB-QMMMGPOBSA-N -1 1 326.353 -0.577 20 0 EBADMM CCN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(Cc2ccon2)C1 ZINC000957134407 722162007 /nfs/dbraw/zinc/16/20/07/722162007.db2.gz LNQDOACMRNNRSD-UHFFFAOYSA-N -1 1 343.347 -0.248 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCN(CCOC2CCC2)CC1 ZINC000957315038 722193487 /nfs/dbraw/zinc/19/34/87/722193487.db2.gz USHDIZJSJBKBES-UHFFFAOYSA-N -1 1 346.391 -0.256 20 0 EBADMM Cc1conc1CN1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000957319243 722195433 /nfs/dbraw/zinc/19/54/33/722195433.db2.gz DIGYUMGIBCXZMP-UHFFFAOYSA-N -1 1 343.347 -0.328 20 0 EBADMM COCC(=O)N1CC(N2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC000967207405 724834946 /nfs/dbraw/zinc/83/49/46/724834946.db2.gz DXPJLDUYPIMNFR-UHFFFAOYSA-N -1 1 334.376 -0.598 20 0 EBADMM CCC(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(C(=O)CCn1cc[n-]c(=O)c1=O)C2 ZINC000957818749 722245186 /nfs/dbraw/zinc/24/51/86/722245186.db2.gz VCFKMUHXSAQNDB-AVGNSLFASA-N -1 1 348.403 -0.168 20 0 EBADMM Cn1[n-]c(CN2C[C@H](O)[C@@H](CNC(=O)c3ccccc3)C2)nc1=O ZINC000957827480 722245958 /nfs/dbraw/zinc/24/59/58/722245958.db2.gz ZLNBMBGZIVPLQL-STQMWFEESA-N -1 1 331.376 -0.669 20 0 EBADMM Cc1ccoc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC000957855354 722252818 /nfs/dbraw/zinc/25/28/18/722252818.db2.gz LZZATUAZTRHDQW-MNOVXSKESA-N -1 1 335.364 -0.767 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@@H](CNC(=O)c3ccsc3)C2)nc1=O ZINC000957859472 722253635 /nfs/dbraw/zinc/25/36/35/722253635.db2.gz PSZCLUHPVLTDQZ-WDEREUQCSA-N -1 1 337.405 -0.607 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2CCN(C)C2=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000957879928 722256608 /nfs/dbraw/zinc/25/66/08/722256608.db2.gz QYJMQINLHILEBQ-IJLUTSLNSA-N -1 1 346.387 -0.158 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)[C@@H]3COc4ccccc43)CC2)nc1=O ZINC000957903305 722263674 /nfs/dbraw/zinc/26/36/74/722263674.db2.gz WUFRTZMISAHZJJ-CYBMUJFWSA-N -1 1 343.387 -0.071 20 0 EBADMM Cc1cccnc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC000957970820 722279219 /nfs/dbraw/zinc/27/92/19/722279219.db2.gz OETXSQRVDVBFSC-VXGBXAGGSA-N -1 1 346.391 -0.965 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2CN(Cc3cnn(C)c3)C[C@H]2O)c1[O-] ZINC000957974325 722279847 /nfs/dbraw/zinc/27/98/47/722279847.db2.gz BCDBHQDDDGPZEX-NWDGAFQWSA-N -1 1 334.380 -0.620 20 0 EBADMM O=C(CN1C[C@@H](CNC(=O)c2ncccc2[O-])[C@H](O)C1)N1CCC1 ZINC000957990482 722283862 /nfs/dbraw/zinc/28/38/62/722283862.db2.gz NHBXQGQPLAUTCQ-DGCLKSJQSA-N -1 1 334.376 -0.958 20 0 EBADMM O=C(NC[C@@H]1CN(Cc2nncs2)C[C@H]1O)c1ncccc1[O-] ZINC000957994874 722285087 /nfs/dbraw/zinc/28/50/87/722285087.db2.gz JCTCRZVFZVRQSA-MWLCHTKSSA-N -1 1 335.389 -0.139 20 0 EBADMM CCc1nnc(CN2C[C@@H](O)[C@@H](CNC(=O)c3ncccc3[O-])C2)o1 ZINC000957996865 722285566 /nfs/dbraw/zinc/28/55/66/722285566.db2.gz VIUXRAYQGRNBJI-CMPLNLGQSA-N -1 1 347.375 -0.045 20 0 EBADMM O=C(NC[C@@H]1CN(C/C=C\Cl)C[C@H]1O)c1n[nH]c(=O)[n-]c1=O ZINC000958222657 722329782 /nfs/dbraw/zinc/32/97/82/722329782.db2.gz FNUNWNOAXCQACJ-LQKKCHKWSA-N -1 1 329.744 -0.942 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2CNC(=O)N2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000958295485 722339249 /nfs/dbraw/zinc/33/92/49/722339249.db2.gz LKBIHEKSVJABAX-KXUCPTDWSA-N -1 1 333.348 -0.955 20 0 EBADMM Cc1cocc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC000958317328 722344313 /nfs/dbraw/zinc/34/43/13/722344313.db2.gz WCWBDUOOEQUJDQ-CMPLNLGQSA-N -1 1 335.364 -0.767 20 0 EBADMM CCn1ccnc1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000958728984 722421039 /nfs/dbraw/zinc/42/10/39/722421039.db2.gz YBIULIYXCDXNCW-IAZYJMLFSA-N -1 1 331.380 -0.815 20 0 EBADMM CN(C(=O)C[C@@H]1CCOC1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958767326 722429906 /nfs/dbraw/zinc/42/99/06/722429906.db2.gz NUHVLDKDPBMYNY-OBJOEFQTSA-N -1 1 349.387 -0.143 20 0 EBADMM CN(C(=O)c1cn(C)cn1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958822828 722598333 /nfs/dbraw/zinc/59/83/33/722598333.db2.gz JWMHANHYELZJLW-AAEUAGOBSA-N -1 1 345.359 -0.522 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)c2cnccn2)C[C@@H]1O ZINC000958830111 722603925 /nfs/dbraw/zinc/60/39/25/722603925.db2.gz FFTPBORVENXJEM-AAEUAGOBSA-N -1 1 343.343 -0.465 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)c2cn[nH]c2)C[C@@H]1O ZINC000958830059 722603981 /nfs/dbraw/zinc/60/39/81/722603981.db2.gz CIVFSGPJXDJOMM-JQWIXIFHSA-N -1 1 331.332 -0.532 20 0 EBADMM C[C@@H]1CCO[C@@H]1C(=O)N(C)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958964996 722675798 /nfs/dbraw/zinc/67/57/98/722675798.db2.gz BHUUIBRSCXOMJO-MPXAEWJHSA-N -1 1 349.387 -0.144 20 0 EBADMM C[C@@H](NC(=O)C1CC1)[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000959214015 722698978 /nfs/dbraw/zinc/69/89/78/722698978.db2.gz OZTLDHOPHTZYTL-KOLCDFICSA-N -1 1 344.375 -0.206 20 0 EBADMM CCC(=O)N1C[C@@H]2CN(C(=O)c3[nH]c(=O)[n-]c(=O)c3OC)C[C@@H]2C1 ZINC000959769435 722759592 /nfs/dbraw/zinc/75/95/92/722759592.db2.gz USZDUBPIQQVRQY-DTORHVGOSA-N -1 1 336.348 -0.163 20 0 EBADMM Cc1c(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)nnn1C ZINC000959936789 722776161 /nfs/dbraw/zinc/77/61/61/722776161.db2.gz KTGDUNDMKBGWBZ-JTQLQIEISA-N -1 1 334.384 -0.268 20 0 EBADMM O=C(c1c[nH]c(=O)cn1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000960106619 722793662 /nfs/dbraw/zinc/79/36/62/722793662.db2.gz UNKVQDDHSRRTHG-VIFPVBQESA-N -1 1 333.352 -0.622 20 0 EBADMM O=C(c1cn[nH]c1)N1C[C@H]2OCCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC000960276925 722813269 /nfs/dbraw/zinc/81/32/69/722813269.db2.gz MIOJDKGCRFZQLE-WCQYABFASA-N -1 1 343.343 -0.124 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)Cc1ccnn1C ZINC000960596080 722842548 /nfs/dbraw/zinc/84/25/48/722842548.db2.gz IYDHCTRLCRJWCE-CYBMUJFWSA-N -1 1 347.423 -0.493 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)Cc1ccnn1C ZINC000960596082 722842587 /nfs/dbraw/zinc/84/25/87/722842587.db2.gz IYDHCTRLCRJWCE-ZDUSSCGKSA-N -1 1 347.423 -0.493 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)Cc1ccn(C)n1 ZINC000960626033 722846243 /nfs/dbraw/zinc/84/62/43/722846243.db2.gz DHBAMJBDSSUZNK-ZDUSSCGKSA-N -1 1 347.423 -0.493 20 0 EBADMM CN(Cc1cnn(C)c1)C[C@@H]1CCCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000960734287 722858648 /nfs/dbraw/zinc/85/86/48/722858648.db2.gz CBUKSNQKMLFWKW-NSHDSACASA-N -1 1 347.379 -0.247 20 0 EBADMM Cc1nc([C@H](C)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC000960805965 722866923 /nfs/dbraw/zinc/86/69/23/722866923.db2.gz DYSYFKBASHNOKZ-URJBTRRKSA-N -1 1 346.351 -0.869 20 0 EBADMM Cc1ncc(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2n[nH]c(=O)[n-]c2=O)o1 ZINC000960805901 722867016 /nfs/dbraw/zinc/86/70/16/722867016.db2.gz DTSNMFAEYZUPPZ-MYJAWHEDSA-N -1 1 332.320 -0.561 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC000960816109 722868107 /nfs/dbraw/zinc/86/81/07/722868107.db2.gz OCZSCXIFSCEDFN-CIQGVGRVSA-N -1 1 335.408 -0.576 20 0 EBADMM C[N@@H+](Cc1cc(=O)[nH]c(C2CC2)n1)C[C@@H]1CCCN1C(=O)C(N)=O ZINC000960823285 722869091 /nfs/dbraw/zinc/86/90/91/722869091.db2.gz AKHRXQOJOVXBMV-LBPRGKRZSA-N -1 1 333.392 -0.032 20 0 EBADMM Cc1nonc1CC(=O)N1CCC[C@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC000960964018 722882532 /nfs/dbraw/zinc/88/25/32/722882532.db2.gz JKZZSINJBSSLOJ-NSHDSACASA-N -1 1 349.395 -0.535 20 0 EBADMM Cc1cnc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)c(C)c1 ZINC000961329834 723005982 /nfs/dbraw/zinc/00/59/82/723005982.db2.gz TWMOOENGFANRFR-JYAVWHMHSA-N -1 1 342.403 -0.020 20 0 EBADMM Cn1ncc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c1Cl ZINC001019045997 728781080 /nfs/dbraw/zinc/78/10/80/728781080.db2.gz MJOHPCUKVVGNAG-ZETCQYMHSA-N -1 1 325.760 -0.099 20 0 EBADMM Cc1n[nH]c(C(=O)NC2CC(CN[C@@H](C)c3nnnn3C)C2)c1[O-] ZINC000961725102 723063462 /nfs/dbraw/zinc/06/34/62/723063462.db2.gz PAHOZMNTVFFUBF-IDKOKCKLSA-N -1 1 334.384 -0.194 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)NC1[C@H]2CN(C/C=C/Cl)C[C@@H]12 ZINC000962069177 723164703 /nfs/dbraw/zinc/16/47/03/723164703.db2.gz UVBQEWMSGPIBNC-OWMSQLQESA-N -1 1 348.794 -0.130 20 0 EBADMM CCCc1nc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)co1 ZINC000962281787 723214873 /nfs/dbraw/zinc/21/48/73/723214873.db2.gz QSQBWRSLQYECRA-MSRIBSCDSA-N -1 1 346.391 -0.091 20 0 EBADMM C[C@@H](C(N)=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC000962281851 723215198 /nfs/dbraw/zinc/21/51/98/723215198.db2.gz RFLMIDVEQBTHHZ-LITAXDCLSA-N -1 1 331.332 -0.538 20 0 EBADMM CO[C@H](C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1)C1CC1 ZINC000962435272 723251822 /nfs/dbraw/zinc/25/18/22/723251822.db2.gz OCAYVZVHGQYMOH-OSMZGAPFSA-N -1 1 335.360 -0.486 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2cccc(=O)[nH]2)C[C@@H]1O)c1ncccc1[O-] ZINC000962435345 723252264 /nfs/dbraw/zinc/25/22/64/723252264.db2.gz QEABMXSOFSORLT-PWSUYJOCSA-N -1 1 344.327 -0.497 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)C[C@@H]1O)c1ncccc1[O-] ZINC000962436139 723252737 /nfs/dbraw/zinc/25/27/37/723252737.db2.gz BVDRDZGOLFCVMT-AFCCXKIYSA-N -1 1 347.371 -0.344 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)C1=CCOCC1 ZINC000962444037 723254760 /nfs/dbraw/zinc/25/47/60/723254760.db2.gz KEBQRTFODQTTLS-YPMHNXCESA-N -1 1 333.344 -0.565 20 0 EBADMM CC(=O)N1CCC[C@H]2[C@H]1CCN2C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000962534193 723277989 /nfs/dbraw/zinc/27/79/89/723277989.db2.gz NRAXVIRWPHPALA-NWDGAFQWSA-N -1 1 330.348 -0.357 20 0 EBADMM Cc1nccc(CCC(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001019189392 728821779 /nfs/dbraw/zinc/82/17/79/728821779.db2.gz JRVKNGWCAWNULA-LBPRGKRZSA-N -1 1 331.380 -0.068 20 0 EBADMM O=C(c1c[nH]nc1[C@H]1CCOC1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019198371 728824773 /nfs/dbraw/zinc/82/47/73/728824773.db2.gz YUSGRSKNDQVRQT-UWVGGRQHSA-N -1 1 347.379 -0.258 20 0 EBADMM Cc1nccc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)n1 ZINC000963735484 723473793 /nfs/dbraw/zinc/47/37/93/723473793.db2.gz ORIBQUJEJRMZGN-YPMHNXCESA-N -1 1 343.343 -0.499 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CC2(C1)CCN(C(=O)C1CC1)C2 ZINC001019206864 728826103 /nfs/dbraw/zinc/82/61/03/728826103.db2.gz GFJZKCJHSSFLBR-UHFFFAOYSA-N -1 1 342.359 -0.498 20 0 EBADMM CC(C)(O)CC(=O)N1CCOC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000964155437 723841724 /nfs/dbraw/zinc/84/17/24/723841724.db2.gz OEJJZJWKLTUXMZ-NSHDSACASA-N -1 1 337.376 -0.095 20 0 EBADMM O=C(Cn1ccnc1)N1CCOC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000964155753 723841827 /nfs/dbraw/zinc/84/18/27/723841827.db2.gz RIPFVCJOIRMGRP-LBPRGKRZSA-N -1 1 345.359 -0.359 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1n[nH]cc1F)c1ncccc1[O-] ZINC000964157125 723842961 /nfs/dbraw/zinc/84/29/61/723842961.db2.gz XWVGEJQYDHKYAW-VIFPVBQESA-N -1 1 349.322 -0.080 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1ncccc1[O-])c1cn[nH]n1 ZINC000964250379 723913824 /nfs/dbraw/zinc/91/38/24/723913824.db2.gz ZJLLXOZPTUKTAI-SECBINFHSA-N -1 1 332.320 -0.824 20 0 EBADMM COCC(=O)N1CCCC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000964400913 724005536 /nfs/dbraw/zinc/00/55/36/724005536.db2.gz GTTFHUAOJWDCFR-SNVBAGLBSA-N -1 1 348.363 -0.825 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)C1=COCCO1 ZINC000964711679 724050094 /nfs/dbraw/zinc/05/00/94/724050094.db2.gz KCEZKZVMKOGEOJ-QWRGUYRKSA-N -1 1 337.380 -0.677 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cc[n+]([O-])cc1 ZINC000964867801 724068458 /nfs/dbraw/zinc/06/84/58/724068458.db2.gz BGYBBPBNJNFBKG-DGCLKSJQSA-N -1 1 346.391 -0.618 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cnsn1 ZINC000965219094 724147118 /nfs/dbraw/zinc/14/71/18/724147118.db2.gz MZVIYVUFPLJLSS-WCBMZHEXSA-N -1 1 337.409 -0.400 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC2(C1)C[C@@H](NC(C)=O)CO2 ZINC000965591396 724310203 /nfs/dbraw/zinc/31/02/03/724310203.db2.gz MTRPEZBEIMQUMA-MRVPVSSYSA-N -1 1 338.320 -0.984 20 0 EBADMM Cc1cc(CC(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)no1 ZINC000965649813 724342266 /nfs/dbraw/zinc/34/22/66/724342266.db2.gz CBTXCEKWEOYYKY-MFKMUULPSA-N -1 1 348.407 -0.026 20 0 EBADMM Cn1nnc(CN[C@H]2CCN(C(=O)c3cnc(C4CC4)[n-]c3=O)C2)n1 ZINC001019478537 728897086 /nfs/dbraw/zinc/89/70/86/728897086.db2.gz HGJABICZWVPMJN-JTQLQIEISA-N -1 1 344.379 -0.413 20 0 EBADMM O=C(N[C@H]1COC2(CN(C(=O)c3nc[nH]n3)C2)C1)c1ncccc1[O-] ZINC000965679349 724361400 /nfs/dbraw/zinc/36/14/00/724361400.db2.gz CVEXVEVSGKCDEO-SECBINFHSA-N -1 1 344.331 -0.681 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1nccnc1N ZINC000965685812 724365648 /nfs/dbraw/zinc/36/56/48/724365648.db2.gz CBNLZNUIBOORCB-UWVGGRQHSA-N -1 1 346.395 -0.879 20 0 EBADMM CC(=O)N1CC[C@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@H]2CC1 ZINC000967295015 724862267 /nfs/dbraw/zinc/86/22/67/724862267.db2.gz SPKMKSHHWLMHDS-OKILXGFUSA-N -1 1 348.403 -0.356 20 0 EBADMM O=C(c1ccc2n[nH]c(=O)n2c1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019507694 728903840 /nfs/dbraw/zinc/90/38/40/728903840.db2.gz JINUTDNJZFUGFW-VIFPVBQESA-N -1 1 344.335 -0.737 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)CS(C)(=O)=O)C1 ZINC000967898772 724927699 /nfs/dbraw/zinc/92/76/99/724927699.db2.gz JNDAJCQCHFJLGE-UHFFFAOYSA-N -1 1 341.389 -0.495 20 0 EBADMM Cc1conc1CN[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001019523283 728907976 /nfs/dbraw/zinc/90/79/76/728907976.db2.gz VQEPCXBTIQDSPO-LBPRGKRZSA-N -1 1 347.375 -0.386 20 0 EBADMM CCN(C(=O)[C@H]1CCCNC1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000968056466 724954501 /nfs/dbraw/zinc/95/45/01/724954501.db2.gz YGKYQQCUAIVAJQ-LBPRGKRZSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1n[nH]cc1F ZINC000968193710 724976067 /nfs/dbraw/zinc/97/60/67/724976067.db2.gz WKUGRASJLUQERE-WPRPVWTQSA-N -1 1 337.359 -0.389 20 0 EBADMM CCN(C(=O)c1c(C)nc[nH]c1=O)C1CN(C(=O)c2[nH]nnc2C)C1 ZINC000968256117 724992850 /nfs/dbraw/zinc/99/28/50/724992850.db2.gz OBZPFYLFHJQULH-UHFFFAOYSA-N -1 1 345.363 -0.096 20 0 EBADMM CC(=O)Nc1cc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)ccn1 ZINC001019539180 728913578 /nfs/dbraw/zinc/91/35/78/728913578.db2.gz QMGMDYRATCDWQD-NSHDSACASA-N -1 1 345.363 -0.132 20 0 EBADMM CCN(C(=O)[C@@H]1CCN(C)C1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000968264847 725042934 /nfs/dbraw/zinc/04/29/34/725042934.db2.gz YZRCCHZUVWFCOK-GFCCVEGCSA-N -1 1 346.387 -0.062 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1ccnnc1 ZINC000968357856 725055277 /nfs/dbraw/zinc/05/52/77/725055277.db2.gz URRYYVKCNCIBOV-PWSUYJOCSA-N -1 1 331.380 -0.461 20 0 EBADMM CCn1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)n1 ZINC000968382298 725057512 /nfs/dbraw/zinc/05/75/12/725057512.db2.gz NGWVDGIPUYCMBK-WCQYABFASA-N -1 1 347.423 -0.035 20 0 EBADMM CCc1n[nH]cc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000968683802 725102068 /nfs/dbraw/zinc/10/20/68/725102068.db2.gz CDIDDEWARGTRCV-YPMHNXCESA-N -1 1 345.359 -0.312 20 0 EBADMM O=C(c1ccnc2ccnn21)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000968889739 725125965 /nfs/dbraw/zinc/12/59/65/725125965.db2.gz HPURBGHSQYQLOL-SECBINFHSA-N -1 1 328.336 -0.443 20 0 EBADMM O=C(c1ccc2c(n1)OCCO2)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969200273 725161202 /nfs/dbraw/zinc/16/12/02/725161202.db2.gz VTVNOUOLSCQMGZ-SECBINFHSA-N -1 1 346.347 -0.319 20 0 EBADMM O=C(c1cccc2ncnn21)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969218125 725162626 /nfs/dbraw/zinc/16/26/26/725162626.db2.gz NAGQONDUEBVCQB-SECBINFHSA-N -1 1 328.336 -0.443 20 0 EBADMM CCc1cc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)no1 ZINC000969341862 725171936 /nfs/dbraw/zinc/17/19/36/725171936.db2.gz QEDBEOPOYLIOGP-YPMHNXCESA-N -1 1 346.343 -0.047 20 0 EBADMM O=C(c1cnc2nccn2c1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969343946 725172341 /nfs/dbraw/zinc/17/23/41/725172341.db2.gz MMWZOVZGOLZCOZ-SNVBAGLBSA-N -1 1 328.336 -0.443 20 0 EBADMM Cc1nn(C)cc1C(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969396647 725176029 /nfs/dbraw/zinc/17/60/29/725176029.db2.gz VQBCDHICEUZSDX-SECBINFHSA-N -1 1 333.396 -0.599 20 0 EBADMM CCc1nc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)co1 ZINC000969517147 725187466 /nfs/dbraw/zinc/18/74/66/725187466.db2.gz ICTQCYUYDSSUOV-SECBINFHSA-N -1 1 334.380 -0.091 20 0 EBADMM CCn1nccc1C(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969550608 725191917 /nfs/dbraw/zinc/19/19/17/725191917.db2.gz FTKCQHQJCSEWBU-SNVBAGLBSA-N -1 1 333.396 -0.425 20 0 EBADMM Cc1cc(CN[C@@H]2CCN(C(=O)c3cnc([O-])n(C)c3=O)C2)nn1C ZINC000969555698 725192554 /nfs/dbraw/zinc/19/25/54/725192554.db2.gz PLNLTEWHEKOLOI-LLVKDONJSA-N -1 1 346.391 -0.468 20 0 EBADMM C[C@@H](NC(=O)C1CCC(O)CC1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969571156 725193627 /nfs/dbraw/zinc/19/36/27/725193627.db2.gz WULPOOKDGBOUPF-XSRFYTQQSA-N -1 1 337.424 -0.404 20 0 EBADMM CCOC1CC(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC000969582697 725194626 /nfs/dbraw/zinc/19/46/26/725194626.db2.gz FIYIZILBLBKZJX-ZBOXLXRLSA-N -1 1 337.424 -0.140 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000969704227 725204570 /nfs/dbraw/zinc/20/45/70/725204570.db2.gz URBCISSRMYWXGB-PWSUYJOCSA-N -1 1 345.359 -0.258 20 0 EBADMM O=C(CCc1ccc(=O)[nH]c1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969707153 725205037 /nfs/dbraw/zinc/20/50/37/725205037.db2.gz RIILZNHEZOHMLZ-LLVKDONJSA-N -1 1 332.364 -0.066 20 0 EBADMM Cn1nnc2c1nccc2C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969727321 725207151 /nfs/dbraw/zinc/20/71/51/725207151.db2.gz NYPMNINEIUVFLK-MRVPVSSYSA-N -1 1 343.351 -0.809 20 0 EBADMM Cc1ncn(C)c1C(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969900072 725226495 /nfs/dbraw/zinc/22/64/95/725226495.db2.gz MFBITVVFFLHHNG-VIFPVBQESA-N -1 1 333.396 -0.599 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1nccs1 ZINC000970049459 725243469 /nfs/dbraw/zinc/24/34/69/725243469.db2.gz VVGRTAZPISINGU-SCZZXKLOSA-N -1 1 334.357 -0.141 20 0 EBADMM C[C@H](NC(=O)c1n[nH]c(=O)[n-]c1=O)C1CN(CCn2cccn2)C1 ZINC000970059128 725244681 /nfs/dbraw/zinc/24/46/81/725244681.db2.gz LHWQRUKKLFQALA-VIFPVBQESA-N -1 1 333.352 -0.770 20 0 EBADMM O=C(Cn1cc(Cl)cn1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970119963 725253069 /nfs/dbraw/zinc/25/30/69/725253069.db2.gz DNLOKXUBXYAVGT-SECBINFHSA-N -1 1 325.760 -0.249 20 0 EBADMM C[C@H](NC(=O)c1cn(C)ccc1=O)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970126888 725254283 /nfs/dbraw/zinc/25/42/83/725254283.db2.gz JLUKYFLLUSWVGT-JTQLQIEISA-N -1 1 346.391 -0.943 20 0 EBADMM C[C@H](NC(=O)[C@@H]1CCO[C@H]1C1CC1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970192456 725260290 /nfs/dbraw/zinc/26/02/90/725260290.db2.gz BRPGRBKYCBNAHC-ZBINZKHDSA-N -1 1 349.435 -0.140 20 0 EBADMM Cc1nonc1CN[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000970475373 725294833 /nfs/dbraw/zinc/29/48/33/725294833.db2.gz PDPPYYAMSAGUET-SECBINFHSA-N -1 1 344.335 -0.887 20 0 EBADMM Cc1cnc(CN[C@@H]2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)s1 ZINC000970581578 725301938 /nfs/dbraw/zinc/30/19/38/725301938.db2.gz NFXFSRCBZYICCM-SECBINFHSA-N -1 1 338.393 -0.155 20 0 EBADMM C[C@@H](NC(=O)[C@H]1CCc2[nH]nnc2C1)C1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC000970735438 725321652 /nfs/dbraw/zinc/32/16/52/725321652.db2.gz PSAGFRQPHSNDDU-BDAKNGLRSA-N -1 1 346.395 -0.630 20 0 EBADMM COc1cccnc1C(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970797819 725329309 /nfs/dbraw/zinc/32/93/09/725329309.db2.gz MJXXRRNATYCSRB-JTQLQIEISA-N -1 1 346.391 -0.238 20 0 EBADMM Cc1cc(CC(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)no1 ZINC000971228706 725355056 /nfs/dbraw/zinc/35/50/56/725355056.db2.gz GALUXHVCQIBZCX-LBPRGKRZSA-N -1 1 334.380 -0.320 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)Cc2ccnn2C)C1 ZINC000971428600 725365116 /nfs/dbraw/zinc/36/51/16/725365116.db2.gz BCDZVXPOZLQUAJ-GFCCVEGCSA-N -1 1 333.396 -0.883 20 0 EBADMM CCOC1CC(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC000971488297 725369002 /nfs/dbraw/zinc/36/90/02/725369002.db2.gz ZZESDGBXYXZZRO-OTTFEQOBSA-N -1 1 337.424 -0.044 20 0 EBADMM C[C@H](C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1)c1ccnn1C ZINC000971556070 725420934 /nfs/dbraw/zinc/42/09/34/725420934.db2.gz NJFXQVZZKGIWDQ-NWDGAFQWSA-N -1 1 347.423 -0.322 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)c2cc3n(n2)CCO3)C1 ZINC000971690720 725430884 /nfs/dbraw/zinc/43/08/84/725430884.db2.gz VLRHJZNNCMGBAC-JTQLQIEISA-N -1 1 347.379 -0.956 20 0 EBADMM CC(C)(C(N)=O)C(=O)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000971899007 725446837 /nfs/dbraw/zinc/44/68/37/725446837.db2.gz CLSULDQGYBDZPH-GHMZBOCLSA-N -1 1 346.387 -0.028 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)c2coc(C(N)=O)c2)C1 ZINC000972005732 725454594 /nfs/dbraw/zinc/45/45/94/725454594.db2.gz NZPGPQNPFFKXBV-SNVBAGLBSA-N -1 1 348.363 -0.853 20 0 EBADMM COc1ccnc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC000972064066 725460660 /nfs/dbraw/zinc/46/06/60/725460660.db2.gz OAQWNHKJNZTZLP-NSHDSACASA-N -1 1 346.391 -0.142 20 0 EBADMM O=C(Cc1ncc[nH]1)N1CC(NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000991651846 725514108 /nfs/dbraw/zinc/51/41/08/725514108.db2.gz WFXHDMWJLUPQOE-UHFFFAOYSA-N -1 1 342.359 -0.034 20 0 EBADMM O=C(NC1CN(C(=O)[C@H]2CCNC2=O)C1)c1cnc(C2CC2)[n-]c1=O ZINC000991654157 725515865 /nfs/dbraw/zinc/51/58/65/725515865.db2.gz PBJWSQOPMCPWKO-JTQLQIEISA-N -1 1 345.359 -0.864 20 0 EBADMM CCCN1CCO[C@]2(CCN(C(=O)c3cnc([O-])n(C)c3=O)C2)C1 ZINC000972491792 725534320 /nfs/dbraw/zinc/53/43/20/725534320.db2.gz BFAFSJVCBMNANH-MRXNPFEDSA-N -1 1 336.392 -0.187 20 0 EBADMM CC[C@H](C(N)=O)N(C)[C@@H]1CCN(C(=O)c2c[n-]n3c2nccc3=O)C1 ZINC000972494517 725535765 /nfs/dbraw/zinc/53/57/65/725535765.db2.gz CTSJFBVWIWDBGT-ZYHUDNBSSA-N -1 1 346.391 -0.567 20 0 EBADMM CN([C@@H]1CCNC1=O)[C@H]1CCN(C(=O)c2c[n-]n3c2nccc3=O)C1 ZINC000972495308 725536325 /nfs/dbraw/zinc/53/63/25/725536325.db2.gz MCOMCYIUXNTQPE-CMPLNLGQSA-N -1 1 344.375 -0.943 20 0 EBADMM CCN1CCO[C@@]2(CCN(C(=O)Cn3[n-]cc4c(=O)ncnc3-4)C2)C1 ZINC000972599778 725558143 /nfs/dbraw/zinc/55/81/43/725558143.db2.gz ZJDXBUUKEXYIMN-INIZCTEOSA-N -1 1 346.391 -0.606 20 0 EBADMM CCc1cc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC000972610099 725561560 /nfs/dbraw/zinc/56/15/60/725561560.db2.gz GXDKUGXNBNLLAX-LBPRGKRZSA-N -1 1 347.423 -0.249 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)c3cnccn3)C(C)(C)C2)nc1=O ZINC000972765591 725591617 /nfs/dbraw/zinc/59/16/17/725591617.db2.gz NQHLBWPDCHLLCZ-NSHDSACASA-N -1 1 331.380 -0.461 20 0 EBADMM Cc1cnc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)cn1 ZINC000972776919 725592148 /nfs/dbraw/zinc/59/21/48/725592148.db2.gz QASOFZBTVQSHNL-GFCCVEGCSA-N -1 1 345.407 -0.153 20 0 EBADMM C[C@H](C(=O)N[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC000973138581 725651568 /nfs/dbraw/zinc/65/15/68/725651568.db2.gz QYIRTPXTJHQUAM-LSJOCFKGSA-N -1 1 344.375 -0.159 20 0 EBADMM CN1CCOC[C@@H]1C(=O)NCC1CC(NC(=O)c2ncccc2[O-])C1 ZINC000992048367 725708953 /nfs/dbraw/zinc/70/89/53/725708953.db2.gz BSIHRGSLVMLBHO-WXRRBKDZSA-N -1 1 348.403 -0.258 20 0 EBADMM O=C(NCC1CC(NC(=O)[C@@H]2CCNC2=O)C1)c1ncccc1[O-] ZINC000992051965 725710011 /nfs/dbraw/zinc/71/00/11/725710011.db2.gz FKEXLEFVJDZUJD-VQXHTEKXSA-N -1 1 332.360 -0.452 20 0 EBADMM CN1CC[C@H](C(=O)NC2CC(CNC(=O)c3ncccc3[O-])C2)C1=O ZINC000992055147 725710853 /nfs/dbraw/zinc/71/08/53/725710853.db2.gz WLSJJDSAFPARLO-HTAVTVPLSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@H](C(N)=O)N1CC[C@@]2(NC(=O)c3cnc([O-])n(C)c3=O)CCC[C@@H]12 ZINC000992106268 725726350 /nfs/dbraw/zinc/72/63/50/725726350.db2.gz FFGGTLJVVCVPJJ-RDGWCUKFSA-N -1 1 349.391 -0.914 20 0 EBADMM O=C(NC1CC(CNC(=O)[C@@H]2CCCNC2=O)C1)c1ncccc1[O-] ZINC000992187702 725742933 /nfs/dbraw/zinc/74/29/33/725742933.db2.gz PZTFKXUEDWXERI-HTAVTVPLSA-N -1 1 346.387 -0.062 20 0 EBADMM CC(=O)N1CCC[C@@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC[C@H]21 ZINC000973692351 725790725 /nfs/dbraw/zinc/79/07/25/725790725.db2.gz HJSIKQJUHMHEPQ-DGCLKSJQSA-N -1 1 344.375 -0.109 20 0 EBADMM CC(=O)N1CCC[C@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)CC[C@@H]21 ZINC000973705640 725791472 /nfs/dbraw/zinc/79/14/72/725791472.db2.gz ZDXNNJYCWYBJKR-KBPBESRZSA-N -1 1 348.403 -0.214 20 0 EBADMM CC(=O)N1C[C@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@@]2(C)C1 ZINC000992465250 725800329 /nfs/dbraw/zinc/80/03/29/725800329.db2.gz ZSQOAVWJJGHFEC-BLLLJJGKSA-N -1 1 334.376 -0.747 20 0 EBADMM Cn1ccc(C(=O)N[C@]23CCC[C@@H]2N(Cc2nc(=O)n(C)[n-]2)CC3)n1 ZINC000992497336 725804197 /nfs/dbraw/zinc/80/41/97/725804197.db2.gz FAAJTIMYVGVJQY-LRDDRELGSA-N -1 1 345.407 -0.231 20 0 EBADMM Cc1nonc1C(=O)N[C@@]12CCC[C@@H]1N(Cc1nc(=O)n(C)[n-]1)CC2 ZINC000992527919 725807393 /nfs/dbraw/zinc/80/73/93/725807393.db2.gz FNJUWNVEUKVWHW-ZUZCIYMTSA-N -1 1 347.379 -0.273 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@@H](NC(=O)c2cocn2)C1 ZINC000973946625 725830417 /nfs/dbraw/zinc/83/04/17/725830417.db2.gz OYQZEWGLWKNTGQ-KNVOCYPGSA-N -1 1 349.303 -0.425 20 0 EBADMM COC(=O)[C@@H]1C[C@@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000973951647 725831879 /nfs/dbraw/zinc/83/18/79/725831879.db2.gz LADYJXLMYPKPAP-ZRUFSTJUSA-N -1 1 333.344 -0.027 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ccc(=O)[nH]n2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993004324 725849818 /nfs/dbraw/zinc/84/98/18/725849818.db2.gz MYSXNEHGHVALAM-UWVGGRQHSA-N -1 1 347.379 -0.613 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2cc[n+]([O-])cc2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993004778 725850212 /nfs/dbraw/zinc/85/02/12/725850212.db2.gz OLJXPWVTXJIDLZ-DGCLKSJQSA-N -1 1 346.391 -0.475 20 0 EBADMM NC(=O)[C@H]1CC[C@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974054726 725858004 /nfs/dbraw/zinc/85/80/04/725858004.db2.gz LDFHCFZTYNCRCJ-ZRUFSTJUSA-N -1 1 332.360 -0.324 20 0 EBADMM O=C(C[C@@H]1CC(=O)NC1=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974054203 725858221 /nfs/dbraw/zinc/85/82/21/725858221.db2.gz AVFCPDUKEIEMRQ-BBBLOLIVSA-N -1 1 346.343 -0.783 20 0 EBADMM Cc1ncc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)[nH]1 ZINC000993161336 725858909 /nfs/dbraw/zinc/85/89/09/725858909.db2.gz UPZUGMDCDKOCIR-GXSJLCMTSA-N -1 1 333.396 -0.077 20 0 EBADMM Cc1c(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)nnn1C ZINC000993188252 725860421 /nfs/dbraw/zinc/86/04/21/725860421.db2.gz SCKQRJAEPMSQDK-KOLCDFICSA-N -1 1 348.411 -0.672 20 0 EBADMM Cc1cn(C)nc1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC000993319852 725872312 /nfs/dbraw/zinc/87/23/12/725872312.db2.gz XLAAWOLXZVOJJF-NEPJUHHUSA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2cnc[nH]c2=O)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993462303 725891625 /nfs/dbraw/zinc/89/16/25/725891625.db2.gz BRSWCCMJEWGFIJ-MWLCHTKSSA-N -1 1 347.379 -0.613 20 0 EBADMM C[C@@H]1[C@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993683411 725927644 /nfs/dbraw/zinc/92/76/44/725927644.db2.gz AJMSYGKDQURRAW-DIACKHNESA-N -1 1 335.408 -0.530 20 0 EBADMM O=C(CCc1ccncc1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051692050 735328272 /nfs/dbraw/zinc/32/82/72/735328272.db2.gz GJRLQVVAGDACHA-ZDUSSCGKSA-N -1 1 346.391 -0.145 20 0 EBADMM CCN1C[C@@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC000993797055 725944817 /nfs/dbraw/zinc/94/48/17/725944817.db2.gz NENVXAJBROTMKV-JTQLQIEISA-N -1 1 332.360 -0.404 20 0 EBADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCc2nncn2C1 ZINC000993841437 725951966 /nfs/dbraw/zinc/95/19/66/725951966.db2.gz FZMLBUBHFHGMHC-SNVBAGLBSA-N -1 1 342.359 -0.418 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cc2c[nH]cn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993888299 725958123 /nfs/dbraw/zinc/95/81/23/725958123.db2.gz VFHSHLMQOBCORS-PWSUYJOCSA-N -1 1 333.396 -0.457 20 0 EBADMM COc1cc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)ccn1 ZINC001051695466 735330547 /nfs/dbraw/zinc/33/05/47/735330547.db2.gz HOHSWZBUJIXXPW-LLVKDONJSA-N -1 1 348.363 -0.455 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2CNC(=O)N2)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000993926134 725963443 /nfs/dbraw/zinc/96/34/43/725963443.db2.gz MMRXWIBQOQQYBL-MXWKQRLJSA-N -1 1 347.375 -0.707 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2ccncn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000994005172 725970365 /nfs/dbraw/zinc/97/03/65/725970365.db2.gz HDWBQESHVHUICE-WDEREUQCSA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@H]1[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)CCCN1CCO ZINC000994014460 725972075 /nfs/dbraw/zinc/97/20/75/725972075.db2.gz ZGNCGCXUVSPKLK-CMPLNLGQSA-N -1 1 334.380 -0.967 20 0 EBADMM COCC[N@H+]1CCC[C@@H](NC(=O)c2cc(=O)n3[nH]cnc3n2)[C@@H]1C ZINC000994209408 725987984 /nfs/dbraw/zinc/98/79/84/725987984.db2.gz KCOPXZOUTUNMBA-WDEREUQCSA-N -1 1 334.380 -0.353 20 0 EBADMM Cn1nnc(CN2C[C@H](NC(=O)c3ncccc3[O-])C(C)(C)C2)n1 ZINC000974482351 726030501 /nfs/dbraw/zinc/03/05/01/726030501.db2.gz DHMFZQCJVJOAGK-NSHDSACASA-N -1 1 331.380 -0.049 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)[C@]3(F)CCOC3)C(C)(C)C2)nc1=O ZINC000974966547 726088512 /nfs/dbraw/zinc/08/85/12/726088512.db2.gz BVYPDWQYLARQEZ-BONVTDFDSA-N -1 1 341.387 -0.436 20 0 EBADMM O=C(NC[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1)[C@H]1COC(=O)N1 ZINC000975259261 726124560 /nfs/dbraw/zinc/12/45/60/726124560.db2.gz VNBHQUPCIZXTET-WDEREUQCSA-N -1 1 348.359 -0.136 20 0 EBADMM O=C(CC1CS(=O)(=O)C1)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000994977300 726134421 /nfs/dbraw/zinc/13/44/21/726134421.db2.gz VBTYZHRRPGTEHM-UHFFFAOYSA-N -1 1 339.373 -0.838 20 0 EBADMM Cc1nnc(CNC[C@H]2CC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC000995007491 726142047 /nfs/dbraw/zinc/14/20/47/726142047.db2.gz PBTYZEIIOUZBKS-DTWKUNHWSA-N -1 1 349.351 -0.337 20 0 EBADMM O=C(NC[C@H]1CCCN(C(=O)c2ccn[nH]2)C1)c1n[nH]c(=O)[n-]c1=O ZINC000975412639 726147521 /nfs/dbraw/zinc/14/75/21/726147521.db2.gz GXJZYIJRBFSBKU-MRVPVSSYSA-N -1 1 347.335 -0.712 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N[C@@H]1[C@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]21 ZINC000976100124 726201212 /nfs/dbraw/zinc/20/12/12/726201212.db2.gz RIMHKYSGNBRWSP-PXSXMVNOSA-N -1 1 342.359 -0.740 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2[C@H]3CN(C(=O)Cc4ccn[nH]4)C[C@H]32)c1[O-] ZINC000976365707 726277992 /nfs/dbraw/zinc/27/79/92/726277992.db2.gz SWEWOWGJTDDWCW-IAZYJMLFSA-N -1 1 330.348 -0.424 20 0 EBADMM C[C@H](C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1)n1cncn1 ZINC000995686616 726287589 /nfs/dbraw/zinc/28/75/89/726287589.db2.gz AMCOLFFXHNVARB-ZJUUUORDSA-N -1 1 334.384 -0.310 20 0 EBADMM Cn1cc(C(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ncccc2[O-])nn1 ZINC000976396851 726292066 /nfs/dbraw/zinc/29/20/66/726292066.db2.gz IQYFAXXAGAITHF-GDGBQDQQSA-N -1 1 328.332 -0.584 20 0 EBADMM O=C(Cn1cnnn1)N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-] ZINC000995712778 726293061 /nfs/dbraw/zinc/29/30/61/726293061.db2.gz RROKSWUPJYNWLM-VWYCJHECSA-N -1 1 343.347 -0.664 20 0 EBADMM O=C(C[C@H]1CCNC1=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976406467 726294965 /nfs/dbraw/zinc/29/49/65/726294965.db2.gz VYFUTZIYTCTZPM-PUHVVEEASA-N -1 1 344.371 -0.500 20 0 EBADMM CC1(C)CN(C(=O)C[C@H]2CCNC2=O)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995887286 726309813 /nfs/dbraw/zinc/30/98/13/726309813.db2.gz NUUNEHOGMLWSAZ-ZJUUUORDSA-N -1 1 336.396 -0.637 20 0 EBADMM CC1(C)CN(C(=O)c2cc3n(n2)CCO3)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995927632 726314298 /nfs/dbraw/zinc/31/42/98/726314298.db2.gz PNQUZMMDDQCLRS-SNVBAGLBSA-N -1 1 347.379 -0.260 20 0 EBADMM CC1(C)CN(C(=O)c2cn[nH]c(=O)c2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995941489 726316114 /nfs/dbraw/zinc/31/61/14/726316114.db2.gz KSJIFWCFBJTTSV-VIFPVBQESA-N -1 1 333.352 -0.354 20 0 EBADMM C[C@@H](C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1)S(C)(=O)=O ZINC000995972104 726319634 /nfs/dbraw/zinc/31/96/34/726319634.db2.gz XJZMZOIXUXPBLC-IUCAKERBSA-N -1 1 345.425 -0.730 20 0 EBADMM Cn1ccc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)cc1=O ZINC000995978320 726320420 /nfs/dbraw/zinc/32/04/20/726320420.db2.gz VHOODTOHIFVXTE-NSHDSACASA-N -1 1 346.391 -0.151 20 0 EBADMM CCn1nncc1C(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000976477541 726322428 /nfs/dbraw/zinc/32/24/28/726322428.db2.gz USKIOZRBUWVIMJ-IWIIMEHWSA-N -1 1 342.359 -0.101 20 0 EBADMM O=C(N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)[C@H]1CCNC1=O)c1ncccc1[O-] ZINC000996143313 726338277 /nfs/dbraw/zinc/33/82/77/726338277.db2.gz UOIVLWATEBIELK-RHYQMDGZSA-N -1 1 344.371 -0.215 20 0 EBADMM O=C(NC1CN(C(=O)c2ccn[nH]2)C1)c1ccc2oc(=O)nc-2[n-]1 ZINC000996396739 726385225 /nfs/dbraw/zinc/38/52/25/726385225.db2.gz KUJAVJVDZQKDDC-UHFFFAOYSA-N -1 1 328.288 -0.094 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)[C@@H]2CC23CC3)C1 ZINC000996397130 726385622 /nfs/dbraw/zinc/38/56/22/726385622.db2.gz MOJFFMLGNMJVKB-QMMMGPOBSA-N -1 1 334.332 -0.363 20 0 EBADMM C[C@@H]1C[C@@H]1CC(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996403898 726388093 /nfs/dbraw/zinc/38/80/93/726388093.db2.gz CIPBGYPXOVTSOZ-RKDXNWHRSA-N -1 1 330.348 -0.596 20 0 EBADMM CO[C@@H](C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1)C(C)C ZINC000996404476 726388216 /nfs/dbraw/zinc/38/82/16/726388216.db2.gz GAVMGYDYKNUIKB-GFCCVEGCSA-N -1 1 348.363 -0.971 20 0 EBADMM CC(C)[C@@H]1C[C@H]1C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996404458 726388522 /nfs/dbraw/zinc/38/85/22/726388522.db2.gz FVVGUKRNZSMAAR-WDEREUQCSA-N -1 1 344.375 -0.350 20 0 EBADMM CC(C)[C@@H](F)C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996409665 726389396 /nfs/dbraw/zinc/38/93/96/726389396.db2.gz XAZUBEAJVINSKS-LLVKDONJSA-N -1 1 336.327 -0.648 20 0 EBADMM CC1(C)CN(C(=O)c2cc(C(N)=O)c[nH]2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996460557 726400570 /nfs/dbraw/zinc/40/05/70/726400570.db2.gz HPLIVTCGRIHXRW-SNVBAGLBSA-N -1 1 347.379 -0.422 20 0 EBADMM COc1c(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)cnn1C ZINC000996564942 726418611 /nfs/dbraw/zinc/41/86/11/726418611.db2.gz VGECRBLLULDTJM-JTQLQIEISA-N -1 1 349.395 -0.107 20 0 EBADMM Cc1nnc([C@H](C)NC2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)CC2)o1 ZINC000996764493 726425977 /nfs/dbraw/zinc/42/59/77/726425977.db2.gz YDBCKYGFZXJPMQ-ZETCQYMHSA-N -1 1 349.351 -0.070 20 0 EBADMM O=C(Cn1nccn1)N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-] ZINC000996811015 726428453 /nfs/dbraw/zinc/42/84/53/726428453.db2.gz UQHMMOVVHJGUAZ-WOPDTQHZSA-N -1 1 342.359 -0.059 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)Cc2cnoc2)C1 ZINC000998068758 726474515 /nfs/dbraw/zinc/47/45/15/726474515.db2.gz RSCKKPPXRUVBIQ-UHFFFAOYSA-N -1 1 349.303 -0.932 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC[C@@H](C(F)(F)F)[C@H](NCC(N)=O)C2)c1[O-] ZINC000998255348 726482513 /nfs/dbraw/zinc/48/25/13/726482513.db2.gz JAMIMOQAWIKMFN-HTQZYQBOSA-N -1 1 349.313 -0.108 20 0 EBADMM CN(C(=O)c1n[nH]c(=O)[n-]c1=O)C1CC(NCc2ccn(C)n2)C1 ZINC000999062009 726506136 /nfs/dbraw/zinc/50/61/36/726506136.db2.gz UYUFHZCDRHYRGZ-UHFFFAOYSA-N -1 1 333.352 -0.591 20 0 EBADMM CN(C(=O)c1n[nH]c(=O)[n-]c1=O)C1CC(NCc2nccn2C)C1 ZINC000999061147 726506174 /nfs/dbraw/zinc/50/61/74/726506174.db2.gz MMTVWJSYFUAONP-UHFFFAOYSA-N -1 1 333.352 -0.591 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)C[C@H]2C[C@@H]2C)C1 ZINC000999090015 726507504 /nfs/dbraw/zinc/50/75/04/726507504.db2.gz CKYDHGWTUVXKEL-JGVFFNPUSA-N -1 1 336.348 -0.117 20 0 EBADMM O=C(NC1CN(C(=O)c2c[n-]n3c2nccc3=O)C1)c1[nH]ncc1F ZINC000999197628 726510909 /nfs/dbraw/zinc/51/09/09/726510909.db2.gz UJRRRTYZKHSUIN-UHFFFAOYSA-N -1 1 345.294 -0.861 20 0 EBADMM O=C(C[C@H]1CCC(=O)NC1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999338471 726514975 /nfs/dbraw/zinc/51/49/75/726514975.db2.gz HCYXTJFCQCKIGA-MNOVXSKESA-N -1 1 336.396 -0.493 20 0 EBADMM CN1CC[C@H](CC(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)C1=O ZINC000999455818 726519983 /nfs/dbraw/zinc/51/99/83/726519983.db2.gz IZUPBNVKPGTMJG-MNOVXSKESA-N -1 1 336.396 -0.541 20 0 EBADMM Cn1c(=O)cccc1C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000150625 726556597 /nfs/dbraw/zinc/55/65/97/726556597.db2.gz VWBSYHMARNJRMR-JTQLQIEISA-N -1 1 332.364 -0.397 20 0 EBADMM NC(=O)c1coc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001000168787 726557219 /nfs/dbraw/zinc/55/72/19/726557219.db2.gz NSLWFWBMEHQZAG-VIFPVBQESA-N -1 1 334.336 -0.403 20 0 EBADMM NC(=O)N1CCCC[C@H]1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC001000216450 726559897 /nfs/dbraw/zinc/55/98/97/726559897.db2.gz FMRZTYZMHXLGRI-NSHDSACASA-N -1 1 347.375 -0.339 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-] ZINC001000503059 726568977 /nfs/dbraw/zinc/56/89/77/726568977.db2.gz QLDWYIBZZAAPMX-GCHJQGSQSA-N -1 1 344.371 -0.230 20 0 EBADMM COc1ccc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)nn1 ZINC001000610399 726572702 /nfs/dbraw/zinc/57/27/02/726572702.db2.gz WXAKQEVDCBTOAW-VIFPVBQESA-N -1 1 333.352 -0.297 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)C3CCC(O)CC3)CC2)nc1=O ZINC001000631312 726573336 /nfs/dbraw/zinc/57/33/36/726573336.db2.gz PHDSGMRFXAWTOC-UHFFFAOYSA-N -1 1 349.435 -0.092 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(CNC(=O)c2ccn[nH]2)C1 ZINC001001006159 726587000 /nfs/dbraw/zinc/58/70/00/726587000.db2.gz JUOCZCGGGQNGGW-UHFFFAOYSA-N -1 1 348.319 -0.879 20 0 EBADMM Cc1n[nH]cc1C(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001001015727 726587763 /nfs/dbraw/zinc/58/77/63/726587763.db2.gz AJNPPMQUBAGOCU-UHFFFAOYSA-N -1 1 331.380 -0.298 20 0 EBADMM Cc1cnn(C)c1C(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001001060005 726590337 /nfs/dbraw/zinc/59/03/37/726590337.db2.gz FYMNGTMIFWVPOH-UHFFFAOYSA-N -1 1 345.407 -0.288 20 0 EBADMM C[C@@H]1CCO[C@@H]1C(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001001164175 726594755 /nfs/dbraw/zinc/59/47/55/726594755.db2.gz UATIQIHUODOJNC-RISCZKNCSA-N -1 1 335.408 -0.218 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)c3nccnc3N)CC2)nc1=O ZINC001001264829 726599789 /nfs/dbraw/zinc/59/97/89/726599789.db2.gz NSJMVHFGNDAIKB-UHFFFAOYSA-N -1 1 346.395 -0.877 20 0 EBADMM O=C(CCc1nnc[nH]1)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001537531 726610990 /nfs/dbraw/zinc/61/09/90/726610990.db2.gz PTCLZSKRTCGUID-UHFFFAOYSA-N -1 1 330.348 -0.274 20 0 EBADMM O=C(CCc1nc[nH]n1)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001537531 726610992 /nfs/dbraw/zinc/61/09/92/726610992.db2.gz PTCLZSKRTCGUID-UHFFFAOYSA-N -1 1 330.348 -0.274 20 0 EBADMM C[C@@]1(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)CCC(=O)N1 ZINC001001540791 726611276 /nfs/dbraw/zinc/61/12/76/726611276.db2.gz FDLGLYGKQTZMQY-INIZCTEOSA-N -1 1 332.360 -0.356 20 0 EBADMM CC(=O)N1CC(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)C1 ZINC001001540928 726611291 /nfs/dbraw/zinc/61/12/91/726611291.db2.gz GJJRPMXEILHILA-UHFFFAOYSA-N -1 1 332.360 -0.546 20 0 EBADMM CCN1CCOC[C@H]1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001543931 726611363 /nfs/dbraw/zinc/61/13/63/726611363.db2.gz DZAZPDMOEJRIOG-ZDUSSCGKSA-N -1 1 348.403 -0.304 20 0 EBADMM O=C(NCC1CN(C(=O)c2cc3n(n2)CCO3)C1)c1ncccc1[O-] ZINC001001545157 726611397 /nfs/dbraw/zinc/61/13/97/726611397.db2.gz QKEJNVSZYOCBDU-UHFFFAOYSA-N -1 1 343.343 -0.122 20 0 EBADMM O=C(NCC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1cc[nH]c1 ZINC001002422997 726640705 /nfs/dbraw/zinc/64/07/05/726640705.db2.gz CGASCSOTZPOVRT-UHFFFAOYSA-N -1 1 341.331 -0.752 20 0 EBADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)c1cc2n(n1)CCO2 ZINC001002620172 726643983 /nfs/dbraw/zinc/64/39/83/726643983.db2.gz SGGUZIKOHFUVHO-UHFFFAOYSA-N -1 1 343.343 -0.122 20 0 EBADMM Cc1cc(CC(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n(C)n1 ZINC001003300617 726668442 /nfs/dbraw/zinc/66/84/42/726668442.db2.gz XPCQHOQLFVQENA-UHFFFAOYSA-N -1 1 347.423 -0.526 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CN(C(=O)c2cn[nH]c2)C1 ZINC001003317821 726669572 /nfs/dbraw/zinc/66/95/72/726669572.db2.gz UWFYIWPKSNXDDY-UHFFFAOYSA-N -1 1 348.319 -0.879 20 0 EBADMM Cc1ncc(C(=O)N2CC(CNC(=O)c3cnc([O-])n(C)c3=O)C2)[nH]1 ZINC001003548498 726679107 /nfs/dbraw/zinc/67/91/07/726679107.db2.gz PAQCLMXAHLOFGE-UHFFFAOYSA-N -1 1 346.347 -0.981 20 0 EBADMM CCc1nc[nH]c1C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001004067026 726698437 /nfs/dbraw/zinc/69/84/37/726698437.db2.gz IWJDUBVHEZZZSW-UHFFFAOYSA-N -1 1 333.396 -0.212 20 0 EBADMM CN(C)C(=O)[C@@H]1C[C@@H]1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001004452687 726707658 /nfs/dbraw/zinc/70/76/58/726707658.db2.gz VXNZSJCJCHNCGD-NWDGAFQWSA-N -1 1 346.387 -0.300 20 0 EBADMM C[C@H]1CCN(C(=O)c2nnn(C)n2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001004763453 726715470 /nfs/dbraw/zinc/71/54/70/726715470.db2.gz ZIQREZDWPOHSQA-UWVGGRQHSA-N -1 1 345.363 -0.409 20 0 EBADMM C[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)Cn1ncnn1 ZINC001004883144 726718735 /nfs/dbraw/zinc/71/87/35/726718735.db2.gz MJAGGPJRPJPBGO-WDEREUQCSA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)Cn1cncn1 ZINC001004997364 726721838 /nfs/dbraw/zinc/72/18/38/726721838.db2.gz ILHHPGADSCYHRZ-WDEREUQCSA-N -1 1 334.384 -0.339 20 0 EBADMM C[C@H]1CCN(C(=O)c2ccn[nH]2)C[C@@H]1NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001005099051 726724703 /nfs/dbraw/zinc/72/47/03/726724703.db2.gz FYWWVVNTZBRVJC-WPRPVWTQSA-N -1 1 349.351 -0.921 20 0 EBADMM Cc1nnccc1C(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005666884 726738492 /nfs/dbraw/zinc/73/84/92/726738492.db2.gz IZZRNNZNPPFPQR-UHFFFAOYSA-N -1 1 345.407 -0.057 20 0 EBADMM CC[C@H](C(N)=O)N1CCC(N(C)C(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001005669203 726738640 /nfs/dbraw/zinc/73/86/40/726738640.db2.gz WNZYZPYFFMJSLN-SECBINFHSA-N -1 1 338.368 -0.917 20 0 EBADMM Cc1ncc(C(=O)N(C)C2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1C ZINC001005883128 726746594 /nfs/dbraw/zinc/74/65/94/726746594.db2.gz DYMHAEFFGVPMJJ-UHFFFAOYSA-N -1 1 347.423 -0.113 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)Cn2nccn2)C1 ZINC001005950348 726749514 /nfs/dbraw/zinc/74/95/14/726749514.db2.gz JHZKDUONADWYKV-JTQLQIEISA-N -1 1 330.348 -0.344 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)Cn2nccn2)C1 ZINC001005950350 726749613 /nfs/dbraw/zinc/74/96/13/726749613.db2.gz JHZKDUONADWYKV-SNVBAGLBSA-N -1 1 330.348 -0.344 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CCC(=O)N2)C1 ZINC001005953597 726749799 /nfs/dbraw/zinc/74/97/99/726749799.db2.gz TVIHIMBZGFWKSV-GXSJLCMTSA-N -1 1 332.360 -0.358 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2COCCO2)C1 ZINC001005954219 726749896 /nfs/dbraw/zinc/74/98/96/726749896.db2.gz XCWSJEABEHASBX-MFKMUULPSA-N -1 1 335.360 -0.221 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CCN(C)C2=O)C1 ZINC001005955057 726749936 /nfs/dbraw/zinc/74/99/36/726749936.db2.gz DYJASERETGNDIY-CMPLNLGQSA-N -1 1 346.387 -0.158 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)Cn2cncn2)C1 ZINC001005957126 726750158 /nfs/dbraw/zinc/75/01/58/726750158.db2.gz NNFUQZYLFNPFDL-JTQLQIEISA-N -1 1 330.348 -0.344 20 0 EBADMM C[C@@H](NC(=O)[C@H]1CC(=O)N(C)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006232632 726758934 /nfs/dbraw/zinc/75/89/34/726758934.db2.gz RLRLLPWHMCQSKO-MNOVXSKESA-N -1 1 346.387 -0.158 20 0 EBADMM C[C@@H](NC(=O)[C@H]1CCC(=O)NC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006375132 726765221 /nfs/dbraw/zinc/76/52/21/726765221.db2.gz NMXVQZFQSDFGFJ-MNOVXSKESA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)[C@H]2C[C@@H]2C)C1 ZINC001006468957 726770383 /nfs/dbraw/zinc/77/03/83/726770383.db2.gz SZPKQLSYLXGPAH-QXEWZRGKSA-N -1 1 344.375 -0.350 20 0 EBADMM Cc1cnc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001006632746 726776945 /nfs/dbraw/zinc/77/69/45/726776945.db2.gz BYDXUYSKPQWLIZ-LLVKDONJSA-N -1 1 331.380 -0.399 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)c3cn4c(n3)CCC4)C2)nc1=O ZINC001007364970 726792786 /nfs/dbraw/zinc/79/27/86/726792786.db2.gz YJWWDLIJZQTTLE-LLVKDONJSA-N -1 1 345.407 -0.355 20 0 EBADMM CCn1cnc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001009230382 726876369 /nfs/dbraw/zinc/87/63/69/726876369.db2.gz FVEMXJRLQUTPFT-LLVKDONJSA-N -1 1 333.396 -0.281 20 0 EBADMM O=C(NC[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)[C@H]1CCNC1=O ZINC001009330443 726891883 /nfs/dbraw/zinc/89/18/83/726891883.db2.gz LLGWFHHNFBZPAS-FIQHERPVSA-N -1 1 344.371 -0.643 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])[C@H]1CN(C(=O)c2cnon2)CCO1 ZINC001009732126 726984396 /nfs/dbraw/zinc/98/43/96/726984396.db2.gz DEYRHKMRLUQGNI-JOYOIKCWSA-N -1 1 347.331 -0.170 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(C(=O)[C@H]2C[C@@H]2C)CC1 ZINC001010048320 727022633 /nfs/dbraw/zinc/02/26/33/727022633.db2.gz NPKQTLISPQOUNW-IUCAKERBSA-N -1 1 336.348 -0.163 20 0 EBADMM C[C@H]1C[C@@H](CNC(=O)C2CC2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001010232331 727051705 /nfs/dbraw/zinc/05/17/05/727051705.db2.gz YUMUCLOQPFVAMD-RYUDHWBXSA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@H]1C[C@@H](CNC(=O)C2CC2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001010233481 727051848 /nfs/dbraw/zinc/05/18/48/727051848.db2.gz TUOBTQVWUVXXFO-UWVGGRQHSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@@H]1C[C@@H](CNC(=O)C2CC2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001010233487 727052049 /nfs/dbraw/zinc/05/20/49/727052049.db2.gz TUOBTQVWUVXXFO-ZJUUUORDSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(c1ccoc1)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001010339338 727074519 /nfs/dbraw/zinc/07/45/19/727074519.db2.gz BQJYETYGZGQAOT-UHFFFAOYSA-N -1 1 342.315 -0.391 20 0 EBADMM Cc1nnc(CC(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)[nH]1 ZINC001011035699 727186131 /nfs/dbraw/zinc/18/61/31/727186131.db2.gz OBXBANSMJPVJAX-UHFFFAOYSA-N -1 1 330.348 -0.259 20 0 EBADMM C[C@]1(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)CCNC(=O)C1 ZINC001011036495 727186566 /nfs/dbraw/zinc/18/65/66/727186566.db2.gz OPYACDXZRSVFMX-KRWDZBQOSA-N -1 1 346.387 -0.012 20 0 EBADMM CC(=O)NCC(=O)N1C[C@@H](C)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001051823985 735415636 /nfs/dbraw/zinc/41/56/36/735415636.db2.gz FGTXFKNUWPJUSS-PWSUYJOCSA-N -1 1 334.376 -0.252 20 0 EBADMM CCn1nc(C)cc1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051841626 735423276 /nfs/dbraw/zinc/42/32/76/735423276.db2.gz LAWFUERXAHAVSZ-LLVKDONJSA-N -1 1 349.395 -0.334 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051870514 735437195 /nfs/dbraw/zinc/43/71/95/735437195.db2.gz NHOUYXUYPHLFHC-JTQLQIEISA-N -1 1 335.368 -0.519 20 0 EBADMM O=C(CCc1ncccn1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051874625 735439299 /nfs/dbraw/zinc/43/92/99/735439299.db2.gz COCRTJCIVGPDHX-LLVKDONJSA-N -1 1 347.379 -0.750 20 0 EBADMM CCc1cc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)n(C)n1 ZINC001051882946 735444357 /nfs/dbraw/zinc/44/43/57/735444357.db2.gz WHEYIESPOAQTDZ-LLVKDONJSA-N -1 1 349.395 -0.563 20 0 EBADMM COc1cccc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001051889257 735447717 /nfs/dbraw/zinc/44/77/17/735447717.db2.gz QELHRVVGEVPSAP-SNVBAGLBSA-N -1 1 348.363 -0.455 20 0 EBADMM C[C@H]1[C@H](NC(=O)CC2CC2)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001011900641 727600118 /nfs/dbraw/zinc/60/01/18/727600118.db2.gz YPLDXDMONOAFHY-WCQYABFASA-N -1 1 348.403 -0.168 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1nnn(C)n1 ZINC001011967298 727613964 /nfs/dbraw/zinc/61/39/64/727613964.db2.gz HWXQEFZONFFGKO-DTWKUNHWSA-N -1 1 331.336 -0.656 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CCN1C(=O)C(N)=O ZINC001012022045 727624988 /nfs/dbraw/zinc/62/49/88/727624988.db2.gz JQZHYVUXSWZNKC-OIBJUYFYSA-N -1 1 333.348 -0.736 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)Cn1nccn1 ZINC001012716061 727697614 /nfs/dbraw/zinc/69/76/14/727697614.db2.gz IHEQFSYUHQRTMR-QWRGUYRKSA-N -1 1 330.348 -0.202 20 0 EBADMM CCc1cc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)no1 ZINC001051906078 735457068 /nfs/dbraw/zinc/45/70/68/735457068.db2.gz KKRILDICYYGNHY-JTQLQIEISA-N -1 1 336.352 -0.309 20 0 EBADMM Cc1nn[nH]c1C(=O)N1C[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C[C@H]1C ZINC001013544984 727816927 /nfs/dbraw/zinc/81/69/27/727816927.db2.gz XFHMEXKEEPUDLT-MUWHJKNJSA-N -1 1 333.352 -0.117 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)CCn1cnnn1 ZINC001013907606 727842175 /nfs/dbraw/zinc/84/21/75/727842175.db2.gz WIGOCIKCHFSYHB-QWRGUYRKSA-N -1 1 345.363 -0.417 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2C[C@@H](C)N(C(=O)CCc3c[nH]nn3)C2)c1[O-] ZINC001014080824 727890180 /nfs/dbraw/zinc/89/01/80/727890180.db2.gz UFLPLYPTEILXDS-LDYMZIIASA-N -1 1 347.379 -0.106 20 0 EBADMM CC(=O)N[C@@]12CCC[C@@H]1N(C(=O)CCn1cc[n-]c(=O)c1=O)CC2 ZINC001014114931 727902007 /nfs/dbraw/zinc/90/20/07/727902007.db2.gz SDAMJCLDTYMAOC-BLLLJJGKSA-N -1 1 334.376 -0.414 20 0 EBADMM CC(=O)N[C@]12CCC[C@@H]1N(C(=O)c1cc(=O)n3[n-]cnc3n1)CC2 ZINC001014111932 727902021 /nfs/dbraw/zinc/90/20/21/727902021.db2.gz AKKYPDUHKYUPKB-NHYWBVRUSA-N -1 1 330.348 -0.309 20 0 EBADMM NC(=O)CC(=O)N1CC[C@]2(NC(=O)c3ncccc3[O-])CCC[C@@H]12 ZINC001014254158 727913621 /nfs/dbraw/zinc/91/36/21/727913621.db2.gz YIGPVNCLSNKDSH-BDJLRTHQSA-N -1 1 332.360 -0.084 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cn(C4CCC4)nn3)C2)nc1=O ZINC001014479661 727960238 /nfs/dbraw/zinc/96/02/38/727960238.db2.gz SPEHYJAZPUIOFZ-JTQLQIEISA-N -1 1 346.395 -0.571 20 0 EBADMM CCc1cc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)nn1C ZINC001051954197 735482956 /nfs/dbraw/zinc/48/29/56/735482956.db2.gz SPMIYLHVRVTKIN-NSHDSACASA-N -1 1 349.395 -0.563 20 0 EBADMM NC(=O)C(=O)N[C@@H]1CCC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC001014574251 727972397 /nfs/dbraw/zinc/97/23/97/727972397.db2.gz SEGWJHWTWDPJNB-GARJFASQSA-N -1 1 332.360 -0.371 20 0 EBADMM CC(C)Cn1nccc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001014603159 727975788 /nfs/dbraw/zinc/97/57/88/727975788.db2.gz NMWKJIUBXIBDGZ-GFCCVEGCSA-N -1 1 347.423 -0.035 20 0 EBADMM C[C@@H]1[C@H](NC(=O)C2CC2)CCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001014679410 727991346 /nfs/dbraw/zinc/99/13/46/727991346.db2.gz MQFJKHVJLWTBEG-DGCLKSJQSA-N -1 1 348.403 -0.168 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ccnc4ccnn43)C2)nc1=O ZINC001014691758 727991856 /nfs/dbraw/zinc/99/18/56/727991856.db2.gz QJGHWWPCJMBKQG-JTQLQIEISA-N -1 1 342.363 -0.845 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cnn4ccncc34)C2)nc1=O ZINC001014745921 728001100 /nfs/dbraw/zinc/00/11/00/728001100.db2.gz HENJOWGWNWEHQD-JTQLQIEISA-N -1 1 342.363 -0.845 20 0 EBADMM Cn1[n-]c(CN2CCN([C@@H]3CCN(C(=O)C4CC4)C3)CC2)nc1=O ZINC001051967776 735486062 /nfs/dbraw/zinc/48/60/62/735486062.db2.gz WAZYAGCSJFLYEY-CYBMUJFWSA-N -1 1 334.424 -0.763 20 0 EBADMM O=C(NCC1CC(Nc2ncccn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001051972477 735486683 /nfs/dbraw/zinc/48/66/83/735486683.db2.gz ZGHFZMVKTFZWAV-UHFFFAOYSA-N -1 1 340.347 -0.172 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CCCN1C(=O)C(N)=O ZINC001014958274 728040977 /nfs/dbraw/zinc/04/09/77/728040977.db2.gz XCGJSLFVKKGFGB-KCJUWKMLSA-N -1 1 347.375 -0.346 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@H]3CCc4cncn4C3)C2)nc1=O ZINC001015000473 728052831 /nfs/dbraw/zinc/05/28/31/728052831.db2.gz QCWDMKYYKIRLID-NWDGAFQWSA-N -1 1 345.407 -0.742 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@H]3CCn4ccnc4C3)C2)nc1=O ZINC001015000704 728053050 /nfs/dbraw/zinc/05/30/50/728053050.db2.gz QOXPAQIONBKDGK-NWDGAFQWSA-N -1 1 345.407 -0.742 20 0 EBADMM O=C(N[C@H]1CCN(Cc2ccc(CO)cc2)C1)c1n[nH]c(=O)[n-]c1=O ZINC001015108836 728080857 /nfs/dbraw/zinc/08/08/57/728080857.db2.gz DTKIURZOXQCFSH-LBPRGKRZSA-N -1 1 345.359 -0.221 20 0 EBADMM Cn1cc(CCN2CC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)cn1 ZINC001015110858 728081947 /nfs/dbraw/zinc/08/19/47/728081947.db2.gz XJGOYQHPOMEQKY-JTQLQIEISA-N -1 1 333.352 -0.937 20 0 EBADMM Cc1cc(C)n(CC(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001015173908 728103649 /nfs/dbraw/zinc/10/36/49/728103649.db2.gz KCIUDGUTPYKIJD-GFCCVEGCSA-N -1 1 333.396 -0.688 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cc4n(n3)CCCO4)C2)nc1=O ZINC001015213882 728114800 /nfs/dbraw/zinc/11/48/00/728114800.db2.gz AMMSLVRWCFYROF-JTQLQIEISA-N -1 1 347.379 -0.908 20 0 EBADMM COC[C@@H](C)N1CC[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001015864839 728436599 /nfs/dbraw/zinc/43/65/99/728436599.db2.gz JJCNEMUKCPVXFZ-MNOVXSKESA-N -1 1 334.380 -0.703 20 0 EBADMM CCn1nc(C)c(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001016424376 728471288 /nfs/dbraw/zinc/47/12/88/728471288.db2.gz MEMGOQPLYGDYCN-SNVBAGLBSA-N -1 1 334.384 -0.967 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)Cn2cnnn2)C1 ZINC001016430653 728472731 /nfs/dbraw/zinc/47/27/31/728472731.db2.gz KBJOZJJUCGQVIC-UHFFFAOYSA-N -1 1 331.336 -0.807 20 0 EBADMM CCN1CCN([C@H]2CCN(C(=O)c3cnc([O-])n(C)c3=O)C2)CC1 ZINC001052060951 735527611 /nfs/dbraw/zinc/52/76/11/735527611.db2.gz OOSFZVIDIUJBDZ-LBPRGKRZSA-N -1 1 335.408 -0.662 20 0 EBADMM NC(=O)C(=O)N1CCC(C2(NC(=O)c3ncccc3[O-])CC2)CC1 ZINC001017153926 728551230 /nfs/dbraw/zinc/55/12/30/728551230.db2.gz GYAQMJOIJXHKTA-UHFFFAOYSA-N -1 1 332.360 -0.227 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)c2nnn(C)n2)C1 ZINC001017182971 728552908 /nfs/dbraw/zinc/55/29/08/728552908.db2.gz AEMHHGBHHDEENK-VIFPVBQESA-N -1 1 335.372 -0.982 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)C[C@@H]2CCCO2)nc1=O ZINC001017376591 728569654 /nfs/dbraw/zinc/56/96/54/728569654.db2.gz WVFVLKXYYICHJM-XQQFMLRXSA-N -1 1 335.408 -0.147 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)C[C@@H]2COC(=O)C2)nc1=O ZINC001017476397 728579948 /nfs/dbraw/zinc/57/99/48/728579948.db2.gz OXABSNBFFRFBNI-SDDRHHMPSA-N -1 1 349.391 -0.763 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)NCC1=CCN(C(=O)c2ncccc2[O-])CC1 ZINC001017737381 728607460 /nfs/dbraw/zinc/60/74/60/728607460.db2.gz AHPVFKUFQCZSOM-NEPJUHHUSA-N -1 1 344.371 -0.203 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)Cc2cnoc2)nc1=O ZINC001017839032 728616318 /nfs/dbraw/zinc/61/63/18/728616318.db2.gz HQLNBLPNCZVFKE-TXEJJXNPSA-N -1 1 332.364 -0.486 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)C2CC2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001017890978 728622402 /nfs/dbraw/zinc/62/24/02/728622402.db2.gz OFTXEPNCESLGJZ-AAEUAGOBSA-N -1 1 348.403 -0.168 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)Cc2ncc[nH]2)nc1=O ZINC001018101625 728633694 /nfs/dbraw/zinc/63/36/94/728633694.db2.gz HDNRGPFNDRRYFY-PHIMTYICSA-N -1 1 331.380 -0.751 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CN1C(=O)C1CC1 ZINC001018274701 728652951 /nfs/dbraw/zinc/65/29/51/728652951.db2.gz GQCIJGNIMVYHKB-ONGXEEELSA-N -1 1 344.375 -0.063 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2nnn(C)n2)CN1C(=O)c1ncccc1[O-] ZINC001018329658 728658541 /nfs/dbraw/zinc/65/85/41/728658541.db2.gz KLVJZPFGYRZNIS-UWVGGRQHSA-N -1 1 345.363 -0.266 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCCO1)c1cnon1 ZINC001019655907 728965000 /nfs/dbraw/zinc/96/50/00/728965000.db2.gz QQIXEHXAMUWMCU-SNVBAGLBSA-N -1 1 347.331 -0.169 20 0 EBADMM CCCn1nc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)ccc1=O ZINC001019760710 728985812 /nfs/dbraw/zinc/98/58/12/728985812.db2.gz VTFBMLFAQLFPGI-JTQLQIEISA-N -1 1 347.379 -0.519 20 0 EBADMM Cc1nn2cccnc2c1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019788404 728991950 /nfs/dbraw/zinc/99/19/50/728991950.db2.gz FUXMITYVWRDGTB-JTQLQIEISA-N -1 1 342.363 -0.134 20 0 EBADMM COCC(=O)N1CC[C@H]2OCCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC001019832844 729003984 /nfs/dbraw/zinc/00/39/84/729003984.db2.gz LPTPUWGHTJGNHG-WCQYABFASA-N -1 1 335.360 -0.125 20 0 EBADMM COCC(=O)N1CCO[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001019875399 729021490 /nfs/dbraw/zinc/02/14/90/729021490.db2.gz UWDHSEVNHXAOAC-DGCLKSJQSA-N -1 1 335.360 -0.125 20 0 EBADMM C[C@H]1[C@@H](NC(=O)[C@H]2CCN(C)C2=O)CCN1C(=O)c1ncccc1[O-] ZINC001020206128 729099974 /nfs/dbraw/zinc/09/99/74/729099974.db2.gz MXKJMDOFGJEFMF-TUAOUCFPSA-N -1 1 346.387 -0.015 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@H]1CC(=O)N(C)C1 ZINC001020326200 729133702 /nfs/dbraw/zinc/13/37/02/729133702.db2.gz DZEKMTREBIMXAM-SRVKXCTJSA-N -1 1 346.387 -0.015 20 0 EBADMM COc1cc(CN[C@H]2C[C@H](NC(=O)c3cnc([O-])n(C)c3=O)C2)on1 ZINC001020460707 729175821 /nfs/dbraw/zinc/17/58/21/729175821.db2.gz MCEVFRQYRXNBOK-KYZUINATSA-N -1 1 349.347 -0.467 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@H]1CCN(C)C1=O ZINC001020493674 729185044 /nfs/dbraw/zinc/18/50/44/729185044.db2.gz ATMZAPNGJMXWEV-SRVKXCTJSA-N -1 1 346.387 -0.015 20 0 EBADMM CNC(=O)CC(=O)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])CC2 ZINC001060981597 738420625 /nfs/dbraw/zinc/42/06/25/738420625.db2.gz JOUHTKSHSAJZJG-UHFFFAOYSA-N -1 1 346.387 -0.012 20 0 EBADMM O=C(Cn1cnnn1)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001021040832 729272606 /nfs/dbraw/zinc/27/26/06/729272606.db2.gz JTUQGZBDOHDPCC-WDEREUQCSA-N -1 1 343.347 -0.853 20 0 EBADMM C[C@]1(C(=O)NC2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[n-]2)CNC(=O)C1 ZINC001021333228 729306048 /nfs/dbraw/zinc/30/60/48/729306048.db2.gz KWGYUEABPDTARH-RIVFMTDUSA-N -1 1 348.407 -0.352 20 0 EBADMM CC(C)C(=O)N1C[C@@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)[C@@H]2C1 ZINC001022206733 729466115 /nfs/dbraw/zinc/46/61/15/729466115.db2.gz IRHRDFUMZQPUDC-CMPLNLGQSA-N -1 1 344.375 -0.254 20 0 EBADMM Cc1cnc(C(=O)NC[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001023213209 729639521 /nfs/dbraw/zinc/63/95/21/729639521.db2.gz BHPQMFAYSZWVAJ-LBPRGKRZSA-N -1 1 345.407 -0.151 20 0 EBADMM Cn1nnc(CN2CCC[C@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC001023326119 729664102 /nfs/dbraw/zinc/66/41/02/729664102.db2.gz QILAUIXOOZPESZ-LLVKDONJSA-N -1 1 331.380 -0.047 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](CNC(=O)c3cncnc3)C2)nc1=O ZINC001023331355 729664914 /nfs/dbraw/zinc/66/49/14/729664914.db2.gz WPRCIQGBPRJPRQ-NSHDSACASA-N -1 1 331.380 -0.460 20 0 EBADMM O=C(Cc1cccnc1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001023410773 729681586 /nfs/dbraw/zinc/68/15/86/729681586.db2.gz PMGYMVLCVFQJCR-TZMCWYRMSA-N -1 1 342.355 -0.274 20 0 EBADMM Cc1nnsc1C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023487080 729701554 /nfs/dbraw/zinc/70/15/54/729701554.db2.gz WTXJJTRCWVDENG-PSASIEDQSA-N -1 1 349.372 -0.438 20 0 EBADMM O=C(CCc1ncc[nH]1)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023488625 729702364 /nfs/dbraw/zinc/70/23/64/729702364.db2.gz PQFKNRJQKNZAKT-ZYHUDNBSSA-N -1 1 345.359 -0.555 20 0 EBADMM O=C(CC[C@H]1CCOC1)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023488527 729702575 /nfs/dbraw/zinc/70/25/75/729702575.db2.gz MFKLHZIAGWZCNC-OUCADQQQSA-N -1 1 349.387 -0.095 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)C[C@H]1O)c1ncccc1[O-] ZINC001023493384 729704303 /nfs/dbraw/zinc/70/43/03/729704303.db2.gz BVDRDZGOLFCVMT-XMKDAVRRSA-N -1 1 347.371 -0.344 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)cnn1 ZINC001023524604 729712161 /nfs/dbraw/zinc/71/21/61/729712161.db2.gz ULUNYOWPFWEVQP-DGCLKSJQSA-N -1 1 343.343 -0.499 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](CNC(=O)c3ccnnc3)C2)nc1=O ZINC001023614783 729738451 /nfs/dbraw/zinc/73/84/51/729738451.db2.gz CKJRQDKLMXDTED-NSHDSACASA-N -1 1 331.380 -0.460 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](CNC(=O)c3ncccn3)C2)nc1=O ZINC001023663027 729750164 /nfs/dbraw/zinc/75/01/64/729750164.db2.gz UYRAANQIYXKDTP-LLVKDONJSA-N -1 1 331.380 -0.460 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)C2CC2)C[C@H]1O)c1cnc(C2CC2)[n-]c1=O ZINC001023756962 729793650 /nfs/dbraw/zinc/79/36/50/729793650.db2.gz NESTUKJFVFZJTP-VXGBXAGGSA-N -1 1 332.360 -0.229 20 0 EBADMM C[C@@H](C(=O)N1CCC[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)S(C)(=O)=O ZINC001024041173 729833353 /nfs/dbraw/zinc/83/33/53/729833353.db2.gz UWUQAIUUJFDWLJ-UWVGGRQHSA-N -1 1 345.425 -0.728 20 0 EBADMM Cc1c(C(=O)NC[C@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)cnn1C ZINC001024332820 729874072 /nfs/dbraw/zinc/87/40/72/729874072.db2.gz HKMBHOZIAZVGRS-GFCCVEGCSA-N -1 1 347.423 -0.065 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H]2CNC(=O)c2cccc(=O)[nH]2)nc1=O ZINC001024378008 729879680 /nfs/dbraw/zinc/87/96/80/729879680.db2.gz GLYPKUVSNVDAQP-LLVKDONJSA-N -1 1 346.391 -0.007 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H]2CNC(=O)c2cc[nH]c(=O)c2)nc1=O ZINC001024389324 729880889 /nfs/dbraw/zinc/88/08/89/729880889.db2.gz XOEBIMOFAJRMRX-LBPRGKRZSA-N -1 1 346.391 -0.007 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H]2CNC(=O)c2ncccn2)nc1=O ZINC001024722249 729922458 /nfs/dbraw/zinc/92/24/58/729922458.db2.gz OZIKSSIEPUTGPI-LLVKDONJSA-N -1 1 331.380 -0.317 20 0 EBADMM O=C([C@@H]1COCCO1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024879994 729938607 /nfs/dbraw/zinc/93/86/07/729938607.db2.gz OCTBUCNYRICBCL-MNOVXSKESA-N -1 1 325.369 -0.604 20 0 EBADMM O=C(C[C@H]1CCNC1=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024982479 729981712 /nfs/dbraw/zinc/98/17/12/729981712.db2.gz QBZNPBWCYIEOEU-GHMZBOCLSA-N -1 1 336.396 -0.493 20 0 EBADMM Cn1cc(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)ncc1=O ZINC001025023381 729987724 /nfs/dbraw/zinc/98/77/24/729987724.db2.gz HHLVUYSKOJQHQS-SNVBAGLBSA-N -1 1 347.379 -0.612 20 0 EBADMM NC(=O)c1c[nH]c(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)c1 ZINC001025126400 730002866 /nfs/dbraw/zinc/00/28/66/730002866.db2.gz DHNLNDGFFUGRIG-SNVBAGLBSA-N -1 1 347.379 -0.278 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)c1ncccn1 ZINC001025257198 730021604 /nfs/dbraw/zinc/02/16/04/730021604.db2.gz JUKJQRHRDMAHKE-MWLCHTKSSA-N -1 1 329.316 -0.808 20 0 EBADMM C[C@H]1OCCC[C@H]1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001025754953 730084455 /nfs/dbraw/zinc/08/44/55/730084455.db2.gz VAXZQLROCHFTHV-SSKLVLDBSA-N -1 1 335.408 -0.530 20 0 EBADMM CO[C@@H]1CCC[C@H](C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)C1 ZINC001025989268 730114897 /nfs/dbraw/zinc/11/48/97/730114897.db2.gz RVHQCTGTZUFKIP-GGAZOKNXSA-N -1 1 349.435 -0.140 20 0 EBADMM Cc1ccnc(CNC[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001026942053 730174168 /nfs/dbraw/zinc/17/41/68/730174168.db2.gz ZZUONVVYBTYEQJ-JTQLQIEISA-N -1 1 345.363 -0.367 20 0 EBADMM CC[C@H](C(N)=O)N(C)[C@H]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001027479547 730218415 /nfs/dbraw/zinc/21/84/15/730218415.db2.gz JNMZYMHUVAAJPT-DTWKUNHWSA-N -1 1 338.368 -0.917 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H]2CNC(=O)c2cc3n(n2)CCO3)nc1=O ZINC001027934034 730249179 /nfs/dbraw/zinc/24/91/79/730249179.db2.gz JANCMACDMDTNHB-JTQLQIEISA-N -1 1 347.379 -0.908 20 0 EBADMM Cc1ncc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)n1C ZINC001028098919 730266066 /nfs/dbraw/zinc/26/60/66/730266066.db2.gz XVENGWKHWBXABC-NSHDSACASA-N -1 1 333.396 -0.455 20 0 EBADMM COc1ncccc1C(=O)NC[C@@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001028111362 730268189 /nfs/dbraw/zinc/26/81/89/730268189.db2.gz PUUVKYWFRWUKTN-NSHDSACASA-N -1 1 346.391 -0.094 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)c3ccnc(F)c3)C2)nc1=O ZINC001028443123 730293560 /nfs/dbraw/zinc/29/35/60/730293560.db2.gz KISZOYGTUCBCCN-JTQLQIEISA-N -1 1 334.355 -0.106 20 0 EBADMM CCc1ocnc1C(=O)NC[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001028455890 730295052 /nfs/dbraw/zinc/29/50/52/730295052.db2.gz FFIVYNWZHALOHU-SNVBAGLBSA-N -1 1 334.380 -0.089 20 0 EBADMM Cn1cncc1CN1CC[C@H](CNC(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001028732993 730346159 /nfs/dbraw/zinc/34/61/59/730346159.db2.gz UXQWPVXABVEYKL-LLVKDONJSA-N -1 1 346.391 -0.529 20 0 EBADMM CCc1ncoc1C(=O)NC[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001028786540 730356454 /nfs/dbraw/zinc/35/64/54/730356454.db2.gz AESNYRQNJGAJAO-JTQLQIEISA-N -1 1 334.380 -0.089 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)c3ncccc3F)C2)nc1=O ZINC001028891343 730367760 /nfs/dbraw/zinc/36/77/60/730367760.db2.gz BQLABCIUZHSRMS-SNVBAGLBSA-N -1 1 334.355 -0.106 20 0 EBADMM CCc1cc(C(=O)NC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)no1 ZINC001028939995 730373670 /nfs/dbraw/zinc/37/36/70/730373670.db2.gz WESSLOVTAVIANG-SNVBAGLBSA-N -1 1 334.380 -0.089 20 0 EBADMM C[C@@H](NCc1cnon1)C1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001029116077 730389618 /nfs/dbraw/zinc/38/96/18/730389618.db2.gz MYYFRYAVVPWDJT-MRVPVSSYSA-N -1 1 349.351 -0.304 20 0 EBADMM C[C@@H](C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1)n1cccn1 ZINC001029222734 730393498 /nfs/dbraw/zinc/39/34/98/730393498.db2.gz FEYIDGWGZVBQTE-RWMBFGLXSA-N -1 1 345.407 -0.259 20 0 EBADMM COc1cc(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)on1 ZINC001029279207 730396360 /nfs/dbraw/zinc/39/63/60/730396360.db2.gz GVKRZCWLHTYTIB-AOOOYVTPSA-N -1 1 348.363 -0.406 20 0 EBADMM C[C@@H]1CO[C@H](C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)C1 ZINC001029399640 730403226 /nfs/dbraw/zinc/40/32/26/730403226.db2.gz UATYDYHGINISMA-RVMXOQNASA-N -1 1 335.408 -0.291 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)[C@@H]2CCNC(=O)C2)C1 ZINC001029702824 730485364 /nfs/dbraw/zinc/48/53/64/730485364.db2.gz OKALJKUWDDREOE-WDEREUQCSA-N -1 1 336.396 -0.683 20 0 EBADMM CN(Cc1nnnn1C)C[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001029713718 730486951 /nfs/dbraw/zinc/48/69/51/730486951.db2.gz PRFRNOIMEUBLLW-NSHDSACASA-N -1 1 331.380 -0.095 20 0 EBADMM Cc1nnc(CC(=O)N2CC[C@@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC001029736489 730490758 /nfs/dbraw/zinc/49/07/58/730490758.db2.gz KQEMQXHARUOQBF-JTQLQIEISA-N -1 1 334.384 -0.540 20 0 EBADMM C[C@@H]1OCCO[C@@H]1C(=O)N1CC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001029759657 730495865 /nfs/dbraw/zinc/49/58/65/730495865.db2.gz ULTFPZATOHOGIA-LOWVWBTDSA-N -1 1 339.396 -0.406 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)[C@@H]2CCCNC2=O)C1 ZINC001029761536 730496567 /nfs/dbraw/zinc/49/65/67/730496567.db2.gz UICSJOUVVUUVQW-WDEREUQCSA-N -1 1 336.396 -0.683 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)c2ccn(C)c(=O)c2)C1 ZINC001029771938 730498704 /nfs/dbraw/zinc/49/87/04/730498704.db2.gz ZTGBWFVLMOEYHX-NSHDSACASA-N -1 1 346.391 -0.197 20 0 EBADMM COc1cnc(C(=O)N2CC[C@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)nc1 ZINC001029779604 730500229 /nfs/dbraw/zinc/50/02/29/730500229.db2.gz ICFBPXFFIVMVAO-SNVBAGLBSA-N -1 1 347.379 -0.097 20 0 EBADMM CN(Cc1ccnn1C)C[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001029777778 730500401 /nfs/dbraw/zinc/50/04/01/730500401.db2.gz PYQHDKAHKZTASO-SNVBAGLBSA-N -1 1 347.379 -0.390 20 0 EBADMM COc1ncc(C(=O)N2CC[C@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001029882381 730518631 /nfs/dbraw/zinc/51/86/31/730518631.db2.gz NUYQGZWBGCOSCJ-SNVBAGLBSA-N -1 1 347.379 -0.097 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)c2ccc(=O)n(C)n2)C1 ZINC001029899792 730521096 /nfs/dbraw/zinc/52/10/96/730521096.db2.gz HIPAIIANMXGLFY-SNVBAGLBSA-N -1 1 347.379 -0.802 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)c2cn(C)c(=O)[nH]2)CC1 ZINC001029990065 730532751 /nfs/dbraw/zinc/53/27/51/730532751.db2.gz GOLFZHYPVPJSNZ-UHFFFAOYSA-N -1 1 349.395 -0.066 20 0 EBADMM Cc1[nH]nc(C(=O)N(CCO)CCNC(=O)CN2CCCC2)c1[O-] ZINC001057356719 737186573 /nfs/dbraw/zinc/18/65/73/737186573.db2.gz AFFWGQKRHVYPOE-UHFFFAOYSA-N -1 1 339.396 -0.930 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cncc4[nH]ccc43)C2)nc1=O ZINC001030612540 730613357 /nfs/dbraw/zinc/61/33/57/730613357.db2.gz SCGSWOZGBLOYAG-UHFFFAOYSA-N -1 1 327.348 -0.401 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@@H]3COc4ccccc4C3)C2)nc1=O ZINC001030641235 730618522 /nfs/dbraw/zinc/61/85/22/730618522.db2.gz WEDDYJDPQXSKJH-LBPRGKRZSA-N -1 1 343.387 -0.340 20 0 EBADMM CCOc1cccc(CC(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001030713280 730627280 /nfs/dbraw/zinc/62/72/80/730627280.db2.gz PJPBPZNNHLHUEH-UHFFFAOYSA-N -1 1 346.391 -0.555 20 0 EBADMM CCc1cc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c(C)nn1 ZINC001030741969 730631129 /nfs/dbraw/zinc/63/11/29/730631129.db2.gz LICWCPAXBABEKC-UHFFFAOYSA-N -1 1 331.380 -0.617 20 0 EBADMM CCc1nc([C@@H](C)N2CC(NC(=O)c3cnc([O-])n(C)c3=O)C2)n[nH]1 ZINC001030780197 730637374 /nfs/dbraw/zinc/63/73/74/730637374.db2.gz UFGDVXDMESILMS-MRVPVSSYSA-N -1 1 347.379 -0.658 20 0 EBADMM Cn1cnc2cc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)cnc21 ZINC001030781778 730637572 /nfs/dbraw/zinc/63/75/72/730637572.db2.gz JNYLNGMBTLWCIB-UHFFFAOYSA-N -1 1 342.363 -0.996 20 0 EBADMM Cc1ncc(Cl)c(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001031053272 730668884 /nfs/dbraw/zinc/66/88/84/730668884.db2.gz FARDCJYAAYCXBE-UHFFFAOYSA-N -1 1 337.771 -0.526 20 0 EBADMM Cn1ncnc1CN1CC(NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001031060317 730669833 /nfs/dbraw/zinc/66/98/33/730669833.db2.gz BOBMHVQLBWQDTM-UHFFFAOYSA-N -1 1 329.364 -0.198 20 0 EBADMM CCn1ccc(CN2CC(NC(=O)c3[nH]c(=O)[n-]c(=O)c3OC)C2)n1 ZINC001031249707 730693258 /nfs/dbraw/zinc/69/32/58/730693258.db2.gz FCXVFRCBRCIRKJ-UHFFFAOYSA-N -1 1 348.363 -0.273 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(CCOC2CCC2)C1 ZINC001031249845 730693271 /nfs/dbraw/zinc/69/32/71/730693271.db2.gz IWFNEWASWTYGFS-UHFFFAOYSA-N -1 1 338.364 -0.121 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(Cc2nccs2)C1 ZINC001031250138 730693693 /nfs/dbraw/zinc/69/36/93/730693693.db2.gz VHWULFPRAPUCEF-UHFFFAOYSA-N -1 1 337.361 -0.033 20 0 EBADMM Cc1cnc(CN2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)o1 ZINC001031250163 730693745 /nfs/dbraw/zinc/69/37/45/730693745.db2.gz WECWGDRYXILNPW-UHFFFAOYSA-N -1 1 329.320 -0.672 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(Cc2cc(C)no2)C1 ZINC001031249935 730693880 /nfs/dbraw/zinc/69/38/80/730693880.db2.gz MBNHWAGZTRIPMR-UHFFFAOYSA-N -1 1 335.320 -0.193 20 0 EBADMM Cc1nnc([C@@H](C)N2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)[nH]1 ZINC001031251616 730695232 /nfs/dbraw/zinc/69/52/32/730695232.db2.gz SCHFTJOIEMSYFN-SSDOTTSWSA-N -1 1 343.351 -0.981 20 0 EBADMM O=C(NC1CN(CC(F)(F)C(F)F)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001031252494 730695491 /nfs/dbraw/zinc/69/54/91/730695491.db2.gz HKOYIKDIWKOLOQ-UHFFFAOYSA-N -1 1 348.260 -0.268 20 0 EBADMM Cc1nc(CN2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)cs1 ZINC001031252472 730695612 /nfs/dbraw/zinc/69/56/12/730695612.db2.gz GZHHUYSALAXDFF-UHFFFAOYSA-N -1 1 345.388 -0.203 20 0 EBADMM Cc1noc([C@H](C)N2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)n1 ZINC001031254324 730695713 /nfs/dbraw/zinc/69/57/13/730695713.db2.gz ZMQKPHKJJYATKR-ZETCQYMHSA-N -1 1 344.335 -0.716 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)Cc3ccc4c[nH]nc4c3)C2)nc1=O ZINC001031330516 730703709 /nfs/dbraw/zinc/70/37/09/730703709.db2.gz GXQWLPIGWQPDDX-UHFFFAOYSA-N -1 1 341.375 -0.472 20 0 EBADMM COc1ccccc1CC(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031356570 730707254 /nfs/dbraw/zinc/70/72/54/730707254.db2.gz FCJMFVMVCHQHJJ-UHFFFAOYSA-N -1 1 331.376 -0.340 20 0 EBADMM Cc1nn2cccnc2c1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031404953 730716936 /nfs/dbraw/zinc/71/69/36/730716936.db2.gz KJNOPXNDGVXVOJ-UHFFFAOYSA-N -1 1 342.363 -0.926 20 0 EBADMM COCc1nc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)cs1 ZINC001031471993 730726794 /nfs/dbraw/zinc/72/67/94/730726794.db2.gz KOBXZGCSHXXRHY-UHFFFAOYSA-N -1 1 338.393 -0.675 20 0 EBADMM CC(C)[C@@H]1CCO[C@@H]1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031676708 730749881 /nfs/dbraw/zinc/74/98/81/730749881.db2.gz ILCDYECRNMUEMY-JSGCOSHPSA-N -1 1 337.424 -0.283 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@@H]3CCn4ccnc4C3)C2)nc1=O ZINC001031782173 730768630 /nfs/dbraw/zinc/76/86/30/730768630.db2.gz BSAOHEZXUNTYDE-GFCCVEGCSA-N -1 1 345.407 -0.885 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cnc4ccccn43)C2)nc1=O ZINC001031796335 730770156 /nfs/dbraw/zinc/77/01/56/730770156.db2.gz HRKSEAKHKQONQD-UHFFFAOYSA-N -1 1 341.375 -0.382 20 0 EBADMM CCc1nc([C@H](C)N2CC(CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001031815813 730773858 /nfs/dbraw/zinc/77/38/58/730773858.db2.gz KIOWIWZAQDOIKN-ZETCQYMHSA-N -1 1 348.367 -0.614 20 0 EBADMM Cc1nc([C@H](C)N2CC(CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001031815988 730774177 /nfs/dbraw/zinc/77/41/77/730774177.db2.gz SGRFIJNTHZKXHP-LURJTMIESA-N -1 1 334.340 -0.868 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cc(C4CC4)on3)C2)nc1=O ZINC001031883121 730785031 /nfs/dbraw/zinc/78/50/31/730785031.db2.gz ZVNSVPHIFQKXOM-UHFFFAOYSA-N -1 1 332.364 -0.164 20 0 EBADMM CCOC1CC2(C[C@@H]2C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001031973863 730798657 /nfs/dbraw/zinc/79/86/57/730798657.db2.gz IMKHJNCKUCYWGF-UIORMTCPSA-N -1 1 349.435 -0.138 20 0 EBADMM Cn1cc([C@@H]2C[C@H]2C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001031994554 730801861 /nfs/dbraw/zinc/80/18/61/730801861.db2.gz DUEXMBPYJZUEIX-QWHCGFSZSA-N -1 1 345.407 -0.806 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3coc(C4CC4)n3)C2)nc1=O ZINC001032032501 730805046 /nfs/dbraw/zinc/80/50/46/730805046.db2.gz XVVIPJFKGKVUET-UHFFFAOYSA-N -1 1 332.364 -0.164 20 0 EBADMM Cn1nc2c(c1C(=O)NCC1CN(Cc3nc(=O)n(C)[n-]3)C1)CCC2 ZINC001032123441 730814951 /nfs/dbraw/zinc/81/49/51/730814951.db2.gz BRHOEWLQAOOIEP-UHFFFAOYSA-N -1 1 345.407 -0.808 20 0 EBADMM Cn1nccc1CN1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001032128124 730816901 /nfs/dbraw/zinc/81/69/01/730816901.db2.gz SOUVRCOXSWFSRT-UHFFFAOYSA-N -1 1 342.363 -0.987 20 0 EBADMM Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)cn1 ZINC001032281517 730836886 /nfs/dbraw/zinc/83/68/86/730836886.db2.gz GEZBXMOOBXFPMK-STQMWFEESA-N -1 1 328.376 -0.089 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)c2cnn3c2CCC3)nc1=O ZINC001032436928 730860429 /nfs/dbraw/zinc/86/04/29/730860429.db2.gz SIUAEJOWDPZXEQ-QWRGUYRKSA-N -1 1 343.391 -0.650 20 0 EBADMM O=C([C@@H]1C[C@H]1c1cnc[nH]1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[n-]1 ZINC001032457199 730865510 /nfs/dbraw/zinc/86/55/10/730865510.db2.gz MQQZNMURPZMMRD-UKKRHICBSA-N -1 1 329.364 -0.178 20 0 EBADMM CCCc1n[nH]cc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032491584 730873887 /nfs/dbraw/zinc/87/38/87/730873887.db2.gz ZRXCDNWNWBDFHC-QWRGUYRKSA-N -1 1 345.407 -0.117 20 0 EBADMM Cc1cc(C)n(CC(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)n1 ZINC001032508727 730879321 /nfs/dbraw/zinc/87/93/21/730879321.db2.gz IFADNYYYNRRVFT-STQMWFEESA-N -1 1 345.407 -0.593 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)nc1=O ZINC001032640413 730904418 /nfs/dbraw/zinc/90/44/18/730904418.db2.gz IETIKPLCZSHHRN-YYHQMBLXSA-N -1 1 333.392 -0.539 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)CCc2cn[nH]c2)nc1=O ZINC001032673722 730908491 /nfs/dbraw/zinc/90/84/91/730908491.db2.gz BOXBWGWBXUSSGV-RYUDHWBXSA-N -1 1 331.380 -0.751 20 0 EBADMM Cc1nccc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)n1 ZINC001032788089 730931650 /nfs/dbraw/zinc/93/16/50/730931650.db2.gz KOBOSRZGTWMHKT-QWRGUYRKSA-N -1 1 329.364 -0.694 20 0 EBADMM CCc1ncncc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032787675 730931671 /nfs/dbraw/zinc/93/16/71/730931671.db2.gz VSQPIKVWNCSEOX-QWRGUYRKSA-N -1 1 343.391 -0.440 20 0 EBADMM CN(C(=O)c1cc[n+]([O-])cc1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032885122 730945481 /nfs/dbraw/zinc/94/54/81/730945481.db2.gz OBXUQFABFDXUKI-LBPRGKRZSA-N -1 1 332.364 -0.912 20 0 EBADMM CN(C(=O)[C@@H]1CCCOCC1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033043747 730961715 /nfs/dbraw/zinc/96/17/15/730961715.db2.gz QKRPOKQSHIYNOA-OLZOCXBDSA-N -1 1 337.424 -0.042 20 0 EBADMM Cc1nc(CN2CC[C@H](N(C)C(=O)c3cnc([O-])n(C)c3=O)C2)n[nH]1 ZINC001033324233 731007108 /nfs/dbraw/zinc/00/71/08/731007108.db2.gz OWCYREVEFGWJOW-JTQLQIEISA-N -1 1 347.379 -0.741 20 0 EBADMM C[C@H](C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)c1cncnc1 ZINC001033356639 731013669 /nfs/dbraw/zinc/01/36/69/731013669.db2.gz QAURKNGIVNECMS-WCQYABFASA-N -1 1 345.407 -0.265 20 0 EBADMM CN(C(=O)[C@@H]1C[C@H]2CC[C@@H]1O2)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033439735 731023731 /nfs/dbraw/zinc/02/37/31/731023731.db2.gz IKKACBLUAYPWDB-LPWJVIDDSA-N -1 1 335.408 -0.291 20 0 EBADMM CO[C@H](C)CN1CC[C@H](N(C)C(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001033509442 731033465 /nfs/dbraw/zinc/03/34/65/731033465.db2.gz JJYSLINRLPEMSY-NEPJUHHUSA-N -1 1 348.407 -0.361 20 0 EBADMM CN(C(=O)c1ncccc1F)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033531116 731036425 /nfs/dbraw/zinc/03/64/25/731036425.db2.gz JFSFUDMBNBDEKM-JTQLQIEISA-N -1 1 334.355 -0.011 20 0 EBADMM CN(C(=O)c1cccn(C)c1=O)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033588962 731044008 /nfs/dbraw/zinc/04/40/08/731044008.db2.gz IQHVTDBSLTZGGS-NSHDSACASA-N -1 1 346.391 -0.846 20 0 EBADMM CN(C(=O)c1cccn(C)c1=O)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033588961 731044395 /nfs/dbraw/zinc/04/43/95/731044395.db2.gz IQHVTDBSLTZGGS-LLVKDONJSA-N -1 1 346.391 -0.846 20 0 EBADMM CN(C(=O)Cc1cncn1C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033593978 731045383 /nfs/dbraw/zinc/04/53/83/731045383.db2.gz LAXGRHUMSLIOGM-NSHDSACASA-N -1 1 333.396 -0.883 20 0 EBADMM CN(C(=O)Cn1c(=O)[n-][nH]c1=O)[C@H]1CCN(Cc2ccccn2)C1 ZINC001033617723 731047870 /nfs/dbraw/zinc/04/78/70/731047870.db2.gz YCEBUIMKSHYPPZ-LBPRGKRZSA-N -1 1 332.364 -0.183 20 0 EBADMM CCN(C(=O)c1c[nH]c(C)n1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033775986 731073152 /nfs/dbraw/zinc/07/31/52/731073152.db2.gz MSWHOHRYECEDQA-NSHDSACASA-N -1 1 333.396 -0.123 20 0 EBADMM CCN(C(=O)c1nonc1C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034054498 731101769 /nfs/dbraw/zinc/10/17/69/731101769.db2.gz HYXKODZEXZWBQQ-JTQLQIEISA-N -1 1 335.368 -0.464 20 0 EBADMM Cc1ncc(C(=O)N[C@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)[nH]1 ZINC001034132740 731105077 /nfs/dbraw/zinc/10/50/77/731105077.db2.gz KRHZNUGNFPCDQX-NSHDSACASA-N -1 1 333.396 -0.076 20 0 EBADMM Cn1cc(C(=O)N[C@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001034196115 731110192 /nfs/dbraw/zinc/11/01/92/731110192.db2.gz WRFFMAASDLYORO-JTQLQIEISA-N -1 1 334.384 -0.979 20 0 EBADMM Cn1ccc(C(=O)N[C@@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001034525717 731138366 /nfs/dbraw/zinc/13/83/66/731138366.db2.gz PKTAZKGPNCNFOK-LLVKDONJSA-N -1 1 333.396 -0.374 20 0 EBADMM CCN1C[C@H](C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CC1=O ZINC001034953667 731167278 /nfs/dbraw/zinc/16/72/78/731167278.db2.gz FYUTZXZDFKOGSC-GHMZBOCLSA-N -1 1 336.396 -0.541 20 0 EBADMM O=C(C[C@H]1CCC(=O)NC1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034956778 731167665 /nfs/dbraw/zinc/16/76/65/731167665.db2.gz YHXWWFGIXIOWPD-GHMZBOCLSA-N -1 1 336.396 -0.493 20 0 EBADMM Cc1ncoc1CNC[C@H]1CCCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001034982224 731172142 /nfs/dbraw/zinc/17/21/42/731172142.db2.gz ACYOOHNWWKULHH-SECBINFHSA-N -1 1 334.336 -0.026 20 0 EBADMM Cc1cc(CNC[C@H]2CCCN2C(=O)c2n[nH]c(=O)[n-]c2=O)on1 ZINC001034985199 731172897 /nfs/dbraw/zinc/17/28/97/731172897.db2.gz OYULJIJUYCJBRP-SECBINFHSA-N -1 1 334.336 -0.026 20 0 EBADMM Cn1ccnc1CNC[C@H]1CCCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001034985148 731172906 /nfs/dbraw/zinc/17/29/06/731172906.db2.gz MNQMPNJZDQPQDQ-SECBINFHSA-N -1 1 333.352 -0.589 20 0 EBADMM O=C(c1cnn2cccnc12)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035006753 731175422 /nfs/dbraw/zinc/17/54/22/731175422.db2.gz IXRDYHUBGSXTQN-SNVBAGLBSA-N -1 1 342.363 -0.053 20 0 EBADMM Cc1noc(CCC(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)n1 ZINC001035007540 731175919 /nfs/dbraw/zinc/17/59/19/731175919.db2.gz BKZLOHPRSNKFSV-SNVBAGLBSA-N -1 1 335.368 -0.085 20 0 EBADMM CN1C(=O)CC[C@H]1CC(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035009250 731175948 /nfs/dbraw/zinc/17/59/48/731175948.db2.gz DYZXDICRMRXBNP-WDEREUQCSA-N -1 1 336.396 -0.398 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035026505 731178413 /nfs/dbraw/zinc/17/84/13/731178413.db2.gz ZOOYWWYKUJXMQY-SECBINFHSA-N -1 1 333.352 -0.291 20 0 EBADMM COCCn1cc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)cn1 ZINC001035113790 731187037 /nfs/dbraw/zinc/18/70/37/731187037.db2.gz QIOVVLRMSUXBLX-GFCCVEGCSA-N -1 1 349.395 -0.252 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)nc1 ZINC001035140286 731192051 /nfs/dbraw/zinc/19/20/51/731192051.db2.gz PRJVWMFSUXGSSI-SNVBAGLBSA-N -1 1 345.363 -0.601 20 0 EBADMM Cn1cccc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035294850 731206907 /nfs/dbraw/zinc/20/69/07/731206907.db2.gz PEKGZASWDAJHMC-NSHDSACASA-N -1 1 334.380 -0.922 20 0 EBADMM Cc1ccncc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035318638 731214142 /nfs/dbraw/zinc/21/41/42/731214142.db2.gz DIIFOVMLVOFGLQ-LBPRGKRZSA-N -1 1 346.391 -0.557 20 0 EBADMM CN(C(=O)CN1CCO[C@@H](CNC(=O)c2ncccc2[O-])C1)C1CC1 ZINC001035343332 731221769 /nfs/dbraw/zinc/22/17/69/731221769.db2.gz HVCWLANTKMCWMS-ZDUSSCGKSA-N -1 1 348.403 -0.161 20 0 EBADMM O=C(CN1CCO[C@H](CNC(=O)c2ncccc2[O-])C1)N1CCC1 ZINC001035345522 731222817 /nfs/dbraw/zinc/22/28/17/731222817.db2.gz ZXNKPSAMLYBCDC-GFCCVEGCSA-N -1 1 334.376 -0.550 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)c3ccns3)C2)nc1=O ZINC001035383665 731235685 /nfs/dbraw/zinc/23/56/85/731235685.db2.gz DGFDEHKVCLJNNU-SECBINFHSA-N -1 1 338.393 -0.804 20 0 EBADMM O=C(NC[C@H]1CN(Cc2n[nH]c(=O)[n-]2)CCO1)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001035407623 731245398 /nfs/dbraw/zinc/24/53/98/731245398.db2.gz OXTQUPMAVNHALS-HBNTYKKESA-N -1 1 347.379 -0.646 20 0 EBADMM C[C@H]1CCCN(C(=O)c2cn(C)c(=O)[nH]2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036575066 731262561 /nfs/dbraw/zinc/26/25/61/731262561.db2.gz VROLXSSKQBVDJU-ONGXEEELSA-N -1 1 349.395 -0.020 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@@H]2CCCc3n[nH]cc32)nc1=O ZINC001038247947 731298862 /nfs/dbraw/zinc/29/88/62/731298862.db2.gz XECNNTLGMOAZKG-WDEREUQCSA-N -1 1 345.407 -0.358 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2c(F)cncc2F)nc1=O ZINC001038296176 731303764 /nfs/dbraw/zinc/30/37/64/731303764.db2.gz DAAOFGNIUUGFQE-MRVPVSSYSA-N -1 1 338.318 -0.214 20 0 EBADMM CC(C)Cn1nccc1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038312027 731305655 /nfs/dbraw/zinc/30/56/55/731305655.db2.gz QQROUBUYMGEMBM-LBPRGKRZSA-N -1 1 347.423 -0.035 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cccc(C(N)=O)c2)nc1=O ZINC001038416408 731321102 /nfs/dbraw/zinc/32/11/02/731321102.db2.gz CRIAEKKIZVNFQB-LBPRGKRZSA-N -1 1 344.375 -0.788 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cc3n(n2)CCCO3)nc1=O ZINC001038505243 731336739 /nfs/dbraw/zinc/33/67/39/731336739.db2.gz WUNOCUZTFCIZEX-SNVBAGLBSA-N -1 1 347.379 -0.908 20 0 EBADMM CCOc1cc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)on1 ZINC001038525604 731339387 /nfs/dbraw/zinc/33/93/87/731339387.db2.gz SXRHZMBOJMJBIX-SECBINFHSA-N -1 1 336.352 -0.501 20 0 EBADMM Cc1cc(=O)[nH]cc1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038695787 731365330 /nfs/dbraw/zinc/36/53/30/731365330.db2.gz SOIKOIHXPJKTDT-SNVBAGLBSA-N -1 1 332.364 -0.478 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@@H]2Cc3ccncc3C2)nc1=O ZINC001038704702 731367021 /nfs/dbraw/zinc/36/70/21/731367021.db2.gz NLFXLSLBSDFBDQ-TZMCWYRMSA-N -1 1 342.403 -0.391 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@@H]2C[C@H]2c2cccnc2)nc1=O ZINC001038727464 731370494 /nfs/dbraw/zinc/37/04/94/731370494.db2.gz LDWYDHFFLPHBJE-MELADBBJSA-N -1 1 342.403 -0.002 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2ccc3cccnn32)nc1=O ZINC001038784430 731376281 /nfs/dbraw/zinc/37/62/81/731376281.db2.gz FDLCERDTGQDETB-LBPRGKRZSA-N -1 1 341.375 -0.240 20 0 EBADMM COCc1nocc1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038908474 731390176 /nfs/dbraw/zinc/39/01/76/731390176.db2.gz KITFBYPTNZKYKP-VIFPVBQESA-N -1 1 336.352 -0.753 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@@H]2CCc3n[nH]cc3C2)nc1=O ZINC001038913945 731390699 /nfs/dbraw/zinc/39/06/99/731390699.db2.gz NYDFRIPEODOBPJ-ZYHUDNBSSA-N -1 1 345.407 -0.673 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@H]2CCc3n[nH]cc3C2)nc1=O ZINC001038913921 731390893 /nfs/dbraw/zinc/39/08/93/731390893.db2.gz NYDFRIPEODOBPJ-CMPLNLGQSA-N -1 1 345.407 -0.673 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCN1Cc1nnc(C)[nH]1 ZINC001038916756 731391722 /nfs/dbraw/zinc/39/17/22/731391722.db2.gz IBPNJAASZYZJFT-MRVPVSSYSA-N -1 1 349.351 -0.673 20 0 EBADMM O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[n-]1)[C@@H]1CCc2[nH]nnc2C1 ZINC001038916632 731391795 /nfs/dbraw/zinc/39/17/95/731391795.db2.gz FXUVCPDRDCQGSR-RKDXNWHRSA-N -1 1 332.368 -0.876 20 0 EBADMM O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[n-]1)[C@@H]1CCc2nn[nH]c2C1 ZINC001038916632 731391798 /nfs/dbraw/zinc/39/17/98/731391798.db2.gz FXUVCPDRDCQGSR-RKDXNWHRSA-N -1 1 332.368 -0.876 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCN1Cc1nccn1C ZINC001038917705 731392352 /nfs/dbraw/zinc/39/23/52/731392352.db2.gz SEYJXPMREWSXFW-SECBINFHSA-N -1 1 348.363 -0.366 20 0 EBADMM Cn1ccc(CN2CC[C@@H]2CNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001038923854 731393630 /nfs/dbraw/zinc/39/36/30/731393630.db2.gz VUMZRONQPRDEKP-LLVKDONJSA-N -1 1 342.363 -0.845 20 0 EBADMM Cc1cc(CN2CC[C@H]2CNC(=O)c2cc(=O)n3[n-]cnc3n2)no1 ZINC001038924239 731393757 /nfs/dbraw/zinc/39/37/57/731393757.db2.gz YOKFUGLWYZAWKE-NSHDSACASA-N -1 1 343.347 -0.282 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2ccc3n[nH]nc3c2)nc1=O ZINC001039011201 731403531 /nfs/dbraw/zinc/40/35/31/731403531.db2.gz ZFSYCULVQQEHNX-JTQLQIEISA-N -1 1 342.363 -0.616 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@H]2COc3ccccc32)nc1=O ZINC001039085064 731416830 /nfs/dbraw/zinc/41/68/30/731416830.db2.gz NSOQQAFYMGLXOC-AAEUAGOBSA-N -1 1 343.387 -0.025 20 0 EBADMM CC(C)(O)CC(=O)NC[C@]1(O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001039589511 731454789 /nfs/dbraw/zinc/45/47/89/731454789.db2.gz ZHBURNNESRLSNY-MRXNPFEDSA-N -1 1 337.376 -0.359 20 0 EBADMM CO[C@H](C)C(=O)N1CC[C@@]2(C1)CCCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001040104308 731601277 /nfs/dbraw/zinc/60/12/77/731601277.db2.gz LMHNRZVULPLGSU-WBMJQRKESA-N -1 1 337.424 -0.042 20 0 EBADMM Cn1cncc1C(=O)NC[C@]1(O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001040234652 731658662 /nfs/dbraw/zinc/65/86/62/731658662.db2.gz IVTDLMVXVODRRE-MRXNPFEDSA-N -1 1 345.359 -0.472 20 0 EBADMM O=C(Cc1cnoc1)NC[C@]1(O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001040642093 731802699 /nfs/dbraw/zinc/80/26/99/731802699.db2.gz NKUFELYNFRNTHD-MRXNPFEDSA-N -1 1 346.343 -0.289 20 0 EBADMM O=C(Cc1c[nH]cn1)NC[C@]1(O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001041036250 731969735 /nfs/dbraw/zinc/96/97/35/731969735.db2.gz QJAQQCKIZCOWJB-MRXNPFEDSA-N -1 1 345.359 -0.554 20 0 EBADMM CSCC(=O)N1CC[C@]2(CC[N@@H+](Cc3nc(=O)n(C)[nH]3)C2)C1 ZINC001041051308 731974641 /nfs/dbraw/zinc/97/46/41/731974641.db2.gz IADWYHLGIVMPNE-AWEZNQCLSA-N -1 1 325.438 -0.104 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(CCN(C(=O)Cn4cccn4)C3)C2)nc1=O ZINC001041071420 731980752 /nfs/dbraw/zinc/98/07/52/731980752.db2.gz FUEDFNRLAKBLEJ-MRXNPFEDSA-N -1 1 345.407 -0.571 20 0 EBADMM O=C(Cc1ccn[nH]1)N1CC[C@@]2(CCN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001041163077 732015153 /nfs/dbraw/zinc/01/51/53/732015153.db2.gz XZQLMFROUSKDLQ-OAHLLOKOSA-N -1 1 331.380 -0.100 20 0 EBADMM Cn1cccc1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001041501044 732141697 /nfs/dbraw/zinc/14/16/97/732141697.db2.gz MVUINHLGJRDMTC-UHFFFAOYSA-N -1 1 330.344 -0.257 20 0 EBADMM C[C@H](C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1)n1cccn1 ZINC001041612054 732170181 /nfs/dbraw/zinc/17/01/81/732170181.db2.gz KIPOGMVNISHWLL-LLVKDONJSA-N -1 1 345.359 -0.452 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)c1ccnc(F)c1 ZINC001041893238 732249335 /nfs/dbraw/zinc/24/93/35/732249335.db2.gz DRQCWQVDHPVZPU-UHFFFAOYSA-N -1 1 346.318 -0.062 20 0 EBADMM CSCC(=O)N1CC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C1 ZINC001041918693 732253993 /nfs/dbraw/zinc/25/39/93/732253993.db2.gz CAAWKQOSPKZDDU-GHMZBOCLSA-N -1 1 325.438 -0.106 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)c4cocn4)C[C@@H]32)nc1=O ZINC001041973680 732265930 /nfs/dbraw/zinc/26/59/30/732265930.db2.gz VUDYQHPIOSXJFW-PWSUYJOCSA-N -1 1 332.364 -0.167 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)Cc4cnoc4)C[C@@H]32)nc1=O ZINC001042197423 732352487 /nfs/dbraw/zinc/35/24/87/732352487.db2.gz BLUDLZSTUKVXNV-OLZOCXBDSA-N -1 1 346.391 -0.238 20 0 EBADMM CCc1ocnc1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001042226626 732366967 /nfs/dbraw/zinc/36/69/67/732366967.db2.gz CSPLHRJPXNWHCS-UHFFFAOYSA-N -1 1 346.343 -0.046 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)c4cnon4)C[C@H]32)nc1=O ZINC001042275288 732386636 /nfs/dbraw/zinc/38/66/36/732386636.db2.gz AKZIAGZFKORGLJ-GXSJLCMTSA-N -1 1 333.352 -0.772 20 0 EBADMM Cc1cc(CC(=O)NCC2(O)CN(C(=O)c3n[nH]c(C)c3[O-])C2)[nH]n1 ZINC001042482257 732451934 /nfs/dbraw/zinc/45/19/34/732451934.db2.gz YLQNTPXBKSYNFP-UHFFFAOYSA-N -1 1 348.363 -0.999 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C[C@H](O)Cn2cccn2)C1 ZINC001042646098 732512816 /nfs/dbraw/zinc/51/28/16/732512816.db2.gz JMPDFBSUUUOCTE-ZDUSSCGKSA-N -1 1 331.376 -0.199 20 0 EBADMM Cc1nnccc1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042716516 732548449 /nfs/dbraw/zinc/54/84/49/732548449.db2.gz QKYIYSGNPWHXEQ-UHFFFAOYSA-N -1 1 343.343 -0.497 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ccns2)C1)c1ncccc1[O-] ZINC001042720404 732551701 /nfs/dbraw/zinc/55/17/01/732551701.db2.gz WJRWNZZAAOUSAW-UHFFFAOYSA-N -1 1 334.357 -0.139 20 0 EBADMM C[C@@H](C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1)c1ccnn1C ZINC001042740396 732560436 /nfs/dbraw/zinc/56/04/36/732560436.db2.gz UMVMXKPAEXSECI-SNVBAGLBSA-N -1 1 333.396 -0.712 20 0 EBADMM CN(C(=O)c1cnc2n1CCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042958482 732683248 /nfs/dbraw/zinc/68/32/48/732683248.db2.gz FZDLXZHJYRDOPN-UHFFFAOYSA-N -1 1 331.380 -0.793 20 0 EBADMM CN(C(=O)c1cc2c[nH]ccc-2n1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043091224 732750609 /nfs/dbraw/zinc/75/06/09/732750609.db2.gz HTHCURCSMYYNCS-UHFFFAOYSA-N -1 1 341.375 -0.059 20 0 EBADMM CN(C(=O)[C@H]1CCc2nccn2C1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043132154 732780051 /nfs/dbraw/zinc/78/00/51/732780051.db2.gz DOLWKBULNLFPOM-NSHDSACASA-N -1 1 345.407 -0.790 20 0 EBADMM Cc1nccc(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)n1 ZINC001043149146 732789250 /nfs/dbraw/zinc/78/92/50/732789250.db2.gz WRFSREICKSKNTI-UHFFFAOYSA-N -1 1 343.343 -0.497 20 0 EBADMM CN(C)Cc1cc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)no1 ZINC001043182139 732812067 /nfs/dbraw/zinc/81/20/67/732812067.db2.gz WHEPYYOIFNFSDO-UHFFFAOYSA-N -1 1 349.395 -0.886 20 0 EBADMM Cc1c[nH]c(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)n1 ZINC001043222023 732829492 /nfs/dbraw/zinc/82/94/92/732829492.db2.gz CMOAQSRNXQIKOP-UHFFFAOYSA-N -1 1 331.332 -0.564 20 0 EBADMM CN(C(=O)c1cnc(Cl)n1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043337977 732877079 /nfs/dbraw/zinc/87/70/79/732877079.db2.gz GISCLXMHUUTVPV-UHFFFAOYSA-N -1 1 339.787 -0.548 20 0 EBADMM CN(C(=O)c1occ2c1CCOC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043470206 732959919 /nfs/dbraw/zinc/95/99/19/732959919.db2.gz IDFMAURQIZLXSQ-UHFFFAOYSA-N -1 1 347.375 -0.270 20 0 EBADMM Cc1cc(C)c(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c(=O)[nH]1 ZINC001043498995 732975178 /nfs/dbraw/zinc/97/51/78/732975178.db2.gz ZONFUMCUQDQDOK-UHFFFAOYSA-N -1 1 346.391 -0.218 20 0 EBADMM CN(C(=O)c1cccc2[nH]ncc21)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043502524 732975895 /nfs/dbraw/zinc/97/58/95/732975895.db2.gz FHDQMFVBCZJSLL-UHFFFAOYSA-N -1 1 341.375 -0.059 20 0 EBADMM CN(C(=O)[C@@H]1CCc2cccnc21)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043664849 733049757 /nfs/dbraw/zinc/04/97/57/733049757.db2.gz SFGNOHPIWPJSSP-CYBMUJFWSA-N -1 1 342.403 -0.124 20 0 EBADMM CO[C@H]1CCC[C@H](C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001043691095 733062235 /nfs/dbraw/zinc/06/22/35/733062235.db2.gz HZCDWVWJUQXUOT-AAEUAGOBSA-N -1 1 337.424 -0.044 20 0 EBADMM CO[C@H]1CCC[C@@H](C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001043691099 733062286 /nfs/dbraw/zinc/06/22/86/733062286.db2.gz HZCDWVWJUQXUOT-YPMHNXCESA-N -1 1 337.424 -0.044 20 0 EBADMM CC1(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)CCOCC1 ZINC001043895188 733183047 /nfs/dbraw/zinc/18/30/47/733183047.db2.gz BPXRGYHICQAKNZ-UHFFFAOYSA-N -1 1 349.387 -0.093 20 0 EBADMM COc1cc(C)nc(N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1 ZINC001043917826 733198758 /nfs/dbraw/zinc/19/87/58/733198758.db2.gz IRAFQGBWOUQVTI-PWSUYJOCSA-N -1 1 345.359 -0.126 20 0 EBADMM COCc1cc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)no1 ZINC001044019373 733248878 /nfs/dbraw/zinc/24/88/78/733248878.db2.gz XTYUQYPUYTXTFZ-UHFFFAOYSA-N -1 1 336.352 -0.801 20 0 EBADMM CC(C)c1cc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001044129781 733294365 /nfs/dbraw/zinc/29/43/65/733294365.db2.gz VSKREAVKTMGPHM-UHFFFAOYSA-N -1 1 347.423 -0.078 20 0 EBADMM CC(C)n1cc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001044173980 733315370 /nfs/dbraw/zinc/31/53/70/733315370.db2.gz UBOXDCIBLBTFQF-UHFFFAOYSA-N -1 1 333.396 -0.158 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C1CN(Cc2nnc(C)[nH]2)C1 ZINC001044181974 733321344 /nfs/dbraw/zinc/32/13/44/733321344.db2.gz MCVFLXCUIQTROF-UHFFFAOYSA-N -1 1 349.351 -0.721 20 0 EBADMM CC(C)c1nn(C)cc1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044288312 733358094 /nfs/dbraw/zinc/35/80/94/733358094.db2.gz WCHUQAMIRRCWEU-UHFFFAOYSA-N -1 1 347.423 -0.078 20 0 EBADMM CN(C(=O)c1ncc2n1CCCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044313621 733374751 /nfs/dbraw/zinc/37/47/51/733374751.db2.gz ZWHIGVDZFNTUEB-UHFFFAOYSA-N -1 1 345.407 -0.402 20 0 EBADMM CCOc1cccnc1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044383436 733409016 /nfs/dbraw/zinc/40/90/16/733409016.db2.gz QBYSPKHOVIXFGG-UHFFFAOYSA-N -1 1 346.391 -0.142 20 0 EBADMM C[C@H]1C[C@@H](NCc2cnon2)CCN1C(=O)c1cnc([O-])n(C)c1=O ZINC001044709536 733487563 /nfs/dbraw/zinc/48/75/63/733487563.db2.gz XQQVUXFZTYHDAM-UWVGGRQHSA-N -1 1 348.363 -0.348 20 0 EBADMM CCn1nnc(C)c1C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045065932 733570882 /nfs/dbraw/zinc/57/08/82/733570882.db2.gz JUAHTGCNITZQNZ-JTQLQIEISA-N -1 1 334.384 -0.175 20 0 EBADMM COCCn1nccc1C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045084087 733575736 /nfs/dbraw/zinc/57/57/36/733575736.db2.gz FEZKYGKQKQBVLN-NSHDSACASA-N -1 1 349.395 -0.252 20 0 EBADMM O=C(c1cn2cccnc2n1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045105910 733583258 /nfs/dbraw/zinc/58/32/58/733583258.db2.gz ONXSETOSZREWIO-JTQLQIEISA-N -1 1 342.363 -0.053 20 0 EBADMM O=C(Cc1cnoc1)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001045110098 733584899 /nfs/dbraw/zinc/58/48/99/733584899.db2.gz HKJLWKJPHYBUJF-UHFFFAOYSA-N -1 1 332.316 -0.679 20 0 EBADMM CC(=O)N[C@@H]1CC[C@@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@H]12 ZINC000979860407 805588289 /nfs/dbraw/zinc/58/82/89/805588289.db2.gz CYJCKBBFLJSJRL-FRRDWIJNSA-N -1 1 334.376 -0.700 20 0 EBADMM O=C([C@@H]1CCCS1(=O)=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045317179 733667757 /nfs/dbraw/zinc/66/77/57/733667757.db2.gz NDRMPLLCIKMMJP-UWVGGRQHSA-N -1 1 343.409 -0.832 20 0 EBADMM Cc1ncncc1C(=O)NC1(C)CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001045376624 733679272 /nfs/dbraw/zinc/67/92/72/733679272.db2.gz LFVQNBKFYVHKOY-UHFFFAOYSA-N -1 1 345.407 -0.009 20 0 EBADMM CNC(=O)[C@H](C)N1CCC(C)(NC(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001045492319 733705322 /nfs/dbraw/zinc/70/53/22/733705322.db2.gz FVHMDYVYMMKVQC-QMMMGPOBSA-N -1 1 338.368 -0.998 20 0 EBADMM COC[C@@H](C)CC(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001045625539 733739632 /nfs/dbraw/zinc/73/96/32/733739632.db2.gz BWDBRKFGBLCRMB-NSHDSACASA-N -1 1 337.376 -0.237 20 0 EBADMM Cn1[n-]c(CN2CC[C@](C)(NC(=O)c3cnc4n3CCC4)C2)nc1=O ZINC001046385820 733923973 /nfs/dbraw/zinc/92/39/73/733923973.db2.gz ROOODMYPIOYMIF-INIZCTEOSA-N -1 1 345.407 -0.355 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N[C@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001046399512 733927718 /nfs/dbraw/zinc/92/77/18/733927718.db2.gz IHZIFLMFHDUUIH-CQSZACIVSA-N -1 1 335.368 -0.760 20 0 EBADMM CCOc1cc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC001046412448 733929928 /nfs/dbraw/zinc/92/99/28/733929928.db2.gz KFZUYDKQLAYPGH-OAHLLOKOSA-N -1 1 349.395 -0.375 20 0 EBADMM COc1ccc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001046757350 734020840 /nfs/dbraw/zinc/02/08/40/734020840.db2.gz HMPJOZSZZPJOMN-OAHLLOKOSA-N -1 1 347.379 -0.699 20 0 EBADMM C[C@]1(NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN(Cc2ccon2)C1 ZINC001046769688 734023620 /nfs/dbraw/zinc/02/36/20/734023620.db2.gz ZYKDLULCVCGCOH-HNNXBMFYSA-N -1 1 343.347 -0.200 20 0 EBADMM COCCN1CCC(F)(F)[C@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001046954471 734070497 /nfs/dbraw/zinc/07/04/97/734070497.db2.gz SGNHOAFTGOKXBU-MRVPVSSYSA-N -1 1 347.322 -0.384 20 0 EBADMM O=C(N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2)c1cc[n+]([O-])cc1 ZINC001047017516 734082336 /nfs/dbraw/zinc/08/23/36/734082336.db2.gz UCBCFSPRWJGKTH-UHTWSYAYSA-N -1 1 344.375 -0.073 20 0 EBADMM Cc1nnc(CN2C[C@H](O)[C@@H](N(C)C(=O)c3ncccc3[O-])C2)[nH]1 ZINC001047359450 734152482 /nfs/dbraw/zinc/15/24/82/734152482.db2.gz WBXDTXPFZKDNMY-JQWIXIFHSA-N -1 1 332.364 -0.469 20 0 EBADMM CCN1CC[C@H](N2C[C@H](O)[C@@H](N(C)C(=O)c3ncccc3[O-])C2)C1=O ZINC001047359272 734152602 /nfs/dbraw/zinc/15/26/02/734152602.db2.gz SKIWXPDWAWPOMC-OBJOEFQTSA-N -1 1 348.403 -0.475 20 0 EBADMM Cc1cc(C(=O)N(C)[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)co1 ZINC001047361715 734153282 /nfs/dbraw/zinc/15/32/82/734153282.db2.gz SSBQXGNWLUIHJL-RYUDHWBXSA-N -1 1 335.364 -0.673 20 0 EBADMM CN(C(=O)C[C@@H]1C=CCCC1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047424144 734188289 /nfs/dbraw/zinc/18/82/89/734188289.db2.gz APDCCXJZTZHRPH-RDBSUJKOSA-N -1 1 349.435 -0.142 20 0 EBADMM CN(C(=O)[C@@H]1CCCC12CC2)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047428497 734189771 /nfs/dbraw/zinc/18/97/71/734189771.db2.gz JVBMHLDUGROYCC-AVGNSLFASA-N -1 1 349.435 -0.308 20 0 EBADMM CC(=O)N[C@@H]1CC[C@@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]12 ZINC000979866619 805591437 /nfs/dbraw/zinc/59/14/37/805591437.db2.gz IKWCAXDBKSQLCO-OUAUKWLOSA-N -1 1 330.348 -0.596 20 0 EBADMM CN(C(=O)C1(C)CC=CC1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047515762 734234672 /nfs/dbraw/zinc/23/46/72/734234672.db2.gz PKJFNNLSWORWQB-RYUDHWBXSA-N -1 1 335.408 -0.532 20 0 EBADMM C[C@H](O)CN1C[C@H](O)[C@@H](N(C)C(=O)c2ccc3oc(=O)nc-3[n-]2)C1 ZINC001047597046 734274458 /nfs/dbraw/zinc/27/44/58/734274458.db2.gz GAPQZEQFJDJLKM-LSJOCFKGSA-N -1 1 336.348 -0.574 20 0 EBADMM C[C@@H](NCc1ccon1)[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001047896696 734319107 /nfs/dbraw/zinc/31/91/07/734319107.db2.gz WXYCYUMGVGFSIA-BDAKNGLRSA-N -1 1 334.336 -0.089 20 0 EBADMM Cc1noc(CN[C@H](C)[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001047897189 734319148 /nfs/dbraw/zinc/31/91/48/734319148.db2.gz ZTMZDQGRKVMXHU-APPZFPTMSA-N -1 1 349.351 -0.385 20 0 EBADMM C[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1CNCc1cnon1 ZINC001048305879 734386263 /nfs/dbraw/zinc/38/62/63/734386263.db2.gz VBMSXBPEKDISAN-JGVFFNPUSA-N -1 1 335.324 -0.836 20 0 EBADMM COC[C@H](C)C(=O)N1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001048721882 734482499 /nfs/dbraw/zinc/48/24/99/734482499.db2.gz BAAHKRVRGYAMCO-GVXVVHGQSA-N -1 1 337.376 -0.287 20 0 EBADMM CS(=O)(=O)CCN1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC001048780536 734507164 /nfs/dbraw/zinc/50/71/64/734507164.db2.gz KVNVFFIEPUTJNK-TXEJJXNPSA-N -1 1 339.417 -0.164 20 0 EBADMM CCC(=O)N1CCCC[C@@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001061948746 738839098 /nfs/dbraw/zinc/83/90/98/738839098.db2.gz CXFCFYUTGRLEOD-GFCCVEGCSA-N -1 1 336.392 -0.166 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@H]2CCCN3C(=O)c2cn[nH]c2)nc1=O ZINC001049353228 734713914 /nfs/dbraw/zinc/71/39/14/734713914.db2.gz KDJUGBSOSGJPBO-NEPJUHHUSA-N -1 1 331.380 -0.289 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@@H]2CCCN3C(=O)c2ccon2)nc1=O ZINC001049360988 734714723 /nfs/dbraw/zinc/71/47/23/734714723.db2.gz QPRVKUCDPXZOHY-NWDGAFQWSA-N -1 1 332.364 -0.025 20 0 EBADMM Cn1nccc1C(=O)N1CCC[C@H]2[C@@H]1CCN2Cc1nc(=O)n(C)[n-]1 ZINC001049373672 734716145 /nfs/dbraw/zinc/71/61/45/734716145.db2.gz PJKFYYGLGMOHFN-RYUDHWBXSA-N -1 1 345.407 -0.279 20 0 EBADMM CC(C)[C@@H](O)C(=O)N1CCC[C@@H]2[C@@H]1CCN2Cc1nc(=O)n(C)[n-]1 ZINC001049452035 734733831 /nfs/dbraw/zinc/73/38/31/734733831.db2.gz CYANUDWPWJFJCZ-MBNYWOFBSA-N -1 1 337.424 -0.309 20 0 EBADMM NC(=O)NCC(=O)N1CCCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001061995693 738845775 /nfs/dbraw/zinc/84/57/75/738845775.db2.gz RXYUPTSCBWFMKC-SNVBAGLBSA-N -1 1 335.364 -0.434 20 0 EBADMM Cc1nonc1C(=O)N1CCC[C@H]2[C@@H]1CCN2Cc1nc(=O)n(C)[n-]1 ZINC001049932881 734809570 /nfs/dbraw/zinc/80/95/70/734809570.db2.gz OSXFDEUOMDBRQA-QWRGUYRKSA-N -1 1 347.379 -0.321 20 0 EBADMM CNC(=O)CC(=O)N[C@@H](C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050529595 734919268 /nfs/dbraw/zinc/91/92/68/734919268.db2.gz IWMBIYTYBBSWHX-QWRGUYRKSA-N -1 1 334.376 -0.110 20 0 EBADMM CNC(=O)CC(=O)N1CC[C@H]([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001050538485 734921523 /nfs/dbraw/zinc/92/15/23/734921523.db2.gz SUQXPCWQGXOLIL-MNOVXSKESA-N -1 1 334.376 -0.110 20 0 EBADMM O=C(Cn1cnnn1)NC[C@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001062149554 738866087 /nfs/dbraw/zinc/86/60/87/738866087.db2.gz KEIFNEXMOSPXAJ-LLVKDONJSA-N -1 1 345.363 -0.415 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)c2cncs2)nc1=O ZINC001050833965 734970400 /nfs/dbraw/zinc/97/04/00/734970400.db2.gz KJFCYQILGDUIRR-VIFPVBQESA-N -1 1 338.393 -0.804 20 0 EBADMM Cc1cncc(C(=O)NC[C@@H]2COCCN2Cc2nc(=O)n(C)[n-]2)c1 ZINC001050857228 734980155 /nfs/dbraw/zinc/98/01/55/734980155.db2.gz VBYZAUOZOQXMHL-CYBMUJFWSA-N -1 1 346.391 -0.557 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)[C@H]2CCC2(F)F)nc1=O ZINC001050976847 735022878 /nfs/dbraw/zinc/02/28/78/735022878.db2.gz ZLRORQZKPXSZDJ-NXEZZACHSA-N -1 1 345.350 -0.529 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)[C@H]2CCC2(F)F)nc1=O ZINC001050976849 735023084 /nfs/dbraw/zinc/02/30/84/735023084.db2.gz ZLRORQZKPXSZDJ-VHSXEESVSA-N -1 1 345.350 -0.529 20 0 EBADMM CCc1ccc(C(=O)NC[C@@H]2COCCN2Cc2nc(=O)n(C)[n-]2)o1 ZINC001050987408 735025604 /nfs/dbraw/zinc/02/56/04/735025604.db2.gz SEBIGIWLKFKKMN-LLVKDONJSA-N -1 1 349.391 -0.105 20 0 EBADMM O=C(NC[C@@H]1COCCN1CCCO)c1cnc(C2CC2)[n-]c1=O ZINC001051152552 735078620 /nfs/dbraw/zinc/07/86/20/735078620.db2.gz JBBSORAGFOJZFC-GFCCVEGCSA-N -1 1 336.392 -0.127 20 0 EBADMM CCC(=O)N[C@H](C)[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001051251905 735112502 /nfs/dbraw/zinc/11/25/02/735112502.db2.gz SGUHDLNCQFINPS-NXEZZACHSA-N -1 1 332.364 -0.206 20 0 EBADMM C[C@H](C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)n1cccn1 ZINC001051457749 735159834 /nfs/dbraw/zinc/15/98/34/735159834.db2.gz OJJBOTUNYANDMM-MNOVXSKESA-N -1 1 335.368 -0.715 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)n(C)n1 ZINC001051470727 735164871 /nfs/dbraw/zinc/16/48/71/735164871.db2.gz GBYBQRKADVVRNW-JTQLQIEISA-N -1 1 335.368 -0.817 20 0 EBADMM O=C(c1cccc(=O)[nH]1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051520089 735220726 /nfs/dbraw/zinc/22/07/26/735220726.db2.gz JANGKCWIPCQQQU-VIFPVBQESA-N -1 1 334.336 -0.758 20 0 EBADMM O=C(c1ncccc1[O-])N1CCO[C@@H](C[NH2+]Cc2n[nH]c(=O)[n-]2)C1 ZINC001051566746 735238511 /nfs/dbraw/zinc/23/85/11/735238511.db2.gz RIVVQDLXFZZQMM-VIFPVBQESA-N -1 1 334.336 -0.758 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)ns1 ZINC001051577814 735245338 /nfs/dbraw/zinc/24/53/38/735245338.db2.gz YQSXLZICRMESRB-VIFPVBQESA-N -1 1 338.393 -0.094 20 0 EBADMM CO[C@H](C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001051584120 735248751 /nfs/dbraw/zinc/24/87/51/735248751.db2.gz NNEUTKIMSGQPPL-PWSUYJOCSA-N -1 1 325.369 -0.748 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)Cn1ncnn1 ZINC001052420673 735611150 /nfs/dbraw/zinc/61/11/50/735611150.db2.gz GVKRPDFTOUSLHO-GHMZBOCLSA-N -1 1 345.363 -0.702 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)C1(C(N)=O)CC1 ZINC001052423128 735611782 /nfs/dbraw/zinc/61/17/82/735611782.db2.gz VAHAJWRBQWTSFK-WDEREUQCSA-N -1 1 346.387 -0.123 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)C2=CCCC2)CCO3)nc1=O ZINC001053219745 735780100 /nfs/dbraw/zinc/78/01/00/735780100.db2.gz YAPAJTIRIUJDCG-UHFFFAOYSA-N -1 1 333.392 -0.368 20 0 EBADMM CC[C@@]1(C)C[C@@H]1C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053491716 735901237 /nfs/dbraw/zinc/90/12/37/735901237.db2.gz XNCFSZBPUKJALG-WBMJQRKESA-N -1 1 349.435 -0.042 20 0 EBADMM CN(C)C(=O)CN1CC2(C1)CC[C@H](CNC(=O)c1ncccc1[O-])O2 ZINC001053593974 735936633 /nfs/dbraw/zinc/93/66/33/735936633.db2.gz AMWUNQCTKIKDHY-GFCCVEGCSA-N -1 1 348.403 -0.161 20 0 EBADMM O=C(NC[C@H]1CCC2(CN([C@H]3CCNC3=O)C2)O1)c1ncccc1[O-] ZINC001053594276 735937075 /nfs/dbraw/zinc/93/70/75/735937075.db2.gz IJZJFLWWVFVRMX-NEPJUHHUSA-N -1 1 346.387 -0.361 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)C(C)(F)F)CO3)nc1=O ZINC001053719191 735978485 /nfs/dbraw/zinc/97/84/85/735978485.db2.gz YCFXQNPJCUVUIM-QMMMGPOBSA-N -1 1 331.323 -0.777 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)[C@@H]2CC=CCC2)CO3)nc1=O ZINC001053735771 735984944 /nfs/dbraw/zinc/98/49/44/735984944.db2.gz KQIVRWSYAZCFRW-CHWSQXEVSA-N -1 1 347.419 -0.076 20 0 EBADMM Cn1cccc1C(=O)N[C@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053742537 735987786 /nfs/dbraw/zinc/98/77/86/735987786.db2.gz YSLBORBRYOMOGG-LLVKDONJSA-N -1 1 346.391 -0.780 20 0 EBADMM Cc1ncoc1C(=O)N[C@@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053765553 736003523 /nfs/dbraw/zinc/00/35/23/736003523.db2.gz RBKUWVKJTYXVPY-JTQLQIEISA-N -1 1 348.363 -0.822 20 0 EBADMM Cc1[nH]ccc1C(=O)N[C@@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053769941 736005774 /nfs/dbraw/zinc/00/57/74/736005774.db2.gz KOQPEQWESNYOEJ-NSHDSACASA-N -1 1 346.391 -0.482 20 0 EBADMM Cn1nncc1CN1CC2(C1)C[C@H](NC(=O)c1ncccc1[O-])CO2 ZINC001053784866 736014482 /nfs/dbraw/zinc/01/44/82/736014482.db2.gz ZWWZNPNZPRNJIM-NSHDSACASA-N -1 1 344.375 -0.311 20 0 EBADMM CC[C@H](C)CN1CC2(C1)C[C@H](NC(=O)c1n[nH]c(=O)[n-]c1=O)CO2 ZINC001053859100 736061918 /nfs/dbraw/zinc/06/19/18/736061918.db2.gz ZTJLRFRPKWULBI-UWVGGRQHSA-N -1 1 337.380 -0.098 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)C2(C)CC=CC2)CO3)nc1=O ZINC001053916602 736093875 /nfs/dbraw/zinc/09/38/75/736093875.db2.gz NUMCYVWBFXSHON-LBPRGKRZSA-N -1 1 347.419 -0.076 20 0 EBADMM CCC(=O)N[C@@H]1CC[C@@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@@H]12 ZINC000979876793 805600305 /nfs/dbraw/zinc/60/03/05/805600305.db2.gz ZSAWWMITOCTNLX-JHJVBQTASA-N -1 1 348.403 -0.310 20 0 EBADMM O=C(N[C@H]1COC2(CN(CCO)C2)C1)c1cnc(C2CC2)[n-]c1=O ZINC001053949442 736110170 /nfs/dbraw/zinc/11/01/70/736110170.db2.gz HMAXBVNUVJULSB-LLVKDONJSA-N -1 1 334.376 -0.375 20 0 EBADMM Cc1cccn(CC(=O)N2C[C@@H](C)[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c1=O ZINC001054645965 736222901 /nfs/dbraw/zinc/22/29/01/736222901.db2.gz AGEHAMWPUQHKDT-NEPJUHHUSA-N -1 1 346.391 -0.383 20 0 EBADMM Cc1cccn(CC(=O)N2C[C@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)c1=O ZINC001054645970 736223062 /nfs/dbraw/zinc/22/30/62/736223062.db2.gz AGEHAMWPUQHKDT-NWDGAFQWSA-N -1 1 346.391 -0.383 20 0 EBADMM C[C@H]1CN(C(=O)CCc2ccn(C)n2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054712825 736234040 /nfs/dbraw/zinc/23/40/40/736234040.db2.gz MOCKJTBSKZRIIN-JQWIXIFHSA-N -1 1 333.396 -0.187 20 0 EBADMM Cc1nn(C)cc1CC(=O)N1C[C@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001054748543 736239467 /nfs/dbraw/zinc/23/94/67/736239467.db2.gz JJMDIVKSDQRSTJ-JOYOIKCWSA-N -1 1 333.396 -0.269 20 0 EBADMM COCCn1nccc1C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001054768032 736243700 /nfs/dbraw/zinc/24/37/00/736243700.db2.gz JBVVOPKYEFJNQU-GHMZBOCLSA-N -1 1 349.395 -0.397 20 0 EBADMM CCOc1cc(C(=O)N2C[C@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC001054786684 736246510 /nfs/dbraw/zinc/24/65/10/736246510.db2.gz HHYINMANGPUMHZ-WCBMZHEXSA-N -1 1 335.368 -0.118 20 0 EBADMM Cc1ncc(CN[C@H]2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@H]2C)o1 ZINC001054858709 736256868 /nfs/dbraw/zinc/25/68/68/736256868.db2.gz AASDTAHSETYHII-XCBNKYQSSA-N -1 1 334.336 -0.170 20 0 EBADMM Cc1cc(CN[C@@H]2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@H]2C)ncn1 ZINC001054859094 736257406 /nfs/dbraw/zinc/25/74/06/736257406.db2.gz HONFJNOMFQDYIW-LDYMZIIASA-N -1 1 345.363 -0.368 20 0 EBADMM CCn1ccnc1CN[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@H]1C ZINC001054862787 736258708 /nfs/dbraw/zinc/25/87/08/736258708.db2.gz LHOLMNYHNXUZCH-VHSXEESVSA-N -1 1 347.379 -0.251 20 0 EBADMM COc1cnc(C(=O)N2C[C@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)nc1 ZINC001054891184 736263603 /nfs/dbraw/zinc/26/36/03/736263603.db2.gz NPSPTLWOOSPEKJ-WCBMZHEXSA-N -1 1 333.352 -0.441 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)N1C[C@@H](C)[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001054993537 736279454 /nfs/dbraw/zinc/27/94/54/736279454.db2.gz BEQZGLKLYHJZLI-APPZFPTMSA-N -1 1 333.352 -0.435 20 0 EBADMM COCCOCC(=O)N1C[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C1 ZINC001055254562 736319691 /nfs/dbraw/zinc/31/96/91/736319691.db2.gz HPDPCWXGCIEJSG-BETUJISGSA-N -1 1 349.387 -0.019 20 0 EBADMM COCCC(=O)N[C@]1(CO)CCCN(C(=O)c2ncccc2[O-])C1 ZINC001055663058 736423908 /nfs/dbraw/zinc/42/39/08/736423908.db2.gz DCKJGVRZRYHEPH-MRXNPFEDSA-N -1 1 337.376 -0.093 20 0 EBADMM Cn1nnc2c1ncnc2N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001055776938 736471910 /nfs/dbraw/zinc/47/19/10/736471910.db2.gz KDCXQYLYZBYARF-UHFFFAOYSA-N -1 1 340.347 -0.179 20 0 EBADMM O=C(N[C@@]1(CO)CCCN(C(=O)c2ncccc2[O-])C1)c1cn[nH]c1 ZINC001055796957 736483634 /nfs/dbraw/zinc/48/36/34/736483634.db2.gz ULFZLKOMWWUYNR-INIZCTEOSA-N -1 1 345.359 -0.093 20 0 EBADMM O=C(N[C@]1(CO)CCCN(C(=O)c2ncccc2[O-])C1)c1ncc[nH]1 ZINC001055928486 736555157 /nfs/dbraw/zinc/55/51/57/736555157.db2.gz AINOEXVMHBKBFW-MRXNPFEDSA-N -1 1 345.359 -0.093 20 0 EBADMM NC(=O)NCC(=O)N1CCC[C@@H]2[C@H]1CCN2C(=O)c1ncccc1[O-] ZINC001056220259 736670239 /nfs/dbraw/zinc/67/02/39/736670239.db2.gz KAROSFKNWVJYHK-GHMZBOCLSA-N -1 1 347.375 -0.339 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H](Nc2nccnc2F)C1 ZINC001056665704 736764959 /nfs/dbraw/zinc/76/49/59/736764959.db2.gz KRMWQPHYSPSNOP-SNVBAGLBSA-N -1 1 348.338 -0.431 20 0 EBADMM CCO[C@H](C)C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057058769 736950426 /nfs/dbraw/zinc/95/04/26/736950426.db2.gz ZRNQBBKQAKBNIR-LLVKDONJSA-N -1 1 325.365 -0.237 20 0 EBADMM O=C(Cc1cncnc1)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410171 737229802 /nfs/dbraw/zinc/22/98/02/737229802.db2.gz GDBDBZUEEQUZAT-UHFFFAOYSA-N -1 1 345.359 -0.629 20 0 EBADMM CCO[C@H]1C[C@H]1C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410304 737230657 /nfs/dbraw/zinc/23/06/57/737230657.db2.gz LBYDDYFQBBQFGT-YPMHNXCESA-N -1 1 337.376 -0.237 20 0 EBADMM C[C@H]1CO[C@@H](C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])C1 ZINC001057410589 737230721 /nfs/dbraw/zinc/23/07/21/737230721.db2.gz SPHKTRHQMPMJJQ-DGCLKSJQSA-N -1 1 337.376 -0.237 20 0 EBADMM CCn1cc(C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])cn1 ZINC001057410552 737230840 /nfs/dbraw/zinc/23/08/40/737230840.db2.gz RMLJSLIQWVEMFZ-UHFFFAOYSA-N -1 1 347.375 -0.132 20 0 EBADMM O=C(CC1(O)CCC1)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410420 737230898 /nfs/dbraw/zinc/23/08/98/737230898.db2.gz QYOQTFIXBUTIQA-UHFFFAOYSA-N -1 1 337.376 -0.357 20 0 EBADMM O=C(CCc1ncc[nH]1)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057458503 737268021 /nfs/dbraw/zinc/26/80/21/737268021.db2.gz XVEVYAXRCYESFR-UHFFFAOYSA-N -1 1 347.375 -0.306 20 0 EBADMM Cc1ccnn1CC(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057613295 737360059 /nfs/dbraw/zinc/36/00/59/737360059.db2.gz KDCYHFDZPOSKLB-UHFFFAOYSA-N -1 1 347.375 -0.457 20 0 EBADMM C[C@H]1C[C@@H](C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])CO1 ZINC001057948909 737551964 /nfs/dbraw/zinc/55/19/64/737551964.db2.gz ORNOATUDHQCWMK-NWDGAFQWSA-N -1 1 337.376 -0.237 20 0 EBADMM CCn1cnc(C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])c1 ZINC001058165298 737688808 /nfs/dbraw/zinc/68/88/08/737688808.db2.gz ZCRPOOHOBBSLLS-UHFFFAOYSA-N -1 1 347.375 -0.132 20 0 EBADMM C[C@@H]1CO[C@H](C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])C1 ZINC001058332027 737782399 /nfs/dbraw/zinc/78/23/99/737782399.db2.gz VBORBNJYSSKFEH-AAEUAGOBSA-N -1 1 337.376 -0.237 20 0 EBADMM NC(=O)NCC(=O)N1CC[C@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059117201 738114572 /nfs/dbraw/zinc/11/45/72/738114572.db2.gz NUAWCVYHTCYFKW-JTQLQIEISA-N -1 1 335.364 -0.576 20 0 EBADMM O=C(N[C@H]1C[C@H](Nc2ncccn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001059265334 738141516 /nfs/dbraw/zinc/14/15/16/738141516.db2.gz SPFWFVDCVAKUDA-KYZUINATSA-N -1 1 326.320 -0.420 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H](CCNC(=O)C2CC2)C1 ZINC001059936974 738281014 /nfs/dbraw/zinc/28/10/14/738281014.db2.gz VGXCXBBLPAVDMJ-GFCCVEGCSA-N -1 1 348.403 -0.309 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)NCC1(NC(=O)CCn2cc[n-]c(=O)c2=O)CCC1 ZINC001062436343 738915647 /nfs/dbraw/zinc/91/56/47/738915647.db2.gz VVCOQXWWCMSOGX-VXGBXAGGSA-N -1 1 348.403 -0.262 20 0 EBADMM Cn1cc(C(=O)NC2(CNC(=O)c3ncccc3[O-])CCC2)nn1 ZINC001062839338 739002814 /nfs/dbraw/zinc/00/28/14/739002814.db2.gz MVUPSSHXYJLZIG-UHFFFAOYSA-N -1 1 330.348 -0.002 20 0 EBADMM O=C(NC1(CNC(=O)c2ncccc2[O-])CCC1)c1c[nH]c(=O)cn1 ZINC001062840709 739003156 /nfs/dbraw/zinc/00/31/56/739003156.db2.gz MFDSKHYBTHFFBT-UHFFFAOYSA-N -1 1 343.343 -0.047 20 0 EBADMM O=C(Cc1nc[nH]n1)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062841179 739003161 /nfs/dbraw/zinc/00/31/61/739003161.db2.gz ZOVIBIUZEUYBNS-UHFFFAOYSA-N -1 1 330.348 -0.083 20 0 EBADMM O=C(Cc1nnc[nH]1)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062841179 739003165 /nfs/dbraw/zinc/00/31/65/739003165.db2.gz ZOVIBIUZEUYBNS-UHFFFAOYSA-N -1 1 330.348 -0.083 20 0 EBADMM Cn1cc(C(=O)NCC2(NC(=O)c3ncccc3[O-])CCC2)nn1 ZINC001062922183 739023253 /nfs/dbraw/zinc/02/32/53/739023253.db2.gz APYXQFJFYZWLDU-UHFFFAOYSA-N -1 1 330.348 -0.002 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1(NC(=O)CC2CC2)CCC1 ZINC001063651400 739172633 /nfs/dbraw/zinc/17/26/33/739172633.db2.gz SNWCGMKTIAQBCF-UHFFFAOYSA-N -1 1 348.403 -0.118 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1(NC(=O)C2CC2)CCC1 ZINC001063651274 739172813 /nfs/dbraw/zinc/17/28/13/739172813.db2.gz RDVZWQDHELMQHP-UHFFFAOYSA-N -1 1 334.376 -0.508 20 0 EBADMM O=C(NCC1(NC(=O)C2CCC2)CCC1)c1cc(=O)n2[n-]cnc2n1 ZINC001063715404 739185564 /nfs/dbraw/zinc/18/55/64/739185564.db2.gz PYSDMVQPSIYZPG-UHFFFAOYSA-N -1 1 344.375 -0.014 20 0 EBADMM CC(C)C(=O)NC1(CNC(=O)c2cc(=O)n3[n-]cnc3n2)CCC1 ZINC001063715626 739185669 /nfs/dbraw/zinc/18/56/69/739185669.db2.gz UISZVKOVPIVVQZ-UHFFFAOYSA-N -1 1 332.364 -0.158 20 0 EBADMM NC(=O)C1(C(=O)NCC2(NC(=O)c3ncccc3[O-])CCC2)CC1 ZINC001064285231 739267802 /nfs/dbraw/zinc/26/78/02/739267802.db2.gz LWWWYMTZAQCPLF-UHFFFAOYSA-N -1 1 332.360 -0.179 20 0 EBADMM O=C(Cc1nc[nH]n1)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001064605738 739309417 /nfs/dbraw/zinc/30/94/17/739309417.db2.gz WWTPUUBUFPPGFL-UHFFFAOYSA-N -1 1 330.348 -0.083 20 0 EBADMM O=C(Cc1nnc[nH]1)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001064605738 739309426 /nfs/dbraw/zinc/30/94/26/739309426.db2.gz WWTPUUBUFPPGFL-UHFFFAOYSA-N -1 1 330.348 -0.083 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@H]1CNc1ncccn1 ZINC001064932245 739427421 /nfs/dbraw/zinc/42/74/21/739427421.db2.gz CAAKOAAOAPKNII-LBPRGKRZSA-N -1 1 344.375 -0.180 20 0 EBADMM CCCC(=O)NC[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)CCO1 ZINC001065370138 739678971 /nfs/dbraw/zinc/67/89/71/739678971.db2.gz NJIDWZGCDDEZEZ-JTQLQIEISA-N -1 1 348.363 -0.825 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CCCN(C(=O)C2CC2)CC1 ZINC001066318928 739944183 /nfs/dbraw/zinc/94/41/83/739944183.db2.gz JKXFHAGOFSPOTD-ZDUSSCGKSA-N -1 1 348.403 -0.166 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N(C)CCCN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001066702818 740035649 /nfs/dbraw/zinc/03/56/49/740035649.db2.gz ASPKDGPHLRHASP-GHMZBOCLSA-N -1 1 346.391 -0.006 20 0 EBADMM CN(CCCN(C)C(=O)c1ncccc1[O-])C(=O)CS(C)(=O)=O ZINC001066958928 740074867 /nfs/dbraw/zinc/07/48/67/740074867.db2.gz BHMGKCDLXIRDNX-UHFFFAOYSA-N -1 1 343.405 -0.248 20 0 EBADMM O=C(NC[C@@H]1CN(c2nccnc2F)C[C@H]1O)c1ncccc1[O-] ZINC001067665267 740177630 /nfs/dbraw/zinc/17/76/30/740177630.db2.gz LQGOGYJQKJNTEA-MWLCHTKSSA-N -1 1 333.323 -0.057 20 0 EBADMM O=C(NC1CC(CNc2ncccn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001067857012 740226388 /nfs/dbraw/zinc/22/63/88/740226388.db2.gz XBWBRVHAMGOREY-UHFFFAOYSA-N -1 1 340.347 -0.172 20 0 EBADMM NC(=O)CC(=O)NC[C@@H]1CCC2(CN(C(=O)c3ncccc3[O-])C2)O1 ZINC001068544088 740358677 /nfs/dbraw/zinc/35/86/77/740358677.db2.gz XKZMATGBDILSDE-JTQLQIEISA-N -1 1 348.359 -0.848 20 0 EBADMM CCOCC(=O)N1CC2(C1)C[C@H](NC(=O)c1ncccc1[O-])CO2 ZINC001068825673 740456586 /nfs/dbraw/zinc/45/65/86/740456586.db2.gz SZPKCUYAGMSDSZ-NSHDSACASA-N -1 1 335.360 -0.077 20 0 EBADMM CC(C)C(=O)N1C[C@H](C)O[C@@]2(CCN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001071134227 741064562 /nfs/dbraw/zinc/06/45/62/741064562.db2.gz ONNAAOUGSIBFFO-BLLLJJGKSA-N -1 1 337.424 -0.044 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@]2(CCN(CC(N)=O)C2)O1 ZINC001071162615 741073090 /nfs/dbraw/zinc/07/30/90/741073090.db2.gz WFIDUMDYKGIUKN-BZNIZROVSA-N -1 1 334.376 -0.422 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@]2(CCN(CC(N)=O)C2)O1 ZINC001071162614 741073104 /nfs/dbraw/zinc/07/31/04/741073104.db2.gz WFIDUMDYKGIUKN-BDJLRTHQSA-N -1 1 334.376 -0.422 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2ccc(=O)[nH]n2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071326175 741121440 /nfs/dbraw/zinc/12/14/40/741121440.db2.gz CQLOXQCFZXPLCR-UWVGGRQHSA-N -1 1 347.379 -0.613 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)Cn2cccn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071353665 741126855 /nfs/dbraw/zinc/12/68/55/741126855.db2.gz AOJDNHBAJURSOP-RYUDHWBXSA-N -1 1 333.396 -0.526 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)Cn2cccn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071353664 741126876 /nfs/dbraw/zinc/12/68/76/741126876.db2.gz AOJDNHBAJURSOP-NWDGAFQWSA-N -1 1 333.396 -0.526 20 0 EBADMM Cc1ncncc1C(=O)N[C@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071364234 741127888 /nfs/dbraw/zinc/12/78/88/741127888.db2.gz XYDQVMZSXJYJNZ-JQWIXIFHSA-N -1 1 345.407 -0.010 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2cc[nH]c(=O)c2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071410619 741133796 /nfs/dbraw/zinc/13/37/96/741133796.db2.gz FDWVDVZIMKGZDO-CMPLNLGQSA-N -1 1 346.391 -0.008 20 0 EBADMM Cc1c[nH]nc1C(=O)N[C@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071490829 741145435 /nfs/dbraw/zinc/14/54/35/741145435.db2.gz RSRUIBAPJGTDHJ-QWRGUYRKSA-N -1 1 333.396 -0.077 20 0 EBADMM CCOCC(=O)N1C[C@@H](C)[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001071532485 741157265 /nfs/dbraw/zinc/15/72/65/741157265.db2.gz BSECQCAFWXWPJR-MWLCHTKSSA-N -1 1 348.363 -0.969 20 0 EBADMM Cc1ncn(C)c1C(=O)N[C@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071592378 741174042 /nfs/dbraw/zinc/17/40/42/741174042.db2.gz ZZTFFEYJHGYXPQ-PWSUYJOCSA-N -1 1 347.423 -0.067 20 0 EBADMM Cc1nc(CN2C[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)CC[C@H]2C)n[nH]1 ZINC001071646847 741181049 /nfs/dbraw/zinc/18/10/49/741181049.db2.gz BXVRDMZPANNIGN-APPZFPTMSA-N -1 1 348.367 -0.508 20 0 EBADMM Cc1nn[nH]c1C(=O)N[C@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071732536 741206440 /nfs/dbraw/zinc/20/64/40/741206440.db2.gz WFTGVNUOOZWITC-SCZZXKLOSA-N -1 1 334.384 -0.682 20 0 EBADMM Cc1nn[nH]c1C(=O)N[C@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071732538 741206506 /nfs/dbraw/zinc/20/65/06/741206506.db2.gz WFTGVNUOOZWITC-WPRPVWTQSA-N -1 1 334.384 -0.682 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071748462 741211179 /nfs/dbraw/zinc/21/11/79/741211179.db2.gz OJWNTTVBAUVLRA-ZXPJVPCYSA-N -1 1 335.408 -0.530 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2n[nH]cc2F)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071800233 741218569 /nfs/dbraw/zinc/21/85/69/741218569.db2.gz SXKMHAMKJJZVDX-IUCAKERBSA-N -1 1 337.359 -0.247 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)C2=NC(=O)N(C)C2)CN1Cc1n[nH]c(=O)[n-]1 ZINC001071802779 741219371 /nfs/dbraw/zinc/21/93/71/741219371.db2.gz BWGBVTBEVJEEEH-BDAKNGLRSA-N -1 1 335.368 -0.268 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2ccnnc2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071848913 741233200 /nfs/dbraw/zinc/23/32/00/741233200.db2.gz ZPSKACYISDPHDL-PWSUYJOCSA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C1(C(N)=O)CC1 ZINC001071952290 741263195 /nfs/dbraw/zinc/26/31/95/741263195.db2.gz KHNDMICBQVALGA-ZJUUUORDSA-N -1 1 332.360 -0.371 20 0 EBADMM Cc1nonc1CC(=O)N[C@@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071951387 741263287 /nfs/dbraw/zinc/26/32/87/741263287.db2.gz XNEUMINOBZSIQG-GXSJLCMTSA-N -1 1 349.395 -0.488 20 0 EBADMM COC(=O)NCC(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001071952658 741263739 /nfs/dbraw/zinc/26/37/39/741263739.db2.gz PKXSQHPUQUVPEJ-ZJUUUORDSA-N -1 1 336.348 -0.280 20 0 EBADMM CNC(=O)NCCC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001071953391 741264221 /nfs/dbraw/zinc/26/42/21/741264221.db2.gz YRBUEHGUCDNYFG-GHMZBOCLSA-N -1 1 349.391 -0.317 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)CC(=O)N(C)C ZINC001071953257 741264313 /nfs/dbraw/zinc/26/43/13/741264313.db2.gz WZZYHJSKFICZMZ-QWRGUYRKSA-N -1 1 334.376 -0.158 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)CC(=O)N(C)C ZINC001071953256 741264338 /nfs/dbraw/zinc/26/43/38/741264338.db2.gz WZZYHJSKFICZMZ-MNOVXSKESA-N -1 1 334.376 -0.158 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)CNC(=O)C1CC1 ZINC001071953273 741264348 /nfs/dbraw/zinc/26/43/48/741264348.db2.gz XHDBYQOSBKIYIL-PWSUYJOCSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1CNCc1cnon1 ZINC001072316048 741368348 /nfs/dbraw/zinc/36/83/48/741368348.db2.gz ZVQFQAORUTYXLJ-RKDXNWHRSA-N -1 1 349.351 -0.446 20 0 EBADMM CCOCC(=O)N[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC001072426276 741395443 /nfs/dbraw/zinc/39/54/43/741395443.db2.gz FXIBCYGLEOTMDJ-MWLCHTKSSA-N -1 1 348.363 -0.969 20 0 EBADMM COCCC(=O)N[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC001072427531 741395866 /nfs/dbraw/zinc/39/58/66/741395866.db2.gz WHBZYCVPUHLCNG-KOLCDFICSA-N -1 1 348.363 -0.969 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)c4cncs4)C3)C2)nc1=O ZINC001072514406 741421201 /nfs/dbraw/zinc/42/12/01/741421201.db2.gz BSGCIZCOOJAXRS-UHFFFAOYSA-N -1 1 334.405 -0.087 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)[C@@H]4CC4(F)F)C3)C2)nc1=O ZINC001072704827 741463875 /nfs/dbraw/zinc/46/38/75/741463875.db2.gz IOJUCBYPYIBSHH-VIFPVBQESA-N -1 1 327.335 -0.202 20 0 EBADMM CO[C@H](C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2)C(C)C ZINC001072943396 741526169 /nfs/dbraw/zinc/52/61/69/741526169.db2.gz UYKDZLYHELZBFV-ZDUSSCGKSA-N -1 1 337.424 -0.186 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)CCO1 ZINC001073083583 741555940 /nfs/dbraw/zinc/55/59/40/741555940.db2.gz FJQDDYPIBAODHK-OLZOCXBDSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@H](CNC(=O)c3ccccn3)C2)nc1=O ZINC001073501851 741624031 /nfs/dbraw/zinc/62/40/31/741624031.db2.gz WEPCENCWRPQCNI-GFCCVEGCSA-N -1 1 346.391 -0.476 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@H](CNC(=O)c3ccco3)C2)nc1=O ZINC001073516886 741625433 /nfs/dbraw/zinc/62/54/33/741625433.db2.gz AIZXXXPXLFYOHP-LLVKDONJSA-N -1 1 335.364 -0.278 20 0 EBADMM Cc1ncc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CCCO2)[nH]1 ZINC001073552163 741647584 /nfs/dbraw/zinc/64/75/84/741647584.db2.gz KHMQZYCYRMHFQI-NSHDSACASA-N -1 1 349.395 -0.839 20 0 EBADMM Cc1n[nH]cc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001073719616 741721067 /nfs/dbraw/zinc/72/10/67/741721067.db2.gz LLKLHERDJLFECE-LLVKDONJSA-N -1 1 349.395 -0.839 20 0 EBADMM Cc1c[nH]c(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CCCO2)n1 ZINC001073810038 741748105 /nfs/dbraw/zinc/74/81/05/741748105.db2.gz GLMIEVFWDZFTEY-LLVKDONJSA-N -1 1 349.395 -0.839 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CN(CCF)CCCO1 ZINC001073859974 741767807 /nfs/dbraw/zinc/76/78/07/741767807.db2.gz YIYXPCYLZLBICO-SECBINFHSA-N -1 1 344.343 -0.313 20 0 EBADMM CCC(=O)N1CC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)[C@@H](C)C1 ZINC001074064464 741825087 /nfs/dbraw/zinc/82/50/87/741825087.db2.gz YYAMFBPTBUUIQL-NWDGAFQWSA-N -1 1 336.392 -0.310 20 0 EBADMM CCC(=O)N1CC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)[C@H](C)C1 ZINC001074064466 741825459 /nfs/dbraw/zinc/82/54/59/741825459.db2.gz YYAMFBPTBUUIQL-VXGBXAGGSA-N -1 1 336.392 -0.310 20 0 EBADMM CC(C)CC(=O)N1CC[C@H]2OCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C1 ZINC001074148153 741840380 /nfs/dbraw/zinc/84/03/80/741840380.db2.gz QLWYVULYZMJLRZ-CHWSQXEVSA-N -1 1 337.424 -0.044 20 0 EBADMM O=C(c1ccn[nH]1)N1CC[C@@H]2OCCN(Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001074181371 741852250 /nfs/dbraw/zinc/85/22/50/741852250.db2.gz SMXXKWSWRIKRGH-QWRGUYRKSA-N -1 1 333.352 -0.651 20 0 EBADMM CCCN1CCO[C@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]21 ZINC001074382996 741922006 /nfs/dbraw/zinc/92/20/06/741922006.db2.gz UHBBMDBKISIWNE-OLZOCXBDSA-N -1 1 346.391 -0.257 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@@H]1CCCc2nn[nH]c21 ZINC001074837966 742117516 /nfs/dbraw/zinc/11/75/16/742117516.db2.gz SPRZUQBNGOOEOQ-AEJSXWLSSA-N -1 1 346.395 -0.173 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@@H]1CCCCC(=O)N1 ZINC001074871181 742125043 /nfs/dbraw/zinc/12/50/43/742125043.db2.gz RZGDQQWFBUACHG-DCAQKATOSA-N -1 1 336.396 -0.352 20 0 EBADMM CC(=O)N1CCC[C@@H]1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001075136090 742188437 /nfs/dbraw/zinc/18/84/37/742188437.db2.gz MFNZFOGZTPGYDN-ZMLRMANQSA-N -1 1 336.396 -0.400 20 0 EBADMM CCC(=O)N1CCC[C@H](n2cc(CNCc3nc(=O)n(C)[n-]3)nn2)C1 ZINC001075371518 742219054 /nfs/dbraw/zinc/21/90/54/742219054.db2.gz UYNQMYGXIZTDEG-LBPRGKRZSA-N -1 1 348.411 -0.437 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)C4=COCCO4)[C@@H]3C2)nc1=O ZINC001075516241 742240342 /nfs/dbraw/zinc/24/03/42/742240342.db2.gz YNZMDNBDKPQLMN-WDEREUQCSA-N -1 1 335.364 -0.971 20 0 EBADMM CCn1nncc1C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001075764304 742294641 /nfs/dbraw/zinc/29/46/41/742294641.db2.gz PBWKCIXFIUTYIO-CMPLNLGQSA-N -1 1 346.395 -0.934 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)c4ccns4)[C@@H]3C2)nc1=O ZINC001075846265 742311320 /nfs/dbraw/zinc/31/13/20/742311320.db2.gz YNBKSLFBOPHSGB-VHSXEESVSA-N -1 1 334.405 -0.089 20 0 EBADMM O=C(CCc1cnc[nH]1)N1CC[C@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001075866640 742316402 /nfs/dbraw/zinc/31/64/02/742316402.db2.gz KRPHOCWOUSLBGL-CMPLNLGQSA-N -1 1 331.380 -0.101 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)c4ccsn4)[C@@H]3C2)nc1=O ZINC001075929560 742332444 /nfs/dbraw/zinc/33/24/44/742332444.db2.gz XORYHIGGPHNMOK-GXSJLCMTSA-N -1 1 334.405 -0.089 20 0 EBADMM Cn1cc(CN2C[C@@H]3CCN(C(=O)c4n[nH]c(=O)[n-]c4=O)[C@@H]3C2)cn1 ZINC001075994750 742353615 /nfs/dbraw/zinc/35/36/15/742353615.db2.gz GFEXIWZXOBNFLU-WDEREUQCSA-N -1 1 345.363 -0.637 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)c4cc(F)c[nH]4)[C@@H]3C2)nc1=O ZINC001076056431 742369543 /nfs/dbraw/zinc/36/95/43/742369543.db2.gz UGLIHHDTTOCZFC-JOYOIKCWSA-N -1 1 334.355 -0.078 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)C1(NC(N)=O)CC1 ZINC001076245357 742419216 /nfs/dbraw/zinc/41/92/16/742419216.db2.gz YPLCIWPGULQOIY-VIFPVBQESA-N -1 1 335.364 -0.387 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)c1cn(C)c(=O)cn1 ZINC001076244803 742419275 /nfs/dbraw/zinc/41/92/75/742419275.db2.gz AIADAUJXKZQGLM-JTQLQIEISA-N -1 1 345.359 -0.181 20 0 EBADMM C[C@@H]1CC[C@H](C(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)O1 ZINC001076266681 742426317 /nfs/dbraw/zinc/42/63/17/742426317.db2.gz IBFRPTOALYLVEK-YVECIDJPSA-N -1 1 335.408 -0.291 20 0 EBADMM CNC(=O)NCCC(=O)NCC[C@H](C)NC(=O)c1ncccc1[O-] ZINC001076377278 742454614 /nfs/dbraw/zinc/45/46/14/742454614.db2.gz OYHQQDQDUAQHAV-JTQLQIEISA-N -1 1 337.380 -0.269 20 0 EBADMM Cc1ccccc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001076699169 742537631 /nfs/dbraw/zinc/53/76/31/742537631.db2.gz XKMIDYLMKUXPAJ-CHWSQXEVSA-N -1 1 331.376 -0.608 20 0 EBADMM CCc1nc([C@H](C)N2C[C@@H](O)[C@H](NC(=O)c3[nH]nc(C)c3[O-])C2)n[nH]1 ZINC001076892931 742625036 /nfs/dbraw/zinc/62/50/36/742625036.db2.gz WTLSLRXFBPHJED-IVZWLZJFSA-N -1 1 349.395 -0.360 20 0 EBADMM COc1cncc(CN2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001076917045 742641716 /nfs/dbraw/zinc/64/17/16/742641716.db2.gz NXZIGMBIENNKDQ-DGCLKSJQSA-N -1 1 345.359 -0.439 20 0 EBADMM O=C(N[C@@H]1CN(CCO[C@@H]2CC2(F)F)C[C@H]1O)c1ncccc1[O-] ZINC001076916991 742642119 /nfs/dbraw/zinc/64/21/19/742642119.db2.gz MAKXBCWXODVBAE-YUSALJHKSA-N -1 1 343.330 -0.014 20 0 EBADMM C[C@@H](CCNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)c1ccon1 ZINC001077402527 742956408 /nfs/dbraw/zinc/95/64/08/742956408.db2.gz RIUVUGKRCMPUTA-JTQLQIEISA-N -1 1 349.347 -0.761 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)C3CCC4(CC4)CC3)C2)nc1=O ZINC001077401686 742956488 /nfs/dbraw/zinc/95/64/88/742956488.db2.gz HCIDEDUXBUPYCJ-CHWSQXEVSA-N -1 1 349.435 -0.260 20 0 EBADMM C[C@@H](CCNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)C(C)(C)F ZINC001077402651 742956963 /nfs/dbraw/zinc/95/69/63/742956963.db2.gz WKDJTOMYLQIXGF-JTQLQIEISA-N -1 1 342.371 -0.314 20 0 EBADMM C[C@@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)C1(C)CC1 ZINC001077488487 743014929 /nfs/dbraw/zinc/01/49/29/743014929.db2.gz SILMCCKBBIOHJP-VIFPVBQESA-N -1 1 332.364 -0.158 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCC[C@H](C)NC(=O)C(N)=O ZINC001077700316 743184293 /nfs/dbraw/zinc/18/42/93/743184293.db2.gz AJMXOYGVOITIJY-QMMMGPOBSA-N -1 1 337.380 -0.276 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)[C@@H](F)c3ccccc3)C2)nc1=O ZINC001077750317 743228179 /nfs/dbraw/zinc/22/81/79/743228179.db2.gz DUMOATTXBNTIND-BZPMIXESSA-N -1 1 349.366 -0.520 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)N[C@@H]1CN(CCC2CC2)C[C@H]1O ZINC001077854766 743297327 /nfs/dbraw/zinc/29/73/27/743297327.db2.gz ZRQVNWQSBKCSTA-CHWSQXEVSA-N -1 1 346.391 -0.967 20 0 EBADMM C[C@@H](CCNC(=O)CCn1cnnn1)NC(=O)c1ncccc1[O-] ZINC001078022636 743420589 /nfs/dbraw/zinc/42/05/89/743420589.db2.gz AKQZTYXPJARDLY-JTQLQIEISA-N -1 1 333.352 -0.511 20 0 EBADMM Cc1cccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c1C ZINC001078110616 743475424 /nfs/dbraw/zinc/47/54/24/743475424.db2.gz LYFDNFCUTDWBHU-ZIAGYGMSSA-N -1 1 345.403 -0.300 20 0 EBADMM CS[C@H](C)C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078341434 743591370 /nfs/dbraw/zinc/59/13/70/743591370.db2.gz GREOVQKRHXTZHO-NXEZZACHSA-N -1 1 329.426 -0.031 20 0 EBADMM CCc1cc(C(=O)N2CCCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC001078344235 743593945 /nfs/dbraw/zinc/59/39/45/743593945.db2.gz KRYBSVMYCTVMBU-LLVKDONJSA-N -1 1 349.395 -0.183 20 0 EBADMM O=C(C[C@H]1CCOC1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078363631 743610048 /nfs/dbraw/zinc/61/00/48/743610048.db2.gz MWNPMGWTDZPYGC-VXGBXAGGSA-N -1 1 339.396 -0.356 20 0 EBADMM Cc1nc(C)c(C(=O)N2CCCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC001078470633 743664669 /nfs/dbraw/zinc/66/46/69/743664669.db2.gz UQYUAUZCMRYHGG-LLVKDONJSA-N -1 1 349.395 -0.129 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)C1 ZINC001078609642 743707035 /nfs/dbraw/zinc/70/70/35/743707035.db2.gz WOSWQRSTXKIQLI-RYUDHWBXSA-N -1 1 348.407 -0.494 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)C1 ZINC001078609641 743707162 /nfs/dbraw/zinc/70/71/62/743707162.db2.gz WOSWQRSTXKIQLI-NWDGAFQWSA-N -1 1 348.407 -0.494 20 0 EBADMM O=C(Cn1nccn1)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078637098 743713555 /nfs/dbraw/zinc/71/35/55/743713555.db2.gz JDPYZFDZXKPGBX-VHSXEESVSA-N -1 1 332.368 -0.681 20 0 EBADMM O=C(Cn1cncn1)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078802317 743736443 /nfs/dbraw/zinc/73/64/43/743736443.db2.gz AGOLBWTXHLLNMP-NXEZZACHSA-N -1 1 332.368 -0.681 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCCN(C(=O)CNC(N)=O)CC1 ZINC001078925352 743746219 /nfs/dbraw/zinc/74/62/19/743746219.db2.gz LWAMYSQHIRWSGO-LLVKDONJSA-N -1 1 349.391 -0.091 20 0 EBADMM CCC(=O)NC1CCC(NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000979949973 805658798 /nfs/dbraw/zinc/65/87/98/805658798.db2.gz RGJPYBGVOYXHQI-UHFFFAOYSA-N -1 1 332.364 -0.015 20 0 EBADMM O=C(CC1CC1)N[C@H]1C[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000980056817 805710756 /nfs/dbraw/zinc/71/07/56/805710756.db2.gz SPIJTQAVIMYIHR-XYPYZODXSA-N -1 1 344.375 -0.158 20 0 EBADMM Cn1nnc(C(=O)NC2CCC(NC(=O)c3ncccc3[O-])CC2)n1 ZINC000980144428 805764577 /nfs/dbraw/zinc/76/45/77/805764577.db2.gz IFBIUXYOMURTQI-UHFFFAOYSA-N -1 1 345.363 -0.218 20 0 EBADMM Cc1nccc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000980431340 805894632 /nfs/dbraw/zinc/89/46/32/805894632.db2.gz NSRDNIUDWYMKEK-NSHDSACASA-N -1 1 331.380 -0.447 20 0 EBADMM Cc1nnc(CNC[C@@H]2C[C@@H](C)N(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC000980643516 805973431 /nfs/dbraw/zinc/97/34/31/805973431.db2.gz ZRJUKQYTJFKYGY-APPZFPTMSA-N -1 1 349.351 -0.385 20 0 EBADMM Cc1nnc(CNC[C@H]2C[C@@H](C)N(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC000980643519 805973957 /nfs/dbraw/zinc/97/39/57/805973957.db2.gz ZRJUKQYTJFKYGY-VXNVDRBHSA-N -1 1 349.351 -0.385 20 0 EBADMM Cc1cnn(CC(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)c1 ZINC000980789860 806018813 /nfs/dbraw/zinc/01/88/13/806018813.db2.gz HNKXEMAQTZLBGD-UHFFFAOYSA-N -1 1 333.396 -0.652 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)c3ccncc3F)CC2)nc1=O ZINC000980886123 806035850 /nfs/dbraw/zinc/03/58/50/806035850.db2.gz ACCLKJMQXKJNRR-UHFFFAOYSA-N -1 1 334.355 -0.009 20 0 EBADMM Cc1cn(C)nc1C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000981138195 806090649 /nfs/dbraw/zinc/09/06/49/806090649.db2.gz PKRSSMQGMIWPJD-UHFFFAOYSA-N -1 1 333.396 -0.502 20 0 EBADMM Cn1ccc(CC(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC000981143135 806093339 /nfs/dbraw/zinc/09/33/39/806093339.db2.gz CNQHPHWBATUFFS-UHFFFAOYSA-N -1 1 333.396 -0.881 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2CC[C@@H](NC(=O)Cc3nnc[nH]3)C2)c1[O-] ZINC000981168341 806098519 /nfs/dbraw/zinc/09/85/19/806098519.db2.gz PROZCYKYMWTPMM-VHSXEESVSA-N -1 1 347.379 -0.201 20 0 EBADMM Cn1ncnc1CN[C@@H]1CCC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000981201518 806107629 /nfs/dbraw/zinc/10/76/29/806107629.db2.gz LXRLXRCIOWVTGQ-RKDXNWHRSA-N -1 1 348.367 -0.758 20 0 EBADMM COCCN1CCCN(C(=O)CCc2n[nH]c(=O)[n-]c2=O)CC1 ZINC000981535105 806194299 /nfs/dbraw/zinc/19/42/99/806194299.db2.gz NVTNTSVATITPOH-UHFFFAOYSA-N -1 1 325.369 -0.604 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)c4cn[nH]c4)C3)C2)nc1=O ZINC000981639437 806222162 /nfs/dbraw/zinc/22/21/62/806222162.db2.gz VRZANQUTCNDDKG-UHFFFAOYSA-N -1 1 331.380 -0.430 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)c4cnns4)C3)C2)nc1=O ZINC000981643266 806223189 /nfs/dbraw/zinc/22/31/89/806223189.db2.gz JUJNDHWMSPZYQY-UHFFFAOYSA-N -1 1 349.420 -0.302 20 0 EBADMM Cn1nccc1C(=O)N1CC2(C1)CCCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC000981662462 806226650 /nfs/dbraw/zinc/22/66/50/806226650.db2.gz HMEGFUYNIFBECP-UHFFFAOYSA-N -1 1 345.407 -0.420 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)Cc4ccon4)C3)C2)nc1=O ZINC000981670389 806229818 /nfs/dbraw/zinc/22/98/18/806229818.db2.gz UINSNMDMOSDDAV-UHFFFAOYSA-N -1 1 346.391 -0.237 20 0 EBADMM Cc1ncc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)n1C ZINC000981824888 806291549 /nfs/dbraw/zinc/29/15/49/806291549.db2.gz AMRKPKAHJUAWPA-UHFFFAOYSA-N -1 1 333.396 -0.502 20 0 EBADMM CO[C@H](C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1)C(C)C ZINC000981878359 806312435 /nfs/dbraw/zinc/31/24/35/806312435.db2.gz SHXXZHLCWLRUCX-ZDUSSCGKSA-N -1 1 325.413 -0.186 20 0 EBADMM C[C@@H]1COCC[C@@H]1C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000981904674 806320923 /nfs/dbraw/zinc/32/09/23/806320923.db2.gz GMAOGIAAZHONJA-OLZOCXBDSA-N -1 1 337.424 -0.185 20 0 EBADMM Cc1ccn(CC(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC000981984986 806362710 /nfs/dbraw/zinc/36/27/10/806362710.db2.gz HHPCYVSKHGMNDF-UHFFFAOYSA-N -1 1 333.396 -0.652 20 0 EBADMM C[C@@H]1OCC[C@@H]1C(=O)N1CC2(C1)CCCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC000982084174 806396377 /nfs/dbraw/zinc/39/63/77/806396377.db2.gz GCWNBMGPYLKEQL-STQMWFEESA-N -1 1 349.435 -0.042 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCN(C(=O)C(F)F)C1 ZINC000982319946 806460196 /nfs/dbraw/zinc/46/01/96/806460196.db2.gz GUMLQPFPTWOFAE-ZCFIWIBFSA-N -1 1 346.290 -0.260 20 0 EBADMM CO[C@@H](C)C(=O)N1CC[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000982510719 806535145 /nfs/dbraw/zinc/53/51/45/806535145.db2.gz KMTCELFATROLOF-VHSXEESVSA-N -1 1 348.363 -0.969 20 0 EBADMM Cn1ncc(C(=O)N2CC[C@@H](CNC(=O)c3ncccc3[O-])C2)c1N ZINC000982664225 806620366 /nfs/dbraw/zinc/62/03/66/806620366.db2.gz RAUIKULMFOCOCG-JTQLQIEISA-N -1 1 344.375 -0.005 20 0 EBADMM CN1CCO[C@@H](C(=O)NC[C@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000982671232 806627340 /nfs/dbraw/zinc/62/73/40/806627340.db2.gz OYMUDXIOJRTZPU-TZMCWYRMSA-N -1 1 348.403 -0.304 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1COCCO1 ZINC000982671176 806627699 /nfs/dbraw/zinc/62/76/99/806627699.db2.gz OIQMRHIQQMDIBB-AAEUAGOBSA-N -1 1 335.360 -0.219 20 0 EBADMM Cn1cnc(C(=O)NC[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC000982672186 806628631 /nfs/dbraw/zinc/62/86/31/806628631.db2.gz GXZCHEXDQHZGMG-JTQLQIEISA-N -1 1 330.348 -0.192 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1CCNC1=O ZINC000982675403 806631503 /nfs/dbraw/zinc/63/15/03/806631503.db2.gz LRRZWAOGNZMGAP-MNOVXSKESA-N -1 1 332.360 -0.498 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NC[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000982998110 806829124 /nfs/dbraw/zinc/82/91/24/806829124.db2.gz YGCOPOCZSQLISW-AVGNSLFASA-N -1 1 348.403 -0.453 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CCC1 ZINC000983013463 806836647 /nfs/dbraw/zinc/83/66/47/806836647.db2.gz OGRZWWJPFCUIDN-SNVBAGLBSA-N -1 1 344.375 -0.204 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)[C@H]2CNC(=O)N2)C1)c1ncccc1[O-] ZINC000983197050 806937039 /nfs/dbraw/zinc/93/70/39/806937039.db2.gz GWLRICAUPSFKCD-NXEZZACHSA-N -1 1 333.348 -0.953 20 0 EBADMM COCCS(=O)(=O)[N-]c1cnn(C)c(=O)c1Br ZINC001259976865 812821012 /nfs/dbraw/zinc/82/10/12/812821012.db2.gz MNTNTQXIVZSQKA-UHFFFAOYSA-N -1 1 326.172 -0.069 20 0 EBADMM Cc1nn(C)c(C)c1C(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970237742 803821055 /nfs/dbraw/zinc/82/10/55/803821055.db2.gz SUNYMAXNWBZARE-SECBINFHSA-N -1 1 347.423 -0.291 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000976858805 803909328 /nfs/dbraw/zinc/90/93/28/803909328.db2.gz JQEBBVGWEGXOKY-DUYQIWHPSA-N -1 1 342.359 -0.740 20 0 EBADMM C[C@H](O)CN1C[C@@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C(C)(C)C1 ZINC000977364935 804296549 /nfs/dbraw/zinc/29/65/49/804296549.db2.gz QZSLUHGXLVFPFL-CMPLNLGQSA-N -1 1 348.407 -0.721 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)c3ncccn3)C(C)(C)C2)nc1=O ZINC000977392416 804304572 /nfs/dbraw/zinc/30/45/72/804304572.db2.gz RFFMASLNLPOPQK-JTQLQIEISA-N -1 1 331.380 -0.461 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@@H]1COCCO1 ZINC000977393571 804305183 /nfs/dbraw/zinc/30/51/83/804305183.db2.gz HUFFBZPWBBOTPB-AAEUAGOBSA-N -1 1 335.360 -0.125 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@@]3(C)CCOC3)C(C)(C)C2)nc1=O ZINC000977405803 804312257 /nfs/dbraw/zinc/31/22/57/804312257.db2.gz RHQORDQUMMLHOD-BZNIZROVSA-N -1 1 337.424 -0.138 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@]3(C)CCOC3)C(C)(C)C2)nc1=O ZINC000977405802 804312619 /nfs/dbraw/zinc/31/26/19/804312619.db2.gz RHQORDQUMMLHOD-BDJLRTHQSA-N -1 1 337.424 -0.138 20 0 EBADMM Cc1nonc1CC(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000977427334 804322244 /nfs/dbraw/zinc/32/22/44/804322244.db2.gz WCYBTSUYQKVMOH-NSHDSACASA-N -1 1 349.395 -0.631 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1CCNC(=O)C1 ZINC000977555688 804380761 /nfs/dbraw/zinc/38/07/61/804380761.db2.gz MJCOAMOIBMZOTG-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)Cn1ncnn1)C(=O)c1ncccc1[O-] ZINC000977601957 804408897 /nfs/dbraw/zinc/40/88/97/804408897.db2.gz QOUSFWBKQXQMFI-JTQLQIEISA-N -1 1 331.336 -0.853 20 0 EBADMM CN(C[C@H]1CCN1C(=O)[C@]1(C)CCNC1=O)C(=O)c1ncccc1[O-] ZINC000977604839 804410282 /nfs/dbraw/zinc/41/02/82/804410282.db2.gz XQGNMPYUXLFKNR-PIGZYNQJSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1nnn(C)n1)C(=O)c1ncccc1[O-] ZINC000977617020 804416604 /nfs/dbraw/zinc/41/66/04/804416604.db2.gz KMCYFQHSYLRXAW-SECBINFHSA-N -1 1 331.336 -0.703 20 0 EBADMM CC(=O)N1CC(C(=O)N(C)C[C@H]2CCN2C(=O)c2ncccc2[O-])C1 ZINC000977647013 804437332 /nfs/dbraw/zinc/43/73/32/804437332.db2.gz ONFCKKBSQSIBSS-CYBMUJFWSA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1CCCNC1=O ZINC000977863587 804532445 /nfs/dbraw/zinc/53/24/45/804532445.db2.gz LXPBWNWOOIDQBQ-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@H]1CCN1C(=O)C(F)F)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000978053666 804635672 /nfs/dbraw/zinc/63/56/72/804635672.db2.gz LHGPTPJLBAMCST-SSDOTTSWSA-N -1 1 340.290 -0.644 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C[C@H]1CCN1C(=O)C(F)F ZINC000978056233 804636489 /nfs/dbraw/zinc/63/64/89/804636489.db2.gz RBKBYDODULICCT-ZCFIWIBFSA-N -1 1 346.290 -0.166 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1CCNC1=O ZINC000978132786 804678561 /nfs/dbraw/zinc/67/85/61/804678561.db2.gz BOAJMVFCRLVKRW-QWRGUYRKSA-N -1 1 332.360 -0.404 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N(C)C[C@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000978136098 804681979 /nfs/dbraw/zinc/68/19/79/804681979.db2.gz CZSDONRCNPUMKI-GMTAPVOTSA-N -1 1 344.375 -0.254 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@@H]1CN(C)C(=O)N1 ZINC000978141133 804687324 /nfs/dbraw/zinc/68/73/24/804687324.db2.gz DIENQHXJEBSLTR-QWRGUYRKSA-N -1 1 347.375 -0.516 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)c1c[nH]c(=O)cn1 ZINC000978284128 804766986 /nfs/dbraw/zinc/76/69/86/804766986.db2.gz YOWUWLNCPSPMHO-SNVBAGLBSA-N -1 1 343.343 -0.143 20 0 EBADMM O=C(Cc1nc[nH]n1)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000978741263 804952635 /nfs/dbraw/zinc/95/26/35/804952635.db2.gz IRVCDWSHEBUXPQ-AOOOYVTPSA-N -1 1 330.348 -0.227 20 0 EBADMM O=C(Cc1nnc[nH]1)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000978741263 804952647 /nfs/dbraw/zinc/95/26/47/804952647.db2.gz IRVCDWSHEBUXPQ-AOOOYVTPSA-N -1 1 330.348 -0.227 20 0 EBADMM Cn1nnc(C(=O)N[C@H]2C[C@@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC000978742627 804954168 /nfs/dbraw/zinc/95/41/68/804954168.db2.gz ANURZZHBDSKUDF-DTORHVGOSA-N -1 1 331.336 -0.751 20 0 EBADMM NC(=O)[C@H]1CC[C@@H]1C(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000978751537 804957897 /nfs/dbraw/zinc/95/78/97/804957897.db2.gz DGTGUCNZXAVYCY-USZNOCQGSA-N -1 1 346.387 -0.077 20 0 EBADMM NC(=O)C(=O)N[C@H]1C[C@@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000978820921 804992979 /nfs/dbraw/zinc/99/29/79/804992979.db2.gz NTGJSZISJNUPED-OTSSQURYSA-N -1 1 333.348 -0.831 20 0 EBADMM CN1CC[C@@H](C(=O)NC[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)C1=O ZINC000979151580 805177607 /nfs/dbraw/zinc/17/76/07/805177607.db2.gz QDVIDAYNYCECIG-TUAOUCFPSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2ccccn2)CCO1 ZINC000979166715 805185099 /nfs/dbraw/zinc/18/50/99/805185099.db2.gz VMBXULHZBGNVAR-ZYHUDNBSSA-N -1 1 332.364 -0.075 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)C2=COCCO2)CCO1 ZINC000979184654 805195263 /nfs/dbraw/zinc/19/52/63/805195263.db2.gz VPVXQYGNQCYUMO-VHSXEESVSA-N -1 1 339.352 -0.896 20 0 EBADMM Cc1cnc(C(=O)N2CCO[C@@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC000979198843 805205725 /nfs/dbraw/zinc/20/57/25/805205725.db2.gz KDPLMBSYIDBMJM-ZYHUDNBSSA-N -1 1 347.379 -0.372 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC000979210454 805210748 /nfs/dbraw/zinc/21/07/48/805210748.db2.gz DBGRFPFYWUXANF-KOLCDFICSA-N -1 1 335.368 -0.439 20 0 EBADMM CCn1cc(C(=O)N2CCO[C@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC000979241669 805233181 /nfs/dbraw/zinc/23/31/81/805233181.db2.gz MBJPWIZNKQYMJF-JQWIXIFHSA-N -1 1 349.395 -0.254 20 0 EBADMM Cc1[nH]nc(C(=O)N2CCO[C@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)c1C ZINC000979252110 805240763 /nfs/dbraw/zinc/24/07/63/805240763.db2.gz GHQBQXNPFFRMMJ-MNOVXSKESA-N -1 1 349.395 -0.130 20 0 EBADMM CCn1nccc1C(=O)N1CCO[C@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979258574 805245718 /nfs/dbraw/zinc/24/57/18/805245718.db2.gz NNNGUZCPZSDTNI-JQWIXIFHSA-N -1 1 349.395 -0.254 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2cscn2)CCO1 ZINC000979269330 805250377 /nfs/dbraw/zinc/25/03/77/805250377.db2.gz ZGXDZXGPKVFZBX-PSASIEDQSA-N -1 1 338.393 -0.014 20 0 EBADMM Cc1nc(C(=O)N2CCO[C@@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)co1 ZINC000979267527 805250414 /nfs/dbraw/zinc/25/04/14/805250414.db2.gz BRWTUGOJOROVJF-GZMMTYOYSA-N -1 1 336.352 -0.174 20 0 EBADMM C[C@@H]([NH2+]Cc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC000979273993 805253199 /nfs/dbraw/zinc/25/31/99/805253199.db2.gz JZSQMBBUIRVOSE-MWLCHTKSSA-N -1 1 348.363 -0.370 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)Cc2ccn(C)n2)CCO1 ZINC000979335204 805301394 /nfs/dbraw/zinc/30/13/94/805301394.db2.gz PJEBACOBXWGEOH-CMPLNLGQSA-N -1 1 349.395 -0.808 20 0 EBADMM Cc1ncc(C(=O)N2CCO[C@@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)n1C ZINC000979385389 805343426 /nfs/dbraw/zinc/34/34/26/805343426.db2.gz STYHETAZUWIHFX-JOYOIKCWSA-N -1 1 349.395 -0.428 20 0 EBADMM Cc1nc(C)c(C(=O)N2CCO[C@@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC000979390994 805346241 /nfs/dbraw/zinc/34/62/41/805346241.db2.gz NFEPSSNBVJGOPJ-LDYMZIIASA-N -1 1 349.395 -0.130 20 0 EBADMM CCn1cnc(C(=O)N2CCO[C@@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC000979442411 805382895 /nfs/dbraw/zinc/38/28/95/805382895.db2.gz GMOOCIOITPNSGW-CMPLNLGQSA-N -1 1 349.395 -0.254 20 0 EBADMM O=C(Cn1ncnn1)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000979671329 805481123 /nfs/dbraw/zinc/48/11/23/805481123.db2.gz INAVBEACOUVPMZ-MGCOHNPYSA-N -1 1 331.336 -0.902 20 0 EBADMM C[C@@]1(C(=O)N[C@H]2C[C@H](CNC(=O)c3ncccc3[O-])C2)CNC(=O)C1 ZINC000979676345 805483571 /nfs/dbraw/zinc/48/35/71/805483571.db2.gz WYCMKVXBPGBRDA-DINDLPBHSA-N -1 1 346.387 -0.062 20 0 EBADMM CC(=O)N1CC[C@@H](C)C[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000983393452 807012495 /nfs/dbraw/zinc/01/24/95/807012495.db2.gz AQTLGYXELXCADL-KOLCDFICSA-N -1 1 332.364 -0.206 20 0 EBADMM O=C(N[C@@H]1CCC[C@@H](NC(=O)C2CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000983408349 807013793 /nfs/dbraw/zinc/01/37/93/807013793.db2.gz SXMLXYSVUYMFBE-GHMZBOCLSA-N -1 1 344.375 -0.015 20 0 EBADMM COc1cccc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC000983491917 807025615 /nfs/dbraw/zinc/02/56/15/807025615.db2.gz FRDVBQGDTDUBDA-UHFFFAOYSA-N -1 1 346.391 -0.140 20 0 EBADMM O=C(Cn1ncnn1)N[C@@H]1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000983586441 807045722 /nfs/dbraw/zinc/04/57/22/807045722.db2.gz VGEVKYBBECWVLI-GHMZBOCLSA-N -1 1 345.363 -0.369 20 0 EBADMM NC(=O)C(=O)N[C@H]1CCC[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000983602061 807054003 /nfs/dbraw/zinc/05/40/03/807054003.db2.gz WQZKVEJLQISESK-ZJUUUORDSA-N -1 1 347.375 -0.298 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCCN(C(=O)Cn2cnnn2)C1 ZINC000983862672 807107051 /nfs/dbraw/zinc/10/70/51/807107051.db2.gz SAZTTYSLXMNQQS-LLVKDONJSA-N -1 1 345.363 -0.463 20 0 EBADMM NC(=O)C(=O)N1C[C@@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1C(=O)c1ncccc1[O-] ZINC000984277097 807243100 /nfs/dbraw/zinc/24/31/00/807243100.db2.gz LOMQJSGWORJVKL-YFKTTZPYSA-N -1 1 344.371 -0.419 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCCN(C(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC000984665745 807362671 /nfs/dbraw/zinc/36/26/71/807362671.db2.gz LAVKLWMOBRRZKP-UTUOFQBUSA-N -1 1 346.387 -0.028 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)Cn1ncnn1 ZINC000984793553 807389454 /nfs/dbraw/zinc/38/94/54/807389454.db2.gz BQWUWVXNMBPZRD-WDEREUQCSA-N -1 1 345.363 -0.417 20 0 EBADMM O=C(N[C@H]1C[C@H](CNCc2n[nH]c(=O)[n-]2)C1)[C@H]1CCCS1(=O)=O ZINC000985137171 807452802 /nfs/dbraw/zinc/45/28/02/807452802.db2.gz FPJOYGSNCSFNGB-OPRDCNLKSA-N -1 1 343.409 -0.928 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)CCn2cncn2)CC1 ZINC000985278094 807477581 /nfs/dbraw/zinc/47/75/81/807477581.db2.gz VHTCFABZPHJSQE-UHFFFAOYSA-N -1 1 334.384 -0.385 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)Cc2cnn(C)c2)CC1 ZINC000985323368 807485075 /nfs/dbraw/zinc/48/50/75/807485075.db2.gz REUCQDXIEMRVJF-UHFFFAOYSA-N -1 1 333.396 -0.091 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)c2cnc[nH]c2=O)CC1 ZINC000985490440 807521446 /nfs/dbraw/zinc/52/14/46/807521446.db2.gz LQGVDVVPPIEDNX-UHFFFAOYSA-N -1 1 333.352 -0.258 20 0 EBADMM CN(Cc1ccnn1C)C1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC000985519725 807526409 /nfs/dbraw/zinc/52/64/09/807526409.db2.gz BESVFUPVVCOFQT-UHFFFAOYSA-N -1 1 347.379 -0.247 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)c2ccc(=O)n(C)c2)CC1 ZINC000985515825 807526745 /nfs/dbraw/zinc/52/67/45/807526745.db2.gz VHHCUPVBMHJLIR-UHFFFAOYSA-N -1 1 346.391 -0.054 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)[C@@H]2CCN(C)C2=O)CC1 ZINC000985588563 807544851 /nfs/dbraw/zinc/54/48/51/807544851.db2.gz ZLEWRIZFIGAERH-LLVKDONJSA-N -1 1 336.396 -0.589 20 0 EBADMM CN(CC(N)=O)C1CCN(C(=O)c2c[n-]n3c2nccc3=O)CC1 ZINC000985691333 807562200 /nfs/dbraw/zinc/56/22/00/807562200.db2.gz GQVNYUSLSALLBY-UHFFFAOYSA-N -1 1 332.364 -0.956 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)C[C@@H]2CCC(=O)N2)CC1 ZINC000985698779 807563045 /nfs/dbraw/zinc/56/30/45/807563045.db2.gz IKGMDDIJVYRMDS-JTQLQIEISA-N -1 1 336.396 -0.398 20 0 EBADMM CN(CC(N)=O)C1CCN(C(=O)c2ccc3oc(=O)nc-3[n-]2)CC1 ZINC000985754822 807573176 /nfs/dbraw/zinc/57/31/76/807573176.db2.gz QCYTXFBAIHYZOH-UHFFFAOYSA-N -1 1 333.348 -0.050 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)CCc2cn(C)nn2)CC1 ZINC000985814985 807588602 /nfs/dbraw/zinc/58/86/02/807588602.db2.gz DLFJAXNONKMXGZ-UHFFFAOYSA-N -1 1 348.411 -0.306 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc2n[nH]nc2n1 ZINC000986334576 807677488 /nfs/dbraw/zinc/67/74/88/807677488.db2.gz KIPFMPMGOREMDT-JGVFFNPUSA-N -1 1 343.351 -0.431 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCc1ccn(C)n1 ZINC000986337297 807678239 /nfs/dbraw/zinc/67/82/39/807678239.db2.gz VIZPNDRABWPGRM-CMPLNLGQSA-N -1 1 333.396 -0.044 20 0 EBADMM Cc1nn(C)cc1CC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000986367571 807687289 /nfs/dbraw/zinc/68/72/89/807687289.db2.gz ZKIZKARFHZAKLK-ZYHUDNBSSA-N -1 1 333.396 -0.126 20 0 EBADMM COCCn1nccc1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000986414285 807697495 /nfs/dbraw/zinc/69/74/95/807697495.db2.gz QRYTYBSHUNKICN-MNOVXSKESA-N -1 1 349.395 -0.254 20 0 EBADMM C[C@@H]1[C@H](NCc2ccn(C)n2)CCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000986485454 807716647 /nfs/dbraw/zinc/71/66/47/807716647.db2.gz CNMZAGOREUMSPV-PSASIEDQSA-N -1 1 333.352 -0.591 20 0 EBADMM Cc1cc(CN[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)[C@@H]2C)on1 ZINC000986486497 807717403 /nfs/dbraw/zinc/71/74/03/807717403.db2.gz GRBLTSDUMIQECR-PSASIEDQSA-N -1 1 334.336 -0.028 20 0 EBADMM Cc1ncc(CN[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)[C@H]2C)o1 ZINC000986487419 807717772 /nfs/dbraw/zinc/71/77/72/807717772.db2.gz JYOPLQFXESRKTJ-XVKPBYJWSA-N -1 1 334.336 -0.028 20 0 EBADMM Cc1ncoc1CN[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)[C@H]1C ZINC000986490755 807718834 /nfs/dbraw/zinc/71/88/34/807718834.db2.gz XNTKVZAHFHRTOV-DTWKUNHWSA-N -1 1 334.336 -0.028 20 0 EBADMM Cc1nccnc1CN[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)[C@@H]1C ZINC000986491221 807719550 /nfs/dbraw/zinc/71/95/50/807719550.db2.gz ZTGNXMRNAORUOW-ZJUUUORDSA-N -1 1 345.363 -0.226 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc(C(N)=O)o1 ZINC000986527900 807726659 /nfs/dbraw/zinc/72/66/59/807726659.db2.gz MIVANGPNFQEORO-JGVFFNPUSA-N -1 1 334.336 -0.405 20 0 EBADMM COc1c[nH]c(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)cc1=O ZINC000986560002 807736229 /nfs/dbraw/zinc/73/62/29/807736229.db2.gz JFIZLUSVZDCPLS-RKDXNWHRSA-N -1 1 348.363 -0.400 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cc(C(N)=O)co1 ZINC000986918487 807795915 /nfs/dbraw/zinc/79/59/15/807795915.db2.gz PJOQFOUUNODNHJ-IONNQARKSA-N -1 1 334.336 -0.405 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)C2CCC2)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000987173523 807856779 /nfs/dbraw/zinc/85/67/79/807856779.db2.gz HYPMXRQIKAPRRF-YPMHNXCESA-N -1 1 348.403 -0.168 20 0 EBADMM C[C@H]1[C@@H](NCc2cnon2)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000987196716 807866956 /nfs/dbraw/zinc/86/69/56/807866956.db2.gz MJJUFCAWBGMUID-JQWIXIFHSA-N -1 1 348.363 -0.911 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cc2ncccn2n1 ZINC000987218195 807871609 /nfs/dbraw/zinc/87/16/09/807871609.db2.gz PKZSSZYQACDZHL-ZJUUUORDSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cccn(C)c1=O ZINC000987377684 807904574 /nfs/dbraw/zinc/90/45/74/807904574.db2.gz ZPLCGOVZRUTXPF-ONGXEEELSA-N -1 1 332.364 -0.398 20 0 EBADMM C[C@@H]1[C@H](NCc2ccn(C)n2)CCN1C(=O)Cn1c(=O)[n-][nH]c1=O ZINC000987422583 807915218 /nfs/dbraw/zinc/91/52/18/807915218.db2.gz RIJSMIQEXVHBLL-MWLCHTKSSA-N -1 1 335.368 -0.798 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCc1cn(C)nn1 ZINC000987610662 807971571 /nfs/dbraw/zinc/97/15/71/807971571.db2.gz AIFDFLIOESBAAA-ONGXEEELSA-N -1 1 334.384 -0.649 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@@H]1COC(=O)N1 ZINC000987685211 807990886 /nfs/dbraw/zinc/99/08/86/807990886.db2.gz PQGSFIUTSKLEOZ-UTLUCORTSA-N -1 1 334.332 -0.385 20 0 EBADMM CN(C[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C(=O)[C@@H]1CCC(=O)N1 ZINC000987924232 808057094 /nfs/dbraw/zinc/05/70/94/808057094.db2.gz AJCYJDNZMTZVSH-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C(=O)c1nnn(C)n1 ZINC000987927470 808058879 /nfs/dbraw/zinc/05/88/79/808058879.db2.gz CUXMRMHJMLJRTE-SNVBAGLBSA-N -1 1 345.363 -0.455 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C(=O)[C@H]1COC(=O)N1 ZINC000987929567 808059844 /nfs/dbraw/zinc/05/98/44/808059844.db2.gz LHWFXGHUTAYYDL-WDEREUQCSA-N -1 1 348.359 -0.184 20 0 EBADMM CN(CC1CCN(C(=O)CC(N)=O)CC1)C(=O)c1ncccc1[O-] ZINC000988483594 808224989 /nfs/dbraw/zinc/22/49/89/808224989.db2.gz FPHRHSQXPSWPLK-UHFFFAOYSA-N -1 1 334.376 -0.027 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1nnn(C(C)(C)C)n1 ZINC000988868938 808307501 /nfs/dbraw/zinc/30/75/01/808307501.db2.gz VWJORKSYXGZJLV-IUCAKERBSA-N -1 1 349.399 -0.355 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cccn2nnnc12 ZINC000988893061 808320685 /nfs/dbraw/zinc/32/06/85/808320685.db2.gz UVGKSYOGQJOHER-BDAKNGLRSA-N -1 1 343.351 -0.659 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCc1ccnn1C ZINC000988923361 808327496 /nfs/dbraw/zinc/32/74/96/808327496.db2.gz OTIFDEDXLTXIPW-GHMZBOCLSA-N -1 1 333.396 -0.044 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCc1ccnn1C ZINC000988923363 808327546 /nfs/dbraw/zinc/32/75/46/808327546.db2.gz OTIFDEDXLTXIPW-MNOVXSKESA-N -1 1 333.396 -0.044 20 0 EBADMM COCCn1nccc1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC000989013056 808349603 /nfs/dbraw/zinc/34/96/03/808349603.db2.gz SNANTZYLMSTEQM-GHMZBOCLSA-N -1 1 349.395 -0.254 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cc(=O)n3nc[n-]c3n2)CCN1C(=O)CC1CC1 ZINC000989039594 808354241 /nfs/dbraw/zinc/35/42/41/808354241.db2.gz AMPFWBKOBLBKCZ-KOLCDFICSA-N -1 1 344.375 -0.063 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cn2c(n1)COCC2 ZINC000989044005 808355380 /nfs/dbraw/zinc/35/53/80/808355380.db2.gz UGQSQSYTQPUMPP-UWVGGRQHSA-N -1 1 347.379 -0.370 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cn2c(n1)COCC2 ZINC000989044003 808355396 /nfs/dbraw/zinc/35/53/96/808355396.db2.gz UGQSQSYTQPUMPP-NXEZZACHSA-N -1 1 347.379 -0.370 20 0 EBADMM Cc1nccnc1CN[C@H]1C[C@@H](C)N(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000989107694 808376516 /nfs/dbraw/zinc/37/65/16/808376516.db2.gz ARMQIRJTPGEWKC-SCZZXKLOSA-N -1 1 345.363 -0.226 20 0 EBADMM Cc1cnc(CN[C@H]2C[C@@H](C)N(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)cn1 ZINC000989108948 808376543 /nfs/dbraw/zinc/37/65/43/808376543.db2.gz DQGJAFMXXJPAGM-ZJUUUORDSA-N -1 1 345.363 -0.226 20 0 EBADMM Cc1cc(CN[C@H]2C[C@H](C)N(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)ncn1 ZINC000989113052 808377670 /nfs/dbraw/zinc/37/76/70/808377670.db2.gz RJTSQZWCFHNJFJ-ONGXEEELSA-N -1 1 345.363 -0.226 20 0 EBADMM Cc1cc(CN[C@@H]2C[C@@H](C)N(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)nn1C ZINC000989119764 808382566 /nfs/dbraw/zinc/38/25/66/808382566.db2.gz MDSGXTVEMMARCE-MWLCHTKSSA-N -1 1 347.379 -0.282 20 0 EBADMM Cc1cc(CC(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)n(C)n1 ZINC000989177989 808394696 /nfs/dbraw/zinc/39/46/96/808394696.db2.gz CJQRUGLPIYYDBM-QWRGUYRKSA-N -1 1 333.396 -0.126 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)[C@@H]1C ZINC000989246788 808421309 /nfs/dbraw/zinc/42/13/09/808421309.db2.gz OBOUVOZFZZVMHZ-LPWJVIDDSA-N -1 1 348.403 -0.312 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnn2cccnc12 ZINC000989284783 808426981 /nfs/dbraw/zinc/42/69/81/808426981.db2.gz VHVBSOYILQGUPC-UWVGGRQHSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@@H]1C[C@H](NCc2ccn(C)n2)CN1C(=O)c1cnc([O-])n(C)c1=O ZINC000989286158 808427120 /nfs/dbraw/zinc/42/71/20/808427120.db2.gz XTWCBVVYAQNFGJ-PWSUYJOCSA-N -1 1 346.391 -0.388 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnn2cccnc12 ZINC000989284784 808427147 /nfs/dbraw/zinc/42/71/47/808427147.db2.gz VHVBSOYILQGUPC-VHSXEESVSA-N -1 1 342.363 -0.054 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC000989330579 808445156 /nfs/dbraw/zinc/44/51/56/808445156.db2.gz UGPJBRSJDHXGOB-IONNQARKSA-N -1 1 333.352 -0.293 20 0 EBADMM C[C@@H]1C[C@H](NCc2nc(=O)c3sccc3[n-]2)CN1C(=O)C(N)=O ZINC000989379519 808461507 /nfs/dbraw/zinc/46/15/07/808461507.db2.gz IFWQJEYKJVHQHF-SFYZADRCSA-N -1 1 335.389 -0.039 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cc2ncccn2n1 ZINC000989584247 808522560 /nfs/dbraw/zinc/52/25/60/808522560.db2.gz WZFVVUAOBIRVCH-UWVGGRQHSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cccn(C)c1=O ZINC000989691552 808560665 /nfs/dbraw/zinc/56/06/65/808560665.db2.gz VRCOFCFNNHGPOE-NXEZZACHSA-N -1 1 332.364 -0.398 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1c[nH]c(C(N)=O)c1 ZINC000989803301 808598102 /nfs/dbraw/zinc/59/81/02/808598102.db2.gz QYNOJIVMVQLFEV-CBAPKCEASA-N -1 1 333.352 -0.670 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cncc(C(N)=O)c1 ZINC000989810638 808602091 /nfs/dbraw/zinc/60/20/91/808602091.db2.gz XPYRZYJNQZOLFO-KWQFWETISA-N -1 1 345.363 -0.603 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cc(C(N)=O)ccn1 ZINC000989874385 808615875 /nfs/dbraw/zinc/61/58/75/808615875.db2.gz WVARUTDTFWAVDL-WPRPVWTQSA-N -1 1 345.363 -0.603 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)c4cnccn4)CCC[C@@H]23)nc1=O ZINC000989920122 808618920 /nfs/dbraw/zinc/61/89/20/808618920.db2.gz ZFMNIUSHAIBUDD-MLGOLLRUSA-N -1 1 343.391 -0.175 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)C4=COCCO4)CCC[C@@H]23)nc1=O ZINC000989929261 808619909 /nfs/dbraw/zinc/61/99/09/808619909.db2.gz UJQJKOPMDCFCAG-WBMJQRKESA-N -1 1 349.391 -0.390 20 0 EBADMM Cn1nccc1C(=O)N[C@]12CCC[C@H]1N(Cc1nc(=O)n(C)[n-]1)CC2 ZINC000990028000 808639557 /nfs/dbraw/zinc/63/95/57/808639557.db2.gz ZXZSPLTVEMWBTJ-WBMJQRKESA-N -1 1 345.407 -0.231 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)c2cccnc2)C1 ZINC000990071707 808649295 /nfs/dbraw/zinc/64/92/95/808649295.db2.gz RCSYSLZUERCIFA-UHFFFAOYSA-N -1 1 345.315 -0.454 20 0 EBADMM Cc1ccc(C(=O)NC2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)o1 ZINC000990151859 808672712 /nfs/dbraw/zinc/67/27/12/808672712.db2.gz AJAYNFFQGUCEER-UHFFFAOYSA-N -1 1 346.343 -0.531 20 0 EBADMM O=C(C[C@H]1C=CCC1)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000990189194 808688034 /nfs/dbraw/zinc/68/80/34/808688034.db2.gz BLZUDAGALMQHPB-LBPRGKRZSA-N -1 1 346.387 -0.390 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1ccc[nH]1 ZINC000990225067 808705514 /nfs/dbraw/zinc/70/55/14/808705514.db2.gz BKTMEUDKETZGMX-UHFFFAOYSA-N -1 1 327.304 -1.000 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(NC(=O)c2cncs2)C1 ZINC000990368518 808764532 /nfs/dbraw/zinc/76/45/32/808764532.db2.gz DHDOWMBYRYPUMU-UHFFFAOYSA-N -1 1 349.372 -0.976 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(NC(=O)c2cscn2)C1 ZINC000990471494 808802027 /nfs/dbraw/zinc/80/20/27/808802027.db2.gz RSQFFHFUTYPJGF-UHFFFAOYSA-N -1 1 349.372 -0.976 20 0 EBADMM O=C(NC1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1)[C@H]1CCC(=O)N1 ZINC000990494443 808810016 /nfs/dbraw/zinc/81/00/16/808810016.db2.gz SFZAQAMRBLTCLE-LLVKDONJSA-N -1 1 345.359 -0.721 20 0 EBADMM Cn1cc(C(=O)N[C@@]23CCC[C@H]2N(Cc2nc(=O)n(C)[n-]2)CC3)nn1 ZINC000990514873 808819530 /nfs/dbraw/zinc/81/95/30/808819530.db2.gz MLFGUBGROFJOJS-IAQYHMDHSA-N -1 1 346.395 -0.836 20 0 EBADMM Cn1cc(C(=O)N[C@]23CCC[C@H]2N(Cc2nc(=O)n(C)[n-]2)CC3)nn1 ZINC000990514869 808820236 /nfs/dbraw/zinc/82/02/36/808820236.db2.gz MLFGUBGROFJOJS-ABAIWWIYSA-N -1 1 346.395 -0.836 20 0 EBADMM Cc1n[nH]c(C(=O)NC2CN(C(=O)c3cnc([O-])n(C)c3=O)C2)c1C ZINC000990554984 808839568 /nfs/dbraw/zinc/83/95/68/808839568.db2.gz UGQPEZHDSXAFKF-UHFFFAOYSA-N -1 1 346.347 -0.920 20 0 EBADMM Cc1nc(CC(=O)N[C@@]23CCC[C@H]2N(Cc2n[nH]c(=O)[n-]2)CC3)n[nH]1 ZINC000990640481 808883504 /nfs/dbraw/zinc/88/35/04/808883504.db2.gz VBLHXECJSKJGBE-MEBBXXQBSA-N -1 1 346.395 -0.207 20 0 EBADMM C[C@H](C(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1)C1CC1 ZINC000990672958 808887416 /nfs/dbraw/zinc/88/74/16/808887416.db2.gz CGKDJZLLBYGNQW-JTQLQIEISA-N -1 1 334.376 -0.700 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)[C@@H](C)C2CC2)C1 ZINC000990678433 808888447 /nfs/dbraw/zinc/88/84/47/808888447.db2.gz KJANXZKVBACQCK-ZETCQYMHSA-N -1 1 336.348 -0.117 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1n1ccnn1)C1CC1 ZINC000990782887 808915470 /nfs/dbraw/zinc/91/54/70/808915470.db2.gz BPJKAXGKHSXZNH-NEPJUHHUSA-N -1 1 342.359 -0.029 20 0 EBADMM CC1(C)C[C@H]1C(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000990881450 808962386 /nfs/dbraw/zinc/96/23/86/808962386.db2.gz QFKWDHLIKOXSMC-VIFPVBQESA-N -1 1 330.348 -0.596 20 0 EBADMM O=C(NC1CN(C(=O)[C@@H]2OC[C@@H]3COCC[C@H]23)C1)c1ncccc1[O-] ZINC000990972481 809019034 /nfs/dbraw/zinc/01/90/34/809019034.db2.gz PQUZCQCZTWCEPW-ITDIGPHOSA-N -1 1 347.371 -0.221 20 0 EBADMM NC(=O)c1coc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)c1 ZINC000990971081 809019419 /nfs/dbraw/zinc/01/94/19/809019419.db2.gz FVZMEVWEJFTJGG-UHFFFAOYSA-N -1 1 330.300 -0.267 20 0 EBADMM C[C@]1(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)CCC(=O)NC1 ZINC000990974305 809020333 /nfs/dbraw/zinc/02/03/33/809020333.db2.gz HIXTUBXRLPGPQB-INIZCTEOSA-N -1 1 332.360 -0.356 20 0 EBADMM NC(=O)N1CCC[C@H]1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990973882 809020792 /nfs/dbraw/zinc/02/07/92/809020792.db2.gz CVNFHUROUNNKBH-JTQLQIEISA-N -1 1 333.348 -0.729 20 0 EBADMM CN1C[C@H](C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)CCC1=O ZINC000990975675 809021773 /nfs/dbraw/zinc/02/17/73/809021773.db2.gz ADLRWISKQBDXFV-SNVBAGLBSA-N -1 1 332.360 -0.404 20 0 EBADMM C[C@H]1C[C@@H](C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)CC(=O)N1 ZINC000990979996 809027001 /nfs/dbraw/zinc/02/70/01/809027001.db2.gz XKPCSEDGKICQLF-VHSXEESVSA-N -1 1 332.360 -0.358 20 0 EBADMM C[C@H]1C[C@H](C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)CC(=O)N1 ZINC000990979995 809027073 /nfs/dbraw/zinc/02/70/73/809027073.db2.gz XKPCSEDGKICQLF-UWVGGRQHSA-N -1 1 332.360 -0.358 20 0 EBADMM NC(=O)N1CCC(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)CC1 ZINC000990979938 809027265 /nfs/dbraw/zinc/02/72/65/809027265.db2.gz WVSFIBIKLAGQAD-UHFFFAOYSA-N -1 1 347.375 -0.482 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)c1cnccn1 ZINC000991236704 809181196 /nfs/dbraw/zinc/18/11/96/809181196.db2.gz HIZFARHJUOXDJH-GXFFZTMASA-N -1 1 343.343 -0.417 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]2O)[nH]n1 ZINC000991320548 809290064 /nfs/dbraw/zinc/29/00/64/809290064.db2.gz IKEXKBWPIMNNIA-GWCFXTLKSA-N -1 1 345.359 -0.176 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1cocn1 ZINC000991342868 809330479 /nfs/dbraw/zinc/33/04/79/809330479.db2.gz YNHDCOUPABDELF-CABZTGNLSA-N -1 1 332.316 -0.219 20 0 EBADMM Cc1ncc(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]2O)[nH]1 ZINC000991345223 809334995 /nfs/dbraw/zinc/33/49/95/809334995.db2.gz SSQDQYAGMXSSID-MFKMUULPSA-N -1 1 345.359 -0.176 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ccon2)C[C@H]1O)c1ncccc1[O-] ZINC000991412474 809431091 /nfs/dbraw/zinc/43/10/91/809431091.db2.gz XHDXUEIGZGRAGS-BXKDBHETSA-N -1 1 332.316 -0.219 20 0 EBADMM Cc1nc(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])[C@H](O)C2)c[nH]1 ZINC000991424394 809448238 /nfs/dbraw/zinc/44/82/38/809448238.db2.gz JYYKVKLWXPWNEU-GXFFZTMASA-N -1 1 345.359 -0.176 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncc[nH]2)C[C@@H]1O)c1ncccc1[O-] ZINC000991425333 809449972 /nfs/dbraw/zinc/44/99/72/809449972.db2.gz LBGZLFANMJASIL-ONGXEEELSA-N -1 1 331.332 -0.484 20 0 EBADMM O=C(Cc1cc[nH]n1)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991430656 809460567 /nfs/dbraw/zinc/46/05/67/809460567.db2.gz TVFDTRGMGWFEHA-WCQYABFASA-N -1 1 345.359 -0.555 20 0 EBADMM O=C(Cc1ccn[nH]1)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991430656 809460576 /nfs/dbraw/zinc/46/05/76/809460576.db2.gz TVFDTRGMGWFEHA-WCQYABFASA-N -1 1 345.359 -0.555 20 0 EBADMM Cc1c[nH]c(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]2O)n1 ZINC000991498576 809566768 /nfs/dbraw/zinc/56/67/68/809566768.db2.gz CUIHMBAMVIOLSB-CMPLNLGQSA-N -1 1 345.359 -0.176 20 0 EBADMM Cn1ccc(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]2O)n1 ZINC000991512257 809588137 /nfs/dbraw/zinc/58/81/37/809588137.db2.gz ZFMZHSWHBZBBNM-MFKMUULPSA-N -1 1 345.359 -0.474 20 0 EBADMM Cn1ccc(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]2O)n1 ZINC000991512256 809588395 /nfs/dbraw/zinc/58/83/95/809588395.db2.gz ZFMZHSWHBZBBNM-GXFFZTMASA-N -1 1 345.359 -0.474 20 0 EBADMM CN1CCO[C@@H](C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001001295068 809677134 /nfs/dbraw/zinc/67/71/34/809677134.db2.gz DYJJMMFSGONDSX-CYBMUJFWSA-N -1 1 334.376 -0.694 20 0 EBADMM CN(C[C@H]1CCCN1C(=O)[C@H]1COC(=O)N1)C(=O)c1ncccc1[O-] ZINC001002456186 809716041 /nfs/dbraw/zinc/71/60/41/809716041.db2.gz LTNFEEVCQRRSDS-GHMZBOCLSA-N -1 1 348.359 -0.041 20 0 EBADMM CN(C[C@H]1CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1)C(=O)C1CC1 ZINC001002713336 809767588 /nfs/dbraw/zinc/76/75/88/809767588.db2.gz OCUJOUHVTHHFBH-LLVKDONJSA-N -1 1 344.375 -0.109 20 0 EBADMM CN(C[C@@H]1CCCN1C(=O)c1n[nH]c(=O)[n-]c1=O)C(=O)c1ccn[nH]1 ZINC001002727635 809770063 /nfs/dbraw/zinc/77/00/63/809770063.db2.gz HPBUPADXGWMGNO-QMMMGPOBSA-N -1 1 347.335 -0.617 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)Cc3cncs3)CC2)nc1=O ZINC001003080676 809780367 /nfs/dbraw/zinc/78/03/67/809780367.db2.gz JKRHETWPTGKGIO-UHFFFAOYSA-N -1 1 336.421 -0.112 20 0 EBADMM Cc1cnn(CC(=O)N(C)C2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c1 ZINC001005153071 809842199 /nfs/dbraw/zinc/84/21/99/809842199.db2.gz SAMHLSPNAODFOT-UHFFFAOYSA-N -1 1 347.423 -0.264 20 0 EBADMM CN(C(=O)Cn1c(=O)[n-][nH]c1=O)C1CCN(Cc2cnn(C)c2)CC1 ZINC001006348035 809893257 /nfs/dbraw/zinc/89/32/57/809893257.db2.gz LTBMPGYWCLRUPG-UHFFFAOYSA-N -1 1 349.395 -0.454 20 0 EBADMM CC1(C)CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)[C@H]1CCNC1=O ZINC001008399734 810152589 /nfs/dbraw/zinc/15/25/89/810152589.db2.gz GWBTURHGNMFYEJ-JQWIXIFHSA-N -1 1 346.387 -0.110 20 0 EBADMM O=C(Cn1cncn1)N1C[C@@H]2[C@@H](CNC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001008992080 810226675 /nfs/dbraw/zinc/22/66/75/810226675.db2.gz NHZGODCWLJYKCW-CNDDSTCGSA-N -1 1 342.359 -0.487 20 0 EBADMM CC(F)(F)C(=O)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001009793034 810264518 /nfs/dbraw/zinc/26/45/18/810264518.db2.gz QEZPTRZALXUAHF-UHFFFAOYSA-N -1 1 340.290 -0.643 20 0 EBADMM CC(C)C(=O)N(C)CC(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001214766562 810278709 /nfs/dbraw/zinc/27/87/09/810278709.db2.gz WMUVKCQKHIBUQS-GHMZBOCLSA-N -1 1 338.412 -0.439 20 0 EBADMM CC(=O)NCC(C)(C)C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001215892612 810349333 /nfs/dbraw/zinc/34/93/33/810349333.db2.gz ZUGINPPBNNLWST-MWLCHTKSSA-N -1 1 338.412 -0.391 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)Cn1ncnn1 ZINC001012687619 810380017 /nfs/dbraw/zinc/38/00/17/810380017.db2.gz MKRGCPHUVCJLHN-UWVGGRQHSA-N -1 1 331.336 -0.807 20 0 EBADMM C[C@@H](CS(C)(=O)=O)C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001216861826 810396865 /nfs/dbraw/zinc/39/68/65/810396865.db2.gz HSBBYDVVJWCMIA-KXUCPTDWSA-N -1 1 345.425 -0.873 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@H]3CCCc4c[nH]nc43)C2)nc1=O ZINC001014429249 810430884 /nfs/dbraw/zinc/43/08/84/810430884.db2.gz FMYNGQAYWVJHCZ-RYUDHWBXSA-N -1 1 345.407 -0.358 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cnc(C4CC4)o3)C2)nc1=O ZINC001014760865 810447345 /nfs/dbraw/zinc/44/73/45/810447345.db2.gz NYLCRLWQMAQVQQ-JTQLQIEISA-N -1 1 332.364 -0.022 20 0 EBADMM CCNC(=O)CN1C[C@H]2OCCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC001217675210 810450598 /nfs/dbraw/zinc/45/05/98/810450598.db2.gz QALKARSSLLBLHM-WCQYABFASA-N -1 1 334.376 -0.552 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCCN1C(=O)Cn1ncnn1 ZINC001014898341 810454116 /nfs/dbraw/zinc/45/41/16/810454116.db2.gz RFPJXOBAKXRXAE-QWRGUYRKSA-N -1 1 345.363 -0.417 20 0 EBADMM Cc1nn(C)c(C)c1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001015449116 810478240 /nfs/dbraw/zinc/47/82/40/810478240.db2.gz MAVKTBZWPHLUSL-LLVKDONJSA-N -1 1 333.396 -0.537 20 0 EBADMM O=C(Cn1ncnn1)N[C@H]1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001015451649 810479949 /nfs/dbraw/zinc/47/99/49/810479949.db2.gz UTAYUEUATQDXSP-QWRGUYRKSA-N -1 1 345.363 -0.511 20 0 EBADMM CCc1nc2c(c(OC(=O)[C@@H](CC(=O)[O-])[C@H](O)C(=O)[O-])n1)C[NH2+]C2 ZINC001218416242 810490279 /nfs/dbraw/zinc/49/02/79/810490279.db2.gz GPQDLOVCOGCQLO-KGFZYKRKSA-N -1 1 339.304 -0.916 20 0 EBADMM Cc1nc([C@H](C)N2CC[C@H](NC(=O)c3cnc([O-])n(C)c3=O)C2)n[nH]1 ZINC001015487263 810490800 /nfs/dbraw/zinc/49/08/00/810490800.db2.gz KNEYEOBXINXKQK-WPRPVWTQSA-N -1 1 347.379 -0.522 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2CC[C@H](NC(=O)Cc3nnc[nH]3)C2)c1[O-] ZINC001015582241 810529806 /nfs/dbraw/zinc/52/98/06/810529806.db2.gz PROZCYKYMWTPMM-UWVGGRQHSA-N -1 1 347.379 -0.201 20 0 EBADMM Cc1cc(=O)[nH]cc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001015681661 810559005 /nfs/dbraw/zinc/55/90/05/810559005.db2.gz WICQXGVSZPPMDO-JTQLQIEISA-N -1 1 332.364 -0.478 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@H]3CCCc4nc[nH]c43)C2)nc1=O ZINC001015688823 810562138 /nfs/dbraw/zinc/56/21/38/810562138.db2.gz DGEVUJXDIZRINU-MNOVXSKESA-N -1 1 345.407 -0.358 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@@H]3C[C@H]3c3cccnc3)C2)nc1=O ZINC001015737189 810574156 /nfs/dbraw/zinc/57/41/56/810574156.db2.gz XNUALMXLZVENIS-MELADBBJSA-N -1 1 342.403 -0.002 20 0 EBADMM CCc1nc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c(C)o1 ZINC001015831263 810597199 /nfs/dbraw/zinc/59/71/99/810597199.db2.gz PTXGQGADFQMYAE-JTQLQIEISA-N -1 1 334.380 -0.028 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cccn4ccnc34)C2)nc1=O ZINC001015834343 810598853 /nfs/dbraw/zinc/59/88/53/810598853.db2.gz RRVDKECSUARSRA-LLVKDONJSA-N -1 1 341.375 -0.240 20 0 EBADMM Cn1ccc(CN2CC[C@@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)n1 ZINC001015991509 810643879 /nfs/dbraw/zinc/64/38/79/810643879.db2.gz FGHDWXCQSRGBSV-SNVBAGLBSA-N -1 1 342.363 -0.845 20 0 EBADMM Cn1ccc(CN2CC[C@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)n1 ZINC001015991508 810644159 /nfs/dbraw/zinc/64/41/59/810644159.db2.gz FGHDWXCQSRGBSV-JTQLQIEISA-N -1 1 342.363 -0.845 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCN(Cc2nnc(C)[nH]2)C1 ZINC001015989710 810644466 /nfs/dbraw/zinc/64/44/66/810644466.db2.gz WUHVEGUUUPBGHR-QMMMGPOBSA-N -1 1 349.351 -0.673 20 0 EBADMM O=C(N[C@@H]1CN(C[C@H](O)CC(F)(F)F)C[C@@H]1O)c1ncccc1[O-] ZINC001219497299 810721240 /nfs/dbraw/zinc/72/12/40/810721240.db2.gz KDUVQNIMAJTYLG-KKZNHRDASA-N -1 1 349.309 -0.125 20 0 EBADMM CN1CCCC[C@@H]1C(=O)NC[C@H]1CN(Cc2n[nH]c(=O)[n-]2)CCO1 ZINC001035415615 810786527 /nfs/dbraw/zinc/78/65/27/810786527.db2.gz CGWWKOTZAYGOES-NWDGAFQWSA-N -1 1 338.412 -0.688 20 0 EBADMM CC(C)CCN1CCO[C@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001035431127 810795046 /nfs/dbraw/zinc/79/50/46/810795046.db2.gz GFPNJWKASKKFGB-SNVBAGLBSA-N -1 1 325.369 -0.241 20 0 EBADMM CC[C@H](C)CN1CCO[C@@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001035432386 810796470 /nfs/dbraw/zinc/79/64/70/810796470.db2.gz PHXUMCVUUZOPHB-UWVGGRQHSA-N -1 1 325.369 -0.241 20 0 EBADMM CCc1nc[nH]c1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035590377 810940873 /nfs/dbraw/zinc/94/08/73/810940873.db2.gz UUCABJZGPJRCKG-JTQLQIEISA-N -1 1 349.395 -0.975 20 0 EBADMM [NH3+][C@@H](CCc1cc(=O)oc2cc([O-])ccc12)C(=O)N[C@@H]1CO[N-]C1=O ZINC001220028511 810954303 /nfs/dbraw/zinc/95/43/03/810954303.db2.gz VPDZZQQQYBJRCL-NWDGAFQWSA-N -1 1 347.327 -0.695 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)c2cccnn2)CC3)nc1=O ZINC001035821708 811033945 /nfs/dbraw/zinc/03/39/45/811033945.db2.gz IPKJQYMFVLRMJL-UHFFFAOYSA-N -1 1 343.391 -0.363 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1NCc1nnn(C)n1 ZINC001036004847 811068460 /nfs/dbraw/zinc/06/84/60/811068460.db2.gz YQZYTGQHFVDHBA-WDEREUQCSA-N -1 1 331.380 -0.049 20 0 EBADMM O=C(Cn1cccn1)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036646347 811195008 /nfs/dbraw/zinc/19/50/08/811195008.db2.gz AVVLLRFKVQDHTQ-NEPJUHHUSA-N -1 1 331.380 -0.170 20 0 EBADMM O=C(CCn1ccnn1)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036700337 811213316 /nfs/dbraw/zinc/21/33/16/811213316.db2.gz FHJOAXSNTKHIQO-RYUDHWBXSA-N -1 1 346.395 -0.385 20 0 EBADMM Cc1nnc(CC(=O)N2CC[C@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)o1 ZINC001036706937 811219184 /nfs/dbraw/zinc/21/91/84/811219184.db2.gz GNCVZCBMNDVLQH-QWRGUYRKSA-N -1 1 347.379 -0.133 20 0 EBADMM Cc1nnc(CC(=O)N2CC[C@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC001036715693 811224356 /nfs/dbraw/zinc/22/43/56/811224356.db2.gz RUZJDDMCEFIXRU-QWRGUYRKSA-N -1 1 346.395 -0.398 20 0 EBADMM O=C(c1c[nH]c(=O)cn1)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036802828 811262794 /nfs/dbraw/zinc/26/27/94/811262794.db2.gz JPHUZLKDGGLVDD-KOLCDFICSA-N -1 1 345.363 -0.670 20 0 EBADMM C[C@@H](CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O)C(C)(C)C ZINC001220850750 811266124 /nfs/dbraw/zinc/26/61/24/811266124.db2.gz VUPOYHDZFUSQCL-TUAOUCFPSA-N -1 1 339.440 -0.158 20 0 EBADMM O=C(Cn1cncn1)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036886935 811301669 /nfs/dbraw/zinc/30/16/69/811301669.db2.gz JUFIGYCFBWLIAI-GHMZBOCLSA-N -1 1 332.368 -0.775 20 0 EBADMM O=C(N[C@H]1CCC[C@@H]1CNCc1ncccn1)c1n[nH]c(=O)[n-]c1=O ZINC001037038610 811346974 /nfs/dbraw/zinc/34/69/74/811346974.db2.gz QNUWGUJHHGJECR-ZJUUUORDSA-N -1 1 345.363 -0.239 20 0 EBADMM CC(=O)N1CCC[C@@H](N(C)C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001079002876 811403733 /nfs/dbraw/zinc/40/37/33/811403733.db2.gz CEKHNUPTBONYPK-CYBMUJFWSA-N -1 1 336.392 -0.214 20 0 EBADMM COCC1(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)CCC1 ZINC001221698467 811520283 /nfs/dbraw/zinc/52/02/83/811520283.db2.gz GHWTZOCOBSQQPN-CHWSQXEVSA-N -1 1 349.435 -0.042 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ccnc(F)c1 ZINC001079479050 811553853 /nfs/dbraw/zinc/55/38/53/811553853.db2.gz OTTIYKAJVWBQGN-MWLCHTKSSA-N -1 1 334.355 -0.107 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CN(CCN3CCNC3=O)C[C@H]2C)c1[O-] ZINC001079579396 811595695 /nfs/dbraw/zinc/59/56/95/811595695.db2.gz GWWBDIUJRDHZHM-MWLCHTKSSA-N -1 1 336.396 -0.501 20 0 EBADMM CCNC(=O)CC(=O)N1CC(N(CC)C(=O)c2ncccc2[O-])C1 ZINC001079652750 811638513 /nfs/dbraw/zinc/63/85/13/811638513.db2.gz GTLVNYALAVAYOH-UHFFFAOYSA-N -1 1 334.376 -0.014 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)C(=O)NCC2CC2)C1 ZINC001079654687 811640347 /nfs/dbraw/zinc/64/03/47/811640347.db2.gz XPIKTHQBQHHWIJ-UHFFFAOYSA-N -1 1 346.387 -0.014 20 0 EBADMM NC(=O)CC(=O)NCC1CCN(Cc2cc(=O)n3[n-]ccc3n2)CC1 ZINC001222691899 811655334 /nfs/dbraw/zinc/65/53/34/811655334.db2.gz UZCYACKYAGADFD-UHFFFAOYSA-N -1 1 346.391 -0.774 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)C[C@@H]3CCOC3)CC2)nc1=O ZINC001222700938 811657656 /nfs/dbraw/zinc/65/76/56/811657656.db2.gz MIVRPJHPAKOQCO-ZDUSSCGKSA-N -1 1 337.424 -0.137 20 0 EBADMM COCC1(C(=O)N2CC[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)[C@H]3C2)CC1 ZINC001223109244 811682906 /nfs/dbraw/zinc/68/29/06/811682906.db2.gz CQPSVPNDWXBFTF-OLZOCXBDSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)[C@]3(F)CCOC3)CC2)nc1=O ZINC001224227676 811750401 /nfs/dbraw/zinc/75/04/01/811750401.db2.gz RDMXWYAHYVWBGJ-HNNXBMFYSA-N -1 1 341.387 -0.435 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cc1cncs1 ZINC001079905506 811781894 /nfs/dbraw/zinc/78/18/94/811781894.db2.gz AQXVEARVFAPZQB-MWLCHTKSSA-N -1 1 336.421 -0.256 20 0 EBADMM CCCC(=O)NCC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001225147368 811794488 /nfs/dbraw/zinc/79/44/88/811794488.db2.gz NKKYCIPABMTTDB-GHMZBOCLSA-N -1 1 338.412 -0.056 20 0 EBADMM CCOc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)[nH]n1 ZINC001079935123 811806814 /nfs/dbraw/zinc/80/68/14/811806814.db2.gz FOIIYHBYPCOHJN-MWLCHTKSSA-N -1 1 349.395 -0.520 20 0 EBADMM Cn1ccc(CCC(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC001227629034 811932395 /nfs/dbraw/zinc/93/23/95/811932395.db2.gz NQEXPGGSNZKPKY-UHFFFAOYSA-N -1 1 347.423 -0.445 20 0 EBADMM COc1nn(C)cc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001080252201 811962913 /nfs/dbraw/zinc/96/29/13/811962913.db2.gz HKHNKVALVXCSKL-MWLCHTKSSA-N -1 1 349.395 -0.899 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)CCc3ccon3)CC2)nc1=O ZINC001228266523 811972171 /nfs/dbraw/zinc/97/21/71/811972171.db2.gz VWKVKISLXRPEEV-UHFFFAOYSA-N -1 1 334.380 -0.190 20 0 EBADMM CC[C@H](NC(C)=O)C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001229321650 812026009 /nfs/dbraw/zinc/02/60/09/812026009.db2.gz MIGVWKHVHVUSNM-LBPRGKRZSA-N -1 1 338.412 -0.896 20 0 EBADMM COc1cncc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)n1 ZINC001080563225 812065457 /nfs/dbraw/zinc/06/54/57/812065457.db2.gz HETHNCKZLVFIDD-MWLCHTKSSA-N -1 1 347.379 -0.843 20 0 EBADMM COCC[C@H](C)C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001230307745 812103123 /nfs/dbraw/zinc/10/31/23/812103123.db2.gz YUBVDWHKCPUWCY-NSHDSACASA-N -1 1 325.413 -0.138 20 0 EBADMM COC(=O)CN1C[C@@H](Oc2c(=O)[n-]cnc2C(=O)OC)CC1=O ZINC001233757327 812339064 /nfs/dbraw/zinc/33/90/64/812339064.db2.gz BETGYOKXMHMGDP-ZETCQYMHSA-N -1 1 325.277 -0.879 20 0 EBADMM COC(=O)c1nc[n-]c(=O)c1OC[C@H](COC(C)=O)OC(C)=O ZINC001233756899 812339920 /nfs/dbraw/zinc/33/99/20/812339920.db2.gz UCQVZWDQWIEJSY-VIFPVBQESA-N -1 1 328.277 -0.158 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)COC(C)(C)C ZINC001233799802 812344761 /nfs/dbraw/zinc/34/47/61/812344761.db2.gz ATQHBHOYTQQKJZ-NSHDSACASA-N -1 1 325.413 -0.044 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)C[C@](C)(O)C1CC1 ZINC001233801837 812346309 /nfs/dbraw/zinc/34/63/09/812346309.db2.gz RUILCIUMVSYISA-WBMJQRKESA-N -1 1 337.424 -0.308 20 0 EBADMM C[C@@H](C(=O)NC(N)=O)N1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001233837752 812353935 /nfs/dbraw/zinc/35/39/35/812353935.db2.gz QBAFXXDKIGLZQO-UWVGGRQHSA-N -1 1 335.364 -0.483 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)CCOCC(F)F ZINC001234378190 812419761 /nfs/dbraw/zinc/41/97/61/812419761.db2.gz NMWGSBKHQCRHDL-JTQLQIEISA-N -1 1 347.366 -0.187 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ccccc1F ZINC001081293664 812676519 /nfs/dbraw/zinc/67/65/19/812676519.db2.gz BZAYNBFCGKBNDR-CHWSQXEVSA-N -1 1 349.366 -0.123 20 0 EBADMM CCOC(=O)C[C@H]([N-]S(=O)(=O)C1CCOCC1)C(=O)OCC ZINC001259917785 812815018 /nfs/dbraw/zinc/81/50/18/812815018.db2.gz LCKWNZSYFXRULG-NSHDSACASA-N -1 1 337.394 -0.030 20 0 EBADMM O=C(Cc1cc[nH]n1)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001081368426 812819307 /nfs/dbraw/zinc/81/93/07/812819307.db2.gz NNZRBBZNKKHEIO-MFKMUULPSA-N -1 1 345.359 -0.698 20 0 EBADMM O=C([O-])c1cc(S(=O)(=O)NC2CCN(CCO)CC2)ccc1O ZINC001260401370 812831805 /nfs/dbraw/zinc/83/18/05/812831805.db2.gz KTBOTSGSZVHLAR-UHFFFAOYSA-N -1 1 344.389 -0.175 20 0 EBADMM CN1CCN(c2ccc([N-]S(=O)(=O)CS(C)(=O)=O)nc2)CC1 ZINC001260583451 812836642 /nfs/dbraw/zinc/83/66/42/812836642.db2.gz FFWHCRMVXFBTNT-UHFFFAOYSA-N -1 1 348.450 -0.423 20 0 EBADMM CS(=O)(=O)CS(=O)(=O)[N-]c1ccc2c(cnn2CCO)c1 ZINC001260590753 812840144 /nfs/dbraw/zinc/84/01/44/812840144.db2.gz NPPMBNBIFSNMJB-UHFFFAOYSA-N -1 1 333.391 -0.228 20 0 EBADMM CC(=O)Nc1ncc(S(=O)(=O)[N-]c2cnc(C)[nH]c2=O)s1 ZINC001260711573 812846836 /nfs/dbraw/zinc/84/68/36/812846836.db2.gz LTXYDMVVLUKERQ-SSDOTTSWSA-N -1 1 329.363 -0.222 20 0 EBADMM COC(=O)c1sc(Cl)cc1S(=O)(=O)[N-][C@@H](CO)C(N)=O ZINC001261062512 812851147 /nfs/dbraw/zinc/85/11/47/812851147.db2.gz JRRUQEDIYPTEJW-BYPYZUCNSA-N -1 1 342.782 -0.687 20 0 EBADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCNC(=O)CC2)c1 ZINC001261243730 812885083 /nfs/dbraw/zinc/88/50/83/812885083.db2.gz PEWSAUFJCTYXNW-QMMMGPOBSA-N -1 1 327.362 -0.106 20 0 EBADMM CCOC(=O)[C@H](F)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001261248799 812885671 /nfs/dbraw/zinc/88/56/71/812885671.db2.gz VKAQCSMNWRAYBK-LLVKDONJSA-N -1 1 327.312 -0.714 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N(CCN2CCOCC2)CC2CC2)CNCCO1 ZINC001263161232 813107752 /nfs/dbraw/zinc/10/77/52/813107752.db2.gz ZUZJSKSHTVGWKM-INIZCTEOSA-N -1 1 341.408 -1.000 20 0 EBADMM CN(C[C@@H]1CCN1Cc1ccon1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001264374189 813167324 /nfs/dbraw/zinc/16/73/24/813167324.db2.gz BHXXOKHMUNHJLD-ZDUSSCGKSA-N -1 1 347.375 -0.352 20 0 EBADMM CO[C@@H]1CN(CCS(C)(=O)=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001081578813 813227131 /nfs/dbraw/zinc/22/71/31/813227131.db2.gz XWDSCJVGNRRIRN-ZYHUDNBSSA-N -1 1 343.405 -0.739 20 0 EBADMM Cc1nc(CN2CC[C@@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)co1 ZINC001266348055 813543828 /nfs/dbraw/zinc/54/38/28/813543828.db2.gz VIHDMAPCGOLZSG-GFCCVEGCSA-N -1 1 347.375 -0.386 20 0 EBADMM Cc1[nH]nc2ncc(C(=O)NCCN(C)Cc3nc(=O)n(C)[n-]3)cc12 ZINC001266357647 813547965 /nfs/dbraw/zinc/54/79/65/813547965.db2.gz CSDWBLLVLYMLNQ-UHFFFAOYSA-N -1 1 344.379 -0.450 20 0 EBADMM O=C(Cc1c[nH]cn1)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001081800402 813608516 /nfs/dbraw/zinc/60/85/16/813608516.db2.gz OPHASPDNCNOBAY-GXFFZTMASA-N -1 1 345.359 -0.698 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1cnc2nccnc2c1 ZINC001266729029 813653945 /nfs/dbraw/zinc/65/39/45/813653945.db2.gz VKLYGRKVNKOAEM-UHFFFAOYSA-N -1 1 328.336 -0.290 20 0 EBADMM CCO[C@@H](C(=O)N(C)CCNCc1n[nH]c(=O)[n-]1)C1CCOCC1 ZINC001266789446 813671713 /nfs/dbraw/zinc/67/17/13/813671713.db2.gz YNGQMOLZHCDQIM-CYBMUJFWSA-N -1 1 341.412 -0.110 20 0 EBADMM CC(=O)Nc1cc(C(=O)N(C)CCNCc2n[nH]c(=O)[n-]2)ccn1 ZINC001266904002 813697101 /nfs/dbraw/zinc/69/71/01/813697101.db2.gz JFBFFBMOCZZTLE-UHFFFAOYSA-N -1 1 333.352 -0.275 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C(C)(C)C1CC1 ZINC001082042176 813733458 /nfs/dbraw/zinc/73/34/58/813733458.db2.gz CMIQBWWJEFQBAX-VXGBXAGGSA-N -1 1 337.424 -0.140 20 0 EBADMM CCCN1C[C@@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)[C@H](OC)C1 ZINC001082248483 813844430 /nfs/dbraw/zinc/84/44/30/813844430.db2.gz NNXXQVIQQNCZAB-VXGBXAGGSA-N -1 1 334.380 -0.703 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cc1ccco1 ZINC001082259443 813854677 /nfs/dbraw/zinc/85/46/77/813854677.db2.gz LGPHPUNJMVWPCL-VXGBXAGGSA-N -1 1 335.364 -0.741 20 0 EBADMM CC[C@]1(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)CCNC1=O ZINC001082572189 813971660 /nfs/dbraw/zinc/97/16/60/813971660.db2.gz QUQMIBHLXFZOFO-FCHSOHFDSA-N -1 1 336.396 -0.637 20 0 EBADMM CN1CCC[C@@H]1C(=O)N1CCO[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@@H]21 ZINC001083024784 814071439 /nfs/dbraw/zinc/07/14/39/814071439.db2.gz ZHFFQYLKMCPWJH-GRYCIOLGSA-N -1 1 336.396 -0.984 20 0 EBADMM CC1CC(C(=O)N2CCO[C@@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@@H]32)C1 ZINC001083047100 814087371 /nfs/dbraw/zinc/08/73/71/814087371.db2.gz JOIQMZNEBVAKLU-IFWUJCSASA-N -1 1 335.408 -0.434 20 0 EBADMM Cn1nnnc1CN1C[C@H]2OCCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC001083059503 814098122 /nfs/dbraw/zinc/09/81/22/814098122.db2.gz HHNAMYMRNKMNSD-CMPLNLGQSA-N -1 1 345.363 -0.964 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1cnn2c1OCCC2 ZINC001268461659 814251695 /nfs/dbraw/zinc/25/16/95/814251695.db2.gz WECKJNBKIVUIOD-UHFFFAOYSA-N -1 1 335.368 -0.259 20 0 EBADMM C[C@H](CC(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21)C1CC1 ZINC001083286153 814262102 /nfs/dbraw/zinc/26/21/02/814262102.db2.gz VVAOHXPHPOAEIN-KWCYVHTRSA-N -1 1 349.435 -0.044 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1cnn(-c2ccccc2)n1 ZINC001268714611 814362394 /nfs/dbraw/zinc/36/23/94/814362394.db2.gz JZQGXJASLRWAHP-JTQLQIEISA-N -1 1 342.363 -0.001 20 0 EBADMM Cc1nc(CN(C)C[C@H](C)NC(=O)c2c[n-]n3c2nccc3=O)n[nH]1 ZINC001268748704 814374305 /nfs/dbraw/zinc/37/43/05/814374305.db2.gz IXGZYVWFEXQSFU-VIFPVBQESA-N -1 1 344.379 -0.301 20 0 EBADMM CCc1nc(CN2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)no1 ZINC001083421342 814400657 /nfs/dbraw/zinc/40/06/57/814400657.db2.gz VNJXUFXYDOBJSE-KOLCDFICSA-N -1 1 333.348 -0.292 20 0 EBADMM CCc1occc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001083436684 814420640 /nfs/dbraw/zinc/42/06/40/814420640.db2.gz GAQOATWJMITNAB-MNOVXSKESA-N -1 1 335.364 -0.761 20 0 EBADMM Cc1ncoc1CN1CC(CNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001268888582 814430861 /nfs/dbraw/zinc/43/08/61/814430861.db2.gz VEOPLCXLCVDJHZ-UHFFFAOYSA-N -1 1 347.375 -0.529 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C3(F)CCCC3)[C@@H](O)C2)nc1=O ZINC001083585127 814589325 /nfs/dbraw/zinc/58/93/25/814589325.db2.gz GNESHCQYYDMBOO-ZJUUUORDSA-N -1 1 327.360 -0.948 20 0 EBADMM Cc1cc(C)nc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c1 ZINC001083591990 814594805 /nfs/dbraw/zinc/59/48/05/814594805.db2.gz FLTDPXYVQMYJRD-OLZOCXBDSA-N -1 1 346.391 -0.905 20 0 EBADMM NC(=O)C1=NO[C@@H]2CN(C(=O)c3cc(=O)c4cccc(O)c4[n-]3)C[C@H]12 ZINC001269286102 814614522 /nfs/dbraw/zinc/61/45/22/814614522.db2.gz WLWQGBKTQSVXAL-QPUJVOFHSA-N -1 1 342.311 -0.042 20 0 EBADMM C[C@H](c1ccccc1)N1C[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)[C@@H](O)C1 ZINC001083607283 814616336 /nfs/dbraw/zinc/61/63/36/814616336.db2.gz PFZPFPAEAIMUMA-JLLWLGSASA-N -1 1 345.359 -0.181 20 0 EBADMM Cc1ccccc1CN1C[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)[C@@H](O)C1 ZINC001083606496 814617231 /nfs/dbraw/zinc/61/72/31/814617231.db2.gz AWRGXVBZWSQOCX-NEPJUHHUSA-N -1 1 345.359 -0.434 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@@H]1CCN1Cc1cncs1 ZINC001269320528 814634884 /nfs/dbraw/zinc/63/48/84/814634884.db2.gz DOZGXKIESGZART-NSHDSACASA-N -1 1 349.416 -0.226 20 0 EBADMM CC(C)=CCN1C[C@]2(CCN(C(=O)Cc3nn[n-]n3)C2)OCC1=O ZINC001269575967 814732987 /nfs/dbraw/zinc/73/29/87/814732987.db2.gz CWDLXUWGYDIHGE-HNNXBMFYSA-N -1 1 334.380 -0.462 20 0 EBADMM COCCCNC(=O)[C@@H]1CC12CCN(C(=O)Cc1nn[n-]n1)CC2 ZINC001269576918 814733630 /nfs/dbraw/zinc/73/36/30/814733630.db2.gz AWHVPQISFURNST-NSHDSACASA-N -1 1 336.396 -0.476 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@@H]2[C@H]1CCC(=O)N2CCn1ccnc1 ZINC001269576907 814733695 /nfs/dbraw/zinc/73/36/95/814733695.db2.gz ALDPBJAYBAWBIL-VXGBXAGGSA-N -1 1 344.379 -0.769 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@]2(C1)CN(C1CCCC1)C(=O)CO2 ZINC001269576650 814733781 /nfs/dbraw/zinc/73/37/81/814733781.db2.gz RFCJIQXVXIEOLF-HNNXBMFYSA-N -1 1 334.380 -0.485 20 0 EBADMM CC(=O)[C@H](C)N1CC[C@@]2(CCCN(C(=O)Cc3nn[n-]n3)CC2)C1=O ZINC001269576559 814734775 /nfs/dbraw/zinc/73/47/75/814734775.db2.gz OXSPTDIHDQXILD-ZBEGNZNMSA-N -1 1 348.407 -0.049 20 0 EBADMM Cc1noc(CN2CC[C@@]3(CCCN3C(=O)Cc3nn[n-]n3)C2=O)n1 ZINC001269577801 814735401 /nfs/dbraw/zinc/73/54/01/814735401.db2.gz ODKBVCRLJNTPJV-AWEZNQCLSA-N -1 1 346.351 -0.773 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCCC[C@]12CC(=O)N(CC(F)F)C2 ZINC001269577481 814736108 /nfs/dbraw/zinc/73/61/08/814736108.db2.gz KADLIGCIDHBIED-CYBMUJFWSA-N -1 1 328.323 -0.009 20 0 EBADMM Cn1ncnc1C(=O)N1CCC12CN(C(=O)c1ccc([O-])cn1)C2 ZINC001269891120 815629438 /nfs/dbraw/zinc/62/94/38/815629438.db2.gz AXXFEAJVVVEXDM-UHFFFAOYSA-N -1 1 328.332 -0.344 20 0 EBADMM Cc1ncc(CO)c(C(=O)N2CCn3c(C(N)=O)cnc3C2)c1[O-] ZINC001269979256 815655332 /nfs/dbraw/zinc/65/53/32/815655332.db2.gz GWAFXQRJXACKHT-UHFFFAOYSA-N -1 1 331.332 -0.461 20 0 EBADMM O=C(Cc1nn[n-]n1)N1C[C@@H]2[C@H](C1)OCCN2CCCC(F)(F)F ZINC001270182728 815713162 /nfs/dbraw/zinc/71/31/62/815713162.db2.gz LTJKVKBECOVBSK-ZJUUUORDSA-N -1 1 348.329 -0.004 20 0 EBADMM Cc1ncsc1CN1C[C@@H]2COC[C@H](C1)N2C(=O)Cc1nn[n-]n1 ZINC001270182867 815714928 /nfs/dbraw/zinc/71/49/28/815714928.db2.gz MXQDZDRDWYRCAX-PHIMTYICSA-N -1 1 349.420 -0.381 20 0 EBADMM O=C(Cc1nn[n-]n1)N1C[C@@H]2C[C@H]1CN(C(=O)NCC(F)(F)F)C2 ZINC001270185556 815715566 /nfs/dbraw/zinc/71/55/66/815715566.db2.gz FPUZPZZXSFJZJQ-SFYZADRCSA-N -1 1 347.301 -0.453 20 0 EBADMM COCCOCCN1CCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001270638631 815839003 /nfs/dbraw/zinc/83/90/03/815839003.db2.gz ORWCKSTVBQMPQD-ZDUSSCGKSA-N -1 1 339.392 -0.119 20 0 EBADMM C=C/C(C)=C\CC(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001270674088 815852935 /nfs/dbraw/zinc/85/29/35/815852935.db2.gz KNERXTXQCDGKKZ-XLVZXTRVSA-N -1 1 335.408 -0.052 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)C(=O)C(C)(C)C)[C@@H]3C2)nc1=O ZINC001084238384 815870691 /nfs/dbraw/zinc/87/06/91/815870691.db2.gz ZMNZBSTVHRUZMW-GHMZBOCLSA-N -1 1 335.408 -0.244 20 0 EBADMM C[C@@H](NC(=O)[C@@]12C[C@@H]1CN(C(=O)Cc1nn[n-]n1)C2)c1ncc[nH]1 ZINC001270788593 815891250 /nfs/dbraw/zinc/89/12/50/815891250.db2.gz GXQSCWXGYCZVRW-RFXMVYNHSA-N -1 1 330.352 -0.809 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCc2c([nH]nc2C(=O)N2CC=CC2)C1 ZINC001270789149 815892733 /nfs/dbraw/zinc/89/27/33/815892733.db2.gz NDOYWMGUAXGAHV-UHFFFAOYSA-N -1 1 328.336 -0.938 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC2(C[C@H]2C(=O)NCC2COC2)CC1 ZINC001270789671 815892853 /nfs/dbraw/zinc/89/28/53/815892853.db2.gz UBROOSUTYZRSSO-NSHDSACASA-N -1 1 334.380 -0.867 20 0 EBADMM Cc1c[nH]nc1C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001084332604 815896431 /nfs/dbraw/zinc/89/64/31/815896431.db2.gz LNPXFZBCHBWVON-GHMZBOCLSA-N -1 1 331.380 -0.514 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)CCc4cn[nH]c4)[C@@H]3C2)nc1=O ZINC001084711337 815965254 /nfs/dbraw/zinc/96/52/54/815965254.db2.gz CSSUFZKVCBWXRR-CHWSQXEVSA-N -1 1 345.407 -0.503 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)[C@]45C[C@H]4COC5)C[C@@H]32)nc1=O ZINC001084945291 816004027 /nfs/dbraw/zinc/00/40/27/816004027.db2.gz RETNWCTVALSGDN-XREXNNHRSA-N -1 1 347.419 -0.432 20 0 EBADMM O=C(N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)[C@H]1CCNC(=O)C1 ZINC001085167810 816024387 /nfs/dbraw/zinc/02/43/87/816024387.db2.gz MEHJKJNMTKPMMZ-HBNTYKKESA-N -1 1 336.396 -0.447 20 0 EBADMM O=C(C[C@H]1COC(=O)C1)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001085171133 816025143 /nfs/dbraw/zinc/02/51/43/816025143.db2.gz FDDIHGDUKTYYSV-GMTAPVOTSA-N -1 1 337.380 -0.020 20 0 EBADMM Cn1cnc(C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)cc1=O ZINC001085230152 816031404 /nfs/dbraw/zinc/03/14/04/816031404.db2.gz FMZIPZZCNXQSQW-NXEZZACHSA-N -1 1 347.379 -0.565 20 0 EBADMM Cn1cnnc1CN[C@@H]1CCCC[C@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001085260332 816034806 /nfs/dbraw/zinc/03/48/06/816034806.db2.gz IPSZVKOIQDWBCW-RKDXNWHRSA-N -1 1 348.367 -0.758 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1ccncc1F ZINC001085526920 816062927 /nfs/dbraw/zinc/06/29/27/816062927.db2.gz NOJDPCZZCNFEKZ-JTQLQIEISA-N -1 1 334.355 -0.011 20 0 EBADMM CC(=O)[C@H](C)N1CC2(CN(C(=O)c3cncc([O-])c3)C2)OCC1=O ZINC001271387294 816094240 /nfs/dbraw/zinc/09/42/40/816094240.db2.gz SXJOJNVYIRYCLG-JTQLQIEISA-N -1 1 333.344 -0.182 20 0 EBADMM CC[C@@H]1CCO[C@@H]1C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085651604 816099870 /nfs/dbraw/zinc/09/98/70/816099870.db2.gz YXIQWRQVQGQTJP-DYEKYZERSA-N -1 1 337.424 -0.044 20 0 EBADMM COc1nccc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001085716699 816122970 /nfs/dbraw/zinc/12/29/70/816122970.db2.gz RQOMOTILKMKGTC-JTQLQIEISA-N -1 1 347.379 -0.747 20 0 EBADMM Cc1nn(C)c(C)c1C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085750470 816130596 /nfs/dbraw/zinc/13/05/96/816130596.db2.gz GSBBORGEWSFOAO-GFCCVEGCSA-N -1 1 347.423 -0.195 20 0 EBADMM CC(C)n1cc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)nn1 ZINC001085897851 816174055 /nfs/dbraw/zinc/17/40/55/816174055.db2.gz PKISVYFNZXEYHL-LLVKDONJSA-N -1 1 348.411 -0.373 20 0 EBADMM COc1c(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)cnn1C ZINC001085947424 816184134 /nfs/dbraw/zinc/18/41/34/816184134.db2.gz ISMXGPXIXCJQOR-JTQLQIEISA-N -1 1 349.395 -0.803 20 0 EBADMM CCn1cc(CN[C@H]2C[C@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)nn1 ZINC001086418708 816327626 /nfs/dbraw/zinc/32/76/26/816327626.db2.gz HLELSLYKXSFAKI-KYZUINATSA-N -1 1 348.367 -0.808 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2CC[C@@H]([NH2+]Cc3nc(=O)n(C)[n-]3)C2)c1[O-] ZINC001086674810 816392046 /nfs/dbraw/zinc/39/20/46/816392046.db2.gz VTLMKGYHZJSFNZ-VHSXEESVSA-N -1 1 349.395 -0.466 20 0 EBADMM Cc1ccc([O-])c(C(=O)N2CC3(C2)COCC(=O)N3C2COC2)n1 ZINC001272387182 816416429 /nfs/dbraw/zinc/41/64/29/816416429.db2.gz XPSZEZCEHBUDAZ-UHFFFAOYSA-N -1 1 333.344 -0.452 20 0 EBADMM C[C@@H]1CCN(C(=O)[C@H]2COC(=O)N2)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087160001 816469607 /nfs/dbraw/zinc/46/96/07/816469607.db2.gz QFKWBDATHXSHKI-KXUCPTDWSA-N -1 1 338.368 -0.665 20 0 EBADMM C[C@@H]1CCN(C(=O)Cc2nnc[nH]2)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087234495 816478538 /nfs/dbraw/zinc/47/85/38/816478538.db2.gz JMMPIUCCJIPJMO-ZJUUUORDSA-N -1 1 334.384 -0.412 20 0 EBADMM COc1ccc(C(=O)N2CC[C@@H](CCNCc3n[nH]c(=O)[n-]3)C2)nn1 ZINC001272608969 816492139 /nfs/dbraw/zinc/49/21/39/816492139.db2.gz UAFNVNPLSLGHSC-SNVBAGLBSA-N -1 1 347.379 -0.049 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)Cc2nnc(C)o2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087563427 816533050 /nfs/dbraw/zinc/53/30/50/816533050.db2.gz WHOTVRFXKOLPFX-WDEREUQCSA-N -1 1 349.395 -0.488 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2nonc2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087848854 816566295 /nfs/dbraw/zinc/56/62/95/816566295.db2.gz RVKMSVLPSNHMEY-VHSXEESVSA-N -1 1 335.368 -0.417 20 0 EBADMM CNC(=O)C(C)(C)N1C(=O)COCC12CN(Cc1ncccc1[O-])C2 ZINC001273326946 816645296 /nfs/dbraw/zinc/64/52/96/816645296.db2.gz MKZMCXMMRORQOS-UHFFFAOYSA-N -1 1 348.403 -0.275 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1cccn2nnnc12 ZINC001273396663 816663852 /nfs/dbraw/zinc/66/38/52/816663852.db2.gz RJWJHLWAEYDBCV-UHFFFAOYSA-N -1 1 331.340 -0.800 20 0 EBADMM CCc1nn(C)cc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001088643671 816719036 /nfs/dbraw/zinc/71/90/36/816719036.db2.gz XFRKXMBGXNRJRR-MFKMUULPSA-N -1 1 347.423 -0.203 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2n[nH]c3c2CCC3)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088697677 816727228 /nfs/dbraw/zinc/72/72/28/816727228.db2.gz CLWCFAPEQZGOTP-KOLCDFICSA-N -1 1 345.407 -0.287 20 0 EBADMM COc1cnc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)nc1 ZINC001088731599 816732713 /nfs/dbraw/zinc/73/27/13/816732713.db2.gz XVDQYZKCPBWWJZ-KOLCDFICSA-N -1 1 347.379 -0.700 20 0 EBADMM CCn1cc(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)nn1 ZINC001088764769 816735754 /nfs/dbraw/zinc/73/57/54/816735754.db2.gz SEJCTKRYBLNGEU-PWSUYJOCSA-N -1 1 348.411 -0.959 20 0 EBADMM Cc1ccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)cn1 ZINC001090075936 817008649 /nfs/dbraw/zinc/00/86/49/817008649.db2.gz LGYKKRRKCYYDOH-STQMWFEESA-N -1 1 346.391 -0.823 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ccccc3O)[C@H](O)C2)nc1=O ZINC001090083798 817016602 /nfs/dbraw/zinc/01/66/02/817016602.db2.gz PAVZFFHBQCJRGY-DGCLKSJQSA-N -1 1 347.375 -0.821 20 0 EBADMM Cn1nccc1CN1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001090215756 817134162 /nfs/dbraw/zinc/13/41/62/817134162.db2.gz JFAWCKMZCZFIFW-TZMCWYRMSA-N -1 1 331.376 -0.114 20 0 EBADMM CCn1ncc(CN2CC[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1 ZINC001090217668 817137346 /nfs/dbraw/zinc/13/73/46/817137346.db2.gz RLHFDNOTBYUNLA-OCCSQVGLSA-N -1 1 346.391 -0.236 20 0 EBADMM CCn1ncc(CN2CC[C@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1 ZINC001090217664 817137840 /nfs/dbraw/zinc/13/78/40/817137840.db2.gz RLHFDNOTBYUNLA-JSGCOSHPSA-N -1 1 346.391 -0.236 20 0 EBADMM Cc1cnccc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001090235073 817164824 /nfs/dbraw/zinc/16/48/24/817164824.db2.gz CPLUUGGKJDKMFX-OLZOCXBDSA-N -1 1 346.391 -0.823 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C3CC(C)(C)C3)[C@@H](O)C2)nc1=O ZINC001090245125 817175939 /nfs/dbraw/zinc/17/59/39/817175939.db2.gz YWJDCYYGOCUABQ-NEPJUHHUSA-N -1 1 337.424 -0.404 20 0 EBADMM CC(C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O)=C1CCC1 ZINC001090253910 817190176 /nfs/dbraw/zinc/19/01/76/817190176.db2.gz GJULPCFKJKOVGF-STQMWFEESA-N -1 1 335.408 -0.340 20 0 EBADMM Cn1[n-]c(C(=O)N2CC[C@@H]3C[C@@]32C(=O)NCc2cnc[nH]2)cc1=O ZINC001275610069 817260449 /nfs/dbraw/zinc/26/04/49/817260449.db2.gz MKHSOBBHWJYSEP-PSLIRLAXSA-N -1 1 330.348 -0.230 20 0 EBADMM Cn1[n-]c(C(=O)NC23CC(C(=O)NCc4cnc[nH]4)(C2)C3)cc1=O ZINC001275610658 817260557 /nfs/dbraw/zinc/26/05/57/817260557.db2.gz XTHBQBYRAPXEPX-UHFFFAOYSA-N -1 1 330.348 -0.182 20 0 EBADMM Cc1cocc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001090491870 817472824 /nfs/dbraw/zinc/47/28/24/817472824.db2.gz BIUYLFPLHXBEGO-VXGBXAGGSA-N -1 1 335.364 -0.625 20 0 EBADMM COCCC[C@@H](C)C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001276957763 817501787 /nfs/dbraw/zinc/50/17/87/817501787.db2.gz YHVGILJCEXNODF-NEPJUHHUSA-N -1 1 325.413 -0.138 20 0 EBADMM CC[C@@H](F)CN1CC(N(C)C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001277670507 817620033 /nfs/dbraw/zinc/62/00/33/817620033.db2.gz CWTWNKHUZRCNLJ-LLVKDONJSA-N -1 1 326.372 -0.183 20 0 EBADMM CCOC(C)(C)CN1C[C@@H]2C(C(=O)N=c3nn[n-]n3C)=NO[C@@H]2C1 ZINC001277705045 817626583 /nfs/dbraw/zinc/62/65/83/817626583.db2.gz MQLBCOAXTNEFGR-VHSXEESVSA-N -1 1 337.384 -0.928 20 0 EBADMM CCCCCN1CCn2c(nnc2C(=O)N=c2nn[n-]n2C)C[C@H]1C ZINC001278228362 817727411 /nfs/dbraw/zinc/72/74/11/817727411.db2.gz SZXRTWQMCKNSHY-LLVKDONJSA-N -1 1 347.427 -0.087 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)N(C)CCOCCNCc2n[nH]c(=O)[n-]2)CCO1 ZINC001279450964 817934405 /nfs/dbraw/zinc/93/44/05/817934405.db2.gz XREIAQULIUGXBM-NEPJUHHUSA-N -1 1 341.412 -0.110 20 0 EBADMM C[C@H]1C[C@H](C(=O)N(C)CCOCCNCc2n[nH]c(=O)[n-]2)CCO1 ZINC001279450965 817934601 /nfs/dbraw/zinc/93/46/01/817934601.db2.gz XREIAQULIUGXBM-NWDGAFQWSA-N -1 1 341.412 -0.110 20 0 EBADMM Cc1cc(=O)c(C(=O)NCC2CC(NCc3n[nH]c(=O)[n-]3)C2)c[nH]1 ZINC001091310736 817956906 /nfs/dbraw/zinc/95/69/06/817956906.db2.gz GNFULTUKEBGGSS-UHFFFAOYSA-N -1 1 332.364 -0.195 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)C[C@@H]4CCCO4)C[C@]3(C)C2)nc1=O ZINC001091509072 818001189 /nfs/dbraw/zinc/00/11/89/818001189.db2.gz FYFMAHHFNRLOBT-IGCXYCKISA-N -1 1 349.435 -0.042 20 0 EBADMM CCCOCC(=O)N(C)C[C@@H](O)CNC(=O)c1ncccc1[O-] ZINC001281243608 818089832 /nfs/dbraw/zinc/08/98/32/818089832.db2.gz DVIBMCAIBWTVSF-NSHDSACASA-N -1 1 325.365 -0.237 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNc1ncc(Cl)cn1 ZINC001093736451 818090647 /nfs/dbraw/zinc/09/06/47/818090647.db2.gz BZADYRGOOKZSSF-UHFFFAOYSA-N -1 1 338.755 -0.402 20 0 EBADMM CCC(=O)NCC1=CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001281359475 818156712 /nfs/dbraw/zinc/15/67/12/818156712.db2.gz UXVAFQJVRVGTTD-UHFFFAOYSA-N -1 1 330.348 -0.284 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)CC(N)=O)CCN1Cc1cc(=O)n2[n-]ccc2n1 ZINC001281659898 818251278 /nfs/dbraw/zinc/25/12/78/818251278.db2.gz SQWOZCRJFVDCQZ-MNOVXSKESA-N -1 1 346.391 -0.633 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CC(=O)N(C3CN(CC4CC4)C3)C2)c1[O-] ZINC001094721606 818368753 /nfs/dbraw/zinc/36/87/53/818368753.db2.gz HACNOMOJHJJWIK-LLVKDONJSA-N -1 1 333.392 -0.151 20 0 EBADMM COCCOCC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC001282795709 818493243 /nfs/dbraw/zinc/49/32/43/818493243.db2.gz CZXMRNQRJJLUMP-LLVKDONJSA-N -1 1 341.412 -0.902 20 0 EBADMM O=C(NCCNC(=O)c1cc(=O)n2[n-]cnc2n1)c1ccc(F)cc1 ZINC001282889724 818516579 /nfs/dbraw/zinc/51/65/79/818516579.db2.gz QNWVPFOKGIJGTK-UHFFFAOYSA-N -1 1 344.306 -0.284 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)C2CCC(O)CC2)C3)nc1=O ZINC001095362090 818610890 /nfs/dbraw/zinc/61/08/90/818610890.db2.gz RBENXUSBEVVVRD-UTAXJDLNSA-N -1 1 349.435 -0.119 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@]2(F)CCOC2)C3)nc1=O ZINC001095916974 818707659 /nfs/dbraw/zinc/70/76/59/818707659.db2.gz PLBOZXZFKDVZIS-LMCGPQHNSA-N -1 1 339.371 -0.542 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCCNC(=O)[C@H]1CC1(F)F ZINC001283243029 818716339 /nfs/dbraw/zinc/71/63/39/818716339.db2.gz JHCCBWYSGNTDOO-ZCFIWIBFSA-N -1 1 346.290 -0.212 20 0 EBADMM C[C@H](O)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cn1[n-]cc3c(=O)ncnc1-3)C2 ZINC001096191214 818742451 /nfs/dbraw/zinc/74/24/51/818742451.db2.gz QLDQMDSDEVWCEZ-LFSVMHDDSA-N -1 1 346.391 -0.827 20 0 EBADMM CN(CCOCCNC(=O)C1CC1)Cc1cc(=O)n2[n-]ccc2n1 ZINC001283351642 818771653 /nfs/dbraw/zinc/77/16/53/818771653.db2.gz YSTVJVVVGAYBLP-UHFFFAOYSA-N -1 1 333.392 -0.003 20 0 EBADMM CCNC(=O)CC(=O)N(C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001283381601 818786385 /nfs/dbraw/zinc/78/63/85/818786385.db2.gz LBOCFLJOGHKIEX-NSHDSACASA-N -1 1 334.376 -0.014 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)Cn2ccnc2)C3)nc1=O ZINC001096653550 818864243 /nfs/dbraw/zinc/86/42/43/818864243.db2.gz XIHOADCOJSTPRK-WOPDTQHZSA-N -1 1 331.380 -0.773 20 0 EBADMM Cc1nc[nH]c1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001096677612 818869584 /nfs/dbraw/zinc/86/95/84/818869584.db2.gz QUKSUFJVCHMHPD-VWYCJHECSA-N -1 1 331.380 -0.325 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)C2CCOCC2)C3)nc1=O ZINC001096717924 818876832 /nfs/dbraw/zinc/87/68/32/818876832.db2.gz GTXXRJHHOSVANM-UPJWGTAASA-N -1 1 335.408 -0.244 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)Cc2cc[nH]n2)C3)nc1=O ZINC001096906741 818901327 /nfs/dbraw/zinc/90/13/27/818901327.db2.gz NAFVXWIOOBQEMA-UTUOFQBUSA-N -1 1 331.380 -0.704 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)c2c[nH]c(=O)n2C)C3)nc1=O ZINC001097646047 819012249 /nfs/dbraw/zinc/01/22/49/819012249.db2.gz NDMLMDVLDWRHGD-BBBLOLIVSA-N -1 1 347.379 -0.917 20 0 EBADMM CC(C)(C(N)=O)C(=O)N[C@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001283929795 819032841 /nfs/dbraw/zinc/03/28/41/819032841.db2.gz DPHASYRIUKDGOX-SNVBAGLBSA-N -1 1 334.376 -0.077 20 0 EBADMM O=C(N[C@@H](CNC(=O)[C@H]1CCNC1=O)C1CC1)c1ncccc1[O-] ZINC001283955172 819044185 /nfs/dbraw/zinc/04/41/85/819044185.db2.gz WBBROLRQUWPDTJ-QWRGUYRKSA-N -1 1 332.360 -0.452 20 0 EBADMM C[C@@H]1CCO[C@@H]1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001097871389 819047198 /nfs/dbraw/zinc/04/71/98/819047198.db2.gz RUCSEGLBXRMHPY-SSKLVLDBSA-N -1 1 335.408 -0.245 20 0 EBADMM Cc1nsc(N[C@@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001097939834 819057567 /nfs/dbraw/zinc/05/75/67/819057567.db2.gz OLMKCCTVWBKDME-QMMMGPOBSA-N -1 1 338.393 -0.297 20 0 EBADMM Cc1cc(N[C@@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)ncn1 ZINC001097939041 819057934 /nfs/dbraw/zinc/05/79/34/819057934.db2.gz ASHBUEXOSNXEED-NSHDSACASA-N -1 1 332.364 -0.358 20 0 EBADMM COc1ccnc(N[C@@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001097940218 819059201 /nfs/dbraw/zinc/05/92/01/819059201.db2.gz VMWJOJSOKPGPLH-JTQLQIEISA-N -1 1 348.363 -0.658 20 0 EBADMM C[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)Nc1ncc(F)cn1 ZINC001097949789 819062192 /nfs/dbraw/zinc/06/21/92/819062192.db2.gz BNRYYVPRMGGZTA-SSDOTTSWSA-N -1 1 332.299 -0.423 20 0 EBADMM C[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)Nc1cc(F)ncn1 ZINC001097954701 819064393 /nfs/dbraw/zinc/06/43/93/819064393.db2.gz YGHBMOZHBWNOQJ-SSDOTTSWSA-N -1 1 332.299 -0.423 20 0 EBADMM CCCOCC(=O)N[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001284041019 819076362 /nfs/dbraw/zinc/07/63/62/819076362.db2.gz HHHJQDOAOAXCOL-MGCOHNPYSA-N -1 1 348.363 -0.779 20 0 EBADMM Cc1occc1C(=O)NC[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001284198648 819130826 /nfs/dbraw/zinc/13/08/26/819130826.db2.gz MBZHZFKLLORIMS-JTQLQIEISA-N -1 1 348.359 -0.237 20 0 EBADMM CCC[C@@H](C)C(=O)NC[C@@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001284212493 819134050 /nfs/dbraw/zinc/13/40/50/819134050.db2.gz HEFVBYIIIGKPHN-VXGBXAGGSA-N -1 1 338.408 -0.016 20 0 EBADMM Cc1c[nH]cc1C(=O)NC[C@H](C)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001284242185 819145286 /nfs/dbraw/zinc/14/52/86/819145286.db2.gz FPLQXFVQDYGEFP-VIFPVBQESA-N -1 1 343.347 -0.398 20 0 EBADMM Cc1c[nH]cc1C(=O)N[C@H](C)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001284281593 819164762 /nfs/dbraw/zinc/16/47/62/819164762.db2.gz ILDRSBDNQBNXPF-LLVKDONJSA-N -1 1 347.375 -0.502 20 0 EBADMM COCCOCCC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001284347023 819192862 /nfs/dbraw/zinc/19/28/62/819192862.db2.gz CTVGKNHQJLIMQF-NSHDSACASA-N -1 1 341.412 -0.110 20 0 EBADMM Cc1nonc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001098497459 819217825 /nfs/dbraw/zinc/21/78/25/819217825.db2.gz CLWHVVGRHIGFSJ-BBBLOLIVSA-N -1 1 333.352 -0.665 20 0 EBADMM CN(CCNC(=O)C(C)(C)F)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001284492229 819254168 /nfs/dbraw/zinc/25/41/68/819254168.db2.gz ZEOFAIUEKKOTFJ-UHFFFAOYSA-N -1 1 328.344 -0.751 20 0 EBADMM CN(CCNC(=O)C[C@@H]1CC(=O)NC1=O)C(=O)c1ncccc1[O-] ZINC001284550934 819283378 /nfs/dbraw/zinc/28/33/78/819283378.db2.gz BWFJCHQLGIZHSS-SECBINFHSA-N -1 1 334.332 -0.972 20 0 EBADMM CN(CCNC(=O)C[C@H]1CC(=O)NC1=O)C(=O)c1ncccc1[O-] ZINC001284550935 819283593 /nfs/dbraw/zinc/28/35/93/819283593.db2.gz BWFJCHQLGIZHSS-VIFPVBQESA-N -1 1 334.332 -0.972 20 0 EBADMM Cc1ncc(C(=O)N[C@]23CCC[C@H]2CN(Cc2nc(=O)n(C)[n-]2)C3)[nH]1 ZINC001098758534 819355850 /nfs/dbraw/zinc/35/58/50/819355850.db2.gz DAFQZRQVSWGIMS-ZBEGNZNMSA-N -1 1 345.407 -0.076 20 0 EBADMM O=C(/C=C/C1CC1)N1CC(CNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001284980399 819442131 /nfs/dbraw/zinc/44/21/31/819442131.db2.gz RESNKFOCMROYHT-ONEGZZNKSA-N -1 1 346.387 -0.533 20 0 EBADMM CC[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)[C@@H](C)CC ZINC001285074866 819473035 /nfs/dbraw/zinc/47/30/35/819473035.db2.gz MDHIKWXJLGTWNN-NWDGAFQWSA-N -1 1 338.408 -0.016 20 0 EBADMM CN(C[C@H]1CCCN1C(=O)c1cnc(C2CC2)[n-]c1=O)C(=O)C(N)=O ZINC001285111380 819483740 /nfs/dbraw/zinc/48/37/40/819483740.db2.gz WFKBBIWAHGAZQO-SNVBAGLBSA-N -1 1 347.375 -0.392 20 0 EBADMM CC(C)CCC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001099663857 819550182 /nfs/dbraw/zinc/55/01/82/819550182.db2.gz UDRNCCUXQUBDFS-RYUDHWBXSA-N -1 1 325.413 -0.404 20 0 EBADMM CCCSCC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001099702538 819593803 /nfs/dbraw/zinc/59/38/03/819593803.db2.gz WBEONVAASNPBKB-QWRGUYRKSA-N -1 1 343.453 -0.697 20 0 EBADMM CC(C)=C(F)C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001099778609 819687342 /nfs/dbraw/zinc/68/73/42/819687342.db2.gz MRYBRBYQKOMHGP-UWVGGRQHSA-N -1 1 327.360 -0.577 20 0 EBADMM CCCNC(=O)CN1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001099783000 819690667 /nfs/dbraw/zinc/69/06/67/819690667.db2.gz JYPWTEZHCHRZBA-WCQYABFASA-N -1 1 336.392 -0.522 20 0 EBADMM CC(C)NC(=O)CN1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001099782984 819691588 /nfs/dbraw/zinc/69/15/88/819691588.db2.gz JOIVYVWHWSDYAG-WCQYABFASA-N -1 1 336.392 -0.523 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)[C@H]1CCCNC1=O ZINC001285655546 819709224 /nfs/dbraw/zinc/70/92/24/819709224.db2.gz PXHBBFHWEYUIHN-MNOVXSKESA-N -1 1 334.376 -0.110 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)/C=C\c3ccc[nH]3)[C@H](O)C2)nc1=O ZINC001099798875 819722055 /nfs/dbraw/zinc/72/20/55/819722055.db2.gz DLDXOCCRAAVTML-OEFFGFFESA-N -1 1 346.391 -0.799 20 0 EBADMM C[C@@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)c1ccco1 ZINC001285702444 819731070 /nfs/dbraw/zinc/73/10/70/819731070.db2.gz GTLLNVDBSGOHOS-QMMMGPOBSA-N -1 1 330.304 -0.441 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)N(C)C(=O)/C=C\C1CC1 ZINC001285748190 819752429 /nfs/dbraw/zinc/75/24/29/819752429.db2.gz CDRQAYYORXIVAC-FJOGCWAESA-N -1 1 348.403 -0.144 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)CC(C)(F)F)[C@H](O)C2)nc1=O ZINC001099990843 819998117 /nfs/dbraw/zinc/99/81/17/819998117.db2.gz BUSKBZFDBQHDFE-DTWKUNHWSA-N -1 1 333.339 -0.795 20 0 EBADMM CCC(CC)CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001100054775 820129275 /nfs/dbraw/zinc/12/92/75/820129275.db2.gz YSBCDBCHTFUSEU-QWHCGFSZSA-N -1 1 339.440 -0.014 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNC(=O)c1ccccc1O ZINC001292512622 820549348 /nfs/dbraw/zinc/54/93/48/820549348.db2.gz ASNRFBPTROWFJP-UHFFFAOYSA-N -1 1 346.343 -0.822 20 0 EBADMM Cc1occc1C(=O)NCC(=O)NCCNC(=O)c1ncccc1[O-] ZINC001292666890 820581473 /nfs/dbraw/zinc/58/14/73/820581473.db2.gz YOIOSAAFXSBAAM-UHFFFAOYSA-N -1 1 346.343 -0.035 20 0 EBADMM Cc1cc(C=O)c([O-])c(C(=O)NCCN2CCS(=O)(=O)CC2)c1 ZINC001292817981 820625299 /nfs/dbraw/zinc/62/52/99/820625299.db2.gz YSCJGDFGPBSQAW-UHFFFAOYSA-N -1 1 340.401 -0.027 20 0 EBADMM O=C(NCCNC(=O)[C@@H]1CCC[C@H]2C[C@H]21)c1cc(=O)n2[n-]cnc2n1 ZINC001292914048 820656846 /nfs/dbraw/zinc/65/68/46/820656846.db2.gz AXTWRBNIWYYXPE-HBNTYKKESA-N -1 1 344.375 -0.300 20 0 EBADMM CN(CCNc1ncc(F)cn1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001101686747 820879001 /nfs/dbraw/zinc/87/90/01/820879001.db2.gz IXNOYWDXCJBSPK-UHFFFAOYSA-N -1 1 336.327 -0.574 20 0 EBADMM CCCC(=O)NCC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001101779054 820914366 /nfs/dbraw/zinc/91/43/66/820914366.db2.gz IOWHIFFAQAPUOH-GHMZBOCLSA-N -1 1 338.412 -0.389 20 0 EBADMM COCCOCC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001101830209 820927630 /nfs/dbraw/zinc/92/76/30/820927630.db2.gz LHICWHTURBZFJH-GHMZBOCLSA-N -1 1 327.385 -0.643 20 0 EBADMM CN(CCNc1ncnc2[nH]cnc21)C(=O)c1cnc([O-])n(C)c1=O ZINC001101887181 820944489 /nfs/dbraw/zinc/94/44/89/820944489.db2.gz YRICSNUPPKRBMN-UHFFFAOYSA-N -1 1 344.335 -0.712 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CCN(C(=O)C2CCC2)C1 ZINC001294773297 820995742 /nfs/dbraw/zinc/99/57/42/820995742.db2.gz MIIBYFIJGZJDRH-LBPRGKRZSA-N -1 1 334.376 -0.556 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1C[C@H](NC(=O)C2CC=CC2)C1 ZINC001295105147 821050027 /nfs/dbraw/zinc/05/00/27/821050027.db2.gz ZIUGDQLKDOLPHH-JOCQHMNTSA-N -1 1 346.387 -0.344 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)C[C@@H]1CCC(=O)N1 ZINC001102461595 821113290 /nfs/dbraw/zinc/11/32/90/821113290.db2.gz IJQQEBCMTZWLQL-MXWKQRLJSA-N -1 1 336.396 -0.637 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)Cc1cncn1C ZINC001102512881 821133942 /nfs/dbraw/zinc/13/39/42/821133942.db2.gz ITTRZKZDMVWYID-GHMZBOCLSA-N -1 1 333.396 -0.329 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)Cn1cccn1 ZINC001102662693 821185278 /nfs/dbraw/zinc/18/52/78/821185278.db2.gz LRQXUGKVGCOINA-VXGBXAGGSA-N -1 1 333.396 -0.019 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)[C@@H]1COCCO1 ZINC001102685037 821188886 /nfs/dbraw/zinc/18/88/86/821188886.db2.gz SIQRIABEOOIHGB-UTUOFQBUSA-N -1 1 339.396 -0.500 20 0 EBADMM CC/C=C(\C)C(=O)NCCN(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001296014747 821193444 /nfs/dbraw/zinc/19/34/44/821193444.db2.gz CVLPJGBQQOCUFA-LFYBBSHMSA-N -1 1 336.392 -0.142 20 0 EBADMM CC[C@@H](C)CC(=O)N(C)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001296153173 821218448 /nfs/dbraw/zinc/21/84/48/821218448.db2.gz KPBYOSQKJLNVDP-GFCCVEGCSA-N -1 1 338.408 -0.063 20 0 EBADMM CCN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)c1ccc[nH]1 ZINC001296338644 821241244 /nfs/dbraw/zinc/24/12/44/821241244.db2.gz YNLKDQICYOOSQU-UHFFFAOYSA-N -1 1 347.375 -0.467 20 0 EBADMM CC[C@@H](CNC(=O)c1n[nH]c(=O)[n-]c1=O)Nc1ncnc2[nH]cnc21 ZINC001103374656 821300482 /nfs/dbraw/zinc/30/04/82/821300482.db2.gz XBNKNWZPPWDOCO-LURJTMIESA-N -1 1 345.323 -0.430 20 0 EBADMM CC[C@@H](CNC(=O)c1ccon1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001297367409 821363267 /nfs/dbraw/zinc/36/32/67/821363267.db2.gz AZHYVTYYRKSPPI-JTQLQIEISA-N -1 1 349.347 -0.761 20 0 EBADMM CC[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)C(C)(C)F ZINC001297445123 821374887 /nfs/dbraw/zinc/37/48/87/821374887.db2.gz QEECYMOSYZMGGR-JTQLQIEISA-N -1 1 342.371 -0.314 20 0 EBADMM CC[C@@H](F)C(=O)NC[C@@H](CC)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001297468861 821378365 /nfs/dbraw/zinc/37/83/65/821378365.db2.gz HLPZSCQKUWGHDG-GHMZBOCLSA-N -1 1 342.371 -0.314 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCCn2cccnc2=O)co1 ZINC001297516022 821384676 /nfs/dbraw/zinc/38/46/76/821384676.db2.gz QDKWTAAFGSFKTK-UHFFFAOYSA-N -1 1 340.361 -0.436 20 0 EBADMM C[C@@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)CNc1cc(F)ncn1 ZINC001104037220 821391230 /nfs/dbraw/zinc/39/12/30/821391230.db2.gz QUYPEQNMZIYIFX-MRVPVSSYSA-N -1 1 346.326 -0.175 20 0 EBADMM Cc1cc(NC[C@H](C)CNC(=O)c2cc(=O)n3[n-]cnc3n2)ncn1 ZINC001104037271 821391483 /nfs/dbraw/zinc/39/14/83/821391483.db2.gz RQGRRPHUIIYXJG-VIFPVBQESA-N -1 1 342.363 -0.006 20 0 EBADMM Cc1ccc(NC[C@H](C)CNC(=O)c2cc(=O)n3[n-]cnc3n2)nn1 ZINC001104037776 821392135 /nfs/dbraw/zinc/39/21/35/821392135.db2.gz WYDXUNCGYRGDLZ-VIFPVBQESA-N -1 1 342.363 -0.006 20 0 EBADMM C[C@@H]1CN(C(=O)c2cn[nH]c(=O)c2)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001104325815 821469309 /nfs/dbraw/zinc/46/93/09/821469309.db2.gz WQRYIQVNNLHQHU-NXEZZACHSA-N -1 1 347.379 -0.106 20 0 EBADMM C[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1CCNCc1cnon1 ZINC001104386325 821495773 /nfs/dbraw/zinc/49/57/73/821495773.db2.gz QHJIVEVYCYEHSU-RKDXNWHRSA-N -1 1 349.351 -0.446 20 0 EBADMM C[C@H](CNc1cnc(F)cn1)N(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001104617283 821523232 /nfs/dbraw/zinc/52/32/32/821523232.db2.gz NWBKVVCFRLHRQT-MRVPVSSYSA-N -1 1 346.326 -0.081 20 0 EBADMM O=C(CCc1cnc[nH]1)NC/C=C\CNC(=O)c1cnc[nH]c1=O ZINC001298447658 821545060 /nfs/dbraw/zinc/54/50/60/821545060.db2.gz SIIIRZFCLORFLU-UPHRSURJSA-N -1 1 330.348 -0.060 20 0 EBADMM Cc1ccc(C(=O)N[C@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)o1 ZINC001298530516 821566909 /nfs/dbraw/zinc/56/69/09/821566909.db2.gz FXSWLLRJWKTORP-SNVBAGLBSA-N -1 1 348.359 -0.237 20 0 EBADMM C[C@H](CNC(=O)[C@@]1(C)C=CCC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001298650644 821581359 /nfs/dbraw/zinc/58/13/59/821581359.db2.gz JJFRZUZCPQGFIO-PXAZEXFGSA-N -1 1 348.403 -0.096 20 0 EBADMM CC[C@@H](F)C(=O)N1CC(N(C)C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001299381006 821687854 /nfs/dbraw/zinc/68/78/54/821687854.db2.gz RMWMXBZJBAATIP-LLVKDONJSA-N -1 1 340.355 -0.656 20 0 EBADMM C[C@@H](C(=O)N1CC[C@H](c2nn[n-]n2)C1)N1C(=O)NC2(CCCC2)C1=O ZINC001302935498 821753099 /nfs/dbraw/zinc/75/30/99/821753099.db2.gz ABNUJDDBNRKHMS-UWVGGRQHSA-N -1 1 347.379 -0.231 20 0 EBADMM Cc1c(C(=O)Nc2c[n-][nH]c2=O)cnc2c1c(=O)n(C)c(=O)n2C ZINC001306847926 821768958 /nfs/dbraw/zinc/76/89/58/821768958.db2.gz FGMWQLYGEYREJB-UHFFFAOYSA-N -1 1 330.304 -0.378 20 0 EBADMM CCOC(=O)C1(S(=O)(=O)[N-][C@@H]2CC(=O)N(C(C)C)C2=O)CCC1 ZINC001309256476 821776349 /nfs/dbraw/zinc/77/63/49/821776349.db2.gz ANKLZLLZGHRBIS-SNVBAGLBSA-N -1 1 346.405 -0.073 20 0 EBADMM Cc1n[nH]c(C(=O)NCCCN(C)CC(=O)N2CCOCC2)c1[O-] ZINC001316818907 821865401 /nfs/dbraw/zinc/86/54/01/821865401.db2.gz LHRODCFZCLYFFV-UHFFFAOYSA-N -1 1 339.396 -0.666 20 0 EBADMM Cc1n[nH]c(C(=O)NCCCN(C)C[C@@H]2CCS(=O)(=O)C2)c1[O-] ZINC001316819520 821867878 /nfs/dbraw/zinc/86/78/78/821867878.db2.gz OVCBGYXDHWTFAJ-NSHDSACASA-N -1 1 344.437 -0.090 20 0 EBADMM Cc1cnc(CN(C)CCCNC(=O)c2n[nH]c(=O)[n-]c2=O)cn1 ZINC001316832944 821876201 /nfs/dbraw/zinc/87/62/01/821876201.db2.gz JOCJRWLDPQNTHW-UHFFFAOYSA-N -1 1 333.352 -0.367 20 0 EBADMM CCN(CCCNC(=O)CN1CCOCC1)Cc1n[nH]c(=O)[n-]1 ZINC001316887950 821904463 /nfs/dbraw/zinc/90/44/63/821904463.db2.gz CXXKWWXQNYAHND-UHFFFAOYSA-N -1 1 326.401 -0.829 20 0 EBADMM O=C(NC[C@H](O)CNc1cccc(F)n1)c1cc(=O)n2[n-]cnc2n1 ZINC001105908483 821981718 /nfs/dbraw/zinc/98/17/18/821981718.db2.gz RDNYORPKXDHJGH-MRVPVSSYSA-N -1 1 347.310 -0.846 20 0 EBADMM CCOCCC(=O)NC[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001317129703 822011761 /nfs/dbraw/zinc/01/17/61/822011761.db2.gz XVBIAQNSQPFBED-LBPRGKRZSA-N -1 1 325.413 -0.137 20 0 EBADMM Cc1nccnc1CN(C)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001317464656 822119428 /nfs/dbraw/zinc/11/94/28/822119428.db2.gz AIGUJLCHJZTPQZ-UHFFFAOYSA-N -1 1 346.391 -0.727 20 0 EBADMM CCN(CCNC(=O)c1cnn2cccnc12)Cc1nc(=O)n(C)[n-]1 ZINC001317483461 822126879 /nfs/dbraw/zinc/12/68/79/822126879.db2.gz HNOJSYKLFRSPGE-UHFFFAOYSA-N -1 1 344.379 -0.597 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1cnc2ccccn2c1=O ZINC001317601458 822172961 /nfs/dbraw/zinc/17/29/61/822172961.db2.gz XDVAPNDPHLYBST-UHFFFAOYSA-N -1 1 343.347 -0.620 20 0 EBADMM O=C(Cn1c(=O)oc2ccccc21)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001318375827 822302025 /nfs/dbraw/zinc/30/20/25/822302025.db2.gz FXJCTAMAQZAXSR-LLVKDONJSA-N -1 1 330.304 -0.292 20 0 EBADMM CCCC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1n1ccnn1 ZINC001128662460 828503678 /nfs/dbraw/zinc/50/36/78/828503678.db2.gz PVAANDOEWBFIIL-MNOVXSKESA-N -1 1 334.384 -0.958 20 0 EBADMM CCCCC(=O)NCc1cn(C2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001107129484 823740609 /nfs/dbraw/zinc/74/06/09/823740609.db2.gz ZWQNCVHUHGBEHW-UHFFFAOYSA-N -1 1 348.411 -0.437 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC/C=C\CNc1nccnc1F ZINC001107258130 823830639 /nfs/dbraw/zinc/83/06/39/823830639.db2.gz XNCMPVQZEMACKZ-UPHRSURJSA-N -1 1 348.338 -0.360 20 0 EBADMM Cc1ccnc(NC/C=C/CNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001107257559 823830677 /nfs/dbraw/zinc/83/06/77/823830677.db2.gz NKLMATJPOUQROI-NSCUHMNNSA-N -1 1 344.375 -0.190 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@](C)(CNC(=O)c3ccc[nH]3)C2)nc1=O ZINC001107734289 823930446 /nfs/dbraw/zinc/93/04/46/823930446.db2.gz ABOYVMSGFVKZPK-HNNXBMFYSA-N -1 1 334.380 -0.543 20 0 EBADMM Cn1[n-]c(CN2CCO[C@](C)(CNC(=O)c3ccoc3)C2)nc1=O ZINC001107735624 823931913 /nfs/dbraw/zinc/93/19/13/823931913.db2.gz DWISHYUSTKGORF-OAHLLOKOSA-N -1 1 335.364 -0.278 20 0 EBADMM Cc1cc(C(=O)NC[C@]2(C)CN(Cc3nc(=O)n(C)[n-]3)CCO2)[nH]n1 ZINC001107777510 823957011 /nfs/dbraw/zinc/95/70/11/823957011.db2.gz PZIOAUHDOLHQKU-OAHLLOKOSA-N -1 1 349.395 -0.839 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@](C)(CNC(=O)/C=C/C3CC3)C2)nc1=O ZINC001107842214 823996232 /nfs/dbraw/zinc/99/62/32/823996232.db2.gz YHDKWCLVVOQLTL-JFDDCEBESA-N -1 1 335.408 -0.218 20 0 EBADMM Cn1[n-]c(CN2CCO[C@](C)(CNC(=O)c3cnco3)C2)nc1=O ZINC001108105270 824125464 /nfs/dbraw/zinc/12/54/64/824125464.db2.gz YUQDEJMGODGRIR-CQSZACIVSA-N -1 1 336.352 -0.883 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@](C)(CNC(=O)c3cnco3)C2)nc1=O ZINC001108105269 824125701 /nfs/dbraw/zinc/12/57/01/824125701.db2.gz YUQDEJMGODGRIR-AWEZNQCLSA-N -1 1 336.352 -0.883 20 0 EBADMM Cn1[n-]c(CN2CCO[C@](C)(CNC(=O)[C@H]3C[C@H]4C[C@H]4C3)C2)nc1=O ZINC001108154246 824142837 /nfs/dbraw/zinc/14/28/37/824142837.db2.gz YLGCLOGDNSMVDF-ZOPJHEKZSA-N -1 1 349.435 -0.138 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@](C)(CNC(=O)C3(CF)CC3)C2)nc1=O ZINC001108204859 824164963 /nfs/dbraw/zinc/16/49/63/824164963.db2.gz ATAXMJJNZLOSRY-AWEZNQCLSA-N -1 1 341.387 -0.435 20 0 EBADMM CN(CCCNc1ncc(F)cn1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001109483006 824549084 /nfs/dbraw/zinc/54/90/84/824549084.db2.gz XHGJXHUAKQYDQC-UHFFFAOYSA-N -1 1 346.326 -0.079 20 0 EBADMM CSCC(=O)N[C@]12CCC[C@H]1CN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001111580267 825216972 /nfs/dbraw/zinc/21/69/72/825216972.db2.gz OFMUQUYATPKKKZ-HZMBPMFUSA-N -1 1 325.438 -0.058 20 0 EBADMM C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)[C@H](C)Nc1ncccn1 ZINC001113090921 825755124 /nfs/dbraw/zinc/75/51/24/825755124.db2.gz AKQSDGKMIJWFFM-MNOVXSKESA-N -1 1 332.364 -0.278 20 0 EBADMM C[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)[C@H](C)Nc1nccnc1F ZINC001113118452 825764390 /nfs/dbraw/zinc/76/43/90/825764390.db2.gz HBUBTZSALQQUPD-YUMQZZPRSA-N -1 1 346.326 -0.034 20 0 EBADMM C[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)[C@H](C)Nc1cc(F)ncn1 ZINC001113118280 825764426 /nfs/dbraw/zinc/76/44/26/825764426.db2.gz DPJINAPUTGBJGK-YUMQZZPRSA-N -1 1 346.326 -0.034 20 0 EBADMM C[C@@H](Nc1cc(F)ncn1)[C@H](C)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001113118279 825764473 /nfs/dbraw/zinc/76/44/73/825764473.db2.gz DPJINAPUTGBJGK-SFYZADRCSA-N -1 1 346.326 -0.034 20 0 EBADMM COCCCOCCC(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001113509923 825901660 /nfs/dbraw/zinc/90/16/60/825901660.db2.gz QPHRIPFSOLKXHQ-UHFFFAOYSA-N -1 1 341.412 -0.804 20 0 EBADMM C[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)N(C)c1cc(F)ncn1 ZINC001113657265 825968784 /nfs/dbraw/zinc/96/87/84/825968784.db2.gz JSKYUZWXNQTKET-MRVPVSSYSA-N -1 1 346.326 -0.399 20 0 EBADMM CCOCCNC(=O)CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC001114164353 826156313 /nfs/dbraw/zinc/15/63/13/826156313.db2.gz SXLAKPFANBUHPV-JYAVWHMHSA-N -1 1 348.403 -0.400 20 0 EBADMM COCC(C)(C)CC(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001114611438 826296910 /nfs/dbraw/zinc/29/69/10/826296910.db2.gz WRFQFPAMBNJMPH-YABSGUDNSA-N -1 1 337.424 -0.283 20 0 EBADMM O=C(CCCCc1cn[nH]n1)N[C@@H]1[C@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001114621910 826299693 /nfs/dbraw/zinc/29/96/93/826299693.db2.gz SKVNBXBMJKAPLS-YABSGUDNSA-N -1 1 346.395 -0.412 20 0 EBADMM C[C@@H](CS(C)(=O)=O)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001180241669 833062696 /nfs/dbraw/zinc/06/26/96/833062696.db2.gz FWHSSFMNNYMMLL-GUBZILKMSA-N -1 1 345.425 -0.730 20 0 EBADMM C[C@H](CN(C)C(=O)c1cc(=O)n2[n-]cnc2n1)Nc1ncc(F)cn1 ZINC001115778103 826584381 /nfs/dbraw/zinc/58/43/81/826584381.db2.gz RBCFSBXDIWLAQM-MRVPVSSYSA-N -1 1 346.326 -0.081 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCc1nnc2n1CCCCC2 ZINC001116055248 826596747 /nfs/dbraw/zinc/59/67/47/826596747.db2.gz MCYPGJPTPUGDNL-UHFFFAOYSA-N -1 1 332.364 -0.439 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1ccccc1CN1CCOCC1 ZINC001116108082 826600057 /nfs/dbraw/zinc/60/00/57/826600057.db2.gz ZNKVWUQHQSIRBQ-UHFFFAOYSA-N -1 1 345.363 -0.713 20 0 EBADMM COCCOc1cncc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c1 ZINC001116936522 826653808 /nfs/dbraw/zinc/65/38/08/826653808.db2.gz GYNSFYZGSRUDOU-GFCCVEGCSA-N -1 1 334.336 -0.166 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCCOC[C@@H]3CCOC3)ccnc1-2 ZINC001117424861 826745749 /nfs/dbraw/zinc/74/57/49/826745749.db2.gz RYKPVZAEJRZPML-LLVKDONJSA-N -1 1 347.375 -0.550 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCCOC[C@H]3CCOC3)ccnc1-2 ZINC001117424862 826745868 /nfs/dbraw/zinc/74/58/68/826745868.db2.gz RYKPVZAEJRZPML-NSHDSACASA-N -1 1 347.375 -0.550 20 0 EBADMM COc1ccc(C(=O)NCC(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)cc1 ZINC001180806291 833112536 /nfs/dbraw/zinc/11/25/36/833112536.db2.gz JBIYIOHUGDAJBJ-UHFFFAOYSA-N -1 1 333.304 -0.153 20 0 EBADMM Cn1ccnc1[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C(C)(C)CO ZINC001118773910 826998284 /nfs/dbraw/zinc/99/82/84/826998284.db2.gz FVJUIYVPFPDKMK-GFCCVEGCSA-N -1 1 349.391 -0.464 20 0 EBADMM O=C([O-])[C@]1(C(=O)NCCOC(F)(F)C(F)(F)F)CNCCO1 ZINC001122120540 827523699 /nfs/dbraw/zinc/52/36/99/827523699.db2.gz WUILZSKBAGYTJR-MRVPVSSYSA-N -1 1 336.213 -0.283 20 0 EBADMM Cc1ccc(S(=O)(=O)N(C)C)cc1C(=O)NN1CC(=O)[N-]C1=O ZINC001182303608 833222288 /nfs/dbraw/zinc/22/22/88/833222288.db2.gz WQVBMKHVJBNJAW-UHFFFAOYSA-N -1 1 340.361 -0.558 20 0 EBADMM CC(C)C[C@]1(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)CCNC1=O ZINC001182526261 833240453 /nfs/dbraw/zinc/24/04/53/833240453.db2.gz YTWWCQPBHKMAQI-GFCCVEGCSA-N -1 1 329.382 -0.301 20 0 EBADMM CC(C)C[C@]1(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)CCNC1=O ZINC001182526261 833240460 /nfs/dbraw/zinc/24/04/60/833240460.db2.gz YTWWCQPBHKMAQI-GFCCVEGCSA-N -1 1 329.382 -0.301 20 0 EBADMM CN(C)c1nc(NC(=O)C2CN(S(C)(=O)=O)C2)c(N=O)c(=O)[n-]1 ZINC001183017611 833275607 /nfs/dbraw/zinc/27/56/07/833275607.db2.gz KPBQPDHYJOYNTE-UHFFFAOYSA-N -1 1 344.353 -0.524 20 0 EBADMM Cc1cc(NC(=O)CNCCNC(=O)c2[nH]nc(C)c2[O-])n(C)n1 ZINC001125744624 828129590 /nfs/dbraw/zinc/12/95/90/828129590.db2.gz DQCUZJYRODTQMI-UHFFFAOYSA-N -1 1 335.368 -0.576 20 0 EBADMM O=C(Cn1cc(F)c(=O)[n-]c1=O)N1CCO[C@H](C(F)(F)F)C1 ZINC001183659787 833298901 /nfs/dbraw/zinc/29/89/01/833298901.db2.gz GJBRZRPQAJDHPY-ZETCQYMHSA-N -1 1 325.218 -0.122 20 0 EBADMM COc1cccc(CNCCNC(=O)CCc2n[nH]c(=O)[n-]c2=O)n1 ZINC001130754027 828928737 /nfs/dbraw/zinc/92/87/37/828928737.db2.gz KEYPCYSMSMZOQR-UHFFFAOYSA-N -1 1 348.363 -0.475 20 0 EBADMM NC(=O)c1ccc(CCC(=O)NCCNCc2n[nH]c(=O)[n-]2)cc1 ZINC001131305384 829083638 /nfs/dbraw/zinc/08/36/38/829083638.db2.gz DRXSFZXVWFBKQD-UHFFFAOYSA-N -1 1 332.364 -0.552 20 0 EBADMM Cc1cnc2c(cnn2CC(=O)NCCNCc2n[nH]c(=O)[n-]2)c1 ZINC001131411378 829135886 /nfs/dbraw/zinc/13/58/86/829135886.db2.gz QUABBRBILKWBAA-UHFFFAOYSA-N -1 1 330.352 -0.531 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)CCn2cncn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001131811868 829225625 /nfs/dbraw/zinc/22/56/25/829225625.db2.gz DPSNVHODSNEFIS-RYUDHWBXSA-N -1 1 348.411 -0.741 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CN2CC[C@@H](O)C2)c1 ZINC001186047750 833386756 /nfs/dbraw/zinc/38/67/56/833386756.db2.gz LJCHJSMTQHPEOO-SECBINFHSA-N -1 1 329.378 -0.695 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)CCn2ccnn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001131992193 829294242 /nfs/dbraw/zinc/29/42/42/829294242.db2.gz JQDCQCGAVXMNTM-RYUDHWBXSA-N -1 1 348.411 -0.741 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)CCn2ccnn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001131992191 829294272 /nfs/dbraw/zinc/29/42/72/829294272.db2.gz JQDCQCGAVXMNTM-NEPJUHHUSA-N -1 1 348.411 -0.741 20 0 EBADMM C[C@@H](NC(=O)c1cccs1)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001132064762 829317160 /nfs/dbraw/zinc/31/71/60/829317160.db2.gz BMSLVMNFLMJXEI-MRVPVSSYSA-N -1 1 338.393 -0.404 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1ccc(-n2cccn2)nc1 ZINC001132117593 829339439 /nfs/dbraw/zinc/33/94/39/829339439.db2.gz FKMOJNHGGHFYAN-UHFFFAOYSA-N -1 1 328.336 -0.389 20 0 EBADMM CCS(=O)(=O)NCCNS(=O)(=O)c1cc(OC)ccc1[O-] ZINC001186286529 833395348 /nfs/dbraw/zinc/39/53/48/833395348.db2.gz OZOZKYADNLTKBY-UHFFFAOYSA-N -1 1 338.407 -0.382 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)CCn2ccnc2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001132221382 829383853 /nfs/dbraw/zinc/38/38/53/829383853.db2.gz GSADZQNLZQFJLS-OLZOCXBDSA-N -1 1 347.423 -0.136 20 0 EBADMM CSCCC(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001186764504 833425739 /nfs/dbraw/zinc/42/57/39/833425739.db2.gz SGUHFPDCYVAEKF-WDEREUQCSA-N -1 1 325.438 -0.106 20 0 EBADMM CCOC(=O)[C@]1(C(C)=O)CCC(=O)[C@@H](C(=O)NCc2nn[n-]n2)C1 ZINC001186803921 833429380 /nfs/dbraw/zinc/42/93/80/833429380.db2.gz GEQYOWNLYBTKSW-LKFCYVNXSA-N -1 1 337.336 -0.676 20 0 EBADMM C[C@H](C[C@H](C)NCc1n[nH]c(=O)[n-]1)NC(=O)CC1CS(=O)(=O)C1 ZINC001134805041 829849149 /nfs/dbraw/zinc/84/91/49/829849149.db2.gz NOFUNNMWTPSJSD-DTWKUNHWSA-N -1 1 345.425 -0.682 20 0 EBADMM C[C@H](C[C@H](C)NC(=O)c1n[nH]c(=O)[n-]c1=O)NCc1ncnn1C ZINC001134864284 829860922 /nfs/dbraw/zinc/86/09/22/829860922.db2.gz UOTYMIIHQIAKHN-SFYZADRCSA-N -1 1 336.356 -0.902 20 0 EBADMM CC(C)S(=O)(=O)CC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001211141520 837400562 /nfs/dbraw/zinc/40/05/62/837400562.db2.gz WIEMLZORTRIOGM-JTQLQIEISA-N -1 1 345.425 -0.586 20 0 EBADMM COc1cccc(CNCCNC(=O)c2c[n-]n3c2nccc3=O)n1 ZINC001134938662 829873073 /nfs/dbraw/zinc/87/30/73/829873073.db2.gz FEMJZYRFVWJVFG-UHFFFAOYSA-N -1 1 342.359 -0.054 20 0 EBADMM CC(C)n1cc(CNCCNC(=O)CCn2cc[n-]c(=O)c2=O)nn1 ZINC001135387343 829959427 /nfs/dbraw/zinc/95/94/27/829959427.db2.gz PWAHDZAMACZTFS-UHFFFAOYSA-N -1 1 349.395 -0.995 20 0 EBADMM Cc1cnc([C@H](C)NCCNC(=O)CCn2cc[n-]c(=O)c2=O)o1 ZINC001135391531 829962063 /nfs/dbraw/zinc/96/20/63/829962063.db2.gz WZWPQBWIAPESHT-NSHDSACASA-N -1 1 335.364 -0.310 20 0 EBADMM CCc1nocc1CNCCNC(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC001135465861 829971972 /nfs/dbraw/zinc/97/19/72/829971972.db2.gz RZGOJSUSRSMZMA-UHFFFAOYSA-N -1 1 345.363 -0.472 20 0 EBADMM Cc1oncc1CNCCNC(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC001135465596 829972428 /nfs/dbraw/zinc/97/24/28/829972428.db2.gz LZZBJRGYCCVWIB-UHFFFAOYSA-N -1 1 331.336 -0.726 20 0 EBADMM CC(C)(C)OC(=O)N1CCC[C@](O)(CC(=O)[N-]OCC(N)=O)C1 ZINC001137887852 830096349 /nfs/dbraw/zinc/09/63/49/830096349.db2.gz ZAMWEIIXSAYIRJ-AWEZNQCLSA-N -1 1 331.369 -0.328 20 0 EBADMM O=C(CCc1nc2cccnc2[nH]1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001138988153 830100911 /nfs/dbraw/zinc/10/09/11/830100911.db2.gz YRIPURBPJIAKBK-UHFFFAOYSA-N -1 1 342.363 -0.086 20 0 EBADMM CCOC(=O)c1nc[nH]c1NC(=O)CC[C@H](NC(C)=O)C(=O)OC ZINC001144960261 830172703 /nfs/dbraw/zinc/17/27/03/830172703.db2.gz DLOZFTJFNJVDRG-VIFPVBQESA-N -1 1 340.336 -0.017 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)CCOCC4CC4)C3)C2)nc1=O ZINC001147552356 830359642 /nfs/dbraw/zinc/35/96/42/830359642.db2.gz JTFHXFRXWOMSSK-UHFFFAOYSA-N -1 1 349.435 -0.041 20 0 EBADMM COCC[C@H](C)C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001148783792 830540165 /nfs/dbraw/zinc/54/01/65/830540165.db2.gz MFWWJVTVYCDYQS-LBPRGKRZSA-N -1 1 337.424 -0.185 20 0 EBADMM CSCCC(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149108986 830591919 /nfs/dbraw/zinc/59/19/19/830591919.db2.gz HFJOXEQSZXYYSC-NSHDSACASA-N -1 1 343.453 -0.431 20 0 EBADMM CN(C(=O)CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@H]21)c1nn[n-]n1 ZINC001149220085 830618560 /nfs/dbraw/zinc/61/85/60/830618560.db2.gz PTGPCFCSNBCODR-OYNCUSHFSA-N -1 1 325.398 -0.112 20 0 EBADMM COC[C@@H](C)C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149390131 830654113 /nfs/dbraw/zinc/65/41/13/830654113.db2.gz CKWUUWSJKDJSFU-VXGBXAGGSA-N -1 1 341.412 -0.902 20 0 EBADMM CO[C@H](C)CC(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149414931 830659980 /nfs/dbraw/zinc/65/99/80/830659980.db2.gz HTAXEURINRUXFR-NEPJUHHUSA-N -1 1 341.412 -0.760 20 0 EBADMM Cc1cccc(C(=O)NCC(=O)NCCNCc2n[nH]c(=O)[n-]2)c1 ZINC001149838802 830760166 /nfs/dbraw/zinc/76/01/66/830760166.db2.gz RTHVREMDCZPAEE-UHFFFAOYSA-N -1 1 332.364 -0.545 20 0 EBADMM CCC(CC)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1OC ZINC001211904158 837491310 /nfs/dbraw/zinc/49/13/10/837491310.db2.gz WQOKSLZWOIPDDE-VXGBXAGGSA-N -1 1 325.413 -0.140 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@@H](CNC(=O)Cc3ccc[nH]3)C2)nc1=O ZINC001150739431 830975454 /nfs/dbraw/zinc/97/54/54/830975454.db2.gz YESPZGKIYLJNAZ-ZDUSSCGKSA-N -1 1 348.407 -0.614 20 0 EBADMM O=C(CCNC(=O)c1cccs1)NCCNCc1n[nH]c(=O)[n-]1 ZINC001150794469 830985569 /nfs/dbraw/zinc/98/55/69/830985569.db2.gz QCSSPKUVZQPEDP-UHFFFAOYSA-N -1 1 338.393 -0.402 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC[C@H]1CCCN1S(C)(=O)=O ZINC001151355735 831061593 /nfs/dbraw/zinc/06/15/93/831061593.db2.gz MFZOTVCPDCDBFT-MRVPVSSYSA-N -1 1 346.434 -0.067 20 0 EBADMM CNS(=O)(=O)[C@@H]1CCN(C(=O)c2c(C)[nH]c(=O)[n-]c2=S)C1 ZINC001151776107 831111281 /nfs/dbraw/zinc/11/12/81/831111281.db2.gz GRQHRYNIICOIHL-SSDOTTSWSA-N -1 1 332.407 -0.457 20 0 EBADMM C[C@@]1(CCCC(=O)N2CCC(c3nn[n-]n3)CC2)NC(=O)NC1=O ZINC001152486453 831166311 /nfs/dbraw/zinc/16/63/11/831166311.db2.gz UJKOBWSTWUJFQA-AWEZNQCLSA-N -1 1 335.368 -0.326 20 0 EBADMM N=c1nc(OS(=O)(=O)[O-])c(N)c(N)n1-c1ccc(O)c(F)c1F ZINC001212000281 837517340 /nfs/dbraw/zinc/51/73/40/837517340.db2.gz WWMIDAOKPOUKPE-UHFFFAOYSA-N -1 1 349.275 -0.318 20 0 EBADMM O=C(C[C@H]1C(=O)Nc2ccccc21)NCCNCc1n[nH]c(=O)[n-]1 ZINC001153387389 831231377 /nfs/dbraw/zinc/23/13/77/831231377.db2.gz RPWGUTXLPYCOHZ-SNVBAGLBSA-N -1 1 330.348 -0.158 20 0 EBADMM Cc1ccc2ccc(C(=O)N3CN[C@H](N)[C@H]4NCN[C@@H]43)c([O-])c2n1 ZINC001155661348 831420139 /nfs/dbraw/zinc/42/01/39/831420139.db2.gz RIARPJRQGMLMTO-VHDGCEQUSA-N -1 1 328.376 -0.618 20 0 EBADMM CC[C@@H](SC)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1OC ZINC001212129696 837550682 /nfs/dbraw/zinc/55/06/82/837550682.db2.gz WLRJYUQUXCHJTQ-GMTAPVOTSA-N -1 1 343.453 -0.435 20 0 EBADMM Cn1[n-]c(CN2CC=C(CCNC(=O)Cc3cc[nH]n3)CC2)nc1=O ZINC001159661168 831770810 /nfs/dbraw/zinc/77/08/10/831770810.db2.gz ZVISHGLNAOTVGR-UHFFFAOYSA-N -1 1 345.407 -0.287 20 0 EBADMM CCOC(=O)c1c[n-]c(NC(=O)C=Cc2cn(C)c(=O)n(C)c2=O)n1 ZINC001160930861 831907758 /nfs/dbraw/zinc/90/77/58/831907758.db2.gz SPGBJADOFBZBBY-AATRIKPKSA-N -1 1 347.331 -0.364 20 0 EBADMM Cn1[n-]c(CN2CC=C(CCNC(=O)Cn3cncn3)CC2)nc1=O ZINC001161829216 831994978 /nfs/dbraw/zinc/99/49/78/831994978.db2.gz PGDQALNOSAHJBL-UHFFFAOYSA-N -1 1 346.395 -0.962 20 0 EBADMM Cc1nnc(CNCCCNC(=O)c2n[nH]c(=O)[n-]c2=O)s1 ZINC001161862918 831997236 /nfs/dbraw/zinc/99/72/36/831997236.db2.gz FAIRCMUHMXCNBU-UHFFFAOYSA-N -1 1 325.354 -0.648 20 0 EBADMM COC(=O)[C@@H]1C[C@H]1C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001163767105 832136305 /nfs/dbraw/zinc/13/63/05/832136305.db2.gz MECXXNWAMAQWBN-NXEZZACHSA-N -1 1 337.380 -0.305 20 0 EBADMM Cc1nnc([C@@H](C)NCCCNC(=O)c2cnc([O-])n(C)c2=O)[nH]1 ZINC001163781196 832137416 /nfs/dbraw/zinc/13/74/16/832137416.db2.gz AIIQTAWZUJQXCK-MRVPVSSYSA-N -1 1 335.368 -0.617 20 0 EBADMM CCc1nnc([C@H](C)NCCCNC(=O)c2cnc([O-])n(C)c2=O)[nH]1 ZINC001163781640 832138559 /nfs/dbraw/zinc/13/85/59/832138559.db2.gz ORNWIVDNJWOIJT-VIFPVBQESA-N -1 1 349.395 -0.363 20 0 EBADMM C[C@H]1C[C@@H]1CC(=O)NCCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001350292136 832149519 /nfs/dbraw/zinc/14/95/19/832149519.db2.gz OOFFNRLYUVNCLI-NWDGAFQWSA-N -1 1 336.392 -0.405 20 0 EBADMM C[C@H](CC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)NC(N)=O ZINC001164524527 832194141 /nfs/dbraw/zinc/19/41/41/832194141.db2.gz SORSOHCTKBFJNG-SECBINFHSA-N -1 1 339.400 -0.667 20 0 EBADMM CN1C[C@H](C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)CC1=O ZINC001165305979 832213889 /nfs/dbraw/zinc/21/38/89/832213889.db2.gz DRPXJXFOKUHZSU-SNVBAGLBSA-N -1 1 336.396 -0.635 20 0 EBADMM O=C(NCCc1c(F)cc([O-])cc1F)C(=O)NC[C@@H]1COCCO1 ZINC001351123244 832217303 /nfs/dbraw/zinc/21/73/03/832217303.db2.gz BXCJIXPPFGVKQF-SNVBAGLBSA-N -1 1 344.314 -0.139 20 0 EBADMM CN(CCCNC(=O)c1cnn(C)c1N)C(=O)c1ncccc1[O-] ZINC001351402827 832234346 /nfs/dbraw/zinc/23/43/46/832234346.db2.gz PMMHWTOBXZSQGZ-UHFFFAOYSA-N -1 1 332.364 -0.005 20 0 EBADMM NC(=O)CCCCC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167681706 832363682 /nfs/dbraw/zinc/36/36/82/832363682.db2.gz GOYNBJKFGHLNRP-UHFFFAOYSA-N -1 1 338.412 -0.068 20 0 EBADMM O=C(Cn1ccccc1=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001168077020 832394236 /nfs/dbraw/zinc/39/42/36/832394236.db2.gz CKPNMHKHQFJBIB-UHFFFAOYSA-N -1 1 346.391 -0.252 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)c1ccncc1 ZINC001354558955 832502686 /nfs/dbraw/zinc/50/26/86/832502686.db2.gz ZOPNLOBUTKSFBL-NSHDSACASA-N -1 1 345.359 -0.744 20 0 EBADMM Cn1ncc2c1nc(CCC(=O)N1CC[C@@H](c3nn[n-]n3)C1)[nH]c2=O ZINC001175553215 832629831 /nfs/dbraw/zinc/62/98/31/832629831.db2.gz UOAIWWORKLISIP-MRVPVSSYSA-N -1 1 343.351 -0.469 20 0 EBADMM COCCn1c(=O)ccc2c1CC[C@@H](NC(=O)Cc1nn[n-]n1)C2 ZINC001176844398 832695142 /nfs/dbraw/zinc/69/51/42/832695142.db2.gz AYEANFSTXDAVIJ-LLVKDONJSA-N -1 1 332.364 -0.776 20 0 EBADMM C[C@H]1[C@H](NC(=O)[C@H]2CCc3[nH]cnc3C2)C(=O)N1S(=O)(=O)[O-] ZINC001177182341 832768774 /nfs/dbraw/zinc/76/87/74/832768774.db2.gz ZRHIWMJXCOAZMA-BYULHYEWSA-N -1 1 328.350 -0.967 20 0 EBADMM CCOC(=O)C1(NC(=O)Cn2nn[n-]c2=S)CCN(C)CC1 ZINC001177742739 832801473 /nfs/dbraw/zinc/80/14/73/832801473.db2.gz DZVUTHOKRUHBKY-UHFFFAOYSA-N -1 1 328.398 -0.895 20 0 EBADMM CN(C)C1CN(C(=O)c2cc3n(n2)CCCN(CC(=O)[O-])C3=O)C1 ZINC001178365564 832855718 /nfs/dbraw/zinc/85/57/18/832855718.db2.gz BVKJBNNDUCCESD-UHFFFAOYSA-N -1 1 335.364 -0.800 20 0 EBADMM CC(C)C(=O)N(C)CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001178818392 832917029 /nfs/dbraw/zinc/91/70/29/832917029.db2.gz UHUSIFGZWXYRNY-QWRGUYRKSA-N -1 1 338.412 -0.296 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCO[C@@H](CS(C)(=O)=O)C1 ZINC001179412552 832975185 /nfs/dbraw/zinc/97/51/85/832975185.db2.gz BFNIMICHUJGARX-MRVPVSSYSA-N -1 1 347.418 -0.335 20 0 EBADMM O=C(CNC(=O)[C@@H]1CCC(=O)N1)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001187511482 833935166 /nfs/dbraw/zinc/93/51/66/833935166.db2.gz SWWCPGSHNHOVIR-NSHDSACASA-N -1 1 343.343 -0.089 20 0 EBADMM O=S(=O)([N-]CCCN1CCS(=O)(=O)CC1)c1nccs1 ZINC001187918720 833984203 /nfs/dbraw/zinc/98/42/03/833984203.db2.gz MIYVDLMQOFWJRO-UHFFFAOYSA-N -1 1 339.464 -0.458 20 0 EBADMM NC(=O)C([N-]S(=O)(=O)c1ccc(Cl)nc1Cl)C(N)=O ZINC001187979956 833989627 /nfs/dbraw/zinc/98/96/27/833989627.db2.gz PIXOERIEBCQKBH-UHFFFAOYSA-N -1 1 327.149 -0.994 20 0 EBADMM CCOC(=O)[C@H]([N-]S(=O)(=O)CCC(=O)OC)C1CCOCC1 ZINC001188409896 834044696 /nfs/dbraw/zinc/04/46/96/834044696.db2.gz RNSVDKWOQGCYSS-GFCCVEGCSA-N -1 1 337.394 -0.173 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)c1cccc(F)n1 ZINC001188721881 834078800 /nfs/dbraw/zinc/07/88/00/834078800.db2.gz VMSGSJFHHBVQSX-NSHDSACASA-N -1 1 349.322 -0.124 20 0 EBADMM CCC[C@H](OC)C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001188768145 834089253 /nfs/dbraw/zinc/08/92/53/834089253.db2.gz KDKXANVJXDQVGC-XQQFMLRXSA-N -1 1 337.424 -0.044 20 0 EBADMM COc1cc(C(=O)Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)nc(OC)n1 ZINC001189102150 834123707 /nfs/dbraw/zinc/12/37/07/834123707.db2.gz XPBSWYKFAPKUAU-UHFFFAOYSA-N -1 1 333.264 -0.225 20 0 EBADMM COc1cc(S(N)(=O)=O)cc(C(=O)[N-]c2nnco2)c1OC ZINC001189544912 834169175 /nfs/dbraw/zinc/16/91/75/834169175.db2.gz LWXMUASPRATTAL-UHFFFAOYSA-N -1 1 328.306 -0.014 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)COC(C)(C)C)C1 ZINC001189752248 834185906 /nfs/dbraw/zinc/18/59/06/834185906.db2.gz NTINTYKXLCRDKQ-LLVKDONJSA-N -1 1 325.413 -0.044 20 0 EBADMM CN(CCNS(C)(=O)=O)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001189788324 834192065 /nfs/dbraw/zinc/19/20/65/834192065.db2.gz VTJFEMVYOXPODW-LLVKDONJSA-N -1 1 342.421 -0.517 20 0 EBADMM Cc1[n-][nH]c2ncnc(=NC(=O)c3cncc(N4CCOCC4)n3)c1-2 ZINC001190761613 834335470 /nfs/dbraw/zinc/33/54/70/834335470.db2.gz PAHFTZLOYGUION-UHFFFAOYSA-N -1 1 340.347 -0.086 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C1(C(C)C)CC1 ZINC001213419214 837767808 /nfs/dbraw/zinc/76/78/08/837767808.db2.gz NTEXMGOFPILZOJ-VXGBXAGGSA-N -1 1 337.424 -0.140 20 0 EBADMM O=C(N[C@@H]1CN(C[C@H](O)CC(F)(F)F)C[C@H]1O)c1ncccc1[O-] ZINC001192374767 834650908 /nfs/dbraw/zinc/65/09/08/834650908.db2.gz KDUVQNIMAJTYLG-FXPVBKGRSA-N -1 1 349.309 -0.125 20 0 EBADMM COCCO[C@@H](C)C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001192632466 834705640 /nfs/dbraw/zinc/70/56/40/834705640.db2.gz PMEUJEAQJCRJCU-RYUDHWBXSA-N -1 1 341.412 -0.807 20 0 EBADMM COC[C@H](C)CC(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001193157247 834808233 /nfs/dbraw/zinc/80/82/33/834808233.db2.gz WFFMYOBECVQEQO-NEPJUHHUSA-N -1 1 325.413 -0.186 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O)C(C)(C)C ZINC001194137289 835033164 /nfs/dbraw/zinc/03/31/64/835033164.db2.gz RFKWMUPPTYVFAD-HBNTYKKESA-N -1 1 325.413 -0.548 20 0 EBADMM C[C@@H](OCC1CC1)C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001195148753 835190309 /nfs/dbraw/zinc/19/03/09/835190309.db2.gz JTXDKBBENLYADV-GFCCVEGCSA-N -1 1 337.424 -0.042 20 0 EBADMM Cc1ncc(C(=O)NCCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])n1C ZINC001196078060 835343482 /nfs/dbraw/zinc/34/34/82/835343482.db2.gz KQBHAGLFVHIEGI-NSHDSACASA-N -1 1 348.363 -0.616 20 0 EBADMM C[C@H](CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O)C(C)(C)C ZINC001198151934 835647088 /nfs/dbraw/zinc/64/70/88/835647088.db2.gz VUPOYHDZFUSQCL-IJLUTSLNSA-N -1 1 339.440 -0.158 20 0 EBADMM CCO[C@@H](C)C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001199940565 835881493 /nfs/dbraw/zinc/88/14/93/835881493.db2.gz OKBFKPGSSJPVDL-QWRGUYRKSA-N -1 1 327.385 -0.358 20 0 EBADMM O=C(COCC1CC1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001199949366 835884057 /nfs/dbraw/zinc/88/40/57/835884057.db2.gz LCBBLMPBRMWWIM-GFCCVEGCSA-N -1 1 339.396 -0.356 20 0 EBADMM CCOCCC(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001200108693 835909087 /nfs/dbraw/zinc/90/90/87/835909087.db2.gz HHLBQTWJMJIFQO-LLVKDONJSA-N -1 1 327.385 -0.356 20 0 EBADMM CCNC(=O)CC(=O)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202796492 836349454 /nfs/dbraw/zinc/34/94/54/836349454.db2.gz WZGCUASEERUMEL-NXEZZACHSA-N -1 1 336.396 -0.447 20 0 EBADMM CCCS(=O)(=O)CC(=O)N1CCC(NCc2n[nH]c(=O)[n-]2)CC1 ZINC001203748342 836473121 /nfs/dbraw/zinc/47/31/21/836473121.db2.gz HBAMZXBCHLXFDH-UHFFFAOYSA-N -1 1 345.425 -0.584 20 0 EBADMM CC(=O)N[C@@H](C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001210764114 837329517 /nfs/dbraw/zinc/32/95/17/837329517.db2.gz UZJJOWBCEGDHIB-DGCLKSJQSA-N -1 1 338.412 -0.248 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC(N2CCCNC2=O)CC1 ZINC001319384206 838122755 /nfs/dbraw/zinc/12/27/55/838122755.db2.gz YMPNSJIXSAYGFT-UHFFFAOYSA-N -1 1 349.391 -0.667 20 0 EBADMM Cn1cc(C=CC(=O)N2CCC[C@H](c3nn[n-]n3)C2)c(=O)n(C)c1=O ZINC001319663980 838163736 /nfs/dbraw/zinc/16/37/36/838163736.db2.gz ZQKUEMWIVFQFKO-OMMCCPJFSA-N -1 1 345.363 -0.984 20 0 EBADMM C[C@@H](CCNCc1nncn1C)NC(=O)c1c[n-]n2c1nccc2=O ZINC001320569240 838791885 /nfs/dbraw/zinc/79/18/85/838791885.db2.gz HLRNRHFJGSDEEI-JTQLQIEISA-N -1 1 344.379 -0.551 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCCOC1CCOCC1 ZINC001322047318 839131054 /nfs/dbraw/zinc/13/10/54/839131054.db2.gz RWXNKQIEVWBPHD-UHFFFAOYSA-N -1 1 325.365 -0.371 20 0 EBADMM Cc1nnc(CNCCCN(C)C(=O)c2n[nH]c(=O)[n-]c2=O)s1 ZINC001322594241 839212715 /nfs/dbraw/zinc/21/27/15/839212715.db2.gz YQBVCQDIRHITFO-UHFFFAOYSA-N -1 1 339.381 -0.305 20 0 EBADMM CN(C)c1nc2cc(NC(=O)C(=O)NN3CC(=O)[N-]C3=O)ccc2o1 ZINC001322981130 839313036 /nfs/dbraw/zinc/31/30/36/839313036.db2.gz KSQIWHANFVQZIH-UHFFFAOYSA-N -1 1 346.303 -0.585 20 0 EBADMM CCO[C@H]1COCC[C@@H]1NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001323004691 839318183 /nfs/dbraw/zinc/31/81/83/839318183.db2.gz JZLVGBSDSZKGDF-STQMWFEESA-N -1 1 347.375 -0.410 20 0 EBADMM Cc1conc1CNC1(CNC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001323572696 839474816 /nfs/dbraw/zinc/47/48/16/839474816.db2.gz ZCNYKDXJTJZCDG-UHFFFAOYSA-N -1 1 347.375 -0.338 20 0 EBADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)NCCOCCO ZINC001323635830 839490125 /nfs/dbraw/zinc/49/01/25/839490125.db2.gz UDRVLVPMUATGTP-UHFFFAOYSA-N -1 1 332.360 -0.192 20 0 EBADMM COc1cc(NC(=O)C(=O)NN2CC(=O)[N-]C2=O)c(C)c(OC)c1 ZINC001324878592 839728907 /nfs/dbraw/zinc/72/89/07/839728907.db2.gz LAZRNSXXNOJTEI-UHFFFAOYSA-N -1 1 336.304 -0.466 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](O)(CNC(=O)[C@H]3CC=CCC3)C2)nc1=O ZINC001325069610 839768170 /nfs/dbraw/zinc/76/81/70/839768170.db2.gz UEVXTJISLKCFOW-BLLLJJGKSA-N -1 1 335.408 -0.482 20 0 EBADMM Cc1cc(C)nc(C(=O)N(CCO)CCNCc2n[nH]c(=O)[n-]2)c1 ZINC001326052805 840016544 /nfs/dbraw/zinc/01/65/44/840016544.db2.gz NABFPPLOAQDAHV-UHFFFAOYSA-N -1 1 334.380 -0.254 20 0 EBADMM O=C([C@@H]1CCNC(=O)C1)N1CC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001326237043 840068018 /nfs/dbraw/zinc/06/80/18/840068018.db2.gz DDRVYXICBJCSIM-GHMZBOCLSA-N -1 1 336.396 -0.635 20 0 EBADMM Cn1ccc(C(=O)N2CC[C@@H](CCNCc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC001326304131 840084948 /nfs/dbraw/zinc/08/49/48/840084948.db2.gz OOIRVEWOVRDIMQ-LLVKDONJSA-N -1 1 346.391 -0.149 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCc4c[nH]nc4C3)ccnc1-2 ZINC001326778394 840197778 /nfs/dbraw/zinc/19/77/78/840197778.db2.gz ZPVNNPWOHNRBLD-UHFFFAOYSA-N -1 1 325.332 -0.412 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CC[C@H](n4cncn4)C3)ccnc1-2 ZINC001326788313 840199718 /nfs/dbraw/zinc/19/97/18/840199718.db2.gz YOAUDLTUCGCXJY-JTQLQIEISA-N -1 1 340.347 -0.655 20 0 EBADMM CC/C=C(/C)C(=O)N1CCN(C2CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001328112682 840590505 /nfs/dbraw/zinc/59/05/05/840590505.db2.gz GSUQAAUATGHNNT-ACAGNQJTSA-N -1 1 348.451 -0.207 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(CCOCCO)C1CCC1 ZINC001328373991 840665120 /nfs/dbraw/zinc/66/51/20/840665120.db2.gz MSBGDTTXXBSPGV-UHFFFAOYSA-N -1 1 327.337 -0.100 20 0 EBADMM CN(CCCO)C(=O)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC001330414808 841193511 /nfs/dbraw/zinc/19/35/11/841193511.db2.gz HXEQHAKPBOZBCX-GFCCVEGCSA-N -1 1 339.314 -0.503 20 0 EBADMM O=C([N-]CC1CN(C(=O)NCCCn2cncn2)C1)C(F)(F)F ZINC001330563158 841236700 /nfs/dbraw/zinc/23/67/00/841236700.db2.gz ICBQYLLYVCWYIG-UHFFFAOYSA-N -1 1 334.302 -0.012 20 0 EBADMM CCNS(=O)(=O)c1cccc(C(=O)NN2CC(=O)[N-]C2=O)c1 ZINC001331307568 841388904 /nfs/dbraw/zinc/38/89/04/841388904.db2.gz AAAJGRWNFFBRAL-UHFFFAOYSA-N -1 1 326.334 -0.819 20 0 EBADMM CC(C)C[C@@H](C(N)=O)C(=O)N(C)C[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001331647133 841448688 /nfs/dbraw/zinc/44/86/88/841448688.db2.gz MCBCXMPWOSQQAS-ZJUUUORDSA-N -1 1 326.401 -0.406 20 0 EBADMM COCCOCC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001332362116 841624322 /nfs/dbraw/zinc/62/43/22/841624322.db2.gz QKLXBEGLRLPPQB-SNVBAGLBSA-N -1 1 327.385 -0.500 20 0 EBADMM COCCOCC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001332362115 841624425 /nfs/dbraw/zinc/62/44/25/841624425.db2.gz QKLXBEGLRLPPQB-JTQLQIEISA-N -1 1 327.385 -0.500 20 0 EBADMM CCOC(=O)c1cn(CCNC(=O)CCn2cc[n-]c(=O)c2=O)cn1 ZINC001332870432 841756004 /nfs/dbraw/zinc/75/60/04/841756004.db2.gz VIDBUHVRSVSLTH-UHFFFAOYSA-N -1 1 349.347 -0.884 20 0 EBADMM CO[N-]C(=O)CNC(=O)C(=O)N[C@H]1CCN([C@H](C)c2ccccc2)C1 ZINC001334601687 842092482 /nfs/dbraw/zinc/09/24/82/842092482.db2.gz BABFKHDAMARWSC-OCCSQVGLSA-N -1 1 348.403 -0.268 20 0 EBADMM Cn1cc(/C=C\C(=O)N2CCN([C@@]3(C(=O)[O-])CCOC3)CC2)cn1 ZINC001335428790 842278118 /nfs/dbraw/zinc/27/81/18/842278118.db2.gz HULSVPWLAVKGLT-YPQKKHBXSA-N -1 1 334.376 -0.179 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2CCN(C(=O)c3ccccc3)CC2)CNCCO1 ZINC001335844874 842363481 /nfs/dbraw/zinc/36/34/81/842363481.db2.gz YIWIAOFHUCBBIP-KRWDZBQOSA-N -1 1 347.371 -0.586 20 0 EBADMM C[C@H](CN(C)C(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)c1ccon1 ZINC001337314115 842601518 /nfs/dbraw/zinc/60/15/18/842601518.db2.gz UMAZAGQVBACRDW-SNVBAGLBSA-N -1 1 349.347 -0.808 20 0 EBADMM CNC(=O)CC(=O)NC[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001338350002 842786074 /nfs/dbraw/zinc/78/60/74/842786074.db2.gz LHHBZKYCEHLIFI-QWRGUYRKSA-N -1 1 334.376 -0.062 20 0 EBADMM Cc1cc(NC(=O)C(=O)[N-]OCC(N)=O)ccc1N1CCN(C)CC1 ZINC001338724922 842865818 /nfs/dbraw/zinc/86/58/18/842865818.db2.gz XEZWAQMPSGQHOG-UHFFFAOYSA-N -1 1 349.391 -0.782 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2CCC[C@H](OCC(F)(F)F)C2)CNCCO1 ZINC001341465265 843091313 /nfs/dbraw/zinc/09/13/13/843091313.db2.gz NYNFKEYUUUBAMO-CABZTGNLSA-N -1 1 340.298 -0.001 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2Cc3ncccc3N3CCC[C@H]3C2)CNCCO1 ZINC001341470890 843091363 /nfs/dbraw/zinc/09/13/63/843091363.db2.gz YWVZJBBJCNTTPM-YVEFUNNKSA-N -1 1 346.387 -0.164 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2CCN(Cc3ccsc3)CC2)CNCCO1 ZINC001341540945 843096488 /nfs/dbraw/zinc/09/64/88/843096488.db2.gz VNZZOTPVFIJMFV-HNNXBMFYSA-N -1 1 339.417 -0.165 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2CCCN(c3nccs3)CC2)CNCCO1 ZINC001341672291 843105048 /nfs/dbraw/zinc/10/50/48/843105048.db2.gz CPAQQGWMOPNPPE-CQSZACIVSA-N -1 1 340.405 -0.375 20 0 EBADMM CCO[N-]C(=O)CNC(=O)C(=O)N1CCN(C2CCCC2)CC1 ZINC001346416628 843517306 /nfs/dbraw/zinc/51/73/06/843517306.db2.gz XVQNHSUHGMSNHL-UHFFFAOYSA-N -1 1 326.397 -0.743 20 0 EBADMM O=C(Nc1ccc([C@H]2CCOC2)cc1)C(=O)NN1CC(=O)[N-]C1=O ZINC001347667829 843635972 /nfs/dbraw/zinc/63/59/72/843635972.db2.gz HDOVAKQCSAUULG-JTQLQIEISA-N -1 1 332.316 -0.288 20 0 EBADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CC2(C1)C[C@H](O)CNC2=O ZINC001348329585 843683036 /nfs/dbraw/zinc/68/30/36/843683036.db2.gz XFWKULVKWHFWAH-VIFPVBQESA-N -1 1 333.373 -0.659 20 0 EBADMM CC(C)(C(=O)NCCNC(=O)c1ncccc1[O-])N1CCOCC1 ZINC001348838834 843755733 /nfs/dbraw/zinc/75/57/33/843755733.db2.gz MOJTZFXOWQOMRL-UHFFFAOYSA-N -1 1 336.392 -0.256 20 0 EBADMM COC1(CC(=O)NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)CCC1 ZINC001348868795 843762437 /nfs/dbraw/zinc/76/24/37/843762437.db2.gz OXNJFWTVUIUJNL-UHFFFAOYSA-N -1 1 348.363 -0.777 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNC(=O)[C@H]1CC12CCCC2 ZINC001349340547 843888525 /nfs/dbraw/zinc/88/85/25/843888525.db2.gz XTZASFONJCPIJL-GFCCVEGCSA-N -1 1 348.403 -0.261 20 0 EBADMM CCN1C[C@H](CNC(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1=O ZINC001349573502 843919185 /nfs/dbraw/zinc/91/91/85/843919185.db2.gz OYJWUOXYAQPOAH-JTQLQIEISA-N -1 1 344.375 -0.735 20 0 EBADMM Cn1nccc1[C@@H]1COCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001349645227 843923907 /nfs/dbraw/zinc/92/39/07/843923907.db2.gz WBCABQFDEUPRPL-LBPRGKRZSA-N -1 1 333.348 -0.740 20 0 EBADMM CC(=O)N1CCN(C(=O)c2[nH]c(=O)c(-c3nn[n-]n3)cc2C)[C@H](C)C1 ZINC001573448194 945803135 /nfs/dbraw/zinc/80/31/35/945803135.db2.gz GXAWQLPMDBYMEG-SECBINFHSA-N -1 1 345.363 -0.031 20 0 EBADMM CC(=O)N1CCOC2(CCN(c3cncc(-c4nnn[n-]4)n3)CC2)C1 ZINC001573450507 945825000 /nfs/dbraw/zinc/82/50/00/945825000.db2.gz IDDSIDAZGLNGFN-UHFFFAOYSA-N -1 1 344.379 -0.126 20 0 EBADMM CC(=O)N1CCOC2(CCN(c3cncc(-c4nn[n-]n4)n3)CC2)C1 ZINC001573450507 945825019 /nfs/dbraw/zinc/82/50/19/945825019.db2.gz IDDSIDAZGLNGFN-UHFFFAOYSA-N -1 1 344.379 -0.126 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)C[C@@H](C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001573467354 945979160 /nfs/dbraw/zinc/97/91/60/945979160.db2.gz CEJRODJQTNLNCJ-RKDXNWHRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)C[C@@H](C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001573467354 945979176 /nfs/dbraw/zinc/97/91/76/945979176.db2.gz CEJRODJQTNLNCJ-RKDXNWHRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)[C@@H](C)CNc1nccnc1-c1nnn[n-]1 ZINC001573467358 945979344 /nfs/dbraw/zinc/97/93/44/945979344.db2.gz CHVHLSHYLOPRAG-DTWKUNHWSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)[C@@H](C)CNc1nccnc1-c1nn[n-]n1 ZINC001573467358 945979353 /nfs/dbraw/zinc/97/93/53/945979353.db2.gz CHVHLSHYLOPRAG-DTWKUNHWSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)CCN(C)c1nccnc1-c1nnn[n-]1 ZINC001573469247 946004682 /nfs/dbraw/zinc/00/46/82/946004682.db2.gz UIMCUFRTTVRZTC-VIFPVBQESA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)CCN(C)c1nccnc1-c1nn[n-]n1 ZINC001573469247 946004696 /nfs/dbraw/zinc/00/46/96/946004696.db2.gz UIMCUFRTTVRZTC-VIFPVBQESA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@@H](C)CCNc1ccc(-c2nnn[n-]2)nn1 ZINC001573476090 946112294 /nfs/dbraw/zinc/11/22/94/946112294.db2.gz YUOSMFHIIYSFJU-DTWKUNHWSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@@H](C)CCNc1ccc(-c2nn[n-]n2)nn1 ZINC001573476090 946112301 /nfs/dbraw/zinc/11/23/01/946112301.db2.gz YUOSMFHIIYSFJU-DTWKUNHWSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NCC[C@H](C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001573482023 946188942 /nfs/dbraw/zinc/18/89/42/946188942.db2.gz SYNUFKDCWGNZMG-IUCAKERBSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NCC[C@H](C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001573482023 946188950 /nfs/dbraw/zinc/18/89/50/946188950.db2.gz SYNUFKDCWGNZMG-IUCAKERBSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@H](C)C(=O)Nc1ncc2c(c1-c1nn[n-]n1)COCC2 ZINC001573486697 946243737 /nfs/dbraw/zinc/24/37/37/946243737.db2.gz IUCMMVBQJUJPTL-SSDOTTSWSA-N -1 1 331.336 -0.203 20 0 EBADMM CC(=O)NCC(=O)N1CCC[C@H]1CNc1cncc(-c2nnn[n-]2)n1 ZINC001573503686 946396590 /nfs/dbraw/zinc/39/65/90/946396590.db2.gz BCEBXBUMICGLNW-JTQLQIEISA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)NCC(=O)N1CCC[C@H]1CNc1cncc(-c2nn[n-]n2)n1 ZINC001573503686 946396599 /nfs/dbraw/zinc/39/65/99/946396599.db2.gz BCEBXBUMICGLNW-JTQLQIEISA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)CCNc1cncc(-c2nnn[n-]2)n1 ZINC001573510257 946474535 /nfs/dbraw/zinc/47/45/35/946474535.db2.gz CUAPVGBAUBONAN-QMMMGPOBSA-N -1 1 333.356 -0.901 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)CCNc1cncc(-c2nn[n-]n2)n1 ZINC001573510257 946474543 /nfs/dbraw/zinc/47/45/43/946474543.db2.gz CUAPVGBAUBONAN-QMMMGPOBSA-N -1 1 333.356 -0.901 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)C[C@@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001573511429 946485294 /nfs/dbraw/zinc/48/52/94/946485294.db2.gz PWMHQRNKABDLIC-DTWKUNHWSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)C[C@@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001573511429 946485299 /nfs/dbraw/zinc/48/52/99/946485299.db2.gz PWMHQRNKABDLIC-DTWKUNHWSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NCC(=O)NC[C@H]1C[C@@H](Nc2cncc(-c3nnn[n-]3)n2)C1 ZINC001573520021 946590645 /nfs/dbraw/zinc/59/06/45/946590645.db2.gz AFYIUDUDGWINEJ-AOOOYVTPSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)NC[C@H]1C[C@@H](Nc2cncc(-c3nn[n-]n3)n2)C1 ZINC001573520021 946590650 /nfs/dbraw/zinc/59/06/50/946590650.db2.gz AFYIUDUDGWINEJ-AOOOYVTPSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NC[C@@H]1CCCN(C(=O)[C@H](C)n2cnc(-c3nn[n-]n3)n2)C1 ZINC001573530699 946700745 /nfs/dbraw/zinc/70/07/45/946700745.db2.gz XLKUEZIMPYYBKR-ONGXEEELSA-N -1 1 347.383 -0.606 20 0 EBADMM CC(=O)c1cn(CC(=O)NCc2nc(-c3nnn[n-]3)cs2)nn1 ZINC001573557981 946934174 /nfs/dbraw/zinc/93/41/74/946934174.db2.gz DRJXXZZCOAYUPY-UHFFFAOYSA-N -1 1 333.337 -0.566 20 0 EBADMM CC(=O)c1cn(CC(=O)NCc2nc(-c3nn[n-]n3)cs2)nn1 ZINC001573557981 946934181 /nfs/dbraw/zinc/93/41/81/946934181.db2.gz DRJXXZZCOAYUPY-UHFFFAOYSA-N -1 1 333.337 -0.566 20 0 EBADMM CC(=O)c1cn(CC(=O)Nc2n[nH]c(C3CC3)c2-c2nn[n-]n2)nn1 ZINC001573558748 946943463 /nfs/dbraw/zinc/94/34/63/946943463.db2.gz PCWBARNHOJIENJ-UHFFFAOYSA-N -1 1 342.323 -0.100 20 0 EBADMM CC(=O)c1cn(CC(=O)Nc2c(-c3nnn[n-]3)c(C)nn2C)nn1 ZINC001573559068 946946985 /nfs/dbraw/zinc/94/69/85/946946985.db2.gz XNOFFZPTDHSFPK-UHFFFAOYSA-N -1 1 330.312 -0.659 20 0 EBADMM CC(=O)c1cn(CC(=O)Nc2c(-c3nn[n-]n3)c(C)nn2C)nn1 ZINC001573559068 946946990 /nfs/dbraw/zinc/94/69/90/946946990.db2.gz XNOFFZPTDHSFPK-UHFFFAOYSA-N -1 1 330.312 -0.659 20 0 EBADMM C[C@@H](C(=O)N(C)C)[N@@H+]1CC[C@@H](NC(=O)c2c[nH]c(-c3nn[nH]n3)c2)C1 ZINC001573593611 947373589 /nfs/dbraw/zinc/37/35/89/947373589.db2.gz LAOBJAHEQJBLCR-GXSJLCMTSA-N -1 1 346.395 -0.524 20 0 EBADMM C[C@@H](C(=O)N1CC[C@H](c2cc(=O)[nH][nH]2)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573623399 947686445 /nfs/dbraw/zinc/68/64/45/947686445.db2.gz FHNZLNZRVNEXNY-YUMQZZPRSA-N -1 1 344.339 -0.536 20 0 EBADMM C[C@@H](C(=O)N1CC[C@]2(CCOC2=O)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573629273 947777310 /nfs/dbraw/zinc/77/73/10/947777310.db2.gz NEERUOXLWMASKM-SDBXPKJASA-N -1 1 332.324 -0.815 20 0 EBADMM CC(=O)CCCC(=O)N1CC[C@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001573376330 947834713 /nfs/dbraw/zinc/83/47/13/947834713.db2.gz YVBFYLUEHFWCEB-NSHDSACASA-N -1 1 344.379 -0.149 20 0 EBADMM CC(=O)CCCC(=O)NC[C@@H](CO)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001573381921 947879926 /nfs/dbraw/zinc/87/99/26/947879926.db2.gz ZQRQPAFUCAUZHA-JTQLQIEISA-N -1 1 348.367 -0.695 20 0 EBADMM CC(=O)CCCC(=O)NC[C@@H](CO)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001573381921 947879936 /nfs/dbraw/zinc/87/99/36/947879936.db2.gz ZQRQPAFUCAUZHA-JTQLQIEISA-N -1 1 348.367 -0.695 20 0 EBADMM CC(=O)CN(CC(C)C)C(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001573383795 947895687 /nfs/dbraw/zinc/89/56/87/947895687.db2.gz AHGDUDBIXOLOCK-UHFFFAOYSA-N -1 1 349.351 -0.797 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)[C@@H](C)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001573388610 947921061 /nfs/dbraw/zinc/92/10/61/947921061.db2.gz MSBZCWDFSPCGJI-VIFPVBQESA-N -1 1 347.383 -0.606 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)[C@@H](C)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001573388610 947921069 /nfs/dbraw/zinc/92/10/69/947921069.db2.gz MSBZCWDFSPCGJI-VIFPVBQESA-N -1 1 347.383 -0.606 20 0 EBADMM C[C@H](C(=O)N[C@@H]([C@H](CO)C1CC1)C1CC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573652049 947927920 /nfs/dbraw/zinc/92/79/20/947927920.db2.gz ACSGKUKXVYEEPS-GGZOMVNGSA-N -1 1 346.395 -0.068 20 0 EBADMM CC(=O)N(C)CC(=O)NCC=CCNc1cnc(-c2nnn[n-]2)cn1 ZINC001573404938 948036136 /nfs/dbraw/zinc/03/61/36/948036136.db2.gz LFHZPCGYXNTGGZ-ARJAWSKDSA-N -1 1 345.367 -0.781 20 0 EBADMM CC(=O)N(C)CC(=O)NCC=CCNc1cnc(-c2nn[n-]n2)cn1 ZINC001573404938 948036146 /nfs/dbraw/zinc/03/61/46/948036146.db2.gz LFHZPCGYXNTGGZ-ARJAWSKDSA-N -1 1 345.367 -0.781 20 0 EBADMM C[C@H](C(=O)N[C@H]1CCCn2nccc21)n1cnc(-c2nn[n-]n2)n1 ZINC001573666460 948088073 /nfs/dbraw/zinc/08/80/73/948088073.db2.gz TXZZRDWGKGRFPS-BDAKNGLRSA-N -1 1 328.340 -0.133 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CCN(CC(F)F)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573670348 948101315 /nfs/dbraw/zinc/10/13/15/948101315.db2.gz BANWBVJRBPXVDT-HTQZYQBOSA-N -1 1 341.326 -0.525 20 0 EBADMM C[C@H](C(=O)NCC(C)(C)n1ccnc1)n1cnc(-c2nn[n-]n2)n1 ZINC001573680768 948187390 /nfs/dbraw/zinc/18/73/90/948187390.db2.gz ROWJSXCBMYSKBR-SECBINFHSA-N -1 1 330.356 -0.233 20 0 EBADMM C[C@H](C(=O)NC[C@H](CO)Nc1cnc(-c2nnn[n-]2)cn1)C1CCC1 ZINC001573681576 948207858 /nfs/dbraw/zinc/20/78/58/948207858.db2.gz WONHHBDAFJJUMW-GXSJLCMTSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](C(=O)NC[C@H](CO)Nc1cnc(-c2nn[n-]n2)cn1)C1CCC1 ZINC001573681576 948207869 /nfs/dbraw/zinc/20/78/69/948207869.db2.gz WONHHBDAFJJUMW-GXSJLCMTSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](C(=O)NCC(=O)c1cncs1)n1cnc(-c2nn[n-]n2)n1 ZINC001573681808 948215175 /nfs/dbraw/zinc/21/51/75/948215175.db2.gz ZFPCRXDRCZLGMY-LURJTMIESA-N -1 1 333.337 -0.525 20 0 EBADMM C[C@@H](C(=O)NC[C@H](CO)Nc1ccc(-c2nnn[n-]2)nn1)C1CCC1 ZINC001573681910 948216698 /nfs/dbraw/zinc/21/66/98/948216698.db2.gz YTAJFANFGOQKPJ-MWLCHTKSSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](C(=O)NC[C@H](CO)Nc1ccc(-c2nn[n-]n2)nn1)C1CCC1 ZINC001573681910 948216705 /nfs/dbraw/zinc/21/67/05/948216705.db2.gz YTAJFANFGOQKPJ-MWLCHTKSSA-N -1 1 346.395 -0.018 20 0 EBADMM CC(=O)N1CC[C@@]2(C1)CN(c1cncc(-c3nnn[n-]3)n1)CCO2 ZINC001573438674 948237339 /nfs/dbraw/zinc/23/73/39/948237339.db2.gz DWOLRKPQNRCVSD-CQSZACIVSA-N -1 1 330.352 -0.516 20 0 EBADMM CC(=O)N1CC[C@@]2(C1)CN(c1cncc(-c3nn[n-]n3)n1)CCO2 ZINC001573438674 948237349 /nfs/dbraw/zinc/23/73/49/948237349.db2.gz DWOLRKPQNRCVSD-CQSZACIVSA-N -1 1 330.352 -0.516 20 0 EBADMM C[C@H](C(=O)NC[C@@H](O)CNc1ccc(-c2nnn[n-]2)nn1)C1CC1 ZINC001573692183 948256813 /nfs/dbraw/zinc/25/68/13/948256813.db2.gz MWWIRBZAVNHQEE-WPRPVWTQSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@H](C(=O)NC[C@@H](O)CNc1ccc(-c2nn[n-]n2)nn1)C1CC1 ZINC001573692183 948256822 /nfs/dbraw/zinc/25/68/22/948256822.db2.gz MWWIRBZAVNHQEE-WPRPVWTQSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@H](C(=O)NC[C@@H](O)CNc1ccc(-c2nnn[n-]2)nn1)C1CCC1 ZINC001573693876 948289863 /nfs/dbraw/zinc/28/98/63/948289863.db2.gz UVIWTWKMRZTZQP-ONGXEEELSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](C(=O)NC[C@@H](O)CNc1ccc(-c2nn[n-]n2)nn1)C1CCC1 ZINC001573693876 948289872 /nfs/dbraw/zinc/28/98/72/948289872.db2.gz UVIWTWKMRZTZQP-ONGXEEELSA-N -1 1 346.395 -0.018 20 0 EBADMM CC(C)n1cnnc1CNC(=O)[C@@H](C)n1cnc(-c2nn[n-]n2)n1 ZINC001573728402 948561958 /nfs/dbraw/zinc/56/19/58/948561958.db2.gz DZWUYYJNYKGIAX-MRVPVSSYSA-N -1 1 331.344 -0.492 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nnn[n-]1)NC(=O)[C@H](C)n1cncn1 ZINC001573731048 948586910 /nfs/dbraw/zinc/58/69/10/948586910.db2.gz CQVKWQCYRSMVID-IUCAKERBSA-N -1 1 343.355 -0.574 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nn[n-]n1)NC(=O)[C@H](C)n1cncn1 ZINC001573731048 948586916 /nfs/dbraw/zinc/58/69/16/948586916.db2.gz CQVKWQCYRSMVID-IUCAKERBSA-N -1 1 343.355 -0.574 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CN(c2ccc(-c3nnn[n-]3)nn2)C[C@@H]1O)C1CC1 ZINC001573740078 948627539 /nfs/dbraw/zinc/62/75/39/948627539.db2.gz DGSOAIRMFAIOEC-AXTRIDKLSA-N -1 1 344.379 -0.632 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CN(c2ccc(-c3nn[n-]n3)nn2)C[C@@H]1O)C1CC1 ZINC001573740078 948627545 /nfs/dbraw/zinc/62/75/45/948627545.db2.gz DGSOAIRMFAIOEC-AXTRIDKLSA-N -1 1 344.379 -0.632 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)NCc1cc(=O)cc[nH]1 ZINC001570926859 948698776 /nfs/dbraw/zinc/69/87/76/948698776.db2.gz GOIXCAAFFHNJGR-UHFFFAOYSA-N -1 1 327.304 -0.106 20 0 EBADMM Cn1nncc1S(=O)(=O)NCc1ccc(-c2nn[n-]n2)s1 ZINC001570926950 948700756 /nfs/dbraw/zinc/70/07/56/948700756.db2.gz IJVDORNQUQIKCB-UHFFFAOYSA-N -1 1 326.367 -0.465 20 0 EBADMM O=C(c1[nH]c2ccccc2c1-c1nn[n-]n1)N1C[C@H](O)[C@@H](CO)C1 ZINC001570927004 948702704 /nfs/dbraw/zinc/70/27/04/948702704.db2.gz MPYDEYDMMIMQKX-KCJUWKMLSA-N -1 1 328.332 -0.227 20 0 EBADMM Cn1[nH]c(C2CCN(c3ccc(-c4nnn[n-]4)nn3)CC2)nc1=N ZINC001570928186 948728862 /nfs/dbraw/zinc/72/88/62/948728862.db2.gz UNZSYVOMUGOILM-UHFFFAOYSA-N -1 1 327.356 -0.418 20 0 EBADMM Cn1[nH]c(C2CCN(c3ccc(-c4nn[n-]n4)nn3)CC2)nc1=N ZINC001570928186 948728866 /nfs/dbraw/zinc/72/88/66/948728866.db2.gz UNZSYVOMUGOILM-UHFFFAOYSA-N -1 1 327.356 -0.418 20 0 EBADMM COC(=O)c1cn(CCNC(=O)c2coc(-c3nnn[n-]3)c2)nn1 ZINC001570928555 948735221 /nfs/dbraw/zinc/73/52/21/948735221.db2.gz HBHSCCNPYSOEBN-UHFFFAOYSA-N -1 1 332.280 -0.732 20 0 EBADMM COC(=O)c1cn(CCNC(=O)c2coc(-c3nn[n-]n3)c2)nn1 ZINC001570928555 948735225 /nfs/dbraw/zinc/73/52/25/948735225.db2.gz HBHSCCNPYSOEBN-UHFFFAOYSA-N -1 1 332.280 -0.732 20 0 EBADMM C[C@@H](C(=O)Nc1ccnn1[C@@H]1CCOC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573754305 948738931 /nfs/dbraw/zinc/73/89/31/948738931.db2.gz DXQATGJSNKXXEZ-DTWKUNHWSA-N -1 1 344.339 -0.184 20 0 EBADMM Cc1c(-c2nn[n-]n2)c(=O)n(Cc2nnc(C3CC3)o2)c(=O)n1C ZINC001570928958 948747169 /nfs/dbraw/zinc/74/71/69/948747169.db2.gz XTTZIZKWFDRMEN-UHFFFAOYSA-N -1 1 330.308 -0.656 20 0 EBADMM CO[C@H](C)C(=O)N1C[C@@H]2C[C@H]1CN2c1nccnc1-c1nnn[n-]1 ZINC001570928935 948747344 /nfs/dbraw/zinc/74/73/44/948747344.db2.gz XHICPOVYYVZUKV-UTLUCORTSA-N -1 1 330.352 -0.519 20 0 EBADMM CO[C@H](C)C(=O)N1C[C@@H]2C[C@H]1CN2c1nccnc1-c1nn[n-]n1 ZINC001570928935 948747350 /nfs/dbraw/zinc/74/73/50/948747350.db2.gz XHICPOVYYVZUKV-UTLUCORTSA-N -1 1 330.352 -0.519 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)N[C@@H]1CCN(C)C(=O)C1 ZINC001570929264 948760963 /nfs/dbraw/zinc/76/09/63/948760963.db2.gz KHBGMXIHHLUWAS-MRVPVSSYSA-N -1 1 331.336 -0.374 20 0 EBADMM O=c1c(-c2nn[n-]n2)cn(CCO)c(=O)n1Cc1ccc(F)cc1 ZINC001570929597 948774578 /nfs/dbraw/zinc/77/45/78/948774578.db2.gz XBFANLRYMCCTOV-UHFFFAOYSA-N -1 1 332.295 -0.630 20 0 EBADMM C[C@H](C(=O)Nc1cnc2ccnn2c1)n1cnc(-c2nn[n-]n2)n1 ZINC001573756096 948796154 /nfs/dbraw/zinc/79/61/54/948796154.db2.gz WYASNLUHQKIHLH-SSDOTTSWSA-N -1 1 325.296 -0.299 20 0 EBADMM CC(C)C(=O)N[C@@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C[C@H]1O ZINC001570930978 948830091 /nfs/dbraw/zinc/83/00/91/948830091.db2.gz RGRCBJMZVABAPU-MWLCHTKSSA-N -1 1 332.368 -0.632 20 0 EBADMM CC(C)C(=O)N[C@@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C[C@H]1O ZINC001570930978 948830113 /nfs/dbraw/zinc/83/01/13/948830113.db2.gz RGRCBJMZVABAPU-MWLCHTKSSA-N -1 1 332.368 -0.632 20 0 EBADMM CC(F)(F)CC(=O)NC[C@@H](O)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575232613 948880087 /nfs/dbraw/zinc/88/00/87/948880087.db2.gz FMXMPAQTQVZGOD-ZETCQYMHSA-N -1 1 342.310 -0.409 20 0 EBADMM CC(F)(F)CC(=O)NC[C@@H](O)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575232613 948880096 /nfs/dbraw/zinc/88/00/96/948880096.db2.gz FMXMPAQTQVZGOD-ZETCQYMHSA-N -1 1 342.310 -0.409 20 0 EBADMM CN(C[C@@H]1CCCC[C@@H]1O)c1[nH]c(=O)n(C)c(=O)c1-c1nn[n-]n1 ZINC001570933244 948882700 /nfs/dbraw/zinc/88/27/00/948882700.db2.gz KDIYLQXMOAMIAY-IUCAKERBSA-N -1 1 335.368 -0.759 20 0 EBADMM CC(F)(F)CC(=O)NC[C@H](CO)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575233106 948884739 /nfs/dbraw/zinc/88/47/39/948884739.db2.gz GWSMHYODEASWSV-SSDOTTSWSA-N -1 1 342.310 -0.409 20 0 EBADMM CC(F)(F)CC(=O)NC[C@H](CO)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575233106 948884755 /nfs/dbraw/zinc/88/47/55/948884755.db2.gz GWSMHYODEASWSV-SSDOTTSWSA-N -1 1 342.310 -0.409 20 0 EBADMM COC(=O)c1sccc1NC(=O)Cn1cc(-c2nn[n-]n2)nn1 ZINC001570933652 948903981 /nfs/dbraw/zinc/90/39/81/948903981.db2.gz DHFDBOBXEBYKDA-UHFFFAOYSA-N -1 1 334.321 -0.055 20 0 EBADMM CC[C@H](O)[C@@H](C)C(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC001570933725 948906615 /nfs/dbraw/zinc/90/66/15/948906615.db2.gz DDHCWZOIDCDKAT-SCZZXKLOSA-N -1 1 336.400 -0.030 20 0 EBADMM CC[C@H](O)[C@@H](C)C(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC001570933725 948906625 /nfs/dbraw/zinc/90/66/25/948906625.db2.gz DDHCWZOIDCDKAT-SCZZXKLOSA-N -1 1 336.400 -0.030 20 0 EBADMM Cc1ncsc1NC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570933698 948907055 /nfs/dbraw/zinc/90/70/55/948907055.db2.gz FKLBRWVJLQOGPU-UHFFFAOYSA-N -1 1 334.321 -0.467 20 0 EBADMM Cn1cnnc1COCC(=O)NCc1ccc(-c2nn[n-]n2)s1 ZINC001570933777 948909480 /nfs/dbraw/zinc/90/94/80/948909480.db2.gz GSRHIEIOVMIEPR-UHFFFAOYSA-N -1 1 334.365 -0.110 20 0 EBADMM C[C@@H](CNC(=O)CCn1cccn1)Nc1nccnc1-c1nnn[n-]1 ZINC001574923465 948949832 /nfs/dbraw/zinc/94/98/32/948949832.db2.gz NHJNIDOQMZEQPL-JTQLQIEISA-N -1 1 342.367 -0.140 20 0 EBADMM C[C@@H](CNC(=O)CCn1cccn1)Nc1nccnc1-c1nn[n-]n1 ZINC001574923465 948949839 /nfs/dbraw/zinc/94/98/39/948949839.db2.gz NHJNIDOQMZEQPL-JTQLQIEISA-N -1 1 342.367 -0.140 20 0 EBADMM CC(C)c1nncn1CCNc1[nH]c(=O)n(C)c(=O)c1-c1nn[n-]n1 ZINC001574435484 948995007 /nfs/dbraw/zinc/99/50/07/948995007.db2.gz VQOYTTPSLVXDGT-UHFFFAOYSA-N -1 1 346.355 -0.507 20 0 EBADMM CO[C@H]1COCC[C@H]1CNC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC001570936144 949012923 /nfs/dbraw/zinc/01/29/23/949012923.db2.gz WENOCFUZXJSFBX-ONGXEEELSA-N -1 1 336.352 -0.127 20 0 EBADMM CO[C@H]1COCC[C@H]1CNC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC001570936144 949012939 /nfs/dbraw/zinc/01/29/39/949012939.db2.gz WENOCFUZXJSFBX-ONGXEEELSA-N -1 1 336.352 -0.127 20 0 EBADMM O=C(c1cnon1)N1C[C@@H]2C[C@H]1CN2c1nccnc1-c1nnn[n-]1 ZINC001570938062 949094102 /nfs/dbraw/zinc/09/41/02/949094102.db2.gz NCMNUOQUWMXRAS-YUMQZZPRSA-N -1 1 340.307 -0.857 20 0 EBADMM O=C(c1cnon1)N1C[C@@H]2C[C@H]1CN2c1nccnc1-c1nn[n-]n1 ZINC001570938062 949094115 /nfs/dbraw/zinc/09/41/15/949094115.db2.gz NCMNUOQUWMXRAS-YUMQZZPRSA-N -1 1 340.307 -0.857 20 0 EBADMM O=C(NCCn1cnc(-c2nn[n-]n2)n1)c1cc2n(n1)CCCC2=O ZINC001570938515 949106082 /nfs/dbraw/zinc/10/60/82/949106082.db2.gz DVAYXWWTQVERBU-UHFFFAOYSA-N -1 1 342.323 -0.939 20 0 EBADMM Cn1cncc1C(=O)N1CC[C@H](Nc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001570938731 949114540 /nfs/dbraw/zinc/11/45/40/949114540.db2.gz XUGJXGZCGSJWNS-VIFPVBQESA-N -1 1 340.351 -0.283 20 0 EBADMM Cn1cncc1C(=O)N1CC[C@H](Nc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001570938731 949114552 /nfs/dbraw/zinc/11/45/52/949114552.db2.gz XUGJXGZCGSJWNS-VIFPVBQESA-N -1 1 340.351 -0.283 20 0 EBADMM Cc1nonc1C(=O)N1CC[C@@H](N=c2cc[nH]c(-c3nn[n-]n3)n2)C1 ZINC001570938892 949117161 /nfs/dbraw/zinc/11/71/61/949117161.db2.gz ISFVBCRWTJRLHE-MRVPVSSYSA-N -1 1 342.323 -0.903 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)[C@]12C[C@H]1COC2 ZINC001574696209 949124144 /nfs/dbraw/zinc/12/41/44/949124144.db2.gz NBRIBCSAJAYADG-YHAQOWFVSA-N -1 1 344.379 0.000 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)[C@]12C[C@H]1COC2 ZINC001574696209 949124153 /nfs/dbraw/zinc/12/41/53/949124153.db2.gz NBRIBCSAJAYADG-YHAQOWFVSA-N -1 1 344.379 0.000 20 0 EBADMM C[C@H]1CN(C(=O)c2cn[nH]n2)C[C@@H]1Nc1cncc(-c2nnn[n-]2)n1 ZINC001570939109 949124909 /nfs/dbraw/zinc/12/49/09/949124909.db2.gz AXQWPQFPRDCENP-XVKPBYJWSA-N -1 1 341.339 -0.653 20 0 EBADMM C[C@H]1CN(C(=O)c2cn[nH]n2)C[C@@H]1Nc1cncc(-c2nn[n-]n2)n1 ZINC001570939109 949124923 /nfs/dbraw/zinc/12/49/23/949124923.db2.gz AXQWPQFPRDCENP-XVKPBYJWSA-N -1 1 341.339 -0.653 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)[C@H]1CCC(=O)N1 ZINC001574696421 949127070 /nfs/dbraw/zinc/12/70/70/949127070.db2.gz QBUXCXFQOLQXKK-DTWKUNHWSA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)[C@H]1CCC(=O)N1 ZINC001574696421 949127085 /nfs/dbraw/zinc/12/70/85/949127085.db2.gz QBUXCXFQOLQXKK-DTWKUNHWSA-N -1 1 345.367 -0.758 20 0 EBADMM NC(=O)[C@H]1C[C@H](NS(=O)(=O)Cc2csc(-c3nn[n-]n3)c2)C1 ZINC001570939283 949135913 /nfs/dbraw/zinc/13/59/13/949135913.db2.gz BXGBYUDTOJPHMR-ZKCHVHJHSA-N -1 1 342.406 -0.389 20 0 EBADMM C[C@H]1C[C@H](Nc2cncc(-c3nnn[n-]3)n2)CN1C(=O)c1nc[nH]n1 ZINC001570939863 949156309 /nfs/dbraw/zinc/15/63/09/949156309.db2.gz HQNOZCJWBAQXBR-YUMQZZPRSA-N -1 1 341.339 -0.510 20 0 EBADMM C[C@H]1C[C@H](Nc2cncc(-c3nn[n-]n3)n2)CN1C(=O)c1nc[nH]n1 ZINC001570939863 949156327 /nfs/dbraw/zinc/15/63/27/949156327.db2.gz HQNOZCJWBAQXBR-YUMQZZPRSA-N -1 1 341.339 -0.510 20 0 EBADMM CC(C)CC(=O)N(C)C[C@H](O)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001574099726 949184244 /nfs/dbraw/zinc/18/42/44/949184244.db2.gz OGQPVZQSYKNHSF-SNVBAGLBSA-N -1 1 334.384 -0.066 20 0 EBADMM CC(C)CC(=O)N(C)C[C@H](O)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001574099726 949184255 /nfs/dbraw/zinc/18/42/55/949184255.db2.gz OGQPVZQSYKNHSF-SNVBAGLBSA-N -1 1 334.384 -0.066 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H](C)CC(N)=O)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574698374 949187619 /nfs/dbraw/zinc/18/76/19/949187619.db2.gz JUVLEHOUZLRGKP-RKDXNWHRSA-N -1 1 347.383 -0.573 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H](C)CC(N)=O)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574698374 949187631 /nfs/dbraw/zinc/18/76/31/949187631.db2.gz JUVLEHOUZLRGKP-RKDXNWHRSA-N -1 1 347.383 -0.573 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H](C)CC(N)=O)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574698418 949191819 /nfs/dbraw/zinc/19/18/19/949191819.db2.gz KLWNYJWHLHONHI-DTWKUNHWSA-N -1 1 347.383 -0.573 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H](C)CC(N)=O)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574698418 949191830 /nfs/dbraw/zinc/19/18/30/949191830.db2.gz KLWNYJWHLHONHI-DTWKUNHWSA-N -1 1 347.383 -0.573 20 0 EBADMM O=C(N1CC[C@@H](Nc2nccnc2-c2nnn[n-]2)C1)[C@]12C[C@H]1COC2 ZINC001570941050 949205318 /nfs/dbraw/zinc/20/53/18/949205318.db2.gz RVVIBLBPEGMXCN-WMFXKJRFSA-N -1 1 342.363 -0.294 20 0 EBADMM O=C(N1CC[C@@H](Nc2nccnc2-c2nn[n-]n2)C1)[C@]12C[C@H]1COC2 ZINC001570941050 949205337 /nfs/dbraw/zinc/20/53/37/949205337.db2.gz RVVIBLBPEGMXCN-WMFXKJRFSA-N -1 1 342.363 -0.294 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H](C)CC(N)=O)Nc1nccnc1-c1nnn[n-]1 ZINC001574699460 949239625 /nfs/dbraw/zinc/23/96/25/949239625.db2.gz VBBGSFDEFPOFNW-RKDXNWHRSA-N -1 1 347.383 -0.573 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H](C)CC(N)=O)Nc1nccnc1-c1nn[n-]n1 ZINC001574699460 949239656 /nfs/dbraw/zinc/23/96/56/949239656.db2.gz VBBGSFDEFPOFNW-RKDXNWHRSA-N -1 1 347.383 -0.573 20 0 EBADMM CO[C@@H]1COC[C@@H]1NS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC001570942377 949283984 /nfs/dbraw/zinc/28/39/84/949283984.db2.gz KPLHOEDAXTUZIG-VHSXEESVSA-N -1 1 343.340 -0.302 20 0 EBADMM CC(C)n1cc(CNc2[nH]c(=O)n(C)c(=O)c2-c2nn[n-]n2)nn1 ZINC001574454927 949288436 /nfs/dbraw/zinc/28/84/36/949288436.db2.gz HHYIJXFGEBISRE-UHFFFAOYSA-N -1 1 332.328 -0.550 20 0 EBADMM Cc1cc(C(=O)N2CCN(c3ccc(-c4nnn[n-]4)nn3)CC2)no1 ZINC001570942782 949309474 /nfs/dbraw/zinc/30/94/74/949309474.db2.gz WBYFUUAODCSPRH-UHFFFAOYSA-N -1 1 341.335 -0.084 20 0 EBADMM Cc1cc(C(=O)N2CCN(c3ccc(-c4nn[n-]n4)nn3)CC2)no1 ZINC001570942782 949309495 /nfs/dbraw/zinc/30/94/95/949309495.db2.gz WBYFUUAODCSPRH-UHFFFAOYSA-N -1 1 341.335 -0.084 20 0 EBADMM C[C@H](CNC(=O)Cc1ccon1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001574942691 949367277 /nfs/dbraw/zinc/36/72/77/949367277.db2.gz REJQFYPXOAQDDX-SECBINFHSA-N -1 1 343.351 -0.172 20 0 EBADMM CCn1ncc(C)c1NC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570944003 949397641 /nfs/dbraw/zinc/39/76/41/949397641.db2.gz BTDSTCHLQBQOBI-UHFFFAOYSA-N -1 1 345.323 -0.707 20 0 EBADMM C[C@H](CNC(=O)Cc1ncc[nH]1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574945541 949414166 /nfs/dbraw/zinc/41/41/66/949414166.db2.gz LXBBOVXVCBYITO-MRVPVSSYSA-N -1 1 328.340 -0.461 20 0 EBADMM C[C@H](CNC(=O)Cc1ncc[nH]1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574945541 949414176 /nfs/dbraw/zinc/41/41/76/949414176.db2.gz LXBBOVXVCBYITO-MRVPVSSYSA-N -1 1 328.340 -0.461 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1CCCOC1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574705929 949441903 /nfs/dbraw/zinc/44/19/03/949441903.db2.gz KYKSYNJTZADHNA-GHMZBOCLSA-N -1 1 346.395 -0.236 20 0 EBADMM C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)NCc1ccnc(-c2nnn[n-]2)c1 ZINC001570944902 949450154 /nfs/dbraw/zinc/45/01/54/949450154.db2.gz ZXQGZIODBUKGJT-QPUJVOFHSA-N -1 1 345.363 -0.847 20 0 EBADMM C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)NCc1ccnc(-c2nn[n-]n2)c1 ZINC001570944902 949450168 /nfs/dbraw/zinc/45/01/68/949450168.db2.gz ZXQGZIODBUKGJT-QPUJVOFHSA-N -1 1 345.363 -0.847 20 0 EBADMM C[C@H](CNC(=O)Cn1cncn1)CNc1nccnc1-c1nnn[n-]1 ZINC001574948487 949463244 /nfs/dbraw/zinc/46/32/44/949463244.db2.gz JRZBCUTTWUZHLN-SECBINFHSA-N -1 1 343.355 -0.887 20 0 EBADMM C[C@H](CNC(=O)Cn1cncn1)CNc1nccnc1-c1nn[n-]n1 ZINC001574948487 949463264 /nfs/dbraw/zinc/46/32/64/949463264.db2.gz JRZBCUTTWUZHLN-SECBINFHSA-N -1 1 343.355 -0.887 20 0 EBADMM CC(C)CC(=O)NC[C@]1(O)CCN(c2cnc(-c3nnn[n-]3)cn2)C1 ZINC001574118311 949487420 /nfs/dbraw/zinc/48/74/20/949487420.db2.gz DTJHFSRVROJLMY-OAHLLOKOSA-N -1 1 346.395 -0.240 20 0 EBADMM CC(C)CC(=O)NC[C@]1(O)CCN(c2cnc(-c3nn[n-]n3)cn2)C1 ZINC001574118311 949487433 /nfs/dbraw/zinc/48/74/33/949487433.db2.gz DTJHFSRVROJLMY-OAHLLOKOSA-N -1 1 346.395 -0.240 20 0 EBADMM C[C@H](CNC(=O)Cn1ccnc1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001574949326 949490140 /nfs/dbraw/zinc/49/01/40/949490140.db2.gz UDISWWQYMSUJER-JTQLQIEISA-N -1 1 342.367 -0.282 20 0 EBADMM CCC(=O)N1CCC[C@@H](N(CCO)c2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570945635 949494148 /nfs/dbraw/zinc/49/41/48/949494148.db2.gz ATNGZRQZGDNGGN-LLVKDONJSA-N -1 1 346.395 -0.144 20 0 EBADMM CN(C(=O)[C@H]1CCOC1)[C@H]1CCN(c2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570946202 949524137 /nfs/dbraw/zinc/52/41/37/949524137.db2.gz OEEFKJHAUXBXAQ-QWRGUYRKSA-N -1 1 344.379 -0.270 20 0 EBADMM C[C@H](NC(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)c1ccc[nH]1 ZINC001570946494 949534115 /nfs/dbraw/zinc/53/41/15/949534115.db2.gz PKIBFELOWWGUSC-QMMMGPOBSA-N -1 1 344.335 -0.275 20 0 EBADMM COC(=O)[C@H](CNC(=O)c1cccc(-c2nnn[n-]2)n1)[C@@H]1CCOC1 ZINC001570946660 949541197 /nfs/dbraw/zinc/54/11/97/949541197.db2.gz PBPPSGCEHVIKBC-NXEZZACHSA-N -1 1 346.347 -0.183 20 0 EBADMM COC(=O)[C@H](CNC(=O)c1cccc(-c2nn[n-]n2)n1)[C@@H]1CCOC1 ZINC001570946660 949541215 /nfs/dbraw/zinc/54/12/15/949541215.db2.gz PBPPSGCEHVIKBC-NXEZZACHSA-N -1 1 346.347 -0.183 20 0 EBADMM CN(C(=O)[C@@H]1CCOC1)[C@H]1CCN(c2cnc(-c3nnn[n-]3)cn2)C1 ZINC001570946934 949551543 /nfs/dbraw/zinc/55/15/43/949551543.db2.gz UASRWOROQGDOGF-MNOVXSKESA-N -1 1 344.379 -0.270 20 0 EBADMM CN(C(=O)[C@@H]1CCOC1)[C@H]1CCN(c2cnc(-c3nn[n-]n3)cn2)C1 ZINC001570946934 949551555 /nfs/dbraw/zinc/55/15/55/949551555.db2.gz UASRWOROQGDOGF-MNOVXSKESA-N -1 1 344.379 -0.270 20 0 EBADMM CO[C@@H](C(=O)N1CCN(c2ccc(-c3nnn[n-]3)nn2)CC1)C(C)C ZINC001570947099 949557798 /nfs/dbraw/zinc/55/77/98/949557798.db2.gz SMAVLRGEBDCUAC-CYBMUJFWSA-N -1 1 346.395 -0.024 20 0 EBADMM CO[C@@H](C(=O)N1CCN(c2ccc(-c3nn[n-]n3)nn2)CC1)C(C)C ZINC001570947099 949557810 /nfs/dbraw/zinc/55/78/10/949557810.db2.gz SMAVLRGEBDCUAC-CYBMUJFWSA-N -1 1 346.395 -0.024 20 0 EBADMM C[C@@H]1CN(C(=O)CC(C)(C)O)C[C@H]1Nc1ccnc(-c2nn[n-]n2)n1 ZINC001570947078 949559688 /nfs/dbraw/zinc/55/96/88/949559688.db2.gz SCQOYHXASYHHKN-NXEZZACHSA-N -1 1 346.395 -0.502 20 0 EBADMM COC(=O)[C@]12CCC[C@H]1CN(C(=O)Cn1cnc(-c3nn[n-]n3)n1)C2 ZINC001570947145 949561195 /nfs/dbraw/zinc/56/11/95/949561195.db2.gz UUFQLMVLUYSDOH-XPTSAGLGSA-N -1 1 346.351 -0.740 20 0 EBADMM COCC(=O)N1C[C@H]2CN(c3cnc(-c4nnn[n-]4)cn3)C[C@@]2(C)C1 ZINC001570947364 949568068 /nfs/dbraw/zinc/56/80/68/949568068.db2.gz YKZSZZKZBIMKEF-BMIGLBTASA-N -1 1 344.379 -0.412 20 0 EBADMM COCC(=O)N1C[C@H]2CN(c3cnc(-c4nn[n-]n4)cn3)C[C@@]2(C)C1 ZINC001570947364 949568088 /nfs/dbraw/zinc/56/80/88/949568088.db2.gz YKZSZZKZBIMKEF-BMIGLBTASA-N -1 1 344.379 -0.412 20 0 EBADMM CO[C@H](C)C(=O)N1CC[C@H](CN(C)c2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570947459 949573337 /nfs/dbraw/zinc/57/33/37/949573337.db2.gz WCLOMRJQTXLWKO-GHMZBOCLSA-N -1 1 346.395 -0.024 20 0 EBADMM C[C@H](C(=O)NC1(CC(N)=O)CCCCC1)n1cnc(-c2nn[n-]n2)n1 ZINC001570948329 949603161 /nfs/dbraw/zinc/60/31/61/949603161.db2.gz KSNFWSQOCSDGGR-SECBINFHSA-N -1 1 347.383 -0.286 20 0 EBADMM C[C@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574957682 949629461 /nfs/dbraw/zinc/62/94/61/949629461.db2.gz FZJRBUUCADGKEC-XBWDGYHZSA-N -1 1 330.352 -0.534 20 0 EBADMM C[C@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574957682 949629476 /nfs/dbraw/zinc/62/94/76/949629476.db2.gz FZJRBUUCADGKEC-XBWDGYHZSA-N -1 1 330.352 -0.534 20 0 EBADMM C[C@H](CNC(=O)[C@]12C[C@H]1COC2)Nc1nccnc1-c1nnn[n-]1 ZINC001574957806 949634505 /nfs/dbraw/zinc/63/45/05/949634505.db2.gz ITAMWTUMQYLEGX-ITMYJUKJSA-N -1 1 330.352 -0.390 20 0 EBADMM C[C@H](CNC(=O)[C@]12C[C@H]1COC2)Nc1nccnc1-c1nn[n-]n1 ZINC001574957806 949634519 /nfs/dbraw/zinc/63/45/19/949634519.db2.gz ITAMWTUMQYLEGX-ITMYJUKJSA-N -1 1 330.352 -0.390 20 0 EBADMM C[C@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574958162 949656462 /nfs/dbraw/zinc/65/64/62/949656462.db2.gz MSDNJEZURSIYRT-XBWDGYHZSA-N -1 1 330.352 -0.534 20 0 EBADMM C[C@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574958162 949656482 /nfs/dbraw/zinc/65/64/82/949656482.db2.gz MSDNJEZURSIYRT-XBWDGYHZSA-N -1 1 330.352 -0.534 20 0 EBADMM C[C@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)CNc1nccnc1-c1nnn[n-]1 ZINC001574958165 949658014 /nfs/dbraw/zinc/65/80/14/949658014.db2.gz MUFGCFXKSWKTQH-UKKRHICBSA-N -1 1 344.379 -0.287 20 0 EBADMM C[C@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)CNc1nccnc1-c1nn[n-]n1 ZINC001574958165 949658026 /nfs/dbraw/zinc/65/80/26/949658026.db2.gz MUFGCFXKSWKTQH-UKKRHICBSA-N -1 1 344.379 -0.287 20 0 EBADMM COC(=O)CC1CC(Nc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)C1 ZINC001570950843 949710383 /nfs/dbraw/zinc/71/03/83/949710383.db2.gz WUWZXMWNIZNMGN-UHFFFAOYSA-N -1 1 349.351 -0.982 20 0 EBADMM CC(C)[C@@H](O)C(=O)NC[C@H](C)CNc1nccnc1-c1nnn[n-]1 ZINC001574962896 949745729 /nfs/dbraw/zinc/74/57/29/949745729.db2.gz DPUGCUUYOPFMSB-MWLCHTKSSA-N -1 1 334.384 -0.162 20 0 EBADMM CC(C)[C@@H](O)C(=O)NC[C@H](C)CNc1nccnc1-c1nn[n-]n1 ZINC001574962896 949745747 /nfs/dbraw/zinc/74/57/47/949745747.db2.gz DPUGCUUYOPFMSB-MWLCHTKSSA-N -1 1 334.384 -0.162 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CCC(=O)N1C)Nc1nccnc1-c1nnn[n-]1 ZINC001574964192 949768894 /nfs/dbraw/zinc/76/88/94/949768894.db2.gz RPWWZKSANBNJDZ-DTWKUNHWSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CCC(=O)N1C)Nc1nccnc1-c1nn[n-]n1 ZINC001574964192 949768911 /nfs/dbraw/zinc/76/89/11/949768911.db2.gz RPWWZKSANBNJDZ-DTWKUNHWSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CCC(=O)N1C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574964435 949775696 /nfs/dbraw/zinc/77/56/96/949775696.db2.gz WMOMIEJTWMUFBB-PSASIEDQSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CCC(=O)N1C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574964435 949775713 /nfs/dbraw/zinc/77/57/13/949775713.db2.gz WMOMIEJTWMUFBB-PSASIEDQSA-N -1 1 345.367 -0.806 20 0 EBADMM CCCN(C(=O)C(=O)N1CCO[C@@H](C(=O)[O-])C1)[C@@H]1CCN(C)C1 ZINC001589659387 949846600 /nfs/dbraw/zinc/84/66/00/949846600.db2.gz QUSFZHCWEASDNG-VXGBXAGGSA-N -1 1 327.381 -0.759 20 0 EBADMM CC1(C(=O)N[C@@H]2CCN(c3cncc(-c4nnn[n-]4)n3)C[C@@H]2O)CC1 ZINC001575569707 949928933 /nfs/dbraw/zinc/92/89/33/949928933.db2.gz NIONIUWBZFUVHF-KOLCDFICSA-N -1 1 344.379 -0.487 20 0 EBADMM CC1(C(=O)N[C@@H]2CCN(c3cncc(-c4nn[n-]n4)n3)C[C@@H]2O)CC1 ZINC001575569707 949928948 /nfs/dbraw/zinc/92/89/48/949928948.db2.gz NIONIUWBZFUVHF-KOLCDFICSA-N -1 1 344.379 -0.487 20 0 EBADMM CC1(C(=O)NC[C@H](CO)Nc2ccnc(-c3nn[n-]n3)n2)CCCC1 ZINC001575573551 949996851 /nfs/dbraw/zinc/99/68/51/949996851.db2.gz MXZTUGYCYHXQLI-SNVBAGLBSA-N -1 1 346.395 -0.452 20 0 EBADMM CC(C)(C)CC(=O)NC[C@@H](CO)Nc1nccnc1-c1nnn[n-]1 ZINC001573835770 950064524 /nfs/dbraw/zinc/06/45/24/950064524.db2.gz BWIIOABUTQDKEV-VIFPVBQESA-N -1 1 334.384 -0.018 20 0 EBADMM CC(C)(C)CC(=O)NC[C@@H](CO)Nc1nccnc1-c1nn[n-]n1 ZINC001573835770 950064544 /nfs/dbraw/zinc/06/45/44/950064544.db2.gz BWIIOABUTQDKEV-VIFPVBQESA-N -1 1 334.384 -0.018 20 0 EBADMM CC(C)(C)CC(=O)N[C@@H]1CN(c2ccnc(-c3nn[n-]n3)n2)C[C@@H]1O ZINC001573839175 950125858 /nfs/dbraw/zinc/12/58/58/950125858.db2.gz OBNFXVJKTVBQPA-ZJUUUORDSA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574734466 950135173 /nfs/dbraw/zinc/13/51/73/950135173.db2.gz NMMWYKHAVKOAJZ-QISWUMQESA-N -1 1 344.379 -0.192 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574734466 950135186 /nfs/dbraw/zinc/13/51/86/950135186.db2.gz NMMWYKHAVKOAJZ-QISWUMQESA-N -1 1 344.379 -0.192 20 0 EBADMM C[C@@]1(C(=O)NCC2(Nc3ccnc(-c4nn[n-]n4)n3)CC2)CCOC1 ZINC001575583230 950142953 /nfs/dbraw/zinc/14/29/53/950142953.db2.gz PPBDYVRHVWLKGQ-CQSZACIVSA-N -1 1 344.379 -0.434 20 0 EBADMM C[C@H](CC(=O)NC[C@@H](O)CNc1ccnc(-c2nn[n-]n2)n1)C1CC1 ZINC001574498358 950270405 /nfs/dbraw/zinc/27/04/05/950270405.db2.gz MSOABJZHAZLOFU-KOLCDFICSA-N -1 1 346.395 -0.596 20 0 EBADMM CC(C)(C)OC(=O)N1C[C@@H](O)[C@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001573857075 950401346 /nfs/dbraw/zinc/40/13/46/950401346.db2.gz NDQKSLAQZDPHIM-RKDXNWHRSA-N -1 1 348.367 -0.529 20 0 EBADMM C[C@H](CN(C)C(=O)c1cn(C)cn1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574759264 950633852 /nfs/dbraw/zinc/63/38/52/950633852.db2.gz AMZWCFWZZUEYEI-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CN(C)C(=O)c1cn(C)cn1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574759264 950633861 /nfs/dbraw/zinc/63/38/61/950633861.db2.gz AMZWCFWZZUEYEI-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ccnn1C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574761629 950695520 /nfs/dbraw/zinc/69/55/20/950695520.db2.gz UIZVNDZAUMAOET-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ccnn1C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574761629 950695528 /nfs/dbraw/zinc/69/55/28/950695528.db2.gz UIZVNDZAUMAOET-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CNC(=O)c1cc[nH]c(=O)c1)Nc1nccnc1-c1nnn[n-]1 ZINC001575005666 950698766 /nfs/dbraw/zinc/69/87/66/950698766.db2.gz KGSNGVJYHAWBAN-MRVPVSSYSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@H](CNC(=O)c1cc[nH]c(=O)c1)Nc1nccnc1-c1nn[n-]n1 ZINC001575005666 950698781 /nfs/dbraw/zinc/69/87/81/950698781.db2.gz KGSNGVJYHAWBAN-MRVPVSSYSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@H](CNC(=O)c1ccn[nH]1)CNc1nccnc1-c1nnn[n-]1 ZINC001575005873 950706861 /nfs/dbraw/zinc/70/68/61/950706861.db2.gz PACVXSPLPHFIMP-QMMMGPOBSA-N -1 1 328.340 -0.142 20 0 EBADMM C[C@H](CNC(=O)c1ccn[nH]1)CNc1nccnc1-c1nn[n-]n1 ZINC001575005873 950706876 /nfs/dbraw/zinc/70/68/76/950706876.db2.gz PACVXSPLPHFIMP-QMMMGPOBSA-N -1 1 328.340 -0.142 20 0 EBADMM CC1(C)CC(C(=O)NC[C@@H](CO)Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001575628237 950712541 /nfs/dbraw/zinc/71/25/41/950712541.db2.gz ALTBHAQQIQJRQR-JTQLQIEISA-N -1 1 346.395 -0.596 20 0 EBADMM C[C@H](CN(C)C(=O)c1cnn(C)n1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574763747 950730549 /nfs/dbraw/zinc/73/05/49/950730549.db2.gz JODLRNBNQSRGKR-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CN(C)C(=O)c1cnn(C)n1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574763747 950730561 /nfs/dbraw/zinc/73/05/61/950730561.db2.gz JODLRNBNQSRGKR-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM CC1(C)CC(C(=O)NC[C@H](O)CNc2nccnc2-c2nnn[n-]2)C1 ZINC001575629317 950735593 /nfs/dbraw/zinc/73/55/93/950735593.db2.gz LYARHFHJNLUCNS-SNVBAGLBSA-N -1 1 346.395 -0.018 20 0 EBADMM CC1(C)CC(C(=O)NC[C@H](O)CNc2nccnc2-c2nn[n-]n2)C1 ZINC001575629317 950735611 /nfs/dbraw/zinc/73/56/11/950735611.db2.gz LYARHFHJNLUCNS-SNVBAGLBSA-N -1 1 346.395 -0.018 20 0 EBADMM CC(C)CCC(=O)NC[C@H](CO)Nc1nccnc1-c1nnn[n-]1 ZINC001574181451 950745976 /nfs/dbraw/zinc/74/59/76/950745976.db2.gz NOLFSCACKXHONH-SNVBAGLBSA-N -1 1 334.384 -0.018 20 0 EBADMM CC(C)CCC(=O)NC[C@H](CO)Nc1nccnc1-c1nn[n-]n1 ZINC001574181451 950745994 /nfs/dbraw/zinc/74/59/94/950745994.db2.gz NOLFSCACKXHONH-SNVBAGLBSA-N -1 1 334.384 -0.018 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cncn1C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574764689 950757205 /nfs/dbraw/zinc/75/72/05/950757205.db2.gz WLDMGNGWTXQTRU-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cncn1C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574764689 950757219 /nfs/dbraw/zinc/75/72/19/950757219.db2.gz WLDMGNGWTXQTRU-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ncn(C)n1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574766658 950821922 /nfs/dbraw/zinc/82/19/22/950821922.db2.gz KDXDNEHBMDZLLR-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ncn(C)n1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574766658 950821943 /nfs/dbraw/zinc/82/19/43/950821943.db2.gz KDXDNEHBMDZLLR-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM CC1(C)C[C@@H](NC(=O)Cn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)CCO1 ZINC001575640579 950894013 /nfs/dbraw/zinc/89/40/13/950894013.db2.gz IWXPUDZAHXQRSH-QMMMGPOBSA-N -1 1 349.351 -0.797 20 0 EBADMM C[C@H](CNC(=O)c1ccnnc1)Nc1nccnc1-c1nnn[n-]1 ZINC001575033966 951234419 /nfs/dbraw/zinc/23/44/19/951234419.db2.gz FQTPAAGCWZKFDO-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1ccnnc1)Nc1nccnc1-c1nn[n-]n1 ZINC001575033966 951234429 /nfs/dbraw/zinc/23/44/29/951234429.db2.gz FQTPAAGCWZKFDO-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@@H](CNC(=O)c1ccncc1F)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575034104 951239457 /nfs/dbraw/zinc/23/94/57/951239457.db2.gz IARJGRAVLMWKSM-QMMMGPOBSA-N -1 1 343.326 -0.157 20 0 EBADMM C[C@H](CNC(=O)c1ccn(C)n1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575034825 951257051 /nfs/dbraw/zinc/25/70/51/951257051.db2.gz FDGIIYNMWZALPC-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1ccn(C)n1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575034825 951257064 /nfs/dbraw/zinc/25/70/64/951257064.db2.gz FDGIIYNMWZALPC-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1ccnnc1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001575035298 951273086 /nfs/dbraw/zinc/27/30/86/951273086.db2.gz VWKXOFWDMXAPDK-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1ccnnc1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575035298 951273094 /nfs/dbraw/zinc/27/30/94/951273094.db2.gz VWKXOFWDMXAPDK-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1ccn(C)n1)Nc1nccnc1-c1nnn[n-]1 ZINC001575036018 951287942 /nfs/dbraw/zinc/28/79/42/951287942.db2.gz OQXHUBCRBDRYAT-MRVPVSSYSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@H](CNC(=O)c1ccn(C)n1)Nc1nccnc1-c1nn[n-]n1 ZINC001575036018 951287954 /nfs/dbraw/zinc/28/79/54/951287954.db2.gz OQXHUBCRBDRYAT-MRVPVSSYSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@H](CNC(=O)c1ccon1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575038502 951317342 /nfs/dbraw/zinc/31/73/42/951317342.db2.gz JMXAFFZGDRFBET-QMMMGPOBSA-N -1 1 329.324 -0.455 20 0 EBADMM C[C@H](CNC(=O)c1ccon1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575039206 951329166 /nfs/dbraw/zinc/32/91/66/951329166.db2.gz OTNCBBVDLRBZEP-MRVPVSSYSA-N -1 1 329.324 -0.101 20 0 EBADMM C[C@H](CNC(=O)c1ccon1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575039206 951329180 /nfs/dbraw/zinc/32/91/80/951329180.db2.gz OTNCBBVDLRBZEP-MRVPVSSYSA-N -1 1 329.324 -0.101 20 0 EBADMM C[C@H](CNC(=O)c1cn[nH]c1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575044036 951407845 /nfs/dbraw/zinc/40/78/45/951407845.db2.gz OAOHXNXEYADADN-QMMMGPOBSA-N -1 1 328.340 -0.720 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)cn1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575044364 951412548 /nfs/dbraw/zinc/41/25/48/951412548.db2.gz ZFTAUEPKKMJEPR-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)cn1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575044364 951412558 /nfs/dbraw/zinc/41/25/58/951412558.db2.gz ZFTAUEPKKMJEPR-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@@H](CNC(=O)c1cnccn1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001575045945 951435386 /nfs/dbraw/zinc/43/53/86/951435386.db2.gz JTXVKEUKDVWPAD-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1cnccn1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575045945 951435400 /nfs/dbraw/zinc/43/54/00/951435400.db2.gz JTXVKEUKDVWPAD-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1cncn1C)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001575045928 951435791 /nfs/dbraw/zinc/43/57/91/951435791.db2.gz JPBGPVGBCOOZNW-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@@H](CNC(=O)c1cncn1C)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575045928 951435794 /nfs/dbraw/zinc/43/57/94/951435794.db2.gz JPBGPVGBCOOZNW-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1cncnc1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575045900 951436358 /nfs/dbraw/zinc/43/63/58/951436358.db2.gz JHOURXKQVRXISO-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@H](CNC(=O)c1cnccn1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575046403 951441110 /nfs/dbraw/zinc/44/11/10/951441110.db2.gz NSSYZNJQFKOCAN-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@H](CNC(=O)c1cnccn1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575046403 951441112 /nfs/dbraw/zinc/44/11/12/951441112.db2.gz NSSYZNJQFKOCAN-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM O=C([O-])C(=O)N1CCN(C(=O)c2c[nH]c3ccccc3c2=O)CC1 ZINC000396709616 951483752 /nfs/dbraw/zinc/48/37/52/951483752.db2.gz NCAMPQOVUWYGDO-UHFFFAOYSA-N -1 1 329.312 -0.103 20 0 EBADMM C[C@H](CNC(=O)c1cnnn1C)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575055453 951505987 /nfs/dbraw/zinc/50/59/87/951505987.db2.gz UQOGXMWBWDLCRH-MRVPVSSYSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@H](CNC(=O)c1cnnn1C)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575055453 951505997 /nfs/dbraw/zinc/50/59/97/951505997.db2.gz UQOGXMWBWDLCRH-MRVPVSSYSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@@H](CNC(=O)c1n[nH]cc1F)Nc1nccnc1-c1nnn[n-]1 ZINC001575060776 951547665 /nfs/dbraw/zinc/54/76/65/951547665.db2.gz GJFWAGWDDHECII-LURJTMIESA-N -1 1 332.303 -0.251 20 0 EBADMM C[C@@H](CNC(=O)c1n[nH]cc1F)Nc1nccnc1-c1nn[n-]n1 ZINC001575060776 951547670 /nfs/dbraw/zinc/54/76/70/951547670.db2.gz GJFWAGWDDHECII-LURJTMIESA-N -1 1 332.303 -0.251 20 0 EBADMM C[C@@H](CNC(=O)c1n[nH]cc1F)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575062821 951570837 /nfs/dbraw/zinc/57/08/37/951570837.db2.gz ZABJYTLXHVSUFW-ZETCQYMHSA-N -1 1 346.330 -0.226 20 0 EBADMM O=C([O-])CN1CC[C@H](N2C[C@H]3C[C@@H](C2)Cn2c(=O)cccc23)C1=O ZINC001595073708 951617803 /nfs/dbraw/zinc/61/78/03/951617803.db2.gz FQORYMRBWZCPKV-SCRDCRAPSA-N -1 1 331.372 -0.047 20 0 EBADMM C[C@H](CNC(=O)c1ncn(C)n1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575067862 951625397 /nfs/dbraw/zinc/62/53/97/951625397.db2.gz PWWINEDIKSWDME-SSDOTTSWSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@H](CNC(=O)c1ncn(C)n1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575067862 951625401 /nfs/dbraw/zinc/62/54/01/951625401.db2.gz PWWINEDIKSWDME-SSDOTTSWSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@@H](CNC(=O)c1ncccn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575067921 951625639 /nfs/dbraw/zinc/62/56/39/951625639.db2.gz RXAJXEWBIGLQNT-QMMMGPOBSA-N -1 1 326.324 -0.901 20 0 EBADMM C[C@H](CNC(=O)c1ncccn1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575068020 951629490 /nfs/dbraw/zinc/62/94/90/951629490.db2.gz VPEUKZYJDLUSGY-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@H](CNC(=O)c1ncccn1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575068020 951629499 /nfs/dbraw/zinc/62/94/99/951629499.db2.gz VPEUKZYJDLUSGY-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM O=C([O-])COc1cccc(C(=O)N2CCC(O)(c3nn[nH]n3)CC2)c1 ZINC001595120410 951972221 /nfs/dbraw/zinc/97/22/21/951972221.db2.gz ZCBNDWZDNUZNJU-UHFFFAOYSA-N -1 1 347.331 -0.213 20 0 EBADMM C[C@@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)c1cncn1C ZINC001575136468 952126319 /nfs/dbraw/zinc/12/63/19/952126319.db2.gz PHKFOOZXJMNVTJ-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)c1cncn1C ZINC001575136468 952126321 /nfs/dbraw/zinc/12/63/21/952126321.db2.gz PHKFOOZXJMNVTJ-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)C[C@H]1CCCO1 ZINC001575142146 952147202 /nfs/dbraw/zinc/14/72/02/952147202.db2.gz JEPRUFCPFQMXSJ-WDEREUQCSA-N -1 1 346.395 -0.094 20 0 EBADMM O=C([O-])Cn1cccc(C(=O)N2CCN([C@H]3CCC[C@H]3O)CC2)c1=O ZINC001595153677 952152688 /nfs/dbraw/zinc/15/26/88/952152688.db2.gz FADAGBFUMOLCRT-UONOGXRCSA-N -1 1 349.387 -0.396 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)Cc1ccon1 ZINC001575143995 952161097 /nfs/dbraw/zinc/16/10/97/952161097.db2.gz SYGCNYKCFFXGFU-SECBINFHSA-N -1 1 343.351 -0.432 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1ccon1 ZINC001575144809 952171732 /nfs/dbraw/zinc/17/17/32/952171732.db2.gz MANWNOPPANTVNQ-MRVPVSSYSA-N -1 1 329.324 -0.361 20 0 EBADMM C[C@@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)c1cn(C)cn1 ZINC001575156854 952257889 /nfs/dbraw/zinc/25/78/89/952257889.db2.gz WRQXNXQDFGVNDK-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)c1cn(C)cn1 ZINC001575156854 952257895 /nfs/dbraw/zinc/25/78/95/952257895.db2.gz WRQXNXQDFGVNDK-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)c1cn(C)cn1 ZINC001575156853 952258517 /nfs/dbraw/zinc/25/85/17/952258517.db2.gz WRQXNXQDFGVNDK-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)c1cn(C)cn1 ZINC001575156853 952258524 /nfs/dbraw/zinc/25/85/24/952258524.db2.gz WRQXNXQDFGVNDK-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)c1cnnn1C ZINC001575156900 952259898 /nfs/dbraw/zinc/25/98/98/952259898.db2.gz XQBAPGOOAJTODY-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)c1cnnn1C ZINC001575156900 952259901 /nfs/dbraw/zinc/25/99/01/952259901.db2.gz XQBAPGOOAJTODY-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)c1cn[nH]n1 ZINC001575156910 952260540 /nfs/dbraw/zinc/26/05/40/952260540.db2.gz IIQDUFKQDIZBFJ-ZETCQYMHSA-N -1 1 329.328 -0.653 20 0 EBADMM C[C@@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)c1cn[nH]n1 ZINC001575156910 952260548 /nfs/dbraw/zinc/26/05/48/952260548.db2.gz IIQDUFKQDIZBFJ-ZETCQYMHSA-N -1 1 329.328 -0.653 20 0 EBADMM C[C@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)c1cn(C)nn1 ZINC001575156987 952261792 /nfs/dbraw/zinc/26/17/92/952261792.db2.gz KHWSDQULIFBPPK-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)c1cn(C)nn1 ZINC001575156987 952261797 /nfs/dbraw/zinc/26/17/97/952261797.db2.gz KHWSDQULIFBPPK-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM O=C([O-])c1cc(C(=O)N2CCC(O)(CN3CCOCC3)CC2)[nH]n1 ZINC001595207169 952336747 /nfs/dbraw/zinc/33/67/47/952336747.db2.gz PRACRWUJGZQCRE-UHFFFAOYSA-N -1 1 338.364 -0.593 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)[C@H]1COCCO1 ZINC001575174502 952373607 /nfs/dbraw/zinc/37/36/07/952373607.db2.gz PPSTVXXWQKYTAK-VHSXEESVSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)[C@H]1COCCO1 ZINC001575174502 952373616 /nfs/dbraw/zinc/37/36/16/952373616.db2.gz PPSTVXXWQKYTAK-VHSXEESVSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)c1cn[nH]n1 ZINC001575176410 952392495 /nfs/dbraw/zinc/39/24/95/952392495.db2.gz DHSKNXDDFCOJPR-ZETCQYMHSA-N -1 1 329.328 -0.653 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)c1cn[nH]n1 ZINC001575176410 952392501 /nfs/dbraw/zinc/39/25/01/952392501.db2.gz DHSKNXDDFCOJPR-ZETCQYMHSA-N -1 1 329.328 -0.653 20 0 EBADMM C[C@@H](CS(=O)(=O)NCCn1cnc(-c2nn[n-]n2)n1)C1CC1 ZINC001575185004 952426378 /nfs/dbraw/zinc/42/63/78/952426378.db2.gz JEZRDVUEJFPJOB-QMMMGPOBSA-N -1 1 326.386 -0.576 20 0 EBADMM C[C@](Cn1cccn1)(NC(=O)c1ccc(-c2nnn[n-]2)s1)C(N)=O ZINC001575206448 952529939 /nfs/dbraw/zinc/52/99/39/952529939.db2.gz JNQOYNUQMKJOAT-CYBMUJFWSA-N -1 1 346.376 -0.201 20 0 EBADMM C[C@](Cn1cccn1)(NC(=O)c1ccc(-c2nn[n-]n2)s1)C(N)=O ZINC001575206448 952529940 /nfs/dbraw/zinc/52/99/40/952529940.db2.gz JNQOYNUQMKJOAT-CYBMUJFWSA-N -1 1 346.376 -0.201 20 0 EBADMM CN(C)CCN(CC(=O)[O-])S(=O)(=O)c1cnc2c(cnn2C)c1 ZINC001593700278 954105279 /nfs/dbraw/zinc/10/52/79/954105279.db2.gz CNOQIDFTGVAGPQ-UHFFFAOYSA-N -1 1 341.393 -0.395 20 0 EBADMM C[C@H]1CN(C(=O)Cn2cc(CNCC(=O)[O-])nn2)CC(C)(C)O1 ZINC001589377994 954281298 /nfs/dbraw/zinc/28/12/98/954281298.db2.gz XWVRZATXGUSUAV-JTQLQIEISA-N -1 1 325.369 -0.522 20 0 EBADMM C[C@@H]1CN2CCN1C[C@H]2C(=O)N[C@@H](Cc1ccc(O)cc1)C(=O)[O-] ZINC001589405258 954460439 /nfs/dbraw/zinc/46/04/39/954460439.db2.gz BECKGFCOGAAPLL-UGFHNGPFSA-N -1 1 333.388 -0.108 20 0 EBADMM Cn1cc(-c2[nH]ncc2C(=O)N2C[C@@H]3COC[C@]3(C(=O)[O-])C2)cn1 ZINC001594522802 955465441 /nfs/dbraw/zinc/46/54/41/955465441.db2.gz BKLRSTDQUJCWMV-MEBBXXQBSA-N -1 1 331.332 -0.017 20 0 EBADMM C[C@H](CN(C)C(=O)C(=O)NC[C@@H]1CCN1C1CCOCC1)C(=O)[O-] ZINC001589020501 955465656 /nfs/dbraw/zinc/46/56/56/955465656.db2.gz UDMBWDGQNCZIRY-YPMHNXCESA-N -1 1 341.408 -0.465 20 0 EBADMM C[C@@H](CN(C)C(=O)C1(C(=O)[O-])CCS(=O)(=O)CC1)c1nn[nH]n1 ZINC001589022122 955480254 /nfs/dbraw/zinc/48/02/54/955480254.db2.gz KWBOHMFQWVNOFK-QMMMGPOBSA-N -1 1 345.381 -0.959 20 0 EBADMM Cn1cc([C@@H]2C[C@@H](C(=O)[O-])CN(CC(=O)N3CCOCC3)C2)cn1 ZINC001594534659 955558908 /nfs/dbraw/zinc/55/89/08/955558908.db2.gz AGRDBAUMHOKVBT-CHWSQXEVSA-N -1 1 336.392 -0.231 20 0 EBADMM C[C@@H](NC(=O)C1(C(=O)[O-])CCS(=O)(=O)CC1)C1=NN(C)CC1=O ZINC001589090944 955938855 /nfs/dbraw/zinc/93/88/55/955938855.db2.gz MCJJZRMDRZODCK-MRVPVSSYSA-N -1 1 345.377 -0.418 20 0 EBADMM COC[C@@]1(C(=O)[O-])CCN(CN2CC3(CCOCC3)[C@@H](O)C2=O)C1 ZINC001593967728 956576098 /nfs/dbraw/zinc/57/60/98/956576098.db2.gz VJRFADFBVALMGN-BLLLJJGKSA-N -1 1 342.392 -0.633 20 0 EBADMM COCCN(C)CCNC(=O)C(=O)N1CC[C@H](C(=O)[O-])[C@@H](C)C1 ZINC001593995869 956896969 /nfs/dbraw/zinc/89/69/69/956896969.db2.gz ZEIOAZKRFPXOQJ-RYUDHWBXSA-N -1 1 329.397 -0.750 20 0 EBADMM COCCN(CCC(=O)[O-])C(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC001594004715 956953077 /nfs/dbraw/zinc/95/30/77/956953077.db2.gz RWNPLAHIXTULEJ-OLZOCXBDSA-N -1 1 330.429 -0.247 20 0 EBADMM COCCN(CCC(=O)[O-])C(=O)c1cc(S(N)(=O)=O)ccc1O ZINC001594008281 956984401 /nfs/dbraw/zinc/98/44/01/956984401.db2.gz SFQJIOCFXHHHOP-UHFFFAOYSA-N -1 1 346.361 -0.397 20 0 EBADMM O=C([O-])c1ccc(S(=O)(=O)NCC(=O)N2CCNCC2)c(F)c1 ZINC000390244714 972271569 /nfs/dbraw/zinc/27/15/69/972271569.db2.gz KUOSUMOTCYJTQF-UHFFFAOYSA-N -1 1 345.352 -0.766 20 0 EBADMM Cc1nc([C@@H]2CCCN(C(=O)C(=O)N3CC[C@H](C(=O)[O-])C3)C2)n[nH]1 ZINC001594451414 959373417 /nfs/dbraw/zinc/37/34/17/959373417.db2.gz AMKXZNXQCADOSC-MNOVXSKESA-N -1 1 335.364 -0.248 20 0 EBADMM C[C@H]([C@H](C)N(C)C(=O)NC1CCN(CC(=O)[O-])CC1)S(C)(=O)=O ZINC001588607123 959482704 /nfs/dbraw/zinc/48/27/04/959482704.db2.gz PSGLXMQEVLSFJD-WDEREUQCSA-N -1 1 349.453 -0.002 20 0 EBADMM CN(C)S(=O)(=O)CCN1C[C@@H](c2cnn(C)c2)C[C@H](C(=O)[O-])C1 ZINC001604075637 972472854 /nfs/dbraw/zinc/47/28/54/972472854.db2.gz OWFCUBDSFVDMKK-RYUDHWBXSA-N -1 1 344.437 -0.198 20 0 EBADMM CS(=O)(=O)N1CCC(NC(=O)CN2CCC[C@@H](C(=O)[O-])C2)CC1 ZINC001604927479 972490175 /nfs/dbraw/zinc/49/01/75/972490175.db2.gz KQGIPZWQZORANF-LLVKDONJSA-N -1 1 347.437 -0.677 20 0 EBADMM CS(=O)(=O)C1(C(=O)NC2CCN(CC(=O)[O-])CC2)CCOCC1 ZINC001574241459 960463532 /nfs/dbraw/zinc/46/35/32/960463532.db2.gz FFARTYOYKQBFOV-UHFFFAOYSA-N -1 1 348.421 -0.755 20 0 EBADMM CN1CCN(C2CN(C(=O)CC[C@@H]3C[C@H](C(=O)[O-])CO3)C2)CC1 ZINC001571167909 962011727 /nfs/dbraw/zinc/01/17/27/962011727.db2.gz ABTSEACHYOGESO-GXTWGEPZSA-N -1 1 325.409 -0.286 20 0 EBADMM COCCN(CCC(=O)N(C)CC(=O)[O-])C[C@@H](O)C(F)(F)F ZINC001571187432 962221113 /nfs/dbraw/zinc/22/11/13/962221113.db2.gz BCTIYCXQENMOSR-SECBINFHSA-N -1 1 330.303 -0.209 20 0 EBADMM O=C([O-])C1=NO[C@@H](C(=O)N2CCCN(C[C@H]3CCCO3)CC2)C1 ZINC001574640224 962339267 /nfs/dbraw/zinc/33/92/67/962339267.db2.gz LZEQCIYQIVOKMX-DGCLKSJQSA-N -1 1 325.365 -0.071 20 0 EBADMM O=C([O-])C1CCN(S(=O)(=O)NC[C@H]2CCCCN2CCO)CC1 ZINC001574656708 962467780 /nfs/dbraw/zinc/46/77/80/962467780.db2.gz JGLZUSPWTVMTGJ-CYBMUJFWSA-N -1 1 349.453 -0.536 20 0 EBADMM O=C([O-])[C@@H](Cc1cnc[nH]1)NC(=O)c1cn(C2CCOCC2)nn1 ZINC001571217165 962518731 /nfs/dbraw/zinc/51/87/31/962518731.db2.gz HMBCAVZAUNSWRC-LLVKDONJSA-N -1 1 334.336 -0.222 20 0 EBADMM Cn1nnnc1CNC1CC2(C1)CC(NC(=O)[C@H]1C[C@@H]1C(=O)[O-])C2 ZINC001571221387 962540624 /nfs/dbraw/zinc/54/06/24/962540624.db2.gz JQXCCUAFDMOJNV-MRPULUHESA-N -1 1 334.380 -0.552 20 0 EBADMM COC(=O)[C@H]1C[C@@H](S(=O)(=O)N2CCN(C)C[C@@H](C(=O)[O-])C2)C1 ZINC001571221519 962545344 /nfs/dbraw/zinc/54/53/44/962545344.db2.gz LEMYSXZAPZIXBE-MXWKQRLJSA-N -1 1 334.394 -0.784 20 0 EBADMM Cc1nc(CC(=O)N[C@H]2C[C@H](CNC(=O)[C@H]3C[C@H]3C(=O)[O-])C2)n[nH]1 ZINC001571224438 962574466 /nfs/dbraw/zinc/57/44/66/962574466.db2.gz WBBQZBXFGMEDNX-XWLWVQCSSA-N -1 1 335.364 -0.613 20 0 EBADMM O=C([O-])C(=O)N1CCN(C(=O)CSc2n[nH]c(=S)s2)CC1 ZINC001605885392 972673225 /nfs/dbraw/zinc/67/32/25/972673225.db2.gz GDKKUXBRAOGLRZ-UHFFFAOYSA-N -1 1 348.431 -0.326 20 0 EBADMM CN1CCN(C)[C@@H](CNC(=O)C(=O)Nc2cccnc2C(=O)[O-])C1 ZINC001604220649 972704421 /nfs/dbraw/zinc/70/44/21/972704421.db2.gz HCQASKPJTITXEW-JTQLQIEISA-N -1 1 335.364 -0.920 20 0 EBADMM CNC(=O)[C@H](Cc1cnc[nH]1)NC(=O)[C@H]1CO[C@@H](CCC(=O)[O-])C1 ZINC001604239847 972751969 /nfs/dbraw/zinc/75/19/69/972751969.db2.gz ILMJCAVBXDZFJZ-USWWRNFRSA-N -1 1 338.364 -0.547 20 0 EBADMM Cc1cc(C[C@H](C)NC(=O)C(=O)Nc2ccn(CC(=O)[O-])n2)n[nH]1 ZINC001605165162 972860087 /nfs/dbraw/zinc/86/00/87/972860087.db2.gz AMRQLLSCMDMEFE-QMMMGPOBSA-N -1 1 334.336 -0.315 20 0 EBADMM C[C@@H]1C[C@H](C(=O)[O-])CN(CN2CC3(CCOCC3)[C@H](O)C2=O)C1 ZINC001603367779 972872074 /nfs/dbraw/zinc/87/20/74/972872074.db2.gz IYOYNJPOFZHADF-FRRDWIJNSA-N -1 1 326.393 -0.014 20 0 EBADMM C[C@H]1CC[C@@H](C(=O)[O-])CN1CCNS(=O)(=O)c1cnn(C)c1 ZINC001603391919 973012958 /nfs/dbraw/zinc/01/29/58/973012958.db2.gz NFJDHWIBPZVMNH-WDEREUQCSA-N -1 1 330.410 -0.117 20 0 EBADMM C[C@H]1CC[C@H](C(=O)[O-])CN1CCNS(=O)(=O)c1cnn(C)c1 ZINC001603391918 973013567 /nfs/dbraw/zinc/01/35/67/973013567.db2.gz NFJDHWIBPZVMNH-QWRGUYRKSA-N -1 1 330.410 -0.117 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]C2CC[NH+](CC(=O)[O-])CC2)o1 ZINC001604390444 973115384 /nfs/dbraw/zinc/11/53/84/973115384.db2.gz RIYHDMHUINIYMO-UHFFFAOYSA-N -1 1 346.361 -0.107 20 0 EBADMM COC[C@]1(C(=O)[O-])CN(C(=O)CN(C)[C@@H]2CCSC2)CCO1 ZINC001604551418 973572547 /nfs/dbraw/zinc/57/25/47/973572547.db2.gz REPJKHPQXQAJNW-RISCZKNCSA-N -1 1 332.422 -0.248 20 0 EBADMM COCCN1CC[C@@H](NC(=O)N2C[C@@H]3COC[C@]3(C(=O)[O-])C2)C1 ZINC001604612606 973731605 /nfs/dbraw/zinc/73/16/05/973731605.db2.gz NZOYANHIXVHADE-LALPHHSUSA-N -1 1 327.381 -0.550 20 0 EBADMM Cn1ncc(Br)c1Cn1cc(CNCC(=O)[O-])nn1 ZINC001605553083 973769826 /nfs/dbraw/zinc/76/98/26/973769826.db2.gz AQWHFHYQTPNTIK-UHFFFAOYSA-N -1 1 329.158 -0.003 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CC[NH+](CC(=O)[O-])CC1 ZINC001604669161 973857459 /nfs/dbraw/zinc/85/74/59/973857459.db2.gz IYVDDPOZTJAAJO-UHFFFAOYSA-N -1 1 326.309 -0.825 20 0 EBADMM CCOCCNC(=O)CN1C[C@@H](C(=O)[O-])C2(CC(C(=O)OC)C2)C1 ZINC001603841145 974337590 /nfs/dbraw/zinc/33/75/90/974337590.db2.gz AGJCSKVBKQYQMH-BGMSHATGSA-N -1 1 342.392 -0.275 20 0 EBADMM CC(C)[C@H]1CN(C(=O)C(=O)N2C[C@H](C)O[C@H](C(=O)[O-])C2)CCN1 ZINC001591907135 976307367 /nfs/dbraw/zinc/30/73/67/976307367.db2.gz FAIRKEQHQBASLC-TUAOUCFPSA-N -1 1 327.381 -0.857 20 0 EBADMM C[C@H](CC(=O)N(CCN1CCN(C)CC1)CC(=O)[O-])n1cccn1 ZINC001592226396 977418519 /nfs/dbraw/zinc/41/85/19/977418519.db2.gz CLBKJPHGORATRF-CQSZACIVSA-N -1 1 337.424 -0.005 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@@H]2CCC3N=NC(=O)N3C2)CC1 ZINC001592406217 977982417 /nfs/dbraw/zinc/98/24/17/977982417.db2.gz PGHJEOOYUGKGHS-WDEREUQCSA-N -1 1 337.380 -0.447 20 0 EBADMM C[C@@H](CN1CCN(C)CC1)NS(=O)(=O)c1ccc(C(=O)[O-])nc1 ZINC001592614796 978573417 /nfs/dbraw/zinc/57/34/17/978573417.db2.gz BCLYOJZGRKUVJH-NSHDSACASA-N -1 1 342.421 -0.306 20 0 EBADMM C[C@@H](CNC(=O)N1C[C@H](C)O[C@H](C(=O)[O-])C1)N1CCN(C)CC1 ZINC001592663677 978648132 /nfs/dbraw/zinc/64/81/32/978648132.db2.gz HGTPZDWWNMVJRT-AVGNSLFASA-N -1 1 328.413 -0.494 20 0 EBADMM C[C@@H](NC(=O)CN[C@](C)(CC(=O)[O-])c1ccncc1)C(=O)N(C)C ZINC001593029605 980301048 /nfs/dbraw/zinc/30/10/48/980301048.db2.gz VIXKXZNUTRZHEE-BDJLRTHQSA-N -1 1 336.392 -0.046 20 0 EBADMM CC[C@@H](O)Cn1cc(C(=O)N2CCN(CCC(=O)[O-])C[C@@H]2C)nn1 ZINC001595332606 980580349 /nfs/dbraw/zinc/58/03/49/980580349.db2.gz XVOZRLGVJOQCPK-NWDGAFQWSA-N -1 1 339.396 -0.330 20 0 EBADMM CCCCCC[C@@](O)(CN1CCN2[C@H](CNS2(=O)=O)C1)C(=O)[O-] ZINC001595696010 982221657 /nfs/dbraw/zinc/22/16/57/982221657.db2.gz AXSYTEZPMHQNGE-TZMCWYRMSA-N -1 1 349.453 -0.393 20 0 EBADMM CC[C@H](C)[C@@H](NC(=O)CN1CCN(C)C[C@@H](C(=O)OC)C1)C(=O)[O-] ZINC001594894348 982319642 /nfs/dbraw/zinc/31/96/42/982319642.db2.gz QLSSCDYKXVOGAD-OUCADQQQSA-N -1 1 343.424 -0.362 20 0 EBADMM CCCN(C(=O)C(=O)N1C[C@@H](C)O[C@H](C(=O)[O-])C1)[C@@H]1CCN(C)C1 ZINC001595810285 982643646 /nfs/dbraw/zinc/64/36/46/982643646.db2.gz JGQVNDFGLJOVBB-UPJWGTAASA-N -1 1 341.408 -0.370 20 0 EBADMM CCOC(=O)/C=C\CN1C[C@@H](N2C(=O)CNC2=O)C[C@H]1C(=O)[O-] ZINC001596583173 984500551 /nfs/dbraw/zinc/50/05/51/984500551.db2.gz LWYMDOMCFZAWNS-CMIOBCHKSA-N -1 1 325.321 -0.815 20 0 EBADMM C[C@H]1CN(C(=O)C(=O)N2C[C@H]3CCC[C@@H](C2)N3)C[C@@H](C(=O)[O-])O1 ZINC001599909586 984967973 /nfs/dbraw/zinc/96/79/73/984967973.db2.gz UJOCZNMGTPGPMF-YFKTTZPYSA-N -1 1 325.365 -0.960 20 0 EBADMM CC(=O)N[C@H]1CCCN(C(=O)CN[C@H](C(=O)[O-])C2CCOCC2)C1 ZINC001589120113 985385119 /nfs/dbraw/zinc/38/51/19/985385119.db2.gz QHXSDVPIHRGPCJ-ZFWWWQNUSA-N -1 1 341.408 -0.417 20 0 EBADMM CC(=O)N[C@H]1CCCN(C(=O)CN[C@@H](C(=O)[O-])C2CCOCC2)C1 ZINC001589120109 985385157 /nfs/dbraw/zinc/38/51/57/985385157.db2.gz QHXSDVPIHRGPCJ-DZGCQCFKSA-N -1 1 341.408 -0.417 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)CCN1C(=O)CCC1=O ZINC001594516058 985893367 /nfs/dbraw/zinc/89/33/67/985893367.db2.gz RYJBJPRUKZLFHH-LLVKDONJSA-N -1 1 325.365 -0.467 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)c1ccc(-n2cnnn2)cn1 ZINC001594520506 985979905 /nfs/dbraw/zinc/97/99/05/985979905.db2.gz UXYAGTXNTGKSHX-NSHDSACASA-N -1 1 345.363 -0.322 20 0 EBADMM C[C@@H]1CNCCN1C(=O)C(=O)N1C[C@H](C(=O)[O-])Oc2ccccc21 ZINC001594583975 986454188 /nfs/dbraw/zinc/45/41/88/986454188.db2.gz APVUABMUAVGYSF-ZWNOBZJWSA-N -1 1 333.344 -0.315 20 0 EBADMM CCS(=O)(=O)N[C@@H](C)C(=O)N1CCN(CCC(=O)[O-])[C@@H](C)C1 ZINC001597224416 987165360 /nfs/dbraw/zinc/16/53/60/987165360.db2.gz WECPGZFOTYLQKD-QWRGUYRKSA-N -1 1 335.426 -0.678 20 0 EBADMM CC(C)(C(=O)[O-])N1CCN(C(=O)C(=O)NCC[C@@H]2CCOC2)CC1 ZINC001589620616 987498592 /nfs/dbraw/zinc/49/85/92/987498592.db2.gz NAPRHTPTXJCFLK-GFCCVEGCSA-N -1 1 341.408 -0.463 20 0 EBADMM CS[C@@H](C)CNC(=O)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC001599784558 987900286 /nfs/dbraw/zinc/90/02/86/987900286.db2.gz VPKIOZYNQCFZLU-JTQLQIEISA-N -1 1 331.438 -0.139 20 0 EBADMM CC(C)[C@@H]1CNCCN1C(=O)C(=O)N1CCC([C@@H](O)C(=O)[O-])CC1 ZINC001591096892 991695728 /nfs/dbraw/zinc/69/57/28/991695728.db2.gz XHGATXRAFRGHSO-QWHCGFSZSA-N -1 1 341.408 -0.873 20 0 EBADMM CN(CC1CN(C)C1)C(=O)C(=O)Nc1cnn(C(C)(C)C(=O)[O-])c1 ZINC001598566303 993148133 /nfs/dbraw/zinc/14/81/33/993148133.db2.gz CTKSNLRGUADHTR-UHFFFAOYSA-N -1 1 337.380 -0.339 20 0 EBADMM CN1CCCC[C@@H]1C(=O)N[C@@H]1CN(c2ccnc(C(=O)[O-])n2)C[C@@H]1O ZINC001598598876 993546509 /nfs/dbraw/zinc/54/65/09/993546509.db2.gz MQFTUTJBLGCJNI-UTUOFQBUSA-N -1 1 349.391 -0.675 20 0 EBADMM CN1CCN(C(=O)c2cc(N3CCOCC3)ncn2)C[C@H](C(=O)[O-])C1 ZINC001598609983 993750909 /nfs/dbraw/zinc/75/09/09/993750909.db2.gz HTTSDICZYLSXOD-GFCCVEGCSA-N -1 1 349.391 -0.598 20 0 EBADMM CN1CCN(CC2CN(S(=O)(=O)c3cc(C(=O)[O-])no3)C2)CC1 ZINC001598615971 993880828 /nfs/dbraw/zinc/88/08/28/993880828.db2.gz HRFNHBAJILNQGT-UHFFFAOYSA-N -1 1 344.393 -0.759 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)c2cn3c(n2)CCC3)CC1 ZINC001598616537 993892749 /nfs/dbraw/zinc/89/27/49/993892749.db2.gz HLHDMQZEFZLBCE-UHFFFAOYSA-N -1 1 335.408 -0.397 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)c2ccc3n[nH]nc3c2)CC1 ZINC001598616901 993901175 /nfs/dbraw/zinc/90/11/75/993901175.db2.gz PZTKATUIBDIZPU-UHFFFAOYSA-N -1 1 346.391 -0.268 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])CN2C[C@@H]3CCC[C@H]3C2=O)CC1 ZINC001598617223 993910220 /nfs/dbraw/zinc/91/02/20/993910220.db2.gz PODVBKGIPZKUBZ-LSDHHAIUSA-N -1 1 338.452 -0.164 20 0 EBADMM CN1CCN(CCCS(=O)(=O)N[C@@](C)(C(=O)[O-])C2CC2)CC1 ZINC001598617792 993924711 /nfs/dbraw/zinc/92/47/11/993924711.db2.gz GPIGTPHMBZCMEZ-CQSZACIVSA-N -1 1 333.454 -0.203 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)C[C@H]2COC(=O)C2)CC1 ZINC001598618132 993932900 /nfs/dbraw/zinc/93/29/00/993932900.db2.gz UHGZGMSOJDESQG-GFCCVEGCSA-N -1 1 327.381 -0.900 20 0 EBADMM CNC(=O)[C@H](Cc1cnc[nH]1)NC(=O)Cc1ccc(C(=O)[O-])nc1 ZINC001598655879 994520580 /nfs/dbraw/zinc/52/05/80/994520580.db2.gz PEPZSQMMTWCGGT-LBPRGKRZSA-N -1 1 331.332 -0.481 20 0 EBADMM CNC(=O)c1n[nH]nc1NC(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC001598662077 994613341 /nfs/dbraw/zinc/61/33/41/994613341.db2.gz RWUWSBVQXCSTFL-QMMMGPOBSA-N -1 1 339.356 -0.823 20 0 EBADMM CNS(=O)(=O)c1cccc([C@H](C)N[C@@H](C)C(=O)NCC(=O)[O-])c1 ZINC001598676423 994851721 /nfs/dbraw/zinc/85/17/21/994851721.db2.gz GSFJLXRDGIQJPL-UWVGGRQHSA-N -1 1 343.405 -0.165 20 0 EBADMM COC(=O)C(C)(C)CS(=O)(=O)N1CCN(C)C[C@@H](C(=O)[O-])C1 ZINC001598684530 994985747 /nfs/dbraw/zinc/98/57/47/994985747.db2.gz MPNMIHDFMIZFGN-SNVBAGLBSA-N -1 1 336.410 -0.536 20 0 EBADMM C[C@@H](O)CN1CCN(CC(=O)N2CCC(C(=O)[O-])CC2)[C@H](C)C1 ZINC001593462440 995384680 /nfs/dbraw/zinc/38/46/80/995384680.db2.gz JRBBMUMMISYMAE-CHWSQXEVSA-N -1 1 327.425 -0.304 20 0 EBADMM COC(=O)[C@@H]1CCN(CCN2CCCS2(=O)=O)[C@H](C(=O)[O-])C1 ZINC001598801679 996143422 /nfs/dbraw/zinc/14/34/22/996143422.db2.gz SNVDOHUTQHBSAD-MNOVXSKESA-N -1 1 334.394 -0.640 20 0 EBADMM COC(=O)[C@H]1CN(C(=O)CCSc2nnc(C)[nH]2)C[C@H]1C(=O)[O-] ZINC001598808216 996207042 /nfs/dbraw/zinc/20/70/42/996207042.db2.gz DKCJECPODLAHIK-BDAKNGLRSA-N -1 1 342.377 -0.072 20 0 EBADMM COC(=O)[C@@H]1CN(C)CCN(C(=O)Nc2cc(C(=O)[O-])nn2C)C1 ZINC001598819060 996304331 /nfs/dbraw/zinc/30/43/31/996304331.db2.gz NCNSIPJRHWIANI-SECBINFHSA-N -1 1 339.352 -0.313 20 0 EBADMM COC(=O)[C@@H]1CN(CCn2cnc(Br)n2)C[C@@H]1C(=O)[O-] ZINC001598820985 996326872 /nfs/dbraw/zinc/32/68/72/996326872.db2.gz IZRPVDFVWORNNJ-JGVFFNPUSA-N -1 1 347.169 -0.154 20 0 EBADMM COC(=O)CCNC(=O)c1cccc(C(=O)NCCC(=O)[O-])c1O ZINC001598853787 996624381 /nfs/dbraw/zinc/62/43/81/996624381.db2.gz PKYFCKZJJJOAIK-UHFFFAOYSA-N -1 1 338.316 -0.110 20 0 EBADMM COC(=O)CCN(CN1C[C@H](C(=O)[O-])CC1=O)C1CCOCC1 ZINC001598855080 996631737 /nfs/dbraw/zinc/63/17/37/996631737.db2.gz OWNRRXYAURYVGG-LLVKDONJSA-N -1 1 328.365 -0.079 20 0 EBADMM COC(=O)CCNC(=O)CN1[C@H]2C[C@H](C(=O)[O-])O[C@H]2CC[C@H]1C ZINC001598855525 996635168 /nfs/dbraw/zinc/63/51/68/996635168.db2.gz XJRZHVRIOHYXOU-NOOOWODRSA-N -1 1 328.365 -0.239 20 0 EBADMM COC[C@@]1(C(=O)NC[C@@H](Cc2ccncc2)C(=O)[O-])CNCCO1 ZINC001599183722 996988578 /nfs/dbraw/zinc/98/85/78/996988578.db2.gz IMFULEYFAQJSTO-CZUORRHYSA-N -1 1 337.376 -0.554 20 0 EBADMM COc1ccc(C(=O)[O-])c(S(=O)(=O)NCc2n[nH]c(=O)n2C)c1 ZINC001599495050 997007665 /nfs/dbraw/zinc/00/76/65/997007665.db2.gz SPPZDKZFLWUMKF-UHFFFAOYSA-N -1 1 342.333 -0.294 20 0 EBADMM COC(=O)[C@]12C[C@H]1C[C@H](NC(=O)N1CC[N@@H+](C)C[C@@H](C(=O)[O-])C1)C2 ZINC001598901515 997179767 /nfs/dbraw/zinc/17/97/67/997179767.db2.gz POVXUWQISIBAHE-YMEQNVIZSA-N -1 1 339.392 -0.014 20 0 EBADMM COC[C@]1(C(=O)[O-])CC[N@H+]([C@@H]2CCCN(CCC(=O)[O-])C2=O)C1 ZINC001599195767 997213073 /nfs/dbraw/zinc/21/30/73/997213073.db2.gz UBCPTBRBPKCSRJ-ABAIWWIYSA-N -1 1 328.365 -0.125 20 0 EBADMM CO[C@@H]1CC[C@@H](C(=O)N(CCN2CCN(C)CC2)CC(=O)[O-])C1 ZINC001599100048 997364455 /nfs/dbraw/zinc/36/44/55/997364455.db2.gz JVIRPVXVWBJJBI-ZIAGYGMSSA-N -1 1 327.425 -0.038 20 0 EBADMM CO[C@@H]1CN(c2ncc(C(=O)[O-])cn2)C[C@H]1NC(=O)[C@@H]1CCCN1C ZINC001599323222 997617642 /nfs/dbraw/zinc/61/76/42/997617642.db2.gz DOYYHJRXJAJNMQ-FRRDWIJNSA-N -1 1 349.391 -0.411 20 0 EBADMM COCCN1C[C@@H](C(=O)N2CCN(CCC(=O)[O-])C[C@@H]2C)CC1=O ZINC001599241321 998048620 /nfs/dbraw/zinc/04/86/20/998048620.db2.gz XLJXTTYIFMQEQD-STQMWFEESA-N -1 1 341.408 -0.511 20 0 EBADMM COc1ccc(CNC(=O)C(=O)N2CCN[C@H](C)C2)cc1C(=O)[O-] ZINC001599561765 998211433 /nfs/dbraw/zinc/21/14/33/998211433.db2.gz GFIQCEIPLHHJCK-SNVBAGLBSA-N -1 1 335.360 -0.170 20 0 EBADMM C[C@H]1[C@@H](C(=O)[O-])CCN1S(=O)(=O)CCCN1CCN(C)CC1 ZINC001594171291 998657943 /nfs/dbraw/zinc/65/79/43/998657943.db2.gz SOLAUUIVCHIKJX-STQMWFEESA-N -1 1 333.454 -0.251 20 0 EBADMM COC(=O)c1ncsc1S(=O)(=O)NC(C)(C)[C@H](O)C(=O)[O-] ZINC001599017113 998948398 /nfs/dbraw/zinc/94/83/98/998948398.db2.gz OKJOTVLDXLLEPR-ZCFIWIBFSA-N -1 1 338.363 -0.568 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)[C@@H](C)O1 ZINC001594249078 998951364 /nfs/dbraw/zinc/95/13/64/998951364.db2.gz JLYFBWGXWONARO-UTUOFQBUSA-N -1 1 341.408 -0.324 20 0 EBADMM COC(CN(CCC(=O)[O-])C(=O)[C@@H]1CN2CCN1C[C@@H]2C)OC ZINC001599047744 999163316 /nfs/dbraw/zinc/16/33/16/999163316.db2.gz XLOYKDMNTXZOJO-RYUDHWBXSA-N -1 1 329.397 -0.703 20 0 EBADMM COC(CN(CCC(=O)[O-])C(=O)[C@@H](C)CN1CCOCC1)OC ZINC001599047968 999165130 /nfs/dbraw/zinc/16/51/30/999165130.db2.gz YDVCNHJVLNHSEM-LBPRGKRZSA-N -1 1 332.397 -0.123 20 0 EBADMM CCN(CCNC(=O)c1c[n-]n2c1nccc2=O)Cc1n[nH]c(C)n1 ZINC001691043311 1125812529 /nfs/dbraw/zinc/81/25/29/1125812529.db2.gz GMPXNFDCGPELLD-UHFFFAOYSA-N -1 1 344.379 -0.299 20 0 EBADMM CCCC(=O)NC1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001687296142 1125823974 /nfs/dbraw/zinc/82/39/74/1125823974.db2.gz UAOWSNMLXQYFRQ-UHFFFAOYSA-N -1 1 336.392 -0.166 20 0 EBADMM CN(CCNC(=O)Cc1ccc2c(c1)CCO2)Cc1nc(=O)n(C)[n-]1 ZINC001480896445 1125841841 /nfs/dbraw/zinc/84/18/41/1125841841.db2.gz BDWPELFNUCWFQB-UHFFFAOYSA-N -1 1 345.403 -0.166 20 0 EBADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)c1cn(C)ccc1=O ZINC001408554649 1125862348 /nfs/dbraw/zinc/86/23/48/1125862348.db2.gz XDIQQOQRSWWONN-UHFFFAOYSA-N -1 1 330.344 -0.012 20 0 EBADMM CCN(CCNC(=O)c1ncccc1[O-])CC(=O)NC(=O)NC1CC1 ZINC001480971684 1125902180 /nfs/dbraw/zinc/90/21/80/1125902180.db2.gz VQFUZFIHBKNFJG-UHFFFAOYSA-N -1 1 349.391 -0.173 20 0 EBADMM CCN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)Cc1ncccn1 ZINC001481006292 1125906575 /nfs/dbraw/zinc/90/65/75/1125906575.db2.gz QCQKSSYWAIVDRJ-UHFFFAOYSA-N -1 1 346.391 -0.645 20 0 EBADMM COCCOCC(=O)N1CC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001691953514 1125970118 /nfs/dbraw/zinc/97/01/18/1125970118.db2.gz MXYHELGZVVACBV-NSHDSACASA-N -1 1 327.385 -0.546 20 0 EBADMM CC[C@@H](CNC(=O)C[C@@H]1CCS(=O)(=O)C1)NCc1n[nH]c(=O)[n-]1 ZINC001481830485 1126059921 /nfs/dbraw/zinc/05/99/21/1126059921.db2.gz MYLPHYNGFOFNFY-UWVGGRQHSA-N -1 1 345.425 -0.681 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)NC[C@H]1CN(CC2CC2)CCO1 ZINC001482202312 1126102944 /nfs/dbraw/zinc/10/29/44/1126102944.db2.gz LPXDIKSUDHXXMT-LBPRGKRZSA-N -1 1 346.391 -0.702 20 0 EBADMM COC(=O)[C@@H]1C[C@@H]1C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001482214700 1126106788 /nfs/dbraw/zinc/10/67/88/1126106788.db2.gz TZWQZYDERKCFDZ-VHSXEESVSA-N -1 1 337.380 -0.162 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)[N-]CC[C@@H](O)C(F)(F)F)n[nH]1 ZINC001414254423 1126109090 /nfs/dbraw/zinc/10/90/90/1126109090.db2.gz IFAKNHCFZQJLJI-ZCFIWIBFSA-N -1 1 331.272 -0.212 20 0 EBADMM O=C([N-]CC1CN(C(=O)[C@H]2CCS(=O)(=O)C2)C1)C(F)(F)F ZINC001363210718 1126114710 /nfs/dbraw/zinc/11/47/10/1126114710.db2.gz CURIRMMMWDNHCA-QMMMGPOBSA-N -1 1 328.312 -0.442 20 0 EBADMM COC(=O)[C@@H](CC1OCCO1)[N-]S(=O)(=O)C[C@@H](C)C(=O)OC ZINC001364067215 1126141946 /nfs/dbraw/zinc/14/19/46/1126141946.db2.gz BSFDAWGEFCTWLZ-RKDXNWHRSA-N -1 1 339.366 -0.981 20 0 EBADMM Cc1nc(CNCCN(C)C(=O)CCn2cc[n-]c(=O)c2=O)c(C)o1 ZINC001691516497 1126144838 /nfs/dbraw/zinc/14/48/38/1126144838.db2.gz GDFNKCYKKPJNKP-UHFFFAOYSA-N -1 1 349.391 -0.220 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@@H]1CCc2nncn2C1 ZINC001482369682 1126140369 /nfs/dbraw/zinc/14/03/69/1126140369.db2.gz ZIQSRMQZWYFOGL-VHSXEESVSA-N -1 1 334.384 -0.699 20 0 EBADMM CC(=O)NC(C)(C)C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001409160122 1126220693 /nfs/dbraw/zinc/22/06/93/1126220693.db2.gz VOMVOPUTJPWRKL-UHFFFAOYSA-N -1 1 334.376 -0.110 20 0 EBADMM CCOC(=O)[C@@](C)(O)C[N-]S(=O)(=O)Cc1cc(OC)ns1 ZINC001423904001 1126227102 /nfs/dbraw/zinc/22/71/02/1126227102.db2.gz YERHIVOQRIFGPM-NSHDSACASA-N -1 1 338.407 -0.115 20 0 EBADMM O=C(C[C@H]1CCC(F)(F)C1)NC[C@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001482733909 1126263491 /nfs/dbraw/zinc/26/34/91/1126263491.db2.gz AEQADUBUEYUHID-RKDXNWHRSA-N -1 1 333.339 -0.098 20 0 EBADMM O=C(NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1)c1cccc2cccnc21 ZINC001482748287 1126269280 /nfs/dbraw/zinc/26/92/80/1126269280.db2.gz UYQAKUHCXLEMDH-NSHDSACASA-N -1 1 342.359 -0.061 20 0 EBADMM C[C@H]1Cc2cccc(C(=O)NC[C@H](O)CNCc3n[nH]c(=O)[n-]3)c2O1 ZINC001482750830 1126270776 /nfs/dbraw/zinc/27/07/76/1126270776.db2.gz AAOLJPDQMDVVKI-GXSJLCMTSA-N -1 1 347.375 -0.286 20 0 EBADMM C[C@H]1Cc2cccc(C(=O)NC[C@@H](O)CNCc3n[nH]c(=O)[n-]3)c2O1 ZINC001482750833 1126270840 /nfs/dbraw/zinc/27/08/40/1126270840.db2.gz AAOLJPDQMDVVKI-ONGXEEELSA-N -1 1 347.375 -0.286 20 0 EBADMM O=C(NC[C@H](O)CNCc1n[nH]c(=O)[n-]1)C12CC(C(F)(F)F)(C1)C2 ZINC001482800741 1126295292 /nfs/dbraw/zinc/29/52/92/1126295292.db2.gz YAGQQKPYNUSKNQ-JOYHGCSISA-N -1 1 349.313 -0.190 20 0 EBADMM CCc1ncc(C(=O)NC[C@H](O)CNCc2n[nH]c(=O)[n-]2)s1 ZINC001482802886 1126295875 /nfs/dbraw/zinc/29/58/75/1126295875.db2.gz XDCNRYAQZGHGKJ-SSDOTTSWSA-N -1 1 326.382 -0.590 20 0 EBADMM Cc1cc(CNCC=CCNC(=O)Cn2c(=O)[n-][nH]c2=O)ncn1 ZINC001483087379 1126330918 /nfs/dbraw/zinc/33/09/18/1126330918.db2.gz LBWQZLJYSDAIMU-NSCUHMNNSA-N -1 1 333.352 -0.750 20 0 EBADMM CCO[C@H](C(=O)N[C@H](C)CNCc1n[nH]c(=O)[n-]1)C1CCOCC1 ZINC001483154418 1126347692 /nfs/dbraw/zinc/34/76/92/1126347692.db2.gz XWONSQULKSJZOI-MFKMUULPSA-N -1 1 341.412 -0.064 20 0 EBADMM C[C@H](CNC(=O)c1ccc(C(N)=O)o1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001409586998 1126380177 /nfs/dbraw/zinc/38/01/77/1126380177.db2.gz PMRKYSDZNVPLJM-MRVPVSSYSA-N -1 1 336.352 -0.949 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)ccc1=O)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001409589013 1126380627 /nfs/dbraw/zinc/38/06/27/1126380627.db2.gz QROLFJQXUPMSLH-SNVBAGLBSA-N -1 1 334.380 -0.943 20 0 EBADMM C[C@@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)c1ncnc2[nH]ccc21 ZINC001483358538 1126420052 /nfs/dbraw/zinc/42/00/52/1126420052.db2.gz XZWQQFYVYRDNCQ-VIFPVBQESA-N -1 1 344.379 -0.418 20 0 EBADMM C[C@@H](CN(C)Cc1nnnn1C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001483394619 1126423308 /nfs/dbraw/zinc/42/33/08/1126423308.db2.gz MNLLHSKASALAQF-VIFPVBQESA-N -1 1 346.395 -0.167 20 0 EBADMM O=C(N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)c1cn2c(n1)CCC2 ZINC001483562462 1126434378 /nfs/dbraw/zinc/43/43/78/1126434378.db2.gz COMWIFGVYHSSKR-SNVBAGLBSA-N -1 1 331.380 -0.049 20 0 EBADMM Cc1cnccc1CC(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001483651720 1126450482 /nfs/dbraw/zinc/45/04/82/1126450482.db2.gz XUJJCCHMOJEVMV-CYBMUJFWSA-N -1 1 330.392 -0.255 20 0 EBADMM CNC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C ZINC001365698593 1126469598 /nfs/dbraw/zinc/46/95/98/1126469598.db2.gz HNNHBAYCVOJFHM-ZRNGKTOUSA-N -1 1 328.460 -0.444 20 0 EBADMM CN(C(=O)COc1ccsc1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001483887678 1126487854 /nfs/dbraw/zinc/48/78/54/1126487854.db2.gz OWEKJKOTZVLREY-UHFFFAOYSA-N -1 1 337.405 -0.109 20 0 EBADMM C[C@@H]1CCC[C@H](C(=O)NCC2(O)CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001484291713 1126557338 /nfs/dbraw/zinc/55/73/38/1126557338.db2.gz FUGMUDAZPOBUML-NEPJUHHUSA-N -1 1 337.424 -0.402 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@](CO)(NC(=O)[C@@H]3C[C@H]3C3CC3)C2)nc1=O ZINC001484447827 1126585486 /nfs/dbraw/zinc/58/54/86/1126585486.db2.gz RGUKNGJEPNUBRN-OGHNNQOOSA-N -1 1 349.435 -0.402 20 0 EBADMM COC[C@@H](OC)C(=O)N1CC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001484541245 1126602817 /nfs/dbraw/zinc/60/28/17/1126602817.db2.gz WXWBQXHFZOKBOB-WDEREUQCSA-N -1 1 327.385 -0.500 20 0 EBADMM CN1CC[C@H](C(=O)NCC2(NCc3n[nH]c(=O)[n-]3)CCC2)CC1=O ZINC001484640910 1126613056 /nfs/dbraw/zinc/61/30/56/1126613056.db2.gz OOAVCOAVLQRIJC-JTQLQIEISA-N -1 1 336.396 -0.493 20 0 EBADMM CC(C)NC(=O)CN1CC2(C1)C[C@H](NC(=O)c1ncccc1[O-])CO2 ZINC001484799368 1126633665 /nfs/dbraw/zinc/63/36/65/1126633665.db2.gz SSITYTZDMZMCEW-LBPRGKRZSA-N -1 1 348.403 -0.115 20 0 EBADMM COCC(C)(C)C(=O)N1CCC(O)(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001484956226 1126658533 /nfs/dbraw/zinc/65/85/33/1126658533.db2.gz COFQTHXMPALJIC-UHFFFAOYSA-N -1 1 341.412 -0.374 20 0 EBADMM Cn1ncc(CN2CCC(CO)(NC(=O)c3ncccc3[O-])CC2)n1 ZINC001485016872 1126665079 /nfs/dbraw/zinc/66/50/79/1126665079.db2.gz LQTBJLIPEAKEAY-UHFFFAOYSA-N -1 1 346.391 -0.327 20 0 EBADMM C[C@@H](NC(=O)C1(S(C)(=O)=O)CCC1)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001485211615 1126681675 /nfs/dbraw/zinc/68/16/75/1126681675.db2.gz ZNPAROVHZZILIA-DTWKUNHWSA-N -1 1 345.425 -0.540 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H](C)NC(=O)CNC(=O)C(C)(C)C ZINC001485224065 1126683388 /nfs/dbraw/zinc/68/33/88/1126683388.db2.gz CSNSOIZHPIEYLQ-RKDXNWHRSA-N -1 1 326.401 -0.345 20 0 EBADMM Cn1[n-]c(CN2CC(CCO)(NC(=O)/C=C\c3ccco3)C2)nc1=O ZINC001485395558 1126696778 /nfs/dbraw/zinc/69/67/78/1126696778.db2.gz SAUSJSIBYNTOSM-PLNGDYQASA-N -1 1 347.375 -0.532 20 0 EBADMM CC(C)C(C)(C)C(=O)NC1(CCO)CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001485404690 1126702343 /nfs/dbraw/zinc/70/23/43/1126702343.db2.gz AUSVEPQPWZHDBI-UHFFFAOYSA-N -1 1 339.440 -0.156 20 0 EBADMM COCc1cccc(C(=O)NC[C@@H](CO)NCc2nc(=O)n(C)[n-]2)c1 ZINC001486014826 1126966333 /nfs/dbraw/zinc/96/63/33/1126966333.db2.gz ZJFDGOGKPMNMQP-ZDUSSCGKSA-N -1 1 349.391 -0.865 20 0 EBADMM CCOCCOCC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001486134431 1127044815 /nfs/dbraw/zinc/04/48/15/1127044815.db2.gz LDAWNVLOTLOJKL-MNOVXSKESA-N -1 1 327.385 -0.358 20 0 EBADMM COC[C@H](OC)C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001486242149 1127065408 /nfs/dbraw/zinc/06/54/08/1127065408.db2.gz DHANUCRUTBLDGQ-UWVGGRQHSA-N -1 1 327.385 -0.502 20 0 EBADMM CN(C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)c1cc2ccccn2c1 ZINC001486302265 1127107183 /nfs/dbraw/zinc/10/71/83/1127107183.db2.gz CWDCQAQEZPWVPB-ZDUSSCGKSA-N -1 1 344.375 -0.014 20 0 EBADMM CO[C@H](C(=O)N(C)C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C1CCCC1 ZINC001486312913 1127126594 /nfs/dbraw/zinc/12/65/94/1127126594.db2.gz HRZUUAAIAOGZEG-YPMHNXCESA-N -1 1 341.412 -0.376 20 0 EBADMM O=C(Cn1cncn1)NCC1CC(NC(=O)c2ncccc2[O-])C1 ZINC001486592400 1127210513 /nfs/dbraw/zinc/21/05/13/1127210513.db2.gz ZHBHLYQPBIEJQF-UHFFFAOYSA-N -1 1 330.348 -0.297 20 0 EBADMM C[C@H](NC(=O)CCCF)[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001486722367 1127244780 /nfs/dbraw/zinc/24/47/80/1127244780.db2.gz MNOMCFASDOMLKZ-QWRGUYRKSA-N -1 1 342.371 -0.314 20 0 EBADMM CN(CCN(C)C(=O)C1(C)CC1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001409998667 1127302222 /nfs/dbraw/zinc/30/22/22/1127302222.db2.gz PNACMOPCNIPKMG-UHFFFAOYSA-N -1 1 332.364 -0.252 20 0 EBADMM CCOCC(=O)NC[C@@H](O)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001410060840 1127531844 /nfs/dbraw/zinc/53/18/44/1127531844.db2.gz FQUSFBMVQYCESM-SNVBAGLBSA-N -1 1 338.364 -0.697 20 0 EBADMM CSC[C@H](C)C(=O)NC[C@@H](O)CNC(=O)c1ncccc1[O-] ZINC001410096917 1127558686 /nfs/dbraw/zinc/55/86/86/1127558686.db2.gz VIOYERXEOSEQNG-VHSXEESVSA-N -1 1 327.406 -0.007 20 0 EBADMM COC[C@@H](OC)C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001410251355 1127659411 /nfs/dbraw/zinc/65/94/11/1127659411.db2.gz RXFPNXFSLXNRII-LLVKDONJSA-N -1 1 327.385 -0.406 20 0 EBADMM COC(=O)c1coc(C[N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C)c1 ZINC001364911260 1127723057 /nfs/dbraw/zinc/72/30/57/1127723057.db2.gz OCPPUBFAYPHUEU-HXUWFJFHSA-N -1 1 339.395 -0.025 20 0 EBADMM CC(C)c1nnc(CN[C@@H](CO)CNC(=O)c2ncccc2[O-])[nH]1 ZINC001410613487 1127774062 /nfs/dbraw/zinc/77/40/62/1127774062.db2.gz ZYGJJPGZKPNWJV-SNVBAGLBSA-N -1 1 334.380 -0.091 20 0 EBADMM Cc1nocc1C(=O)N1C[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@]2(C)C1 ZINC001091971139 1128171292 /nfs/dbraw/zinc/17/12/92/1128171292.db2.gz QVKWSNYQRMPMKL-BZNIZROVSA-N -1 1 346.391 -0.001 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)[C@H]1CCCNC1=O ZINC001092699238 1128261946 /nfs/dbraw/zinc/26/19/46/1128261946.db2.gz FIMZTQOXAOQAIK-MXWKQRLJSA-N -1 1 336.396 -0.779 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)c1c[nH]c(=O)cn1 ZINC001092918835 1128283928 /nfs/dbraw/zinc/28/39/28/1128283928.db2.gz OGZACDGCRSPJRB-RKDXNWHRSA-N -1 1 333.352 -0.909 20 0 EBADMM COc1cncc(C(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C)n1 ZINC001093026731 1128291152 /nfs/dbraw/zinc/29/11/52/1128291152.db2.gz CJMSQMVOXIOPAT-NXEZZACHSA-N -1 1 347.379 -0.193 20 0 EBADMM CC[C@@H](C)C(=O)N1CC(n2cc(CNCc3nc(=O)n(C)[n-]3)nn2)C1 ZINC001093305859 1128314664 /nfs/dbraw/zinc/31/46/64/1128314664.db2.gz KQKXYWOZXONXSW-SNVBAGLBSA-N -1 1 348.411 -0.581 20 0 EBADMM CCC(=O)N(C)[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)C1CC1 ZINC001487624876 1128382183 /nfs/dbraw/zinc/38/21/83/1128382183.db2.gz HMPVDFIIGCDIQQ-LBPRGKRZSA-N -1 1 336.392 -0.310 20 0 EBADMM Cn1nncc1C(=O)NCCOCCCNC(=O)c1ncccc1[O-] ZINC001487617357 1128384861 /nfs/dbraw/zinc/38/48/61/1128384861.db2.gz AQWXGWHFTYRISX-UHFFFAOYSA-N -1 1 348.363 -0.518 20 0 EBADMM CSC[C@@H](C)C(=O)NC[C@@H](CO)NC(=O)c1ncccc1[O-] ZINC001487964505 1128499456 /nfs/dbraw/zinc/49/94/56/1128499456.db2.gz UNFJKNBEIAGOFN-ZJUUUORDSA-N -1 1 327.406 -0.007 20 0 EBADMM CN(C[C@H](O)CNC(=O)c1ncccc1[O-])C(=O)CC(C)(C)O ZINC001488352631 1128690389 /nfs/dbraw/zinc/69/03/89/1128690389.db2.gz FSQFUYBPOLAVJE-SNVBAGLBSA-N -1 1 325.365 -0.503 20 0 EBADMM CN(C[C@H](O)CN(C)C(=O)c1ncccc1[O-])C(=O)c1ccnn1C ZINC001488427012 1128756634 /nfs/dbraw/zinc/75/66/34/1128756634.db2.gz WITBGNARCDLJGY-NSHDSACASA-N -1 1 347.375 -0.274 20 0 EBADMM C[C@@H](CCCCNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CNC(=O)N1 ZINC001489147873 1128919746 /nfs/dbraw/zinc/91/97/46/1128919746.db2.gz RLHILNYALGJQPE-WDEREUQCSA-N -1 1 349.391 -0.127 20 0 EBADMM CNC(=O)NCCC(=O)N(C)C[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001489740046 1129041902 /nfs/dbraw/zinc/04/19/02/1129041902.db2.gz ZTOPRDOEOWSVOM-LLVKDONJSA-N -1 1 349.391 -0.221 20 0 EBADMM CC(=O)N(C)CC(=O)N1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001489735656 1129056171 /nfs/dbraw/zinc/05/61/71/1129056171.db2.gz UPECPEWDBLAJJE-LBPRGKRZSA-N -1 1 334.376 -0.062 20 0 EBADMM CCOCCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001490176855 1129133555 /nfs/dbraw/zinc/13/35/55/1129133555.db2.gz ZCVNZBGSOIUEAM-WCQYABFASA-N -1 1 337.376 -0.095 20 0 EBADMM C/C=C(/C)C(=O)NCC1(NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001358654740 1131244664 /nfs/dbraw/zinc/24/46/64/1131244664.db2.gz ALDPBGWJGKYSHM-OQFOIZHKSA-N -1 1 330.348 -0.238 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)[C@@H]1CC(=O)N(C)C1 ZINC001411526754 1129310046 /nfs/dbraw/zinc/31/00/46/1129310046.db2.gz ACNOCXPGXLOUHP-WDEREUQCSA-N -1 1 334.376 -0.158 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)CN1CCCNC1=O ZINC001411531302 1129311410 /nfs/dbraw/zinc/31/14/10/1129311410.db2.gz JWPHGCWBDHYCQC-NSHDSACASA-N -1 1 349.391 -0.221 20 0 EBADMM COCCN(CC(=O)N(C)C)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001411750885 1129367009 /nfs/dbraw/zinc/36/70/09/1129367009.db2.gz IIUKBHCMCIILBT-UHFFFAOYSA-N -1 1 328.325 -0.927 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)[C@@H](C)N1CCOCC1 ZINC001411776316 1129380159 /nfs/dbraw/zinc/38/01/59/1129380159.db2.gz MJUXVFHZQWVWKX-DTWKUNHWSA-N -1 1 326.353 -0.265 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@H](N2CCOCC2)C1 ZINC001412143925 1129462066 /nfs/dbraw/zinc/46/20/66/1129462066.db2.gz CTMXKZQDZUZXTL-ZDUSSCGKSA-N -1 1 348.403 -0.160 20 0 EBADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)N1C[C@@H](O)[C@H](CO)C1 ZINC001412229822 1129481753 /nfs/dbraw/zinc/48/17/53/1129481753.db2.gz ZOLFRQSDMNGTCT-GXTWGEPZSA-N -1 1 344.371 -0.093 20 0 EBADMM COC(=O)[C@H](NC(=O)CCc1nn[n-]n1)[C@H]1CCC[C@@H](OC)C1 ZINC001412310901 1129500334 /nfs/dbraw/zinc/50/03/34/1129500334.db2.gz PYNVRGMCROMTDS-OPQQBVKSSA-N -1 1 325.369 -0.005 20 0 EBADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)[C@H]1CCNC(=O)C1 ZINC001412501611 1129543920 /nfs/dbraw/zinc/54/39/20/1129543920.db2.gz WGRZFNRVPXJGFD-MNOVXSKESA-N -1 1 334.376 -0.110 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)c3cn(C[C@H]4CNC(=O)O4)nn3)ccnc1-2 ZINC001361423661 1131405823 /nfs/dbraw/zinc/40/58/23/1131405823.db2.gz KQQTVXQITQDZPK-MRVPVSSYSA-N -1 1 342.319 -0.706 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@@H](NC(=O)N(C)C)C1 ZINC001413076823 1129920819 /nfs/dbraw/zinc/92/08/19/1129920819.db2.gz AUWQKXVABYAWER-MRVPVSSYSA-N -1 1 339.352 -0.228 20 0 EBADMM CN(C[C@@H](O)CNC(=O)[C@H]1CC1(F)F)C(=O)c1ncccc1[O-] ZINC001413240984 1129963247 /nfs/dbraw/zinc/96/32/47/1129963247.db2.gz AVMJQZVYBKUDID-DTWKUNHWSA-N -1 1 329.303 -0.009 20 0 EBADMM Cc1nc[nH]c1CC(=O)NC[C@@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001413242775 1129965600 /nfs/dbraw/zinc/96/56/00/1129965600.db2.gz DDAAEQPJAHVSFN-LLVKDONJSA-N -1 1 347.375 -0.389 20 0 EBADMM CN(C[C@@H](O)CNC(=O)c1cncn1C)C(=O)c1ncccc1[O-] ZINC001413257355 1129976577 /nfs/dbraw/zinc/97/65/77/1129976577.db2.gz XVVGNLHCOGBSCN-JTQLQIEISA-N -1 1 333.348 -0.616 20 0 EBADMM O=S(=O)([N-]Cc1nc(-c2ccncc2)no1)[C@@H]1COC[C@H]1O ZINC001413314698 1130009176 /nfs/dbraw/zinc/00/91/76/1130009176.db2.gz BQVZYRALYCAVHF-NXEZZACHSA-N -1 1 326.334 -0.689 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)[N-][C@@H](CO)CC(F)(F)F)n[nH]1 ZINC001413315692 1130010380 /nfs/dbraw/zinc/01/03/80/1130010380.db2.gz AABTXARBLWLAOV-RXMQYKEDSA-N -1 1 331.272 -0.212 20 0 EBADMM C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001413329491 1130019925 /nfs/dbraw/zinc/01/99/25/1130019925.db2.gz JRRXUJQSRHKACF-ZWKOPEQDSA-N -1 1 344.375 -0.319 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCN2C[C@@H](C)OC[C@@H]2C)[n-]n1 ZINC001413407329 1130075213 /nfs/dbraw/zinc/07/52/13/1130075213.db2.gz VHSVDMHYUBVBJX-VHSXEESVSA-N -1 1 346.409 -0.416 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCN2C[C@@H](C)OC[C@@H]2C)n[n-]1 ZINC001413407329 1130075216 /nfs/dbraw/zinc/07/52/16/1130075216.db2.gz VHSVDMHYUBVBJX-VHSXEESVSA-N -1 1 346.409 -0.416 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2[C@@H]3OC[C@H]4C[C@H]2C[C@H]34)[n-]n1 ZINC001413408862 1130077219 /nfs/dbraw/zinc/07/72/19/1130077219.db2.gz BKGHFEJFRXVFKZ-AMSLCBNBSA-N -1 1 327.362 -0.102 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2[C@@H]3OC[C@H]4C[C@H]2C[C@H]34)n[n-]1 ZINC001413408862 1130077223 /nfs/dbraw/zinc/07/72/23/1130077223.db2.gz BKGHFEJFRXVFKZ-AMSLCBNBSA-N -1 1 327.362 -0.102 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1cnn(CCF)c1 ZINC001413450333 1130092155 /nfs/dbraw/zinc/09/21/55/1130092155.db2.gz AUFKKFRMROHTLR-UHFFFAOYSA-N -1 1 331.329 -0.159 20 0 EBADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)c1cn(C)c(=O)n1C ZINC001413546298 1130128822 /nfs/dbraw/zinc/12/88/22/1130128822.db2.gz PVJGMNSUXOTNMI-SECBINFHSA-N -1 1 348.363 -0.004 20 0 EBADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)c1cn(C)c(=O)n1C ZINC001413546298 1130128825 /nfs/dbraw/zinc/12/88/25/1130128825.db2.gz PVJGMNSUXOTNMI-SECBINFHSA-N -1 1 348.363 -0.004 20 0 EBADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)c1cn(C)c(=O)n1C ZINC001413546298 1130128828 /nfs/dbraw/zinc/12/88/28/1130128828.db2.gz PVJGMNSUXOTNMI-SECBINFHSA-N -1 1 348.363 -0.004 20 0 EBADMM C[C@@H](C[C@H](C)NC(=O)c1ncccc1[O-])NC(=O)Cn1cnnn1 ZINC001413660487 1130212886 /nfs/dbraw/zinc/21/28/86/1130212886.db2.gz HHUOCHOHMUTGJH-UWVGGRQHSA-N -1 1 333.352 -0.513 20 0 EBADMM CC(C)C[N@H+]1CCOC[C@H]1c1nnc(CNC(=O)c2nnc[n-]2)[n-]1 ZINC001070592012 1130215481 /nfs/dbraw/zinc/21/54/81/1130215481.db2.gz WUGGURVXDINHIP-JTQLQIEISA-N -1 1 334.384 -0.118 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](CNC(=O)COCC3CC3)C2)nc1=O ZINC001491094352 1130321433 /nfs/dbraw/zinc/32/14/33/1130321433.db2.gz ULMYFVZJFNEYBS-CYBMUJFWSA-N -1 1 337.424 -0.137 20 0 EBADMM Cc1cnn(CC(=O)NC[C@@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)c1 ZINC001491202124 1130359711 /nfs/dbraw/zinc/35/97/11/1130359711.db2.gz XAYILDVZDBMLAO-ZDUSSCGKSA-N -1 1 347.423 -0.216 20 0 EBADMM C[C@@H](C(=O)NC1CCC(NCc2n[nH]c(=O)[n-]2)CC1)S(C)(=O)=O ZINC001491355359 1130408573 /nfs/dbraw/zinc/40/85/73/1130408573.db2.gz AHJAKJZUPTYXHM-IDKOKCKLSA-N -1 1 345.425 -0.540 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]CCCn2cccnc2=O)c1 ZINC001475445268 1130719116 /nfs/dbraw/zinc/71/91/16/1130719116.db2.gz NOXHMHHFNYEFTR-UHFFFAOYSA-N -1 1 341.345 -0.009 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)[C@@]1(F)CCOC1 ZINC001479240531 1130732778 /nfs/dbraw/zinc/73/27/78/1130732778.db2.gz GXFXGPYCHDPDOY-QMTHXVAHSA-N -1 1 327.360 -0.730 20 0 EBADMM CO[C@@H](C(=O)NC[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1)C1CC1 ZINC001480250880 1130765997 /nfs/dbraw/zinc/76/59/97/1130765997.db2.gz KWUMFLMVTZJZNK-SMDDNHRTSA-N -1 1 337.424 -0.138 20 0 EBADMM CCN(CCCNC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)C(F)F ZINC001492979682 1130842031 /nfs/dbraw/zinc/84/20/31/1130842031.db2.gz DELDJZFSWCQFJL-UHFFFAOYSA-N -1 1 346.334 -0.453 20 0 EBADMM CS[C@H](C)C(=O)N1CC(NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001356777146 1130934564 /nfs/dbraw/zinc/93/45/64/1130934564.db2.gz PNHTYBNBYOPFIY-SECBINFHSA-N -1 1 340.405 -0.995 20 0 EBADMM C[C@H](CNC(=O)c1cccn1C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001358270788 1131176854 /nfs/dbraw/zinc/17/68/54/1131176854.db2.gz RDEXCNRVJLPMRC-LLVKDONJSA-N -1 1 347.375 -0.800 20 0 EBADMM COC[C@H](C)CC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001413976818 1131184714 /nfs/dbraw/zinc/18/47/14/1131184714.db2.gz OJMJEIMSGBJTIQ-NQBHXWOUSA-N -1 1 337.376 -0.239 20 0 EBADMM Cc1nc(N2CCOCC2)[nH]c(=O)c1CCC(=O)N(C)c1nn[n-]n1 ZINC001361666313 1131437742 /nfs/dbraw/zinc/43/77/42/1131437742.db2.gz FBUCOWNHXPBAGN-UHFFFAOYSA-N -1 1 348.367 -0.564 20 0 EBADMM O=C(c1cnncc1[O-])N(C[C@@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001361926046 1131481153 /nfs/dbraw/zinc/48/11/53/1131481153.db2.gz LIRSXJJACFZPCA-QWRGUYRKSA-N -1 1 341.389 -0.010 20 0 EBADMM COC[C@]1(C(=O)Nc2nnn[n-]2)CN(C(=O)OC(C)(C)C)CCO1 ZINC001361933935 1131482955 /nfs/dbraw/zinc/48/29/55/1131482955.db2.gz WSHMHYLVBXGWQE-ZDUSSCGKSA-N -1 1 342.356 -0.209 20 0 EBADMM COC[C@]1(C(=O)Nc2nn[n-]n2)CN(C(=O)OC(C)(C)C)CCO1 ZINC001361933935 1131482956 /nfs/dbraw/zinc/48/29/56/1131482956.db2.gz WSHMHYLVBXGWQE-ZDUSSCGKSA-N -1 1 342.356 -0.209 20 0 EBADMM CC(C)(C)OC(=O)NC[C@@H]1OCC[C@H]1C(=O)NCc1nn[n-]n1 ZINC001362062848 1131507447 /nfs/dbraw/zinc/50/74/47/1131507447.db2.gz XXRGCUDSGCZVAC-BDAKNGLRSA-N -1 1 326.357 -0.254 20 0 EBADMM CC1=NS(=O)(=O)N(C)C=C1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362272161 1131549571 /nfs/dbraw/zinc/54/95/71/1131549571.db2.gz WVMDUKFJOZJSQG-VIFPVBQESA-N -1 1 339.381 -0.559 20 0 EBADMM COC(=O)[C@H](CC(C)C)NC(=O)CNC(=O)CCCc1nn[n-]n1 ZINC001362298448 1131554917 /nfs/dbraw/zinc/55/49/17/1131554917.db2.gz USHNSMNQJDZRIT-JTQLQIEISA-N -1 1 340.384 -0.658 20 0 EBADMM C[C@@H](CN(C)C(=O)CN1CCCC2(CC2)S1(=O)=O)c1nn[n-]n1 ZINC001362302180 1131555634 /nfs/dbraw/zinc/55/56/34/1131555634.db2.gz SOZRWXWJHXCRFM-JTQLQIEISA-N -1 1 342.425 -0.280 20 0 EBADMM NC(=O)COC1CCN(C(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC001362351860 1131565885 /nfs/dbraw/zinc/56/58/85/1131565885.db2.gz ACEXIFUTYHDOIH-UHFFFAOYSA-N -1 1 336.348 -0.990 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H](C)O[C@@]2(CCOC2)C1 ZINC001362440328 1131583877 /nfs/dbraw/zinc/58/38/77/1131583877.db2.gz STVQDLJCOXRBJO-RTHLEPHNSA-N -1 1 325.321 -0.084 20 0 EBADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@H]1CC[C@H](C(N)=O)O1 ZINC001362468375 1131589232 /nfs/dbraw/zinc/58/92/32/1131589232.db2.gz BIMQWALBFZGRBR-HTQZYQBOSA-N -1 1 326.378 -0.025 20 0 EBADMM CN(C)S(=O)(=O)C[C@@H](NC(=O)CCc1nn[n-]n1)C(F)(F)F ZINC001362525809 1131601835 /nfs/dbraw/zinc/60/18/35/1131601835.db2.gz GSJAQCBOGBIRLY-ZCFIWIBFSA-N -1 1 344.319 -0.929 20 0 EBADMM CCOC(=O)[C@H](NC(=O)CCCc1nn[n-]n1)[C@H]1CCCOC1 ZINC001362534848 1131604491 /nfs/dbraw/zinc/60/44/91/1131604491.db2.gz UEIKZSOOQXQNAA-GXFFZTMASA-N -1 1 325.369 -0.003 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC(C3CS(=O)(=O)C3)C2)co1 ZINC001362610247 1131623509 /nfs/dbraw/zinc/62/35/09/1131623509.db2.gz JFAWDQJYCQEKJS-UHFFFAOYSA-N -1 1 348.402 -0.696 20 0 EBADMM CS(=O)(=O)N[C@@H]1CCCC[C@@H]1CNC(=O)CCc1nn[n-]n1 ZINC001362639057 1131629830 /nfs/dbraw/zinc/62/98/30/1131629830.db2.gz MYVJAUNOKCZJDU-NXEZZACHSA-N -1 1 330.414 -0.644 20 0 EBADMM CC1(C)[C@H](NC(=O)c2[nH]c(=O)[nH]c(=O)c2N)C[C@H]1[NH+]1CCOCC1 ZINC001362639084 1131629939 /nfs/dbraw/zinc/62/99/39/1131629939.db2.gz MJRAPVNJELJXIX-RKDXNWHRSA-N -1 1 337.380 -0.301 20 0 EBADMM C[C@]1(CC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)CCS(=O)(=O)N1 ZINC001362654043 1131633548 /nfs/dbraw/zinc/63/35/48/1131633548.db2.gz HVSBNRGWRKMKPL-CYBMUJFWSA-N -1 1 344.393 -0.047 20 0 EBADMM CS(=O)(=O)NC[C@@H]1CCC[C@H]1NC(=O)CCCc1nn[n-]n1 ZINC001362703001 1131645664 /nfs/dbraw/zinc/64/56/64/1131645664.db2.gz DNIZUNKBRYTVNM-VHSXEESVSA-N -1 1 330.414 -0.644 20 0 EBADMM NS(=O)(=O)N1CCC(NC(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC001362711759 1131648590 /nfs/dbraw/zinc/64/85/90/1131648590.db2.gz KINIVYRLKKCXEU-UHFFFAOYSA-N -1 1 341.393 -0.543 20 0 EBADMM COC1(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)CS(=O)(=O)C1 ZINC001362716962 1131650709 /nfs/dbraw/zinc/65/07/09/1131650709.db2.gz PPTBJMUXSSBEGQ-JTQLQIEISA-N -1 1 337.361 -0.781 20 0 EBADMM COC(=O)[C@@H](C[C@@H]1CCCOC1)NC(=O)CCCc1nn[n-]n1 ZINC001362759073 1131665273 /nfs/dbraw/zinc/66/52/73/1131665273.db2.gz SNAAEARQNHLHLE-WDEREUQCSA-N -1 1 325.369 -0.003 20 0 EBADMM CC(C)NC(=O)OC1CN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC001362790942 1131674981 /nfs/dbraw/zinc/67/49/81/1131674981.db2.gz TVCMIOLFBGXFKC-UHFFFAOYSA-N -1 1 336.348 -0.138 20 0 EBADMM CCC[C@@H](C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)S(N)(=O)=O ZINC001362811953 1131682814 /nfs/dbraw/zinc/68/28/14/1131682814.db2.gz SZIHQCUISRUTOF-QMMMGPOBSA-N -1 1 345.343 -0.420 20 0 EBADMM CCOC(=O)c1c[n-]c(NC(=O)CN(C)S(=O)(=O)N(C)C)n1 ZINC001362823641 1131686862 /nfs/dbraw/zinc/68/68/62/1131686862.db2.gz YRBWJSMXEDJJHB-UHFFFAOYSA-N -1 1 333.370 -0.737 20 0 EBADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CC(O)(c2ncnn2C)C1 ZINC001362828076 1131687871 /nfs/dbraw/zinc/68/78/71/1131687871.db2.gz MPBUIJDFNUFGMN-UHFFFAOYSA-N -1 1 336.377 -0.315 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H](C)N(CCO)[C@@H](C)C2)o1 ZINC001362846873 1131693194 /nfs/dbraw/zinc/69/31/94/1131693194.db2.gz CDVPIEUFUKENEO-PHIMTYICSA-N -1 1 345.421 -0.285 20 0 EBADMM CCOC(=O)[C@H](F)C1CN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC001362934406 1131717263 /nfs/dbraw/zinc/71/72/63/1131717263.db2.gz HSCAXZVXZSCQRR-SSDOTTSWSA-N -1 1 329.284 -0.130 20 0 EBADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@H]1CC(C(N)=O)=NO1 ZINC001362943322 1131719180 /nfs/dbraw/zinc/71/91/80/1131719180.db2.gz VCNAFEVGQGCRJR-ZCFIWIBFSA-N -1 1 325.350 -0.427 20 0 EBADMM NC(=O)c1ccc(CC(=O)N2CCSC[C@H]2c2nn[n-]n2)cn1 ZINC001362966489 1131727795 /nfs/dbraw/zinc/72/77/95/1131727795.db2.gz ARGQYCDMLLFQPY-JTQLQIEISA-N -1 1 333.377 -0.447 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC(N2CCOC2=O)CC1 ZINC001363022233 1131750452 /nfs/dbraw/zinc/75/04/52/1131750452.db2.gz XBXYAKZZYPZLGN-UHFFFAOYSA-N -1 1 338.320 -0.047 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CCO[C@H]2C(=O)OC)co1 ZINC001363040278 1131757642 /nfs/dbraw/zinc/75/76/42/1131757642.db2.gz XQLZUFXVUCSZJF-WCBMZHEXSA-N -1 1 332.334 -0.752 20 0 EBADMM O=C(CCCc1nn[n-]n1)N1CCS(=O)(=O)C[C@H]1C1CCC1 ZINC001363067208 1131770341 /nfs/dbraw/zinc/77/03/41/1131770341.db2.gz MPCFHMHSGBRUGW-NSHDSACASA-N -1 1 327.410 -0.052 20 0 EBADMM O=C(CCCc1nn[n-]n1)N1CCN(S(=O)(=O)C2CC2)CC1 ZINC001363090063 1131779537 /nfs/dbraw/zinc/77/95/37/1131779537.db2.gz ZHBMFPJUVJHEBW-UHFFFAOYSA-N -1 1 328.398 -0.841 20 0 EBADMM COc1cc2[n-]cc(C(=O)N3CC(O)(C(N)=O)C3)c(=O)c2c(OC)c1 ZINC001363090574 1131779916 /nfs/dbraw/zinc/77/99/16/1131779916.db2.gz AKHRADGNMXIYDC-UHFFFAOYSA-N -1 1 347.327 -0.783 20 0 EBADMM CSCC[C@H](NC(=O)C1=C(C)OCCS1(=O)=O)c1nn[n-]n1 ZINC001363099344 1131784168 /nfs/dbraw/zinc/78/41/68/1131784168.db2.gz JFZPCFOUTZRTGT-QMMMGPOBSA-N -1 1 347.422 -0.213 20 0 EBADMM Cn1ncc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)c1Br ZINC001363116396 1131790907 /nfs/dbraw/zinc/79/09/07/1131790907.db2.gz KTSQXSSRRBMESK-ZETCQYMHSA-N -1 1 342.157 -0.091 20 0 EBADMM COC(=O)NC1CCN(C(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC001363123430 1131792886 /nfs/dbraw/zinc/79/28/86/1131792886.db2.gz DOQKFSUTMLNGEI-UHFFFAOYSA-N -1 1 336.348 -0.136 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCS(=O)(=O)[C@@H](C)C2)co1 ZINC001363171305 1131813809 /nfs/dbraw/zinc/81/38/09/1131813809.db2.gz FFFYGKCSMJWREZ-QMMMGPOBSA-N -1 1 336.391 -0.553 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NC2CN(c3ccncn3)C2)co1 ZINC001363193139 1131825292 /nfs/dbraw/zinc/82/52/92/1131825292.db2.gz YKIIJBDVMMZWAF-UHFFFAOYSA-N -1 1 337.361 -0.404 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2n[nH]cc2C(=O)N(C)C)co1 ZINC001363307096 1131888066 /nfs/dbraw/zinc/88/80/66/1131888066.db2.gz HMCYAWIZAQSHFE-UHFFFAOYSA-N -1 1 341.349 -0.135 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1C[C@@H](CO)[C@H](CO)C1 ZINC001363309724 1131889747 /nfs/dbraw/zinc/88/97/47/1131889747.db2.gz SKJZHQCVYMWDKR-RYUDHWBXSA-N -1 1 334.376 -0.347 20 0 EBADMM Nc1nc2nc(CN3CCC([C@H]4COC(=O)N4)CC3)cc(=O)n2[n-]1 ZINC001363320568 1131895910 /nfs/dbraw/zinc/89/59/10/1131895910.db2.gz YARUVJPQPGDHKM-SNVBAGLBSA-N -1 1 333.352 -0.680 20 0 EBADMM COC(=O)[C@]1(O)CCN(C(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC001363321314 1131897405 /nfs/dbraw/zinc/89/74/05/1131897405.db2.gz MBJKADFLIIXXSB-INIZCTEOSA-N -1 1 348.359 -0.269 20 0 EBADMM C[C@H](C(=O)N(C)CC(=O)NCc1nc([O-])cc(=O)[nH]1)n1cccn1 ZINC001363326780 1131900772 /nfs/dbraw/zinc/90/07/72/1131900772.db2.gz OWDASHQYOBWMSQ-SECBINFHSA-N -1 1 334.336 -0.580 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC1CC(CO)(CO)C1 ZINC001363353060 1131911245 /nfs/dbraw/zinc/91/12/45/1131911245.db2.gz XHVGVOGRMDNNQK-UHFFFAOYSA-N -1 1 334.376 -0.156 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC([C@@H](F)C(N)=O)CC2)o1 ZINC001363411794 1131938118 /nfs/dbraw/zinc/93/81/18/1131938118.db2.gz PELRIRQARFUEFB-LLVKDONJSA-N -1 1 347.368 -0.137 20 0 EBADMM C[C@H](NC(=O)c1ccccc1)C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC001363410574 1131938152 /nfs/dbraw/zinc/93/81/52/1131938152.db2.gz LWBVKTVAUHZFRM-JQWIXIFHSA-N -1 1 330.348 -0.082 20 0 EBADMM COC[C@@H](NC(=O)c1ccc(N2CCNC2=O)cc1)c1nn[n-]n1 ZINC001363413609 1131939244 /nfs/dbraw/zinc/93/92/44/1131939244.db2.gz JTRAXHUYVXPKEW-LLVKDONJSA-N -1 1 331.336 -0.153 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1[C@H](CO)CC[C@H]1CO ZINC001363459271 1131958403 /nfs/dbraw/zinc/95/84/03/1131958403.db2.gz VMOLSHPHWOZQFX-RYUDHWBXSA-N -1 1 334.376 -0.062 20 0 EBADMM CSc1nc(=O)[n-]c(C)c1C(=O)NN1CCS(=O)(=O)CC1 ZINC001363481417 1131967086 /nfs/dbraw/zinc/96/70/86/1131967086.db2.gz RVAUSONVJFGWFZ-UHFFFAOYSA-N -1 1 332.407 -0.412 20 0 EBADMM O=C(c1ccc2c(c1)C(=O)NCCO2)N1CCOC[C@H]1c1nn[n-]n1 ZINC001363510469 1131983350 /nfs/dbraw/zinc/98/33/50/1131983350.db2.gz FMQJYTGJEBQQJF-NSHDSACASA-N -1 1 344.331 -0.464 20 0 EBADMM O=C(CNC(=O)NCc1ccco1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001363515364 1131985768 /nfs/dbraw/zinc/98/57/68/1131985768.db2.gz ZXESKALEPIUOPH-SNVBAGLBSA-N -1 1 335.324 -0.808 20 0 EBADMM NS(=O)(=O)c1sccc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001363517899 1131987561 /nfs/dbraw/zinc/98/75/61/1131987561.db2.gz AKACQGNMLHDLFK-UHFFFAOYSA-N -1 1 342.406 -0.072 20 0 EBADMM CS(=O)(=O)NCc1ccc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)o1 ZINC001363531152 1131997898 /nfs/dbraw/zinc/99/78/98/1131997898.db2.gz ZJDCCYXWMQZJAD-QMMMGPOBSA-N -1 1 340.365 -0.528 20 0 EBADMM CCS(=O)(=O)N[C@@H](C)C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC001363541316 1132004354 /nfs/dbraw/zinc/00/43/54/1132004354.db2.gz SRJIAZZZXLZONJ-YUMQZZPRSA-N -1 1 336.443 -0.562 20 0 EBADMM COC[C@@H](NC(=O)[C@@H]1CC(=O)N(Cc2ccccn2)C1)c1nn[n-]n1 ZINC001363582741 1132022215 /nfs/dbraw/zinc/02/22/15/1132022215.db2.gz CABQQJGQAHUKTJ-ZYHUDNBSSA-N -1 1 345.363 -0.553 20 0 EBADMM COCC(=O)NCCC(=O)NC(C)(C)c1ncc(OC)c(=O)[n-]1 ZINC001363629175 1132037519 /nfs/dbraw/zinc/03/75/19/1132037519.db2.gz KHOYTZQKILILPJ-UHFFFAOYSA-N -1 1 326.353 -0.305 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@H]2CCc3nncn3CC2)n1 ZINC001363707797 1132064068 /nfs/dbraw/zinc/06/40/68/1132064068.db2.gz NKAIYVQBFAZGGO-ZETCQYMHSA-N -1 1 325.354 -0.609 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)[C@H]2CCc3nncn3CC2)[n-]1 ZINC001363707797 1132064075 /nfs/dbraw/zinc/06/40/75/1132064075.db2.gz NKAIYVQBFAZGGO-ZETCQYMHSA-N -1 1 325.354 -0.609 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@@H]2CCc3nncn3CC2)n1 ZINC001363707791 1132064475 /nfs/dbraw/zinc/06/44/75/1132064475.db2.gz NKAIYVQBFAZGGO-SSDOTTSWSA-N -1 1 325.354 -0.609 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)[C@@H]2CCc3nncn3CC2)[n-]1 ZINC001363707791 1132064480 /nfs/dbraw/zinc/06/44/80/1132064480.db2.gz NKAIYVQBFAZGGO-SSDOTTSWSA-N -1 1 325.354 -0.609 20 0 EBADMM Cn1cc(N2C[C@@H](C(=O)N3CC[C@@H](c4nn[n-]n4)C3)CC2=O)cn1 ZINC001363715052 1132066923 /nfs/dbraw/zinc/06/69/23/1132066923.db2.gz BGDJVASSFZSHCN-ZJUUUORDSA-N -1 1 330.352 -0.698 20 0 EBADMM CN(C)S(=O)(=O)c1c[nH]c(C(=O)N2CC[C@H](c3nn[n-]n3)C2)c1 ZINC001363714927 1132067097 /nfs/dbraw/zinc/06/70/97/1132067097.db2.gz AVSJBOSJOMMVJC-QMMMGPOBSA-N -1 1 339.381 -0.592 20 0 EBADMM Cc1nn(C)cc1C1=NO[C@@H](C(=O)N2CC[C@@H](c3nn[n-]n3)C2)C1 ZINC001363729623 1132070402 /nfs/dbraw/zinc/07/04/02/1132070402.db2.gz YHTLQZRCXKBFAH-BXKDBHETSA-N -1 1 330.352 -0.249 20 0 EBADMM Cc1nn(C)cc1C1=NO[C@@H](C(=O)N2CC[C@H](c3nn[n-]n3)C2)C1 ZINC001363729641 1132070939 /nfs/dbraw/zinc/07/09/39/1132070939.db2.gz YHTLQZRCXKBFAH-JOYOIKCWSA-N -1 1 330.352 -0.249 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@](C)(O)c2ccccc2)c(=O)[nH]c1=O ZINC001363778606 1132084818 /nfs/dbraw/zinc/08/48/18/1132084818.db2.gz DYPYZLZQNXGPTL-CQSZACIVSA-N -1 1 339.373 -0.328 20 0 EBADMM CO[C@]1(C)C[C@@H]([N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)C1(C)C ZINC001363784268 1132087924 /nfs/dbraw/zinc/08/79/24/1132087924.db2.gz HRXKYSSYRREFGR-NOZJJQNGSA-N -1 1 331.394 -0.032 20 0 EBADMM O=C(CS[C@@H]1CCS(=O)(=O)C1)NC1(c2nn[n-]n2)CCC1 ZINC001363821719 1132100822 /nfs/dbraw/zinc/10/08/22/1132100822.db2.gz OGMCWUCYFKQYDB-MRVPVSSYSA-N -1 1 331.423 -0.385 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CCO[C@H](C3CC3)C2)c(=O)[nH]c1=O ZINC001363856463 1132112979 /nfs/dbraw/zinc/11/29/79/1132112979.db2.gz VTFJLTXVQPAHKQ-ZJUUUORDSA-N -1 1 329.378 -0.278 20 0 EBADMM COc1cnc([C@@H]2CCCN2C(=O)CN2CCNC(=O)C2)[n-]c1=O ZINC001363882604 1132118042 /nfs/dbraw/zinc/11/80/42/1132118042.db2.gz BXPRLSPGZYXPPX-JTQLQIEISA-N -1 1 335.364 -0.714 20 0 EBADMM CCOC(=O)c1nc([C@H](C)NC(=O)CCS(=O)(=O)N(C)C)n[n-]1 ZINC001363887621 1132119985 /nfs/dbraw/zinc/11/99/85/1132119985.db2.gz QHNAIZYRFKKDRW-QMMMGPOBSA-N -1 1 347.397 -0.560 20 0 EBADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CCS(=O)(=O)N(C)C)[n-]1 ZINC001363887621 1132119987 /nfs/dbraw/zinc/11/99/87/1132119987.db2.gz QHNAIZYRFKKDRW-QMMMGPOBSA-N -1 1 347.397 -0.560 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CCS(=O)(=O)N(C)C)n1 ZINC001363887621 1132119991 /nfs/dbraw/zinc/11/99/91/1132119991.db2.gz QHNAIZYRFKKDRW-QMMMGPOBSA-N -1 1 347.397 -0.560 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H](c3nnc(C)[nH]3)C2)n[n-]1 ZINC001363932890 1132133918 /nfs/dbraw/zinc/13/39/18/1132133918.db2.gz GVZXYSYOEGBDGX-MRVPVSSYSA-N -1 1 340.365 -0.199 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCCS(=O)(=O)C2)[n-]n1 ZINC001363959542 1132144157 /nfs/dbraw/zinc/14/41/57/1132144157.db2.gz ATDWGCWFXMZLQH-ZETCQYMHSA-N -1 1 337.379 -0.948 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCCS(=O)(=O)C2)n[n-]1 ZINC001363959542 1132144158 /nfs/dbraw/zinc/14/41/58/1132144158.db2.gz ATDWGCWFXMZLQH-ZETCQYMHSA-N -1 1 337.379 -0.948 20 0 EBADMM CCC[C@H](C(=O)OCC)S(=O)(=O)[N-]C[C@@](C)(O)C(=O)OCC ZINC001363963863 1132144918 /nfs/dbraw/zinc/14/49/18/1132144918.db2.gz JSDZTPNGKJLPFX-ZWNOBZJWSA-N -1 1 339.410 -0.048 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H](C(=O)OC)[C@H]2C)[n-]n1 ZINC001363965924 1132145822 /nfs/dbraw/zinc/14/58/22/1132145822.db2.gz IUDJHZQWTBDZRN-SFYZADRCSA-N -1 1 331.350 -0.232 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H](C(=O)OC)[C@H]2C)n[n-]1 ZINC001363965924 1132145827 /nfs/dbraw/zinc/14/58/27/1132145827.db2.gz IUDJHZQWTBDZRN-SFYZADRCSA-N -1 1 331.350 -0.232 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC(CN3CCOCC3)C2)[n-]n1 ZINC001363982719 1132153309 /nfs/dbraw/zinc/15/33/09/1132153309.db2.gz DQHYMDITJBRUIF-UHFFFAOYSA-N -1 1 344.393 -0.851 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC(CN3CCOCC3)C2)n[n-]1 ZINC001363982719 1132153312 /nfs/dbraw/zinc/15/33/12/1132153312.db2.gz DQHYMDITJBRUIF-UHFFFAOYSA-N -1 1 344.393 -0.851 20 0 EBADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)CCS(=O)(=O)N(C)C)C1CC1 ZINC001363982436 1132153371 /nfs/dbraw/zinc/15/33/71/1132153371.db2.gz ZFAFXCOOYGFJCC-JTQLQIEISA-N -1 1 342.439 -0.861 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H]3COC(=O)N[C@@H]3C2)[n-]n1 ZINC001364006508 1132163415 /nfs/dbraw/zinc/16/34/15/1132163415.db2.gz HTWHKXMRCAMRBZ-IONNQARKSA-N -1 1 344.349 -0.685 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H]3COC(=O)N[C@@H]3C2)n[n-]1 ZINC001364006508 1132163420 /nfs/dbraw/zinc/16/34/20/1132163420.db2.gz HTWHKXMRCAMRBZ-IONNQARKSA-N -1 1 344.349 -0.685 20 0 EBADMM COC[C@H]([N-]S(=O)(=O)c1n[nH]cc1C(=O)OC)C1CCOCC1 ZINC001364015836 1132167047 /nfs/dbraw/zinc/16/70/47/1132167047.db2.gz WSCATYOEOBNVMM-NSHDSACASA-N -1 1 347.393 -0.084 20 0 EBADMM O=S(=O)([N-]C[C@H](n1cncn1)C(F)(F)F)[C@@H]1COC[C@H]1O ZINC001364021488 1132169438 /nfs/dbraw/zinc/16/94/38/1132169438.db2.gz JIAJKYYVGRARRS-PRJMDXOYSA-N -1 1 330.288 -0.939 20 0 EBADMM COc1cc(S(=O)(=O)[N-]C[C@@]23COC(=O)N2CCOC3)sn1 ZINC001364024811 1132170885 /nfs/dbraw/zinc/17/08/85/1132170885.db2.gz JZCXAKIDFHRBBA-LLVKDONJSA-N -1 1 349.390 -0.349 20 0 EBADMM COC[C@H](NC(=O)c1c(Br)nnn1C)c1nn[n-]n1 ZINC001364031056 1132174279 /nfs/dbraw/zinc/17/42/79/1132174279.db2.gz MYUFODAMDIMLFC-BYPYZUCNSA-N -1 1 331.134 -0.792 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](CO)CN2CCOCC2)o1 ZINC001364034253 1132175842 /nfs/dbraw/zinc/17/58/42/1132175842.db2.gz QAGBXIJSQBSTIX-SNVBAGLBSA-N -1 1 348.377 -0.962 20 0 EBADMM NC(=O)c1ccc(CC(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)cn1 ZINC001364049180 1132183166 /nfs/dbraw/zinc/18/31/66/1132183166.db2.gz APPYYAAKSOZJLY-NSHDSACASA-N -1 1 329.364 -0.283 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCOC12CCOCC2 ZINC001364069844 1132194791 /nfs/dbraw/zinc/19/47/91/1132194791.db2.gz IUDPYWAUYOZRLC-JTQLQIEISA-N -1 1 345.377 -0.187 20 0 EBADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]C1(C(=O)OC)CC(CO)C1 ZINC001364072990 1132195809 /nfs/dbraw/zinc/19/58/09/1132195809.db2.gz QFMGVBBYKQZGBW-UHFFFAOYSA-N -1 1 337.394 -0.581 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)N2CC3(C2)C[C@@H](O)CNC3=O)c1 ZINC001364080426 1132200144 /nfs/dbraw/zinc/20/01/44/1132200144.db2.gz AZOKFYRMGQDQKW-SECBINFHSA-N -1 1 342.373 -0.728 20 0 EBADMM COC(=O)c1c(NC(=O)CC2OCCCO2)n[n-]c1OCCO ZINC001364103442 1132206684 /nfs/dbraw/zinc/20/66/84/1132206684.db2.gz JRQYOHFQFAGTDD-UHFFFAOYSA-N -1 1 329.309 -0.341 20 0 EBADMM COC(=O)c1c(OCCO)n[n-]c1NC(=O)CC1OCCCO1 ZINC001364103442 1132206689 /nfs/dbraw/zinc/20/66/89/1132206689.db2.gz JRQYOHFQFAGTDD-UHFFFAOYSA-N -1 1 329.309 -0.341 20 0 EBADMM COC(=O)c1c(NC(=O)Cc2cn(C)nc2C)n[n-]c1OCCO ZINC001364107729 1132208742 /nfs/dbraw/zinc/20/87/42/1132208742.db2.gz VTLAGZYBIDCHBV-UHFFFAOYSA-N -1 1 337.336 -0.209 20 0 EBADMM COC(=O)c1c(OCCO)n[n-]c1NC(=O)Cc1cn(C)nc1C ZINC001364107729 1132208750 /nfs/dbraw/zinc/20/87/50/1132208750.db2.gz VTLAGZYBIDCHBV-UHFFFAOYSA-N -1 1 337.336 -0.209 20 0 EBADMM COc1cn(C)nc1[C@@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001364128710 1132215830 /nfs/dbraw/zinc/21/58/30/1132215830.db2.gz YHDASASOVPSDFR-SSDOTTSWSA-N -1 1 343.365 -0.733 20 0 EBADMM CC[C@@H](CN1CCOCC1)NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001364150725 1132220602 /nfs/dbraw/zinc/22/06/02/1132220602.db2.gz FRJIZIDIWFMQPU-VIFPVBQESA-N -1 1 326.353 -0.263 20 0 EBADMM CCS(=O)(=O)N1CCC(C(=O)NCc2nc([O-])cc(=O)[nH]2)CC1 ZINC001364201664 1132234301 /nfs/dbraw/zinc/23/43/01/1132234301.db2.gz FZCNMIQSWHYZHW-UHFFFAOYSA-N -1 1 344.393 -0.434 20 0 EBADMM Cn1cc(N2C[C@H](C(=O)NCc3nc([O-])cc(=O)[nH]3)CCC2=O)cn1 ZINC001364208191 1132237920 /nfs/dbraw/zinc/23/79/20/1132237920.db2.gz ULGFVNKINULGBJ-SECBINFHSA-N -1 1 346.347 -0.319 20 0 EBADMM CCOC(=O)C[C@@H](O)C(=O)NC(C)(C)c1ncc(OC)c(=O)[n-]1 ZINC001364231908 1132247178 /nfs/dbraw/zinc/24/71/78/1132247178.db2.gz FUUIKOLEZGIANY-MRVPVSSYSA-N -1 1 327.337 -0.144 20 0 EBADMM CCCNC(=O)[C@H](C)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001364331904 1132279362 /nfs/dbraw/zinc/27/93/62/1132279362.db2.gz POEVWDORXRAXAW-VIFPVBQESA-N -1 1 337.380 -0.031 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)N3C[C@@H]4CS(=O)(=O)C[C@@H]4C3)ccnc1-2 ZINC001364335130 1132280220 /nfs/dbraw/zinc/28/02/20/1132280220.db2.gz QIUIIXSVNNCWLJ-AOOOYVTPSA-N -1 1 335.389 -0.150 20 0 EBADMM NC(=O)c1ccccc1CCC(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC001364382738 1132300637 /nfs/dbraw/zinc/30/06/37/1132300637.db2.gz IBYIISUSIVSYMA-LBPRGKRZSA-N -1 1 330.348 -0.169 20 0 EBADMM O=C(c1cccc(N2CCNC2=O)c1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001364382749 1132301098 /nfs/dbraw/zinc/30/10/98/1132301098.db2.gz JKXCAOBMABFCIM-GFCCVEGCSA-N -1 1 343.347 -0.057 20 0 EBADMM COCCn1cc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)ccc1=O ZINC001364384539 1132301182 /nfs/dbraw/zinc/30/11/82/1132301182.db2.gz YNFYBWBPTJWUEW-LLVKDONJSA-N -1 1 334.336 -0.779 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(CC(N)=O)C2CCOCC2)o1 ZINC001364619873 1132376060 /nfs/dbraw/zinc/37/60/60/1132376060.db2.gz GFKHYCGKZHZNCD-UHFFFAOYSA-N -1 1 345.377 -0.706 20 0 EBADMM COC[C@@H](NC(=O)c1cc(C2CCOCC2)nn1C)c1nn[n-]n1 ZINC001364815980 1132437338 /nfs/dbraw/zinc/43/73/38/1132437338.db2.gz RVRKUZFVVXTHQW-LLVKDONJSA-N -1 1 335.368 -0.055 20 0 EBADMM O=C([C@H]1CCCCS1(=O)=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001364817492 1132438489 /nfs/dbraw/zinc/43/84/89/1132438489.db2.gz BQXUXCSLNTXKQJ-GHMZBOCLSA-N -1 1 327.410 -0.052 20 0 EBADMM C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001364820114 1132439525 /nfs/dbraw/zinc/43/95/25/1132439525.db2.gz VBYSAGYTFWRJRB-CWSCBRNRSA-N -1 1 336.396 -0.744 20 0 EBADMM CCOC(=O)[C@](C)(O)C[N-]S(=O)(=O)C1(C(=O)OCC)CCC1 ZINC001364835515 1132448073 /nfs/dbraw/zinc/44/80/73/1132448073.db2.gz JYIKZORLNKCMPR-GFCCVEGCSA-N -1 1 337.394 -0.294 20 0 EBADMM CCCC[C@@H]([N-]S(=O)(=O)CCS(=O)(=O)N(C)C)C(=O)OC ZINC001364839169 1132448636 /nfs/dbraw/zinc/44/86/36/1132448636.db2.gz PRYJYEUTPJGUTB-SNVBAGLBSA-N -1 1 344.455 -0.471 20 0 EBADMM CCNC(=O)C1(C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)CCC1 ZINC001364855546 1132455909 /nfs/dbraw/zinc/45/59/09/1132455909.db2.gz CYUJXWJNUQXYRO-UHFFFAOYSA-N -1 1 344.393 -0.929 20 0 EBADMM COc1cc(S(=O)(=O)[N-]C2CCN(CC(N)=O)CC2)sn1 ZINC001364900308 1132473934 /nfs/dbraw/zinc/47/39/34/1132473934.db2.gz AYSAILRALXPOSD-UHFFFAOYSA-N -1 1 334.423 -0.620 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCN([C@@H]3CCOC3)CC2)[n-]n1 ZINC001364901577 1132475300 /nfs/dbraw/zinc/47/53/00/1132475300.db2.gz FEBWKXUNXJGLJI-SNVBAGLBSA-N -1 1 344.393 -0.709 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCN([C@@H]3CCOC3)CC2)n[n-]1 ZINC001364901577 1132475303 /nfs/dbraw/zinc/47/53/03/1132475303.db2.gz FEBWKXUNXJGLJI-SNVBAGLBSA-N -1 1 344.393 -0.709 20 0 EBADMM O=S(=O)(C[C@@H]1CCC2(CCC2)O1)[N-][C@@H]1CS(=O)(=O)C[C@H]1O ZINC001364902421 1132475929 /nfs/dbraw/zinc/47/59/29/1132475929.db2.gz JBOJZBAVKAEHTA-HBNTYKKESA-N -1 1 339.435 -0.835 20 0 EBADMM O=S(=O)([N-][C@@H]1CS(=O)(=O)C[C@H]1O)c1cc(Cl)ccc1F ZINC001364902938 1132477015 /nfs/dbraw/zinc/47/70/15/1132477015.db2.gz NUNIEFUONHQTQO-RKDXNWHRSA-N -1 1 343.785 -0.085 20 0 EBADMM O=S(=O)(Cc1noc2ccccc21)[N-][C@@H]1CS(=O)(=O)C[C@H]1O ZINC001364928365 1132489334 /nfs/dbraw/zinc/48/93/34/1132489334.db2.gz OBWFJHRSWWHYMT-GHMZBOCLSA-N -1 1 346.386 -0.595 20 0 EBADMM COc1cnc(C(C)(C)NC(=O)Cn2ccc(=O)n(C)c2=O)[n-]c1=O ZINC001364951073 1132499499 /nfs/dbraw/zinc/49/94/99/1132499499.db2.gz WPKAOPVHKWFDSP-UHFFFAOYSA-N -1 1 349.347 -0.897 20 0 EBADMM COC(=O)[C@H](C[C@H]1CCCO1)[N-]S(=O)(=O)c1c(C)onc1N ZINC001364961032 1132504135 /nfs/dbraw/zinc/50/41/35/1132504135.db2.gz RGDVGHKYNXBPCK-BDAKNGLRSA-N -1 1 333.366 -0.046 20 0 EBADMM COC(=O)[C@@H](CC1OCCO1)[N-]S(=O)(=O)C[C@H](OC)C1CC1 ZINC001364992845 1132513516 /nfs/dbraw/zinc/51/35/16/1132513516.db2.gz NVQXOFBSWQGZQF-MNOVXSKESA-N -1 1 337.394 -0.365 20 0 EBADMM COC(=O)[C@@H](CC1OCCO1)[N-]S(=O)(=O)CC1CCOCC1 ZINC001364995621 1132514060 /nfs/dbraw/zinc/51/40/60/1132514060.db2.gz WWRRNCWUFQMULT-LLVKDONJSA-N -1 1 337.394 -0.363 20 0 EBADMM Cn1ncc2c1CCC[C@H]2[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001365017059 1132520273 /nfs/dbraw/zinc/52/02/73/1132520273.db2.gz QKHOIASJTACTPN-SECBINFHSA-N -1 1 339.377 -0.425 20 0 EBADMM CS(C)(=O)=NS(=O)(=O)[N-]Cc1cccc(S(N)(=O)=O)c1 ZINC001365030386 1132523545 /nfs/dbraw/zinc/52/35/45/1132523545.db2.gz DUHBSODARKBQHQ-UHFFFAOYSA-N -1 1 341.436 -0.604 20 0 EBADMM COc1cnc([C@H]2CCCN2C(=O)CS(=O)(=O)N(C)C)[n-]c1=O ZINC001365142279 1132558502 /nfs/dbraw/zinc/55/85/02/1132558502.db2.gz DZTBFTHAYISCNM-SECBINFHSA-N -1 1 344.393 -0.254 20 0 EBADMM Nc1cn[nH]c1[C@H]1CCN(C(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001365153602 1132562219 /nfs/dbraw/zinc/56/22/19/1132562219.db2.gz WLGODOAQLDNAGK-QMMMGPOBSA-N -1 1 328.336 -0.607 20 0 EBADMM CN1CCC[C@H]1c1cc(C(=O)Nc2n[n-]c(S(C)(=O)=O)n2)n[nH]1 ZINC001365183976 1132573615 /nfs/dbraw/zinc/57/36/15/1132573615.db2.gz KRXCYGBDQZXCMS-VIFPVBQESA-N -1 1 339.381 -0.050 20 0 EBADMM CN1CCC[C@H]1c1cc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)n[nH]1 ZINC001365183976 1132573623 /nfs/dbraw/zinc/57/36/23/1132573623.db2.gz KRXCYGBDQZXCMS-VIFPVBQESA-N -1 1 339.381 -0.050 20 0 EBADMM COC(=O)c1c(NC(=O)[C@@H]2CCCC(=O)N2C)n[n-]c1OCCO ZINC001365204951 1132579233 /nfs/dbraw/zinc/57/92/33/1132579233.db2.gz NSWGLEJIDYXFPR-QMMMGPOBSA-N -1 1 340.336 -0.483 20 0 EBADMM COC(=O)c1c(OCCO)n[n-]c1NC(=O)[C@@H]1CCCC(=O)N1C ZINC001365204951 1132579238 /nfs/dbraw/zinc/57/92/38/1132579238.db2.gz NSWGLEJIDYXFPR-QMMMGPOBSA-N -1 1 340.336 -0.483 20 0 EBADMM Nc1nc2nc(CN3CCC[C@H](C(=O)NC4CC4)C3)cc(=O)n2[n-]1 ZINC001365267637 1132602713 /nfs/dbraw/zinc/60/27/13/1132602713.db2.gz ICIGZIXFZCVJEV-VIFPVBQESA-N -1 1 331.380 -0.510 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C(C)(C)CS(C)(=O)=O ZINC001365375806 1132639614 /nfs/dbraw/zinc/63/96/14/1132639614.db2.gz AWWZQRSVTOORAX-UHFFFAOYSA-N -1 1 339.395 -0.702 20 0 EBADMM O=S(=O)([N-][C@@H](CO)[C@@H]1CCOC1)c1nc[nH]c1Br ZINC001365382357 1132643915 /nfs/dbraw/zinc/64/39/15/1132643915.db2.gz WUUBRDWFGPYMJP-RQJHMYQMSA-N -1 1 340.199 -0.152 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC(=O)N(CC3CC3)C2)[n-]n1 ZINC001365405520 1132655303 /nfs/dbraw/zinc/65/53/03/1132655303.db2.gz LZDUEMQRQVIEIF-UHFFFAOYSA-N -1 1 328.350 -0.603 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC(=O)N(CC3CC3)C2)n[n-]1 ZINC001365405520 1132655309 /nfs/dbraw/zinc/65/53/09/1132655309.db2.gz LZDUEMQRQVIEIF-UHFFFAOYSA-N -1 1 328.350 -0.603 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N1CCOC[C@H]1CCO ZINC001365431113 1132669288 /nfs/dbraw/zinc/66/92/88/1132669288.db2.gz UMYAPUZUOWLMIQ-SNVBAGLBSA-N -1 1 338.364 -0.452 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@@](CO)(OC)C2)[n-]n1 ZINC001365436513 1132673437 /nfs/dbraw/zinc/67/34/37/1132673437.db2.gz HPEPJVFQXWGPLP-GFCCVEGCSA-N -1 1 333.366 -0.642 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@@](CO)(OC)C2)n[n-]1 ZINC001365436513 1132673441 /nfs/dbraw/zinc/67/34/41/1132673441.db2.gz HPEPJVFQXWGPLP-GFCCVEGCSA-N -1 1 333.366 -0.642 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H](C2CCC2)[C@H]2CCOC2)c(=O)[nH]c1=O ZINC001365454674 1132686572 /nfs/dbraw/zinc/68/65/72/1132686572.db2.gz TVAHDRZCHZJSCW-CMPLNLGQSA-N -1 1 343.405 -0.031 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@@H]3CCNC(=O)[C@H]32)[n-]n1 ZINC001365457751 1132689017 /nfs/dbraw/zinc/68/90/17/1132689017.db2.gz SQHQXTJLPDPTMD-KCJUWKMLSA-N -1 1 342.377 -0.515 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@@H]3CCNC(=O)[C@H]32)n[n-]1 ZINC001365457751 1132689022 /nfs/dbraw/zinc/68/90/22/1132689022.db2.gz SQHQXTJLPDPTMD-KCJUWKMLSA-N -1 1 342.377 -0.515 20 0 EBADMM COc1cc(S(=O)(=O)[N-]C[C@]2(CO)COCCN2C)sn1 ZINC001365460600 1132692529 /nfs/dbraw/zinc/69/25/29/1132692529.db2.gz CEGWNBIWLARMEN-NSHDSACASA-N -1 1 337.423 -0.877 20 0 EBADMM CCOC(=O)CCS(=O)(=O)[N-][C@](C)(Cn1cccn1)C(=O)OC ZINC001365471037 1132699635 /nfs/dbraw/zinc/69/96/35/1132699635.db2.gz UIAQOCJXSWZCIS-CYBMUJFWSA-N -1 1 347.393 -0.313 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CC[C@@H](C2)N3C(=O)Cc2nnc[nH]2)nc1=O ZINC001365602672 1132733808 /nfs/dbraw/zinc/73/38/08/1132733808.db2.gz RXZYOGWTIMFNCV-MNOVXSKESA-N -1 1 346.395 -0.966 20 0 EBADMM COC(=O)C1(S(=O)(=O)[N-]CC(=O)Nc2ccn(C)n2)CCC1 ZINC001365704214 1132768671 /nfs/dbraw/zinc/76/86/71/1132768671.db2.gz FPWLBAVEFHSWGW-UHFFFAOYSA-N -1 1 330.366 -0.626 20 0 EBADMM C[C@H]1OCC[C@@]1(O)C[N-]S(=O)(=O)c1nc[nH]c1Br ZINC001365703116 1132768717 /nfs/dbraw/zinc/76/87/17/1132768717.db2.gz PLTFZRCPLXHFSZ-HZGVNTEJSA-N -1 1 340.199 -0.010 20 0 EBADMM CCn1cccc(C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)c1=O ZINC001365704614 1132769697 /nfs/dbraw/zinc/76/96/97/1132769697.db2.gz SVPZZAQHLLBYDP-UHFFFAOYSA-N -1 1 340.361 -0.854 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2nccc(C(F)F)n2)c(=O)[nH]c1=O ZINC001365711207 1132771224 /nfs/dbraw/zinc/77/12/24/1132771224.db2.gz JKWFPASZWKQDPO-UHFFFAOYSA-N -1 1 347.303 -0.308 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H]3CC[C@@H](C2)[S@@]3=O)[n-]n1 ZINC001365725391 1132777229 /nfs/dbraw/zinc/77/72/29/1132777229.db2.gz KZIZTIWYZBBMLM-KRNBLGIKSA-N -1 1 347.418 -0.130 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H]3CC[C@@H](C2)[S@@]3=O)n[n-]1 ZINC001365725391 1132777232 /nfs/dbraw/zinc/77/72/32/1132777232.db2.gz KZIZTIWYZBBMLM-KRNBLGIKSA-N -1 1 347.418 -0.130 20 0 EBADMM CCOC(=O)[C@H](COC)[N-]S(=O)(=O)[C@H]1CC[C@@H](C(=O)OC)C1 ZINC001365735801 1132784789 /nfs/dbraw/zinc/78/47/89/1132784789.db2.gz VAEAMBNQTMCTAT-VWYCJHECSA-N -1 1 337.394 -0.174 20 0 EBADMM C[C@H]1CC2(CC([N-]S(=O)(=O)c3cn(C)c(=O)[nH]c3=O)C2)CO1 ZINC001365741963 1132786793 /nfs/dbraw/zinc/78/67/93/1132786793.db2.gz AYOCSMKPGFFOPO-BVDKZHGWSA-N -1 1 329.378 -0.278 20 0 EBADMM CC(C)OCC(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001365921445 1132886493 /nfs/dbraw/zinc/88/64/93/1132886493.db2.gz ABBPWQAWBDESBH-UHFFFAOYSA-N -1 1 325.413 -0.044 20 0 EBADMM CCO[C@H](C)C(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001365925262 1132888643 /nfs/dbraw/zinc/88/86/43/1132888643.db2.gz MMALBBIQYXNUED-LLVKDONJSA-N -1 1 325.413 -0.044 20 0 EBADMM CC[C@H](NC(C)=O)C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001365941164 1132902062 /nfs/dbraw/zinc/90/20/62/1132902062.db2.gz ITQDWKCSTILDOQ-NEPJUHHUSA-N -1 1 338.412 -0.896 20 0 EBADMM O=C(CN1CC[C@H](NC(=O)c2ncccc2[O-])C1)NC[C@@H]1CCOC1 ZINC001365974883 1132936544 /nfs/dbraw/zinc/93/65/44/1132936544.db2.gz FCJDLLHCHNQWCD-STQMWFEESA-N -1 1 348.403 -0.256 20 0 EBADMM Cn1ccnc1CCC(=O)NC[C@@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001366040479 1132976808 /nfs/dbraw/zinc/97/68/08/1132976808.db2.gz FXECOWOMULMEEQ-LLVKDONJSA-N -1 1 333.396 -0.139 20 0 EBADMM Cc1nnc(CC(=O)NC[C@@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)o1 ZINC001366200081 1133053520 /nfs/dbraw/zinc/05/35/20/1133053520.db2.gz MOQNKNMJMNPVJV-NSHDSACASA-N -1 1 349.395 -0.487 20 0 EBADMM Cc1cc(CC(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)no1 ZINC001366661424 1133233410 /nfs/dbraw/zinc/23/34/10/1133233410.db2.gz UIRXEVZKTXKYDN-GFCCVEGCSA-N -1 1 334.380 -0.272 20 0 EBADMM Cc1noc(C)c1CCC(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001366945689 1133378780 /nfs/dbraw/zinc/37/87/80/1133378780.db2.gz ALHKBJQAQIKDHP-UHFFFAOYSA-N -1 1 334.380 -0.354 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)COc3ccsc3)C2)nc1=O ZINC001366982735 1133400772 /nfs/dbraw/zinc/40/07/72/1133400772.db2.gz QGKLZLRZYFHHMY-UHFFFAOYSA-N -1 1 337.405 -0.203 20 0 EBADMM C[C@H](CC(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1)[C@@H]1CCCO1 ZINC001366993718 1133408967 /nfs/dbraw/zinc/40/89/67/1133408967.db2.gz VTHNKYWSTRMCLH-YPMHNXCESA-N -1 1 337.424 -0.138 20 0 EBADMM COC[C@@H](O)C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001367001294 1133414498 /nfs/dbraw/zinc/41/44/98/1133414498.db2.gz DGCGRPQHKLWLDU-DCAQKATOSA-N -1 1 348.359 -0.166 20 0 EBADMM COC[C@@H](O)CN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001367001294 1133414501 /nfs/dbraw/zinc/41/45/01/1133414501.db2.gz DGCGRPQHKLWLDU-DCAQKATOSA-N -1 1 348.359 -0.166 20 0 EBADMM CC[C@H](CNC(=O)[C@H](OC)c1cnn(C)c1)NCc1n[nH]c(=O)[n-]1 ZINC001367122009 1133481009 /nfs/dbraw/zinc/48/10/09/1133481009.db2.gz QUGCVHVHABSUCL-ZYHUDNBSSA-N -1 1 337.384 -0.384 20 0 EBADMM C[C@@](CNCc1n[nH]c(=O)[n-]1)(NC(=O)[C@H]1COCCO1)C1CC1 ZINC001367166424 1133491503 /nfs/dbraw/zinc/49/15/03/1133491503.db2.gz FETOPQIRKXBGRN-YGRLFVJLSA-N -1 1 325.369 -0.700 20 0 EBADMM CC[C@@H](C(=O)N(C)[C@@H](C)CNCc1n[nH]c(=O)[n-]1)N1CCCC1=O ZINC001367396998 1133577855 /nfs/dbraw/zinc/57/78/55/1133577855.db2.gz CKRXDKRDTRPBEX-QWRGUYRKSA-N -1 1 338.412 -0.152 20 0 EBADMM COC(=O)NCC(=O)N1CCC[C@H](C)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001367443532 1133590807 /nfs/dbraw/zinc/59/08/07/1133590807.db2.gz HWTMNROYFROUJC-VHSXEESVSA-N -1 1 340.384 -0.417 20 0 EBADMM CC(C)[C@@H](CNC(=O)[C@@H]1CCCC(=O)N1C)NCc1n[nH]c(=O)[n-]1 ZINC001367483855 1133599938 /nfs/dbraw/zinc/59/99/38/1133599938.db2.gz QIPURSHZVLALGA-MNOVXSKESA-N -1 1 338.412 -0.248 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H](O)CNCc2cc3n(n2)CCC3)c1[O-] ZINC001367587671 1133658726 /nfs/dbraw/zinc/65/87/26/1133658726.db2.gz UMRKINAIJKQMTQ-LBPRGKRZSA-N -1 1 334.380 -0.553 20 0 EBADMM CC(=O)N[C@H](CC1CCC1)C(=O)N[C@@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001367755515 1133773057 /nfs/dbraw/zinc/77/30/57/1133773057.db2.gz XCQCRRVTBPNABM-JOYOIKCWSA-N -1 1 338.412 -0.201 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1cncc(N2CCCC2)n1 ZINC001367758994 1133773910 /nfs/dbraw/zinc/77/39/10/1133773910.db2.gz DNWSFNBXGGFSCH-JTQLQIEISA-N -1 1 346.395 -0.191 20 0 EBADMM C[C@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)c1c(Cl)cnn1C ZINC001367803589 1133790419 /nfs/dbraw/zinc/79/04/19/1133790419.db2.gz ILXRBZHSZJTRGL-MRVPVSSYSA-N -1 1 341.803 -0.254 20 0 EBADMM C[C@@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)c1ccc(C(N)=O)o1 ZINC001367831252 1133804158 /nfs/dbraw/zinc/80/41/58/1133804158.db2.gz WRNKHJUOCXCKCO-QMMMGPOBSA-N -1 1 336.352 -0.949 20 0 EBADMM C[C@H](CN(C)[C@H](C)C(N)=O)NC(=O)C(C)(C)[N-]C(=O)C(F)(F)F ZINC001367860508 1133818319 /nfs/dbraw/zinc/81/83/19/1133818319.db2.gz JLELYXAHFFFFOO-HTQZYQBOSA-N -1 1 340.346 -0.246 20 0 EBADMM C[C@@H](CS(C)(=O)=O)C(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001367921921 1133840803 /nfs/dbraw/zinc/84/08/03/1133840803.db2.gz RSVPNNWPELNYIB-WCBMZHEXSA-N -1 1 345.425 -0.825 20 0 EBADMM Cc1ccn(C[C@H](C)C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001367957122 1133862867 /nfs/dbraw/zinc/86/28/67/1133862867.db2.gz XQVGDRJRPJNBCP-AAEUAGOBSA-N -1 1 347.423 -0.360 20 0 EBADMM C[C@H](C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)c1cccnc1 ZINC001367984329 1133879524 /nfs/dbraw/zinc/87/95/24/1133879524.db2.gz QPCHRZVBZUJVIL-WCQYABFASA-N -1 1 330.392 -0.002 20 0 EBADMM C[C@H](C(=O)N[C@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1)n1cncn1 ZINC001368145068 1133957614 /nfs/dbraw/zinc/95/76/14/1133957614.db2.gz BNQWVUNAJWOKME-QMTHXVAHSA-N -1 1 334.384 -0.958 20 0 EBADMM CC[C@@H](CC(F)F)C(=O)NCC1(O)CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001368304512 1134127532 /nfs/dbraw/zinc/12/75/32/1134127532.db2.gz PJAXOFSRJPKFJD-VIFPVBQESA-N -1 1 347.366 -0.547 20 0 EBADMM Cn1[n-]c(CN2CC(O)(CNC(=O)c3ccccc3F)C2)nc1=O ZINC001368314971 1134138231 /nfs/dbraw/zinc/13/82/31/1134138231.db2.gz NDMIDMCFGKOYBF-UHFFFAOYSA-N -1 1 335.339 -0.776 20 0 EBADMM Cc1noc(C)c1CC(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001368440031 1134227924 /nfs/dbraw/zinc/22/79/24/1134227924.db2.gz SOTFCMXVDHPOIV-LBPRGKRZSA-N -1 1 348.407 -0.011 20 0 EBADMM COc1cnc(C(=O)NCC2(NCc3n[nH]c(=O)[n-]3)CCC2)nc1 ZINC001368478110 1134246749 /nfs/dbraw/zinc/24/67/49/1134246749.db2.gz UZNGDGUFLMWCIT-UHFFFAOYSA-N -1 1 333.352 -0.249 20 0 EBADMM CCNC(=O)CN1CC2(C1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC001368572717 1134297869 /nfs/dbraw/zinc/29/78/69/1134297869.db2.gz NIMVMOFURMQBKK-UHFFFAOYSA-N -1 1 334.376 -0.550 20 0 EBADMM CC[C@@H](F)C(=O)N[C@@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001368589879 1134311164 /nfs/dbraw/zinc/31/11/64/1134311164.db2.gz AWUKCLNRIDLSKV-VHSXEESVSA-N -1 1 327.360 -0.684 20 0 EBADMM Cc1c(C(=O)NC2(CNCc3n[nH]c(=O)[n-]3)CCOCC2)cnn1C ZINC001368626977 1134337998 /nfs/dbraw/zinc/33/79/98/1134337998.db2.gz IXBNHRBWZDRDEO-UHFFFAOYSA-N -1 1 349.395 -0.379 20 0 EBADMM CCn1cc(C(=O)N2CCC(O)(CNCc3n[nH]c(=O)[n-]3)CC2)cn1 ZINC001368678170 1134375390 /nfs/dbraw/zinc/37/53/90/1134375390.db2.gz IMNNOPXPXXXZNY-UHFFFAOYSA-N -1 1 349.395 -0.516 20 0 EBADMM CCC(=O)NCC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H](C)C1 ZINC001368692276 1134393648 /nfs/dbraw/zinc/39/36/48/1134393648.db2.gz IIHYDFJZXVZVHH-WDEREUQCSA-N -1 1 338.412 -0.896 20 0 EBADMM CC(C)C(=O)N1CCC[C@H](N(CCO)Cc2nc(=O)n(C)[n-]2)C1 ZINC001368720229 1134406149 /nfs/dbraw/zinc/40/61/49/1134406149.db2.gz SNOWNCNQGRVQEU-LBPRGKRZSA-N -1 1 325.413 -0.450 20 0 EBADMM Cn1[n-]c(CN2CC(CCO)(NC(=O)CC3CCCC3)C2)nc1=O ZINC001369015760 1134520387 /nfs/dbraw/zinc/52/03/87/1134520387.db2.gz IUICDHKNSBTSKE-UHFFFAOYSA-N -1 1 337.424 -0.258 20 0 EBADMM C[C@H](C(=O)NC1(CCO)CN(Cc2nc(=O)n(C)[n-]2)C1)C(C)(F)F ZINC001369033363 1134540123 /nfs/dbraw/zinc/54/01/23/1134540123.db2.gz YIDQDIOHUPUHDL-SECBINFHSA-N -1 1 347.366 -0.547 20 0 EBADMM CCCC(C)(C)C(=O)NC1(CCO)CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001369034018 1134540503 /nfs/dbraw/zinc/54/05/03/1134540503.db2.gz WPBMHBPFOWMICP-UHFFFAOYSA-N -1 1 339.440 -0.012 20 0 EBADMM Cc1c(CC(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001369085181 1134557506 /nfs/dbraw/zinc/55/75/06/1134557506.db2.gz VDLMZGWXMWCIPK-SNVBAGLBSA-N -1 1 347.423 -0.670 20 0 EBADMM CO[C@@H](C)CC(=O)N1CC2(C1)CCCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001369147341 1134592216 /nfs/dbraw/zinc/59/22/16/1134592216.db2.gz KNXDUFZAWKUFBI-LBPRGKRZSA-N -1 1 337.424 -0.042 20 0 EBADMM CN(C(=O)[C@H]1CCNC(=O)C1)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001369207274 1134617015 /nfs/dbraw/zinc/61/70/15/1134617015.db2.gz WPXKDLDXPJDYBL-WDEREUQCSA-N -1 1 336.396 -0.637 20 0 EBADMM C[C@H](CN(C)C(=O)c1n[nH]c(=O)[n-]c1=O)NCc1cc2n(n1)CCC2 ZINC001369263692 1134634379 /nfs/dbraw/zinc/63/43/79/1134634379.db2.gz DLTXAQIQXZESKV-SECBINFHSA-N -1 1 347.379 -0.324 20 0 EBADMM CCNC(=O)CCC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001369448420 1134787334 /nfs/dbraw/zinc/78/73/34/1134787334.db2.gz YNKFJPQOAKUTJB-JTQLQIEISA-N -1 1 338.412 -0.247 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H](O)CN(C)C(=O)c1nccs1 ZINC001369520863 1134917329 /nfs/dbraw/zinc/91/73/29/1134917329.db2.gz WUGNFIVVKSGEPP-VIFPVBQESA-N -1 1 340.409 -0.870 20 0 EBADMM COCCN(CCNC(=O)c1ncccc1C)Cc1nc(=O)n(C)[n-]1 ZINC001369551646 1134932526 /nfs/dbraw/zinc/93/25/26/1134932526.db2.gz SIRCBHVWNLAUNS-UHFFFAOYSA-N -1 1 348.407 -0.310 20 0 EBADMM C[C@@H](NC(=O)c1cn(C)c(=O)n1C)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001369676614 1134984429 /nfs/dbraw/zinc/98/44/29/1134984429.db2.gz BXXPDXBEZVEZKI-ZJUUUORDSA-N -1 1 347.375 -0.239 20 0 EBADMM CNC(=O)NCCC(=O)N[C@H](C)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001369680962 1134985481 /nfs/dbraw/zinc/98/54/81/1134985481.db2.gz GLLDOBBHTFBMOA-NXEZZACHSA-N -1 1 337.380 -0.271 20 0 EBADMM O=C(NC1(CCO)CN(C(=O)c2ncccc2[O-])C1)c1cocn1 ZINC001369992179 1135147676 /nfs/dbraw/zinc/14/76/76/1135147676.db2.gz ZWQNRBXNXPAYRQ-UHFFFAOYSA-N -1 1 332.316 -0.218 20 0 EBADMM CCOc1cnc(C(=O)NC[C@@H](NCc2n[nH]c(=O)[n-]2)C2CC2)cn1 ZINC001370273195 1135278748 /nfs/dbraw/zinc/27/87/48/1135278748.db2.gz CUPFVPGTFOQEMQ-SNVBAGLBSA-N -1 1 347.379 -0.003 20 0 EBADMM CS(=O)(=O)CC(=O)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001370291751 1135286960 /nfs/dbraw/zinc/28/69/60/1135286960.db2.gz QHQSTYOFNZPHFK-MGCOHNPYSA-N -1 1 341.389 -0.544 20 0 EBADMM CO[C@H](C(=O)N[C@H](CO)CNC(=O)c1ncccc1[O-])C1CCC1 ZINC001370682714 1135492085 /nfs/dbraw/zinc/49/20/85/1135492085.db2.gz QZUOCRHQXGFKBJ-FZMZJTMJSA-N -1 1 337.376 -0.191 20 0 EBADMM CCO[C@@H](C(=O)NC[C@H](CO)NC(=O)c1ncccc1[O-])C1CC1 ZINC001370707897 1135528044 /nfs/dbraw/zinc/52/80/44/1135528044.db2.gz KDEZCNHTERSOFH-BXUZGUMPSA-N -1 1 337.376 -0.191 20 0 EBADMM CS(=O)(=O)CCC(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001370935185 1135700221 /nfs/dbraw/zinc/70/02/21/1135700221.db2.gz VGSHWWXRAJCFJQ-JTQLQIEISA-N -1 1 345.425 -0.584 20 0 EBADMM Cc1nc(C)c(C(=O)NC[C@H](O)CN(C)C(=O)c2ncccc2[O-])[nH]1 ZINC001370987948 1135738743 /nfs/dbraw/zinc/73/87/43/1135738743.db2.gz HUAVCPXDXRQZIN-NSHDSACASA-N -1 1 347.375 -0.010 20 0 EBADMM C[C@@H](NC(=O)C1CCCC1)C(=O)NC[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001371201873 1135863760 /nfs/dbraw/zinc/86/37/60/1135863760.db2.gz XCHOCVXQQSKYBA-VHSXEESVSA-N -1 1 338.412 -0.201 20 0 EBADMM COCC1(C(=O)NC[C@@H](C)NCc2n[nH]c(=O)[n-]2)CCOCC1 ZINC001371208171 1135864909 /nfs/dbraw/zinc/86/49/09/1135864909.db2.gz PYDQVJMZADBXFK-SNVBAGLBSA-N -1 1 327.385 -0.452 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001371586455 1135976553 /nfs/dbraw/zinc/97/65/53/1135976553.db2.gz GZSPIGSWSDOKQA-ZYHUDNBSSA-N -1 1 334.376 -0.015 20 0 EBADMM C[C@H](CC(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1)NC(N)=O ZINC001372176017 1136128048 /nfs/dbraw/zinc/12/80/48/1136128048.db2.gz JMIIAYVOHSICFO-MNOVXSKESA-N -1 1 349.391 -0.045 20 0 EBADMM Cc1nn(C)c(C)c1[C@H](C)C(=O)NCCN(C)Cc1nc(=O)n(C)[n-]1 ZINC001372250067 1136153446 /nfs/dbraw/zinc/15/34/46/1136153446.db2.gz AEIYYUSRSWIMIF-JTQLQIEISA-N -1 1 349.439 -0.190 20 0 EBADMM CCN(CCNC(=O)c1cn(CC)nc1C)Cc1nc(=O)n(C)[n-]1 ZINC001372426961 1136199251 /nfs/dbraw/zinc/19/92/51/1136199251.db2.gz QPXZWOLPPQUQSN-UHFFFAOYSA-N -1 1 335.412 -0.115 20 0 EBADMM Cn1ccc(CC(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001372505735 1136217498 /nfs/dbraw/zinc/21/74/98/1136217498.db2.gz LHKGVCNGFYUSMG-LBPRGKRZSA-N -1 1 333.396 -0.835 20 0 EBADMM CC[C@H](C)C(=O)N[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001372538890 1136226579 /nfs/dbraw/zinc/22/65/79/1136226579.db2.gz RBCFEHYUHNLVQB-GUBZILKMSA-N -1 1 332.364 -0.159 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)CNC(=O)c1cccn1C ZINC001372776541 1136289211 /nfs/dbraw/zinc/28/92/11/1136289211.db2.gz GKOIZOSSOJZCEY-MRVPVSSYSA-N -1 1 349.347 -0.217 20 0 EBADMM Cn1[n-]c(CN(CCNC(=O)CCc2cncnc2)C2CC2)nc1=O ZINC001372833553 1136300170 /nfs/dbraw/zinc/30/01/70/1136300170.db2.gz ZRKKLMYHGWOYPN-UHFFFAOYSA-N -1 1 345.407 -0.388 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CCNC(=O)CC1 ZINC001372838682 1136301637 /nfs/dbraw/zinc/30/16/37/1136301637.db2.gz WNOSXOGSRGKLII-WDEREUQCSA-N -1 1 334.376 -0.062 20 0 EBADMM CCCN(C(=O)c1nonc1C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001373137607 1136378794 /nfs/dbraw/zinc/37/87/94/1136378794.db2.gz VBBXWIJAXODVOI-NSHDSACASA-N -1 1 349.395 -0.073 20 0 EBADMM O=C(CCCn1cncn1)NCC[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001373242804 1136406160 /nfs/dbraw/zinc/40/61/60/1136406160.db2.gz QDVJHYSFNQDMNN-LBPRGKRZSA-N -1 1 348.411 -0.090 20 0 EBADMM C[C@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CCC(=O)NC1 ZINC001373260879 1136411944 /nfs/dbraw/zinc/41/19/44/1136411944.db2.gz JPYXFCSAJPHWJP-GHMZBOCLSA-N -1 1 334.376 -0.062 20 0 EBADMM CC(C)[C@H](C)C(=O)NCCN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001373714463 1136546520 /nfs/dbraw/zinc/54/65/20/1136546520.db2.gz OOLHBOCGMGATAS-JTQLQIEISA-N -1 1 334.380 -0.102 20 0 EBADMM CS(=O)(=O)CC(=O)NC[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001373989512 1136599997 /nfs/dbraw/zinc/59/99/97/1136599997.db2.gz NAXKCIHCLNXPKY-SNVBAGLBSA-N -1 1 341.389 -0.447 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)CNC(=O)C(C)(C)S(C)(=O)=O ZINC001374083218 1136619634 /nfs/dbraw/zinc/61/96/34/1136619634.db2.gz SDORCVFDIUDYNV-MRVPVSSYSA-N -1 1 333.414 -0.825 20 0 EBADMM CCC[C@H](C)C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001374127134 1136629826 /nfs/dbraw/zinc/62/98/26/1136629826.db2.gz YHNJHZPJTXSWOZ-RYUDHWBXSA-N -1 1 325.413 -0.138 20 0 EBADMM CC(C)[C@@H](CNC(=O)[C@H]1CCc2nncn2C1)NCc1n[nH]c(=O)[n-]1 ZINC001374449247 1136690716 /nfs/dbraw/zinc/69/07/16/1136690716.db2.gz DUCKKAMEYGOLBU-WDEREUQCSA-N -1 1 348.411 -0.405 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C(=O)CNC(N)=O ZINC001374470075 1136696117 /nfs/dbraw/zinc/69/61/17/1136696117.db2.gz DJWLWAVQBTZXMQ-JTQLQIEISA-N -1 1 335.364 -0.624 20 0 EBADMM CN(CCN(C)C(=O)c1ccc(N(C)C)nc1)Cc1nc(=O)n(C)[n-]1 ZINC001374512381 1136706157 /nfs/dbraw/zinc/70/61/57/1136706157.db2.gz AGRLBUPXHRBFFK-UHFFFAOYSA-N -1 1 347.423 -0.227 20 0 EBADMM CN(CCN(C)C(=O)c1cnc(C2CC2)[n-]c1=O)Cc1nnnn1C ZINC001374540336 1136714108 /nfs/dbraw/zinc/71/41/08/1136714108.db2.gz USSPWOHTDHPAEQ-UHFFFAOYSA-N -1 1 346.395 -0.213 20 0 EBADMM CC(C)(C(=O)NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C1CCOCC1 ZINC001374622881 1136755188 /nfs/dbraw/zinc/75/51/88/1136755188.db2.gz AQSQHAQYRTTZJP-NSHDSACASA-N -1 1 341.412 -0.470 20 0 EBADMM O=C(NC[C@H](O)CNCc1n[nH]c(=O)[n-]1)C1(c2ccccc2F)CC1 ZINC001374660654 1136783793 /nfs/dbraw/zinc/78/37/93/1136783793.db2.gz HNSYQLVFQYTMTA-SNVBAGLBSA-N -1 1 349.366 -0.052 20 0 EBADMM COC(=O)NCC(=O)N1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1[O-] ZINC001374930082 1136877925 /nfs/dbraw/zinc/87/79/25/1136877925.db2.gz VKEFYUQRYJCWTM-UWVGGRQHSA-N -1 1 334.332 -0.432 20 0 EBADMM Cc1ncc(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)s1 ZINC000098039032 219073836 /nfs/dbraw/zinc/07/38/36/219073836.db2.gz GDCGTIBYEPYJOK-UHFFFAOYSA-N -1 1 330.391 -0.673 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CSC[C@H]2C(=O)N(C)C)co1 ZINC000270139926 290787564 /nfs/dbraw/zinc/78/75/64/290787564.db2.gz ZSRPNLXOFSXPIV-VIFPVBQESA-N -1 1 347.418 -0.209 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCOC[C@H]2C(=O)OC)o1 ZINC000267760277 283638293 /nfs/dbraw/zinc/63/82/93/283638293.db2.gz JMHHDUSXPHSSAD-QMMMGPOBSA-N -1 1 332.334 -0.798 20 0 EBADMM COC(=O)[C@H](F)C(NC[C@H]1CCCO1)=C1C(=O)[N-]C(=S)NC1=O ZINC000293311402 284280416 /nfs/dbraw/zinc/28/04/16/284280416.db2.gz IYEWILSHFUJTAO-HTRCEHHLSA-N -1 1 345.352 -0.949 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCN(C)C(=O)C2(C)C)o1 ZINC000268060281 375889957 /nfs/dbraw/zinc/88/99/57/375889957.db2.gz DQPMMBXZKKFDAR-UHFFFAOYSA-N -1 1 329.378 -0.120 20 0 EBADMM Cc1csc([C@H](C)[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)n1 ZINC000067950956 376080047 /nfs/dbraw/zinc/08/00/47/376080047.db2.gz YGJMTPXWEFEHGA-QMMMGPOBSA-N -1 1 344.418 -0.112 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCN3C(=O)CC[C@@H]3C2)co1 ZINC000408040971 376293757 /nfs/dbraw/zinc/29/37/57/376293757.db2.gz AXUXYVQQHISABC-SNVBAGLBSA-N -1 1 327.362 -0.366 20 0 EBADMM O=C(NCc1nn[n-]n1)[C@H]1CCN(c2ccc3c(c2)OCCO3)C1=O ZINC000600495190 376419004 /nfs/dbraw/zinc/41/90/04/376419004.db2.gz KDHLLUODFRRIGI-SNVBAGLBSA-N -1 1 344.331 -0.360 20 0 EBADMM O=C([O-])[C@@H]1COCCN1CC1=Nc2ccccc2S(=O)(=O)N1 ZINC000566359210 376759463 /nfs/dbraw/zinc/75/94/63/376759463.db2.gz SQDHNHCCZMMLNG-JTQLQIEISA-N -1 1 325.346 -0.206 20 0 EBADMM O=S1(=O)C[C@@H](O)[C@H](N2CCN(Cc3ncccc3[O-])CC2)C1 ZINC000566414576 376787875 /nfs/dbraw/zinc/78/78/75/376787875.db2.gz PTFCWMZPXIVUDA-TZMCWYRMSA-N -1 1 327.406 -0.937 20 0 EBADMM CCN(CC)C(=O)CN1CCN(C(=O)CCCc2nn[n-]n2)CC1 ZINC000635156553 376898781 /nfs/dbraw/zinc/89/87/81/376898781.db2.gz GLUKMGBQNIEVAJ-UHFFFAOYSA-N -1 1 337.428 -0.465 20 0 EBADMM CCOC(=O)N[C@H](C(=O)N1CCO[C@@H](c2nn[n-]n2)C1)C(C)C ZINC000363518423 376994547 /nfs/dbraw/zinc/99/45/47/376994547.db2.gz VRZRZZSHPYNVSL-ZJUUUORDSA-N -1 1 326.357 -0.130 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC2(C3CC3)CCC2)c(=O)n(C)c1=O ZINC000157444164 377280017 /nfs/dbraw/zinc/28/00/17/377280017.db2.gz FLUCLCQGOIUZSU-UHFFFAOYSA-N -1 1 327.406 -0.057 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2cc(F)ccc2F)c(=O)n(C)c1=O ZINC000104753010 377360460 /nfs/dbraw/zinc/36/04/60/377360460.db2.gz BZPZDEVUARMPFL-UHFFFAOYSA-N -1 1 345.327 -0.159 20 0 EBADMM COC(=O)[C@@H]1CCCC[C@@H]1S(=O)(=O)[N-][C@@]1(C(N)=O)CCOC1 ZINC000570941508 377345993 /nfs/dbraw/zinc/34/59/93/377345993.db2.gz IELYPUORQGEHBG-NRUUGDAUSA-N -1 1 334.394 -0.718 20 0 EBADMM CCOC(=O)C[C@@H](CO)NC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000590385759 377484745 /nfs/dbraw/zinc/48/47/45/377484745.db2.gz LFIQDZFQHUFQNX-QMMMGPOBSA-N -1 1 334.350 -0.768 20 0 EBADMM COC(=O)C1(NS(=O)(=O)CCCN2CCN(C)CC2)CCC1 ZINC000601376434 377549151 /nfs/dbraw/zinc/54/91/51/377549151.db2.gz GKCIYTYEBQWGFU-UHFFFAOYSA-N -1 1 333.454 -0.361 20 0 EBADMM COC(=O)[C@]1([N-]S(=O)(=O)C[C@@H]2CN(C)CCO2)CCSC1 ZINC000601379537 377551579 /nfs/dbraw/zinc/55/15/79/377551579.db2.gz KXPDJMUIKBCXQS-JQWIXIFHSA-N -1 1 338.451 -0.715 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)CC1(N(C)C)CC1)c1ccn(C)n1 ZINC000601401354 377556904 /nfs/dbraw/zinc/55/69/04/377556904.db2.gz NVGDEVUMVBPFGA-LLVKDONJSA-N -1 1 330.410 -0.352 20 0 EBADMM C[C@H](C(=O)N(C)C)S(=O)(=O)[N-][C@@H]1CN(c2ccccc2)C1=O ZINC000364761357 377658352 /nfs/dbraw/zinc/65/83/52/377658352.db2.gz VCQYNJAUKSBDGG-ZYHUDNBSSA-N -1 1 325.390 -0.202 20 0 EBADMM O=C(NCCCCN1CCN(c2ncccn2)CC1)c1n[nH]c(=O)[n-]1 ZINC000591459670 377716710 /nfs/dbraw/zinc/71/67/10/377716710.db2.gz KGHHZRIZLPXBSU-UHFFFAOYSA-N -1 1 346.395 -0.368 20 0 EBADMM COC(=O)[C@@H]1C[C@@H](O)CN(C(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000592462897 377833587 /nfs/dbraw/zinc/83/35/87/377833587.db2.gz CFWHMHAJVRVIRH-NXEZZACHSA-N -1 1 331.328 -0.081 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)CC1CCOCC1)c1ccnn1C ZINC000592095365 377798093 /nfs/dbraw/zinc/79/80/93/377798093.db2.gz ZSEYUXONPLKPLF-GFCCVEGCSA-N -1 1 331.394 -0.020 20 0 EBADMM COC(=O)CC[C@H]([N-]S(=O)(=O)C1COC1)C(=O)OC(C)(C)C ZINC000593615932 377896517 /nfs/dbraw/zinc/89/65/17/377896517.db2.gz VOGMDLWJHSJHEI-JTQLQIEISA-N -1 1 337.394 -0.032 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC[C@]1(O)CCCOC1 ZINC000593662599 377904558 /nfs/dbraw/zinc/90/45/58/377904558.db2.gz FVSGPIHDUJRGGO-CYBMUJFWSA-N -1 1 347.393 -0.204 20 0 EBADMM COCC[C@@H]([N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1)C(N)=O ZINC000595317480 377997157 /nfs/dbraw/zinc/99/71/57/377997157.db2.gz BSKACSVDRBCQHT-MRVPVSSYSA-N -1 1 334.350 -0.457 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-]CCN2CCNC(=O)C2)cc1C ZINC000595322607 377998914 /nfs/dbraw/zinc/99/89/14/377998914.db2.gz SWYZIWUDWMGXHL-UHFFFAOYSA-N -1 1 345.377 -0.915 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC3CC2(C(=O)OC)C3)co1 ZINC000598059864 378152315 /nfs/dbraw/zinc/15/23/15/378152315.db2.gz PWVVYQCBHQOYNI-UHFFFAOYSA-N -1 1 328.346 -0.035 20 0 EBADMM COC(=O)c1cc(F)cc(S(=O)(=O)[N-]CCn2ncnn2)c1F ZINC000599257258 378214907 /nfs/dbraw/zinc/21/49/07/378214907.db2.gz KKVSCMDUNIXDQL-UHFFFAOYSA-N -1 1 347.303 -0.284 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)[N-]Cc2n[nH]c(C3CC3)n2)on1 ZINC000599319081 378229297 /nfs/dbraw/zinc/22/92/97/378229297.db2.gz AQMMFIAYLGABRT-UHFFFAOYSA-N -1 1 327.322 -0.065 20 0 EBADMM CCOC(=O)[C@@H]1CCCN(S(=O)(=O)[N-][C@H]2COCCC2=O)C1 ZINC000599442660 378240278 /nfs/dbraw/zinc/24/02/78/378240278.db2.gz XCOLXZRZOQWZQG-MNOVXSKESA-N -1 1 334.394 -0.546 20 0 EBADMM Cn1cc(N2C[C@H](C(=O)N3CCC[C@@H](c4nn[n-]n4)C3)CC2=O)cn1 ZINC000626237841 378303142 /nfs/dbraw/zinc/30/31/42/378303142.db2.gz JNFSNBHEKGZGOS-GHMZBOCLSA-N -1 1 344.379 -0.308 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@@H]1[C@H]1COCC[C@H]1O ZINC000337158674 378620077 /nfs/dbraw/zinc/62/00/77/378620077.db2.gz REBOSTRHHXIJQL-JHJVBQTASA-N -1 1 349.387 -0.095 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@@H](Cn3ccnn3)C2)co1 ZINC000617258108 378656741 /nfs/dbraw/zinc/65/67/41/378656741.db2.gz AUYZLYWDULXROT-SNVBAGLBSA-N -1 1 339.377 -0.059 20 0 EBADMM C[C@@H]1CCC[C@H]1NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000337564760 378664041 /nfs/dbraw/zinc/66/40/41/378664041.db2.gz VOSCLKOZINEXGT-RKDXNWHRSA-N -1 1 329.378 -0.038 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2cc(C(N)=O)no2)c1 ZINC000338230053 378746191 /nfs/dbraw/zinc/74/61/91/378746191.db2.gz REECTGYSKBXXRU-UHFFFAOYSA-N -1 1 329.290 -0.368 20 0 EBADMM CC(C)N1C(=O)C[C@@H](NC(=O)CNC(=O)c2ncccc2[O-])C1=O ZINC000337913370 378707882 /nfs/dbraw/zinc/70/78/82/378707882.db2.gz RCODBAZRAJDYDS-SECBINFHSA-N -1 1 334.332 -0.831 20 0 EBADMM COCCCNC(=O)CN(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000337946740 378717164 /nfs/dbraw/zinc/71/71/64/378717164.db2.gz VKSUNZPJJXAPDL-UHFFFAOYSA-N -1 1 338.364 -0.872 20 0 EBADMM C[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1ccncc1F ZINC000337983353 378722160 /nfs/dbraw/zinc/72/21/60/378722160.db2.gz HJCIVYXXJBRFNQ-MRVPVSSYSA-N -1 1 342.352 -0.342 20 0 EBADMM COC(=O)[C@@H](O)C[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000338939873 378833014 /nfs/dbraw/zinc/83/30/14/378833014.db2.gz YBVYVKYXNQCQMK-YFKPBYRVSA-N -1 1 331.272 -0.749 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@H]1CCC(=O)NC12CCC2 ZINC000338703830 378806726 /nfs/dbraw/zinc/80/67/26/378806726.db2.gz UBIMIGXFLUDRCO-NSHDSACASA-N -1 1 332.360 -0.166 20 0 EBADMM CNC(=O)[C@@H]1CCCN1C(=O)C[N-]S(=O)(=O)c1sccc1F ZINC000338895373 378825070 /nfs/dbraw/zinc/82/50/70/378825070.db2.gz PRTWLWRLKYKPHS-VIFPVBQESA-N -1 1 349.409 -0.098 20 0 EBADMM CN(C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)[C@@H]1CCSC1 ZINC000339581652 378956097 /nfs/dbraw/zinc/95/60/97/378956097.db2.gz PCXZPXPTIKAAES-MRVPVSSYSA-N -1 1 347.418 -0.379 20 0 EBADMM CC(=O)N1CCN(C(=O)CS(=O)(=O)c2ccc([O-])cc2)CC1 ZINC000063927650 379036076 /nfs/dbraw/zinc/03/60/76/379036076.db2.gz OPAGGHBLDHTEQA-UHFFFAOYSA-N -1 1 326.374 -0.143 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)CS(=O)(=O)C2CCCC2)n1 ZINC000615916942 379049545 /nfs/dbraw/zinc/04/95/45/379049545.db2.gz HYJQENBHUVAGFF-UHFFFAOYSA-N -1 1 344.393 -0.045 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1COC[C@H]1OCC ZINC000452031346 379079012 /nfs/dbraw/zinc/07/90/12/379079012.db2.gz KSPKOZBUEFDJSB-NXEZZACHSA-N -1 1 333.366 -0.331 20 0 EBADMM O=C(Nc1nc(-c2ccccn2)n[nH]1)C1(n2cnnn2)CCOCC1 ZINC000621600626 379325251 /nfs/dbraw/zinc/32/52/51/379325251.db2.gz DCYJIQHDZQXSRD-UHFFFAOYSA-N -1 1 341.335 -0.002 20 0 EBADMM COCCC1(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000269124102 379344282 /nfs/dbraw/zinc/34/42/82/379344282.db2.gz IOIQQTQMIWHKCX-UHFFFAOYSA-N -1 1 331.394 -0.821 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C(N)=O)C(C)(C)C ZINC000269654658 379389946 /nfs/dbraw/zinc/38/99/46/379389946.db2.gz JADBIIXIAKSYAP-MRVPVSSYSA-N -1 1 332.382 -0.235 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C1(C(=O)OC)CCC1 ZINC000269672798 379393918 /nfs/dbraw/zinc/39/39/18/379393918.db2.gz BYEHFVWYBUACBC-UHFFFAOYSA-N -1 1 331.350 -0.040 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1CCC(=O)NC1=O ZINC000269417348 379368804 /nfs/dbraw/zinc/36/88/04/379368804.db2.gz GKXUYLHUYPCRIO-JTQLQIEISA-N -1 1 331.332 -0.897 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCN(CCOC)[C@H](C)C2)co1 ZINC000270079729 379430482 /nfs/dbraw/zinc/43/04/82/379430482.db2.gz UYMFDPKGSJKEEC-LLVKDONJSA-N -1 1 345.421 -0.020 20 0 EBADMM Cc1ccnc([C@@H](C)[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)n1 ZINC000622042081 379404804 /nfs/dbraw/zinc/40/48/04/379404804.db2.gz QOPFONVNOAOHMD-SECBINFHSA-N -1 1 339.377 -0.778 20 0 EBADMM COC[C@H](CS(=O)(=O)[N-][C@@H]1CN(c2ccccc2)C1=O)OC ZINC000641438433 379421382 /nfs/dbraw/zinc/42/13/82/379421382.db2.gz JMJSZXLKTAASTB-CHWSQXEVSA-N -1 1 328.390 -0.017 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]CCCNS(C)(=O)=O)c1 ZINC000270045567 379428544 /nfs/dbraw/zinc/42/85/44/379428544.db2.gz SVVNIKNGCMZLJZ-UHFFFAOYSA-N -1 1 340.379 -0.716 20 0 EBADMM COc1ccc(Cn2[n-]nnc2=NCCN2CCN(C)CC2)cc1 ZINC000631045039 379452594 /nfs/dbraw/zinc/45/25/94/379452594.db2.gz MIMHGTBOBYQIRJ-UHFFFAOYSA-N -1 1 331.424 -0.189 20 0 EBADMM CC(C)[C@@H]1C[C@H]([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCO1 ZINC000271540497 379532662 /nfs/dbraw/zinc/53/26/62/379532662.db2.gz DBQPGOUOHTUHHV-MNOVXSKESA-N -1 1 345.421 -0.434 20 0 EBADMM C[C@@H](CN(C)C(=O)CNS(=O)(=O)c1cccs1)c1nn[n-]n1 ZINC000273577386 379654175 /nfs/dbraw/zinc/65/41/75/379654175.db2.gz MYXPKYCTCOHKHT-QMMMGPOBSA-N -1 1 344.422 -0.198 20 0 EBADMM C[C@H](CN(C)C(=O)CNS(=O)(=O)c1cccs1)c1nn[n-]n1 ZINC000273577383 379654565 /nfs/dbraw/zinc/65/45/65/379654565.db2.gz MYXPKYCTCOHKHT-MRVPVSSYSA-N -1 1 344.422 -0.198 20 0 EBADMM O=C(CCNC(=O)c1c([O-])cccc1F)N[C@H]1CCS(=O)(=O)C1 ZINC000274692483 379709961 /nfs/dbraw/zinc/70/99/61/379709961.db2.gz KILRJRLPLIGQMX-VIFPVBQESA-N -1 1 344.364 -0.046 20 0 EBADMM CCN1C[C@@H](S(=O)(=O)[N-][C@@H]2CN(c3ccccc3)C2=O)CC1=O ZINC000632199552 379830528 /nfs/dbraw/zinc/83/05/28/379830528.db2.gz YYFKTKDCULPJBL-QWHCGFSZSA-N -1 1 337.401 -0.058 20 0 EBADMM CCOC(CC[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)OCC ZINC000276404980 379834369 /nfs/dbraw/zinc/83/43/69/379834369.db2.gz TYTYMWLUTSSHLG-UHFFFAOYSA-N -1 1 349.409 -0.849 20 0 EBADMM CCOC[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C(C)C ZINC000276719736 379858129 /nfs/dbraw/zinc/85/81/29/379858129.db2.gz PSQGOSAHKGYRBD-SNVBAGLBSA-N -1 1 333.410 -0.577 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)N2CC(OCC(=O)N(C)C)C2)c1 ZINC000632080175 379812387 /nfs/dbraw/zinc/81/23/87/379812387.db2.gz PNKLETZNUHSDGW-UHFFFAOYSA-N -1 1 344.389 -0.122 20 0 EBADMM CC(C)C(=O)N[C@@H](CO)C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614389808 379925601 /nfs/dbraw/zinc/92/56/01/379925601.db2.gz PQOBYUNGPZSJOU-UWVGGRQHSA-N -1 1 326.353 -0.386 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C(C)(C)[C@@H](O)C(=O)OC ZINC000277155446 379875533 /nfs/dbraw/zinc/87/55/33/379875533.db2.gz YTMPAYQWYXXELY-QMMMGPOBSA-N -1 1 349.365 -0.823 20 0 EBADMM CCS(=O)(=O)NCCCNC(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000279375728 379949511 /nfs/dbraw/zinc/94/95/11/379949511.db2.gz QIGDJOFSGBKKBR-WQRHYEAKSA-N -1 1 340.409 -0.207 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2CCC(F)(F)C2)c(=O)n(C)c1=O ZINC000281675424 380034541 /nfs/dbraw/zinc/03/45/41/380034541.db2.gz OGTVEQNUQMKCKX-MRVPVSSYSA-N -1 1 337.348 -0.202 20 0 EBADMM NS(=O)(=O)c1ccc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)cc1 ZINC000539522603 379996660 /nfs/dbraw/zinc/99/66/60/379996660.db2.gz PUIVLKKAYOGLJR-JTQLQIEISA-N -1 1 336.377 -0.133 20 0 EBADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)CN2CCCNC2=O)c1 ZINC000539707061 379999228 /nfs/dbraw/zinc/99/92/28/379999228.db2.gz WXZSOPMPSYCKMZ-UHFFFAOYSA-N -1 1 328.350 -0.607 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H](CO)[C@H]2CCOC2)c1 ZINC000281109028 380008226 /nfs/dbraw/zinc/00/82/26/380008226.db2.gz XJZXAALPHFCFJX-GXSJLCMTSA-N -1 1 333.362 -0.011 20 0 EBADMM CCN(CC)S(=O)(=O)CC(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC000630226048 380011347 /nfs/dbraw/zinc/01/13/47/380011347.db2.gz NBJQQPXBOCPIQZ-UHFFFAOYSA-N -1 1 349.453 -0.335 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H](C)C(=O)NCCF ZINC000282060938 380057095 /nfs/dbraw/zinc/05/70/95/380057095.db2.gz PVNTYUPRJLXFSD-VIFPVBQESA-N -1 1 337.355 -0.228 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@@H]2CC(=O)N(C3CCCC3)C2)n1 ZINC000354694871 380083279 /nfs/dbraw/zinc/08/32/79/380083279.db2.gz AYRWZJLLUPMIIS-MRVPVSSYSA-N -1 1 341.393 -0.062 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)[C@@H]2CC(=O)N(C3CCCC3)C2)[n-]1 ZINC000354694871 380083289 /nfs/dbraw/zinc/08/32/89/380083289.db2.gz AYRWZJLLUPMIIS-MRVPVSSYSA-N -1 1 341.393 -0.062 20 0 EBADMM C[C@H](NC(=O)C1CCCC1)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000354722064 380087248 /nfs/dbraw/zinc/08/72/48/380087248.db2.gz HOTPIZFPDWEYPI-ZETCQYMHSA-N -1 1 329.382 -0.158 20 0 EBADMM C[C@H](NC(=O)C1CCCC1)C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000354722064 380087255 /nfs/dbraw/zinc/08/72/55/380087255.db2.gz HOTPIZFPDWEYPI-ZETCQYMHSA-N -1 1 329.382 -0.158 20 0 EBADMM N#Cc1cc(F)c(S(=O)(=O)N[C@@H](CC(N)=O)C(=O)[O-])c(F)c1 ZINC000547555307 380144064 /nfs/dbraw/zinc/14/40/64/380144064.db2.gz KLAAWJQBYHQQGQ-QMMMGPOBSA-N -1 1 333.272 -0.557 20 0 EBADMM CC(C)(NC(=O)c1cccc(CN2C(=O)CNC2=O)c1)c1nn[n-]n1 ZINC000354756752 380093733 /nfs/dbraw/zinc/09/37/33/380093733.db2.gz ACYLURICKWJRLO-UHFFFAOYSA-N -1 1 343.347 -0.083 20 0 EBADMM Cc1[nH]c(=O)sc1S(=O)(=O)[N-]CCNc1nccn(C)c1=O ZINC000546623412 380116346 /nfs/dbraw/zinc/11/63/46/380116346.db2.gz JDWZLCUGPPBOBO-UHFFFAOYSA-N -1 1 345.406 -0.359 20 0 EBADMM C[C@@H](O)C(=O)N1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000283439172 380120875 /nfs/dbraw/zinc/12/08/75/380120875.db2.gz NDJCRQQWAXJXFG-SECBINFHSA-N -1 1 335.389 -0.317 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2nnn(C)n2)c(C(F)(F)F)n1 ZINC000549815581 380248027 /nfs/dbraw/zinc/24/80/27/380248027.db2.gz WZRKZVARFULCRB-UHFFFAOYSA-N -1 1 325.276 -0.559 20 0 EBADMM NC(=O)c1cccc(S(=O)(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])c1 ZINC000548531135 380213648 /nfs/dbraw/zinc/21/36/48/380213648.db2.gz QIVWXDCRRLAUAL-NSHDSACASA-N -1 1 338.345 -0.517 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@]2(O)C[NH+]3CCC2CC3)co1 ZINC000552210824 380292368 /nfs/dbraw/zinc/29/23/68/380292368.db2.gz XENSBQXNMBXUAS-AWEZNQCLSA-N -1 1 343.405 -0.626 20 0 EBADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cc(C)c2c(=O)n(C)c(=O)n(C)c2n1 ZINC000615227987 380300655 /nfs/dbraw/zinc/30/06/55/380300655.db2.gz UQMIVUDTFYSOBD-UHFFFAOYSA-N -1 1 344.331 -0.070 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC(=O)NCC(F)F)o1 ZINC000361387528 380308011 /nfs/dbraw/zinc/30/80/11/380308011.db2.gz YKJOXYYCJULGDL-UHFFFAOYSA-N -1 1 325.293 -0.701 20 0 EBADMM CC(C)N1C(=O)C[C@H](NC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1=O ZINC000287759531 380371585 /nfs/dbraw/zinc/37/15/85/380371585.db2.gz VLWNDSAFJUSFBE-TZQXVGBESA-N -1 1 330.348 -0.001 20 0 EBADMM CS(=O)(=O)N1CC[C@@H](CNC(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000287836899 380377825 /nfs/dbraw/zinc/37/78/25/380377825.db2.gz WYINEEJZBRCAQP-JTQLQIEISA-N -1 1 326.374 -0.027 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H](C2CC2)C(C)(C)CO)c(=O)n(C)c1=O ZINC000289641911 380443604 /nfs/dbraw/zinc/44/36/04/380443604.db2.gz UZOMAVODOLDGOT-LLVKDONJSA-N -1 1 345.421 -0.841 20 0 EBADMM Cn1nnc(C[N-]S(=O)(=O)c2ccc(S(C)(=O)=O)cc2)n1 ZINC000362990595 380448259 /nfs/dbraw/zinc/44/82/59/380448259.db2.gz PBMTYWOYHYMVRF-UHFFFAOYSA-N -1 1 331.379 -0.908 20 0 EBADMM C[C@H](CN(C)C(=O)Cn1nc2n(c1=O)CCCCC2)c1nn[n-]n1 ZINC000368520031 380511126 /nfs/dbraw/zinc/51/11/26/380511126.db2.gz WGGMEAUHQBWUPK-SNVBAGLBSA-N -1 1 334.384 -0.454 20 0 EBADMM NC(=O)[C@]1([N-]S(=O)(=O)Cc2noc3ccccc32)CCOC1 ZINC000566478152 380474224 /nfs/dbraw/zinc/47/42/24/380474224.db2.gz OABIRIWMMLEBEV-ZDUSSCGKSA-N -1 1 325.346 -0.108 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H](CCO)C(C)(C)C)c(=O)n(C)c1=O ZINC000269708921 380523670 /nfs/dbraw/zinc/52/36/70/380523670.db2.gz MYJULZBTILVVOE-JTQLQIEISA-N -1 1 333.410 -0.841 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCc2nnc3n2CCOC3)o1 ZINC000368995198 380535321 /nfs/dbraw/zinc/53/53/21/380535321.db2.gz RJFZXLXMJCQSQP-UHFFFAOYSA-N -1 1 341.349 -0.761 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCOCC2CCCC2)c(=O)n(C)c1=O ZINC000567713758 380537240 /nfs/dbraw/zinc/53/72/40/380537240.db2.gz AEJAIZSIDNWGLW-UHFFFAOYSA-N -1 1 345.421 -0.431 20 0 EBADMM O=C(CCNS(=O)(=O)c1ccccc1F)NC[C@H]1COCCN1 ZINC000638625741 380541281 /nfs/dbraw/zinc/54/12/81/380541281.db2.gz SCIBCJFXEURRGT-NSHDSACASA-N -1 1 345.396 -0.401 20 0 EBADMM O=C(NCCCc1ccccc1)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000369308313 380551809 /nfs/dbraw/zinc/55/18/09/380551809.db2.gz SEWYWYWWOJLNOA-ZDUSSCGKSA-N -1 1 344.375 -0.151 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(C(=O)N(C)C)CC1 ZINC000567963029 380559942 /nfs/dbraw/zinc/55/99/42/380559942.db2.gz KDXLIAFMCRKLMM-UHFFFAOYSA-N -1 1 325.325 -0.664 20 0 EBADMM COC(=O)c1cn(CCNC(=O)c2nc3ccccc3c(=O)[n-]2)nn1 ZINC000340617321 380570730 /nfs/dbraw/zinc/57/07/30/380570730.db2.gz NOBCRTILAFRXED-UHFFFAOYSA-N -1 1 342.315 -0.269 20 0 EBADMM O=C([C@@H]1CC(c2cccnc2)=NO1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370103102 380590509 /nfs/dbraw/zinc/59/05/09/380590509.db2.gz QDMWSWHEVDXAJL-NWDGAFQWSA-N -1 1 329.320 -0.312 20 0 EBADMM CO[C@@H]1CCN(CCNS(=O)(=O)c2cnn(C)c2)[C@H](C(=O)[O-])C1 ZINC000573387765 380670824 /nfs/dbraw/zinc/67/08/24/380670824.db2.gz ZJFSPPSVRGDCNF-PWSUYJOCSA-N -1 1 346.409 -0.738 20 0 EBADMM CO[C@@H](Cc1ccccc1)CS(=O)(=O)[N-][C@]1(C(N)=O)CCOC1 ZINC000573506250 380676518 /nfs/dbraw/zinc/67/65/18/380676518.db2.gz QNEQLSXAJCDMHK-DZGCQCFKSA-N -1 1 342.417 -0.192 20 0 EBADMM CO[C@H](Cc1ccccc1)CS(=O)(=O)[N-][C@@]1(C(N)=O)CCOC1 ZINC000573506255 380676856 /nfs/dbraw/zinc/67/68/56/380676856.db2.gz QNEQLSXAJCDMHK-HIFRSBDPSA-N -1 1 342.417 -0.192 20 0 EBADMM CC(C)(C)[C@@H](NS(C)(=O)=O)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000372070622 380687946 /nfs/dbraw/zinc/68/79/46/380687946.db2.gz DNMRLZMKAJTDDD-BDAKNGLRSA-N -1 1 346.413 -0.937 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@H](NC(=O)NC)C2)co1 ZINC000341453640 380696094 /nfs/dbraw/zinc/69/60/94/380696094.db2.gz AHWAWRJJHJRFNL-VIFPVBQESA-N -1 1 330.366 -0.669 20 0 EBADMM CN(C)c1n[nH]c([N-]C(=O)c2ccc(S(=O)(=O)N(C)C)o2)n1 ZINC000340974406 380659516 /nfs/dbraw/zinc/65/95/16/380659516.db2.gz GMTFSRCPYPLLIT-UHFFFAOYSA-N -1 1 328.354 -0.034 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCCS2(=O)=O)c1 ZINC000574769372 380722683 /nfs/dbraw/zinc/72/26/83/380722683.db2.gz WYNDSDTZCSEBTN-NSHDSACASA-N -1 1 348.402 -0.184 20 0 EBADMM O=C(CNC(=O)c1nn(-c2ccccc2F)cc1[O-])N1CCNCC1 ZINC000582905562 381084532 /nfs/dbraw/zinc/08/45/32/381084532.db2.gz SYTYMBOSCXTEML-UHFFFAOYSA-N -1 1 347.350 -0.121 20 0 EBADMM CS(=O)(=O)[N-]c1ccccc1C(=O)NCC(=O)N1CCNCC1 ZINC000582905310 381084723 /nfs/dbraw/zinc/08/47/23/381084723.db2.gz KXNPRNCTONOFFF-UHFFFAOYSA-N -1 1 340.405 -0.780 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H](CO)C2CCCCC2)c(=O)n(C)c1=O ZINC000395510440 381088587 /nfs/dbraw/zinc/08/85/87/381088587.db2.gz WEMQRYHGKOTEBB-LLVKDONJSA-N -1 1 345.421 -0.697 20 0 EBADMM CSC[C@H](C)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000346826753 381131483 /nfs/dbraw/zinc/13/14/83/381131483.db2.gz MPJXEYPJBDCPCZ-ZETCQYMHSA-N -1 1 335.407 -0.476 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1nnnn1C1CC1 ZINC000611024022 381256569 /nfs/dbraw/zinc/25/65/69/381256569.db2.gz NWBSPDIGZIGFSY-UHFFFAOYSA-N -1 1 341.353 -0.614 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)[N-]c2cc(C(N)=O)n[nH]2)c(C)o1 ZINC000611164876 381330329 /nfs/dbraw/zinc/33/03/29/381330329.db2.gz GLWHWMBXTLZYSV-UHFFFAOYSA-N -1 1 328.306 -0.003 20 0 EBADMM CCCS(=O)(=O)N1CCC[C@@H]1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348298700 381359374 /nfs/dbraw/zinc/35/93/74/381359374.db2.gz SQBMNLIEZIWAPT-SECBINFHSA-N -1 1 328.398 -0.491 20 0 EBADMM C[C@@H]1Oc2ccccc2N(CCC(=O)NN2CC(=O)[N-]C2=O)C1=O ZINC000029019486 381512788 /nfs/dbraw/zinc/51/27/88/381512788.db2.gz FKXIDJSEUAFVMC-VIFPVBQESA-N -1 1 332.316 -0.226 20 0 EBADMM CO[C@@H]1CC[C@@H](NC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C1 ZINC000351760041 381671115 /nfs/dbraw/zinc/67/11/15/381671115.db2.gz RWSZBHRMGXTCNM-RKDXNWHRSA-N -1 1 345.377 -0.660 20 0 EBADMM COc1cc2[n-]cc(C(=O)NCc3nnn(C)n3)c(=O)c2c(OC)c1 ZINC000626701828 381728686 /nfs/dbraw/zinc/72/86/86/381728686.db2.gz MNVMOJVMULGLOQ-UHFFFAOYSA-N -1 1 344.331 -0.001 20 0 EBADMM NC(=O)C[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C1CCCCC1 ZINC000352398084 381980102 /nfs/dbraw/zinc/98/01/02/381980102.db2.gz NGLNVKYVKVBXRE-JTQLQIEISA-N -1 1 332.364 -0.028 20 0 EBADMM C[C@@H](C(N)=O)S(=O)(=O)c1nc(-c2ccc3c(c2)OCCO3)n[n-]1 ZINC000447497580 382197790 /nfs/dbraw/zinc/19/77/90/382197790.db2.gz JYDUMPJZOBOELB-ZETCQYMHSA-N -1 1 338.345 -0.110 20 0 EBADMM C[C@@H](C(N)=O)S(=O)(=O)c1n[n-]c(-c2ccc3c(c2)OCCO3)n1 ZINC000447497580 382197795 /nfs/dbraw/zinc/19/77/95/382197795.db2.gz JYDUMPJZOBOELB-ZETCQYMHSA-N -1 1 338.345 -0.110 20 0 EBADMM C/C=C\C[C@@H](CO)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000354243466 382221819 /nfs/dbraw/zinc/22/18/19/382221819.db2.gz WJIJUKAMJHRDOI-XADBCAIWSA-N -1 1 345.377 -0.900 20 0 EBADMM CN(CC(=O)[O-])[C@@H]1CN(CCS(=O)(=O)c2ccccc2)C[C@H]1O ZINC000328608506 258039966 /nfs/dbraw/zinc/03/99/66/258039966.db2.gz ZDVARZBOACTDFS-ZIAGYGMSSA-N -1 1 342.417 -0.478 20 0 EBADMM COC(=O)c1nscc1S(=O)(=O)[N-][C@H](CF)C(=O)OC ZINC000344979031 292039221 /nfs/dbraw/zinc/03/92/21/292039221.db2.gz FALQXPNJGUVPMU-RXMQYKEDSA-N -1 1 326.327 -0.281 20 0 EBADMM CCS(=O)(=O)N(C)CC(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000330604308 155291306 /nfs/dbraw/zinc/29/13/06/155291306.db2.gz XYUGBJGVWGHOER-VIFPVBQESA-N -1 1 332.382 -0.237 20 0 EBADMM Cc1nc(S(=O)(=O)[N-]CCCNS(C)(=O)=O)sc1C ZINC000331233327 155353209 /nfs/dbraw/zinc/35/32/09/155353209.db2.gz JEJFZAHMXPZBQY-UHFFFAOYSA-N -1 1 327.453 -0.022 20 0 EBADMM CS(=O)(=O)[N-]c1ccc(S(=O)(=O)N2CC(CO)C2)c(F)c1 ZINC000331933086 155407096 /nfs/dbraw/zinc/40/70/96/155407096.db2.gz DZVSIOQXYZRSCE-UHFFFAOYSA-N -1 1 338.382 -0.190 20 0 EBADMM C[C@@](O)(CNC(=O)CCNC(=O)c1n[nH]c2ccccc21)C(=O)[O-] ZINC000262370431 154228870 /nfs/dbraw/zinc/22/88/70/154228870.db2.gz ILXUYYDBUISXMQ-OAHLLOKOSA-N -1 1 334.332 -0.365 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H](C)Cn1cncn1 ZINC000264185145 154275085 /nfs/dbraw/zinc/27/50/85/154275085.db2.gz APKOPKONUNCSKT-VIFPVBQESA-N -1 1 329.364 -0.022 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCCN2C(=O)CCC2=O)o1 ZINC000264590632 154286603 /nfs/dbraw/zinc/28/66/03/154286603.db2.gz LMYVHNXFHJGHPW-UHFFFAOYSA-N -1 1 344.345 -0.116 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC2CCSCC2)c(=O)n(C)c1=O ZINC000264970506 154301355 /nfs/dbraw/zinc/30/13/55/154301355.db2.gz QNYZDYDZWANWBW-UHFFFAOYSA-N -1 1 333.435 -0.495 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCN(CCOC)[C@H](C)C2)o1 ZINC000265333703 154312408 /nfs/dbraw/zinc/31/24/08/154312408.db2.gz ZAFSMLTVXNTMCY-LLVKDONJSA-N -1 1 345.421 -0.020 20 0 EBADMM CC(C)CNC(=O)N1CCC[C@H](C(=O)NN2CC(=O)[N-]C2=O)C1 ZINC000266043376 154334078 /nfs/dbraw/zinc/33/40/78/154334078.db2.gz XPRSGSVXQAQWOY-JTQLQIEISA-N -1 1 325.369 -0.353 20 0 EBADMM CCSCC[C@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000266327212 154343979 /nfs/dbraw/zinc/34/39/79/154343979.db2.gz JGJMFEFCWZFHBV-VIFPVBQESA-N -1 1 335.451 -0.106 20 0 EBADMM CCN(C(=O)c1coc(S(=O)(=O)[N-]C)c1)[C@H]1CC(=O)NC1=O ZINC000270124687 154478478 /nfs/dbraw/zinc/47/84/78/154478478.db2.gz WKNQXXBCXSEKBA-QMMMGPOBSA-N -1 1 329.334 -0.935 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCCc1cnn(C)n1 ZINC000292673333 155036002 /nfs/dbraw/zinc/03/60/02/155036002.db2.gz BGUMVNQWPBXBJP-UHFFFAOYSA-N -1 1 342.381 -0.374 20 0 EBADMM CCc1noc(C)c1C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000292854307 155037626 /nfs/dbraw/zinc/03/76/26/155037626.db2.gz QUJUEQMFNFQRMP-UHFFFAOYSA-N -1 1 342.377 -0.579 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F)[C@@H](C)O ZINC000293148649 155042815 /nfs/dbraw/zinc/04/28/15/155042815.db2.gz QUNMRVCMOQXUTA-IYSWYEEDSA-N -1 1 345.299 -0.360 20 0 EBADMM COC(=O)[C@@H](F)C(NC[C@H]1CCCO1)=C1C(=O)[N-]C(=S)NC1=O ZINC000293311411 155044900 /nfs/dbraw/zinc/04/49/00/155044900.db2.gz IYEWILSHFUJTAO-SVRRBLITSA-N -1 1 345.352 -0.949 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)COC)CC2)o1 ZINC000298671531 155115529 /nfs/dbraw/zinc/11/55/29/155115529.db2.gz IYYUUCNISBWNGN-UHFFFAOYSA-N -1 1 345.377 -0.882 20 0 EBADMM CC[C@@H](CSC)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000342474114 156039303 /nfs/dbraw/zinc/03/93/03/156039303.db2.gz OUOOUEPLOSVCBL-QMMMGPOBSA-N -1 1 349.434 -0.085 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H]1CCC(=O)N[C@@H]1C1CC1 ZINC000342529550 156040638 /nfs/dbraw/zinc/04/06/38/156040638.db2.gz CXZXMAULBUMYFR-QMTHXVAHSA-N -1 1 332.360 -0.310 20 0 EBADMM CC(=O)NCC1CCN(C(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000343217419 156113594 /nfs/dbraw/zinc/11/35/94/156113594.db2.gz OESMMUQQLRLBAQ-UHFFFAOYSA-N -1 1 334.376 -0.108 20 0 EBADMM CCC[C@H](NC(=O)COCC(=O)NCc1cccnc1)c1nn[n-]n1 ZINC000343724606 156160340 /nfs/dbraw/zinc/16/03/40/156160340.db2.gz HWKNJTJWJOTTJO-LBPRGKRZSA-N -1 1 347.379 -0.115 20 0 EBADMM CCOCCS(=O)(=O)NCC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000343761968 156166268 /nfs/dbraw/zinc/16/62/68/156166268.db2.gz CJGHJWDVIJNYEW-UHFFFAOYSA-N -1 1 346.413 -0.959 20 0 EBADMM Cn1nc2c(cc1=O)CN(C(=O)CNC(=O)c1ncccc1[O-])CC2 ZINC000343778838 156167493 /nfs/dbraw/zinc/16/74/93/156167493.db2.gz WZGSOWJYEHBQGB-UHFFFAOYSA-N -1 1 343.343 -0.804 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H]2CCCCO2)o1 ZINC000344101823 156203523 /nfs/dbraw/zinc/20/35/23/156203523.db2.gz HYWXZNKBXIBTJS-VIFPVBQESA-N -1 1 345.377 -0.658 20 0 EBADMM C[C@@H]1C[C@H]1N1C[C@@H](NC(=O)CNC(=O)c2ncccc2[O-])CC1=O ZINC000344134044 156205516 /nfs/dbraw/zinc/20/55/16/156205516.db2.gz PSGLGNCBJCDHSD-OUAUKWLOSA-N -1 1 332.360 -0.358 20 0 EBADMM CN(Cc1ccoc1)C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000344131156 156205696 /nfs/dbraw/zinc/20/56/96/156205696.db2.gz WMXHMKWENHGAGC-UHFFFAOYSA-N -1 1 341.345 -0.092 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)CCS1 ZINC000345434430 156332761 /nfs/dbraw/zinc/33/27/61/156332761.db2.gz LRMMEQZPZAARQO-MRVPVSSYSA-N -1 1 347.418 -0.379 20 0 EBADMM CNS(=O)(=O)c1ccc(C(=O)NC2(c3nn[n-]n3)CCCC2)o1 ZINC000345505779 156338721 /nfs/dbraw/zinc/33/87/21/156338721.db2.gz RAAKKXLKJHGVEZ-UHFFFAOYSA-N -1 1 340.365 -0.100 20 0 EBADMM Cn1ccnc1[C@H]1OCC[C@@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000346094947 156383980 /nfs/dbraw/zinc/38/39/80/156383980.db2.gz RRNCTEVQJPXFSP-HZMBPMFUSA-N -1 1 345.359 -0.103 20 0 EBADMM COCC[C@@H](C)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000355405772 157000929 /nfs/dbraw/zinc/00/09/29/157000929.db2.gz ZQADSPAGYGRZGB-MRVPVSSYSA-N -1 1 333.366 -0.802 20 0 EBADMM Cc1nc(S(=O)(=O)NCC(=O)N2CC[N@H+](C)[C@H](C)[C@@H]2C)cn1C ZINC000356848797 157094693 /nfs/dbraw/zinc/09/46/93/157094693.db2.gz KRFKFZWNRICTLG-MNOVXSKESA-N -1 1 343.453 -0.442 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@H]1CCO[C@]2(CCOC2)C1 ZINC000356892334 157097139 /nfs/dbraw/zinc/09/71/39/157097139.db2.gz JDDAOUKRKGRVJU-MEDUHNTESA-N -1 1 335.360 -0.029 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@H](CO)Cc1ccncc1 ZINC000357005482 157112633 /nfs/dbraw/zinc/11/26/33/157112633.db2.gz UVFBUFFCAZVHPN-LBPRGKRZSA-N -1 1 330.344 -0.368 20 0 EBADMM CC(=O)N1CCC[C@H](CNC(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000357293724 157134731 /nfs/dbraw/zinc/13/47/31/157134731.db2.gz BGWHVYQPCOUZEF-GFCCVEGCSA-N -1 1 334.376 -0.108 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCCN2C(=O)CCC2=O)o1 ZINC000357721694 157170950 /nfs/dbraw/zinc/17/09/50/157170950.db2.gz OPBQYZDMFZKOOE-UHFFFAOYSA-N -1 1 343.361 -0.543 20 0 EBADMM C[C@@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])C[C@@]2(CCOC2)O1 ZINC000358251855 157228322 /nfs/dbraw/zinc/22/83/22/157228322.db2.gz RWZKLHKWEHGKNH-BDJLRTHQSA-N -1 1 335.360 -0.077 20 0 EBADMM C[C@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])C[C@@]2(CCOC2)O1 ZINC000358251857 157228810 /nfs/dbraw/zinc/22/88/10/157228810.db2.gz RWZKLHKWEHGKNH-MEDUHNTESA-N -1 1 335.360 -0.077 20 0 EBADMM C[C@@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])C[C@]2(CCOC2)O1 ZINC000358251856 157229485 /nfs/dbraw/zinc/22/94/85/157229485.db2.gz RWZKLHKWEHGKNH-BZNIZROVSA-N -1 1 335.360 -0.077 20 0 EBADMM COC(=O)C[C@@H]1C(=O)NCCN1C(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358322984 157232356 /nfs/dbraw/zinc/23/23/56/157232356.db2.gz MZWUKMOWEHYRBJ-SNVBAGLBSA-N -1 1 347.331 -0.822 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCO[C@]2(CCCOC2)C1 ZINC000358849013 157281115 /nfs/dbraw/zinc/28/11/15/157281115.db2.gz GVYYBOIFYOZFIV-MRXNPFEDSA-N -1 1 335.360 -0.075 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCN2C(=O)CNC2=O)o1 ZINC000358862369 157282672 /nfs/dbraw/zinc/28/26/72/157282672.db2.gz UQUYKIBIIYSCAN-UHFFFAOYSA-N -1 1 345.333 -0.714 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCNC(=O)C2)c1 ZINC000359679938 157336113 /nfs/dbraw/zinc/33/61/13/157336113.db2.gz MYBGEMHBASJZJZ-MRVPVSSYSA-N -1 1 327.362 -0.235 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCC(=O)N2C)c1 ZINC000359680830 157336854 /nfs/dbraw/zinc/33/68/54/157336854.db2.gz OUGSQEOVCYZEKH-JTQLQIEISA-N -1 1 327.362 -0.140 20 0 EBADMM CC(C)(NC(=O)CNS(=O)(=O)c1cccs1)c1nn[n-]n1 ZINC000359781205 157341453 /nfs/dbraw/zinc/34/14/53/157341453.db2.gz FBWHCBMMNCQRCA-UHFFFAOYSA-N -1 1 330.395 -0.409 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCc2nnn(C(C)(C)C)n2)o1 ZINC000655251856 418971598 /nfs/dbraw/zinc/97/15/98/418971598.db2.gz KOLZKOCJVBZRAU-UHFFFAOYSA-N -1 1 342.381 -0.141 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CC(=O)N(CC)C1 ZINC000391499158 419013076 /nfs/dbraw/zinc/01/30/76/419013076.db2.gz VCZLHFMNNAZOMW-MRVPVSSYSA-N -1 1 330.366 -0.515 20 0 EBADMM N=c1nc(N2CCN(C(=O)[C@@H]3CCCS(=O)(=O)C3)CC2)s[n-]1 ZINC000651467442 419202165 /nfs/dbraw/zinc/20/21/65/419202165.db2.gz IIBJUEOJVDZNKZ-SECBINFHSA-N -1 1 345.450 -0.576 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)N1CCN(Cc2nnc[nH]2)CC1 ZINC000651885281 419225578 /nfs/dbraw/zinc/22/55/78/419225578.db2.gz DCMOCKTZYOIMGP-UHFFFAOYSA-N -1 1 327.370 -0.980 20 0 EBADMM CN(C[C@H](O)CNC(=O)c1ncccc1O)C(=O)c1ncccc1[O-] ZINC000647810529 419309469 /nfs/dbraw/zinc/30/94/69/419309469.db2.gz PYNQDKRBBUBGFP-SNVBAGLBSA-N -1 1 346.343 -0.249 20 0 EBADMM CN(C[C@H](O)CNC(=O)c1ncccc1[O-])C(=O)c1ncccc1O ZINC000647810529 419309471 /nfs/dbraw/zinc/30/94/71/419309471.db2.gz PYNQDKRBBUBGFP-SNVBAGLBSA-N -1 1 346.343 -0.249 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NS(=O)(=O)CCOC(F)(F)F ZINC000520298071 419560949 /nfs/dbraw/zinc/56/09/49/419560949.db2.gz LSEXYQCZUPGPJQ-ZETCQYMHSA-N -1 1 331.272 -0.139 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H](C)CCS(C)(=O)=O)o1 ZINC000354001848 419651864 /nfs/dbraw/zinc/65/18/64/419651864.db2.gz QCJZYWCFOAMBCK-QMMMGPOBSA-N -1 1 338.407 -0.259 20 0 EBADMM Cc1cc(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)cc(C)n1 ZINC000420701887 419852250 /nfs/dbraw/zinc/85/22/50/419852250.db2.gz RQFNTYGMTKBSEW-UHFFFAOYSA-N -1 1 338.389 -0.426 20 0 EBADMM COC(=O)N1CCC[C@@H](C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)C1 ZINC000491225407 420556846 /nfs/dbraw/zinc/55/68/46/420556846.db2.gz LMZJRHPXHLLBDG-SSDOTTSWSA-N -1 1 331.354 -0.375 20 0 EBADMM COC(=O)N1CCC[C@@H](C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)C1 ZINC000491225407 420556848 /nfs/dbraw/zinc/55/68/48/420556848.db2.gz LMZJRHPXHLLBDG-SSDOTTSWSA-N -1 1 331.354 -0.375 20 0 EBADMM CCOC(=O)[C@H](C)NC(=O)[C@@H](C)[N-]S(=O)(=O)c1c(N)noc1C ZINC000584378754 420627300 /nfs/dbraw/zinc/62/73/00/420627300.db2.gz XEKQWJDTNSNJHY-RQJHMYQMSA-N -1 1 348.381 -0.700 20 0 EBADMM CC(C)[N@H+](C)CC(=O)N1CC[C@H]([NH+](C)Cc2cc(=O)[nH]c(N)n2)C1 ZINC000331250292 420630996 /nfs/dbraw/zinc/63/09/96/420630996.db2.gz SEYRIIXBUJNNMF-ZDUSSCGKSA-N -1 1 336.440 0.137 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@](C)(O)C(=O)OC(C)(C)C ZINC000349511510 420659765 /nfs/dbraw/zinc/65/97/65/420659765.db2.gz GJWGGYOBCGEZEI-LBPRGKRZSA-N -1 1 335.382 -0.064 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](C1CC1)[C@]1(CO)CCCO1 ZINC000339141090 420659959 /nfs/dbraw/zinc/65/99/59/420659959.db2.gz IBODGNOQJCOUTH-DGCLKSJQSA-N -1 1 331.394 0.164 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H](O)Cn2ccnn2)o1 ZINC000516585367 420664884 /nfs/dbraw/zinc/66/48/84/420664884.db2.gz PFDSHTMVMQOFQB-SECBINFHSA-N -1 1 344.349 -0.613 20 0 EBADMM CCC[C@@H](NC(=O)c1ccnc(S(C)(=O)=O)n1)c1nn[n-]n1 ZINC000516583046 420666463 /nfs/dbraw/zinc/66/64/63/420666463.db2.gz ZTKDWUHNFLYLKL-SSDOTTSWSA-N -1 1 325.354 -0.336 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2C[C@H]2c2ccsc2)c(=O)n(C)c1=O ZINC000528548803 420751862 /nfs/dbraw/zinc/75/18/62/420751862.db2.gz QDJGJEHURIYPIM-VHSXEESVSA-N -1 1 341.414 -0.020 20 0 EBADMM CS(=O)(=O)N1CCC[C@H](C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)C1 ZINC000528884010 420757331 /nfs/dbraw/zinc/75/73/31/420757331.db2.gz XXFBZMUOQNRRTJ-MNOVXSKESA-N -1 1 342.425 -0.423 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@@H]1[N-]S(=O)(=O)C[C@@H]1CCCCO1 ZINC000657099132 420915136 /nfs/dbraw/zinc/91/51/36/420915136.db2.gz FQRACJVVRAHVMI-GARJFASQSA-N -1 1 327.424 -0.713 20 0 EBADMM COc1ccc(NC(=O)C(=O)NCc2nn[n-]n2)c(C(F)(F)F)n1 ZINC000657656938 420931594 /nfs/dbraw/zinc/93/15/94/420931594.db2.gz TXVKBKKIIHHOIP-UHFFFAOYSA-N -1 1 345.241 -0.123 20 0 EBADMM CNS(=O)(=O)c1cc(NC(=O)[C@@H](N)COC(C)C)ccc1[O-] ZINC000662542907 421091743 /nfs/dbraw/zinc/09/17/43/421091743.db2.gz PGUCDAPNLROATM-JTQLQIEISA-N -1 1 331.394 -0.009 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000664343150 421153375 /nfs/dbraw/zinc/15/33/75/421153375.db2.gz WHZYDGUSAWQBRJ-GHMZBOCLSA-N -1 1 337.357 -0.535 20 0 EBADMM CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000664343153 421153749 /nfs/dbraw/zinc/15/37/49/421153749.db2.gz WHZYDGUSAWQBRJ-MNOVXSKESA-N -1 1 337.357 -0.535 20 0 EBADMM O=C(NC[C@]1(OCCO)CCOC1)c1nc2ccccc2c(=O)[n-]1 ZINC000664330713 421153961 /nfs/dbraw/zinc/15/39/61/421153961.db2.gz RGBGWHBTBKDHIH-MRXNPFEDSA-N -1 1 333.344 -0.179 20 0 EBADMM COC(=O)c1ccc(C(=O)Nc2nnc([N-]S(C)(=O)=O)s2)o1 ZINC000433020936 421246152 /nfs/dbraw/zinc/24/61/52/421246152.db2.gz PDDCJMPDNFNULO-UHFFFAOYSA-N -1 1 346.346 0.542 20 0 EBADMM CO[C@](C)([C@@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C1CC1 ZINC000416607569 264805705 /nfs/dbraw/zinc/80/57/05/264805705.db2.gz ZGZMVJGBLHFLHU-YMTOWFKASA-N -1 1 345.421 -0.434 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2CCC(=O)N(C)C2=O)c1 ZINC000425226963 265087485 /nfs/dbraw/zinc/08/74/85/265087485.db2.gz QWGJWBXPFZPMJQ-QMMMGPOBSA-N -1 1 330.318 -0.508 20 0 EBADMM Cc1nc(C)n(C2CN(C(=O)CNC(=O)c3ncccc3[O-])C2)n1 ZINC000425297144 265091116 /nfs/dbraw/zinc/09/11/16/265091116.db2.gz UNPZQCXCVMHDCH-UHFFFAOYSA-N -1 1 330.348 -0.191 20 0 EBADMM CCOC(=O)C[C@@H](O)C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000427913075 265112511 /nfs/dbraw/zinc/11/25/11/265112511.db2.gz NIMRTXNXHJCENN-LLVKDONJSA-N -1 1 346.361 -0.447 20 0 EBADMM CC[C@@H](CNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)OC ZINC000427911083 265112684 /nfs/dbraw/zinc/11/26/84/265112684.db2.gz CWAKTXUYGBAWGH-QMMMGPOBSA-N -1 1 333.366 -0.802 20 0 EBADMM CC[C@@H]1C(=O)N(CC)CCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000428187713 265121453 /nfs/dbraw/zinc/12/14/53/265121453.db2.gz XRNFWHYMYQEEMD-LLVKDONJSA-N -1 1 334.376 -0.014 20 0 EBADMM C[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1cncs1 ZINC000439196384 265265749 /nfs/dbraw/zinc/26/57/49/265265749.db2.gz GARPIWILFZJFRX-ZETCQYMHSA-N -1 1 330.391 -0.420 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCN1CCOC[C@H]1C ZINC000444082423 265358148 /nfs/dbraw/zinc/35/81/48/265358148.db2.gz WSIIBMWGIHMKID-SNVBAGLBSA-N -1 1 346.409 -0.415 20 0 EBADMM O=C(CS(=O)(=O)c1nc[n-]n1)NC[C@H]1COc2ccccc2O1 ZINC000444403694 265363261 /nfs/dbraw/zinc/36/32/61/265363261.db2.gz IWRRMADZNQBHAQ-VIFPVBQESA-N -1 1 338.345 -0.465 20 0 EBADMM CSC1(CNC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)CC1 ZINC000447623048 265375976 /nfs/dbraw/zinc/37/59/76/265375976.db2.gz WDBHDCGSXYSWHW-UHFFFAOYSA-N -1 1 347.418 -0.331 20 0 EBADMM COc1cc(C(=O)N2CCN(CC(N)=O)C(=O)C2)cc(Cl)c1[O-] ZINC000458052162 265446486 /nfs/dbraw/zinc/44/64/86/265446486.db2.gz ZGHPRMJXWNNQLX-UHFFFAOYSA-N -1 1 341.751 -0.176 20 0 EBADMM COCCOCCS(=O)(=O)[N-]c1cccc(S(N)(=O)=O)c1 ZINC000494493592 265545252 /nfs/dbraw/zinc/54/52/52/265545252.db2.gz NRHODHVGKZKRTF-UHFFFAOYSA-N -1 1 338.407 -0.261 20 0 EBADMM C[C@H](C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)n1nnnc1C(C)(C)C ZINC000350153135 298196691 /nfs/dbraw/zinc/19/66/91/298196691.db2.gz KEJRANFUXULQLP-ZCFIWIBFSA-N -1 1 342.385 -0.308 20 0 EBADMM C[C@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)n1nnnc1C(C)(C)C ZINC000350153135 298196693 /nfs/dbraw/zinc/19/66/93/298196693.db2.gz KEJRANFUXULQLP-ZCFIWIBFSA-N -1 1 342.385 -0.308 20 0 EBADMM C[C@H]1CNCCN1C(=O)c1ccc2c(c1)S(=O)(=O)[N-]c1ncnn1-2 ZINC000262035198 300322273 /nfs/dbraw/zinc/32/22/73/300322273.db2.gz PQGSMNYLRYBAMZ-VIFPVBQESA-N -1 1 348.388 -0.185 20 0 EBADMM Cc1ccn(C[C@](C)(O)C(=O)N(C)C[C@H](C)c2nn[n-]n2)c(=O)c1 ZINC000279820399 302258131 /nfs/dbraw/zinc/25/81/31/302258131.db2.gz QMWOGBHKTDNWGX-NHYWBVRUSA-N -1 1 334.380 -0.317 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2CCCC23CC3)c(=O)n(C)c1=O ZINC000625670249 545697366 /nfs/dbraw/zinc/69/73/66/545697366.db2.gz JWJTVCNCPHZFKT-JTQLQIEISA-N -1 1 327.406 -0.057 20 0 EBADMM CN1CCN(C)[C@@H](CNC(=O)c2cc(S(N)(=O)=O)ccc2[O-])C1 ZINC000668581470 545717328 /nfs/dbraw/zinc/71/73/28/545717328.db2.gz FAGWCGOOFWYKFJ-JTQLQIEISA-N -1 1 342.421 -0.985 20 0 EBADMM CC(=O)N1CCN(C(=O)CN2CCC(c3n[nH]c(=O)[n-]3)CC2)CC1 ZINC000333382162 545861632 /nfs/dbraw/zinc/86/16/32/545861632.db2.gz BCLCACVMZOENJP-UHFFFAOYSA-N -1 1 336.396 -0.620 20 0 EBADMM C[C@@H](C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1ccncc1 ZINC000674087299 545969260 /nfs/dbraw/zinc/96/92/60/545969260.db2.gz OSPCBXCDLBFALE-JTQLQIEISA-N -1 1 338.389 -0.439 20 0 EBADMM CN(C)S(=O)(=O)N1CCN(C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000672733799 546118278 /nfs/dbraw/zinc/11/82/78/546118278.db2.gz GWQJXHFJASYBOE-UHFFFAOYSA-N -1 1 341.389 -0.475 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC(C)(C)S(C)(=O)=O)o1 ZINC000673998475 546153555 /nfs/dbraw/zinc/15/35/55/546153555.db2.gz WCYNIJLCSGFCBC-UHFFFAOYSA-N -1 1 338.407 -0.259 20 0 EBADMM CS(=O)(=O)c1cccc(S(=O)(=O)[N-]c2cc(C(N)=O)[nH]n2)c1 ZINC000679203248 546267673 /nfs/dbraw/zinc/26/76/73/546267673.db2.gz YXTGVRUUDGTLKS-UHFFFAOYSA-N -1 1 344.374 -0.287 20 0 EBADMM C[C@@H](NC(=O)c1ccc([O-])c(F)c1)C(=O)N1CCS(=O)(=O)CC1 ZINC000681041073 546298040 /nfs/dbraw/zinc/29/80/40/546298040.db2.gz RKRDCAAVIAHOGW-SECBINFHSA-N -1 1 344.364 -0.093 20 0 EBADMM NC(=O)NCC(=O)N[C@@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1 ZINC000684880689 546378661 /nfs/dbraw/zinc/37/86/61/546378661.db2.gz MQNQQOSNVYPPOQ-SECBINFHSA-N -1 1 327.707 -0.134 20 0 EBADMM O=C(Cc1ccc(-c2nn[nH]n2)cc1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000737959903 582607970 /nfs/dbraw/zinc/60/79/70/582607970.db2.gz DNTBFVVFLJGVET-LLVKDONJSA-N -1 1 341.335 -0.478 20 0 EBADMM Cc1nnnn1-c1cccc(NC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000726865792 582623196 /nfs/dbraw/zinc/62/31/96/582623196.db2.gz OOBDDORPOQUPLO-UHFFFAOYSA-N -1 1 341.331 -0.150 20 0 EBADMM O=C(CCNC(=O)c1[nH]nc2ccccc21)NN1CC(=O)[N-]C1=O ZINC000728064242 582670562 /nfs/dbraw/zinc/67/05/62/582670562.db2.gz AENJDQADKLGPID-UHFFFAOYSA-N -1 1 330.304 -0.734 20 0 EBADMM CCOC(=O)[C@@H](CC1CC1)[N-]S(=O)(=O)C[C@H]1CN(C)CCO1 ZINC000866912577 582675610 /nfs/dbraw/zinc/67/56/10/582675610.db2.gz KHNKQRSOKHYCCW-CHWSQXEVSA-N -1 1 334.438 -0.032 20 0 EBADMM CN(CCCNC(=O)CO[N-]C(=O)CC(C)(C)C)S(C)(=O)=O ZINC000842060740 582730753 /nfs/dbraw/zinc/73/07/53/582730753.db2.gz CTOZGNXAFLEMNP-UHFFFAOYSA-N -1 1 337.442 -0.132 20 0 EBADMM CCOC(=O)N1CCN(C(=O)C[N@@H+](CCOC)CCC(=O)[O-])CC1 ZINC000903712334 582814925 /nfs/dbraw/zinc/81/49/25/582814925.db2.gz JLEOSIIRWSFDJR-UHFFFAOYSA-N -1 1 345.396 -0.290 20 0 EBADMM CCOC(=O)N1CCN(C(=O)CN(CCOC)CCC(=O)[O-])CC1 ZINC000903712334 582814926 /nfs/dbraw/zinc/81/49/26/582814926.db2.gz JLEOSIIRWSFDJR-UHFFFAOYSA-N -1 1 345.396 -0.290 20 0 EBADMM CN(C)CCN(C[C@H]1CCCO1)C(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC000730547547 582829435 /nfs/dbraw/zinc/82/94/35/582829435.db2.gz VDZTXKYNJUTYLJ-GFCCVEGCSA-N -1 1 348.407 -0.360 20 0 EBADMM O=C([C@H]1CC[C@@H](C(F)(F)F)NC1=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000732090710 582844035 /nfs/dbraw/zinc/84/40/35/582844035.db2.gz MGIWRRKIGSBJOM-RNJXMRFFSA-N -1 1 348.285 -0.443 20 0 EBADMM NC(=O)N[C@@H](CC(=O)N1CCO[C@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000732090573 582844243 /nfs/dbraw/zinc/84/42/43/582844243.db2.gz WXBWCIAQYSHBAF-RYUDHWBXSA-N -1 1 345.363 -0.101 20 0 EBADMM O=C(CNC(=O)OCC(F)(F)F)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000732089244 582844287 /nfs/dbraw/zinc/84/42/87/582844287.db2.gz MBZMCFYZGCBRPY-ZCFIWIBFSA-N -1 1 338.246 -0.612 20 0 EBADMM NC(=O)[C@@H]1Cc2ccccc2CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000733125754 582855920 /nfs/dbraw/zinc/85/59/20/582855920.db2.gz MCZCGCATTHCJBR-ZDUSSCGKSA-N -1 1 342.355 -0.635 20 0 EBADMM COCCOc1ncccc1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC000733138519 582856000 /nfs/dbraw/zinc/85/60/00/582856000.db2.gz BLTRTYBMEZSNOH-UHFFFAOYSA-N -1 1 348.359 -0.337 20 0 EBADMM Cc1n[n-]cc1S(=O)(=O)Oc1cccc(C(=O)NCC(N)=O)c1 ZINC000734498171 582873857 /nfs/dbraw/zinc/87/38/57/582873857.db2.gz WWIUVEXFLNBDBJ-UHFFFAOYSA-N -1 1 338.345 -0.299 20 0 EBADMM Cn1cc(C(=O)NCc2nnc([S-])n2C2CC2)c(=O)n(C)c1=O ZINC000735044046 582884191 /nfs/dbraw/zinc/88/41/91/582884191.db2.gz FTZPBTSZEKSJEF-UHFFFAOYSA-N -1 1 336.377 -0.771 20 0 EBADMM CC(C)NC(=O)C(=O)N1CCN(c2cccc(-c3nnn[n-]3)n2)CC1 ZINC000735880909 582908634 /nfs/dbraw/zinc/90/86/34/582908634.db2.gz CVUMJUCHJQSWKS-UHFFFAOYSA-N -1 1 344.379 -0.565 20 0 EBADMM CC(C)NC(=O)C(=O)N1CCN(c2cccc(-c3nn[n-]n3)n2)CC1 ZINC000735880909 582908636 /nfs/dbraw/zinc/90/86/36/582908636.db2.gz CVUMJUCHJQSWKS-UHFFFAOYSA-N -1 1 344.379 -0.565 20 0 EBADMM C[C@@H](CNc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C)Cn1ccnc1 ZINC000736026487 582913471 /nfs/dbraw/zinc/91/34/71/582913471.db2.gz ORODWIMTCOIMHF-VIFPVBQESA-N -1 1 345.367 -0.791 20 0 EBADMM O=C(c1cc(-n2cccn2)ccn1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000736075796 582916167 /nfs/dbraw/zinc/91/61/67/582916167.db2.gz ABFBBZQRVRJUDN-GFCCVEGCSA-N -1 1 326.320 -0.006 20 0 EBADMM C[C@H](OC(=O)c1ccc(-c2nnn[n-]2)nc1)C(=O)N1CCOCC1 ZINC000736159598 582921824 /nfs/dbraw/zinc/92/18/24/582921824.db2.gz XGEVZHDIHTUROP-VIFPVBQESA-N -1 1 332.320 -0.334 20 0 EBADMM C[C@H](OC(=O)c1ccc(-c2nn[n-]n2)nc1)C(=O)N1CCOCC1 ZINC000736159598 582921828 /nfs/dbraw/zinc/92/18/28/582921828.db2.gz XGEVZHDIHTUROP-VIFPVBQESA-N -1 1 332.320 -0.334 20 0 EBADMM C[C@H](c1ccccn1)N(C)c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000736205496 582924203 /nfs/dbraw/zinc/92/42/03/582924203.db2.gz XEFRZMXJSAXKNH-SECBINFHSA-N -1 1 342.363 -0.144 20 0 EBADMM CC(C)(NC(=O)[O-])C(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC000736329010 582930419 /nfs/dbraw/zinc/93/04/19/582930419.db2.gz SMGBMZNFPHWFPH-GFCCVEGCSA-N -1 1 328.413 -0.445 20 0 EBADMM CC[C@@H](C)NC(=O)CN1CCN(c2nccnc2-c2nn[n-]n2)CC1 ZINC000736327229 582930485 /nfs/dbraw/zinc/93/04/85/582930485.db2.gz PNIFDCRZHCRXCR-LLVKDONJSA-N -1 1 345.411 -0.307 20 0 EBADMM CC(C)(NC(=O)[O-])C(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC000736329012 582930633 /nfs/dbraw/zinc/93/06/33/582930633.db2.gz SMGBMZNFPHWFPH-LBPRGKRZSA-N -1 1 328.413 -0.445 20 0 EBADMM CC[C@H]1C(=O)NCCN1S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736394326 582932735 /nfs/dbraw/zinc/93/27/35/582932735.db2.gz BLMRYAAVLMYGPD-JTQLQIEISA-N -1 1 337.365 -0.839 20 0 EBADMM CC[C@H]1C(=O)NCCN1S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736394326 582932736 /nfs/dbraw/zinc/93/27/36/582932736.db2.gz BLMRYAAVLMYGPD-JTQLQIEISA-N -1 1 337.365 -0.839 20 0 EBADMM CC(C)C[C@H](NC(=O)CN1CCN(C[C@@H](C)O)[C@H](C)C1)C(=O)[O-] ZINC000736411460 582934036 /nfs/dbraw/zinc/93/40/36/582934036.db2.gz HATXVMMIDAEVCP-MCIONIFRSA-N -1 1 329.441 -0.011 20 0 EBADMM CCS(=O)(=O)NCCCNC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736659847 582949613 /nfs/dbraw/zinc/94/96/13/582949613.db2.gz XEWPNVVQCINKPQ-UHFFFAOYSA-N -1 1 339.381 -0.679 20 0 EBADMM CCS(=O)(=O)NCCCNC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736659847 582949616 /nfs/dbraw/zinc/94/96/16/582949616.db2.gz XEWPNVVQCINKPQ-UHFFFAOYSA-N -1 1 339.381 -0.679 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nn[n-]n1)c1nccn(C)c1=O ZINC000736798301 582957486 /nfs/dbraw/zinc/95/74/86/582957486.db2.gz TZUARVUBNDVCDI-UHFFFAOYSA-N -1 1 330.356 -0.665 20 0 EBADMM CNC(=O)CN(C)S(=O)(=O)c1cccc(Cl)c1-c1nnn[n-]1 ZINC000736875204 582968218 /nfs/dbraw/zinc/96/82/18/582968218.db2.gz UYNRWUZOZZTPFV-UHFFFAOYSA-N -1 1 344.784 -0.113 20 0 EBADMM CNC(=O)CN(C)S(=O)(=O)c1cccc(Cl)c1-c1nn[n-]n1 ZINC000736875204 582968220 /nfs/dbraw/zinc/96/82/20/582968220.db2.gz UYNRWUZOZZTPFV-UHFFFAOYSA-N -1 1 344.784 -0.113 20 0 EBADMM CN(Cc1ccc(NC(=O)C(=O)NCc2nn[nH]n2)cc1)C(=O)[O-] ZINC000737476494 583009080 /nfs/dbraw/zinc/00/90/80/583009080.db2.gz AUGDQNXPCJWTDP-UHFFFAOYSA-N -1 1 333.308 -0.436 20 0 EBADMM Cc1nn(C)cc1[C@H](C)Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000737512935 583011796 /nfs/dbraw/zinc/01/17/96/583011796.db2.gz CFLNPRUAXAQEMO-ZETCQYMHSA-N -1 1 345.367 -0.521 20 0 EBADMM CN1CCN(C[C@@H]2CN(C(=O)CCCNC(=O)[O-])CCO2)CC1 ZINC000737526544 583012319 /nfs/dbraw/zinc/01/23/19/583012319.db2.gz SUABYKZMPCEMJQ-CYBMUJFWSA-N -1 1 328.413 -0.491 20 0 EBADMM CN1CCN(C)[C@@H](CNC(=O)C(=O)Nc2ccc(CC(=O)[O-])cc2)C1 ZINC000737525191 583012869 /nfs/dbraw/zinc/01/28/69/583012869.db2.gz CEKNNCPCOYETGO-AWEZNQCLSA-N -1 1 348.403 -0.386 20 0 EBADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)CNC(=O)c1cc(F)cc(F)c1 ZINC000737778204 583027867 /nfs/dbraw/zinc/02/78/67/583027867.db2.gz XVHITLJBHOZVKO-UHFFFAOYSA-N -1 1 348.273 -0.006 20 0 EBADMM O=C(CN1C(=O)c2ccccc2C1=O)NC1(c2nn[n-]n2)CCC1 ZINC000737877642 583030786 /nfs/dbraw/zinc/03/07/86/583030786.db2.gz KJFPPNZISUFACP-UHFFFAOYSA-N -1 1 326.316 -0.009 20 0 EBADMM O=C(NC1(c2nn[n-]n2)CCCC1)c1ccc(-c2nn[nH]n2)cn1 ZINC000738010429 583037707 /nfs/dbraw/zinc/03/77/07/583037707.db2.gz FRIWYHDOAGIWDJ-UHFFFAOYSA-N -1 1 326.324 -0.026 20 0 EBADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCO[C@@H](Cn2cccn2)C1 ZINC000738259533 583046570 /nfs/dbraw/zinc/04/65/70/583046570.db2.gz RADPHHLCEAIQSQ-GFCCVEGCSA-N -1 1 340.347 -0.001 20 0 EBADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCO[C@@H](Cn2cccn2)C1 ZINC000738259533 583046572 /nfs/dbraw/zinc/04/65/72/583046572.db2.gz RADPHHLCEAIQSQ-GFCCVEGCSA-N -1 1 340.347 -0.001 20 0 EBADMM COC(=O)C[C@H]([N-]S(=O)(=O)N(C)C1CCOCC1)C(=O)OC ZINC000739938862 583060204 /nfs/dbraw/zinc/06/02/04/583060204.db2.gz YLIAEPAOTQLTSZ-JTQLQIEISA-N -1 1 338.382 -0.964 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NCCOC2CCC2)o1 ZINC000746323386 583105056 /nfs/dbraw/zinc/10/50/56/583105056.db2.gz KSNCXOMLIOOAAM-UHFFFAOYSA-N -1 1 345.377 -0.658 20 0 EBADMM O=C(Cn1c(=O)[nH]c2ccccc21)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000753088690 583158474 /nfs/dbraw/zinc/15/84/74/583158474.db2.gz UMXCODFHHPNZKZ-UHFFFAOYSA-N -1 1 343.347 -0.235 20 0 EBADMM Cc1nc(NC(=O)CCn2cc[n-]c(=O)c2=O)n(-c2ccccc2)n1 ZINC000753338554 583160429 /nfs/dbraw/zinc/16/04/29/583160429.db2.gz QKISSHGUCSYKHY-UHFFFAOYSA-N -1 1 340.343 -0.124 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC(=O)N2CCOCC2)co1 ZINC000756403087 583183025 /nfs/dbraw/zinc/18/30/25/583183025.db2.gz IEXWEKUXCCLRQI-UHFFFAOYSA-N -1 1 332.334 -0.797 20 0 EBADMM CCCNC(=O)NC(=O)COC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000756400499 583183247 /nfs/dbraw/zinc/18/32/47/583183247.db2.gz CZIQACZYMQSFQY-UHFFFAOYSA-N -1 1 347.349 -0.420 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@H](C)C(=O)NC(=O)NC)co1 ZINC000756406745 583183521 /nfs/dbraw/zinc/18/35/21/583183521.db2.gz WIIPCTCKPZBKLS-ZCFIWIBFSA-N -1 1 333.322 -0.811 20 0 EBADMM COc1ccc(NC(=O)NOCC(N)=O)cc1[N-]S(C)(=O)=O ZINC000756781399 583186186 /nfs/dbraw/zinc/18/61/86/583186186.db2.gz DFPDKBRDZBJILB-UHFFFAOYSA-N -1 1 332.338 -0.395 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H](O)c1ccccn1 ZINC000758513687 583204867 /nfs/dbraw/zinc/20/48/67/583204867.db2.gz GGZFUYYOSLJONO-NSHDSACASA-N -1 1 340.361 -0.007 20 0 EBADMM CCOC(=O)[C@H]1CCCN1S(=O)(=O)[N-]C(=O)CCc1nc[nH]n1 ZINC000759839522 583218747 /nfs/dbraw/zinc/21/87/47/583218747.db2.gz UASBXVQBDFRGKY-SECBINFHSA-N -1 1 345.381 -0.874 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NCC(=O)NCc1ccccc1 ZINC000763811285 583266240 /nfs/dbraw/zinc/26/62/40/583266240.db2.gz ACXVISDCINFHCZ-UHFFFAOYSA-N -1 1 342.355 -0.050 20 0 EBADMM Cn1[n-]c(COC(=O)C2=NN(c3ccccc3)[C@H](C(N)=O)C2)nc1=O ZINC000765408699 583281301 /nfs/dbraw/zinc/28/13/01/583281301.db2.gz IFKUTWPPCLHPNF-NSHDSACASA-N -1 1 344.331 -0.728 20 0 EBADMM CN(C)C(=O)COc1ccccc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765420997 583281988 /nfs/dbraw/zinc/28/19/88/583281988.db2.gz MCZPGZFDRPUCDU-UHFFFAOYSA-N -1 1 334.332 -0.068 20 0 EBADMM CCCCS(=O)(=O)N1CCC[C@@H]1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765443942 583283099 /nfs/dbraw/zinc/28/30/99/583283099.db2.gz BQBQKZMOMZLNPV-SNVBAGLBSA-N -1 1 346.409 -0.254 20 0 EBADMM Cc1cc(S(N)(=O)=O)cc(C(=O)OCc2nc(=O)n(C)[n-]2)c1C ZINC000765502076 583283916 /nfs/dbraw/zinc/28/39/16/583283916.db2.gz JGJXIOMHTGPNCX-UHFFFAOYSA-N -1 1 340.361 -0.270 20 0 EBADMM CCS(=O)(=O)c1ccc(C(=O)OCc2nc(=O)n(C)[n-]2)c(N)c1 ZINC000765497099 583283996 /nfs/dbraw/zinc/28/39/96/583283996.db2.gz TYIDRQLFEASHPV-UHFFFAOYSA-N -1 1 340.361 -0.159 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CC(=O)N(C2CCCCC2)C1 ZINC000766792702 583296746 /nfs/dbraw/zinc/29/67/46/583296746.db2.gz SUQBMYFRVMCKEA-LBPRGKRZSA-N -1 1 348.403 -0.024 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H](CO)C[C@H](O)c1ccccc1 ZINC000770827999 583343039 /nfs/dbraw/zinc/34/30/39/583343039.db2.gz HWPGNDHGUSPYOQ-KBPBESRZSA-N -1 1 347.371 -0.473 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H](CO)C[C@H](O)c1ccccc1 ZINC000770828000 583343118 /nfs/dbraw/zinc/34/31/18/583343118.db2.gz HWPGNDHGUSPYOQ-KGLIPLIRSA-N -1 1 347.371 -0.473 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1COc2ccccc2C1=O ZINC000775793900 583399063 /nfs/dbraw/zinc/39/90/63/583399063.db2.gz RSBKYMUDQWHJTF-NSHDSACASA-N -1 1 329.312 -0.313 20 0 EBADMM NC(=O)CCN(Cc1ccccc1)C(=O)COC(=O)c1cn[n-]n1 ZINC000805604658 583420312 /nfs/dbraw/zinc/42/03/12/583420312.db2.gz OAMIKDSOBZFOAZ-UHFFFAOYSA-N -1 1 331.332 -0.134 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H](O)[C@H](O)c1nc2ccccc2[nH]1)c1nn[n-]n1 ZINC000779838227 583441524 /nfs/dbraw/zinc/44/15/24/583441524.db2.gz CEWQBFDCWTZAHZ-JFUSQASVSA-N -1 1 345.363 -0.268 20 0 EBADMM CNS(=O)(=O)CCC(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000783191235 583477354 /nfs/dbraw/zinc/47/73/54/583477354.db2.gz YPENLDAXFNRNLZ-UHFFFAOYSA-N -1 1 328.346 -0.575 20 0 EBADMM O=C(Nc1cccc(CN2C(=O)CNC2=O)c1)NN1CC(=O)[N-]C1=O ZINC000783593189 583480275 /nfs/dbraw/zinc/48/02/75/583480275.db2.gz XFDVGJUISRKRDU-UHFFFAOYSA-N -1 1 346.303 -0.673 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCN2CCOCC2=O)o1 ZINC000785299775 583494232 /nfs/dbraw/zinc/49/42/32/583494232.db2.gz NHSGEBWUKLFSMO-UHFFFAOYSA-N -1 1 332.334 -0.797 20 0 EBADMM C[C@@H]1CC[C@H](COC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)O1 ZINC000786195786 583506636 /nfs/dbraw/zinc/50/66/36/583506636.db2.gz BCRVHHMWCVBPLH-RKDXNWHRSA-N -1 1 346.361 -0.233 20 0 EBADMM CCCC(=O)COC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000786198513 583507124 /nfs/dbraw/zinc/50/71/24/583507124.db2.gz TWXDGVXIPDBNAI-UHFFFAOYSA-N -1 1 332.334 -0.431 20 0 EBADMM CCOCCCOC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000786201915 583507193 /nfs/dbraw/zinc/50/71/93/583507193.db2.gz YWWKMJQPEJQKJH-UHFFFAOYSA-N -1 1 334.350 -0.373 20 0 EBADMM COC(=O)[C@@H]1[C@@H]2CCC[C@H]2CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000786234942 583507465 /nfs/dbraw/zinc/50/74/65/583507465.db2.gz ZYHYLOHZKGXCFO-LOWVWBTDSA-N -1 1 335.360 -0.273 20 0 EBADMM Cc1ccn(C[C@@](C)(O)C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c(=O)c1 ZINC000791131415 583549737 /nfs/dbraw/zinc/54/97/37/583549737.db2.gz ZWHVLKQVZKVHIQ-IAQYHMDHSA-N -1 1 348.363 -0.979 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NOC/C=C\Cl)o1 ZINC000794306143 583579047 /nfs/dbraw/zinc/57/90/47/583579047.db2.gz QGLIJNKAIIFBAZ-RJRFIUFISA-N -1 1 337.741 -0.543 20 0 EBADMM COC(=O)c1ccc(C(=O)OCC(=O)NCC(=O)NC(C)(C)C)[n-]1 ZINC000796347700 583595731 /nfs/dbraw/zinc/59/57/31/583595731.db2.gz VKHCAIAQDBDXAM-UHFFFAOYSA-N -1 1 339.348 -0.011 20 0 EBADMM C[C@H](CN(C)C(=O)c1cc(S(N)(=O)=O)ccc1F)c1nn[n-]n1 ZINC000798339207 583604401 /nfs/dbraw/zinc/60/44/01/583604401.db2.gz QZDBQKIUEKEVJS-SSDOTTSWSA-N -1 1 342.356 -0.138 20 0 EBADMM CC(C)(C)OC(=O)NC[C@H]1CC(C(=O)NCc2nn[n-]n2)=NO1 ZINC000798962302 583608778 /nfs/dbraw/zinc/60/87/78/583608778.db2.gz LJAUDIOGVLHAPZ-SSDOTTSWSA-N -1 1 325.329 -0.515 20 0 EBADMM CS(=O)(=O)[N-]C(=O)CCNC(=O)Cc1n[nH]c2c1CCCC2 ZINC000800551178 583615700 /nfs/dbraw/zinc/61/57/00/583615700.db2.gz UAHYMPGEXJKVGB-UHFFFAOYSA-N -1 1 328.394 -0.587 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-]C2CC(NS(C)(=O)=O)C2)c1Cl ZINC000800954929 583617388 /nfs/dbraw/zinc/61/73/88/583617388.db2.gz KXDZQPFEVRMLQS-UHFFFAOYSA-N -1 1 342.830 -0.568 20 0 EBADMM CN(C)S(=O)(=O)c1cc(C(=O)NCc2nn[n-]n2)ccc1F ZINC000801156009 583618431 /nfs/dbraw/zinc/61/84/31/583618431.db2.gz UXZXIOWACHTBOG-UHFFFAOYSA-N -1 1 328.329 -0.481 20 0 EBADMM CC(=O)NC[C@@H]1CN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CCO1 ZINC000806485377 583650429 /nfs/dbraw/zinc/65/04/29/583650429.db2.gz YCJFYDYTCNNIHP-LLVKDONJSA-N -1 1 332.364 -0.289 20 0 EBADMM CNS(=O)(=O)[C@@H]1CCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000806490869 583650728 /nfs/dbraw/zinc/65/07/28/583650728.db2.gz PCPKPTBAYGRABY-SECBINFHSA-N -1 1 338.393 -0.503 20 0 EBADMM C[C@H]1CN(CCNC(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)CCO1 ZINC000806882372 583655406 /nfs/dbraw/zinc/65/54/06/583655406.db2.gz UCOOWUSZICHVML-NSHDSACASA-N -1 1 346.391 -0.883 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCCNc3cnccn3)ccnc1-2 ZINC000806904472 583655417 /nfs/dbraw/zinc/65/54/17/583655417.db2.gz CVYIYYHALIHQKT-UHFFFAOYSA-N -1 1 340.347 -0.701 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NC[C@@H]3COC(C)(C)O3)ccnc1-2 ZINC000806960629 583656153 /nfs/dbraw/zinc/65/61/53/583656153.db2.gz DMSHOLIYDHZNBS-SECBINFHSA-N -1 1 333.348 -0.452 20 0 EBADMM CSC[C@@H](CCO)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806932599 583656163 /nfs/dbraw/zinc/65/61/63/583656163.db2.gz GZUMZPFAUCKWEJ-SECBINFHSA-N -1 1 337.405 -0.490 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H](C(=O)N2CCCC2)C1 ZINC000807818653 583663522 /nfs/dbraw/zinc/66/35/22/583663522.db2.gz LXPAZTRMPDKWRB-GFCCVEGCSA-N -1 1 334.376 -0.602 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1cccc2c1OCC(=O)N2 ZINC000811597511 583692507 /nfs/dbraw/zinc/69/25/07/583692507.db2.gz OYANCCDJMYTWSP-UHFFFAOYSA-N -1 1 330.300 -0.104 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CC[C@@H](n4cccn4)C3)ccnc1-2 ZINC000813029431 583697424 /nfs/dbraw/zinc/69/74/24/583697424.db2.gz BPPSSDIJDXZKBA-LLVKDONJSA-N -1 1 339.359 -0.050 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CC[C@H](n4cccn4)C3)ccnc1-2 ZINC000813029436 583697493 /nfs/dbraw/zinc/69/74/93/583697493.db2.gz BPPSSDIJDXZKBA-NSHDSACASA-N -1 1 339.359 -0.050 20 0 EBADMM COC[C@H]([N-]S(=O)(=O)CC1(C(=O)OC)CCCCC1)C(N)=O ZINC000813686017 583700792 /nfs/dbraw/zinc/70/07/92/583700792.db2.gz CSQVMIGRRIGWKN-JTQLQIEISA-N -1 1 336.410 -0.470 20 0 EBADMM O=C(Nc1nc(Br)ccc1[O-])C1=C[C@@H](O)[C@@H](O)[C@H](O)C1 ZINC000816952340 583723836 /nfs/dbraw/zinc/72/38/36/583723836.db2.gz ILDYXFBYGQIBBG-NQMVMOMDSA-N -1 1 345.149 -0.099 20 0 EBADMM CC(C)(C)OC(=O)[C@@H]1CCCN1C(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000819921871 583763567 /nfs/dbraw/zinc/76/35/67/583763567.db2.gz CIKGTAJJTBBGEI-VIFPVBQESA-N -1 1 348.367 -0.209 20 0 EBADMM CC(C)CO[C@H]1CCN(c2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)C1 ZINC000820096665 583766511 /nfs/dbraw/zinc/76/65/11/583766511.db2.gz DYBUDMBQIDHXEV-JTQLQIEISA-N -1 1 349.395 -0.485 20 0 EBADMM CC(C)COC[C@H](O)CNC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820103453 583766588 /nfs/dbraw/zinc/76/65/88/583766588.db2.gz ZZYMRUZDIWNXKM-SECBINFHSA-N -1 1 338.372 -0.245 20 0 EBADMM CC(C)COC[C@H](O)CNC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820103453 583766589 /nfs/dbraw/zinc/76/65/89/583766589.db2.gz ZZYMRUZDIWNXKM-SECBINFHSA-N -1 1 338.372 -0.245 20 0 EBADMM C[C@@H](CNc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C)c1ccncc1 ZINC000820274290 583770185 /nfs/dbraw/zinc/77/01/85/583770185.db2.gz GLFXBGZUWAGSDK-VIFPVBQESA-N -1 1 342.363 -0.125 20 0 EBADMM C[C@@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)C(=O)N1CCCC[C@H]1C ZINC000820334216 583771495 /nfs/dbraw/zinc/77/14/95/583771495.db2.gz LIVDZRXWNUPFLN-NXEZZACHSA-N -1 1 347.383 -0.636 20 0 EBADMM C[C@@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)[C@@H](O)c1cccc(F)c1 ZINC000820334019 583771558 /nfs/dbraw/zinc/77/15/58/583771558.db2.gz IYORPCGOTWCDEM-PRHODGIISA-N -1 1 346.326 -0.164 20 0 EBADMM C[C@@H](Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C)C1CCOCC1 ZINC000820451261 583773155 /nfs/dbraw/zinc/77/31/55/583773155.db2.gz UEPDQVTVXIPOLY-MRVPVSSYSA-N -1 1 335.368 -0.509 20 0 EBADMM CN(C)CCN(CC(=O)[O-])S(=O)(=O)c1cn2c(n1)CCCC2 ZINC000820539598 583775198 /nfs/dbraw/zinc/77/51/98/583775198.db2.gz RYLHZHMKHMUTTN-UHFFFAOYSA-N -1 1 330.410 -0.144 20 0 EBADMM C[C@H]1C[N@H+](C2CC2)C[C@@H]1Nc1c(-c2nnn[n-]2)c(=O)n(C)c(=O)n1C ZINC000820751810 583779091 /nfs/dbraw/zinc/77/90/91/583779091.db2.gz HXWWIPAUXVLAAY-WPRPVWTQSA-N -1 1 346.395 -0.841 20 0 EBADMM C[C@H]1C[N@@H+](C2CC2)C[C@@H]1Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000820751810 583779093 /nfs/dbraw/zinc/77/90/93/583779093.db2.gz HXWWIPAUXVLAAY-WPRPVWTQSA-N -1 1 346.395 -0.841 20 0 EBADMM C[C@H]1C[N@H+](C2CC2)C[C@H]1Nc1c(-c2nnn[n-]2)c(=O)n(C)c(=O)n1C ZINC000820751759 583779210 /nfs/dbraw/zinc/77/92/10/583779210.db2.gz HXWWIPAUXVLAAY-WCBMZHEXSA-N -1 1 346.395 -0.841 20 0 EBADMM C[C@H]1C[N@@H+](C2CC2)C[C@H]1Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000820751759 583779212 /nfs/dbraw/zinc/77/92/12/583779212.db2.gz HXWWIPAUXVLAAY-WCBMZHEXSA-N -1 1 346.395 -0.841 20 0 EBADMM C[C@H]1CN(c2nccnc2-c2nnn[n-]2)CCN1C(=O)[C@H]1CCCO1 ZINC000820778045 583779414 /nfs/dbraw/zinc/77/94/14/583779414.db2.gz USIVFFGTBUBZFN-WDEREUQCSA-N -1 1 344.379 -0.127 20 0 EBADMM C[C@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1C(=O)[C@H]1CCCO1 ZINC000820778045 583779416 /nfs/dbraw/zinc/77/94/16/583779416.db2.gz USIVFFGTBUBZFN-WDEREUQCSA-N -1 1 344.379 -0.127 20 0 EBADMM CC[C@@H]1CO[C@H](C)CN1c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000821000780 583783864 /nfs/dbraw/zinc/78/38/64/583783864.db2.gz JGJXXXYKCVMGJP-RKDXNWHRSA-N -1 1 335.368 -0.732 20 0 EBADMM CCCNC(=O)[C@@H](C)[N@@H+](C)CCCc1[nH]nc(N)c1-c1nn[nH]n1 ZINC000821114142 583785235 /nfs/dbraw/zinc/78/52/35/583785235.db2.gz JNLCVNXFUZKOJU-SECBINFHSA-N -1 1 335.416 -0.049 20 0 EBADMM CCCNC(=O)[C@@H](C)[N@H+](C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000821114142 583785236 /nfs/dbraw/zinc/78/52/36/583785236.db2.gz JNLCVNXFUZKOJU-SECBINFHSA-N -1 1 335.416 -0.049 20 0 EBADMM CCOC(=O)[C@@H](C)N(C)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821313122 583788622 /nfs/dbraw/zinc/78/86/22/583788622.db2.gz AKMJYMLVVXIMMB-MRVPVSSYSA-N -1 1 340.365 -0.166 20 0 EBADMM CCOC(=O)[C@@H](C)N(C)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821313122 583788624 /nfs/dbraw/zinc/78/86/24/583788624.db2.gz AKMJYMLVVXIMMB-MRVPVSSYSA-N -1 1 340.365 -0.166 20 0 EBADMM CCOC[C@H]1CCN(c2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)C1 ZINC000821368653 583790023 /nfs/dbraw/zinc/79/00/23/583790023.db2.gz UPFMQSJPOYSIFK-VIFPVBQESA-N -1 1 335.368 -0.873 20 0 EBADMM CC[C@@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)C(=O)OC(C)(C)C ZINC000821460717 583791495 /nfs/dbraw/zinc/79/14/95/583791495.db2.gz AZIYEBQPPSXJME-MRVPVSSYSA-N -1 1 336.356 -0.305 20 0 EBADMM CNC(=O)Cc1noc(COC(=O)c2ccc(-c3nnn[n-]3)s2)n1 ZINC000821633781 583798115 /nfs/dbraw/zinc/79/81/15/583798115.db2.gz CVDNJACZUBLINE-UHFFFAOYSA-N -1 1 349.332 -0.043 20 0 EBADMM CNC(=O)Cc1noc(COC(=O)c2ccc(-c3nn[n-]n3)s2)n1 ZINC000821633781 583798116 /nfs/dbraw/zinc/79/81/16/583798116.db2.gz CVDNJACZUBLINE-UHFFFAOYSA-N -1 1 349.332 -0.043 20 0 EBADMM CNC(=O)c1ccccc1NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821636354 583798407 /nfs/dbraw/zinc/79/84/07/583798407.db2.gz OHRKRLIXDYUAKI-UHFFFAOYSA-N -1 1 327.308 -0.544 20 0 EBADMM COC(=O)[C@@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)c1cccs1 ZINC000821640279 583798503 /nfs/dbraw/zinc/79/85/03/583798503.db2.gz FEBLHRVDQOKGOU-VIFPVBQESA-N -1 1 348.348 -0.450 20 0 EBADMM COC(=O)[C@@]1(F)CCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000821653844 583798894 /nfs/dbraw/zinc/79/88/94/583798894.db2.gz MUTDYXCTTSKKRD-GFCCVEGCSA-N -1 1 338.303 -0.281 20 0 EBADMM COC(=O)[C@@]1(F)CCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000821653844 583798896 /nfs/dbraw/zinc/79/88/96/583798896.db2.gz MUTDYXCTTSKKRD-GFCCVEGCSA-N -1 1 338.303 -0.281 20 0 EBADMM COC(C)(C)C[C@H](C)Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000821672259 583800323 /nfs/dbraw/zinc/80/03/23/583800323.db2.gz DSCAOFRHXODYKA-QMMMGPOBSA-N -1 1 337.384 -0.120 20 0 EBADMM COC(=O)c1cc(-c2nn[n-]n2)c(=O)n(CC[C@@H]2CCOC2=O)c1 ZINC000821667066 583800345 /nfs/dbraw/zinc/80/03/45/583800345.db2.gz SJIZOMXLALKZIT-MRVPVSSYSA-N -1 1 333.304 -0.232 20 0 EBADMM COC[C@@](C)(CC(=O)OC)NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821680200 583800942 /nfs/dbraw/zinc/80/09/42/583800942.db2.gz BQAZNYGFMNUQBY-CQSZACIVSA-N -1 1 334.336 -0.040 20 0 EBADMM COC[C@@](C)(CC(=O)OC)NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821680200 583800943 /nfs/dbraw/zinc/80/09/43/583800943.db2.gz BQAZNYGFMNUQBY-CQSZACIVSA-N -1 1 334.336 -0.040 20 0 EBADMM COCCN1C[C@H](C(=O)OCc2ccnc(-c3nnn[n-]3)c2)CC1=O ZINC000821690771 583801826 /nfs/dbraw/zinc/80/18/26/583801826.db2.gz ZHTRJBVWRQXOLY-LLVKDONJSA-N -1 1 346.347 -0.200 20 0 EBADMM COCCN1C[C@H](C(=O)OCc2ccnc(-c3nn[n-]n3)c2)CC1=O ZINC000821690771 583801827 /nfs/dbraw/zinc/80/18/27/583801827.db2.gz ZHTRJBVWRQXOLY-LLVKDONJSA-N -1 1 346.347 -0.200 20 0 EBADMM CS(=O)(=O)NCCCNC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821936845 583806333 /nfs/dbraw/zinc/80/63/33/583806333.db2.gz GXBWZTDIFDWFQS-UHFFFAOYSA-N -1 1 330.395 -0.403 20 0 EBADMM CS(=O)(=O)NCCCNC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821936845 583806335 /nfs/dbraw/zinc/80/63/35/583806335.db2.gz GXBWZTDIFDWFQS-UHFFFAOYSA-N -1 1 330.395 -0.403 20 0 EBADMM Cc1cccc([C@@H](O)CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)c1 ZINC000822289426 583809176 /nfs/dbraw/zinc/80/91/76/583809176.db2.gz WXYJCDSMQNSOBH-NSHDSACASA-N -1 1 328.336 -0.384 20 0 EBADMM CC(C)OC[C@H](NC(=O)OC(C)(C)C)C(=O)NN1CC(=O)[N-]C1=O ZINC000822331607 583809856 /nfs/dbraw/zinc/80/98/56/583809856.db2.gz CIFPXCZYUZRSFQ-VIFPVBQESA-N -1 1 344.368 -0.112 20 0 EBADMM Cc1cccc2nc(CNC(=O)Cn3cnc(-c4nn[n-]n4)n3)cn21 ZINC000822341385 583809950 /nfs/dbraw/zinc/80/99/50/583809950.db2.gz WWRIMIZXNIAARC-UHFFFAOYSA-N -1 1 338.335 -0.269 20 0 EBADMM Cc1oc(NC(=O)[C@H](C)N2C[C@@H](O)[C@@H](O)C2)c(-c2nn[n-]n2)c1C ZINC000822541655 583811965 /nfs/dbraw/zinc/81/19/65/583811965.db2.gz PEFMADNEFBDXMN-UJNFCWOMSA-N -1 1 336.352 -0.559 20 0 EBADMM Cc1oc(NC(=O)CN2CCO[C@H](CO)C2)c(-c2nn[n-]n2)c1C ZINC000822548825 583812401 /nfs/dbraw/zinc/81/24/01/583812401.db2.gz ORRWUIOBXFRYJG-JTQLQIEISA-N -1 1 336.352 -0.292 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CCC[C@H]2Cn2cncn2)n1 ZINC000822609046 583813908 /nfs/dbraw/zinc/81/39/08/583813908.db2.gz IFIPZLPBDUOYAN-VIFPVBQESA-N -1 1 343.355 -0.112 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CCC[C@H]2Cn2cncn2)n1 ZINC000822609046 583813911 /nfs/dbraw/zinc/81/39/11/583813911.db2.gz IFIPZLPBDUOYAN-VIFPVBQESA-N -1 1 343.355 -0.112 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCC(=O)NCc2ccco2)n1 ZINC000822614850 583814332 /nfs/dbraw/zinc/81/43/32/583814332.db2.gz UZZHXKXDRHIGFR-UHFFFAOYSA-N -1 1 345.323 -0.369 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCC(=O)NCc2ccco2)n1 ZINC000822614850 583814334 /nfs/dbraw/zinc/81/43/34/583814334.db2.gz UZZHXKXDRHIGFR-UHFFFAOYSA-N -1 1 345.323 -0.369 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCCOC[C@H]2CCOC2)n1 ZINC000822619657 583814727 /nfs/dbraw/zinc/81/47/27/583814727.db2.gz DBSPFALEQCKUQG-VIFPVBQESA-N -1 1 336.356 -0.225 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCCOC[C@H]2CCOC2)n1 ZINC000822619657 583814728 /nfs/dbraw/zinc/81/47/28/583814728.db2.gz DBSPFALEQCKUQG-VIFPVBQESA-N -1 1 336.356 -0.225 20 0 EBADMM CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000823063465 583823776 /nfs/dbraw/zinc/82/37/76/583823776.db2.gz TZZAMXQZUGETIN-QWRGUYRKSA-N -1 1 339.377 -0.725 20 0 EBADMM O=C(Cc1nc2ncccn2n1)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000823118633 583824232 /nfs/dbraw/zinc/82/42/32/583824232.db2.gz JMJHXHBRXHYZCA-UHFFFAOYSA-N -1 1 337.303 -0.015 20 0 EBADMM O=C(Cc1nc2ncccn2n1)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000823118633 583824233 /nfs/dbraw/zinc/82/42/33/583824233.db2.gz JMJHXHBRXHYZCA-UHFFFAOYSA-N -1 1 337.303 -0.015 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCCCOCC(F)(F)F ZINC000823131792 583825464 /nfs/dbraw/zinc/82/54/64/583825464.db2.gz OWRDAZIIJVWZSJ-UHFFFAOYSA-N -1 1 334.262 -0.457 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)Nc1ccc(-n2ccnc2)nc1 ZINC000823131549 583825471 /nfs/dbraw/zinc/82/54/71/583825471.db2.gz JJPKRLYGRBELTH-UHFFFAOYSA-N -1 1 337.307 -0.322 20 0 EBADMM C[C@H](C(=O)N1CCC[C@@H](C(N)=O)C1)N1CC[C@H](N(C)C(=O)[O-])C1 ZINC000823661722 583835262 /nfs/dbraw/zinc/83/52/62/583835262.db2.gz JVBFTSDWSIMZLF-UTUOFQBUSA-N -1 1 326.397 -0.217 20 0 EBADMM CC(=O)N1CCN(C(=O)Cc2noc(C)c2-c2nnn[n-]2)[C@H](C)C1 ZINC000823982162 583839751 /nfs/dbraw/zinc/83/97/51/583839751.db2.gz VXIFWEBNOYFQIM-MRVPVSSYSA-N -1 1 333.352 -0.215 20 0 EBADMM CC(=O)N1CCN(C(=O)Cc2noc(C)c2-c2nn[n-]n2)[C@H](C)C1 ZINC000823982162 583839753 /nfs/dbraw/zinc/83/97/53/583839753.db2.gz VXIFWEBNOYFQIM-MRVPVSSYSA-N -1 1 333.352 -0.215 20 0 EBADMM CC(C)(C)[C@@H](O)CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000824072384 583841235 /nfs/dbraw/zinc/84/12/35/583841235.db2.gz VBAGEZXJRSJEKT-JTQLQIEISA-N -1 1 326.382 -0.053 20 0 EBADMM CC(C)(C)[C@@H](O)CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000824072384 583841236 /nfs/dbraw/zinc/84/12/36/583841236.db2.gz VBAGEZXJRSJEKT-JTQLQIEISA-N -1 1 326.382 -0.053 20 0 EBADMM CC(C)C[C@H](O)CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000824236532 583842765 /nfs/dbraw/zinc/84/27/65/583842765.db2.gz OXNLZMIKGDMUCB-VIFPVBQESA-N -1 1 326.382 -0.053 20 0 EBADMM CC(C)C[C@H](O)CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000824236532 583842766 /nfs/dbraw/zinc/84/27/66/583842766.db2.gz OXNLZMIKGDMUCB-VIFPVBQESA-N -1 1 326.382 -0.053 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)COC2CN(C(=O)[O-])C2)C1 ZINC000824257064 583842938 /nfs/dbraw/zinc/84/29/38/583842938.db2.gz KWDHMEWYTFPLHA-GFCCVEGCSA-N -1 1 329.397 -0.162 20 0 EBADMM CC(C)NC(=O)CN1CCN(c2ccc(-c3nnn[n-]3)nn2)CC1 ZINC000824300934 583843726 /nfs/dbraw/zinc/84/37/26/583843726.db2.gz NIEHLLHOUWIWOE-UHFFFAOYSA-N -1 1 331.384 -0.697 20 0 EBADMM CC(C)NC(=O)CN1CCN(c2ccc(-c3nn[n-]n3)nn2)CC1 ZINC000824300934 583843727 /nfs/dbraw/zinc/84/37/27/583843727.db2.gz NIEHLLHOUWIWOE-UHFFFAOYSA-N -1 1 331.384 -0.697 20 0 EBADMM C[C@@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)C(=O)Cc1ccccc1 ZINC000824492092 583846697 /nfs/dbraw/zinc/84/66/97/583846697.db2.gz YAVXYGLNQAOJDK-SNVBAGLBSA-N -1 1 340.347 -0.225 20 0 EBADMM C[C@@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)[C@@H](O)Cc1ccccc1 ZINC000824491631 583846806 /nfs/dbraw/zinc/84/68/06/583846806.db2.gz WAWRMMWPMHIYAQ-PWSUYJOCSA-N -1 1 342.363 -0.434 20 0 EBADMM C[C@@H](NS(=O)(=O)c1c(F)cc(-c2nn[nH]n2)cc1F)C(=O)[O-] ZINC000824635037 583848073 /nfs/dbraw/zinc/84/80/73/583848073.db2.gz YAUPMHGCAPESHL-SCSAIBSYSA-N -1 1 333.276 -0.104 20 0 EBADMM C[C@@H](O)C[C@H](C)CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000824691307 583848845 /nfs/dbraw/zinc/84/88/45/583848845.db2.gz ZNUFZLYRIYJDHP-DTWKUNHWSA-N -1 1 326.382 -0.053 20 0 EBADMM C[C@@H](O)C[C@H](C)CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000824691307 583848847 /nfs/dbraw/zinc/84/88/47/583848847.db2.gz ZNUFZLYRIYJDHP-DTWKUNHWSA-N -1 1 326.382 -0.053 20 0 EBADMM C[C@@H]1[C@H](C)N(S(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)CCN1C ZINC000824857749 583851278 /nfs/dbraw/zinc/85/12/78/583851278.db2.gz FFOFFEDVFDXTRF-ZJUUUORDSA-N -1 1 337.409 -0.025 20 0 EBADMM C[C@@H]1[C@H](C)N(S(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)CCN1C ZINC000824857749 583851279 /nfs/dbraw/zinc/85/12/79/583851279.db2.gz FFOFFEDVFDXTRF-ZJUUUORDSA-N -1 1 337.409 -0.025 20 0 EBADMM C[C@H]1C[C@H](Nc2ccc(-c3nnn[n-]3)nn2)C(=O)N1c1cnn(C)c1 ZINC000824892834 583851796 /nfs/dbraw/zinc/85/17/96/583851796.db2.gz NFEPSCKARUYCEP-KWQFWETISA-N -1 1 340.351 -0.004 20 0 EBADMM C[C@H]1C[C@H](Nc2ccc(-c3nn[n-]n3)nn2)C(=O)N1c1cnn(C)c1 ZINC000824892834 583851798 /nfs/dbraw/zinc/85/17/98/583851798.db2.gz NFEPSCKARUYCEP-KWQFWETISA-N -1 1 340.351 -0.004 20 0 EBADMM CCC(=O)N[C@H](C)C(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000825034560 583854369 /nfs/dbraw/zinc/85/43/69/583854369.db2.gz LPHAWCMAHGPRDU-MRVPVSSYSA-N -1 1 349.399 -0.522 20 0 EBADMM CCC(=O)N[C@H](C)C(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000825034560 583854371 /nfs/dbraw/zinc/85/43/71/583854371.db2.gz LPHAWCMAHGPRDU-MRVPVSSYSA-N -1 1 349.399 -0.522 20 0 EBADMM CCO[C@@H]1C[C@@H]1C(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000825583821 583863489 /nfs/dbraw/zinc/86/34/89/583863489.db2.gz XQMOXTSQFSDCHP-WCBMZHEXSA-N -1 1 334.384 -0.012 20 0 EBADMM CCO[C@@H]1C[C@@H]1C(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000825583821 583863491 /nfs/dbraw/zinc/86/34/91/583863491.db2.gz XQMOXTSQFSDCHP-WCBMZHEXSA-N -1 1 334.384 -0.012 20 0 EBADMM CCS(=O)(=O)CCN(C)C(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825659672 583865038 /nfs/dbraw/zinc/86/50/38/583865038.db2.gz YSKYMSBAZLZFLZ-UHFFFAOYSA-N -1 1 342.381 -0.401 20 0 EBADMM CCS(=O)(=O)CCN(C)C(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825659672 583865041 /nfs/dbraw/zinc/86/50/41/583865041.db2.gz YSKYMSBAZLZFLZ-UHFFFAOYSA-N -1 1 342.381 -0.401 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nnn[n-]1)C(=O)Cc1cnn(C)c1 ZINC000825930378 583870978 /nfs/dbraw/zinc/87/09/78/583870978.db2.gz VHBWQNAROONWJT-UHFFFAOYSA-N -1 1 344.383 -0.461 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nn[n-]n1)C(=O)Cc1cnn(C)c1 ZINC000825930378 583870981 /nfs/dbraw/zinc/87/09/81/583870981.db2.gz VHBWQNAROONWJT-UHFFFAOYSA-N -1 1 344.383 -0.461 20 0 EBADMM C[C@@H](C(=O)N1CCC(C(N)=O)CC1)N1CCSC[C@H]1CC(=O)[O-] ZINC000825982355 583872598 /nfs/dbraw/zinc/87/25/98/583872598.db2.gz BYCIDJKGOMXVJB-CMPLNLGQSA-N -1 1 343.449 -0.009 20 0 EBADMM C[C@H](C(=O)N1CCC(C(N)=O)CC1)N1CCSC[C@@H]1CC(=O)[O-] ZINC000825982357 583872630 /nfs/dbraw/zinc/87/26/30/583872630.db2.gz BYCIDJKGOMXVJB-PWSUYJOCSA-N -1 1 343.449 -0.009 20 0 EBADMM CN1C[C@@H](NS(=O)(=O)c2ccc(F)cc2-c2nn[n-]n2)CC1=O ZINC000826017670 583873652 /nfs/dbraw/zinc/87/36/52/583873652.db2.gz NAUCTRALSHFZLQ-QMMMGPOBSA-N -1 1 340.340 -0.485 20 0 EBADMM CN1CCN(S(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)C(C)(C)C1 ZINC000826037726 583874442 /nfs/dbraw/zinc/87/44/42/583874442.db2.gz BETQOBIAEUDTDE-UHFFFAOYSA-N -1 1 337.409 -0.024 20 0 EBADMM CN1CCN(S(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)C(C)(C)C1 ZINC000826037726 583874445 /nfs/dbraw/zinc/87/44/45/583874445.db2.gz BETQOBIAEUDTDE-UHFFFAOYSA-N -1 1 337.409 -0.024 20 0 EBADMM CN1CC[C@@H](NS(=O)(=O)c2cccc(F)c2-c2nnn[n-]2)C1=O ZINC000826029038 583874542 /nfs/dbraw/zinc/87/45/42/583874542.db2.gz NAFSIAYKBDRFCK-MRVPVSSYSA-N -1 1 340.340 -0.485 20 0 EBADMM CN1CC[C@@H](NS(=O)(=O)c2cccc(F)c2-c2nn[n-]n2)C1=O ZINC000826029038 583874544 /nfs/dbraw/zinc/87/45/44/583874544.db2.gz NAFSIAYKBDRFCK-MRVPVSSYSA-N -1 1 340.340 -0.485 20 0 EBADMM CNC(=O)[C@H]1CCC[C@H]1NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000826050825 583875362 /nfs/dbraw/zinc/87/53/62/583875362.db2.gz FHESKJUEZLHSOM-DTWKUNHWSA-N -1 1 333.352 -0.263 20 0 EBADMM CNC(=O)[C@H]1CCC[C@H]1NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000826050825 583875364 /nfs/dbraw/zinc/87/53/64/583875364.db2.gz FHESKJUEZLHSOM-DTWKUNHWSA-N -1 1 333.352 -0.263 20 0 EBADMM C[C@@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CC[C@@](C)(C(=O)[O-])C1 ZINC000826070849 583876917 /nfs/dbraw/zinc/87/69/17/583876917.db2.gz FTORXCMUWIHFLF-VXJOIVPMSA-N -1 1 338.364 -0.966 20 0 EBADMM COC(=O)[C@]1(NC(=O)Cc2noc(C)c2-c2nnn[n-]2)CCOC1 ZINC000826123798 583880362 /nfs/dbraw/zinc/88/03/62/583880362.db2.gz KTGZIVNFHOPXDG-ZDUSSCGKSA-N -1 1 336.308 -0.846 20 0 EBADMM COC(=O)[C@]1(NC(=O)Cc2noc(C)c2-c2nn[n-]n2)CCOC1 ZINC000826123798 583880365 /nfs/dbraw/zinc/88/03/65/583880365.db2.gz KTGZIVNFHOPXDG-ZDUSSCGKSA-N -1 1 336.308 -0.846 20 0 EBADMM COCC1(C)CCN(c2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)CC1 ZINC000826152475 583885040 /nfs/dbraw/zinc/88/50/40/583885040.db2.gz AJXVBQPTUODKCV-UHFFFAOYSA-N -1 1 349.395 -0.483 20 0 EBADMM COc1ccnc(N2CCN(c3ccc(-c4nnn[n-]4)nn3)CC2)n1 ZINC000826220935 583888032 /nfs/dbraw/zinc/88/80/32/583888032.db2.gz HIKCNPKGODSHFL-UHFFFAOYSA-N -1 1 340.351 -0.218 20 0 EBADMM COc1ccnc(N2CCN(c3ccc(-c4nn[n-]n4)nn3)CC2)n1 ZINC000826220935 583888034 /nfs/dbraw/zinc/88/80/34/583888034.db2.gz HIKCNPKGODSHFL-UHFFFAOYSA-N -1 1 340.351 -0.218 20 0 EBADMM CS(=O)(=O)N[C@H]1CCC[C@H]1CNc1ccc(-c2nnn[n-]2)nn1 ZINC000826223444 583888458 /nfs/dbraw/zinc/88/84/58/583888458.db2.gz MZLPDQWFKLJMJS-IUCAKERBSA-N -1 1 338.397 -0.214 20 0 EBADMM CS(=O)(=O)N[C@H]1CCC[C@H]1CNc1ccc(-c2nn[n-]n2)nn1 ZINC000826223444 583888460 /nfs/dbraw/zinc/88/84/60/583888460.db2.gz MZLPDQWFKLJMJS-IUCAKERBSA-N -1 1 338.397 -0.214 20 0 EBADMM C[S@@](=O)CCNS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000826224357 583888630 /nfs/dbraw/zinc/88/86/30/583888630.db2.gz BETCXZHNKWZLJG-HXUWFJFHSA-N -1 1 333.370 -0.337 20 0 EBADMM Cc1ccsc1[C@@H](CO)NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000826315332 583891164 /nfs/dbraw/zinc/89/11/64/583891164.db2.gz GQGGQVZXDMNAAS-MRVPVSSYSA-N -1 1 334.365 -0.322 20 0 EBADMM Cc1csc2ncc(C(=O)n3ncc(-c4nn[n-]n4)c3N)c(=O)n12 ZINC000826320812 583891304 /nfs/dbraw/zinc/89/13/04/583891304.db2.gz FXKGCXCPVXAZPQ-UHFFFAOYSA-N -1 1 343.332 -0.288 20 0 EBADMM Cc1onc(CC(=O)N2C[C@H]3OCCN(C)[C@@H]3C2)c1-c1nn[n-]n1 ZINC000826344040 583892059 /nfs/dbraw/zinc/89/20/59/583892059.db2.gz VSGXPELCLNWZKQ-GHMZBOCLSA-N -1 1 333.352 -0.753 20 0 EBADMM Cc1onc(CC(=O)N2CCCC[C@@H]2CNC(N)=O)c1-c1nnn[n-]1 ZINC000826344484 583892076 /nfs/dbraw/zinc/89/20/76/583892076.db2.gz JMVYFQRFGVFZQN-SECBINFHSA-N -1 1 348.367 -0.245 20 0 EBADMM Cc1onc(CC(=O)N2CCCC[C@@H]2CNC(N)=O)c1-c1nn[n-]n1 ZINC000826344484 583892078 /nfs/dbraw/zinc/89/20/78/583892078.db2.gz JMVYFQRFGVFZQN-SECBINFHSA-N -1 1 348.367 -0.245 20 0 EBADMM Cc1onc(CC(=O)NCCOC[C@H]2CCOC2)c1-c1nnn[n-]1 ZINC000826347646 583893461 /nfs/dbraw/zinc/89/34/61/583893461.db2.gz YRTPMWCGWMQZJZ-JTQLQIEISA-N -1 1 336.352 -0.125 20 0 EBADMM Cc1onc(CC(=O)NCCOC[C@H]2CCOC2)c1-c1nn[n-]n1 ZINC000826347646 583893462 /nfs/dbraw/zinc/89/34/62/583893462.db2.gz YRTPMWCGWMQZJZ-JTQLQIEISA-N -1 1 336.352 -0.125 20 0 EBADMM Cc1onc(CC(=O)NCCN2CCOC[C@@H]2C)c1-c1nn[n-]n1 ZINC000826347447 583893486 /nfs/dbraw/zinc/89/34/86/583893486.db2.gz RJWSNPZTQLFZDU-VIFPVBQESA-N -1 1 335.368 -0.457 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N[C@H]2CCOC(C)(C)C2)n(C)c1=O ZINC000826355812 583893531 /nfs/dbraw/zinc/89/35/31/583893531.db2.gz OCRKZMLKHABGAW-QMMMGPOBSA-N -1 1 335.368 -0.366 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCc2cc(C(N)=O)no2)n1 ZINC000826356975 583893669 /nfs/dbraw/zinc/89/36/69/583893669.db2.gz MRGOEJGBOVVGMV-UHFFFAOYSA-N -1 1 332.284 -0.991 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCc2cc(C(N)=O)no2)n1 ZINC000826356975 583893671 /nfs/dbraw/zinc/89/36/71/583893671.db2.gz MRGOEJGBOVVGMV-UHFFFAOYSA-N -1 1 332.284 -0.991 20 0 EBADMM Cn1cc(N2CCN(c3ccc(-c4nnn[n-]4)nn3)CC2=O)cn1 ZINC000826362557 583894968 /nfs/dbraw/zinc/89/49/68/583894968.db2.gz XTUSGEYNTFWBAN-UHFFFAOYSA-N -1 1 326.324 -0.757 20 0 EBADMM Cn1cc(N2CCN(c3ccc(-c4nn[n-]n4)nn3)CC2=O)cn1 ZINC000826362557 583894970 /nfs/dbraw/zinc/89/49/70/583894970.db2.gz XTUSGEYNTFWBAN-UHFFFAOYSA-N -1 1 326.324 -0.757 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@@H](CCO)c1ccccc1 ZINC000826424897 583900767 /nfs/dbraw/zinc/90/07/67/583900767.db2.gz HTQCQDPJTVTDSR-NSHDSACASA-N -1 1 328.336 -0.302 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCC[C@H]([C@@H]2CCOC2)C1 ZINC000826424885 583900803 /nfs/dbraw/zinc/90/08/03/583900803.db2.gz HJMRNQPGZZLBRD-WDEREUQCSA-N -1 1 332.368 -0.267 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@@H]1[C@H]2CCO[C@H]2C12CCC2 ZINC000826425625 583901127 /nfs/dbraw/zinc/90/11/27/583901127.db2.gz LXAMMHGCZVGSPB-FBIMIBRVSA-N -1 1 330.352 -0.468 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@H]1CCN(c2ccccn2)C1 ZINC000826425407 583901374 /nfs/dbraw/zinc/90/13/74/583901374.db2.gz BUWSICAHPBAHKP-JTQLQIEISA-N -1 1 340.351 -0.752 20 0 EBADMM O=C([O-])CCNS(=O)(=O)c1c(F)cc(-c2nn[nH]n2)cc1F ZINC000826461140 583902700 /nfs/dbraw/zinc/90/27/00/583902700.db2.gz HRPVWNBAXNMRDH-UHFFFAOYSA-N -1 1 333.276 -0.102 20 0 EBADMM O=C1NC(=O)[C@@]2(CCN(c3snc(Cl)c3-c3nnn[n-]3)C2)N1 ZINC000826481606 583904200 /nfs/dbraw/zinc/90/42/00/583904200.db2.gz BYSYMJWDKDKQTO-JTQLQIEISA-N -1 1 340.756 -0.235 20 0 EBADMM O=C1NC(=O)[C@@]2(CCN(c3snc(Cl)c3-c3nn[n-]n3)C2)N1 ZINC000826481606 583904201 /nfs/dbraw/zinc/90/42/01/583904201.db2.gz BYSYMJWDKDKQTO-JTQLQIEISA-N -1 1 340.756 -0.235 20 0 EBADMM O=C1NCCC[C@H]1NS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000826482873 583904718 /nfs/dbraw/zinc/90/47/18/583904718.db2.gz SXGNEEWKJUXZPE-SECBINFHSA-N -1 1 340.340 -0.437 20 0 EBADMM O=C1c2ccccc2S(=O)(=O)N1CCn1ccnc1-c1nnn[n-]1 ZINC000826483398 583904836 /nfs/dbraw/zinc/90/48/36/583904836.db2.gz MBBMSMATHDIHQT-UHFFFAOYSA-N -1 1 345.344 -0.092 20 0 EBADMM O=C1c2ccccc2S(=O)(=O)N1CCn1ccnc1-c1nn[n-]n1 ZINC000826483398 583904838 /nfs/dbraw/zinc/90/48/38/583904838.db2.gz MBBMSMATHDIHQT-UHFFFAOYSA-N -1 1 345.344 -0.092 20 0 EBADMM O=S(=O)(c1ccc(F)cc1-c1nn[n-]n1)N1CCO[C@@H](CO)C1 ZINC000826495375 583906030 /nfs/dbraw/zinc/90/60/30/583906030.db2.gz NKGQPVIQIGYTPJ-SECBINFHSA-N -1 1 343.340 -0.612 20 0 EBADMM O=S(=O)(c1ccc(F)cc1-c1nn[n-]n1)N(CCO)CCCO ZINC000826495605 583906084 /nfs/dbraw/zinc/90/60/84/583906084.db2.gz UTMKEDHWLZNZLS-UHFFFAOYSA-N -1 1 345.356 -0.629 20 0 EBADMM CC[C@@H]1CN(C(=O)CN(CCOC)C(=O)[O-])CCN1C[C@H](C)O ZINC000826682943 583909559 /nfs/dbraw/zinc/90/95/59/583909559.db2.gz QMHWNCGGHYMNLA-QWHCGFSZSA-N -1 1 331.413 -0.084 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)CNC(=O)c2ccncc2)CC1 ZINC000827362132 583919840 /nfs/dbraw/zinc/91/98/40/583919840.db2.gz IRIYPGQZGIWEOI-LBPRGKRZSA-N -1 1 334.376 -0.181 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=S)NCCN2CCOCC2)CC1 ZINC000827379380 583920063 /nfs/dbraw/zinc/92/00/63/583920063.db2.gz HHIDKJVWPHHQLG-CYBMUJFWSA-N -1 1 344.481 -0.326 20 0 EBADMM C[C@H](CNS(=O)(=O)c1c[nH]c(C(=O)[O-])c1)CN1CCN(C)CC1 ZINC000827501862 583922320 /nfs/dbraw/zinc/92/23/20/583922320.db2.gz FKHGFMXFYMVSMJ-LLVKDONJSA-N -1 1 344.437 -0.125 20 0 EBADMM CC(C)[C@@H](CNS(=O)(=O)C[C@H](C)C(=O)[O-])N1CCN(C)CC1 ZINC000827557850 583923843 /nfs/dbraw/zinc/92/38/43/583923843.db2.gz HVDJKOOMDHRVJQ-QWHCGFSZSA-N -1 1 335.470 -0.102 20 0 EBADMM CCc1nnc([C@H]2CN(C(=O)CN(CCOC)C(=O)[O-])CCO2)[nH]1 ZINC000827620474 583925076 /nfs/dbraw/zinc/92/50/76/583925076.db2.gz JRPWTUJGRBMGDI-SNVBAGLBSA-N -1 1 341.368 -0.107 20 0 EBADMM C[C@](NCC(=O)N1CCN(c2cnccn2)CC1)(C(=O)[O-])C1CC1 ZINC000827727979 583927036 /nfs/dbraw/zinc/92/70/36/583927036.db2.gz NZPFHBHNEUSQPY-MRXNPFEDSA-N -1 1 333.392 -0.032 20 0 EBADMM C[C@H]1CN(CC(=O)Nc2ccc(S(N)(=O)=O)cc2)C[C@H]1C(=O)[O-] ZINC000828438890 583938808 /nfs/dbraw/zinc/93/88/08/583938808.db2.gz GRMITXGEEJRCSL-JOYOIKCWSA-N -1 1 341.389 -0.075 20 0 EBADMM C[C@@H]1CN(CC(=O)Nc2cccc(S(N)(=O)=O)c2)C[C@@H]1C(=O)[O-] ZINC000828442004 583939056 /nfs/dbraw/zinc/93/90/56/583939056.db2.gz WEGDUQKZGXMZPE-SKDRFNHKSA-N -1 1 341.389 -0.075 20 0 EBADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@@H]2CSCC(=O)N2)C1 ZINC000828680748 583945209 /nfs/dbraw/zinc/94/52/09/583945209.db2.gz PHUPPGQWYBXDGZ-CPCISQLKSA-N -1 1 339.339 -0.113 20 0 EBADMM CC[C@@H](C)[C@@H](NC(=O)CN1CCN(C(C)(C)CO)CC1)C(=O)[O-] ZINC000828716066 583946404 /nfs/dbraw/zinc/94/64/04/583946404.db2.gz FHLSTFONAPOZLQ-TZMCWYRMSA-N -1 1 329.441 -0.010 20 0 EBADMM COCCCS(=O)(=O)NCCN1CC[C@@H](NC(=O)[O-])[C@@H](C)C1 ZINC000828963817 583949159 /nfs/dbraw/zinc/94/91/59/583949159.db2.gz DLSBBEOVVAFWGH-NWDGAFQWSA-N -1 1 337.442 -0.080 20 0 EBADMM CCc1nnc([C@@H]2CN(S(=O)(=O)N(C)CCC(=O)[O-])CCO2)[nH]1 ZINC000830304569 583965901 /nfs/dbraw/zinc/96/59/01/583965901.db2.gz QGLYOMJNXHZDHI-VIFPVBQESA-N -1 1 347.397 -0.608 20 0 EBADMM CN(CC(=O)N1CCO[C@H](CC(=O)[O-])C1)c1ncnc2[nH]cnc21 ZINC000830669597 583969329 /nfs/dbraw/zinc/96/93/29/583969329.db2.gz JRAMODZCSVBSNB-SECBINFHSA-N -1 1 334.336 -0.509 20 0 EBADMM Cc1nc(CN2CCN(C(=O)[C@@]3(F)CCN(C(=O)[O-])C3)CC2)n[nH]1 ZINC000830752012 583970530 /nfs/dbraw/zinc/97/05/30/583970530.db2.gz RYAMCEHIJCUABO-CQSZACIVSA-N -1 1 340.359 -0.151 20 0 EBADMM CC(C)(C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)S(C)(=O)=O ZINC000831144956 583977156 /nfs/dbraw/zinc/97/71/56/583977156.db2.gz OYMUCQHLQGWHBZ-UHFFFAOYSA-N -1 1 330.328 -0.054 20 0 EBADMM CC(C)S(=O)(=O)CC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831149587 583977403 /nfs/dbraw/zinc/97/74/03/583977403.db2.gz SATIJLUDNTULKW-UHFFFAOYSA-N -1 1 330.328 -0.054 20 0 EBADMM O=C([O-])N1C[C@H](O)C[C@H]1C(=O)N1CC[C@@H](CN2CCOCC2)C1 ZINC000831271591 583981200 /nfs/dbraw/zinc/98/12/00/583981200.db2.gz DDPBYWGSTCPNNL-XQQFMLRXSA-N -1 1 327.381 -0.720 20 0 EBADMM O=C([O-])N1CCOC[C@H]1C(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000831282984 583981375 /nfs/dbraw/zinc/98/13/75/583981375.db2.gz IZNQZPNZOGXXHI-OLZOCXBDSA-N -1 1 327.381 -0.454 20 0 EBADMM COCCN1C[C@H](C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)CC1=O ZINC000831739970 583990989 /nfs/dbraw/zinc/99/09/89/583990989.db2.gz BVIPBJCAJJBGDZ-QWHCGFSZSA-N -1 1 341.408 -0.511 20 0 EBADMM C[C@H](C(=O)N1CCC[C@@H](C(N)=O)C1)N1CCC([C@@H](O)C(=O)[O-])CC1 ZINC000833405254 584010032 /nfs/dbraw/zinc/01/00/32/584010032.db2.gz YTLZTJCTUIIYNP-RAIGVLPGSA-N -1 1 341.408 -0.744 20 0 EBADMM C[C@H](CS(=O)(=O)N1CC[C@H](N2CCN(C)CC2)[C@@H](C)C1)C(=O)[O-] ZINC000833519315 584011493 /nfs/dbraw/zinc/01/14/93/584011493.db2.gz NRZOAVWFWVGPRL-MJBXVCDLSA-N -1 1 347.481 -0.005 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NCCCN1CCOCC1 ZINC000833626272 584012919 /nfs/dbraw/zinc/01/29/19/584012919.db2.gz PNGASUZDVXKKQG-AWEZNQCLSA-N -1 1 342.440 -0.101 20 0 EBADMM CCO[N-]C(=O)CNC(=O)N1CCC(CN2CCOCC2)CC1 ZINC000845550683 584111921 /nfs/dbraw/zinc/11/19/21/584111921.db2.gz JPVVSRWAMHNJRP-UHFFFAOYSA-N -1 1 328.413 -0.192 20 0 EBADMM COC(=O)[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1C1CC1 ZINC000846621476 584128995 /nfs/dbraw/zinc/12/89/95/584128995.db2.gz OGLSGFOWYKTPEH-NWDGAFQWSA-N -1 1 335.360 -0.416 20 0 EBADMM O=C([O-])[C@H]1[C@@H](NC(=O)C(F)(F)F)CCCN1C(=O)c1nc[nH]n1 ZINC000851704100 584200779 /nfs/dbraw/zinc/20/07/79/584200779.db2.gz SIMPXUJCXYBNKF-NTSWFWBYSA-N -1 1 335.242 -0.459 20 0 EBADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@H]1C(=O)NCC1(C)C ZINC000851816839 584203087 /nfs/dbraw/zinc/20/30/87/584203087.db2.gz OFEPTMSBGIGHGZ-QMMMGPOBSA-N -1 1 330.366 -0.692 20 0 EBADMM C[C@@H]1CCN(c2cc(=O)n(C)c(=O)n2C)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000853986980 584233495 /nfs/dbraw/zinc/23/34/95/584233495.db2.gz WUYPCAJRSQNDSB-BDAKNGLRSA-N -1 1 348.325 -0.023 20 0 EBADMM CC1=C(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)Cn2nnnc2N1C ZINC000854342615 584237667 /nfs/dbraw/zinc/23/76/67/584237667.db2.gz ZFPGWNCTIIWNPY-UHFFFAOYSA-N -1 1 346.351 -0.108 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CCCN2C=O)c1 ZINC000854530678 584242285 /nfs/dbraw/zinc/24/22/85/584242285.db2.gz DLTPVKLVMXCAKD-LLVKDONJSA-N -1 1 327.362 -0.140 20 0 EBADMM O=C([N-]OCC1CCC1)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000856346361 584268208 /nfs/dbraw/zinc/26/82/08/584268208.db2.gz XGHBHBNWWGBSCO-RYUDHWBXSA-N -1 1 330.406 -0.130 20 0 EBADMM COC(=O)[C@@H](C[C@H]1CCCO1)[N-]S(=O)(=O)CCn1cccn1 ZINC000885768719 584303754 /nfs/dbraw/zinc/30/37/54/584303754.db2.gz KDCDYHNNBLNCCB-VXGBXAGGSA-N -1 1 331.394 -0.087 20 0 EBADMM CS(=O)(=O)NC1CN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000862839863 584359812 /nfs/dbraw/zinc/35/98/12/584359812.db2.gz MTUUBIMFCBPWOB-UHFFFAOYSA-N -1 1 327.391 -0.608 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCc2cnc(OC)nc2)o1 ZINC000863443277 584370867 /nfs/dbraw/zinc/37/08/67/584370867.db2.gz SGJNRTJEJXOLLU-UHFFFAOYSA-N -1 1 340.361 -0.041 20 0 EBADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]CCN1CCN(C(C)=O)CC1 ZINC000866495025 584401913 /nfs/dbraw/zinc/40/19/13/584401913.db2.gz VTBVNOJOAXTEKI-HXUWFJFHSA-N -1 1 340.471 -0.897 20 0 EBADMM CS(=O)(=O)N1CC[C@@H]([N-]S(=O)(=O)c2ccc(F)nc2F)C1 ZINC000867459285 584408847 /nfs/dbraw/zinc/40/88/47/584408847.db2.gz SWPJNBCIAAWLFR-SSDOTTSWSA-N -1 1 341.361 -0.328 20 0 EBADMM O=C([N-]CC1CN(S(=O)(=O)CCn2cccn2)C1)C(F)(F)F ZINC000867900151 584420323 /nfs/dbraw/zinc/42/03/23/584420323.db2.gz CZWIQWNTHQOSEJ-UHFFFAOYSA-N -1 1 340.327 -0.177 20 0 EBADMM CC(=O)OCCCS(=O)(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000867902800 584420596 /nfs/dbraw/zinc/42/05/96/584420596.db2.gz PUTZLPMZICUICF-UHFFFAOYSA-N -1 1 346.327 -0.120 20 0 EBADMM C[C@@H](C(=O)N(C)C)S(=O)(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000867902146 584420623 /nfs/dbraw/zinc/42/06/23/584420623.db2.gz CSRWUDZBJWSXJQ-ZETCQYMHSA-N -1 1 345.343 -0.597 20 0 EBADMM O=C([N-]C[C@@H]1CCCN(C(=O)C2CS(=O)(=O)C2)C1)C(F)(F)F ZINC000868444265 584430362 /nfs/dbraw/zinc/43/03/62/584430362.db2.gz GPWWUSFHYBRSTO-QMMMGPOBSA-N -1 1 342.339 -0.052 20 0 EBADMM CS(=O)(=O)CCNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875218620 584494138 /nfs/dbraw/zinc/49/41/38/584494138.db2.gz FMBDSYHTDYZZKM-UHFFFAOYSA-N -1 1 331.316 -0.649 20 0 EBADMM CCN1CC[C@@H](NC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)C1=O ZINC000875567629 584500692 /nfs/dbraw/zinc/50/06/92/584500692.db2.gz KMVITJXQBFQHQF-SECBINFHSA-N -1 1 336.314 -0.073 20 0 EBADMM CN(C)[S@@](C)(=O)=NS(=O)(=O)[N-]CC1(O)Cc2ccccc2C1 ZINC000881923463 584579652 /nfs/dbraw/zinc/57/96/52/584579652.db2.gz KQJUWJYOBRFOBE-NRFANRHFSA-N -1 1 347.462 -0.075 20 0 EBADMM CCO[C@@H]1COCC[C@H]1[N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C ZINC000882066602 584582825 /nfs/dbraw/zinc/58/28/25/584582825.db2.gz NZPUDKQOQIHZJV-QVMDTKBZSA-N -1 1 329.444 -0.411 20 0 EBADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCCC(F)(F)[C@H]1O ZINC000882264571 584587037 /nfs/dbraw/zinc/58/70/37/584587037.db2.gz LEAJFKHJLIDNSR-MMVHXTGXSA-N -1 1 335.398 -0.056 20 0 EBADMM CN(C)[S@@](C)(=O)=NS(=O)(=O)[N-][C@H]1CCN(C(C)(C)C)C1=O ZINC000882299582 584587651 /nfs/dbraw/zinc/58/76/51/584587651.db2.gz KJHFKEWBGUJYPC-LXGOIASLSA-N -1 1 340.471 -0.205 20 0 EBADMM O=S(=O)([N-]CCCN1CCCS1(=O)=O)c1ccns1 ZINC000884994065 584642329 /nfs/dbraw/zinc/64/23/29/584642329.db2.gz DQTMUINGAWDRET-UHFFFAOYSA-N -1 1 325.437 -0.153 20 0 EBADMM COC(=O)[C@@H]([N-]S(=O)(=O)CCn1cccn1)C1CCOCC1 ZINC000885354040 584649417 /nfs/dbraw/zinc/64/94/17/584649417.db2.gz NVZURTWHUPRSGD-LBPRGKRZSA-N -1 1 331.394 -0.229 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCOC[C@H]1C[C@@H]1CCOC1 ZINC000887802174 584682611 /nfs/dbraw/zinc/68/26/11/584682611.db2.gz CZWSEOQXVUTWTD-QWHCGFSZSA-N -1 1 337.376 -0.419 20 0 EBADMM Cc1noc([C@@H](C)N2CCN(CCC(=O)NCC(=O)[O-])CC2)n1 ZINC000738938258 600302297 /nfs/dbraw/zinc/30/22/97/600302297.db2.gz VLDKQAYMQOXFAJ-SNVBAGLBSA-N -1 1 325.369 -0.352 20 0 EBADMM O=C([O-])NC[C@@H]1CCN(CC(=O)N2CCN(C(=O)C3CC3)CC2)C1 ZINC000740643687 601938189 /nfs/dbraw/zinc/93/81/89/601938189.db2.gz UMAGSKMPEVHXKK-LBPRGKRZSA-N -1 1 338.408 -0.343 20 0 EBADMM COC(=O)C1CCN(C(=O)CN2CC[C@@H](CNC(=O)[O-])C2)CC1 ZINC000739757296 601962569 /nfs/dbraw/zinc/96/25/69/601962569.db2.gz WJOBFBQRNWADAC-NSHDSACASA-N -1 1 327.381 -0.013 20 0 EBADMM CN(C)CC(=O)N1CCC[C@@H](C(=O)N2CCN(C(=O)[O-])CC2)C1 ZINC000739602801 602001785 /nfs/dbraw/zinc/00/17/85/602001785.db2.gz FRTJXJFXSPJSGD-GFCCVEGCSA-N -1 1 326.397 -0.391 20 0 EBADMM O=C([O-])NCCOc1cccc(NC(=O)C(=O)NCc2nn[nH]n2)c1 ZINC000740671037 602763660 /nfs/dbraw/zinc/76/36/60/602763660.db2.gz NZQYUHOGIIEKKZ-UHFFFAOYSA-N -1 1 349.307 -0.899 20 0 EBADMM CN1CCN(CCNC(=O)CN(C[C@@H]2CCCO2)C(=O)[O-])CC1 ZINC000739738260 602832007 /nfs/dbraw/zinc/83/20/07/602832007.db2.gz UJDZDEKPMBXREW-ZDUSSCGKSA-N -1 1 328.413 -0.491 20 0 EBADMM CN1CCN(CCNC(=O)CN(C[C@H]2CCCO2)C(=O)[O-])CC1 ZINC000739738259 602832161 /nfs/dbraw/zinc/83/21/61/602832161.db2.gz UJDZDEKPMBXREW-CYBMUJFWSA-N -1 1 328.413 -0.491 20 0 EBADMM O=C([O-])N1CCOC[C@H]1C(=O)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000740348940 602893391 /nfs/dbraw/zinc/89/33/91/602893391.db2.gz NCXQXXVOSMYGBF-AVGNSLFASA-N -1 1 327.381 -0.577 20 0 EBADMM Cc1nc(CN2CCN(C(=O)[C@@H]3COCCN3C(=O)[O-])CC2)n[nH]1 ZINC000740220178 602911541 /nfs/dbraw/zinc/91/15/41/602911541.db2.gz SLYSAKGSEVUECO-NSHDSACASA-N -1 1 338.368 -0.864 20 0 EBADMM CN(C)CC(=O)N1CCN(C(=O)[C@@H]2CCC[C@@H]2NC(=O)[O-])CC1 ZINC000739604039 603020518 /nfs/dbraw/zinc/02/05/18/603020518.db2.gz NUGXGPHAGDTXCX-NEPJUHHUSA-N -1 1 326.397 -0.345 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)[C@H]2C[C@@H](O)CN2C(=O)[O-])C1 ZINC000738825854 603063452 /nfs/dbraw/zinc/06/34/52/603063452.db2.gz RNDHPKRUFZVFTQ-JHJVBQTASA-N -1 1 329.397 -0.427 20 0 EBADMM O=C1[N-]C(=S)NC(=O)/C1=C\NNS(=O)(=O)c1ccccc1 ZINC000028968559 649935862 /nfs/dbraw/zinc/93/58/62/649935862.db2.gz SRNYUWGIACKUAS-UHFFFAOYSA-N -1 1 326.359 -0.275 20 0 EBADMM O=C1[N-]C(=S)NC(=O)/C1=C/NNS(=O)(=O)c1ccccc1 ZINC000028968559 649935863 /nfs/dbraw/zinc/93/58/63/649935863.db2.gz SRNYUWGIACKUAS-UHFFFAOYSA-N -1 1 326.359 -0.275 20 0 EBADMM O=C1[N-]C(=S)NC(=O)C1=CNNS(=O)(=O)c1ccccc1 ZINC000028968559 649935866 /nfs/dbraw/zinc/93/58/66/649935866.db2.gz SRNYUWGIACKUAS-UHFFFAOYSA-N -1 1 326.359 -0.275 20 0 EBADMM O=C(Cn1cnc2ccccc21)NN/C=C1/C(=O)[N-]C(=S)NC1=O ZINC000028978430 649936110 /nfs/dbraw/zinc/93/61/10/649936110.db2.gz DLEWFFKYKGUPRU-UHFFFAOYSA-N -1 1 344.356 -0.088 20 0 EBADMM O=C(Cn1cnc2ccccc21)NN/C=C1\C(=O)[N-]C(=S)NC1=O ZINC000028978430 649936114 /nfs/dbraw/zinc/93/61/14/649936114.db2.gz DLEWFFKYKGUPRU-UHFFFAOYSA-N -1 1 344.356 -0.088 20 0 EBADMM O=C(Cn1cnc2ccccc21)NNC=C1C(=O)[N-]C(=S)NC1=O ZINC000028978430 649936118 /nfs/dbraw/zinc/93/61/18/649936118.db2.gz DLEWFFKYKGUPRU-UHFFFAOYSA-N -1 1 344.356 -0.088 20 0 EBADMM CC(C)N1CCO[C@H](CN2CCN(C(=O)[C@H](C)NC(=O)[O-])CC2)C1 ZINC000738855444 604948898 /nfs/dbraw/zinc/94/88/98/604948898.db2.gz VZOAHTKEFHCXEY-UONOGXRCSA-N -1 1 342.440 -0.104 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-][C@H]2CCCS(=O)(=O)C2)c1Cl ZINC000069143570 649967980 /nfs/dbraw/zinc/96/79/80/649967980.db2.gz JVLAQMLZISSGPN-ZETCQYMHSA-N -1 1 327.815 -0.071 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H](O)c2ccccc2)c(=O)n(C)c1=O ZINC000319842508 649994873 /nfs/dbraw/zinc/99/48/73/649994873.db2.gz ONAJBLCQIBKKJR-LLVKDONJSA-N -1 1 339.373 -0.904 20 0 EBADMM CN(C(=O)CNC(=O)c1ncccc1[O-])[C@@H]1CCN2CCO[C@H]1C2 ZINC000452222748 650011451 /nfs/dbraw/zinc/01/14/51/650011451.db2.gz LBOACZSMJBYXGC-YPMHNXCESA-N -1 1 334.376 -0.552 20 0 EBADMM CO[C@H]1CS(=O)(=O)C[C@@H]1[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000700239024 650035700 /nfs/dbraw/zinc/03/57/00/650035700.db2.gz UHGXPHTWHXGYQZ-BQBZGAKWSA-N -1 1 343.814 -0.836 20 0 EBADMM CS(=O)(=O)N1CC(CS(=O)(=O)[N-][C@@H]2CCCC2(F)F)C1 ZINC000907187710 650078222 /nfs/dbraw/zinc/07/82/22/650078222.db2.gz OEETYZSONJJJHY-SECBINFHSA-N -1 1 332.394 -0.015 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C1(C(=O)OC)CCCC1 ZINC000912531401 650206987 /nfs/dbraw/zinc/20/69/87/650206987.db2.gz XPYNOXOAHPMCRM-UHFFFAOYSA-N -1 1 331.350 -0.040 20 0 EBADMM CCC(=O)N1CC[C@H]([N-]S(=O)(=O)c2n[nH]cc2C(=O)OC)C1 ZINC000912540675 650207112 /nfs/dbraw/zinc/20/71/12/650207112.db2.gz DZYIZPAOBLFWNF-QMMMGPOBSA-N -1 1 330.366 -0.515 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)CO[C@@H]1CCOC1 ZINC000912543411 650207359 /nfs/dbraw/zinc/20/73/59/650207359.db2.gz WKLUFRRIXYYFRP-RKDXNWHRSA-N -1 1 333.366 -0.331 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCN(C(C)C)C1=O ZINC000912541031 650207390 /nfs/dbraw/zinc/20/73/90/650207390.db2.gz CVXSGYHYSFXJGC-VIFPVBQESA-N -1 1 330.366 -0.516 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H](C)N1CCCCC1=O ZINC000912549899 650207962 /nfs/dbraw/zinc/20/79/62/650207962.db2.gz IQLUZRXLMGUEKC-VIFPVBQESA-N -1 1 344.393 -0.124 20 0 EBADMM COC[C@@H]([N-]S(=O)(=O)c1n[nH]cc1C(=O)OC)[C@H]1CCCO1 ZINC000912550863 650208166 /nfs/dbraw/zinc/20/81/66/650208166.db2.gz UFGZVAWPZXBILU-NXEZZACHSA-N -1 1 333.366 -0.331 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)c1nccc(N)n1 ZINC000912553110 650208417 /nfs/dbraw/zinc/20/84/17/650208417.db2.gz IQILAZWRNNMAHV-ZCFIWIBFSA-N -1 1 326.338 -0.392 20 0 EBADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)[C@@H]2COC[C@H]2O)C(=O)N1c1ccccc1 ZINC000914195051 650255346 /nfs/dbraw/zinc/25/53/46/650255346.db2.gz CWYAMCWNMXVTRX-FMKGYKFTSA-N -1 1 340.401 -0.141 20 0 EBADMM COCCN1C[C@H](C(=O)OCC(=O)[N-]C(=O)c2ccccc2)CC1=O ZINC000914661308 650261734 /nfs/dbraw/zinc/26/17/34/650261734.db2.gz LOUZJPMMSHANHE-CYBMUJFWSA-N -1 1 348.355 -0.019 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC(=O)NCCC(=O)OC)o1 ZINC000915240913 650266464 /nfs/dbraw/zinc/26/64/64/650266464.db2.gz ZOGIEZZCXLDLBV-UHFFFAOYSA-N -1 1 348.333 -0.976 20 0 EBADMM O=S(=O)([N-][C@H](CO)CN1CCOCC1)c1cccc(F)c1F ZINC000918990127 650294570 /nfs/dbraw/zinc/29/45/70/650294570.db2.gz YGQDRLQUMYFNTP-JTQLQIEISA-N -1 1 336.360 -0.064 20 0 EBADMM CCOC(=O)[C@@H](CSC)[N-]S(=O)(=O)C[C@@H]1CN(C)CCO1 ZINC000921376969 650318905 /nfs/dbraw/zinc/31/89/05/650318905.db2.gz HBQRFKPPRFNXID-WDEREUQCSA-N -1 1 340.467 -0.469 20 0 EBADMM CO[C@@]1(C[N-]S(=O)(=O)N=[S@](C)(=O)N(C)C)CCSC1 ZINC000921401864 650319836 /nfs/dbraw/zinc/31/98/36/650319836.db2.gz KHQSENFOORTAES-LZVRBXCZSA-N -1 1 331.485 -0.083 20 0 EBADMM CN(C(=O)[C@@H]1CN(C)CCO1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937211788 651680094 /nfs/dbraw/zinc/68/00/94/651680094.db2.gz PBLWEFCUWJOFAE-OCCSQVGLSA-N -1 1 348.403 -0.209 20 0 EBADMM CN(C(=O)[C@H]1CCC(=O)NC1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937217876 651685675 /nfs/dbraw/zinc/68/56/75/651685675.db2.gz YUIVFJDDJIBFDB-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)[C@@H]1CN(C)C(=O)N1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937217868 651685941 /nfs/dbraw/zinc/68/59/41/651685941.db2.gz YNWWVDNFJMBKHQ-MNOVXSKESA-N -1 1 347.375 -0.516 20 0 EBADMM CN(C(=O)c1cn(C)nn1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937220654 651686966 /nfs/dbraw/zinc/68/69/66/651686966.db2.gz SGHXRVFOPYHVPK-SNVBAGLBSA-N -1 1 330.348 -0.098 20 0 EBADMM CCCC(=O)N1CC[C@@H](N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000936411683 651785713 /nfs/dbraw/zinc/78/57/13/651785713.db2.gz QNXHKZIDDAORQT-SNVBAGLBSA-N -1 1 332.364 -0.109 20 0 EBADMM CN1CCO[C@@H](C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000937779621 651922090 /nfs/dbraw/zinc/92/20/90/651922090.db2.gz NEPUAVFCKKCLQU-WCQYABFASA-N -1 1 334.376 -0.552 20 0 EBADMM CN1CCOC[C@@H]1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937848202 651944738 /nfs/dbraw/zinc/94/47/38/651944738.db2.gz OJGDEHYKPQRWQA-NWDGAFQWSA-N -1 1 334.376 -0.552 20 0 EBADMM NC(=O)c1c[nH]c(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)c1 ZINC000937860403 651957007 /nfs/dbraw/zinc/95/70/07/651957007.db2.gz IYYOJYGBQBSMNB-JTQLQIEISA-N -1 1 343.343 -0.141 20 0 EBADMM O=C(CCn1ccnn1)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937862120 651957766 /nfs/dbraw/zinc/95/77/66/651957766.db2.gz YCOLRPWCQAGTKT-NSHDSACASA-N -1 1 330.348 -0.200 20 0 EBADMM Cn1oc(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)cc1=O ZINC000937879097 651966369 /nfs/dbraw/zinc/96/63/69/651966369.db2.gz DGASSYAGXOPXGB-VIFPVBQESA-N -1 1 332.316 -0.277 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ccc3oc(=O)nc-3[n-]2)C1)c1cnn[nH]1 ZINC000938238839 652151335 /nfs/dbraw/zinc/15/13/35/652151335.db2.gz VDQHQYNJIHRBRW-ZETCQYMHSA-N -1 1 343.303 -0.309 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCN(C(=O)C(F)F)C1 ZINC000938310399 652189150 /nfs/dbraw/zinc/18/91/50/652189150.db2.gz ADKWVSBYJFANAY-YFKPBYRVSA-N -1 1 332.263 -0.508 20 0 EBADMM Cc1ncc(C(=O)N2CC[C@H](N(C)C(=O)Cn3c(=O)[n-][nH]c3=O)C2)[nH]1 ZINC000936904129 652245221 /nfs/dbraw/zinc/24/52/21/652245221.db2.gz FFEOVEYZZGKFCG-VIFPVBQESA-N -1 1 349.351 -0.906 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)Cn2nccn2)C1 ZINC000938577195 652336186 /nfs/dbraw/zinc/33/61/86/652336186.db2.gz BELDSOIAQRIGLE-LLVKDONJSA-N -1 1 330.348 -0.248 20 0 EBADMM Cn1ncc(C(=O)N2CCC[C@@H]2CNC(=O)c2ncccc2[O-])n1 ZINC000944136004 652691072 /nfs/dbraw/zinc/69/10/72/652691072.db2.gz XUBFLPAAAHJEAT-SNVBAGLBSA-N -1 1 330.348 -0.050 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N(C)[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000938872332 652751104 /nfs/dbraw/zinc/75/11/04/652751104.db2.gz VWOYXMSKCYHZDD-XQQFMLRXSA-N -1 1 348.403 -0.358 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H](N(C)C(=O)C2CC2)C1 ZINC000938901674 652765476 /nfs/dbraw/zinc/76/54/76/652765476.db2.gz NOJLWUZJUFSARR-SECBINFHSA-N -1 1 336.348 -0.021 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@H]2CN(C)C(=O)N2)C1 ZINC000939006091 652805957 /nfs/dbraw/zinc/80/59/57/652805957.db2.gz BXRGLXYSKIOKAX-WDEREUQCSA-N -1 1 347.375 -0.516 20 0 EBADMM CO[C@@H]1CN(C(=O)Cc2cnc[nH]2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941604302 652828180 /nfs/dbraw/zinc/82/81/80/652828180.db2.gz BYJOWOPFARXVRV-DGCLKSJQSA-N -1 1 345.359 -0.291 20 0 EBADMM CO[C@@H]1CN(C(=O)Cn2cncn2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941605118 652828705 /nfs/dbraw/zinc/82/87/05/652828705.db2.gz TWXHYQFMMOQZRY-ZYHUDNBSSA-N -1 1 346.347 -0.966 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1)[C@H]1CCC(=O)N1 ZINC000944522080 652830085 /nfs/dbraw/zinc/83/00/85/652830085.db2.gz VYRBGCBJJWZTRS-WDEREUQCSA-N -1 1 348.359 -0.977 20 0 EBADMM C[C@@H]1CN(C(=O)c2cccc(=O)n2C)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939111732 652832326 /nfs/dbraw/zinc/83/23/26/652832326.db2.gz BQMZRBIAXYRGKO-NXEZZACHSA-N -1 1 332.364 -0.541 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2CN(C(=O)c3ccn[nH]3)CCO2)c1[O-] ZINC000944550105 652850636 /nfs/dbraw/zinc/85/06/36/652850636.db2.gz QWMAYTZXRDDYMB-SECBINFHSA-N -1 1 334.336 -0.582 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2cc[nH]n2)CCO1)c1ncccc1[O-] ZINC000944559645 652859249 /nfs/dbraw/zinc/85/92/49/652859249.db2.gz DBBMMQPWFSCHPJ-JTQLQIEISA-N -1 1 331.332 -0.219 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2ccn[nH]2)CCO1)c1ncccc1[O-] ZINC000944559645 652859252 /nfs/dbraw/zinc/85/92/52/652859252.db2.gz DBBMMQPWFSCHPJ-JTQLQIEISA-N -1 1 331.332 -0.219 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2ccnnc2)CCO1)c1ncccc1[O-] ZINC000944560985 652861079 /nfs/dbraw/zinc/86/10/79/652861079.db2.gz AJMUBCXBOVFODP-LBPRGKRZSA-N -1 1 343.343 -0.152 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2cnon2)CCO1)c1ncccc1[O-] ZINC000944565008 652864136 /nfs/dbraw/zinc/86/41/36/652864136.db2.gz MUFNXJBWJQSWCJ-VIFPVBQESA-N -1 1 333.304 -0.559 20 0 EBADMM O=C(/C=C/C1CC1)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000941663796 652866108 /nfs/dbraw/zinc/86/61/08/652866108.db2.gz DMRYMYLNPWVOFM-PGLGOXFNSA-N -1 1 342.359 -0.286 20 0 EBADMM C[C@H]1CN(C(=O)c2cnc3cccnn32)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939270086 652875131 /nfs/dbraw/zinc/87/51/31/652875131.db2.gz HPVDKYQLJRWFBC-VHSXEESVSA-N -1 1 342.363 -0.197 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)c2c[nH]c(=O)cn2)C1 ZINC000939334468 652898706 /nfs/dbraw/zinc/89/87/06/652898706.db2.gz RZPZIYRDGMSXCX-JTQLQIEISA-N -1 1 343.343 -0.143 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1cc[n+]([O-])cc1 ZINC000941782991 652907021 /nfs/dbraw/zinc/90/70/21/652907021.db2.gz WZHHSKYLCRCMOP-AAEUAGOBSA-N -1 1 346.391 -0.618 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1cnsn1 ZINC000941837551 652927535 /nfs/dbraw/zinc/92/75/35/652927535.db2.gz UUJUXOFDXSVUSV-IUCAKERBSA-N -1 1 337.409 -0.400 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1cc[nH]c(=O)c1 ZINC000941927435 652953724 /nfs/dbraw/zinc/95/37/24/652953724.db2.gz RNPTYQPCNBAUMO-JQWIXIFHSA-N -1 1 346.391 -0.151 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)C1=CCOCC1 ZINC000941984832 653012635 /nfs/dbraw/zinc/01/26/35/653012635.db2.gz OSOFQZVBHJYWRB-AAEUAGOBSA-N -1 1 335.408 -0.218 20 0 EBADMM C[C@@H]1CN(Cc2nnn(C)n2)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC000941989356 653013930 /nfs/dbraw/zinc/01/39/30/653013930.db2.gz LLMSPSUQIPKMGJ-MNOVXSKESA-N -1 1 331.380 -0.049 20 0 EBADMM Cc1ccnc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)n1 ZINC000942010612 653020018 /nfs/dbraw/zinc/02/00/18/653020018.db2.gz XTHYDEDXYUNOBF-CMPLNLGQSA-N -1 1 345.407 -0.153 20 0 EBADMM Cc1nnccc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1C ZINC000942287835 653074561 /nfs/dbraw/zinc/07/45/61/653074561.db2.gz MMKGJUPRSKAKKD-GWCFXTLKSA-N -1 1 345.407 -0.153 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC[C@@H](NC(=O)C(N)=O)C1 ZINC000942309642 653079644 /nfs/dbraw/zinc/07/96/44/653079644.db2.gz IWOCGGSIHKZXNC-SECBINFHSA-N -1 1 335.364 -0.570 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)C2CS(=O)(=O)C2)C1)c1ncccc1[O-] ZINC000940130803 653085008 /nfs/dbraw/zinc/08/50/08/653085008.db2.gz XGUGWJMRHWSMHY-SNVBAGLBSA-N -1 1 339.373 -0.838 20 0 EBADMM CCN1CCO[C@@H](C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000940134297 653085051 /nfs/dbraw/zinc/08/50/51/653085051.db2.gz KGDAPUDQDSSLTC-TZMCWYRMSA-N -1 1 348.403 -0.161 20 0 EBADMM CN1C[C@H](C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000940135856 653085366 /nfs/dbraw/zinc/08/53/66/653085366.db2.gz JRHBAKIDPNEFGA-GHMZBOCLSA-N -1 1 332.360 -0.404 20 0 EBADMM CN1C[C@@H](C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)CCC1=O ZINC000940136227 653085606 /nfs/dbraw/zinc/08/56/06/653085606.db2.gz WKVBIHHMNSLWAV-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@@]1(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)CCC(=O)N1 ZINC000942349920 653086615 /nfs/dbraw/zinc/08/66/15/653086615.db2.gz CRBNGSBHCKJJBY-HWPZZCPQSA-N -1 1 332.360 -0.213 20 0 EBADMM CC(=O)N[C@H]1CCCC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000946344886 653103068 /nfs/dbraw/zinc/10/30/68/653103068.db2.gz PSAVVINOJDLZAF-MNOVXSKESA-N -1 1 332.364 -0.158 20 0 EBADMM CC(=O)N[C@@H]1CCCC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000946344885 653103273 /nfs/dbraw/zinc/10/32/73/653103273.db2.gz PSAVVINOJDLZAF-GHMZBOCLSA-N -1 1 332.364 -0.158 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1csnn1 ZINC000942537496 653136730 /nfs/dbraw/zinc/13/67/30/653136730.db2.gz BQKBCZKMDACHRR-IUCAKERBSA-N -1 1 337.409 -0.400 20 0 EBADMM O=C(NC1CCN(C(=O)c2ncccc2[O-])CC1)[C@@H]1COCCO1 ZINC000946532852 653144621 /nfs/dbraw/zinc/14/46/21/653144621.db2.gz FJANVUBZYHUBNI-ZDUSSCGKSA-N -1 1 335.360 -0.077 20 0 EBADMM O=C(NC1CCN(C(=O)c2ncccc2[O-])CC1)[C@H]1CCNC1=O ZINC000946538857 653146693 /nfs/dbraw/zinc/14/66/93/653146693.db2.gz SKLJZKOTKNFGDX-NSHDSACASA-N -1 1 332.360 -0.356 20 0 EBADMM Cn1nnc(C(=O)NC2CCN(C(=O)c3ncccc3[O-])CC2)n1 ZINC000946538690 653146717 /nfs/dbraw/zinc/14/67/17/653146717.db2.gz MZIWNQQGIYOBBT-UHFFFAOYSA-N -1 1 331.336 -0.655 20 0 EBADMM Cc1cnn(C)c1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC000942567050 653149847 /nfs/dbraw/zinc/14/98/47/653149847.db2.gz DIGCYMANBDRAND-VXGBXAGGSA-N -1 1 347.423 -0.209 20 0 EBADMM Cc1ncc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)n1C ZINC000942579884 653153237 /nfs/dbraw/zinc/15/32/37/653153237.db2.gz OZBRWQXNXCENGL-PWSUYJOCSA-N -1 1 347.423 -0.209 20 0 EBADMM CCN(C(=O)c1ccn[nH]1)[C@H]1CCN(C(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC000942685466 653177188 /nfs/dbraw/zinc/17/71/88/653177188.db2.gz XMXYQLHQFKSKHJ-VIFPVBQESA-N -1 1 349.351 -0.824 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@H]2CNC(=O)N2)C1 ZINC000942759682 653197958 /nfs/dbraw/zinc/19/79/58/653197958.db2.gz ZUNLVQWSNUYCBE-GHMZBOCLSA-N -1 1 347.375 -0.468 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1)c1ncccn1 ZINC000944743052 653232974 /nfs/dbraw/zinc/23/29/74/653232974.db2.gz XLLSUDAVUUXASK-LLVKDONJSA-N -1 1 343.343 -0.152 20 0 EBADMM CCN(C(=O)c1cc(=O)n2[n-]cnc2n1)[C@@H]1CCN(C(=O)COC)C1 ZINC000942876357 653247492 /nfs/dbraw/zinc/24/74/92/653247492.db2.gz MBYJRTGELDOGMI-SNVBAGLBSA-N -1 1 348.363 -0.873 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cncnc3)C(C)(C)C2)nc1=O ZINC000940698545 653261472 /nfs/dbraw/zinc/26/14/72/653261472.db2.gz QCEJHRHWIBAFBN-LBPRGKRZSA-N -1 1 345.407 -0.071 20 0 EBADMM CO[C@H](C)C(=O)N1CCC(NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000944897724 653286247 /nfs/dbraw/zinc/28/62/47/653286247.db2.gz ZWXMKVPHOQSXON-SECBINFHSA-N -1 1 348.363 -0.827 20 0 EBADMM CCN1CCO[C@@H](C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000940794484 653287376 /nfs/dbraw/zinc/28/73/76/653287376.db2.gz VRNHHKCCZKDKNH-TZMCWYRMSA-N -1 1 348.403 -0.161 20 0 EBADMM NC(=O)CC(=O)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])CC2 ZINC000944916480 653288779 /nfs/dbraw/zinc/28/87/79/653288779.db2.gz ICZYRPDTSDJJGL-UHFFFAOYSA-N -1 1 332.360 -0.273 20 0 EBADMM O=C(CN1CN=NC1=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940810720 653293420 /nfs/dbraw/zinc/29/34/20/653293420.db2.gz QNIWEERNVDFEAL-UHFFFAOYSA-N -1 1 346.347 -0.541 20 0 EBADMM C[C@@]1(C(=O)N2CCCN(C(=O)c3ncccc3[O-])CC2)CNC(=O)C1 ZINC000940833183 653298728 /nfs/dbraw/zinc/29/87/28/653298728.db2.gz WKBKUNWOKCOHAC-KRWDZBQOSA-N -1 1 346.387 -0.012 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2cnsn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947080385 653306758 /nfs/dbraw/zinc/30/67/58/653306758.db2.gz JPYNADYKYBKWKC-DTWKUNHWSA-N -1 1 337.409 -0.257 20 0 EBADMM Cc1ncncc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@H](C)C1 ZINC000947085687 653308637 /nfs/dbraw/zinc/30/86/37/653308637.db2.gz XJXFVJZRRNGPLF-ZYHUDNBSSA-N -1 1 345.407 -0.010 20 0 EBADMM C[C@H]1C[C@H](NC(=O)Cc2cnn(C)c2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947111282 653316126 /nfs/dbraw/zinc/31/61/26/653316126.db2.gz CUSJTCFUZQSLNO-WCQYABFASA-N -1 1 347.423 -0.446 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H](NC(=O)c2cc[nH]c2)C1 ZINC000940924591 653330594 /nfs/dbraw/zinc/33/05/94/653330594.db2.gz UMSWZJFPSVUOPX-SECBINFHSA-N -1 1 347.331 -0.131 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)Cc2ccnn2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947258789 653350734 /nfs/dbraw/zinc/35/07/34/653350734.db2.gz YBWSUXSSWWBFJO-NEPJUHHUSA-N -1 1 347.423 -0.446 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2cn(C)nn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947262238 653351231 /nfs/dbraw/zinc/35/12/31/653351231.db2.gz UHYTZSHYPHUZJD-NXEZZACHSA-N -1 1 334.384 -0.980 20 0 EBADMM CCn1ncc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H](C)C2)n1 ZINC000947285983 653356921 /nfs/dbraw/zinc/35/69/21/653356921.db2.gz IMDOCSJKOKLUCV-MNOVXSKESA-N -1 1 348.411 -0.497 20 0 EBADMM O=C(N[C@H]1CCCCN(C(=O)C2CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000943381254 653370149 /nfs/dbraw/zinc/37/01/49/653370149.db2.gz KPFZPBJHNJQNJJ-NSHDSACASA-N -1 1 344.375 -0.062 20 0 EBADMM CC1(C)CN(Cc2cc(=O)n3[n-]ccc3n2)CC[C@@H]1NC(=O)C(N)=O ZINC000940997075 653371929 /nfs/dbraw/zinc/37/19/29/653371929.db2.gz NMLLXJUUMGAQJK-NSHDSACASA-N -1 1 346.391 -0.775 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H](C)C1 ZINC000947420620 653397415 /nfs/dbraw/zinc/39/74/15/653397415.db2.gz FQXABMOOXSHGKX-WPRPVWTQSA-N -1 1 349.395 -0.372 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ncccn3)C(C)(C)C2)nc1=O ZINC000941118844 653421248 /nfs/dbraw/zinc/42/12/48/653421248.db2.gz YPYUFMMVKZKDQF-NSHDSACASA-N -1 1 345.407 -0.071 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2csnn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947571226 653430450 /nfs/dbraw/zinc/43/04/50/653430450.db2.gz CUHPQCUFEGQNMI-IUCAKERBSA-N -1 1 337.409 -0.257 20 0 EBADMM CC[N@H+]1CCO[C@H](C(=O)N2CC[C@@H](NC(=O)c3cnc[nH]c3=O)C2)C1 ZINC000941172084 653440573 /nfs/dbraw/zinc/44/05/73/653440573.db2.gz CSNZNHBSZRHFRF-YPMHNXCESA-N -1 1 349.391 -0.766 20 0 EBADMM Cn1cnc(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)cc1=O ZINC000941246155 653466313 /nfs/dbraw/zinc/46/63/13/653466313.db2.gz ROSOJTMBQWKMOS-SNVBAGLBSA-N -1 1 343.343 -0.475 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1ccn[nH]1 ZINC000941253041 653470458 /nfs/dbraw/zinc/47/04/58/653470458.db2.gz BOUNWVBWODQGBS-ZYHUDNBSSA-N -1 1 331.332 -0.220 20 0 EBADMM Cc1cc(C(=O)N2C[C@@H](C)[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC000947765907 653480763 /nfs/dbraw/zinc/48/07/63/653480763.db2.gz QDFFVCANYZKOEL-HZGVNTEJSA-N -1 1 347.335 -0.795 20 0 EBADMM CC(=O)N1CCC[C@H]([C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000945550086 653487785 /nfs/dbraw/zinc/48/77/85/653487785.db2.gz ORSSUADLNFYBRX-ONGXEEELSA-N -1 1 332.364 -0.206 20 0 EBADMM CN1CC[C@@H](C(=O)N2CCC(NC(=O)c3ncccc3[O-])CC2)C1=O ZINC000947879581 653497018 /nfs/dbraw/zinc/49/70/18/653497018.db2.gz GRCQRBRMWWAXIQ-GFCCVEGCSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1ncc(C(=O)NC[C@H]2CCCN2C(=O)c2ncccc2[O-])n1 ZINC000943976968 653500603 /nfs/dbraw/zinc/50/06/03/653500603.db2.gz QKVJVBPNGOJFKO-SNVBAGLBSA-N -1 1 330.348 -0.050 20 0 EBADMM CN1CCO[C@@H](C(=O)N2CCC(NC(=O)c3ncccc3[O-])CC2)C1 ZINC000945825775 653511310 /nfs/dbraw/zinc/51/13/10/653511310.db2.gz ZLIVKTGAYGJRRY-CQSZACIVSA-N -1 1 348.403 -0.161 20 0 EBADMM Cc1nccc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H](C)C2)n1 ZINC000948057106 653517624 /nfs/dbraw/zinc/51/76/24/653517624.db2.gz RNKVKUALRYVPBK-CMPLNLGQSA-N -1 1 345.407 -0.010 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000948429055 653543058 /nfs/dbraw/zinc/54/30/58/653543058.db2.gz RSJMZEXEBWJXSU-MNOVXSKESA-N -1 1 332.360 -0.371 20 0 EBADMM CC[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)CC[C@H]1NC(C)=O ZINC000948480941 653548671 /nfs/dbraw/zinc/54/86/71/653548671.db2.gz NIIMFODTHLWLAQ-CHWSQXEVSA-N -1 1 336.392 -0.310 20 0 EBADMM O=C(Cn1ncnn1)N[C@H]1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000946216099 653551428 /nfs/dbraw/zinc/55/14/28/653551428.db2.gz MYCONJHIUIADFX-MNOVXSKESA-N -1 1 345.363 -0.511 20 0 EBADMM O=C(N[C@H]1CCC[C@@H]1CNC(=O)C1CC1)c1cc(=O)n2[n-]cnc2n1 ZINC000946256408 653556583 /nfs/dbraw/zinc/55/65/83/653556583.db2.gz XNPODZFHOCLLCH-MNOVXSKESA-N -1 1 344.375 -0.158 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1)C1CCC1 ZINC000948617630 653562895 /nfs/dbraw/zinc/56/28/95/653562895.db2.gz VYTZJJYWYXOLJZ-SNVBAGLBSA-N -1 1 330.348 -0.452 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@H]1COC(=O)N1 ZINC000948629855 653564168 /nfs/dbraw/zinc/56/41/68/653564168.db2.gz FFBCLTJMOFRGNO-OPRDCNLKSA-N -1 1 334.332 -0.528 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@@H]1CCC(=O)N1 ZINC000948630034 653564179 /nfs/dbraw/zinc/56/41/79/653564179.db2.gz HYYMVVGXKLMGOD-OUAUKWLOSA-N -1 1 332.360 -0.358 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)CCn3ccccc3=O)CC2)nc1=O ZINC000948630357 653564326 /nfs/dbraw/zinc/56/43/26/653564326.db2.gz CBGWUASJIVUIPN-UHFFFAOYSA-N -1 1 346.391 -0.995 20 0 EBADMM C[C@@H]1CN(C(=O)c2cc(=O)n(C)o2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000948717745 653568432 /nfs/dbraw/zinc/56/84/32/653568432.db2.gz BLMSSXYCVWZVML-NXEZZACHSA-N -1 1 346.343 -0.031 20 0 EBADMM Cc1cc(OCC(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)no1 ZINC000948875322 653579051 /nfs/dbraw/zinc/57/90/51/653579051.db2.gz BODNFKHSCDROMT-UHFFFAOYSA-N -1 1 336.352 -0.872 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)[C@H]3CCn4cncc4C3)CC2)nc1=O ZINC000948926764 653582788 /nfs/dbraw/zinc/58/27/88/653582788.db2.gz GTWFNCCZDXGQST-LBPRGKRZSA-N -1 1 345.407 -0.788 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)[C@H]3CCc4nccn4C3)CC2)nc1=O ZINC000948939883 653583534 /nfs/dbraw/zinc/58/35/34/653583534.db2.gz VEOUXDMVAWTLNV-LBPRGKRZSA-N -1 1 345.407 -0.788 20 0 EBADMM Cc1nc2c([nH]1)CC[C@@H](C(=O)N1CCN(Cc3n[nH]c(=O)[n-]3)CC1)C2 ZINC000948956950 653584995 /nfs/dbraw/zinc/58/49/95/653584995.db2.gz CKOYYMDRVZHWIK-LLVKDONJSA-N -1 1 345.407 -0.009 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1)c1ccon1 ZINC000948966508 653585405 /nfs/dbraw/zinc/58/54/05/653585405.db2.gz AHQSGNVGMAKRPL-QMMMGPOBSA-N -1 1 343.303 -0.950 20 0 EBADMM CO[C@H](CN1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC1)C1CCC1 ZINC000949111016 653595484 /nfs/dbraw/zinc/59/54/84/653595484.db2.gz CKASRIMGOAAJSO-LLVKDONJSA-N -1 1 337.380 -0.144 20 0 EBADMM Nc1nccnc1C(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC000949169090 653818338 /nfs/dbraw/zinc/81/83/38/653818338.db2.gz PEDJOODIOMUWAB-SECBINFHSA-N -1 1 328.332 -0.196 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1)C1CC=CC1 ZINC000949187117 653826237 /nfs/dbraw/zinc/82/62/37/653826237.db2.gz ARJLGNUGAWGTPJ-NSHDSACASA-N -1 1 342.359 -0.286 20 0 EBADMM O=C(CN1CCCC1=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949531797 653921260 /nfs/dbraw/zinc/92/12/60/653921260.db2.gz UIRPVCAYXILHLH-NSHDSACASA-N -1 1 332.360 -0.260 20 0 EBADMM O=C(CCc1nnc[nH]1)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949535236 653921935 /nfs/dbraw/zinc/92/19/35/653921935.db2.gz QEBADLYURYOSHM-SNVBAGLBSA-N -1 1 330.348 -0.131 20 0 EBADMM O=C(CCc1nc[nH]n1)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949535236 653921947 /nfs/dbraw/zinc/92/19/47/653921947.db2.gz QEBADLYURYOSHM-SNVBAGLBSA-N -1 1 330.348 -0.131 20 0 EBADMM CN1CCOC[C@@H]1C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949534696 653922189 /nfs/dbraw/zinc/92/21/89/653922189.db2.gz CXFIEHPIDBZIIL-NWDGAFQWSA-N -1 1 334.376 -0.552 20 0 EBADMM CCn1cc(CC(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])nn1 ZINC000949539181 653923502 /nfs/dbraw/zinc/92/35/02/653923502.db2.gz ZHRDZXHOJLZIHZ-LBPRGKRZSA-N -1 1 344.375 -0.028 20 0 EBADMM CCN1CCO[C@H](C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])C1 ZINC000949539186 653923738 /nfs/dbraw/zinc/92/37/38/653923738.db2.gz ZNQUWFHBBXAYHD-OCCSQVGLSA-N -1 1 348.403 -0.161 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)[C@@H]1CCC(=O)NC1)c1ncccc1[O-] ZINC000949541461 653924028 /nfs/dbraw/zinc/92/40/28/653924028.db2.gz IDKQTKDIMYTBLB-MNOVXSKESA-N -1 1 332.360 -0.356 20 0 EBADMM CCn1cc(CC(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])nn1 ZINC000949539180 653924093 /nfs/dbraw/zinc/92/40/93/653924093.db2.gz ZHRDZXHOJLZIHZ-GFCCVEGCSA-N -1 1 344.375 -0.028 20 0 EBADMM C[C@@]1(C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])CNC(=O)C1 ZINC000949541822 653924649 /nfs/dbraw/zinc/92/46/49/653924649.db2.gz QBVGVVYFVVLXHQ-HWPZZCPQSA-N -1 1 332.360 -0.356 20 0 EBADMM CN1CC[C@@H](C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])CC1=O ZINC000949541884 653924674 /nfs/dbraw/zinc/92/46/74/653924674.db2.gz RAUBDZCTEQVQHX-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM CN1CC[C@@H](C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])CC1=O ZINC000949541887 653924742 /nfs/dbraw/zinc/92/47/42/653924742.db2.gz RAUBDZCTEQVQHX-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(N[C@@H]1CCCN(C(=O)C(F)F)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000949596043 653930906 /nfs/dbraw/zinc/93/09/06/653930906.db2.gz BJHYWWZZASKIOP-SSDOTTSWSA-N -1 1 340.290 -0.597 20 0 EBADMM CCN(C(=O)c1cnn(C)c1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000949681786 653947051 /nfs/dbraw/zinc/94/70/51/653947051.db2.gz KAOXQKHAKACOFJ-UHFFFAOYSA-N -1 1 333.396 -0.503 20 0 EBADMM CCN(C(=O)[C@H]1CCCO[C@@H]1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000949962425 654010884 /nfs/dbraw/zinc/01/08/84/654010884.db2.gz JKCAJZOWURYSNF-YPMHNXCESA-N -1 1 337.424 -0.044 20 0 EBADMM CS(=O)(=O)CC(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000950176823 654061204 /nfs/dbraw/zinc/06/12/04/654061204.db2.gz JPXOUOJSEVHHRJ-SNVBAGLBSA-N -1 1 341.389 -0.447 20 0 EBADMM CN(C)C(=O)CN1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000951372857 654106139 /nfs/dbraw/zinc/10/61/39/654106139.db2.gz YFKIMBLQMZAIKS-UHFFFAOYSA-N -1 1 333.392 -0.094 20 0 EBADMM CCN(C(=O)c1cc(OC)ncn1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950336460 654107864 /nfs/dbraw/zinc/10/78/64/654107864.db2.gz OQPNRTSPRMTAIM-UHFFFAOYSA-N -1 1 347.379 -0.747 20 0 EBADMM CN1C[C@H](C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])CC1=O ZINC000950400368 654115453 /nfs/dbraw/zinc/11/54/53/654115453.db2.gz CSLWRUBKMLBPCV-MNOVXSKESA-N -1 1 332.360 -0.404 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@@H]1CCN1C(=O)CC(N)=O ZINC000951437334 654129073 /nfs/dbraw/zinc/12/90/73/654129073.db2.gz MXTVJHPSXLCDQN-JTQLQIEISA-N -1 1 349.391 -0.180 20 0 EBADMM O=C(CN1CCOCC1)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000950494881 654147880 /nfs/dbraw/zinc/14/78/80/654147880.db2.gz SWNCRLDDMHVQGD-ZDUSSCGKSA-N -1 1 348.403 -0.160 20 0 EBADMM O=C(N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1)[C@H]1COC(=O)N1 ZINC000950543384 654173337 /nfs/dbraw/zinc/17/33/37/654173337.db2.gz YFGZHPZWPZCOEM-NXEZZACHSA-N -1 1 334.332 -0.384 20 0 EBADMM CN1C[C@@H](C(=O)N2CCC[C@@H](NC(=O)c3ncccc3[O-])C2)NC1=O ZINC000951539847 654183169 /nfs/dbraw/zinc/18/31/69/654183169.db2.gz GNOFBYYXMOQSOA-MNOVXSKESA-N -1 1 347.375 -0.468 20 0 EBADMM C[C@@H]1OCCO[C@@H]1C(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC000950757067 654250481 /nfs/dbraw/zinc/25/04/81/654250481.db2.gz YJYQNGXVTDKZQU-WDMOLILDSA-N -1 1 335.360 -0.078 20 0 EBADMM CCN(C(=O)c1[nH]c(C)nc1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000951773927 654277414 /nfs/dbraw/zinc/27/74/14/654277414.db2.gz YBEHBQRESXXAFL-UHFFFAOYSA-N -1 1 333.396 -0.205 20 0 EBADMM Cn1cncc1CN1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000951777337 654278925 /nfs/dbraw/zinc/27/89/25/654278925.db2.gz JFGRIEUZKZEVFF-UHFFFAOYSA-N -1 1 346.391 -0.995 20 0 EBADMM CC[C@@H](F)CN1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000951777211 654279264 /nfs/dbraw/zinc/27/92/64/654279264.db2.gz BVFQSCSRGNRTDC-GFCCVEGCSA-N -1 1 326.372 -0.181 20 0 EBADMM CC[C@@H]1OCC[C@H]1C(=O)N(CC)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950926694 654314530 /nfs/dbraw/zinc/31/45/30/654314530.db2.gz AWVGBPYBWNDNFF-OLZOCXBDSA-N -1 1 337.424 -0.044 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1ncccc1[O-])c1c[nH]c(=O)cn1 ZINC000951855481 654317252 /nfs/dbraw/zinc/31/72/52/654317252.db2.gz VUDGWLLZBGGMGP-VIFPVBQESA-N -1 1 329.316 -0.485 20 0 EBADMM NC(=O)C(=O)N1CCC[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000951858095 654321240 /nfs/dbraw/zinc/32/12/40/654321240.db2.gz GDCFJTPOJZLDRI-VIFPVBQESA-N -1 1 333.348 -0.734 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1ncccc1[O-])[C@H]1CCC(=O)NC1 ZINC000950964950 654329481 /nfs/dbraw/zinc/32/94/81/654329481.db2.gz PYUMYUNSTMQYMF-WDEREUQCSA-N -1 1 332.360 -0.356 20 0 EBADMM CCCn1cc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cn1 ZINC000951003007 654346151 /nfs/dbraw/zinc/34/61/51/654346151.db2.gz PGXVWFRKTMVBCF-UHFFFAOYSA-N -1 1 333.396 -0.327 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000952040845 654378470 /nfs/dbraw/zinc/37/84/70/654378470.db2.gz QZZBQOMMZODLRH-AXFHLTTASA-N -1 1 332.360 -0.371 20 0 EBADMM O=C(Cn1cncn1)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000952074670 654386039 /nfs/dbraw/zinc/38/60/39/654386039.db2.gz FPGJPWFWTRAVMC-NSHDSACASA-N -1 1 330.348 -0.200 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCN1C(=O)c1cnco1 ZINC000951221877 654423047 /nfs/dbraw/zinc/42/30/47/654423047.db2.gz BLGMKFJEBDFOJO-SSDOTTSWSA-N -1 1 349.303 -0.471 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1ccon1)c1cc(=O)n2[n-]cnc2n1 ZINC000951222346 654424008 /nfs/dbraw/zinc/42/40/08/654424008.db2.gz KOADBZOCCCMGDV-QMMMGPOBSA-N -1 1 343.303 -0.950 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCN1C(=O)C(C)(C)F ZINC000951222980 654424861 /nfs/dbraw/zinc/42/48/61/654424861.db2.gz WKOBIQFZKYQHMQ-ZETCQYMHSA-N -1 1 342.327 -0.025 20 0 EBADMM CC1(C)C[C@H]1C(=O)N1CC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951224534 654425416 /nfs/dbraw/zinc/42/54/16/654425416.db2.gz HTDSCGDPZHXCTD-UWVGGRQHSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(/C=C\C1CC1)N1CC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951224264 654425460 /nfs/dbraw/zinc/42/54/60/654425460.db2.gz AQZZPKQVZUTSJR-DLRQAJBASA-N -1 1 342.359 -0.286 20 0 EBADMM C/C=C(\C)C(=O)N1CC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951224848 654426249 /nfs/dbraw/zinc/42/62/49/654426249.db2.gz OYMYHOBNIOSFPD-RZCNENHTSA-N -1 1 330.348 -0.286 20 0 EBADMM NC(=O)C(=O)N1CCC[C@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000952902895 654508597 /nfs/dbraw/zinc/50/85/97/654508597.db2.gz NDSQYRXBDLRNCR-INIZCTEOSA-N -1 1 332.360 -0.273 20 0 EBADMM NC(=O)CC(=O)N1CC[C@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000953305045 654553612 /nfs/dbraw/zinc/55/36/12/654553612.db2.gz SVMJFBQPJQFPHD-WDEREUQCSA-N -1 1 332.360 -0.274 20 0 EBADMM CN(C(=O)[C@@H]1CC1(C)C)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000954077767 654681763 /nfs/dbraw/zinc/68/17/63/654681763.db2.gz ASWWEIGQKYPVSX-LBPRGKRZSA-N -1 1 348.403 -0.358 20 0 EBADMM Cc1c(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)nnn1C ZINC000965829389 724526503 /nfs/dbraw/zinc/52/65/03/724526503.db2.gz OVOIXCFWQQTPJA-GXSJLCMTSA-N -1 1 348.411 -0.814 20 0 EBADMM C[C@H]1CCN(Cc2nnnn2C)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000965942343 724544003 /nfs/dbraw/zinc/54/40/03/724544003.db2.gz XXCRZRMOSZBLHW-WDEREUQCSA-N -1 1 331.380 -0.049 20 0 EBADMM Cc1nnc(CC(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)o1 ZINC000965989891 724556109 /nfs/dbraw/zinc/55/61/09/724556109.db2.gz KCXAHBODIINNLT-KOLCDFICSA-N -1 1 349.395 -0.631 20 0 EBADMM COCC(=O)N1C[C@@H](C)[C@@H](NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC000966059648 724563421 /nfs/dbraw/zinc/56/34/21/724563421.db2.gz PVRBDUAQRQJQHL-SFYZADRCSA-N -1 1 340.336 -0.881 20 0 EBADMM CCCC(=O)N1C[C@H](C)[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000966066903 724563809 /nfs/dbraw/zinc/56/38/09/724563809.db2.gz MRNLOVCOYWWKIT-GXSJLCMTSA-N -1 1 332.364 -0.206 20 0 EBADMM Cn1nnc(C[N-]S(=O)(=O)c2c(Cl)nc3ccccn32)n1 ZINC000398138731 719415921 /nfs/dbraw/zinc/41/59/21/719415921.db2.gz IJLIOSOGUQGEAJ-UHFFFAOYSA-N -1 1 327.757 -0.010 20 0 EBADMM C[C@H](C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1)n1cncn1 ZINC000954124356 719569406 /nfs/dbraw/zinc/56/94/06/719569406.db2.gz WXMHUFOJTPTNJX-SNVBAGLBSA-N -1 1 330.348 -0.077 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CN(C)C(=O)N2)C1 ZINC000954127906 719570599 /nfs/dbraw/zinc/57/05/99/719570599.db2.gz LDSCHXUCZQHXBF-JTQLQIEISA-N -1 1 333.348 -0.906 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)C[C@@H]2CC(=O)NC2=O)C1 ZINC000954127743 719570668 /nfs/dbraw/zinc/57/06/68/719570668.db2.gz FEXICHNAMLUVQD-VIFPVBQESA-N -1 1 346.343 -0.877 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(N(C)C(=O)c2cc[nH]c2)C1 ZINC000954479888 719737744 /nfs/dbraw/zinc/73/77/44/719737744.db2.gz XSAXDSJNOSVUFJ-UHFFFAOYSA-N -1 1 347.331 -0.179 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C1CN(C(=O)[C@@H]2C[C@H]2C)C1 ZINC000954829757 719925049 /nfs/dbraw/zinc/92/50/49/719925049.db2.gz ZQDGFSKJLNMCHV-VXNVDRBHSA-N -1 1 336.348 -0.165 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)C=C2CCC2)C1 ZINC000954829862 719925187 /nfs/dbraw/zinc/92/51/87/719925187.db2.gz AUJFDSFMVZUCOR-UHFFFAOYSA-N -1 1 342.359 -0.189 20 0 EBADMM C[C@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@H]1NC(=O)C(N)=O ZINC000966447546 724649509 /nfs/dbraw/zinc/64/95/09/724649509.db2.gz ZDVPVQSTSLWNSR-OIBJUYFYSA-N -1 1 333.348 -0.878 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1nnn(C)n1 ZINC000955765752 720250001 /nfs/dbraw/zinc/25/00/01/720250001.db2.gz DWHMHPRMKYOFBI-ZJUUUORDSA-N -1 1 345.363 -0.266 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CCN1C(=O)C(N)=O ZINC000955806184 720268549 /nfs/dbraw/zinc/26/85/49/720268549.db2.gz VQDMAEGAZCMVLA-WCBMZHEXSA-N -1 1 347.375 -0.346 20 0 EBADMM COCC(=O)N1CCC[C@H]1CNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000955855310 720280846 /nfs/dbraw/zinc/28/08/46/720280846.db2.gz TYJRAQUVTONLDQ-QMMMGPOBSA-N -1 1 340.336 -0.736 20 0 EBADMM O=C(NC[C@@H]1CCCN1C(=O)[C@@H]1CNC(=O)N1)c1ncccc1[O-] ZINC000956182303 720390432 /nfs/dbraw/zinc/39/04/32/720390432.db2.gz UAQDCRQGDTZJCR-UWVGGRQHSA-N -1 1 333.348 -0.811 20 0 EBADMM C[C@H]1CN(C(=O)CCn2cnnn2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966730836 724730792 /nfs/dbraw/zinc/73/07/92/724730792.db2.gz QLZBNZUGXIZWIQ-WDEREUQCSA-N -1 1 345.363 -0.559 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000966877265 724774191 /nfs/dbraw/zinc/77/41/91/724774191.db2.gz JPICOVBGUIEXEG-SCZZXKLOSA-N -1 1 347.331 -0.860 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@@](C)(NC(=O)c3ncccc3[O-])C2)n1 ZINC000956849725 722119487 /nfs/dbraw/zinc/11/94/87/722119487.db2.gz XKWYCVMDHMSIPB-OAHLLOKOSA-N -1 1 330.348 -0.050 20 0 EBADMM CN1CCOC[C@@H]1C(=O)N1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956849290 722119539 /nfs/dbraw/zinc/11/95/39/722119539.db2.gz PKZDPKZCFKDQEX-PXAZEXFGSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@@]1(NC(=O)[C@@H]2COC(=O)N2)CCN(C(=O)c2ncccc2[O-])C1 ZINC000956881602 722126373 /nfs/dbraw/zinc/12/63/73/722126373.db2.gz WNECLYNHQLAICY-BJOHPYRUSA-N -1 1 334.332 -0.384 20 0 EBADMM CCN(C(=O)[C@H]1CCO[C@@H](C)C1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000957049332 722147331 /nfs/dbraw/zinc/14/73/31/722147331.db2.gz KURXHJPXFQTEOL-RYUDHWBXSA-N -1 1 337.424 -0.044 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@](C)(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000957219426 722176229 /nfs/dbraw/zinc/17/62/29/722176229.db2.gz FFAFKELNZTVRFT-LYGAQUJYSA-N -1 1 344.375 -0.206 20 0 EBADMM CN1C[C@@H](C(=O)N[C@@]2(C)CCN(C(=O)c3ncccc3[O-])C2)NC1=O ZINC000957272518 722183625 /nfs/dbraw/zinc/18/36/25/722183625.db2.gz MCZNDBGBMPJKPN-QFYYESIMSA-N -1 1 347.375 -0.468 20 0 EBADMM C[C@@H]1CC[C@H](CN2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC2)O1 ZINC000957314579 722193294 /nfs/dbraw/zinc/19/32/94/722193294.db2.gz KBXFPFCKOJNMQQ-VXGBXAGGSA-N -1 1 346.391 -0.257 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3c[nH]c4cccnc34)CC2)nc1=O ZINC000957337341 722197881 /nfs/dbraw/zinc/19/78/81/722197881.db2.gz WIOUJIVUNGXWPN-UHFFFAOYSA-N -1 1 341.375 -0.057 20 0 EBADMM CCN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)Cn2cnnn2)CC1 ZINC000957671942 722232568 /nfs/dbraw/zinc/23/25/68/722232568.db2.gz LMRMJPYKSKRJDK-UHFFFAOYSA-N -1 1 349.399 -0.743 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3c[nH]c4ncccc34)CC2)nc1=O ZINC000957683144 722232850 /nfs/dbraw/zinc/23/28/50/722232850.db2.gz MTHHHQFGSSPHDU-UHFFFAOYSA-N -1 1 341.375 -0.057 20 0 EBADMM CCN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)Cc2nnc[nH]2)CC1 ZINC000957772476 722240263 /nfs/dbraw/zinc/24/02/63/722240263.db2.gz FAWQDLXEROCHNH-UHFFFAOYSA-N -1 1 348.411 -0.068 20 0 EBADMM O=C(NC[C@@H]1CN(Cc2cc(=O)n3[n-]ccc3n2)C[C@H]1O)C1CCC1 ZINC000957802838 722243354 /nfs/dbraw/zinc/24/33/54/722243354.db2.gz GTYTYUZHMOTGDN-TZMCWYRMSA-N -1 1 345.403 -0.268 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](CNC(=O)c3ccsc3)[C@H](O)C2)nc1=O ZINC000957859469 722253607 /nfs/dbraw/zinc/25/36/07/722253607.db2.gz PSZCLUHPVLTDQZ-GHMZBOCLSA-N -1 1 337.405 -0.607 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2CN(Cc3cn(C)nc3C)C[C@H]2O)c1[O-] ZINC000957976640 722280403 /nfs/dbraw/zinc/28/04/03/722280403.db2.gz XONYXACCGDOOBO-DGCLKSJQSA-N -1 1 348.407 -0.312 20 0 EBADMM O=C(NC[C@@H]1CN(Cc2ncccn2)C[C@@H]1O)c1ncccc1[O-] ZINC000957990240 722283702 /nfs/dbraw/zinc/28/37/02/722283702.db2.gz LONCSJCESSKCBY-YPMHNXCESA-N -1 1 329.360 -0.200 20 0 EBADMM CCn1ncnc1CN1C[C@@H](CNC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000957990242 722283706 /nfs/dbraw/zinc/28/37/06/722283706.db2.gz LOOSOPCFNNECJY-DGCLKSJQSA-N -1 1 346.391 -0.379 20 0 EBADMM CCc1occc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC000958031760 722293016 /nfs/dbraw/zinc/29/30/16/722293016.db2.gz WGDIPRWREOUWRB-PWSUYJOCSA-N -1 1 349.391 -0.514 20 0 EBADMM CCc1nocc1C[N@@H+]1C[C@@H](CNC(=O)c2cnc[nH]c2=O)[C@H](O)C1 ZINC000958168266 722317191 /nfs/dbraw/zinc/31/71/91/722317191.db2.gz VALKMWOGFQHRCO-QMTHXVAHSA-N -1 1 347.375 -0.045 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@@H](CNC(=O)[C@H]3C[C@H]4C[C@H]4C3)C2)nc1=O ZINC000958340035 722348706 /nfs/dbraw/zinc/34/87/06/722348706.db2.gz PVNSDRRZVUWHAS-CKIKVBCHSA-N -1 1 335.408 -0.937 20 0 EBADMM CCc1ccoc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC000958375465 722354336 /nfs/dbraw/zinc/35/43/36/722354336.db2.gz UKWZYSYECLJHTA-VXGBXAGGSA-N -1 1 349.391 -0.514 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(Cc3cc(=O)n4[n-]ccc4n3)C[C@H]21)c1cocn1 ZINC000958397018 722359897 /nfs/dbraw/zinc/35/98/97/722359897.db2.gz JMTBXPHCGUUKDD-HBYGRHMLSA-N -1 1 340.343 -0.129 20 0 EBADMM Cc1nn(C)cc1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000958422630 722363468 /nfs/dbraw/zinc/36/34/68/722363468.db2.gz DOKBOPGNNLUZNC-PJXYFTJBSA-N -1 1 331.380 -0.989 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c(C)[nH]1 ZINC000958444933 722367146 /nfs/dbraw/zinc/36/71/46/722367146.db2.gz UGQMNLSSRTVCBF-AAEUAGOBSA-N -1 1 348.407 -0.724 20 0 EBADMM CN(C(=O)[C@@H]1CCCO1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958473132 722373066 /nfs/dbraw/zinc/37/30/66/722373066.db2.gz XEWGFLGZICRZLL-DRZSPHRISA-N -1 1 335.360 -0.390 20 0 EBADMM CN(C(=O)C[C@@H]1CCCO1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958636682 722407033 /nfs/dbraw/zinc/40/70/33/722407033.db2.gz GZFZXDYOJCFDKL-OBJOEFQTSA-N -1 1 349.387 0.000 20 0 EBADMM CCn1cccc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC000958685621 722417433 /nfs/dbraw/zinc/41/74/33/722417433.db2.gz TVCVIHKQXBHOMG-YPMHNXCESA-N -1 1 348.407 -0.848 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)[C@H]2CCCOC2)C[C@@H]1O ZINC000958830316 722604193 /nfs/dbraw/zinc/60/41/93/722604193.db2.gz PMCUPAAHJZKEQZ-OBJOEFQTSA-N -1 1 349.387 -0.143 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)Cc2cc[nH]n2)C[C@@H]1O ZINC000958830483 722604491 /nfs/dbraw/zinc/60/44/91/722604491.db2.gz WMDBGUXZTINVMS-AAEUAGOBSA-N -1 1 345.359 -0.603 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)Cc2ccn[nH]2)C[C@@H]1O ZINC000958830483 722604493 /nfs/dbraw/zinc/60/44/93/722604493.db2.gz WMDBGUXZTINVMS-AAEUAGOBSA-N -1 1 345.359 -0.603 20 0 EBADMM Cc1c[nH]c(C(=O)N(C)[C@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)n1 ZINC000958856494 722622355 /nfs/dbraw/zinc/62/23/55/722622355.db2.gz CKYHPBKTMOWHDB-JQWIXIFHSA-N -1 1 345.359 -0.224 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)Cn2cnnn2)C1 ZINC000959062718 722684639 /nfs/dbraw/zinc/68/46/39/722684639.db2.gz ZOEJMLZLRKAMRN-GHMZBOCLSA-N -1 1 345.363 -0.559 20 0 EBADMM O=C(c1ncccc1[O-])N1CC2(C1)CCN(C(=O)[C@@H]1COC(=O)N1)C2 ZINC001018961973 728756759 /nfs/dbraw/zinc/75/67/59/728756759.db2.gz AAQBTICWWXVWCM-JTQLQIEISA-N -1 1 346.343 -0.430 20 0 EBADMM C[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1CNC(=O)C1CC1 ZINC000959523418 722735317 /nfs/dbraw/zinc/73/53/17/722735317.db2.gz PDVPFFNFVQYNAJ-KOLCDFICSA-N -1 1 344.375 -0.348 20 0 EBADMM CN1CCO[C@@H](C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)C1 ZINC000959935946 722776300 /nfs/dbraw/zinc/77/63/00/722776300.db2.gz DOBXRLNUFORBRV-NWDGAFQWSA-N -1 1 338.412 -0.688 20 0 EBADMM Cc1nnc(CC(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)o1 ZINC000959988756 722780890 /nfs/dbraw/zinc/78/08/90/722780890.db2.gz KWQVINZYANETKL-JTQLQIEISA-N -1 1 335.368 -0.085 20 0 EBADMM CN1C[C@@H](C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CC1=O ZINC000960023619 722783980 /nfs/dbraw/zinc/78/39/80/722783980.db2.gz HPBRJNJQZFNYEE-QWRGUYRKSA-N -1 1 336.396 -0.541 20 0 EBADMM Cn1ccc(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)cc1=O ZINC000960040789 722785427 /nfs/dbraw/zinc/78/54/27/722785427.db2.gz BMKSSPJISMVJOD-LBPRGKRZSA-N -1 1 346.391 -0.007 20 0 EBADMM C[C@H](C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1)S(C)(=O)=O ZINC000960045809 722785623 /nfs/dbraw/zinc/78/56/23/722785623.db2.gz KRIBIKJCEFDDNR-ZJUUUORDSA-N -1 1 345.425 -0.586 20 0 EBADMM O=C(C[C@@H]1CCC(=O)N1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000960163274 722801306 /nfs/dbraw/zinc/80/13/06/722801306.db2.gz OKXSMROQEPVDDU-QWRGUYRKSA-N -1 1 336.396 -0.350 20 0 EBADMM Cc1ncc(CC(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)o1 ZINC000960258730 722811122 /nfs/dbraw/zinc/81/11/22/722811122.db2.gz XCUGKDFMMKIVLY-YABSGUDNSA-N -1 1 332.364 -0.806 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)c1ccc(=O)[nH]n1 ZINC000960398042 722825521 /nfs/dbraw/zinc/82/55/21/722825521.db2.gz CNYSPMLQBGDRBG-SNVBAGLBSA-N -1 1 347.379 -0.659 20 0 EBADMM CN(Cc1nc(=O)n(C)[nH]1)C[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000960576506 722840888 /nfs/dbraw/zinc/84/08/88/722840888.db2.gz QUYVUOWVYLJCCH-LLVKDONJSA-N -1 1 346.391 -0.054 20 0 EBADMM Cc1noc(C)c1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC000960812700 722867656 /nfs/dbraw/zinc/86/76/56/722867656.db2.gz YNXAHCIVSOVZRV-URLYPYJESA-N -1 1 346.347 -0.252 20 0 EBADMM Cc1nn(C)cc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC000960837534 722871441 /nfs/dbraw/zinc/87/14/41/722871441.db2.gz LVDHMUOLQSKYAT-URLYPYJESA-N -1 1 345.363 -0.815 20 0 EBADMM Cc1c[nH]c(C(=O)N2CCC[C@H]2CN(C)Cc2nc(=O)n(C)[n-]2)n1 ZINC000960914926 722878748 /nfs/dbraw/zinc/87/87/48/722878748.db2.gz WGHBUBMMVTZUIC-NSHDSACASA-N -1 1 333.396 -0.123 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)c1ncccn1 ZINC000960947838 722881174 /nfs/dbraw/zinc/88/11/74/722881174.db2.gz AQXSOANRATTXOI-NSHDSACASA-N -1 1 331.380 -0.365 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)Cc1cncn1C ZINC000960979575 722883953 /nfs/dbraw/zinc/88/39/53/722883953.db2.gz PQNOZLQIEOKUSV-GFCCVEGCSA-N -1 1 347.423 -0.493 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)c1cncnc1 ZINC000961587854 723041408 /nfs/dbraw/zinc/04/14/08/723041408.db2.gz KWSNOONUBKXTHO-WUWHUORYSA-N -1 1 343.391 -0.752 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H]2Cc3ccccc32)nc1=O ZINC000961644171 723051528 /nfs/dbraw/zinc/05/15/28/723051528.db2.gz XUJFIZFWZUVLGL-NYTXWWLZSA-N -1 1 339.399 -0.005 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)n(C)n1 ZINC000961784244 723081568 /nfs/dbraw/zinc/08/15/68/723081568.db2.gz FPVVAJSTGPIOCJ-MFKMUULPSA-N -1 1 345.359 -0.556 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)nn1C ZINC000961790910 723084178 /nfs/dbraw/zinc/08/41/78/723084178.db2.gz GMLWOVNKJOXCJA-YPMHNXCESA-N -1 1 345.359 -0.556 20 0 EBADMM CCn1cc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)c(C)n1 ZINC000961914133 723115575 /nfs/dbraw/zinc/11/55/75/723115575.db2.gz LHAWIHLUYJYHOA-IMRBUKKESA-N -1 1 345.407 -0.507 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2coc(C3CC3)n2)nc1=O ZINC000961969891 723135903 /nfs/dbraw/zinc/13/59/03/723135903.db2.gz KITMGHAYJUQUDQ-IWIIMEHWSA-N -1 1 344.375 -0.166 20 0 EBADMM Cc1nonc1CNCC1CC(NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000962040225 723156212 /nfs/dbraw/zinc/15/62/12/723156212.db2.gz PXWFJMCLNCJOAU-UHFFFAOYSA-N -1 1 335.324 -0.728 20 0 EBADMM COc1coc(C(=O)NC2CC(CNCc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC000962080088 723168187 /nfs/dbraw/zinc/16/81/87/723168187.db2.gz HGJFDGFNXIVLOQ-UHFFFAOYSA-N -1 1 349.347 -0.230 20 0 EBADMM CCc1cc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)n(C)n1 ZINC000962120562 723175814 /nfs/dbraw/zinc/17/58/14/723175814.db2.gz KVUHLDJWYOXZQU-YABSGUDNSA-N -1 1 345.407 -0.736 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2cc(Cl)no2)nc1=O ZINC000962352689 723232214 /nfs/dbraw/zinc/23/22/14/723232214.db2.gz ANFDXSXYLMSOJJ-IIDWOEFGSA-N -1 1 338.755 -0.390 20 0 EBADMM Cn1nccc1C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962433905 723251457 /nfs/dbraw/zinc/25/14/57/723251457.db2.gz AGPMYASOQAXTJR-SKDRFNHKSA-N -1 1 331.332 -0.864 20 0 EBADMM CCn1cnc(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)c1 ZINC000962434838 723251984 /nfs/dbraw/zinc/25/19/84/723251984.db2.gz LSWHJSHBJMLTLZ-MFKMUULPSA-N -1 1 345.359 -0.381 20 0 EBADMM CCc1nc(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)co1 ZINC000962435351 723252525 /nfs/dbraw/zinc/25/25/25/723252525.db2.gz QHWWMCGKUYGRHR-SKDRFNHKSA-N -1 1 346.343 -0.047 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ccncc2)C[C@@H]1O)c1ncccc1[O-] ZINC000962435402 723252553 /nfs/dbraw/zinc/25/25/53/723252553.db2.gz RIRJLYHFMZSLSX-YPMHNXCESA-N -1 1 328.328 -0.203 20 0 EBADMM CO[C@H](C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1)C(C)C ZINC000962436920 723252926 /nfs/dbraw/zinc/25/29/26/723252926.db2.gz KRHDVRIDFMAPTJ-OSMZGAPFSA-N -1 1 337.376 -0.240 20 0 EBADMM Cc1occc(=O)c1OCC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019124934 728804742 /nfs/dbraw/zinc/80/47/42/728804742.db2.gz KZCCNNFJNBBIPF-JTQLQIEISA-N -1 1 349.347 -0.459 20 0 EBADMM O=C(Cc1cncnc1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000962548887 723282160 /nfs/dbraw/zinc/28/21/60/723282160.db2.gz NPBPVDRBARLAHY-YPMHNXCESA-N -1 1 343.343 -0.879 20 0 EBADMM NC(=O)CC(=O)N1CCC[C@@H]2[C@@H]1CCN2C(=O)c1ncccc1[O-] ZINC000962873111 723343549 /nfs/dbraw/zinc/34/35/49/723343549.db2.gz HEXFJLUCWZJDAN-MNOVXSKESA-N -1 1 332.360 -0.132 20 0 EBADMM NC(=O)CC(=O)N1CCC[C@H]2[C@@H]1CCN2C(=O)c1ncccc1[O-] ZINC000962873113 723343658 /nfs/dbraw/zinc/34/36/58/723343658.db2.gz HEXFJLUCWZJDAN-QWRGUYRKSA-N -1 1 332.360 -0.132 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1ncccc1[O-])[C@H]1CCC(=O)N1 ZINC000964138105 723830942 /nfs/dbraw/zinc/83/09/42/723830942.db2.gz UHNVDJLFUIAOJH-WDEREUQCSA-N -1 1 348.359 -0.977 20 0 EBADMM Cn1cnc(C(=O)N2CCOC[C@@H]2CNC(=O)c2ncccc2[O-])n1 ZINC000964157211 723843095 /nfs/dbraw/zinc/84/30/95/723843095.db2.gz YXXMHFKHEVCMHP-JTQLQIEISA-N -1 1 346.347 -0.813 20 0 EBADMM Cn1ccc(C(=O)NC[C@@H]2COCCN2C(=O)c2ncccc2[O-])n1 ZINC000964188575 723869599 /nfs/dbraw/zinc/86/95/99/723869599.db2.gz DWXYWYKDAZYZLY-LLVKDONJSA-N -1 1 345.359 -0.208 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1ncccc1[O-])[C@@H]1CCC(=O)N1 ZINC000964281092 723937664 /nfs/dbraw/zinc/93/76/64/723937664.db2.gz UHNVDJLFUIAOJH-MNOVXSKESA-N -1 1 348.359 -0.977 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1ncccc1[O-])c1ccnnc1 ZINC000964371922 724001266 /nfs/dbraw/zinc/00/12/66/724001266.db2.gz BSSYLIOLDDPUPP-GFCCVEGCSA-N -1 1 343.343 -0.152 20 0 EBADMM Cn1nnc(C(=O)NC[C@H]2CCCCN2C(=O)c2ncccc2[O-])n1 ZINC000964478080 724013812 /nfs/dbraw/zinc/01/38/12/724013812.db2.gz IUMHVZJRHDXLHE-SNVBAGLBSA-N -1 1 345.363 -0.265 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@@H](NC(=O)C2CC2)CC1 ZINC000964659005 724047189 /nfs/dbraw/zinc/04/71/89/724047189.db2.gz GTGYOLBQXBAMEB-CYBMUJFWSA-N -1 1 348.403 -0.166 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cnccn1 ZINC000964741378 724053431 /nfs/dbraw/zinc/05/34/31/724053431.db2.gz FXJBRLQRNDBZNV-ZYHUDNBSSA-N -1 1 331.380 -0.461 20 0 EBADMM CCC(=O)N1CCC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000965030154 724104946 /nfs/dbraw/zinc/10/49/46/724104946.db2.gz UYFRPJDDNZBKKC-SNVBAGLBSA-N -1 1 332.364 -0.062 20 0 EBADMM CCC(=O)N1CCC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000965030152 724105263 /nfs/dbraw/zinc/10/52/63/724105263.db2.gz UYFRPJDDNZBKKC-JTQLQIEISA-N -1 1 332.364 -0.062 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cn1cccn1 ZINC000965146603 724136038 /nfs/dbraw/zinc/13/60/38/724136038.db2.gz CROUDUVNMCGJIJ-NWDGAFQWSA-N -1 1 333.396 -0.668 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)Cn1cccn1 ZINC000965146605 724136304 /nfs/dbraw/zinc/13/63/04/724136304.db2.gz CROUDUVNMCGJIJ-RYUDHWBXSA-N -1 1 333.396 -0.668 20 0 EBADMM O=C(Cn1nnc2c1CCCC2)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019394262 728878042 /nfs/dbraw/zinc/87/80/42/728878042.db2.gz CHNUVWKTWBINJB-JTQLQIEISA-N -1 1 346.395 -0.629 20 0 EBADMM COCC(=O)N1CC2(C1)CC[C@@H](CNC(=O)c1ncccc1[O-])O2 ZINC000965537926 724288966 /nfs/dbraw/zinc/28/89/66/724288966.db2.gz PNHIPWVVIBTNQI-NSHDSACASA-N -1 1 335.360 -0.077 20 0 EBADMM O=C(N[C@H]1COC2(CN(C(=O)c3cn[nH]n3)C2)C1)c1ncccc1[O-] ZINC000965673622 724357392 /nfs/dbraw/zinc/35/73/92/724357392.db2.gz HAFNCAYWQMTTGR-SECBINFHSA-N -1 1 344.331 -0.681 20 0 EBADMM O=C(N[C@@H]1COC2(CN(C(=O)c3cnon3)C2)C1)c1ncccc1[O-] ZINC000965685756 724365534 /nfs/dbraw/zinc/36/55/34/724365534.db2.gz UQNYPMNEQQQSGD-VIFPVBQESA-N -1 1 345.315 -0.416 20 0 EBADMM Cc1nnccc1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1C ZINC000967257937 724857231 /nfs/dbraw/zinc/85/72/31/724857231.db2.gz OBAUUGCSGNZQAM-GWCFXTLKSA-N -1 1 345.407 -0.153 20 0 EBADMM Cc1n[nH]cc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1C ZINC000967629619 724887575 /nfs/dbraw/zinc/88/75/75/724887575.db2.gz QPWJSYQTVOWPOG-JOYOIKCWSA-N -1 1 333.396 -0.220 20 0 EBADMM CCN(C(=O)C1CCC1)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000967715928 724898038 /nfs/dbraw/zinc/89/80/38/724898038.db2.gz FSBVUNVAHNTDRU-UHFFFAOYSA-N -1 1 344.375 -0.109 20 0 EBADMM CCN(C(=O)[C@@H]1C[C@H]1C)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000967752829 724902764 /nfs/dbraw/zinc/90/27/64/724902764.db2.gz CTCUNXFIRDNBKT-DGCLKSJQSA-N -1 1 348.403 -0.358 20 0 EBADMM CC(C)(C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1)N1CCOCC1 ZINC001019518384 728906646 /nfs/dbraw/zinc/90/66/46/728906646.db2.gz MJYQRGOPPXNQAX-NSHDSACASA-N -1 1 338.412 -0.688 20 0 EBADMM CCN(C(=O)[C@H]1COCCN1C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000967887798 724925378 /nfs/dbraw/zinc/92/53/78/724925378.db2.gz NKEVJHONNKMLGD-CYBMUJFWSA-N -1 1 348.403 -0.209 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CC[C@H]2C(N)=O)C1 ZINC000967909690 724929700 /nfs/dbraw/zinc/92/97/00/724929700.db2.gz ZDKPQDADTFUIOF-VXGBXAGGSA-N -1 1 346.387 -0.028 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H](NCc2ccon2)C1 ZINC001019522811 728907522 /nfs/dbraw/zinc/90/75/22/728907522.db2.gz JQUOJGCVUHILMJ-LBPRGKRZSA-N -1 1 333.348 -0.695 20 0 EBADMM C[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)C1=NC(=O)N(C)C1 ZINC000968176245 724973736 /nfs/dbraw/zinc/97/37/36/724973736.db2.gz OKCOOPDZCYAUFA-IUCAKERBSA-N -1 1 335.368 -0.410 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cn(C)c(=O)[nH]1 ZINC000968230847 724986715 /nfs/dbraw/zinc/98/67/15/724986715.db2.gz GCQKUCDRRYWKAA-VHSXEESVSA-N -1 1 349.395 -0.812 20 0 EBADMM Cc1ccnn1CC(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1C ZINC000968369562 725056239 /nfs/dbraw/zinc/05/62/39/725056239.db2.gz MPBVBWWBKPRGGA-YPMHNXCESA-N -1 1 347.423 -0.360 20 0 EBADMM C[C@@H]1CCN(CCCO)C[C@H]1NC(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC000968431965 725062938 /nfs/dbraw/zinc/06/29/38/725062938.db2.gz SQASXOYWRSDFLC-DGCLKSJQSA-N -1 1 348.407 -0.720 20 0 EBADMM CCn1cnc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)c1 ZINC000968552000 725080182 /nfs/dbraw/zinc/08/01/82/725080182.db2.gz ARHFSKMSELQWBD-NEPJUHHUSA-N -1 1 347.423 -0.035 20 0 EBADMM CCn1cnc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)c1 ZINC000968552004 725080190 /nfs/dbraw/zinc/08/01/90/725080190.db2.gz ARHFSKMSELQWBD-VXGBXAGGSA-N -1 1 347.423 -0.035 20 0 EBADMM O=C(c1ccnn1CC(F)F)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000968671136 725099481 /nfs/dbraw/zinc/09/94/81/725099481.db2.gz YNCFVRQEXUCZHN-MRVPVSSYSA-N -1 1 341.322 -0.024 20 0 EBADMM Cc1nonc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1C ZINC000968706149 725106319 /nfs/dbraw/zinc/10/63/19/725106319.db2.gz LGHCFSMUINZMAE-PSASIEDQSA-N -1 1 335.368 -0.560 20 0 EBADMM Cc1nc[nH]c1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1C ZINC000968705448 725106471 /nfs/dbraw/zinc/10/64/71/725106471.db2.gz CCAKZAOTJRPJOG-MWLCHTKSSA-N -1 1 333.396 -0.220 20 0 EBADMM COc1nc(C)ncc1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000968864273 725122064 /nfs/dbraw/zinc/12/20/64/725122064.db2.gz GRXLVNDIZWXJGV-SECBINFHSA-N -1 1 333.352 -0.378 20 0 EBADMM O=C(c1cc2n(n1)CCCO2)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969298095 725169013 /nfs/dbraw/zinc/16/90/13/725169013.db2.gz RWHLZLUHBIPHFU-SECBINFHSA-N -1 1 333.352 -0.506 20 0 EBADMM C[C@H](NC(=O)c1cc[nH]c(=O)c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969477194 725184043 /nfs/dbraw/zinc/18/40/43/725184043.db2.gz WPDMLQQNBDFPSS-VIFPVBQESA-N -1 1 332.364 -0.541 20 0 EBADMM Cc1noc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC000969922594 725228629 /nfs/dbraw/zinc/22/86/29/725228629.db2.gz NDKRJVFRGVWDMO-JTQLQIEISA-N -1 1 334.380 -0.036 20 0 EBADMM C[C@]1(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)CCOC1 ZINC000970098684 725250466 /nfs/dbraw/zinc/25/04/66/725250466.db2.gz CXTUWRCPFHSATN-XAGWURHQSA-N -1 1 335.360 -0.485 20 0 EBADMM COc1cc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC000970120016 725253188 /nfs/dbraw/zinc/25/31/88/725253188.db2.gz IVNIJUMUPAWIPK-VIFPVBQESA-N -1 1 349.395 -0.899 20 0 EBADMM C[C@@H](NC(=O)[C@@H]1CCO[C@H]1C1CC1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970192455 725260194 /nfs/dbraw/zinc/26/01/94/725260194.db2.gz BRPGRBKYCBNAHC-YVLXSGLVSA-N -1 1 349.435 -0.140 20 0 EBADMM O=C(c1cnc(C2CC2)[nH]c1=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970199042 725260890 /nfs/dbraw/zinc/26/08/90/725260890.db2.gz PIQCQVAVBKSLFZ-SECBINFHSA-N -1 1 345.363 -0.112 20 0 EBADMM Cc1conc1CN[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000970278416 725272433 /nfs/dbraw/zinc/27/24/33/725272433.db2.gz VQEPCXBTIQDSPO-GFCCVEGCSA-N -1 1 347.375 -0.386 20 0 EBADMM Cc1nc2ccnn2cc1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970375805 725285261 /nfs/dbraw/zinc/28/52/61/725285261.db2.gz RBTKPTJIBZQJKJ-SNVBAGLBSA-N -1 1 342.363 -0.134 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CC[C@@H](NCc2ncccn2)C1 ZINC000970475304 725294997 /nfs/dbraw/zinc/29/49/97/725294997.db2.gz OUKVVEQFYWBRIP-SNVBAGLBSA-N -1 1 340.347 -0.788 20 0 EBADMM CCn1cc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c(C)n1 ZINC000970509671 725296774 /nfs/dbraw/zinc/29/67/74/725296774.db2.gz SSDUKHMRPBAGBG-SNVBAGLBSA-N -1 1 347.423 -0.116 20 0 EBADMM CCn1ccc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000970529117 725297763 /nfs/dbraw/zinc/29/77/63/725297763.db2.gz MHEWAQSRTOCOCX-JTQLQIEISA-N -1 1 333.396 -0.425 20 0 EBADMM Cc1nc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c(C)o1 ZINC000970639305 725309385 /nfs/dbraw/zinc/30/93/85/725309385.db2.gz FOAQLMFRMFURDP-MRVPVSSYSA-N -1 1 334.380 -0.036 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCCO1)c1cn[nH]n1 ZINC001019618977 728942727 /nfs/dbraw/zinc/94/27/27/728942727.db2.gz JLBLMCGLHDOCDJ-SNVBAGLBSA-N -1 1 346.347 -0.434 20 0 EBADMM CCc1cc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC000970980209 725346957 /nfs/dbraw/zinc/34/69/57/725346957.db2.gz AMKLSPMOJLSWDJ-SNVBAGLBSA-N -1 1 347.423 -0.345 20 0 EBADMM C[C@@H](NC(=O)[C@H]1CC12CCOCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970996837 725347533 /nfs/dbraw/zinc/34/75/33/725347533.db2.gz OMEDEOJKXSGRSE-DGCLKSJQSA-N -1 1 349.435 -0.138 20 0 EBADMM O=C(Cn1cnnn1)N1C[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000971253992 725356340 /nfs/dbraw/zinc/35/63/40/725356340.db2.gz YFKJTPLXBAYESP-GHMZBOCLSA-N -1 1 343.347 -0.853 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)Cc2cccnc2)C1 ZINC000971345066 725359953 /nfs/dbraw/zinc/35/99/53/725359953.db2.gz BDMXLFQQPSPZKM-CYBMUJFWSA-N -1 1 330.392 -0.221 20 0 EBADMM O=C(c1ncccc1[O-])N1CC[C@@H]2CN(C(=O)[C@@H]3COCCO3)[C@@H]2C1 ZINC000971398765 725362985 /nfs/dbraw/zinc/36/29/85/725362985.db2.gz RZAHGXJBQIJQLZ-BZPMIXESSA-N -1 1 347.371 -0.125 20 0 EBADMM Cc1ncn(C)c1C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000971735217 725434289 /nfs/dbraw/zinc/43/42/89/725434289.db2.gz WRCIWAWAPSLMLZ-LLVKDONJSA-N -1 1 333.396 -0.503 20 0 EBADMM CCc1c(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC000971856060 725442482 /nfs/dbraw/zinc/44/24/82/725442482.db2.gz KGPGGBIYGMTPEC-LLVKDONJSA-N -1 1 347.423 -0.249 20 0 EBADMM Cc1cc(C)n(CC(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000971899269 725446827 /nfs/dbraw/zinc/44/68/27/725446827.db2.gz SUVWRDDXFNMPCN-CYBMUJFWSA-N -1 1 347.423 -0.345 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)CCc2cncnc2)C1 ZINC000971941303 725449783 /nfs/dbraw/zinc/44/97/83/725449783.db2.gz SUGWVZMHANPMRU-ZDUSSCGKSA-N -1 1 345.407 -0.436 20 0 EBADMM CN(Cc1cnn(C)c1)[C@H]1CCN(C(=O)c2cnc([O-])n(C)c2=O)C1 ZINC000972066858 725460935 /nfs/dbraw/zinc/46/09/35/725460935.db2.gz ICOBFNYLOODJPK-LBPRGKRZSA-N -1 1 346.391 -0.434 20 0 EBADMM O=C(N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)C1(F)CCOCC1 ZINC001051648111 735290150 /nfs/dbraw/zinc/29/01/50/735290150.db2.gz HVVJVHHYFHMYGM-JTQLQIEISA-N -1 1 343.359 -0.654 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)[C@@]2(F)CCOC2)C1 ZINC000972171939 725473350 /nfs/dbraw/zinc/47/33/50/725473350.db2.gz JTGREUUMKBUUGP-IINYFYTJSA-N -1 1 327.360 -0.730 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@]3(CCN(C(=O)CC4CC4)C3)C2)nc1=O ZINC000972212423 725479211 /nfs/dbraw/zinc/47/92/11/725479211.db2.gz VLXVYFKXHBFJOJ-INIZCTEOSA-N -1 1 335.408 -0.288 20 0 EBADMM Cn1[n-]c(CN2CCO[C@]3(CCN(C(=O)/C=C\C4CC4)C3)C2)nc1=O ZINC000972317241 725498843 /nfs/dbraw/zinc/49/88/43/725498843.db2.gz SPPZUXLPQLWLPD-VGOKWCNXSA-N -1 1 347.419 -0.122 20 0 EBADMM CC1CC(C(=O)N2CC[C@]3(C2)CN(Cc2nc(=O)n(C)[n-]2)CCO3)C1 ZINC000972331579 725500003 /nfs/dbraw/zinc/50/00/03/725500003.db2.gz GGKJXEPETZGMEA-QWQCLYJRSA-N -1 1 349.435 -0.042 20 0 EBADMM C[C@H]1CCCN(C(=O)C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000991637375 725502585 /nfs/dbraw/zinc/50/25/85/725502585.db2.gz XGGXPCPXTPNCND-NSHDSACASA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C)C(=O)CN1CCO[C@@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000972354481 725504989 /nfs/dbraw/zinc/50/49/89/725504989.db2.gz BIOSKUKPJFWPFZ-KRWDZBQOSA-N -1 1 348.403 -0.208 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1cnco1 ZINC000991641588 725505506 /nfs/dbraw/zinc/50/55/06/725505506.db2.gz KYUOLRDKIGUHHA-ONGXEEELSA-N -1 1 332.316 -0.219 20 0 EBADMM Cn1[n-]c(CN2CCO[C@]3(CCN(C(=O)C4(C)CC4)C3)C2)nc1=O ZINC000972398424 725515294 /nfs/dbraw/zinc/51/52/94/725515294.db2.gz WVUGUTJYVUBMGP-MRXNPFEDSA-N -1 1 335.408 -0.288 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)[C@H]1[C@@H]2COC[C@@H]21 ZINC000991685822 725541615 /nfs/dbraw/zinc/54/16/15/725541615.db2.gz ZSHWRMMDIDIFLY-FUVSOYRFSA-N -1 1 347.371 -0.629 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)CCc2ncccn2)C1 ZINC000972592704 725556197 /nfs/dbraw/zinc/55/61/97/725556197.db2.gz NONQTEKDRSHYJX-LBPRGKRZSA-N -1 1 345.407 -0.436 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)[C@]2(C)CCCOC2)C1 ZINC000972627382 725564782 /nfs/dbraw/zinc/56/47/82/725564782.db2.gz GIDMBZHOTSMKFJ-BLLLJJGKSA-N -1 1 337.424 -0.042 20 0 EBADMM C[C@@H](C(N)=O)N(C)[C@@H]1CCN(C(=O)c2ccc3oc(=O)nc-3[n-]2)C1 ZINC000972720579 725587839 /nfs/dbraw/zinc/58/78/39/725587839.db2.gz LHDFSWVZVHUETI-DTWKUNHWSA-N -1 1 333.348 -0.052 20 0 EBADMM Cc1c(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)cnn1C ZINC000972812699 725595434 /nfs/dbraw/zinc/59/54/34/725595434.db2.gz XXMTXCRAOBKMLQ-LBPRGKRZSA-N -1 1 347.423 -0.209 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@H](NC(=O)[C@@H]2C[C@@H]2C)C1 ZINC000972921955 725602950 /nfs/dbraw/zinc/60/29/50/725602950.db2.gz LVELCVAWDCFPLS-XSPKLOCKSA-N -1 1 336.348 -0.071 20 0 EBADMM O=C(N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)c1ccc(=O)[nH]n1 ZINC000972929896 725603492 /nfs/dbraw/zinc/60/34/92/725603492.db2.gz JAHTVAAFEKXNRF-KYZUINATSA-N -1 1 329.316 -0.026 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1n[nH]cc1F ZINC000991753396 725604961 /nfs/dbraw/zinc/60/49/61/725604961.db2.gz LEZBHRXHQRPKEJ-ONGXEEELSA-N -1 1 349.322 -0.345 20 0 EBADMM CCn1cc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)cn1 ZINC000972968944 725613618 /nfs/dbraw/zinc/61/36/18/725613618.db2.gz BBZPOSVSGYBXJF-LBPRGKRZSA-N -1 1 347.423 -0.035 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2C[C@H](NC(=O)Cn3c(=O)[n-][nH]c3=O)C2)c1C ZINC000973111063 725643841 /nfs/dbraw/zinc/64/38/41/725643841.db2.gz LVTCMZZSSVNBDI-KYZUINATSA-N -1 1 349.351 -0.894 20 0 EBADMM CN1CCOC[C@@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973188094 725662307 /nfs/dbraw/zinc/66/23/07/725662307.db2.gz IOEXXBUGOHDXCN-IJLUTSLNSA-N -1 1 334.376 -0.505 20 0 EBADMM NC(=O)[C@@H]1CC[C@@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973198854 725667937 /nfs/dbraw/zinc/66/79/37/725667937.db2.gz LDFHCFZTYNCRCJ-DBIOUOCHSA-N -1 1 332.360 -0.324 20 0 EBADMM CN1C(=O)CC[C@@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973200016 725668186 /nfs/dbraw/zinc/66/81/86/725668186.db2.gz VZALSYKSOFQKHI-GMTAPVOTSA-N -1 1 332.360 -0.215 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)c2nc(C)c[nH]2)C1 ZINC000991994614 725696735 /nfs/dbraw/zinc/69/67/35/725696735.db2.gz QJLVEGMDGBZBOB-UHFFFAOYSA-N -1 1 348.319 -0.818 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@H](NC(=O)c2cnco2)C1 ZINC000973464973 725755767 /nfs/dbraw/zinc/75/57/67/725755767.db2.gz SUNIBMFECOIDKH-LJGSYFOKSA-N -1 1 349.303 -0.425 20 0 EBADMM COCc1nocc1C(=O)NCC1CC(NC(=O)c2cnn[nH]2)C1 ZINC000992232867 725757306 /nfs/dbraw/zinc/75/73/06/725757306.db2.gz IWSFRMIXWWHMMT-UHFFFAOYSA-N -1 1 334.336 -0.123 20 0 EBADMM Cn1ncc(C(=O)N[C@@]23CCC[C@@H]2N(Cc2nc(=O)n(C)[n-]2)CC3)n1 ZINC000992324937 725778907 /nfs/dbraw/zinc/77/89/07/725778907.db2.gz JRXJINPWAAUKHY-XHDPSFHLSA-N -1 1 346.395 -0.836 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)c4cnon4)CCC[C@@H]23)nc1=O ZINC000992344497 725783442 /nfs/dbraw/zinc/78/34/42/725783442.db2.gz IOXBJOZGRCSLRA-YGRLFVJLSA-N -1 1 333.352 -0.582 20 0 EBADMM NC(=O)C(=O)N1CC[C@@H]2[C@@H](CCCN2C(=O)c2ncccc2[O-])C1 ZINC000973730054 725793975 /nfs/dbraw/zinc/79/39/75/725793975.db2.gz ZGQNRPYNICSWRS-WDEREUQCSA-N -1 1 332.360 -0.274 20 0 EBADMM O=C(N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)c1ccc(=O)[nH]n1 ZINC000973835571 725806817 /nfs/dbraw/zinc/80/68/17/725806817.db2.gz JAHTVAAFEKXNRF-DTORHVGOSA-N -1 1 329.316 -0.026 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2cnns2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993091466 725854331 /nfs/dbraw/zinc/85/43/31/725854331.db2.gz KNGNOWXNDHAXMN-IUCAKERBSA-N -1 1 337.409 -0.257 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)ncn1 ZINC000993212257 725862868 /nfs/dbraw/zinc/86/28/68/725862868.db2.gz YOGMAWHTQWTHDU-NEPJUHHUSA-N -1 1 345.407 -0.010 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2cnn(C)c2N)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993236627 725864857 /nfs/dbraw/zinc/86/48/57/725864857.db2.gz ULTJLVXYXCXOSX-ONGXEEELSA-N -1 1 348.411 -0.793 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)cnn1 ZINC000993264020 725866698 /nfs/dbraw/zinc/86/66/98/725866698.db2.gz WLRXUMKXBKOGEJ-AAEUAGOBSA-N -1 1 345.407 -0.010 20 0 EBADMM CCn1nncc1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC000993300647 725870551 /nfs/dbraw/zinc/87/05/51/725870551.db2.gz XOIJUTIHITYTEX-GHMZBOCLSA-N -1 1 348.411 -0.497 20 0 EBADMM Cc1nnc(CC(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)o1 ZINC000993328639 725873223 /nfs/dbraw/zinc/87/32/23/725873223.db2.gz NKZYNVZSQLZTLV-KOLCDFICSA-N -1 1 349.395 -0.488 20 0 EBADMM Cc1ncn(C)c1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC000993458470 725890947 /nfs/dbraw/zinc/89/09/47/725890947.db2.gz SXWMXIPFKAXKDW-NEPJUHHUSA-N -1 1 347.423 -0.067 20 0 EBADMM Cc1nc(CN2CCC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)[C@@H]2C)n[nH]1 ZINC000993548196 725901986 /nfs/dbraw/zinc/90/19/86/725901986.db2.gz MANFWNGZMPELEL-IONNQARKSA-N -1 1 348.367 -0.508 20 0 EBADMM O=C(N[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1cc[nH]c1 ZINC000974221405 725908043 /nfs/dbraw/zinc/90/80/43/725908043.db2.gz VTVZEIHRKSBPDU-AOOOYVTPSA-N -1 1 341.331 -0.564 20 0 EBADMM Cc1nn[nH]c1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC000993664166 725925485 /nfs/dbraw/zinc/92/54/85/725925485.db2.gz DKAOSUNDLLTEQH-NXEZZACHSA-N -1 1 334.384 -0.682 20 0 EBADMM Cc1cnn(C)c1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC000993699083 725929184 /nfs/dbraw/zinc/92/91/84/725929184.db2.gz BSHXKVUDRIXKDV-NEPJUHHUSA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@H]1[C@H](NC(=O)C2=NC(=O)N(C)C2)CCCN1Cc1n[nH]c(=O)[n-]1 ZINC000993788762 725942470 /nfs/dbraw/zinc/94/24/70/725942470.db2.gz LCQJLOFHKVLRDB-DTWKUNHWSA-N -1 1 335.368 -0.268 20 0 EBADMM C[C@H]1C[C@H]1CC(=O)N[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000974324863 725945429 /nfs/dbraw/zinc/94/54/29/725945429.db2.gz PPHXBRYIFKYQTN-XWLWVQCSSA-N -1 1 344.375 -0.159 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@@H](CNC(=O)C2CC2)C1 ZINC000974416269 725964860 /nfs/dbraw/zinc/96/48/60/725964860.db2.gz OETWKYZNOPNBAD-LBPRGKRZSA-N -1 1 348.403 -0.309 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1ccsn1 ZINC000994454055 726003593 /nfs/dbraw/zinc/00/35/93/726003593.db2.gz HJSVDBXDAIMRCT-UHFFFAOYSA-N -1 1 345.344 -0.872 20 0 EBADMM Cc1nnc(CC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)o1 ZINC000974553672 726038315 /nfs/dbraw/zinc/03/83/15/726038315.db2.gz RBVIRFMSGHGFDF-SNVBAGLBSA-N -1 1 349.395 -0.631 20 0 EBADMM Cn1ccc(CC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)n1 ZINC000974577531 726040184 /nfs/dbraw/zinc/04/01/84/726040184.db2.gz OMJSZIWHWQELHH-GFCCVEGCSA-N -1 1 347.423 -0.589 20 0 EBADMM COC1CC(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)C1 ZINC000974719110 726057132 /nfs/dbraw/zinc/05/71/32/726057132.db2.gz RHRBSHRYLGBXQW-MCIGGMRASA-N -1 1 337.424 -0.140 20 0 EBADMM NC(=O)C(=O)N1CCC(OC2CN(C(=O)c3ncccc3[O-])C2)CC1 ZINC000994818916 726091853 /nfs/dbraw/zinc/09/18/53/726091853.db2.gz RNLZNNWEALMBBV-UHFFFAOYSA-N -1 1 348.359 -0.896 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CC[C@H](C[NH2+]Cc3nc(=O)n(C)[n-]3)C2)c1[O-] ZINC000994881116 726108285 /nfs/dbraw/zinc/10/82/85/726108285.db2.gz OAPAMLOEMGFZOH-VHSXEESVSA-N -1 1 349.395 -0.466 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)NC[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000975535580 726172454 /nfs/dbraw/zinc/17/24/54/726172454.db2.gz BJSLCQCCDIPWRH-TUAOUCFPSA-N -1 1 346.387 -0.123 20 0 EBADMM CN1CCO[C@H](C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)C1 ZINC000995596392 726278248 /nfs/dbraw/zinc/27/82/48/726278248.db2.gz HCBFAFBZKNJDCS-WDEREUQCSA-N -1 1 338.412 -0.833 20 0 EBADMM Cn1c(C(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ncccc2[O-])c[nH]c1=O ZINC000976394542 726290898 /nfs/dbraw/zinc/29/08/98/726290898.db2.gz OHBQEKDOJRXAAT-GDGBQDQQSA-N -1 1 343.343 -0.273 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)[C@H]3CCNC(=O)C3)C[C@H]21)c1ncccc1[O-] ZINC000976406765 726295105 /nfs/dbraw/zinc/29/51/05/726295105.db2.gz YDCOCRNGSKJIIZ-KZWBYHQPSA-N -1 1 344.371 -0.500 20 0 EBADMM CN1CCCC[C@@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1=O ZINC000996382635 726377665 /nfs/dbraw/zinc/37/76/65/726377665.db2.gz FJDCVNWVOYWQLU-LBPRGKRZSA-N -1 1 346.387 -0.014 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)CSC)C1 ZINC000996395632 726384284 /nfs/dbraw/zinc/38/42/84/726384284.db2.gz CMHFYVCMUAWVHF-UHFFFAOYSA-N -1 1 328.350 -0.800 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)C2CC(C)C2)C1 ZINC000996396069 726384760 /nfs/dbraw/zinc/38/47/60/726384760.db2.gz GKDMZBBYZPBAPE-UHFFFAOYSA-N -1 1 336.348 -0.117 20 0 EBADMM CC1(C)CC(C(=O)N2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)C1 ZINC000996404388 726388275 /nfs/dbraw/zinc/38/82/75/726388275.db2.gz FJIACEHSTAFCTM-UHFFFAOYSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(NC1CN(C(=O)[C@@H]2CC23CCC3)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996408271 726388723 /nfs/dbraw/zinc/38/87/23/726388723.db2.gz MKJNZYDGOCLEDI-JTQLQIEISA-N -1 1 342.359 -0.452 20 0 EBADMM CC1CC(C(=O)N2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)C1 ZINC000996410219 726389700 /nfs/dbraw/zinc/38/97/00/726389700.db2.gz ZZFPPFAOACPJFY-UHFFFAOYSA-N -1 1 330.348 -0.596 20 0 EBADMM Cc1cnc(CNC2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)CC2)nc1 ZINC000996764305 726426015 /nfs/dbraw/zinc/42/60/15/726426015.db2.gz VVOXXRPRRBBKGU-UHFFFAOYSA-N -1 1 345.363 -0.224 20 0 EBADMM O=C(NC1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1)[C@@H]1CCNC1=O ZINC000997428439 726452137 /nfs/dbraw/zinc/45/21/37/726452137.db2.gz XOJSDDJWCMJNGU-SNVBAGLBSA-N -1 1 345.359 -0.864 20 0 EBADMM O=C(NC1CN(C(=O)c2ccc3oc(=O)nc-3[n-]2)C1)c1ncn[nH]1 ZINC000997810489 726465458 /nfs/dbraw/zinc/46/54/58/726465458.db2.gz URQWDRCTRPBBIS-UHFFFAOYSA-N -1 1 329.276 -0.699 20 0 EBADMM O=C(NC1CN(C(=O)c2ccc3oc(=O)nc-3[n-]2)C1)c1nc[nH]n1 ZINC000997810489 726465459 /nfs/dbraw/zinc/46/54/59/726465459.db2.gz URQWDRCTRPBBIS-UHFFFAOYSA-N -1 1 329.276 -0.699 20 0 EBADMM O=C(CCc1ccccn1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051751806 735372343 /nfs/dbraw/zinc/37/23/43/735372343.db2.gz ZQSDIDQDHYLDJJ-CYBMUJFWSA-N -1 1 346.391 -0.145 20 0 EBADMM C[C@@]1(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)CCC(=O)NC1 ZINC000998028516 726473511 /nfs/dbraw/zinc/47/35/11/726473511.db2.gz HDOOBJHMPQSCHR-MRXNPFEDSA-N -1 1 332.360 -0.356 20 0 EBADMM CN(C(=O)CC1CS(=O)(=O)C1)C1CC(NCc2n[nH]c(=O)[n-]2)C1 ZINC000999007832 726504389 /nfs/dbraw/zinc/50/43/89/726504389.db2.gz SFRUURDQBQKLEE-UHFFFAOYSA-N -1 1 343.409 -0.976 20 0 EBADMM CN(C(=O)c1cnc([O-])n(C)c1=O)C1CC(NCc2nncn2C)C1 ZINC000999217278 726511492 /nfs/dbraw/zinc/51/14/92/726511492.db2.gz IIECRDXJAAZIBG-UHFFFAOYSA-N -1 1 347.379 -0.993 20 0 EBADMM Cc1c(CC(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cnn1C ZINC000999328269 726514494 /nfs/dbraw/zinc/51/44/94/726514494.db2.gz PWPWKOTWDPGSHR-GFCCVEGCSA-N -1 1 333.396 -0.124 20 0 EBADMM O=C(c1cnc2n1CCOC2)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999418266 726519281 /nfs/dbraw/zinc/51/92/81/726519281.db2.gz DAIVIAXQJRUKDQ-SNVBAGLBSA-N -1 1 347.379 -0.369 20 0 EBADMM Cc1cc(CN[C@H]2CCCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)on1 ZINC000999605833 726524480 /nfs/dbraw/zinc/52/44/80/726524480.db2.gz BYBUIEHQRHBBCZ-VIFPVBQESA-N -1 1 334.336 -0.026 20 0 EBADMM Cn1cc(C(N)=O)cc1C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999615196 726524911 /nfs/dbraw/zinc/52/49/11/726524911.db2.gz QJHQAXCHPHSGSJ-SNVBAGLBSA-N -1 1 347.379 -0.658 20 0 EBADMM Cc1cc(CN[C@@H]2CCCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)ncn1 ZINC000999621784 726525105 /nfs/dbraw/zinc/52/51/05/726525105.db2.gz RLIDYNYZESAHKI-SNVBAGLBSA-N -1 1 345.363 -0.224 20 0 EBADMM Cc1cc(CC(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)n(C)n1 ZINC000999702613 726528734 /nfs/dbraw/zinc/52/87/34/726528734.db2.gz NIWUMAFPRCQRDY-LLVKDONJSA-N -1 1 333.396 -0.124 20 0 EBADMM Cc1cc(CC(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)n(C)n1 ZINC000999702617 726528736 /nfs/dbraw/zinc/52/87/36/726528736.db2.gz NIWUMAFPRCQRDY-NSHDSACASA-N -1 1 333.396 -0.124 20 0 EBADMM CO[C@H](C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)c1cnn(C)c1 ZINC000999811994 726533625 /nfs/dbraw/zinc/53/36/25/726533625.db2.gz GWJJRHDWXUSBTI-YPMHNXCESA-N -1 1 349.395 -0.288 20 0 EBADMM O=C([C@H]1CCNC(=O)CC1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999816383 726533809 /nfs/dbraw/zinc/53/38/09/726533809.db2.gz YYHFNBDLUJWFET-MNOVXSKESA-N -1 1 336.396 -0.493 20 0 EBADMM CN(C)C(=O)[C@@H]1C[C@@H]1C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000204475 726559468 /nfs/dbraw/zinc/55/94/68/726559468.db2.gz GZKLPISJUMZVKC-MXWKQRLJSA-N -1 1 336.396 -0.685 20 0 EBADMM NC(=O)N1CCCC[C@@H]1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC001000216446 726559843 /nfs/dbraw/zinc/55/98/43/726559843.db2.gz FMRZTYZMHXLGRI-LLVKDONJSA-N -1 1 347.375 -0.339 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001000291870 726563261 /nfs/dbraw/zinc/56/32/61/726563261.db2.gz HNMNUQBPBGIGDU-ZYHUDNBSSA-N -1 1 334.376 -0.700 20 0 EBADMM C[C@@H](C(=O)NC1CN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCC(=O)N1 ZINC001000348673 726564749 /nfs/dbraw/zinc/56/47/49/726564749.db2.gz VJUDZIHLODQUPP-KOLCDFICSA-N -1 1 332.360 -0.358 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)[C@@H]1CC12CC2 ZINC001000498143 726568805 /nfs/dbraw/zinc/56/88/05/726568805.db2.gz XLSYZKBUCYBMGK-VIFPVBQESA-N -1 1 328.332 -0.842 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)[C@]34C[C@H]3COC4)CC2)nc1=O ZINC001000709878 726575745 /nfs/dbraw/zinc/57/57/45/726575745.db2.gz KYAMBJNUCQDUOI-LRDDRELGSA-N -1 1 333.392 -0.607 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(CNC(=O)[C@H]2C[C@H]2C)C1 ZINC001000768922 726577125 /nfs/dbraw/zinc/57/71/25/726577125.db2.gz CKZVJADBYVIOGM-APPZFPTMSA-N -1 1 336.348 -0.259 20 0 EBADMM COCCn1cc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001000802810 726578727 /nfs/dbraw/zinc/57/87/27/726578727.db2.gz BYILGAVVYGSCAO-LBPRGKRZSA-N -1 1 349.395 -0.252 20 0 EBADMM C[C@@H]1C[C@H](C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)CO1 ZINC001000863503 726581293 /nfs/dbraw/zinc/58/12/93/726581293.db2.gz SWJZTLKZSAKMMO-YPMHNXCESA-N -1 1 335.408 -0.218 20 0 EBADMM Cn1cncc1C(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001000912133 726583667 /nfs/dbraw/zinc/58/36/67/726583667.db2.gz YCRSBDKEZNVVIN-UHFFFAOYSA-N -1 1 331.380 -0.596 20 0 EBADMM CCn1nc(C)c(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001001079395 726591190 /nfs/dbraw/zinc/59/11/90/726591190.db2.gz OEOJWVNWXAIRHI-JTQLQIEISA-N -1 1 334.384 -0.175 20 0 EBADMM C[C@@H]1CC[C@H](C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)O1 ZINC001001168087 726595060 /nfs/dbraw/zinc/59/50/60/726595060.db2.gz FBZTVVRLAFBAEG-DGCLKSJQSA-N -1 1 335.408 -0.076 20 0 EBADMM O=C(Cn1cnnn1)N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-] ZINC001001250407 726598909 /nfs/dbraw/zinc/59/89/09/726598909.db2.gz RROKSWUPJYNWLM-MXWKQRLJSA-N -1 1 343.347 -0.664 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)c3cccc(=O)[nH]3)CC2)nc1=O ZINC001001283353 726600528 /nfs/dbraw/zinc/60/05/28/726600528.db2.gz WGWFIARBDCFJND-UHFFFAOYSA-N -1 1 346.391 -0.149 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)c3cccnn3)CC2)nc1=O ZINC001001291596 726600844 /nfs/dbraw/zinc/60/08/44/726600844.db2.gz UOKWXBDZMVKRMS-UHFFFAOYSA-N -1 1 329.364 -0.540 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)[C@H]3C[C@@]34CCOC4)CC2)nc1=O ZINC001001348611 726603183 /nfs/dbraw/zinc/60/31/83/726603183.db2.gz FPBFKVSDIYRWRS-CXAGYDPISA-N -1 1 347.419 -0.217 20 0 EBADMM CN1CCOC[C@H]1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001541010 726611293 /nfs/dbraw/zinc/61/12/93/726611293.db2.gz HUZZVBZWOAMROB-LBPRGKRZSA-N -1 1 334.376 -0.694 20 0 EBADMM NC(=O)N1CCC[C@H]1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001542961 726611331 /nfs/dbraw/zinc/61/13/31/726611331.db2.gz CZFXGTZYCNFWQS-NSHDSACASA-N -1 1 347.375 -0.482 20 0 EBADMM O=C(NCC1CN(C(=O)[C@H]2CCCC(=O)N2)C1)c1ncccc1[O-] ZINC001001545414 726611381 /nfs/dbraw/zinc/61/13/81/726611381.db2.gz PRCXAZHKDWOJBE-LLVKDONJSA-N -1 1 332.360 -0.356 20 0 EBADMM C[C@H](C(N)=O)N1CCC(CNC(=O)c2c[n-]n3c2nccc3=O)CC1 ZINC001001918292 726627031 /nfs/dbraw/zinc/62/70/31/726627031.db2.gz SXVCEIOSIWHBKX-SNVBAGLBSA-N -1 1 346.391 -0.662 20 0 EBADMM CN(C[C@H]1CCCN1C(=O)c1ncccc1[O-])C(=O)[C@@H]1CNC(=O)N1 ZINC001002411591 726640526 /nfs/dbraw/zinc/64/05/26/726640526.db2.gz KQLUDGUKQOOZNR-MNOVXSKESA-N -1 1 347.375 -0.468 20 0 EBADMM Cc1ccnc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC001002693474 726645028 /nfs/dbraw/zinc/64/50/28/726645028.db2.gz RLXLNTZQCFMUFL-UHFFFAOYSA-N -1 1 331.380 -0.399 20 0 EBADMM CCn1ccc(CC(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC001002867025 726651374 /nfs/dbraw/zinc/65/13/74/726651374.db2.gz YVDKJPWKXXEUAG-UHFFFAOYSA-N -1 1 347.423 -0.352 20 0 EBADMM O=C(Cn1ncnn1)N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-] ZINC001002928510 726654967 /nfs/dbraw/zinc/65/49/67/726654967.db2.gz YGWJVACMPFOWLM-MXWKQRLJSA-N -1 1 343.347 -0.664 20 0 EBADMM C[C@@H]1C[C@H](C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)CC(=O)N1 ZINC001002997164 726657571 /nfs/dbraw/zinc/65/75/71/726657571.db2.gz IXVRKGNYANKIFS-PWSUYJOCSA-N -1 1 346.387 -0.110 20 0 EBADMM NC(=O)C(=O)N1CCC[C@H]([C@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC001003176327 726663276 /nfs/dbraw/zinc/66/32/76/726663276.db2.gz WBBRBZQLFNJALO-RYUDHWBXSA-N -1 1 346.387 -0.027 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CN(C(=O)CC2CC2)C1 ZINC001003314742 726669115 /nfs/dbraw/zinc/66/91/15/726669115.db2.gz MWVXRDUGHRTHPX-UHFFFAOYSA-N -1 1 336.348 -0.115 20 0 EBADMM CCn1ccc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC001003739449 726688406 /nfs/dbraw/zinc/68/84/06/726688406.db2.gz FIACXHYURQCIRD-UHFFFAOYSA-N -1 1 333.396 -0.281 20 0 EBADMM CC[C@@H](C(N)=O)N1CCC(NC(=O)c2c[n-]n3c2nccc3=O)CC1 ZINC001003750759 726688943 /nfs/dbraw/zinc/68/89/43/726688943.db2.gz CRFJTBILWAMDAJ-LBPRGKRZSA-N -1 1 346.391 -0.519 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)Cn1ncnn1 ZINC001004859380 726718019 /nfs/dbraw/zinc/71/80/19/726718019.db2.gz GCSQSTPUYFPCCR-UWVGGRQHSA-N -1 1 335.372 -0.944 20 0 EBADMM CN(C(=O)C1CCOCC1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005044029 726723692 /nfs/dbraw/zinc/72/36/92/726723692.db2.gz SERJIGGZMQVUMU-UHFFFAOYSA-N -1 1 337.424 -0.042 20 0 EBADMM CN(C(=O)c1ccc(=O)[nH]n1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005076978 726724258 /nfs/dbraw/zinc/72/42/58/726724258.db2.gz SRRLZAXWIMJJGV-UHFFFAOYSA-N -1 1 347.379 -0.659 20 0 EBADMM C[C@@H]1CCN(C(=O)c2ccn[nH]2)C[C@H]1NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001005099043 726724700 /nfs/dbraw/zinc/72/47/00/726724700.db2.gz FYWWVVNTZBRVJC-PSASIEDQSA-N -1 1 349.351 -0.921 20 0 EBADMM CN(C(=O)Cc1ccnn1C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005328637 726732214 /nfs/dbraw/zinc/73/22/14/726732214.db2.gz PETMSWSEBRKERC-UHFFFAOYSA-N -1 1 347.423 -0.493 20 0 EBADMM C[C@H](NC(=O)[C@@H]1CCNC(=O)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005897895 726747007 /nfs/dbraw/zinc/74/70/07/726747007.db2.gz FGCMSBXXYRGFHV-WDEREUQCSA-N -1 1 346.387 -0.110 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H](C)C2CN(C(=O)CCc3nc[nH]n3)C2)c1[O-] ZINC001005910197 726747748 /nfs/dbraw/zinc/74/77/48/726747748.db2.gz CTYCHQFRQKSAKO-MRVPVSSYSA-N -1 1 347.379 -0.249 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)C2(C(N)=O)CC2)C1 ZINC001005950877 726749587 /nfs/dbraw/zinc/74/95/87/726749587.db2.gz LNXUAMMGGLFBDV-SECBINFHSA-N -1 1 332.360 -0.371 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CCN(C)C2=O)C1 ZINC001005955069 726750011 /nfs/dbraw/zinc/75/00/11/726750011.db2.gz DYJASERETGNDIY-ZYHUDNBSSA-N -1 1 346.387 -0.158 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CCCNC2=O)C1 ZINC001005956684 726750058 /nfs/dbraw/zinc/75/00/58/726750058.db2.gz MLKLBYOHYHYSES-ZYHUDNBSSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001005957886 726750196 /nfs/dbraw/zinc/75/01/96/726750196.db2.gz PZVKYFFZDRDXNY-WDEREUQCSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@H](NC(=O)c1ncn(C)n1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006024049 726752692 /nfs/dbraw/zinc/75/26/92/726752692.db2.gz AFEJQGSEOCDXCE-VIFPVBQESA-N -1 1 330.348 -0.194 20 0 EBADMM CCn1cc(C(=O)N(C)C2CCN(Cc3nc(=O)n(C)[n-]3)CC2)nn1 ZINC001006205249 726758371 /nfs/dbraw/zinc/75/83/71/726758371.db2.gz NWYNSXLHOPUUDT-UHFFFAOYSA-N -1 1 348.411 -0.544 20 0 EBADMM CN(C(=O)c1ccc(=O)[nH]c1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001006366700 726764816 /nfs/dbraw/zinc/76/48/16/726764816.db2.gz KVMYKMNBVWPPFX-UHFFFAOYSA-N -1 1 346.391 -0.054 20 0 EBADMM CC(C)C(=O)N1CC([C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001006468858 726770406 /nfs/dbraw/zinc/77/04/06/726770406.db2.gz RENBQIDWMFMVCY-SECBINFHSA-N -1 1 332.364 -0.350 20 0 EBADMM Cc1cc(C(=O)N2CC([C@@H](C)NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001006489769 726771162 /nfs/dbraw/zinc/77/11/62/726771162.db2.gz BNCTXBWSRVKICM-SSDOTTSWSA-N -1 1 347.335 -0.795 20 0 EBADMM C[C@@H](NC(=O)[C@H]1CCNC1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006563427 726774233 /nfs/dbraw/zinc/77/42/33/726774233.db2.gz MWFFBBVONLVOHD-KOLCDFICSA-N -1 1 332.360 -0.500 20 0 EBADMM C[C@H](NC(=O)c1nnn(C)n1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006637372 726777327 /nfs/dbraw/zinc/77/73/27/726777327.db2.gz KQAOFDDTTNTNRW-QMMMGPOBSA-N -1 1 331.336 -0.799 20 0 EBADMM C[C@H](NC(=O)[C@H]1CCC(=O)N1C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006664619 726778844 /nfs/dbraw/zinc/77/88/44/726778844.db2.gz VSEAEOZYZNRCDL-CMPLNLGQSA-N -1 1 346.387 -0.015 20 0 EBADMM C[C@H](NC(=O)[C@@H]1CNC(=O)N1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006745461 726782327 /nfs/dbraw/zinc/78/23/27/726782327.db2.gz IBWWARKIJVRBQJ-WPRPVWTQSA-N -1 1 333.348 -0.955 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001006852947 726785215 /nfs/dbraw/zinc/78/52/15/726785215.db2.gz JMHFBRKAUMUOPE-LLVKDONJSA-N -1 1 333.396 -0.455 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)Cc3cccnc3)C2)nc1=O ZINC001007117299 726789364 /nfs/dbraw/zinc/78/93/64/726789364.db2.gz PFAHLCQCJDNXNO-ZDUSSCGKSA-N -1 1 330.392 -0.173 20 0 EBADMM Cc1cn(C)nc1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001007311945 726791885 /nfs/dbraw/zinc/79/18/85/726791885.db2.gz LWXXIVDWZHPOKO-NSHDSACASA-N -1 1 333.396 -0.455 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001007559899 726797087 /nfs/dbraw/zinc/79/70/87/726797087.db2.gz IWBOFKYYRAEWBX-SECBINFHSA-N -1 1 335.368 -0.760 20 0 EBADMM CCOc1cc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC001007606942 726798767 /nfs/dbraw/zinc/79/87/67/726798767.db2.gz XCFRVVIRHAERDS-JTQLQIEISA-N -1 1 349.395 -0.375 20 0 EBADMM O=C(NC[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)[C@H]1COCCO1 ZINC001008804501 726806134 /nfs/dbraw/zinc/80/61/34/726806134.db2.gz AXYOPMWCJCLWRQ-NRWUCQMLSA-N -1 1 347.371 -0.363 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001008887379 726822383 /nfs/dbraw/zinc/82/23/83/726822383.db2.gz LCRBZVMQCQSTTB-NSHDSACASA-N -1 1 333.396 -0.157 20 0 EBADMM Cn1nncc1C(=O)N1C[C@@H]2[C@@H](CNC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001008979267 726837872 /nfs/dbraw/zinc/83/78/72/726837872.db2.gz SGIYMFGOYWRKBM-RTCCRHLQSA-N -1 1 342.359 -0.336 20 0 EBADMM O=C(NC[C@@H]1[C@H]2CN(C(=O)c3cn[nH]n3)C[C@H]21)c1ncccc1[O-] ZINC001008988588 726839085 /nfs/dbraw/zinc/83/90/85/726839085.db2.gz FZSBXCGXFJMDMS-OWUUHHOZSA-N -1 1 328.332 -0.347 20 0 EBADMM Cc1nonc1CC(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001009038442 726849405 /nfs/dbraw/zinc/84/94/05/726849405.db2.gz OKGFRQMQGSRXBC-SNVBAGLBSA-N -1 1 335.368 -0.877 20 0 EBADMM COc1ccc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001009095401 726856618 /nfs/dbraw/zinc/85/66/18/726856618.db2.gz JINQHROXIJWPKF-SNVBAGLBSA-N -1 1 347.379 -0.699 20 0 EBADMM Cc1nc[nH]c1CC(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001009346820 726895783 /nfs/dbraw/zinc/89/57/83/726895783.db2.gz NHDZNMJTWDRUJF-NSHDSACASA-N -1 1 333.396 -0.537 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])[C@@H]1CN(C(=O)CC(N)=O)CCO1 ZINC001009667340 726955571 /nfs/dbraw/zinc/95/55/71/726955571.db2.gz SUYOHNKLUMWDAM-ONGXEEELSA-N -1 1 336.348 -0.992 20 0 EBADMM Cc1ncc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)n1C ZINC001051787322 735394107 /nfs/dbraw/zinc/39/41/07/735394107.db2.gz RABMQSPYGJEXJI-SNVBAGLBSA-N -1 1 335.368 -0.817 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])[C@@H]1CN(C(=O)c2cnon2)CCO1 ZINC001009732122 726984341 /nfs/dbraw/zinc/98/43/41/726984341.db2.gz DEYRHKMRLUQGNI-CABZTGNLSA-N -1 1 347.331 -0.170 20 0 EBADMM CC(=O)N1C[C@@H]2CC[C@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C[C@@H]2C1 ZINC001009851881 727006327 /nfs/dbraw/zinc/00/63/27/727006327.db2.gz GBPKAYOFVBAARQ-TUAOUCFPSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@@H]1C[C@@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)CN1C(=O)c1ccn[nH]1 ZINC001010022694 727019631 /nfs/dbraw/zinc/01/96/31/727019631.db2.gz LKGWSKGNHMOQQY-SFYZADRCSA-N -1 1 347.335 -0.714 20 0 EBADMM CC[C@H](C)C(=O)N1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001010389640 727082835 /nfs/dbraw/zinc/08/28/35/727082835.db2.gz GUHYFHDWLOAMLH-LBPRGKRZSA-N -1 1 336.392 -0.356 20 0 EBADMM O=C(C[C@H]1CCNC1=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011036169 727186199 /nfs/dbraw/zinc/18/61/99/727186199.db2.gz LWAAMQOEGLXHCU-LLVKDONJSA-N -1 1 332.360 -0.402 20 0 EBADMM C[C@@]1(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)CCC(=O)NC1 ZINC001011036394 727186579 /nfs/dbraw/zinc/18/65/79/727186579.db2.gz KGZSONWDTINFRX-QGZVFWFLSA-N -1 1 346.387 -0.012 20 0 EBADMM NC(=O)[C@H]1CC[C@@H]1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011036667 727186640 /nfs/dbraw/zinc/18/66/40/727186640.db2.gz SPBUVOHBQJVPIN-QWRGUYRKSA-N -1 1 332.360 -0.417 20 0 EBADMM C[C@@H](C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1)[C@@H]1CCC(=O)N1 ZINC001011037695 727187031 /nfs/dbraw/zinc/18/70/31/727187031.db2.gz IGABDEBTEWIASW-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@@H]1CC[C@H](C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)O1 ZINC001051837210 735422404 /nfs/dbraw/zinc/42/24/04/735422404.db2.gz IJLUNXUKRYRAJG-GMTAPVOTSA-N -1 1 325.369 -0.605 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CS(C)(=O)=O ZINC001011545725 727539817 /nfs/dbraw/zinc/53/98/17/727539817.db2.gz KUJDYHMYAXMPPB-VHSXEESVSA-N -1 1 341.389 -0.449 20 0 EBADMM Cc1cc(=O)c(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)c[nH]1 ZINC001051891685 735448917 /nfs/dbraw/zinc/44/89/17/735448917.db2.gz BSERWLFUEPQXHK-SNVBAGLBSA-N -1 1 348.363 -0.862 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCN(C(=O)[C@H]2CC23CC3)CC1 ZINC001011637140 727551978 /nfs/dbraw/zinc/55/19/78/727551978.db2.gz BOUGDMQOIJMIFP-SNVBAGLBSA-N -1 1 342.359 -0.498 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2cnnn2C)CCN1C(=O)c1ncccc1[O-] ZINC001011670765 727556281 /nfs/dbraw/zinc/55/62/81/727556281.db2.gz BIMGZGZUPIEUNM-UWVGGRQHSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2c[nH]c(=O)cn2)CCN1C(=O)c1ncccc1[O-] ZINC001011676788 727557503 /nfs/dbraw/zinc/55/75/03/727557503.db2.gz HPPHNHBEPHLQBE-VHSXEESVSA-N -1 1 343.343 -0.097 20 0 EBADMM O=C(c1c[nH]nc1C1CC1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051892132 735449656 /nfs/dbraw/zinc/44/96/56/735449656.db2.gz MEJSTIFALQNYTI-JTQLQIEISA-N -1 1 347.379 -0.258 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)Cn2cnnn2)CN1C(=O)c1ncccc1[O-] ZINC001012507294 727673442 /nfs/dbraw/zinc/67/34/42/727673442.db2.gz SMRUKZJVEMTFGJ-NXEZZACHSA-N -1 1 331.336 -0.807 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)CN2CN=NC2=O)CN1C(=O)c1ncccc1[O-] ZINC001012511817 727674015 /nfs/dbraw/zinc/67/40/15/727674015.db2.gz PPSFBRFMYOVNEU-NXEZZACHSA-N -1 1 346.347 -0.496 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)Cc2nc[nH]n2)CN1C(=O)c1ncccc1[O-] ZINC001012513534 727674173 /nfs/dbraw/zinc/67/41/73/727674173.db2.gz VDXZEISBUKOOOA-ZJUUUORDSA-N -1 1 330.348 -0.133 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)Cc2nnc[nH]2)CN1C(=O)c1ncccc1[O-] ZINC001012513534 727674178 /nfs/dbraw/zinc/67/41/78/727674178.db2.gz VDXZEISBUKOOOA-ZJUUUORDSA-N -1 1 330.348 -0.133 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1cn(C)nn1 ZINC001012562263 727683327 /nfs/dbraw/zinc/68/33/27/727683327.db2.gz FSDAVWKROQTHSA-VHSXEESVSA-N -1 1 330.348 -0.051 20 0 EBADMM CCc1nc[nH]c1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051917482 735460370 /nfs/dbraw/zinc/46/03/70/735460370.db2.gz ATVJSTNGTMFMDL-SECBINFHSA-N -1 1 335.368 -0.573 20 0 EBADMM Cc1nn[nH]c1C(=O)N1C[C@H](NC(=O)c2[nH]nc(C)c2[O-])C[C@@H]1C ZINC001013544983 727816918 /nfs/dbraw/zinc/81/69/18/727816918.db2.gz XFHMEXKEEPUDLT-IMTBSYHQSA-N -1 1 333.352 -0.117 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1nnn(C)n1 ZINC001013661300 727827367 /nfs/dbraw/zinc/82/73/67/727827367.db2.gz ZSIMMSZWJJYNSJ-DTWKUNHWSA-N -1 1 331.336 -0.656 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1C[C@@H](C)N(C(=O)C(N)=O)C1 ZINC001013990275 727865304 /nfs/dbraw/zinc/86/53/04/727865304.db2.gz PBEJURXPYBHZMQ-PSASIEDQSA-N -1 1 349.391 -0.181 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H]1C[C@H](C)N(C(=O)C(N)=O)C1 ZINC001013990278 727865360 /nfs/dbraw/zinc/86/53/60/727865360.db2.gz PBEJURXPYBHZMQ-WPRPVWTQSA-N -1 1 349.391 -0.181 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@@H]3C[C@H]3c3ccncc3)C2)nc1=O ZINC001014477705 727959721 /nfs/dbraw/zinc/95/97/21/727959721.db2.gz ZIXSUWHTHFKVOU-HZSPNIEDSA-N -1 1 342.403 -0.002 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cnc4[nH]cnc4c3)C2)nc1=O ZINC001014589748 727973179 /nfs/dbraw/zinc/97/31/79/727973179.db2.gz WOPLBCZIIXOWBY-JTQLQIEISA-N -1 1 342.363 -0.616 20 0 EBADMM NC(=O)C(=O)N1C[C@@H]2CCC[C@@H](NC(=O)c3ncccc3[O-])[C@H]2C1 ZINC001014629751 727983803 /nfs/dbraw/zinc/98/38/03/727983803.db2.gz WQUCPNPRWAKVIC-GARJFASQSA-N -1 1 332.360 -0.371 20 0 EBADMM Cn1nc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cc1C1CC1 ZINC001014640900 727986310 /nfs/dbraw/zinc/98/63/10/727986310.db2.gz RXEGTQFPPSLOMQ-NSHDSACASA-N -1 1 345.407 -0.276 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ncc(F)cc3F)C2)nc1=O ZINC001014951747 728039400 /nfs/dbraw/zinc/03/94/00/728039400.db2.gz QUQUZIXUZSYNIT-VIFPVBQESA-N -1 1 338.318 -0.214 20 0 EBADMM O=C(N[C@H]1CCN(Cc2n[nH]c(C3CC3)n2)C1)c1n[nH]c(=O)[n-]c1=O ZINC001015108329 728079827 /nfs/dbraw/zinc/07/98/27/728079827.db2.gz AZDAVANVBPQHEK-QMMMGPOBSA-N -1 1 346.351 -0.717 20 0 EBADMM O=C(c1ccn[nH]1)N1CC[C@H](N2CCN(Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001051990487 735493374 /nfs/dbraw/zinc/49/33/74/735493374.db2.gz QYCYAJFHMOEZDV-NSHDSACASA-N -1 1 346.395 -0.734 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cccc4ncnn43)C2)nc1=O ZINC001015131535 728090692 /nfs/dbraw/zinc/09/06/92/728090692.db2.gz KFBHHVWOEUKEJV-JTQLQIEISA-N -1 1 342.363 -0.845 20 0 EBADMM Cc1cc(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001015257824 728124249 /nfs/dbraw/zinc/12/42/49/728124249.db2.gz QRCRQLLFBBNUQE-NSHDSACASA-N -1 1 333.396 -0.916 20 0 EBADMM Cn1[n-]c(CN2CCN([C@@H]3CCN(C(=O)C4(C)CC4)C3)CC2)nc1=O ZINC001052030066 735511858 /nfs/dbraw/zinc/51/18/58/735511858.db2.gz GSUKEYMPAAGEJG-CYBMUJFWSA-N -1 1 348.451 -0.373 20 0 EBADMM COc1coc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cc1=O ZINC001015278050 728394029 /nfs/dbraw/zinc/39/40/29/728394029.db2.gz JMIRKZRBGNBLNS-SECBINFHSA-N -1 1 349.347 -0.926 20 0 EBADMM NC(=O)C(=O)N[C@H]1CC[C@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001015556477 728423453 /nfs/dbraw/zinc/42/34/53/728423453.db2.gz ZNZDYJKORKKRTG-WPRPVWTQSA-N -1 1 347.375 -0.440 20 0 EBADMM COc1cccnc1CC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001015614242 728424653 /nfs/dbraw/zinc/42/46/53/728424653.db2.gz VWKITBFNZNHACE-LLVKDONJSA-N -1 1 346.391 -0.555 20 0 EBADMM COc1cc(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)ccn1 ZINC001015714225 728429537 /nfs/dbraw/zinc/42/95/37/728429537.db2.gz XYUYZTFBSRJWKI-LBPRGKRZSA-N -1 1 346.391 -0.555 20 0 EBADMM COc1cc(=O)[nH]cc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001015716808 728429967 /nfs/dbraw/zinc/42/99/67/728429967.db2.gz FJTWDFOIMMOTSG-VIFPVBQESA-N -1 1 348.363 -0.778 20 0 EBADMM CCn1ncc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC001015891788 728437324 /nfs/dbraw/zinc/43/73/24/728437324.db2.gz RQERPKZQPFSLPH-LLVKDONJSA-N -1 1 333.396 -0.362 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3c[nH]nc3C3CC3)C2)nc1=O ZINC001015931848 728439375 /nfs/dbraw/zinc/43/93/75/728439375.db2.gz OIWAMBFQJWFMTL-SNVBAGLBSA-N -1 1 331.380 -0.287 20 0 EBADMM CC(C)c1nn(C)cc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001016245929 728445668 /nfs/dbraw/zinc/44/56/68/728445668.db2.gz LJOFMECDTBPOQJ-NSHDSACASA-N -1 1 347.423 -0.030 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@H]3COc4ccccc43)C2)nc1=O ZINC001016402982 728467961 /nfs/dbraw/zinc/46/79/61/728467961.db2.gz QNAQMYOMCKBDMV-YPMHNXCESA-N -1 1 343.387 -0.025 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)c2ncn(C)n2)C1 ZINC001016431251 728472758 /nfs/dbraw/zinc/47/27/58/728472758.db2.gz OIXQNHGOWFLBJL-UHFFFAOYSA-N -1 1 330.348 -0.051 20 0 EBADMM CN(C(=O)c1cn(C)nn1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016464558 728476749 /nfs/dbraw/zinc/47/67/49/728476749.db2.gz GIGFUWGVKBMVBA-UHFFFAOYSA-N -1 1 330.348 -0.051 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CC(NC(=O)C(F)F)C1 ZINC001016763422 728503230 /nfs/dbraw/zinc/50/32/30/728503230.db2.gz BBOSOQRAVLJLHI-UHFFFAOYSA-N -1 1 340.290 -0.598 20 0 EBADMM CC(C)C(=O)NC1CC(N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001016766314 728504384 /nfs/dbraw/zinc/50/43/84/728504384.db2.gz OKRHTQDZTGPZSC-UHFFFAOYSA-N -1 1 332.364 -0.207 20 0 EBADMM CN(C(=O)[C@H]1CN(C)C(=O)N1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016835613 728515767 /nfs/dbraw/zinc/51/57/67/728515767.db2.gz JFUYQGBKGJRYSD-VQXHTEKXSA-N -1 1 347.375 -0.470 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)CCn2cncn2)C1 ZINC001017043076 728540103 /nfs/dbraw/zinc/54/01/03/728540103.db2.gz AJEKLDBMHFFTAW-LBPRGKRZSA-N -1 1 348.411 -0.138 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)[C@H]2CCC(=O)N2)C1 ZINC001017079503 728545405 /nfs/dbraw/zinc/54/54/05/728545405.db2.gz WQUFAUYNXNDFAD-GHMZBOCLSA-N -1 1 336.396 -0.541 20 0 EBADMM CC(=O)NC1(C2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC2)CC1 ZINC001017113038 728547846 /nfs/dbraw/zinc/54/78/46/728547846.db2.gz BDVRMSWXCBKWAC-UHFFFAOYSA-N -1 1 344.375 -0.062 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)Cn2nccn2)C1 ZINC001017139992 728550014 /nfs/dbraw/zinc/55/00/14/728550014.db2.gz YUZCHBDPFXGWEL-NSHDSACASA-N -1 1 334.384 -0.528 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N1CCC(C2(NC(=O)c3cnn[nH]3)CC2)CC1 ZINC001017175594 728552154 /nfs/dbraw/zinc/55/21/54/728552154.db2.gz FNLNJLGOSZVWGU-MNOVXSKESA-N -1 1 346.391 -0.573 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)CCn2cnnn2)C1 ZINC001017238644 728557416 /nfs/dbraw/zinc/55/74/16/728557416.db2.gz RKQGXLLLKBKEGD-NSHDSACASA-N -1 1 349.399 -0.743 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)c2cnns2)nc1=O ZINC001017383368 728570174 /nfs/dbraw/zinc/57/01/74/728570174.db2.gz NJRLWVOKFHMWSS-DTORHVGOSA-N -1 1 335.393 -0.551 20 0 EBADMM O=C(NCC1=CCN(C(=O)[C@H]2CCC(=O)N2)CC1)c1ncccc1[O-] ZINC001017400912 728571980 /nfs/dbraw/zinc/57/19/80/728571980.db2.gz ZJDOLVHFZOVUQH-GFCCVEGCSA-N -1 1 344.371 -0.046 20 0 EBADMM CCC(=O)N[C@H]1CC[C@@H](C)N(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001017863593 728620913 /nfs/dbraw/zinc/62/09/13/728620913.db2.gz JYUGWULKGQGBHP-ZJUUUORDSA-N -1 1 332.364 -0.063 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)Cn1ncnn1 ZINC001018105344 728633940 /nfs/dbraw/zinc/63/39/40/728633940.db2.gz RDUCDJQPHIUFLM-MNOVXSKESA-N -1 1 345.363 -0.417 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2cnn[nH]2)CN1C(=O)c1cn(C)c(=O)cn1 ZINC001018251305 728650045 /nfs/dbraw/zinc/65/00/45/728650045.db2.gz QQGNQKHITSIGPL-VHSXEESVSA-N -1 1 345.363 -0.679 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CN1C(=O)C1CC1 ZINC001018274700 728652648 /nfs/dbraw/zinc/65/26/48/728652648.db2.gz GQCIJGNIMVYHKB-MWLCHTKSSA-N -1 1 344.375 -0.063 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)Cn2c(=O)[n-][nH]c2=O)CN1C(=O)c1ccn[nH]1 ZINC001018305166 728654763 /nfs/dbraw/zinc/65/47/63/728654763.db2.gz KWIWHOIMVPZZMY-RKDXNWHRSA-N -1 1 349.351 -0.778 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)Cn2c(=O)[n-][nH]c2=O)CN1C(=O)c1ccn[nH]1 ZINC001018305164 728654880 /nfs/dbraw/zinc/65/48/80/728654880.db2.gz KWIWHOIMVPZZMY-IUCAKERBSA-N -1 1 349.351 -0.778 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)C(N)=O)CN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001018379917 728665568 /nfs/dbraw/zinc/66/55/68/728665568.db2.gz PMHXGFHSIWCQJP-SCZZXKLOSA-N -1 1 347.375 -0.346 20 0 EBADMM C[C@H]1CCN(C(=O)CC(N)=O)C[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001018536405 728673904 /nfs/dbraw/zinc/67/39/04/728673904.db2.gz RJTHQNBJRMNHAH-QWRGUYRKSA-N -1 1 334.376 -0.123 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC2(CN(C(=O)C3CC3)C2)C1 ZINC001018661484 728683995 /nfs/dbraw/zinc/68/39/95/728683995.db2.gz PTLSVMDOQWKVBP-UHFFFAOYSA-N -1 1 348.359 -0.019 20 0 EBADMM CCCC(=O)N1CC2(C1)CCN(C(=O)c1cc(=O)n3[n-]cnc3n1)C2 ZINC001018698331 728685460 /nfs/dbraw/zinc/68/54/60/728685460.db2.gz ZOGRIIRAOZYKMU-UHFFFAOYSA-N -1 1 344.375 -0.108 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CC[C@H](NCc2cnsn2)C1 ZINC001019650161 728961953 /nfs/dbraw/zinc/96/19/53/728961953.db2.gz BCEYWNDMNAUYEQ-QMMMGPOBSA-N -1 1 346.376 -0.727 20 0 EBADMM Cc1nc([C@H](C)N[C@H]2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)n[nH]1 ZINC001019710604 728976227 /nfs/dbraw/zinc/97/62/27/728976227.db2.gz REBOIQBGOYMJTK-CBAPKCEASA-N -1 1 336.356 -0.932 20 0 EBADMM Cc1nc(C)c(CC(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c(=O)[nH]1 ZINC001019768533 728987701 /nfs/dbraw/zinc/98/77/01/728987701.db2.gz YLTSSNMZDMVTJK-JTQLQIEISA-N -1 1 347.379 -0.444 20 0 EBADMM CO[C@H](C)C(=O)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H]1C ZINC001020097550 729071596 /nfs/dbraw/zinc/07/15/96/729071596.db2.gz JZCHNPXCAQQZTR-AEJSXWLSSA-N -1 1 348.363 -0.828 20 0 EBADMM O=C(N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCCS(=O)(=O)C1 ZINC001020661237 729214929 /nfs/dbraw/zinc/21/49/29/729214929.db2.gz BAQHRNWRPHJPPG-OPRDCNLKSA-N -1 1 343.409 -0.928 20 0 EBADMM Cn1cc(CN[C@H]2C[C@H](NC(=O)c3c[n-]n4c3nccc4=O)C2)nn1 ZINC001020696339 729219572 /nfs/dbraw/zinc/21/95/72/729219572.db2.gz FSMHNKDQECJMQJ-MGCOHNPYSA-N -1 1 342.363 -0.798 20 0 EBADMM CC(=O)NC[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1C ZINC001020770340 729232208 /nfs/dbraw/zinc/23/22/08/729232208.db2.gz HYYWRCLEUCHDAJ-YPMHNXCESA-N -1 1 336.392 -0.453 20 0 EBADMM O=C(NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1)[C@H]1CCCNC1=O ZINC001021286895 729303378 /nfs/dbraw/zinc/30/33/78/729303378.db2.gz IKXQWBYNPBBPQP-YATPEIPISA-N -1 1 348.407 -0.352 20 0 EBADMM O=C(c1ncccc1[O-])N1CC[C@H]2CN(C(=O)[C@H]3CCC(=O)N3)C[C@H]21 ZINC001021442288 729314067 /nfs/dbraw/zinc/31/40/67/729314067.db2.gz JPCUHIOYJNNRBH-QJPTWQEYSA-N -1 1 344.371 -0.261 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H]2CN(C(=O)C3CC3)C[C@H]21 ZINC001022163405 729462582 /nfs/dbraw/zinc/46/25/82/729462582.db2.gz GXKHZJXAVNRKHN-QWHCGFSZSA-N -1 1 346.387 -0.604 20 0 EBADMM O=C(N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCCCS1(=O)=O ZINC001022198666 729465927 /nfs/dbraw/zinc/46/59/27/729465927.db2.gz OKMJTVPIDSMPGP-AEJSXWLSSA-N -1 1 343.409 -0.786 20 0 EBADMM O=C(N[C@H]1C[C@@H](NCc2cc3n(n2)CCC3)C1)c1n[nH]c(=O)[n-]c1=O ZINC001022369456 729486112 /nfs/dbraw/zinc/48/61/12/729486112.db2.gz HPGUGTIMPVDENZ-DTORHVGOSA-N -1 1 345.363 -0.524 20 0 EBADMM Cn1ccc(CN[C@H]2C[C@@H](NC(=O)c3cnc([O-])n(C)c3=O)C2)n1 ZINC001022529121 729503841 /nfs/dbraw/zinc/50/38/41/729503841.db2.gz VOQQZQFLRBSSAE-PHIMTYICSA-N -1 1 332.364 -0.730 20 0 EBADMM Cn1ccnc1CN[C@H]1C[C@@H](NC(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001022529166 729503895 /nfs/dbraw/zinc/50/38/95/729503895.db2.gz YFNYVHAWBUCPJS-AOOOYVTPSA-N -1 1 332.364 -0.730 20 0 EBADMM C[C@H](N[C@H]1C[C@@H](NC(=O)c2cnc([O-])n(C)c2=O)C1)c1nncn1C ZINC001022529359 729503934 /nfs/dbraw/zinc/50/39/34/729503934.db2.gz BWXLHWMGPKLZDS-LPEHRKFASA-N -1 1 347.379 -0.774 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@@H]2CCN(C(=O)[C@@H]3CNC(=O)N3)[C@@H]2C1 ZINC001022546487 729508872 /nfs/dbraw/zinc/50/88/72/729508872.db2.gz JVMSSPXWFHHOOJ-GARJFASQSA-N -1 1 345.359 -0.859 20 0 EBADMM Cn1cc(CN[C@H]2C[C@@H](NC(=O)c3c[n-]n4c3nccc4=O)C2)nn1 ZINC001022820861 729535123 /nfs/dbraw/zinc/53/51/23/729535123.db2.gz FSMHNKDQECJMQJ-AOOOYVTPSA-N -1 1 342.363 -0.798 20 0 EBADMM O=C(Cc1cc[nH]n1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001023258060 729650632 /nfs/dbraw/zinc/65/06/32/729650632.db2.gz DUWKNWVZIZXJLY-ZYHUDNBSSA-N -1 1 331.332 -0.946 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](CNC(=O)c3cc[nH]c(=O)c3)C2)nc1=O ZINC001023306883 729661357 /nfs/dbraw/zinc/66/13/57/729661357.db2.gz OVMCBGOPXYYFOV-LLVKDONJSA-N -1 1 346.391 -0.149 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](CNC(=O)C3=CCOCC3)C2)nc1=O ZINC001023329104 729664725 /nfs/dbraw/zinc/66/47/25/729664725.db2.gz ZQKIPWQOXGRABU-GFCCVEGCSA-N -1 1 335.408 -0.217 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ccccn2)C[C@H]1O)c1ncccc1[O-] ZINC001023486786 729701793 /nfs/dbraw/zinc/70/17/93/729701793.db2.gz RNVHVSFVXKPNCH-DGCLKSJQSA-N -1 1 328.328 -0.203 20 0 EBADMM CCn1ncc(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001023488287 729702305 /nfs/dbraw/zinc/70/23/05/729702305.db2.gz ANVWJWJSMYXBNX-ZYHUDNBSSA-N -1 1 346.347 -0.986 20 0 EBADMM Cc1ncoc1C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023488633 729702409 /nfs/dbraw/zinc/70/24/09/729702409.db2.gz QEQREFMGPCOHJY-MWLCHTKSSA-N -1 1 332.316 -0.301 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2nccs2)C[C@H]1O)c1ncccc1[O-] ZINC001023490691 729703486 /nfs/dbraw/zinc/70/34/86/729703486.db2.gz MUUPBGIMTHXOFT-PSASIEDQSA-N -1 1 334.357 -0.141 20 0 EBADMM O=C(CCn1cccc1)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023490741 729703661 /nfs/dbraw/zinc/70/36/61/729703661.db2.gz ONSJMXHEAHXZGB-TZMCWYRMSA-N -1 1 344.371 -0.020 20 0 EBADMM O=C(CCn1ccnc1)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023492579 729703985 /nfs/dbraw/zinc/70/39/85/729703985.db2.gz WPLIGIYKWSUYDF-DGCLKSJQSA-N -1 1 345.359 -0.625 20 0 EBADMM Cc1cnn(C)c1C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023493340 729704130 /nfs/dbraw/zinc/70/41/30/729704130.db2.gz ASJGODVSVDGPAI-ZYHUDNBSSA-N -1 1 345.359 -0.556 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCC[C@H](CNCc2cnon2)C1 ZINC001024051932 729834919 /nfs/dbraw/zinc/83/49/19/729834919.db2.gz KPUQCDQKTFMPQI-MRVPVSSYSA-N -1 1 335.324 -0.692 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H]2CNC(=O)c2ccnnc2)nc1=O ZINC001024677946 729917760 /nfs/dbraw/zinc/91/77/60/729917760.db2.gz LYNBNPPXFCROGS-GFCCVEGCSA-N -1 1 331.380 -0.317 20 0 EBADMM CCn1ncc(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)n1 ZINC001024956115 729945387 /nfs/dbraw/zinc/94/53/87/729945387.db2.gz DEEFJZGILYMKIU-SNVBAGLBSA-N -1 1 334.384 -0.094 20 0 EBADMM O=C(C1CS(=O)(=O)C1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024975013 729948223 /nfs/dbraw/zinc/94/82/23/729948223.db2.gz SOXFAACGWFWXJY-SNVBAGLBSA-N -1 1 343.409 -0.974 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)c1ccc(=O)[nH]c1 ZINC001025644216 730061995 /nfs/dbraw/zinc/06/19/95/730061995.db2.gz QANLFJUONXTTRG-ZYHUDNBSSA-N -1 1 344.327 -0.497 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)c1ccnnc1 ZINC001025782936 730087456 /nfs/dbraw/zinc/08/74/56/730087456.db2.gz IRQZRIZSBQSGLC-ZYHUDNBSSA-N -1 1 329.316 -0.808 20 0 EBADMM CC(C)[C@H]1OCC[C@@H]1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001025810243 730094520 /nfs/dbraw/zinc/09/45/20/730094520.db2.gz UILITIAUFNYSAF-CWFCOSEVSA-N -1 1 349.435 -0.284 20 0 EBADMM CC[C@@H]1C[C@H](C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)CCO1 ZINC001026137331 730123391 /nfs/dbraw/zinc/12/33/91/730123391.db2.gz YNOIUCHIWYNOAN-NTASLKFISA-N -1 1 349.435 -0.140 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H]2C[C@]23CCOC3)nc1=O ZINC001026138125 730123553 /nfs/dbraw/zinc/12/35/53/730123553.db2.gz YFTMRIWUUIGDIS-GCCGGCASSA-N -1 1 333.392 -0.919 20 0 EBADMM CO[C@@H]1CCCC[C@H]1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001026152715 730127007 /nfs/dbraw/zinc/12/70/07/730127007.db2.gz PZZLOZVFSFHEOR-VVSAWPALSA-N -1 1 349.435 -0.140 20 0 EBADMM Cc1nonc1CNC1CCC(NC(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001026522843 730148590 /nfs/dbraw/zinc/14/85/90/730148590.db2.gz ZHKBUQUVGAIGIV-UHFFFAOYSA-N -1 1 349.351 -0.195 20 0 EBADMM Cc1conc1CNC[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001026941023 730173914 /nfs/dbraw/zinc/17/39/14/730173914.db2.gz XSGIXSLBWFZHBC-SECBINFHSA-N -1 1 334.336 -0.169 20 0 EBADMM Cc1cnc(CNC[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)nc1 ZINC001026941400 730173943 /nfs/dbraw/zinc/17/39/43/730173943.db2.gz HZQLCTPTTPDHLE-SNVBAGLBSA-N -1 1 345.363 -0.367 20 0 EBADMM Cc1cnc(CNC[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC001026941947 730174045 /nfs/dbraw/zinc/17/40/45/730174045.db2.gz WPKDEGPXUHSFPK-SECBINFHSA-N -1 1 334.336 -0.169 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CC[C@H](CNCc2ncccn2)C1 ZINC001026942049 730174122 /nfs/dbraw/zinc/17/41/22/730174122.db2.gz ZYWCVIBEVNFOED-SECBINFHSA-N -1 1 331.336 -0.675 20 0 EBADMM Cc1ncncc1C(=O)NC[C@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001027763466 730237555 /nfs/dbraw/zinc/23/75/55/730237555.db2.gz JQNWETXGCJJIJB-LLVKDONJSA-N -1 1 331.380 -0.399 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)ncn1 ZINC001027828326 730241179 /nfs/dbraw/zinc/24/11/79/730241179.db2.gz JHNBVPWVAJGZNL-NSHDSACASA-N -1 1 331.380 -0.399 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H]2CNC(=O)c2n[nH]c3c2CCC3)nc1=O ZINC001027995378 730255203 /nfs/dbraw/zinc/25/52/03/730255203.db2.gz MVERDGUNFAJEQK-JTQLQIEISA-N -1 1 345.407 -0.285 20 0 EBADMM COc1nccc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001028020385 730258748 /nfs/dbraw/zinc/25/87/48/730258748.db2.gz SVXCAXBHMSRRAN-SNVBAGLBSA-N -1 1 347.379 -0.699 20 0 EBADMM COc1nc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)co1 ZINC001028053471 730261163 /nfs/dbraw/zinc/26/11/63/730261163.db2.gz BWCYPDQSOOINKM-SECBINFHSA-N -1 1 336.352 -0.501 20 0 EBADMM COc1cccc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001028235795 730276717 /nfs/dbraw/zinc/27/67/17/730276717.db2.gz DSTQATKNYGYXSL-LLVKDONJSA-N -1 1 346.391 -0.094 20 0 EBADMM Cc1ccnc(C(=O)NC[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001028508748 730303613 /nfs/dbraw/zinc/30/36/13/730303613.db2.gz YKANAODJUYBWJD-NSHDSACASA-N -1 1 331.380 -0.541 20 0 EBADMM COc1nc(C(=O)NC[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)co1 ZINC001028716422 730344694 /nfs/dbraw/zinc/34/46/94/730344694.db2.gz XTKGQCLDBGOJNE-VIFPVBQESA-N -1 1 336.352 -0.643 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)[C@@H]3C[C@H]4CC[C@@H]3O4)C2)nc1=O ZINC001028816287 730360335 /nfs/dbraw/zinc/36/03/35/730360335.db2.gz BIGLRCKUHXRWFH-LOWDOPEQSA-N -1 1 335.408 -0.386 20 0 EBADMM Cc1nc(C(=O)NC[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c(C)o1 ZINC001028888318 730367515 /nfs/dbraw/zinc/36/75/15/730367515.db2.gz ALXOKEVQXKSYIB-NSHDSACASA-N -1 1 334.380 -0.035 20 0 EBADMM CCCn1cc(C(=O)NC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001028895603 730368792 /nfs/dbraw/zinc/36/87/92/730368792.db2.gz WCIJXRWAMZPDRL-LLVKDONJSA-N -1 1 348.411 -0.638 20 0 EBADMM CCc1cc(C(=O)NC[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)no1 ZINC001028939994 730373476 /nfs/dbraw/zinc/37/34/76/730373476.db2.gz WESSLOVTAVIANG-JTQLQIEISA-N -1 1 334.380 -0.089 20 0 EBADMM Cc1cnn(CC(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)c1 ZINC001029232173 730393957 /nfs/dbraw/zinc/39/39/57/730393957.db2.gz PVFWYWIPRFUEKO-BETUJISGSA-N -1 1 345.407 -0.511 20 0 EBADMM Cc1cc(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)nn1C ZINC001029232423 730394046 /nfs/dbraw/zinc/39/40/46/730394046.db2.gz ZLZAIAAPYNHYKH-TXEJJXNPSA-N -1 1 345.407 -0.361 20 0 EBADMM Cn1nccc1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029235799 730394279 /nfs/dbraw/zinc/39/42/79/730394279.db2.gz ISAHBLHIZARTQO-PHIMTYICSA-N -1 1 331.380 -0.669 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029348611 730400444 /nfs/dbraw/zinc/40/04/44/730400444.db2.gz QLHWNFIJLOAAAP-AOOOYVTPSA-N -1 1 347.379 -0.665 20 0 EBADMM C[C@H]1OCC[C@@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029527457 730409171 /nfs/dbraw/zinc/40/91/71/730409171.db2.gz JUJUBWPOBYXXSZ-NDBYEHHHSA-N -1 1 335.408 -0.291 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)C[C@@H]2COC(=O)C2)C1 ZINC001029705852 730484917 /nfs/dbraw/zinc/48/49/17/730484917.db2.gz NPPUBYQIQYDHTK-MNOVXSKESA-N -1 1 337.380 -0.256 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)CC2OCCCO2)C1 ZINC001029715872 730487307 /nfs/dbraw/zinc/48/73/07/730487307.db2.gz UOGLVDREJAYPND-NSHDSACASA-N -1 1 339.396 -0.056 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)Cc2cncnc2)C1 ZINC001029720260 730487943 /nfs/dbraw/zinc/48/79/43/730487943.db2.gz CGFXEBKLVZAJBY-LLVKDONJSA-N -1 1 331.380 -0.177 20 0 EBADMM Cc1nnc(CC(=O)N2CC[C@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC001029736490 730490735 /nfs/dbraw/zinc/49/07/35/730490735.db2.gz KQEMQXHARUOQBF-SNVBAGLBSA-N -1 1 334.384 -0.540 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)CO[C@@H]2CCOC2)C1 ZINC001029739513 730492658 /nfs/dbraw/zinc/49/26/58/730492658.db2.gz JQOSOSZNMSTLSM-NWDGAFQWSA-N -1 1 339.396 -0.404 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)[C@H]2CCC(=O)NC2)C1 ZINC001029773549 730498555 /nfs/dbraw/zinc/49/85/55/730498555.db2.gz CYIIRDFZXIOLJE-MNOVXSKESA-N -1 1 336.396 -0.683 20 0 EBADMM Cc1nc(CN(C)C[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001029777765 730499656 /nfs/dbraw/zinc/49/96/56/730499656.db2.gz PORSDSRURNYZTN-SECBINFHSA-N -1 1 348.367 -0.697 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CCC(CN(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001029982954 730530632 /nfs/dbraw/zinc/53/06/32/730530632.db2.gz PWUUWBNAAYDFMN-UHFFFAOYSA-N -1 1 334.384 -0.079 20 0 EBADMM O=C(NC1CN(Cc2nnc3n2CCOC3)C1)c1ncccc1[O-] ZINC001030239119 730557755 /nfs/dbraw/zinc/55/77/55/730557755.db2.gz BPCOCSOEEJHHCQ-UHFFFAOYSA-N -1 1 330.348 -0.477 20 0 EBADMM COCCc1noc(CN2CC(NC(=O)c3ncccc3[O-])C2)n1 ZINC001030240074 730557989 /nfs/dbraw/zinc/55/79/89/730557989.db2.gz RRQVQXQUABIVAS-UHFFFAOYSA-N -1 1 333.348 -0.027 20 0 EBADMM Cc1cnc2cc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)ccn12 ZINC001030311006 730566046 /nfs/dbraw/zinc/56/60/46/730566046.db2.gz IYJWXITUQBAYDT-UHFFFAOYSA-N -1 1 341.375 -0.321 20 0 EBADMM C[C@H]1CCCc2ncc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)n21 ZINC001030345903 730570907 /nfs/dbraw/zinc/57/09/07/730570907.db2.gz LLLWKMINUJJWPP-JTQLQIEISA-N -1 1 345.407 -0.184 20 0 EBADMM COc1cccc(F)c1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030358434 730573230 /nfs/dbraw/zinc/57/32/30/730573230.db2.gz YWZQIYZGAGNSMX-UHFFFAOYSA-N -1 1 335.339 -0.130 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cnc4ccccn43)C2)nc1=O ZINC001030579682 730607975 /nfs/dbraw/zinc/60/79/75/730607975.db2.gz LYFVRJQLOWXCPX-UHFFFAOYSA-N -1 1 327.348 -0.630 20 0 EBADMM O=C(NC1CN(Cc2n[nH]c(=O)[n-]2)C1)c1ccn(-c2ccncc2)n1 ZINC001030660022 730621373 /nfs/dbraw/zinc/62/13/73/730621373.db2.gz QRDDVMCGBJVZDG-UHFFFAOYSA-N -1 1 340.347 -0.295 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cccc4nccn43)C2)nc1=O ZINC001030672859 730622424 /nfs/dbraw/zinc/62/24/24/730622424.db2.gz SOXLDUVTDGANNN-UHFFFAOYSA-N -1 1 327.348 -0.630 20 0 EBADMM Cc1cc(C)c(CC(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c(=O)[nH]1 ZINC001030830185 730645393 /nfs/dbraw/zinc/64/53/93/730645393.db2.gz FQIUKQRRRMUZIV-UHFFFAOYSA-N -1 1 346.391 -0.631 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cnn4ccccc34)C2)nc1=O ZINC001031112176 730676717 /nfs/dbraw/zinc/67/67/17/730676717.db2.gz SEIRCSRMLDIICB-UHFFFAOYSA-N -1 1 327.348 -0.630 20 0 EBADMM CC(C)Oc1cccc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001031166226 730683768 /nfs/dbraw/zinc/68/37/68/730683768.db2.gz YLIJFEJBHICURZ-UHFFFAOYSA-N -1 1 346.391 -0.095 20 0 EBADMM C[C@@H]1CCc2[nH]nc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)c2C1 ZINC001031184421 730684333 /nfs/dbraw/zinc/68/43/33/730684333.db2.gz TXCIARHQZBGPMV-SECBINFHSA-N -1 1 345.407 -0.430 20 0 EBADMM Cn1cc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c(Cl)n1 ZINC001031242790 730691776 /nfs/dbraw/zinc/69/17/76/730691776.db2.gz MVNQEOXTBWZMOZ-UHFFFAOYSA-N -1 1 325.760 -0.891 20 0 EBADMM CO[C@H](CN1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CCC1 ZINC001031252121 730695123 /nfs/dbraw/zinc/69/51/23/730695123.db2.gz APWVAKMVXNXHSH-CYBMUJFWSA-N -1 1 346.391 -0.353 20 0 EBADMM Cc1conc1CN1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001031254084 730695733 /nfs/dbraw/zinc/69/57/33/730695733.db2.gz WQILBGYMDOKRQY-UHFFFAOYSA-N -1 1 329.320 -0.672 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cc(-c4ccoc4)n[nH]3)C2)nc1=O ZINC001031391625 730714383 /nfs/dbraw/zinc/71/43/83/730714383.db2.gz RDKQEYWDIPHDPQ-UHFFFAOYSA-N -1 1 343.347 -0.294 20 0 EBADMM O=C(NCC1CN(CCN2C(=O)CNC2=O)C1)c1ncccc1[O-] ZINC001031628767 730742750 /nfs/dbraw/zinc/74/27/50/730742750.db2.gz XLHXXVWSNUUTKY-UHFFFAOYSA-N -1 1 333.348 -0.999 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@@H]3CCCc4n[nH]cc43)C2)nc1=O ZINC001031671196 730748590 /nfs/dbraw/zinc/74/85/90/730748590.db2.gz GADQLOQIPFZMML-LLVKDONJSA-N -1 1 345.407 -0.500 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3ccc4nc[nH]c4n3)C2)nc1=O ZINC001031764082 730765032 /nfs/dbraw/zinc/76/50/32/730765032.db2.gz UJEVQJXIKSVHQK-UHFFFAOYSA-N -1 1 342.363 -0.759 20 0 EBADMM CCOc1cc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC001031763751 730765181 /nfs/dbraw/zinc/76/51/81/730765181.db2.gz XOJXVIPHRKWOCG-UHFFFAOYSA-N -1 1 335.368 -0.908 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3ccn4ccnc4c3)C2)nc1=O ZINC001031842710 730778815 /nfs/dbraw/zinc/77/88/15/730778815.db2.gz UAFULLFLXVFGNE-UHFFFAOYSA-N -1 1 341.375 -0.382 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@H]3CCc4cccnc43)C2)nc1=O ZINC001031929261 730794021 /nfs/dbraw/zinc/79/40/21/730794021.db2.gz FECFRGUDLUBJGW-ZDUSSCGKSA-N -1 1 342.403 -0.219 20 0 EBADMM COCc1cc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)no1 ZINC001032033913 730805271 /nfs/dbraw/zinc/80/52/71/730805271.db2.gz MJLNRBFMBCNSCY-UHFFFAOYSA-N -1 1 336.352 -0.895 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3ccc4nncn4c3)C2)nc1=O ZINC001032050244 730807496 /nfs/dbraw/zinc/80/74/96/730807496.db2.gz QLHPPOJUOZPYHW-UHFFFAOYSA-N -1 1 342.363 -0.987 20 0 EBADMM CC(C)Cn1cc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001032077073 730810982 /nfs/dbraw/zinc/81/09/82/730810982.db2.gz UXPUOYJKQPGUPQ-UHFFFAOYSA-N -1 1 347.423 -0.177 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@H]3CCc4n[nH]cc4C3)C2)nc1=O ZINC001032124452 730815135 /nfs/dbraw/zinc/81/51/35/730815135.db2.gz WLRCRWKBZVNRNL-NSHDSACASA-N -1 1 345.407 -0.815 20 0 EBADMM Cc1nc(CN2CC(CNC(=O)c3cc(=O)n4[n-]cnc4n3)C2)co1 ZINC001032127857 730816211 /nfs/dbraw/zinc/81/62/11/730816211.db2.gz HJQKOFJHKAVECE-UHFFFAOYSA-N -1 1 343.347 -0.424 20 0 EBADMM O=C(NCC1CN(Cc2cnsn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001032128153 730816741 /nfs/dbraw/zinc/81/67/41/730816741.db2.gz URYGVKHDMBMFNT-UHFFFAOYSA-N -1 1 346.376 -0.869 20 0 EBADMM Cc1nn(C)c(F)c1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032157176 730819666 /nfs/dbraw/zinc/81/96/66/730819666.db2.gz RXDUBACXILSSEE-UHFFFAOYSA-N -1 1 337.359 -0.849 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3[nH]nc4ccccc43)C2)nc1=O ZINC001032167341 730820935 /nfs/dbraw/zinc/82/09/35/730820935.db2.gz LYNPBYOJPDLVSA-UHFFFAOYSA-N -1 1 341.375 -0.154 20 0 EBADMM CCOc1cnc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001032229603 730833380 /nfs/dbraw/zinc/83/33/80/730833380.db2.gz ZZAZHEFJWPUBEO-UHFFFAOYSA-N -1 1 347.379 -0.841 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)COC2CCCC2)nc1=O ZINC001032295980 730838205 /nfs/dbraw/zinc/83/82/05/730838205.db2.gz YYNAWJVUSBZWFB-RYUDHWBXSA-N -1 1 335.408 -0.147 20 0 EBADMM Cc1nn(C)cc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032314780 730839642 /nfs/dbraw/zinc/83/96/42/730839642.db2.gz LWGJIXHYZZZWTA-QWRGUYRKSA-N -1 1 331.380 -0.751 20 0 EBADMM Cc1cc(OCC(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)no1 ZINC001032456885 730865477 /nfs/dbraw/zinc/86/54/77/730865477.db2.gz ZAKRCZZIESMPTE-QWRGUYRKSA-N -1 1 348.363 -0.731 20 0 EBADMM CCOc1nc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)co1 ZINC001032499953 730877502 /nfs/dbraw/zinc/87/75/02/730877502.db2.gz IXUKOXXMJNXKAK-UWVGGRQHSA-N -1 1 348.363 -0.406 20 0 EBADMM COc1nccc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)n1 ZINC001032513325 730880391 /nfs/dbraw/zinc/88/03/91/730880391.db2.gz CMXDLCOFPLNRNR-UWVGGRQHSA-N -1 1 345.363 -0.994 20 0 EBADMM C[C@H](C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1)c1cncnc1 ZINC001032585490 730894620 /nfs/dbraw/zinc/89/46/20/730894620.db2.gz KRXMQTBQTXPWSQ-DRZSPHRISA-N -1 1 343.391 -0.513 20 0 EBADMM CCn1nc(C)cc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032644762 730904966 /nfs/dbraw/zinc/90/49/66/730904966.db2.gz VQCCJQLZCUZIED-RYUDHWBXSA-N -1 1 345.407 -0.268 20 0 EBADMM CO[C@H](C)CN1C[C@@H]2C[C@H]1CN2C(=O)c1c[n-]n2c1nccc2=O ZINC001032671045 730908099 /nfs/dbraw/zinc/90/80/99/730908099.db2.gz ZEOCVZHRQRYXCR-WOPDTQHZSA-N -1 1 331.376 -0.044 20 0 EBADMM COc1cccc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)n1 ZINC001032710793 730916244 /nfs/dbraw/zinc/91/62/44/730916244.db2.gz QJMYVTNCBCTBGM-QWRGUYRKSA-N -1 1 344.375 -0.389 20 0 EBADMM CN(C(=O)c1ccnc(F)c1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032953089 730951480 /nfs/dbraw/zinc/95/14/80/730951480.db2.gz WSYSUOLITAHBKB-LLVKDONJSA-N -1 1 334.355 -0.011 20 0 EBADMM CN(C(=O)CC1CCOCC1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032966025 730952880 /nfs/dbraw/zinc/95/28/80/730952880.db2.gz SNJSEIAXGBIOBE-ZDUSSCGKSA-N -1 1 337.424 -0.042 20 0 EBADMM CN(C(=O)C[C@H]1COC(=O)C1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033012962 730956814 /nfs/dbraw/zinc/95/68/14/730956814.db2.gz ZUKJLQUJNBZXRI-MNOVXSKESA-N -1 1 337.380 -0.906 20 0 EBADMM COc1cc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)on1 ZINC001033022301 730958489 /nfs/dbraw/zinc/95/84/89/730958489.db2.gz BKRNJUIVRQPOIF-VIFPVBQESA-N -1 1 336.352 -0.549 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN([C@@H]2CCC(=O)NC2=O)C1 ZINC001033036705 730960268 /nfs/dbraw/zinc/96/02/68/730960268.db2.gz UIQDRCMNIIWYND-GHMZBOCLSA-N -1 1 332.360 -0.261 20 0 EBADMM CN(C(=O)CC1OCCCO1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033038308 730960890 /nfs/dbraw/zinc/96/08/90/730960890.db2.gz IUQMNWYRALRRSL-NSHDSACASA-N -1 1 339.396 -0.706 20 0 EBADMM CCn1ccnc1C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033045555 730962350 /nfs/dbraw/zinc/96/23/50/730962350.db2.gz FRJVINSXQINXTE-LLVKDONJSA-N -1 1 333.396 -0.329 20 0 EBADMM C[C@H](C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)c1ccnn1C ZINC001033092101 730969484 /nfs/dbraw/zinc/96/94/84/730969484.db2.gz OTDWEMDYGGQGEX-RYUDHWBXSA-N -1 1 347.423 -0.322 20 0 EBADMM CCn1ccc(CC(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001033098268 730970216 /nfs/dbraw/zinc/97/02/16/730970216.db2.gz DASBSJLOHSRDQT-ZDUSSCGKSA-N -1 1 347.423 -0.400 20 0 EBADMM CN(C(=O)[C@@]1(F)CCOC1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033362564 731014068 /nfs/dbraw/zinc/01/40/68/731014068.db2.gz SHLLTLSMJHUGFP-IINYFYTJSA-N -1 1 327.360 -0.730 20 0 EBADMM Cc1cc(=O)c(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c[nH]1 ZINC001033554405 731038324 /nfs/dbraw/zinc/03/83/24/731038324.db2.gz MCTWJYNGFVUKBM-NSHDSACASA-N -1 1 346.391 -0.548 20 0 EBADMM Cc1nn(C)cc1CN1CC[C@H](N(C)C(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC001033615571 731046910 /nfs/dbraw/zinc/04/69/10/731046910.db2.gz AAFHWDHSIISRGS-LBPRGKRZSA-N -1 1 349.395 -0.536 20 0 EBADMM C[C@@H](C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)c1cnn(C)c1 ZINC001033723532 731070344 /nfs/dbraw/zinc/07/03/44/731070344.db2.gz PULREBUNTIESKX-DGCLKSJQSA-N -1 1 347.423 -0.322 20 0 EBADMM CCN(C(=O)[C@H]1CCCOC1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033773176 731072613 /nfs/dbraw/zinc/07/26/13/731072613.db2.gz OLEGXLXWDUCSAA-QWHCGFSZSA-N -1 1 337.424 -0.042 20 0 EBADMM CCN(C(=O)c1n[nH]cc1C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033822481 731077268 /nfs/dbraw/zinc/07/72/68/731077268.db2.gz JBTNLMWKWWNBOX-NSHDSACASA-N -1 1 333.396 -0.123 20 0 EBADMM CCN(C(=O)c1cn(C)c(=O)[nH]1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033940614 731092247 /nfs/dbraw/zinc/09/22/47/731092247.db2.gz ITTYAJCPBRFJHR-SNVBAGLBSA-N -1 1 349.395 -0.716 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H](NC(=O)C3=COCCO3)C2)nc1=O ZINC001034079278 731102064 /nfs/dbraw/zinc/10/20/64/731102064.db2.gz DAAHLNFUZTZLJI-NSHDSACASA-N -1 1 337.380 -0.533 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H](NC(=O)c3cc[n+]([O-])cc3)C2)nc1=O ZINC001034083482 731102271 /nfs/dbraw/zinc/10/22/71/731102271.db2.gz CLWCIECIGYVAOV-CYBMUJFWSA-N -1 1 346.391 -0.474 20 0 EBADMM Cc1c(C(=O)N[C@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)nnn1C ZINC001034140546 731105043 /nfs/dbraw/zinc/10/50/43/731105043.db2.gz BYPCKACXMQOWTK-NSHDSACASA-N -1 1 348.411 -0.670 20 0 EBADMM Cn1cc(C(=O)N[C@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)[nH]c1=O ZINC001034377315 731126934 /nfs/dbraw/zinc/12/69/34/731126934.db2.gz RZOQHWDRZKRBGM-JTQLQIEISA-N -1 1 349.395 -0.668 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H](NC(=O)c3cccnn3)C2)nc1=O ZINC001034455778 731133253 /nfs/dbraw/zinc/13/32/53/731133253.db2.gz ONZGKFVVTSJOLE-NSHDSACASA-N -1 1 331.380 -0.317 20 0 EBADMM Cc1nonc1CC(=O)N[C@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034463788 731133625 /nfs/dbraw/zinc/13/36/25/731133625.db2.gz AOJIUGAANCNUTC-NSHDSACASA-N -1 1 349.395 -0.487 20 0 EBADMM CCn1cc(C(=O)N[C@@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001034466939 731133805 /nfs/dbraw/zinc/13/38/05/731133805.db2.gz HZRNLYULUDUXDD-LLVKDONJSA-N -1 1 348.411 -0.496 20 0 EBADMM Cc1nccc(C(=O)N[C@@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001034531532 731139276 /nfs/dbraw/zinc/13/92/76/731139276.db2.gz KYFJYMZKJJAZEN-GFCCVEGCSA-N -1 1 345.407 -0.009 20 0 EBADMM CC(C)(C)n1nnc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)n1 ZINC001034944007 731166073 /nfs/dbraw/zinc/16/60/73/731166073.db2.gz OTIDCINBOOPMAB-SECBINFHSA-N -1 1 349.399 -0.354 20 0 EBADMM O=C(c1cnn2ncccc12)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034958812 731167899 /nfs/dbraw/zinc/16/78/99/731167899.db2.gz VTIXNSBFVKEBPO-SNVBAGLBSA-N -1 1 342.363 -0.053 20 0 EBADMM O=C(c1cnc2cccnn21)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035074772 731182665 /nfs/dbraw/zinc/18/26/65/731182665.db2.gz QYUPUPFXVYVGNJ-SNVBAGLBSA-N -1 1 342.363 -0.053 20 0 EBADMM CCn1nc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)ccc1=O ZINC001035082209 731183768 /nfs/dbraw/zinc/18/37/68/731183768.db2.gz HJSAXDBAVGHTSE-SNVBAGLBSA-N -1 1 347.379 -0.519 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCC[C@@H]1CNCc1cnon1 ZINC001035101091 731186334 /nfs/dbraw/zinc/18/63/34/731186334.db2.gz LVLURPXNIMZPDF-SNVBAGLBSA-N -1 1 344.335 -0.805 20 0 EBADMM Cn1ccc(CNC[C@H]2CCCN2C(=O)Cn2c(=O)[n-][nH]c2=O)n1 ZINC001035115868 731187940 /nfs/dbraw/zinc/18/79/40/731187940.db2.gz QUMOGCOTFVSPJC-LLVKDONJSA-N -1 1 335.368 -0.796 20 0 EBADMM NC(=O)c1ncccc1C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035134620 731191129 /nfs/dbraw/zinc/19/11/29/731191129.db2.gz XVPHMHDFWCWVMZ-SECBINFHSA-N -1 1 345.363 -0.601 20 0 EBADMM O=C([C@H]1CCNC1=O)N1CCC2(CCN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035211782 731197400 /nfs/dbraw/zinc/19/74/00/731197400.db2.gz MQUIDNILVIFWAV-NSHDSACASA-N -1 1 348.407 -0.539 20 0 EBADMM Cc1[nH]nc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)c1C ZINC001035325068 731215633 /nfs/dbraw/zinc/21/56/33/731215633.db2.gz WWWJFHYQOXGNHK-LLVKDONJSA-N -1 1 349.395 -0.921 20 0 EBADMM Cc1cc(C)c(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)o1 ZINC001035327893 731216583 /nfs/dbraw/zinc/21/65/83/731216583.db2.gz ZOGKIHNQTHCJRJ-LBPRGKRZSA-N -1 1 349.391 -0.051 20 0 EBADMM CCN1CC[C@@H](N2CCO[C@H](CNC(=O)c3ncccc3[O-])C2)C1=O ZINC001035344298 731221922 /nfs/dbraw/zinc/22/19/22/731221922.db2.gz PIVLRGFUYJINTC-CHWSQXEVSA-N -1 1 348.403 -0.161 20 0 EBADMM CCN1CC[C@H](N2CCO[C@@H](CNC(=O)c3ncccc3[O-])C2)C1=O ZINC001035344303 731222098 /nfs/dbraw/zinc/22/20/98/731222098.db2.gz PIVLRGFUYJINTC-STQMWFEESA-N -1 1 348.403 -0.161 20 0 EBADMM O=C(NC[C@@H]1CN(CC2CCCC2)CCO1)c1n[nH]c(=O)[n-]c1=O ZINC001035431534 731253180 /nfs/dbraw/zinc/25/31/80/731253180.db2.gz IZTPUBIVDRPVAI-LLVKDONJSA-N -1 1 337.380 -0.096 20 0 EBADMM CN1CCC[C@@H]1C(=O)NC[C@@H]1CCN1Cc1cc(=O)n2[n-]ccc2n1 ZINC001038117153 731283984 /nfs/dbraw/zinc/28/39/84/731283984.db2.gz OBUKDNOODRWXDZ-UONOGXRCSA-N -1 1 344.419 -0.193 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@@H]2CCCc3n[nH]cc32)nc1=O ZINC001038247943 731298534 /nfs/dbraw/zinc/29/85/34/731298534.db2.gz XECNNTLGMOAZKG-GHMZBOCLSA-N -1 1 345.407 -0.358 20 0 EBADMM CC(C)[C@@H]1CCO[C@@H]1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038256970 731299982 /nfs/dbraw/zinc/29/99/82/731299982.db2.gz JNKRCIMCLFCMTQ-DYEKYZERSA-N -1 1 337.424 -0.140 20 0 EBADMM CC(C)n1nccc1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038290234 731302914 /nfs/dbraw/zinc/30/29/14/731302914.db2.gz LXODJTYFDULKSX-LLVKDONJSA-N -1 1 333.396 -0.110 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@@H]2Cc3ccccc3O2)nc1=O ZINC001038474152 731332345 /nfs/dbraw/zinc/33/23/45/731332345.db2.gz DGEORBHFKGXUMM-OCCSQVGLSA-N -1 1 343.387 -0.197 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2onc3c2CCCC3)nc1=O ZINC001038507108 731337199 /nfs/dbraw/zinc/33/71/99/731337199.db2.gz FOOWHWRPCHUHNW-SNVBAGLBSA-N -1 1 346.391 -0.021 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2ccn3ccnc3c2)nc1=O ZINC001038509268 731337568 /nfs/dbraw/zinc/33/75/68/731337568.db2.gz VUTFYEYTYGQMTQ-GFCCVEGCSA-N -1 1 341.375 -0.240 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@@H]2CCCc3nn[nH]c32)nc1=O ZINC001038647477 731359451 /nfs/dbraw/zinc/35/94/51/731359451.db2.gz SZZGCQAQBFMARG-VHSXEESVSA-N -1 1 346.395 -0.963 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cc(C(F)F)n[nH]2)nc1=O ZINC001038692035 731365068 /nfs/dbraw/zinc/36/50/68/731365068.db2.gz RSERHHQWLHKHCY-ZETCQYMHSA-N -1 1 341.322 -0.227 20 0 EBADMM O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[n-]1)[C@H]1CCc2[nH]nnc2C1 ZINC001038916630 731391812 /nfs/dbraw/zinc/39/18/12/731391812.db2.gz FXUVCPDRDCQGSR-DTWKUNHWSA-N -1 1 332.368 -0.876 20 0 EBADMM O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[n-]1)[C@H]1CCc2nn[nH]c2C1 ZINC001038916630 731391814 /nfs/dbraw/zinc/39/18/14/731391814.db2.gz FXUVCPDRDCQGSR-DTWKUNHWSA-N -1 1 332.368 -0.876 20 0 EBADMM O=C(NC[C@H]1CCN1Cc1ccon1)c1cc(=O)n2[n-]cnc2n1 ZINC001038921950 731393261 /nfs/dbraw/zinc/39/32/61/731393261.db2.gz NOODTAFSYLYXMJ-SNVBAGLBSA-N -1 1 329.320 -0.590 20 0 EBADMM Cc1nocc1CN1CC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001038921703 731393381 /nfs/dbraw/zinc/39/33/81/731393381.db2.gz NEMVACARRLGEIM-LLVKDONJSA-N -1 1 343.347 -0.282 20 0 EBADMM Cn1ccc(CN2CC[C@H]2CNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001038923859 731393729 /nfs/dbraw/zinc/39/37/29/731393729.db2.gz VUMZRONQPRDEKP-NSHDSACASA-N -1 1 342.363 -0.845 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cc(Cl)no2)nc1=O ZINC001038946316 731396690 /nfs/dbraw/zinc/39/66/90/731396690.db2.gz ZJLMNLUVPFCYIP-ZETCQYMHSA-N -1 1 326.744 -0.246 20 0 EBADMM O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[n-]1)c1ccc2[nH]nnc2c1 ZINC001039013033 731403853 /nfs/dbraw/zinc/40/38/53/731403853.db2.gz PUMGFGWGVPYCJT-SECBINFHSA-N -1 1 328.336 -0.214 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CC[C@@H](C2)N3C(=O)C2=COCCO2)nc1=O ZINC001039329862 731427530 /nfs/dbraw/zinc/42/75/30/731427530.db2.gz KGFOPTLJXWIGLW-NEPJUHHUSA-N -1 1 349.391 -0.438 20 0 EBADMM Cn1cc(C(=O)N2C[C@H]3CCC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)nn1 ZINC001039569543 731446687 /nfs/dbraw/zinc/44/66/87/731446687.db2.gz OMADZSINSXXJQQ-PHIMTYICSA-N -1 1 346.395 -0.884 20 0 EBADMM O=C(NC[C@]1(O)CCN(C(=O)c2ncccc2[O-])C1)[C@]12C[C@H]1COC2 ZINC001040067275 731583432 /nfs/dbraw/zinc/58/34/32/731583432.db2.gz YKLDWHGGVDUSMI-JECHBYEQSA-N -1 1 347.371 -0.483 20 0 EBADMM COC1CC(C(=O)NC[C@@]2(O)CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC001040131569 731615901 /nfs/dbraw/zinc/61/59/01/731615901.db2.gz AHTILJHRZLGIHN-MFGLSYLJSA-N -1 1 349.387 -0.095 20 0 EBADMM O=C(NC[C@@]1(O)CCN(C(=O)c2ncccc2[O-])C1)[C@H]1[C@@H]2COC[C@@H]21 ZINC001040613125 731793766 /nfs/dbraw/zinc/79/37/66/731793766.db2.gz IRUKQSGEJWEJNW-ZKAWZIJESA-N -1 1 347.371 -0.627 20 0 EBADMM O=C(Cc1cc[nH]n1)NC[C@H]1COCCN1C(=O)c1ncccc1[O-] ZINC001061457911 738554537 /nfs/dbraw/zinc/55/45/37/738554537.db2.gz MULPMEZPLPWSTM-LBPRGKRZSA-N -1 1 345.359 -0.290 20 0 EBADMM C[C@@H]1CCO[C@@H]1C(=O)NC[C@@]1(O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001040942334 731933294 /nfs/dbraw/zinc/93/32/94/731933294.db2.gz OUVBPWFWXNROTH-WHCBVINPSA-N -1 1 349.387 -0.095 20 0 EBADMM COCc1cc(N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)ncn1 ZINC001040995171 731955050 /nfs/dbraw/zinc/95/50/50/731955050.db2.gz BOXGQHKOJLROPF-DGCLKSJQSA-N -1 1 345.359 -0.297 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)c1cnccn1 ZINC001041257849 732053665 /nfs/dbraw/zinc/05/36/65/732053665.db2.gz LUPBJQBCYJZBOC-UHFFFAOYSA-N -1 1 329.316 -0.806 20 0 EBADMM Cn1cc(C(=O)N2CC[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)[C@@H]3C2)cn1 ZINC001041926876 732256499 /nfs/dbraw/zinc/25/64/99/732256499.db2.gz FWUFSAFNUSZDGM-DGCLKSJQSA-N -1 1 345.407 -0.422 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)c4cnsn4)C[C@@H]32)nc1=O ZINC001041953320 732262623 /nfs/dbraw/zinc/26/26/23/732262623.db2.gz IUBBFUVVYUYDAR-KOLCDFICSA-N -1 1 349.420 -0.304 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)c4cnsn4)C[C@H]32)nc1=O ZINC001041953319 732262701 /nfs/dbraw/zinc/26/27/01/732262701.db2.gz IUBBFUVVYUYDAR-GXSJLCMTSA-N -1 1 349.420 -0.304 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)c4cn[nH]c4)C[C@H]32)nc1=O ZINC001041954845 732263232 /nfs/dbraw/zinc/26/32/32/732263232.db2.gz RAZPVLFHQXAJPY-ZYHUDNBSSA-N -1 1 331.380 -0.432 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)c4cocn4)C[C@H]32)nc1=O ZINC001041973681 732265695 /nfs/dbraw/zinc/26/56/95/732265695.db2.gz VUDYQHPIOSXJFW-ZYHUDNBSSA-N -1 1 332.364 -0.167 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)CC(C)(C)O)C[C@H]32)nc1=O ZINC001042035208 732284217 /nfs/dbraw/zinc/28/42/17/732284217.db2.gz OPLUKEFCIZTFKI-NWDGAFQWSA-N -1 1 337.424 -0.308 20 0 EBADMM Cc1ncc(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)[nH]1 ZINC001042078305 732297614 /nfs/dbraw/zinc/29/76/14/732297614.db2.gz DZGRUPRPHKVCQN-UHFFFAOYSA-N -1 1 331.332 -0.564 20 0 EBADMM Cc1n[nH]cc1C(=O)N1CC[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1 ZINC001042173963 732342783 /nfs/dbraw/zinc/34/27/83/732342783.db2.gz UWCPJSYFJFLLGE-AAEUAGOBSA-N -1 1 345.407 -0.123 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)c4ccncn4)C[C@@H]32)nc1=O ZINC001042276272 732387253 /nfs/dbraw/zinc/38/72/53/732387253.db2.gz IIKSCWIVNMRDLW-YPMHNXCESA-N -1 1 343.391 -0.365 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)c4ccncn4)C[C@H]32)nc1=O ZINC001042276271 732387461 /nfs/dbraw/zinc/38/74/61/732387461.db2.gz IIKSCWIVNMRDLW-WCQYABFASA-N -1 1 343.391 -0.365 20 0 EBADMM Cc1cc(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)ncn1 ZINC001042588464 732489852 /nfs/dbraw/zinc/48/98/52/732489852.db2.gz FOFHMQRCHVKSOD-UHFFFAOYSA-N -1 1 343.343 -0.497 20 0 EBADMM O=C(C[C@H]1CCCO1)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042713277 732547190 /nfs/dbraw/zinc/54/71/90/732547190.db2.gz RBYRFJHWVQJZME-LLVKDONJSA-N -1 1 335.360 -0.341 20 0 EBADMM C[C@H]1OCC[C@@H]1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042716163 732548251 /nfs/dbraw/zinc/54/82/51/732548251.db2.gz ICVAHXFRZXPKMM-MNOVXSKESA-N -1 1 335.360 -0.485 20 0 EBADMM CCc1cc(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)no1 ZINC001042716633 732548702 /nfs/dbraw/zinc/54/87/02/732548702.db2.gz VPGFLIOJEQTYAY-UHFFFAOYSA-N -1 1 346.343 -0.046 20 0 EBADMM C[C@@H]1CC[C@H](C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)O1 ZINC001042719992 732550613 /nfs/dbraw/zinc/55/06/13/732550613.db2.gz PLPFWDGTNMMSRP-ZYHUDNBSSA-N -1 1 335.360 -0.342 20 0 EBADMM COCCC1(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)CC1 ZINC001042720009 732550657 /nfs/dbraw/zinc/55/06/57/732550657.db2.gz PYBBTLISLKCBCL-UHFFFAOYSA-N -1 1 349.387 -0.093 20 0 EBADMM Cc1nc[nH]c1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042720533 732551629 /nfs/dbraw/zinc/55/16/29/732551629.db2.gz YKSFFBLGTXHHFS-UHFFFAOYSA-N -1 1 331.332 -0.564 20 0 EBADMM CN(C(=O)c1ccnc2[nH]cnc21)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042812947 732599800 /nfs/dbraw/zinc/59/98/00/732599800.db2.gz ZNJBVRDLCYOOPM-UHFFFAOYSA-N -1 1 342.363 -0.664 20 0 EBADMM CC[C@@H]1OCCC[C@H]1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042884451 732642285 /nfs/dbraw/zinc/64/22/85/732642285.db2.gz KSRFPFPWJGNDGG-OLZOCXBDSA-N -1 1 337.424 -0.044 20 0 EBADMM CCn1nncc1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001042907349 732656213 /nfs/dbraw/zinc/65/62/13/732656213.db2.gz XUSQULNSAWWHKL-UHFFFAOYSA-N -1 1 346.347 -0.985 20 0 EBADMM CCc1nn(C)cc1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043010480 732710555 /nfs/dbraw/zinc/71/05/55/732710555.db2.gz YOCNSUFZIIEDHX-UHFFFAOYSA-N -1 1 333.396 -0.639 20 0 EBADMM CN(C(=O)c1n[nH]c2c1CCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043206816 732821436 /nfs/dbraw/zinc/82/14/36/732821436.db2.gz BKSIDBXXLZRBFM-UHFFFAOYSA-N -1 1 331.380 -0.723 20 0 EBADMM O=C(Cc1ccc[nH]1)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001043292084 732859750 /nfs/dbraw/zinc/85/97/50/732859750.db2.gz PGXYVGXMPZRCAJ-UHFFFAOYSA-N -1 1 330.344 -0.339 20 0 EBADMM CN(C(=O)C[C@@H]1CC(C)(C)CO1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043317830 732867992 /nfs/dbraw/zinc/86/79/92/732867992.db2.gz PLPYQCLPHUYPSK-GFCCVEGCSA-N -1 1 337.424 -0.044 20 0 EBADMM CN(C(=O)[C@]12CCC[C@H]1OCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043319058 732869012 /nfs/dbraw/zinc/86/90/12/732869012.db2.gz DDXOMCLBMOPMMF-WBMJQRKESA-N -1 1 335.408 -0.290 20 0 EBADMM CN(C(=O)c1cnc([O-])n(C)c1=O)C1CN(C[C@H]2C[C@]23CCOC3)C1 ZINC001043509337 732977322 /nfs/dbraw/zinc/97/73/22/732977322.db2.gz CLWBWNXOHVYSEA-DIFFPNOSSA-N -1 1 348.403 -0.331 20 0 EBADMM CN(C(=O)c1ocnc1C(F)F)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043884509 733175474 /nfs/dbraw/zinc/17/54/74/733175474.db2.gz FZJVFDOIIDCCDW-UHFFFAOYSA-N -1 1 342.306 -0.010 20 0 EBADMM O=C(COCC(F)F)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001043962991 733222437 /nfs/dbraw/zinc/22/24/37/733222437.db2.gz NKGXTANFIAPQBX-UHFFFAOYSA-N -1 1 345.302 -0.628 20 0 EBADMM CN(C(=O)c1cnc(C2CC2)[n-]c1=O)C1CN([C@@H]2CCNC2=O)C1 ZINC001044014220 733246701 /nfs/dbraw/zinc/24/67/01/733246701.db2.gz OEGOCWBHSMTREN-GFCCVEGCSA-N -1 1 331.376 -0.296 20 0 EBADMM CN(C(=O)c1cccc2nc[nH]c21)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044080507 733279086 /nfs/dbraw/zinc/27/90/86/733279086.db2.gz PZANABMMAMZKAB-UHFFFAOYSA-N -1 1 341.375 -0.059 20 0 EBADMM CC(C)Cn1cc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001044095341 733284169 /nfs/dbraw/zinc/28/41/69/733284169.db2.gz FWELZQSVCGBAHE-UHFFFAOYSA-N -1 1 347.423 -0.083 20 0 EBADMM CN(C(=O)c1ccc2nccn2c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044170100 733313507 /nfs/dbraw/zinc/31/35/07/733313507.db2.gz GRMDAGDMLXTCQL-UHFFFAOYSA-N -1 1 341.375 -0.288 20 0 EBADMM CN(C(=O)c1cn(C)nc1Cl)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044173541 733315412 /nfs/dbraw/zinc/31/54/12/733315412.db2.gz IFJIFBDVYLNTRO-UHFFFAOYSA-N -1 1 339.787 -0.548 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C[C@@H]2CCCCO2)C1 ZINC001044180776 733320385 /nfs/dbraw/zinc/32/03/85/733320385.db2.gz KXJYVVCZUJHBMW-LBPRGKRZSA-N -1 1 346.391 -0.257 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(Cc2ccns2)C1 ZINC001044181567 733321535 /nfs/dbraw/zinc/32/15/35/733321535.db2.gz BGNBIRDRFVRCEB-UHFFFAOYSA-N -1 1 345.388 -0.170 20 0 EBADMM CCc1noc(C)c1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044286408 733356260 /nfs/dbraw/zinc/35/62/60/733356260.db2.gz SVTLHRYTBWALFE-UHFFFAOYSA-N -1 1 334.380 -0.076 20 0 EBADMM CN(C(=O)[C@H]1COc2ccccc21)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044367109 733400860 /nfs/dbraw/zinc/40/08/60/733400860.db2.gz RFUAIFYLYZLJOO-ZDUSSCGKSA-N -1 1 343.387 -0.073 20 0 EBADMM CN(C(=O)[C@H]1CC12CCOCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044379817 733407209 /nfs/dbraw/zinc/40/72/09/733407209.db2.gz NTJRHMDYYTYZKA-GFCCVEGCSA-N -1 1 335.408 -0.432 20 0 EBADMM Cc1nn(C)cc1CC(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045072392 733572174 /nfs/dbraw/zinc/57/21/74/733572174.db2.gz NPZLRQPXWWBGHD-LBPRGKRZSA-N -1 1 333.396 -0.124 20 0 EBADMM Cn1ccc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)cc1=O ZINC001045096044 733578674 /nfs/dbraw/zinc/57/86/74/733578674.db2.gz AXUWVZOCXLYTMN-NSHDSACASA-N -1 1 332.364 -0.397 20 0 EBADMM COc1nccc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)n1 ZINC001045118129 733589548 /nfs/dbraw/zinc/58/95/48/733589548.db2.gz VDNARBCKNMTZDZ-VIFPVBQESA-N -1 1 333.352 -0.297 20 0 EBADMM CCn1cc(CC(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)nn1 ZINC001045131639 733593878 /nfs/dbraw/zinc/59/38/78/733593878.db2.gz QAFGDEGVNFTSHH-NSHDSACASA-N -1 1 334.384 -0.555 20 0 EBADMM NC(=O)[C@@H]1CC[C@H](C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)C1 ZINC001045204318 733621956 /nfs/dbraw/zinc/62/19/56/733621956.db2.gz HALCUTOZZMTCQG-VWYCJHECSA-N -1 1 336.396 -0.508 20 0 EBADMM O=C(c1nccn2ccnc12)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045207547 733622998 /nfs/dbraw/zinc/62/29/98/733622998.db2.gz YPOOVVGCVUUSHK-JTQLQIEISA-N -1 1 342.363 -0.053 20 0 EBADMM COc1ccc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)nn1 ZINC001045266424 733642099 /nfs/dbraw/zinc/64/20/99/733642099.db2.gz UXSJSWHUMINEAV-VIFPVBQESA-N -1 1 333.352 -0.297 20 0 EBADMM CC(=O)N1CCC[C@H]1C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045278988 733647105 /nfs/dbraw/zinc/64/71/05/733647105.db2.gz FPGANEPPIRMVKP-RYUDHWBXSA-N -1 1 336.396 -0.398 20 0 EBADMM C[C@H]1C[C@@H](C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)CCO1 ZINC001045312419 733665839 /nfs/dbraw/zinc/66/58/39/733665839.db2.gz ZTTUFXZMSWQJNI-RYUDHWBXSA-N -1 1 349.387 -0.095 20 0 EBADMM Cn1[n-]c(CN2CCC(C)(NC(=O)C3=COCCO3)CC2)nc1=O ZINC001045356361 733674808 /nfs/dbraw/zinc/67/48/08/733674808.db2.gz SZYZUDKULKJDMG-UHFFFAOYSA-N -1 1 337.380 -0.533 20 0 EBADMM Cn1[n-]c(CN2CCC(C)(NC(=O)c3cc[n+]([O-])cc3)CC2)nc1=O ZINC001045357090 733675208 /nfs/dbraw/zinc/67/52/08/733675208.db2.gz JIIMCJFQZCCTCU-UHFFFAOYSA-N -1 1 346.391 -0.474 20 0 EBADMM Cc1ncc(C(=O)NC2(C)CCN(Cc3nc(=O)n(C)[n-]3)CC2)[nH]1 ZINC001045388948 733683447 /nfs/dbraw/zinc/68/34/47/733683447.db2.gz WNBSIJHZVKIWPJ-UHFFFAOYSA-N -1 1 333.396 -0.076 20 0 EBADMM Cc1ccnc(C(=O)NC2(C)CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC001045424565 733693448 /nfs/dbraw/zinc/69/34/48/733693448.db2.gz LVCZJGXBLAEYFR-UHFFFAOYSA-N -1 1 345.407 -0.009 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@]2(C)CCN(CCN3C(=O)CCC3=O)C2)c1[O-] ZINC001046238744 733891378 /nfs/dbraw/zinc/89/13/78/733891378.db2.gz HQNBVBPACOUIOC-MRXNPFEDSA-N -1 1 349.391 -0.233 20 0 EBADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN([C@H]2CCC(=O)NC2=O)C1 ZINC001046261495 733898598 /nfs/dbraw/zinc/89/85/98/733898598.db2.gz DRJGVCKHGSHEJV-QFYYESIMSA-N -1 1 332.360 -0.213 20 0 EBADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN([C@@H]2CCC(=O)NC2=O)C1 ZINC001046261498 733898864 /nfs/dbraw/zinc/89/88/64/733898864.db2.gz DRJGVCKHGSHEJV-QLJPJBMISA-N -1 1 332.360 -0.213 20 0 EBADMM Cc1ccnc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001046284071 733901256 /nfs/dbraw/zinc/90/12/56/733901256.db2.gz NZNQHEGRRVOZNB-OAHLLOKOSA-N -1 1 331.380 -0.399 20 0 EBADMM CCc1nn(C)cc1C(=O)N[C@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001046400191 733927694 /nfs/dbraw/zinc/92/76/94/733927694.db2.gz JBAGTIFVCZLMKV-MRXNPFEDSA-N -1 1 347.423 -0.201 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N[C@@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001046399511 733927775 /nfs/dbraw/zinc/92/77/75/733927775.db2.gz IHZIFLMFHDUUIH-AWEZNQCLSA-N -1 1 335.368 -0.760 20 0 EBADMM COc1cc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)ccn1 ZINC001046438907 733934875 /nfs/dbraw/zinc/93/48/75/733934875.db2.gz HQOYOEDMUHOHRF-MRXNPFEDSA-N -1 1 346.391 -0.094 20 0 EBADMM Cn1[n-]c(CN2CC[C@](C)(NC(=O)c3ccc(=O)n(C)c3)C2)nc1=O ZINC001046440238 733935324 /nfs/dbraw/zinc/93/53/24/733935324.db2.gz MFJVNMSMELJFJM-INIZCTEOSA-N -1 1 346.391 -0.799 20 0 EBADMM COc1cc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC001046473944 733944171 /nfs/dbraw/zinc/94/41/71/733944171.db2.gz RMSAWBORRFMIPD-OAHLLOKOSA-N -1 1 349.395 -0.755 20 0 EBADMM O=C(Cc1ncc[nH]1)NC[C@H]1COCCN1C(=O)c1ncccc1[O-] ZINC001061817640 738767114 /nfs/dbraw/zinc/76/71/14/738767114.db2.gz INVOOCLBRRBAQI-NSHDSACASA-N -1 1 345.359 -0.290 20 0 EBADMM COc1nc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)co1 ZINC001046515779 733951966 /nfs/dbraw/zinc/95/19/66/733951966.db2.gz XKOZOHQTWLMXME-CQSZACIVSA-N -1 1 336.352 -0.501 20 0 EBADMM Cc1ncc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)n1C ZINC001046570176 733967214 /nfs/dbraw/zinc/96/72/14/733967214.db2.gz WLCOHTRIVBEULZ-HNNXBMFYSA-N -1 1 333.396 -0.455 20 0 EBADMM Cc1cc(=O)c(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)c[nH]1 ZINC001046748796 734018768 /nfs/dbraw/zinc/01/87/68/734018768.db2.gz YLFYNFPYRRHUSO-MRXNPFEDSA-N -1 1 346.391 -0.500 20 0 EBADMM Nc1nccnc1C(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047039283 734084528 /nfs/dbraw/zinc/08/45/28/734084528.db2.gz HMFDLJPZYVSHSU-AEJSXWLSSA-N -1 1 344.379 -0.335 20 0 EBADMM Cc1nnc(CC(=O)N[C@@H]2C[C@H]3C[C@@H](C2)N(Cc2n[nH]c(=O)[n-]2)C3)[nH]1 ZINC001047071958 734089459 /nfs/dbraw/zinc/08/94/59/734089459.db2.gz WMSLMCGCZFIMHI-AXFHLTTASA-N -1 1 346.395 -0.351 20 0 EBADMM O=C(N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2)c1cn[nH]c(=O)c1 ZINC001047088693 734092753 /nfs/dbraw/zinc/09/27/53/734092753.db2.gz AUOYTRSLXFAODV-LSJOCFKGSA-N -1 1 345.363 -0.211 20 0 EBADMM Cc1nonc1C(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047211272 734111222 /nfs/dbraw/zinc/11/12/22/734111222.db2.gz FNOYXDJDBVUVNM-AEJSXWLSSA-N -1 1 333.352 -0.015 20 0 EBADMM NC(=O)NCC(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(C(=O)c1ncccc1[O-])C2 ZINC001047304231 734123793 /nfs/dbraw/zinc/12/37/93/734123793.db2.gz WVQSKNLBNJTXDH-DCAQKATOSA-N -1 1 347.375 -0.435 20 0 EBADMM Cc1ncccc1C(=O)N(C)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047508979 734232388 /nfs/dbraw/zinc/23/23/88/734232388.db2.gz QIZYVGVAZVOJGC-STQMWFEESA-N -1 1 346.391 -0.871 20 0 EBADMM C[C@@H](NCc1cnon1)[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001047893853 734319066 /nfs/dbraw/zinc/31/90/66/734319066.db2.gz HIMWTPZWRQWLPN-SFYZADRCSA-N -1 1 335.324 -0.694 20 0 EBADMM Cc1nnc(CNC[C@H]2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@@H]2C)o1 ZINC001048303784 734385778 /nfs/dbraw/zinc/38/57/78/734385778.db2.gz KBXGKMJJGKQWMV-CBAPKCEASA-N -1 1 349.351 -0.528 20 0 EBADMM C[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@H]1CNCc1cnon1 ZINC001048305881 734386671 /nfs/dbraw/zinc/38/66/71/734386671.db2.gz VBMSXBPEKDISAN-YUMQZZPRSA-N -1 1 335.324 -0.836 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N1C[C@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C1 ZINC001048879678 734554338 /nfs/dbraw/zinc/55/43/38/734554338.db2.gz QKZGHRPREKZRDT-DTORHVGOSA-N -1 1 333.352 -0.549 20 0 EBADMM O=C(c1csc(=O)[nH]1)N1C[C@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C1 ZINC001048997351 734605873 /nfs/dbraw/zinc/60/58/73/734605873.db2.gz MLGHPESJKFRXEN-OCAPTIKFSA-N -1 1 336.377 -0.124 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@H]2CCCN3C(=O)c2cnccn2)nc1=O ZINC001049301683 734704990 /nfs/dbraw/zinc/70/49/90/734704990.db2.gz KNUXOXSVVSBQDF-OLZOCXBDSA-N -1 1 343.391 -0.223 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@H]2CCCN3C(=O)c2cnsn2)nc1=O ZINC001049341850 734712113 /nfs/dbraw/zinc/71/21/13/734712113.db2.gz PLAQFENBNWOKDH-GHMZBOCLSA-N -1 1 349.420 -0.161 20 0 EBADMM Cn1cnc(C(=O)N2CCC[C@H]3[C@H]2CCN3Cc2nc(=O)n(C)[n-]2)n1 ZINC001049456159 734734493 /nfs/dbraw/zinc/73/44/93/734734493.db2.gz IZYWZKINQMOZTE-WDEREUQCSA-N -1 1 346.395 -0.884 20 0 EBADMM C[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)[C@H]1CCN(C(=O)C2CC2)C1 ZINC001049686745 734778826 /nfs/dbraw/zinc/77/88/26/734778826.db2.gz SGIUBYCGBTZZDI-AAEUAGOBSA-N -1 1 348.403 -0.310 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@H]2CCCN3C(=O)c2ncccn2)nc1=O ZINC001049799630 734791517 /nfs/dbraw/zinc/79/15/17/734791517.db2.gz XVIWGTRCQVARTB-VXGBXAGGSA-N -1 1 343.391 -0.223 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@@H]2CCCN3C(=O)c2cccnn2)nc1=O ZINC001049806721 734792785 /nfs/dbraw/zinc/79/27/85/734792785.db2.gz KJKQQSYXSAWRHZ-QWHCGFSZSA-N -1 1 343.391 -0.223 20 0 EBADMM Cn1ccc(C(=O)N2CCC[C@H]3[C@@H]2CCN3Cc2nc(=O)n(C)[n-]2)n1 ZINC001049896772 734805181 /nfs/dbraw/zinc/80/51/81/734805181.db2.gz OPUOGWGDBZURAT-STQMWFEESA-N -1 1 345.407 -0.279 20 0 EBADMM Cn1ccc(C(=O)N2CCC[C@H]3[C@H]2CCN3Cc2nc(=O)n(C)[n-]2)n1 ZINC001049896768 734805303 /nfs/dbraw/zinc/80/53/03/734805303.db2.gz OPUOGWGDBZURAT-QWHCGFSZSA-N -1 1 345.407 -0.279 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)CNC(N)=O)C1 ZINC001049984654 734818478 /nfs/dbraw/zinc/81/84/78/734818478.db2.gz VOVXAMNKNGBIDG-VHSXEESVSA-N -1 1 335.364 -0.578 20 0 EBADMM C[C@@H](NC(=O)CNC(N)=O)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050529590 734919378 /nfs/dbraw/zinc/91/93/78/734919378.db2.gz IUDNZZHOINVFPW-ZJUUUORDSA-N -1 1 335.364 -0.578 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)c2cccc(F)c2)nc1=O ZINC001050791608 734960465 /nfs/dbraw/zinc/96/04/65/734960465.db2.gz GWTYJWPXNGQPDX-CYBMUJFWSA-N -1 1 349.366 -0.122 20 0 EBADMM Cc1ccc(C(=O)NC[C@@H]2COCCN2Cc2nc(=O)n(C)[n-]2)o1 ZINC001050804467 734963022 /nfs/dbraw/zinc/96/30/22/734963022.db2.gz AJFWWXQUIQHFDW-LLVKDONJSA-N -1 1 335.364 -0.359 20 0 EBADMM C[C@H](NC(N)=O)C(=O)N1CCCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001062158328 738868266 /nfs/dbraw/zinc/86/82/66/738868266.db2.gz KXHKASQKLUKGPL-WDEREUQCSA-N -1 1 349.391 -0.045 20 0 EBADMM Cc1nc(C(=O)NC[C@@H]2COCCN2Cc2nc(=O)n(C)[n-]2)co1 ZINC001050883280 734988084 /nfs/dbraw/zinc/98/80/84/734988084.db2.gz XCPOPCCIOLKFAA-SNVBAGLBSA-N -1 1 336.352 -0.964 20 0 EBADMM Cn1ncnc1CN1CCOC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001050892828 734991338 /nfs/dbraw/zinc/99/13/38/734991338.db2.gz WODOGYQOYVNNTD-NSHDSACASA-N -1 1 332.364 -0.454 20 0 EBADMM Cc1cnoc1C(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001051193196 735095341 /nfs/dbraw/zinc/09/53/41/735095341.db2.gz URJYLZWXTYOAQY-JTQLQIEISA-N -1 1 336.352 -0.964 20 0 EBADMM CC(C)CCN1CCOC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001051210088 735100009 /nfs/dbraw/zinc/10/00/09/735100009.db2.gz RUNIZZSHSUXEEQ-LBPRGKRZSA-N -1 1 348.407 -0.106 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1COCCN1CC1CC1 ZINC001051209779 735100160 /nfs/dbraw/zinc/10/01/60/735100160.db2.gz NBOHVSXNELKBEE-JTQLQIEISA-N -1 1 338.364 -0.263 20 0 EBADMM O=C(CCn1cccn1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051449286 735155486 /nfs/dbraw/zinc/15/54/86/735155486.db2.gz GZKRQPBISFHUAD-NSHDSACASA-N -1 1 335.368 -0.886 20 0 EBADMM CCn1cc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001051498353 735199348 /nfs/dbraw/zinc/19/93/48/735199348.db2.gz SJVWXJIWAYUESK-NSHDSACASA-N -1 1 335.368 -0.643 20 0 EBADMM CC[C@H](SC)C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051548440 735228060 /nfs/dbraw/zinc/22/80/60/735228060.db2.gz GCFFEVPJIJMYIL-ZJUUUORDSA-N -1 1 329.426 -0.031 20 0 EBADMM C[C@H](C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)n1cccc1 ZINC001051553387 735229994 /nfs/dbraw/zinc/22/99/94/735229994.db2.gz RFPBSAISPGOBSL-NEPJUHHUSA-N -1 1 334.380 -0.110 20 0 EBADMM O=C(CC1(O)CCC1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051608300 735263148 /nfs/dbraw/zinc/26/31/48/735263148.db2.gz BPJOOYCHDLBOJO-JTQLQIEISA-N -1 1 325.369 -0.868 20 0 EBADMM Cc1cn(C)nc1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051610307 735263829 /nfs/dbraw/zinc/26/38/29/735263829.db2.gz CHCYTDNUPUSWQM-SNVBAGLBSA-N -1 1 335.368 -0.817 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNC(=O)Cc1nc[nH]n1 ZINC001052422618 735611765 /nfs/dbraw/zinc/61/17/65/735611765.db2.gz SESOEWZLBBRBCS-QWRGUYRKSA-N -1 1 344.375 -0.028 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNC(=O)Cc1nnc[nH]1 ZINC001052422618 735611769 /nfs/dbraw/zinc/61/17/69/735611769.db2.gz SESOEWZLBBRBCS-QWRGUYRKSA-N -1 1 344.375 -0.028 20 0 EBADMM NC(=O)C(=O)N1CCC[C@H]([C@H]2CCCN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001053038739 735742072 /nfs/dbraw/zinc/74/20/72/735742072.db2.gz BDLFRUPQOFEMAT-QWRGUYRKSA-N -1 1 336.396 -0.554 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)C2CCCC2)CCO3)nc1=O ZINC001053121976 735753033 /nfs/dbraw/zinc/75/30/33/735753033.db2.gz OCVJPLCNCRUBNX-UHFFFAOYSA-N -1 1 335.408 -0.288 20 0 EBADMM O=C(c1ncccc1[O-])N1CCOC2(CN(CCn3cncn3)C2)C1 ZINC001053232467 735786203 /nfs/dbraw/zinc/78/62/03/735786203.db2.gz NWHGODKBHYLRQY-UHFFFAOYSA-N -1 1 344.375 -0.394 20 0 EBADMM C[C@H]1C[C@@H]1CC(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053410417 735864835 /nfs/dbraw/zinc/86/48/35/735864835.db2.gz OJPLWUBFDNNEJZ-NWDGAFQWSA-N -1 1 335.408 -0.432 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)C2(CF)CC2)CCO3)nc1=O ZINC001053421932 735867145 /nfs/dbraw/zinc/86/71/45/735867145.db2.gz SOIKEHRHPGANTD-UHFFFAOYSA-N -1 1 339.371 -0.729 20 0 EBADMM C[C@H](C(N)=O)N1CC2(C1)CC[C@@H](CNC(=O)c1ncccc1[O-])O2 ZINC001053594972 735937543 /nfs/dbraw/zinc/93/75/43/735937543.db2.gz WIZOXFCWROYBCP-MNOVXSKESA-N -1 1 334.376 -0.376 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CC[C@@H](CNC(=O)[C@@H]2CC24CC4)O3)nc1=O ZINC001053669455 735960811 /nfs/dbraw/zinc/96/08/11/735960811.db2.gz ZOKDBLHHWQULQP-RYUDHWBXSA-N -1 1 347.419 -0.242 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)c2ccco2)CO3)nc1=O ZINC001053723886 735979353 /nfs/dbraw/zinc/97/93/53/735979353.db2.gz VLEAYQZXCCHUOH-JTQLQIEISA-N -1 1 333.348 -0.525 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)C=C2CCC2)CO3)nc1=O ZINC001053772555 736006684 /nfs/dbraw/zinc/00/66/84/736006684.db2.gz RLRYCSXCTALJMM-GFCCVEGCSA-N -1 1 333.392 -0.322 20 0 EBADMM Cn1cc(CN2CC3(C2)C[C@H](NC(=O)c2ncccc2[O-])CO3)nn1 ZINC001053783968 736014052 /nfs/dbraw/zinc/01/40/52/736014052.db2.gz CLGWNMXBHIRCTR-NSHDSACASA-N -1 1 344.375 -0.311 20 0 EBADMM O=C(CN1CC2(C1)C[C@H](NC(=O)c1ncccc1[O-])CO2)N1CCC1 ZINC001053784448 736014119 /nfs/dbraw/zinc/01/41/19/736014119.db2.gz OIUZERAVYJPVRU-LBPRGKRZSA-N -1 1 346.387 -0.407 20 0 EBADMM CNC(=O)[C@@H](C)N1CC2(C1)C[C@H](NC(=O)c1ncccc1[O-])CO2 ZINC001053784474 736014167 /nfs/dbraw/zinc/01/41/67/736014167.db2.gz PDNUAOVGYWETJT-MNOVXSKESA-N -1 1 334.376 -0.505 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)Cc2ccoc2)CO3)nc1=O ZINC001053784804 736014795 /nfs/dbraw/zinc/01/47/95/736014795.db2.gz YFLMANMYLSXMRG-LBPRGKRZSA-N -1 1 347.375 -0.596 20 0 EBADMM O=C(N[C@@H]1COC2(CN([C@@H]3CCNC3=O)C2)C1)c1ncccc1[O-] ZINC001053784746 736014870 /nfs/dbraw/zinc/01/48/70/736014870.db2.gz VUAKYSRPPFOYTC-WDEREUQCSA-N -1 1 332.360 -0.751 20 0 EBADMM Cc1cocc1C(=O)N[C@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053893879 736082712 /nfs/dbraw/zinc/08/27/12/736082712.db2.gz ATKUWGLHEKPCEB-LLVKDONJSA-N -1 1 347.375 -0.217 20 0 EBADMM CCCN1CC2(C1)C[C@@H](NC(=O)c1cc(=O)n3[n-]cnc3n1)CO2 ZINC001053981646 736132492 /nfs/dbraw/zinc/13/24/92/736132492.db2.gz QVQBHMNXFTUCKK-SNVBAGLBSA-N -1 1 332.364 -0.599 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnc(N(C)C)cn2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054657977 736227295 /nfs/dbraw/zinc/22/72/95/736227295.db2.gz OMEKVKHUNQOAGE-MWLCHTKSSA-N -1 1 346.395 -0.383 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnc(N(C)C)cn2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054657975 736227551 /nfs/dbraw/zinc/22/75/51/736227551.db2.gz OMEKVKHUNQOAGE-KOLCDFICSA-N -1 1 346.395 -0.383 20 0 EBADMM CCc1c[nH]c(CC(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001054752291 736240087 /nfs/dbraw/zinc/24/00/87/736240087.db2.gz JRLDCTNYXKMMRR-MWLCHTKSSA-N -1 1 333.396 -0.025 20 0 EBADMM CCc1c[nH]c(CC(=O)N2C[C@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001054752287 736240214 /nfs/dbraw/zinc/24/02/14/736240214.db2.gz JRLDCTNYXKMMRR-GXSJLCMTSA-N -1 1 333.396 -0.025 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnn3c2OCCC3)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054859421 736257258 /nfs/dbraw/zinc/25/72/58/736257258.db2.gz JTHNVJCLTOWWLV-MWLCHTKSSA-N -1 1 347.379 -0.260 20 0 EBADMM C[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@H]1NCc1cnns1 ZINC001054860904 736257338 /nfs/dbraw/zinc/25/73/38/736257338.db2.gz SHYNRDDQEGVAIM-XPUUQOCRSA-N -1 1 337.365 -0.615 20 0 EBADMM Cc1cc(CN[C@@H]2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@H]2C)on1 ZINC001054862697 736257827 /nfs/dbraw/zinc/25/78/27/736257827.db2.gz JDHSIRBMRSUKQO-GMSGAONNSA-N -1 1 334.336 -0.170 20 0 EBADMM COCCn1ccc(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001054884526 736261838 /nfs/dbraw/zinc/26/18/38/736261838.db2.gz DMFOUQJMOPDZLZ-ZYHUDNBSSA-N -1 1 349.395 -0.397 20 0 EBADMM COCCn1ccc(C(=O)N2C[C@@H](C)[C@@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001054884524 736262105 /nfs/dbraw/zinc/26/21/05/736262105.db2.gz DMFOUQJMOPDZLZ-PWSUYJOCSA-N -1 1 349.395 -0.397 20 0 EBADMM COc1cc(C(=O)N2C[C@@H](C)[C@@H](NCc3n[nH]c(=O)[n-]3)C2)nn1C ZINC001054888829 736263144 /nfs/dbraw/zinc/26/31/44/736263144.db2.gz QSYFFRVMFITGFX-SCZZXKLOSA-N -1 1 335.368 -0.497 20 0 EBADMM Cc1cc(CC(=O)N2C[C@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)n(C)n1 ZINC001054898606 736265455 /nfs/dbraw/zinc/26/54/55/736265455.db2.gz OQZUYRNTBSYENR-JOYOIKCWSA-N -1 1 333.396 -0.269 20 0 EBADMM C[C@H]1CN(C(=O)c2cnc([O-])n(C)c2=O)C[C@H]1NCc1nccn1C ZINC001054966072 736273934 /nfs/dbraw/zinc/27/39/34/736273934.db2.gz GNUSFSWCYHYBLJ-CMPLNLGQSA-N -1 1 346.391 -0.530 20 0 EBADMM C[C@H]1CN(C(=O)c2cnc([O-])n(C)c2=O)C[C@@H]1NCc1nccn1C ZINC001054966073 736274255 /nfs/dbraw/zinc/27/42/55/736274255.db2.gz GNUSFSWCYHYBLJ-JQWIXIFHSA-N -1 1 346.391 -0.530 20 0 EBADMM C[C@@H](CC(N)=O)C(=O)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC001055299776 736327389 /nfs/dbraw/zinc/32/73/89/736327389.db2.gz QESUGZKAXCKMHD-SDDRHHMPSA-N -1 1 346.387 -0.171 20 0 EBADMM O=C(c1ncccc1[O-])N1CCN(c2nccn3nnnc23)CC1 ZINC001055776652 736471946 /nfs/dbraw/zinc/47/19/46/736471946.db2.gz CYNPSNAXYHVDSW-UHFFFAOYSA-N -1 1 326.320 -0.418 20 0 EBADMM O=C(N[C@@]1(CO)CCCN(C(=O)c2ncccc2[O-])C1)c1ccn[nH]1 ZINC001055787713 736476835 /nfs/dbraw/zinc/47/68/35/736476835.db2.gz LRSVXYAUTXRXFT-INIZCTEOSA-N -1 1 345.359 -0.093 20 0 EBADMM CO[C@@H](C)C(=O)N[C@@]1(CO)CCCN(C(=O)c2ncccc2[O-])C1 ZINC001055806235 736490471 /nfs/dbraw/zinc/49/04/71/736490471.db2.gz MONLUJWCTLJKTH-ZBEGNZNMSA-N -1 1 337.376 -0.095 20 0 EBADMM CCOCC(=O)N1CCC[C@](CO)(NC(=O)c2ncccc2[O-])C1 ZINC001055900067 736540863 /nfs/dbraw/zinc/54/08/63/736540863.db2.gz CUYBDUVHFBSJCY-INIZCTEOSA-N -1 1 337.376 -0.093 20 0 EBADMM O=C(N[C@@]1(CO)CCCN(C(=O)c2ncc[nH]2)C1)c1ncccc1[O-] ZINC001055900724 736541903 /nfs/dbraw/zinc/54/19/03/736541903.db2.gz SCZMJMZGGYRUTE-INIZCTEOSA-N -1 1 345.359 -0.093 20 0 EBADMM O=C(c1ncccc1[O-])N1CC[C@@H](Nc2ccc3nnnn3n2)C1 ZINC001056612455 736753013 /nfs/dbraw/zinc/75/30/13/736753013.db2.gz KIDVDZXIIKMSQJ-SECBINFHSA-N -1 1 326.320 -0.054 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CC[C@@H](Nc2cc(F)ncn2)C1 ZINC001056669336 736766306 /nfs/dbraw/zinc/76/63/06/736766306.db2.gz FXARKHGYPXPJFP-MRVPVSSYSA-N -1 1 344.310 -0.327 20 0 EBADMM Cc1cc(C(=O)N(CCO)CCNC(=O)c2[nH]nc(C)c2[O-])n[nH]1 ZINC001057099723 736989056 /nfs/dbraw/zinc/98/90/56/736989056.db2.gz LLWLEVWHXNPULK-UHFFFAOYSA-N -1 1 336.352 -0.680 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccc1[O-])c1cc[n+]([O-])cc1 ZINC001057407776 737227133 /nfs/dbraw/zinc/22/71/33/737227133.db2.gz HOJVBEPTKWIIPI-UHFFFAOYSA-N -1 1 346.343 -0.715 20 0 EBADMM CO[C@@H](C(=O)NCCN(CCO)C(=O)c1ncccc1[O-])C1CC1 ZINC001057410175 737229784 /nfs/dbraw/zinc/22/97/84/737229784.db2.gz GIXRMCHDBCYVJH-CQSZACIVSA-N -1 1 337.376 -0.237 20 0 EBADMM O=C(Cc1ncc[nH]1)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410400 737230492 /nfs/dbraw/zinc/23/04/92/737230492.db2.gz QHKNHHKMUXLVNB-UHFFFAOYSA-N -1 1 333.348 -0.696 20 0 EBADMM Cc1ccc(C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])nn1 ZINC001057410355 737230504 /nfs/dbraw/zinc/23/05/04/737230504.db2.gz NTYONCMNQJLDFV-UHFFFAOYSA-N -1 1 345.359 -0.250 20 0 EBADMM CCO[C@@H]1C[C@@H]1C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410303 737230632 /nfs/dbraw/zinc/23/06/32/737230632.db2.gz LBYDDYFQBBQFGT-WCQYABFASA-N -1 1 337.376 -0.237 20 0 EBADMM O=C(CCc1c[nH]nn1)NCCN(CCO)C(=O)c1ncccc1O ZINC001057412949 737233479 /nfs/dbraw/zinc/23/34/79/737233479.db2.gz BIAPMQGVHXKLMJ-UHFFFAOYSA-N -1 1 348.363 -0.911 20 0 EBADMM O=C(CCc1cn[nH]n1)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057412949 737233483 /nfs/dbraw/zinc/23/34/83/737233483.db2.gz BIAPMQGVHXKLMJ-UHFFFAOYSA-N -1 1 348.363 -0.911 20 0 EBADMM O=C(CCc1c[nH]nn1)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057412949 737233488 /nfs/dbraw/zinc/23/34/88/737233488.db2.gz BIAPMQGVHXKLMJ-UHFFFAOYSA-N -1 1 348.363 -0.911 20 0 EBADMM Cc1cncn1CC(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057416932 737236189 /nfs/dbraw/zinc/23/61/89/737236189.db2.gz LYDOMPIRSVDFGH-UHFFFAOYSA-N -1 1 347.375 -0.457 20 0 EBADMM Cc1c[nH]c(C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])n1 ZINC001057708977 737419548 /nfs/dbraw/zinc/41/95/48/737419548.db2.gz HAECDFQSSDAYEK-UHFFFAOYSA-N -1 1 333.348 -0.317 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCN(c2ncccn2)C1 ZINC001058406136 737816280 /nfs/dbraw/zinc/81/62/80/737816280.db2.gz LRLNYPVQEWWQCN-MRVPVSSYSA-N -1 1 332.320 -0.305 20 0 EBADMM C[C@@H]1OCC[C@H]1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001058553103 737897974 /nfs/dbraw/zinc/89/79/74/737897974.db2.gz VFWHMPMOKYHYSS-NWDGAFQWSA-N -1 1 337.376 -0.237 20 0 EBADMM C[C@@]1(C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])CCOC1 ZINC001058556246 737900505 /nfs/dbraw/zinc/90/05/05/737900505.db2.gz VSFDFVIGFHMITC-MRXNPFEDSA-N -1 1 337.376 -0.236 20 0 EBADMM O=C(N[C@H]1C[C@H](Nc2cc(F)ncn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001059264810 738141492 /nfs/dbraw/zinc/14/14/92/738141492.db2.gz FWJKFBLZYZDJNC-ZKCHVHJHSA-N -1 1 344.310 -0.280 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N[C@H]1C[C@H](Nc2ncnc3[nH]cnc32)C1 ZINC001059270958 738142245 /nfs/dbraw/zinc/14/22/45/738142245.db2.gz YWBAIKCGZPAJOZ-LJGSYFOKSA-N -1 1 345.323 -0.885 20 0 EBADMM Cc1c[nH]nc1C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001075773158 742295938 /nfs/dbraw/zinc/29/59/38/742295938.db2.gz QNVDCDQSSKEPHN-WDEREUQCSA-N -1 1 331.380 -0.514 20 0 EBADMM Cc1nccc(N[C@H]2C[C@@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)n1 ZINC001059680984 738226626 /nfs/dbraw/zinc/22/66/26/738226626.db2.gz OYJXFPVIIJDPED-AOOOYVTPSA-N -1 1 340.347 -0.111 20 0 EBADMM CCC(=O)NCC[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001059969319 738285533 /nfs/dbraw/zinc/28/55/33/738285533.db2.gz FXSUELPJQPGQLV-SNVBAGLBSA-N -1 1 332.364 -0.204 20 0 EBADMM CC(=O)N[C@H]1CC[C@H](CNC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001060632807 738381989 /nfs/dbraw/zinc/38/19/89/738381989.db2.gz GESSYDXFIUPLKY-JOCQHMNTSA-N -1 1 336.392 -0.262 20 0 EBADMM NC(=O)C(=O)NC1(CNC(=O)c2cnc(C3CC3)[n-]c2=O)CCC1 ZINC001063086409 739056889 /nfs/dbraw/zinc/05/68/89/739056889.db2.gz BYYAQUGMFAZRNW-UHFFFAOYSA-N -1 1 333.348 -0.686 20 0 EBADMM Cc1n[nH]c(C(=O)NC2(CNC(=O)CCc3c[nH]nn3)CCC2)c1[O-] ZINC001064579335 739302666 /nfs/dbraw/zinc/30/26/66/739302666.db2.gz BXMIPOJTRYTZRX-UHFFFAOYSA-N -1 1 347.379 -0.057 20 0 EBADMM Cc1n[nH]c(C(=O)NC2(CNC(=O)Cc3nnc[nH]3)CCC2)c1[O-] ZINC001064605466 739309371 /nfs/dbraw/zinc/30/93/71/739309371.db2.gz SYJSXURNDCIVNM-UHFFFAOYSA-N -1 1 333.352 -0.447 20 0 EBADMM O=C(Cc1cnc[nH]1)NCC1(NC(=O)c2n[nH]c(=O)[n-]c2=O)CCC1 ZINC001064702995 739322960 /nfs/dbraw/zinc/32/29/60/739322960.db2.gz VNKDEQOAAGAYCT-UHFFFAOYSA-N -1 1 347.335 -0.983 20 0 EBADMM CC(C)(O)CC(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001065073608 739510626 /nfs/dbraw/zinc/51/06/26/739510626.db2.gz NAECOINYLFHGRX-NSHDSACASA-N -1 1 337.376 -0.095 20 0 EBADMM CN(CCCN(C)C(=O)[C@H]1C[C@H]1C(N)=O)C(=O)c1ncccc1[O-] ZINC001067254928 740113714 /nfs/dbraw/zinc/11/37/14/740113714.db2.gz VKBFVRCPEZKLSV-MNOVXSKESA-N -1 1 334.376 -0.171 20 0 EBADMM O=C(NC[C@H]1CN(c2nccnc2F)C[C@@H]1O)c1ncccc1[O-] ZINC001067665268 740177540 /nfs/dbraw/zinc/17/75/40/740177540.db2.gz LQGOGYJQKJNTEA-ONGXEEELSA-N -1 1 333.323 -0.057 20 0 EBADMM O=C(NC[C@@H]1CN(c2cnc(F)cn2)C[C@H]1O)c1ncccc1[O-] ZINC001067665238 740177725 /nfs/dbraw/zinc/17/77/25/740177725.db2.gz LNJZZAKCOOBGNA-MWLCHTKSSA-N -1 1 333.323 -0.057 20 0 EBADMM CC(=O)NCC(=O)N[C@H]1COC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001068666773 740401998 /nfs/dbraw/zinc/40/19/98/740401998.db2.gz ZAFHZHHYFLERIO-LLVKDONJSA-N -1 1 348.359 -0.977 20 0 EBADMM C[C@H](C(N)=O)N1CCn2ncc(CNC(=O)c3ncccc3[O-])c2C1 ZINC001069858803 740593564 /nfs/dbraw/zinc/59/35/64/740593564.db2.gz OIZMKLZLXAOCTC-SNVBAGLBSA-N -1 1 344.375 -0.397 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CN(C[C@@H](C)O)C[C@@H]2n2ccnn2)c1[O-] ZINC001069909492 740614288 /nfs/dbraw/zinc/61/42/88/740614288.db2.gz AWJMDZGOVIALPC-IEBDPFPHSA-N -1 1 335.368 -0.949 20 0 EBADMM Cn1[n-]c(CN[C@@H]2CN(C(=O)C(C)(C)C)C[C@@H]2n2ccnn2)nc1=O ZINC001070315298 740784430 /nfs/dbraw/zinc/78/44/30/740784430.db2.gz AMWKKXNYKXWWBS-MNOVXSKESA-N -1 1 348.411 -0.712 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCCN(C(=O)C2CCC2)C1 ZINC001070346048 740791260 /nfs/dbraw/zinc/79/12/60/740791260.db2.gz JDVATECDFDFEEN-CYBMUJFWSA-N -1 1 348.403 -0.166 20 0 EBADMM CC(C)C(=O)N1C[C@@H](c2cncn2C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001070624083 740898252 /nfs/dbraw/zinc/89/82/52/740898252.db2.gz SBPCMKNHRUFLLW-GHMZBOCLSA-N -1 1 333.396 -0.016 20 0 EBADMM NC(=O)NC1(C(=O)N2CCC[C@@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC001070633198 740902445 /nfs/dbraw/zinc/90/24/45/740902445.db2.gz YHLGFNBAZPYHOR-SNVBAGLBSA-N -1 1 347.375 -0.291 20 0 EBADMM NC(=O)NC1(C(=O)N[C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001070648829 740908655 /nfs/dbraw/zinc/90/86/55/740908655.db2.gz ZFLOZUIAHXUGTN-SNVBAGLBSA-N -1 1 347.375 -0.291 20 0 EBADMM C[C@@H](CC(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1)NC(N)=O ZINC001070734161 740933120 /nfs/dbraw/zinc/93/31/20/740933120.db2.gz JMIIAYVOHSICFO-WDEREUQCSA-N -1 1 349.391 -0.045 20 0 EBADMM CC1(C(=O)N2CCC[C@@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)CC1 ZINC001070843160 740953181 /nfs/dbraw/zinc/95/31/81/740953181.db2.gz WRSSEADFVNODER-GFCCVEGCSA-N -1 1 348.403 -0.166 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2cc[n+]([O-])cc2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071332450 741122737 /nfs/dbraw/zinc/12/27/37/741122737.db2.gz QRIUJJPBDPDOFT-AAEUAGOBSA-N -1 1 346.391 -0.475 20 0 EBADMM Cc1ncncc1C(=O)N[C@@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071364233 741128049 /nfs/dbraw/zinc/12/80/49/741128049.db2.gz XYDQVMZSXJYJNZ-CMPLNLGQSA-N -1 1 345.407 -0.010 20 0 EBADMM C[C@H]1CN(C(=O)C2CCC2)C[C@@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001071436287 741135939 /nfs/dbraw/zinc/13/59/39/741135939.db2.gz JCEGGZBEVDOOGP-AAEUAGOBSA-N -1 1 348.403 -0.310 20 0 EBADMM CCn1nncc1C(=O)N[C@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071484725 741144573 /nfs/dbraw/zinc/14/45/73/741144573.db2.gz DQNMGNFVEWRQSM-QWRGUYRKSA-N -1 1 348.411 -0.497 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001071505396 741148640 /nfs/dbraw/zinc/14/86/40/741148640.db2.gz VOVWWYWLLMISDC-NWDGAFQWSA-N -1 1 345.407 -0.010 20 0 EBADMM Cc1nc(CN2C[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)CC[C@@H]2C)n[nH]1 ZINC001071646848 741180980 /nfs/dbraw/zinc/18/09/80/741180980.db2.gz BXVRDMZPANNIGN-CBAPKCEASA-N -1 1 348.367 -0.508 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001071952674 741263920 /nfs/dbraw/zinc/26/39/20/741263920.db2.gz PNXZUIBHHINIIV-ZYHUDNBSSA-N -1 1 334.376 -0.158 20 0 EBADMM CNC(=O)NCCC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001071953394 741264329 /nfs/dbraw/zinc/26/43/29/741264329.db2.gz YRBUEHGUCDNYFG-WDEREUQCSA-N -1 1 349.391 -0.317 20 0 EBADMM C[C@H]1CN(C(=O)CCNC(N)=O)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001072065368 741295192 /nfs/dbraw/zinc/29/51/92/741295192.db2.gz GHXJBNXHTOCODW-UWVGGRQHSA-N -1 1 335.364 -0.578 20 0 EBADMM C[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@H]1CNCc1cnon1 ZINC001072316045 741368209 /nfs/dbraw/zinc/36/82/09/741368209.db2.gz ZVQFQAORUTYXLJ-BDAKNGLRSA-N -1 1 349.351 -0.446 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)C[C@@H]4CCCO4)C3)C2)nc1=O ZINC001072503177 741418638 /nfs/dbraw/zinc/41/86/38/741418638.db2.gz UYYPERZRRCXUPA-LBPRGKRZSA-N -1 1 335.408 -0.288 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)c4cscn4)C3)C2)nc1=O ZINC001072530017 741424941 /nfs/dbraw/zinc/42/49/41/741424941.db2.gz GBSGQQZTVBIILR-UHFFFAOYSA-N -1 1 334.405 -0.087 20 0 EBADMM Cc1nn(C)cc1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072553510 741428663 /nfs/dbraw/zinc/42/86/63/741428663.db2.gz BPFBXLQRACDPEK-UHFFFAOYSA-N -1 1 345.407 -0.502 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)CC[C@@H]4CCOC4)C3)C2)nc1=O ZINC001072600098 741437689 /nfs/dbraw/zinc/43/76/89/741437689.db2.gz BNXJLPSPDYUXEE-CYBMUJFWSA-N -1 1 349.435 -0.041 20 0 EBADMM C[C@H]1CN(C(=O)C(=O)NCC2CC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001072612306 741441616 /nfs/dbraw/zinc/44/16/16/741441616.db2.gz ZTAIHINFQHYKDL-JQWIXIFHSA-N -1 1 346.387 -0.110 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)C[C@](C)(O)C4CC4)C3)C2)nc1=O ZINC001072640043 741449258 /nfs/dbraw/zinc/44/92/58/741449258.db2.gz IHPZFROWUBSUPB-INIZCTEOSA-N -1 1 349.435 -0.306 20 0 EBADMM CS(=O)(=O)CCN1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001072653459 741453601 /nfs/dbraw/zinc/45/36/01/741453601.db2.gz CRLNWNZXVPRLFA-UHFFFAOYSA-N -1 1 339.417 -0.020 20 0 EBADMM CC1(NC(=O)CNC(N)=O)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001072969250 741529180 /nfs/dbraw/zinc/52/91/80/741529180.db2.gz SJXCIQAOLNYXOF-UHFFFAOYSA-N -1 1 335.364 -0.434 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@@H](CNC(=O)c3ccccn3)C2)nc1=O ZINC001073501852 741623798 /nfs/dbraw/zinc/62/37/98/741623798.db2.gz WEPCENCWRPQCNI-LBPRGKRZSA-N -1 1 346.391 -0.476 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@@H](CNC(=O)C3CC=CC3)C2)nc1=O ZINC001073558187 741652996 /nfs/dbraw/zinc/65/29/96/741652996.db2.gz BWZMRFARIKBKGH-ZDUSSCGKSA-N -1 1 335.408 -0.218 20 0 EBADMM O=C(NC[C@@H]1CN(CCF)CCCO1)c1cc(=O)n2nc[n-]c2n1 ZINC001073856925 741766825 /nfs/dbraw/zinc/76/68/25/741766825.db2.gz UVIBATFGBUFGNS-SNVBAGLBSA-N -1 1 338.343 -0.792 20 0 EBADMM CNC(=O)CC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001073910552 741786760 /nfs/dbraw/zinc/78/67/60/741786760.db2.gz WHXREMOCZYTDPQ-QWRGUYRKSA-N -1 1 334.376 -0.110 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCCN1C(=O)[C@H]1CCOC1 ZINC001073964283 741796430 /nfs/dbraw/zinc/79/64/30/741796430.db2.gz IZYQQXDUYPWMHV-QWHCGFSZSA-N -1 1 337.424 -0.042 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H]3CCN(C(=O)CC4CC4)C[C@H]32)nc1=O ZINC001074136830 741838231 /nfs/dbraw/zinc/83/82/31/741838231.db2.gz JISJYLVXFIHSQY-OLZOCXBDSA-N -1 1 335.408 -0.290 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H]3CCN(C(=O)c4ccco4)C[C@H]32)nc1=O ZINC001074144373 741839654 /nfs/dbraw/zinc/83/96/54/741839654.db2.gz OZZYSMPHGXZQSY-NEPJUHHUSA-N -1 1 347.375 -0.183 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H]3CCN(C(=O)c4cc[nH]c4)C[C@H]32)nc1=O ZINC001074248404 741872492 /nfs/dbraw/zinc/87/24/92/741872492.db2.gz FMZHVZYUDQJJPS-OLZOCXBDSA-N -1 1 346.391 -0.448 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CC[C@H]2OCCN(CC3CCC3)[C@@H]2C1 ZINC001074285458 741888836 /nfs/dbraw/zinc/88/88/36/741888836.db2.gz BDBDPNZBKCEKNT-VXGBXAGGSA-N -1 1 349.391 -0.002 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CC[C@@H]2OCCN(CC3CCC3)[C@H]2C1 ZINC001074285457 741888910 /nfs/dbraw/zinc/88/89/10/741888910.db2.gz BDBDPNZBKCEKNT-RYUDHWBXSA-N -1 1 349.391 -0.002 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CC[C@@H]2OCCN(Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001074310653 741898083 /nfs/dbraw/zinc/89/80/83/741898083.db2.gz YPOZSZCNEMVSIC-ZJUUUORDSA-N -1 1 348.367 -0.948 20 0 EBADMM CCN1CCO[C@@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@@H]21 ZINC001074356036 741910777 /nfs/dbraw/zinc/91/07/77/741910777.db2.gz BVGMBGATLADANF-QWHCGFSZSA-N -1 1 336.392 -0.752 20 0 EBADMM CCN1CCO[C@@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@@H]21 ZINC001074382980 741921897 /nfs/dbraw/zinc/92/18/97/741921897.db2.gz UBAWVVYTMJEXIC-NWDGAFQWSA-N -1 1 332.364 -0.647 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H]3CCN(C(=O)C(C)(C)C)C[C@H]32)nc1=O ZINC001074391195 741924806 /nfs/dbraw/zinc/92/48/06/741924806.db2.gz ZEVXUJZJBFDNPI-VXGBXAGGSA-N -1 1 337.424 -0.044 20 0 EBADMM O=C(NCC1(O)CCN(C(=O)c2ncccc2[O-])CC1)c1cn[nH]n1 ZINC001074558404 741996317 /nfs/dbraw/zinc/99/63/17/741996317.db2.gz DCHFEHUIRBVQSN-UHFFFAOYSA-N -1 1 346.347 -0.698 20 0 EBADMM C[C@@H](CCNC(=O)C(F)F)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001075250551 742203827 /nfs/dbraw/zinc/20/38/27/742203827.db2.gz CVDYQTNNWYXUPD-LURJTMIESA-N -1 1 328.279 -0.693 20 0 EBADMM C[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC[C@@H]1CNCc1cnon1 ZINC001075301429 742210099 /nfs/dbraw/zinc/21/00/99/742210099.db2.gz OGAOPGLYPFGKKF-DTWKUNHWSA-N -1 1 349.351 -0.446 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)c4ccc(F)cn4)[C@@H]3C2)nc1=O ZINC001075714772 742280189 /nfs/dbraw/zinc/28/01/89/742280189.db2.gz JYAYVFBAECWRRI-GXFFZTMASA-N -1 1 346.366 -0.011 20 0 EBADMM C[C@@H](CCNC(=O)[C@H]1CCOC1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001075849047 742312084 /nfs/dbraw/zinc/31/20/84/742312084.db2.gz DDINLVPDKSUSRC-UWVGGRQHSA-N -1 1 348.363 -0.921 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)C1CC(=O)NC(=O)C1 ZINC001076244890 742418955 /nfs/dbraw/zinc/41/89/55/742418955.db2.gz FDYCEDINWBQYSV-VIFPVBQESA-N -1 1 348.359 -0.535 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CCCNC1=O ZINC001076244933 742419248 /nfs/dbraw/zinc/41/92/48/742419248.db2.gz GOENAZYKYWAFKJ-WDEREUQCSA-N -1 1 334.376 -0.062 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)CN1CCOCC1 ZINC001076245031 742419308 /nfs/dbraw/zinc/41/93/08/742419308.db2.gz LKMVLUVERPQVRY-LBPRGKRZSA-N -1 1 336.392 -0.256 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)c4nccs4)[C@@H]3C2)nc1=O ZINC001076392145 742458139 /nfs/dbraw/zinc/45/81/39/742458139.db2.gz LRFGGLFKVVRWPQ-VHSXEESVSA-N -1 1 334.405 -0.089 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)C[C@H]4CCCOC4)[C@@H]3C2)nc1=O ZINC001076581207 742503661 /nfs/dbraw/zinc/50/36/61/742503661.db2.gz YRRNRYGBLNBFDR-HZSPNIEDSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)c3cc(Cl)c[nH]3)C2)nc1=O ZINC001076739498 742551950 /nfs/dbraw/zinc/55/19/50/742551950.db2.gz AVCMXELIJWPMKC-NXEZZACHSA-N -1 1 340.771 -0.935 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)c3ccc(Cl)o3)C2)nc1=O ZINC001076746234 742553395 /nfs/dbraw/zinc/55/33/95/742553395.db2.gz RMBYIMOYFRXERB-HTQZYQBOSA-N -1 1 341.755 -0.670 20 0 EBADMM CCn1nncc1CN1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001076916947 742641720 /nfs/dbraw/zinc/64/17/20/742641720.db2.gz KFUDZZNLBGFJCN-DGCLKSJQSA-N -1 1 332.364 -0.626 20 0 EBADMM Cc1ccnc(CN2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001076916388 742642138 /nfs/dbraw/zinc/64/21/38/742642138.db2.gz IPXSZLIOSLTMGI-DGCLKSJQSA-N -1 1 329.360 -0.139 20 0 EBADMM CCn1cc(CN2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)nn1 ZINC001076916794 742642236 /nfs/dbraw/zinc/64/22/36/742642236.db2.gz CAYJNFFZEWEXIX-DGCLKSJQSA-N -1 1 332.364 -0.626 20 0 EBADMM CC1=C(C)C[C@H](C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)CC1 ZINC001077048039 742710352 /nfs/dbraw/zinc/71/03/52/742710352.db2.gz ZTODTEHDALNITF-MGPQQGTHSA-N -1 1 349.435 -0.094 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)C3C=CC=CC=C3)C2)nc1=O ZINC001077073829 742718021 /nfs/dbraw/zinc/71/80/21/742718021.db2.gz JOFLXQYCQRIOCI-CHWSQXEVSA-N -1 1 331.376 -0.932 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)cc1F ZINC001077338614 742901782 /nfs/dbraw/zinc/90/17/82/742901782.db2.gz VITMXUSGLWZCIF-CHWSQXEVSA-N -1 1 349.366 -0.469 20 0 EBADMM C[C@@H](CCNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)[C@H]1CC12CC2 ZINC001077402547 742955846 /nfs/dbraw/zinc/95/58/46/742955846.db2.gz SFIYKBVUTPXPJS-NWDGAFQWSA-N -1 1 348.403 -0.262 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)c3occ4c3CCC4)C2)nc1=O ZINC001077451944 742986060 /nfs/dbraw/zinc/98/60/60/742986060.db2.gz YUIKFHWSWBRQTD-VXGBXAGGSA-N -1 1 347.375 -0.835 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H](C)CCNC(=O)c2n[nH]c(=O)[n-]c2=O)c1C ZINC001077578330 743094256 /nfs/dbraw/zinc/09/42/56/743094256.db2.gz XKXWOXIAVLTACC-LURJTMIESA-N -1 1 349.351 -0.439 20 0 EBADMM C[C@@H](O)CN1C[C@@H](O)[C@H](NC(=O)c2n[n-]c3ccccc3c2=O)C1 ZINC001077766472 743237831 /nfs/dbraw/zinc/23/78/31/743237831.db2.gz HKALDHOXWMRGKV-OASPWFOLSA-N -1 1 332.360 -0.509 20 0 EBADMM Cc1cc(C(=O)N2CCCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)no1 ZINC001078329926 743586643 /nfs/dbraw/zinc/58/66/43/743586643.db2.gz WZYPXYNLBMZINA-JTQLQIEISA-N -1 1 336.352 -0.172 20 0 EBADMM O=C([C@H]1CCCO1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078327630 743586648 /nfs/dbraw/zinc/58/66/48/743586648.db2.gz UOMURZFVTNUTIO-GHMZBOCLSA-N -1 1 325.369 -0.604 20 0 EBADMM Cc1nn(C)cc1C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078356161 743602200 /nfs/dbraw/zinc/60/22/00/743602200.db2.gz DBQYCLCZRDNCLD-NSHDSACASA-N -1 1 349.395 -0.427 20 0 EBADMM CO[C@@H](C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001078461490 743661462 /nfs/dbraw/zinc/66/14/62/743661462.db2.gz DSBTVYLFBWVKKZ-DGCLKSJQSA-N -1 1 341.412 -0.112 20 0 EBADMM O=C(CCc1cn[nH]c1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078484433 743669145 /nfs/dbraw/zinc/66/91/45/743669145.db2.gz JRKZYQGAAOZVJS-GFCCVEGCSA-N -1 1 349.395 -0.427 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078487128 743670563 /nfs/dbraw/zinc/67/05/63/743670563.db2.gz CZDOHRAOWQQOGA-NSHDSACASA-N -1 1 349.395 -0.129 20 0 EBADMM Cc1nccc(C(=O)N2CCCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001078509759 743685654 /nfs/dbraw/zinc/68/56/54/743685654.db2.gz IJMMLSOUNSCPOO-LLVKDONJSA-N -1 1 347.379 -0.370 20 0 EBADMM O=C(N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2)c1c[nH]c(=O)cn1 ZINC001078711340 743722629 /nfs/dbraw/zinc/72/26/29/743722629.db2.gz SMWLSZSSSZFHNR-ZJUUUORDSA-N -1 1 345.363 -0.576 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)CCc2cncn2C)C1 ZINC000980296390 805836554 /nfs/dbraw/zinc/83/65/54/805836554.db2.gz RHJVMAPFQKFKBQ-CYBMUJFWSA-N -1 1 347.423 -0.493 20 0 EBADMM CCc1ncncc1C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000980418090 805885326 /nfs/dbraw/zinc/88/53/26/805885326.db2.gz HUZRGJWWTUEEGQ-NSHDSACASA-N -1 1 345.407 -0.193 20 0 EBADMM C[C@H]1C[C@H](CNCc2ncccn2)CN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000980641636 805971761 /nfs/dbraw/zinc/97/17/61/805971761.db2.gz HPJHXQQQEBCKLB-VHSXEESVSA-N -1 1 345.363 -0.287 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CCC[C@H]([NH2+]Cc3nc(=O)n(C)[n-]3)C2)c1[O-] ZINC000981020099 806059341 /nfs/dbraw/zinc/05/93/41/806059341.db2.gz JMBKLUYBHLFWQR-UWVGGRQHSA-N -1 1 349.395 -0.324 20 0 EBADMM Cc1ccnc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC000981081026 806077835 /nfs/dbraw/zinc/07/78/35/806077835.db2.gz CXJFTTZHEQZODM-UHFFFAOYSA-N -1 1 331.380 -0.445 20 0 EBADMM O=C(N[C@@H]1CC[C@H](CNC(=O)[C@H]2COC(=O)N2)C1)c1ncccc1[O-] ZINC000981256870 806120750 /nfs/dbraw/zinc/12/07/50/806120750.db2.gz HCDXRYIDGYNMKO-HBNTYKKESA-N -1 1 348.359 -0.090 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)c4cnccn4)C3)C2)nc1=O ZINC000981592601 806209428 /nfs/dbraw/zinc/20/94/28/806209428.db2.gz DJUSQDZDSOYJSX-UHFFFAOYSA-N -1 1 343.391 -0.363 20 0 EBADMM C[C@H]1OCC[C@]1(C)C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000981677187 806234515 /nfs/dbraw/zinc/23/45/15/806234515.db2.gz VWWSNFWDWBIVTK-WBMJQRKESA-N -1 1 337.424 -0.042 20 0 EBADMM C[C@@H]1CO[C@H](C(=O)N2CC3(C2)CCCN(Cc2nc(=O)n(C)[n-]2)C3)C1 ZINC000981902800 806320865 /nfs/dbraw/zinc/32/08/65/806320865.db2.gz GKUROEDGGZFRQZ-STQMWFEESA-N -1 1 349.435 -0.042 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)C(F)F)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000982322795 806460708 /nfs/dbraw/zinc/46/07/08/806460708.db2.gz QDLJKMOKPNXOEX-SSDOTTSWSA-N -1 1 340.290 -0.739 20 0 EBADMM Cc1nn[nH]c1C(=O)N1C[C@@]2(C)CN(Cc3n[nH]c(=O)[n-]3)C[C@@]2(C)C1 ZINC000982433797 806499412 /nfs/dbraw/zinc/49/94/12/806499412.db2.gz YLDCWDNOEJDDGR-GASCZTMLSA-N -1 1 346.395 -0.079 20 0 EBADMM CC(C)C(=O)N1CC[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000982459417 806509044 /nfs/dbraw/zinc/50/90/44/806509044.db2.gz TVZJIJCRTLEHIU-SNVBAGLBSA-N -1 1 332.364 -0.348 20 0 EBADMM CCO[C@@H]1CN(C(C)=O)C[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000982470072 806512194 /nfs/dbraw/zinc/51/21/94/806512194.db2.gz IOYJWTFJYKQJBZ-ZYHUDNBSSA-N -1 1 348.363 -0.969 20 0 EBADMM COCC(=O)N1CCC2(CO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC000982864402 806743275 /nfs/dbraw/zinc/74/32/75/806743275.db2.gz TYBQNOUPMPNIRH-NSHDSACASA-N -1 1 339.396 -0.356 20 0 EBADMM Cn1ncc(C(=O)N2CC[C@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC000982986264 806822936 /nfs/dbraw/zinc/82/29/36/806822936.db2.gz JCPGRILZMALNQG-SNVBAGLBSA-N -1 1 330.348 -0.192 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NC[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000982998134 806829349 /nfs/dbraw/zinc/82/93/49/806829349.db2.gz YGCOPOCZSQLISW-XQQFMLRXSA-N -1 1 348.403 -0.453 20 0 EBADMM C[C@]1(C(=O)N2CC[C@@H](CNC(=O)c3ncccc3[O-])C2)CCNC1=O ZINC000983307965 806978879 /nfs/dbraw/zinc/97/88/79/806978879.db2.gz QQCGTNPZHWBXHZ-GTNSWQLSSA-N -1 1 346.387 -0.108 20 0 EBADMM CC(C)C(=O)Nc1nc(CC(=O)NN2CC(=O)[N-]C2=O)cs1 ZINC000044947073 803744736 /nfs/dbraw/zinc/74/47/36/803744736.db2.gz ZVZBIXKNZKXLJY-UHFFFAOYSA-N -1 1 325.350 -0.137 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1[C@H]2CN(C(=O)C3CC3)C[C@H]21 ZINC000976864983 803917847 /nfs/dbraw/zinc/91/78/47/803917847.db2.gz ZOOGJGMGQAQEDD-BRPSZJMVSA-N -1 1 334.332 -0.507 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1[C@H]2CN(C(=O)C(N)=O)C[C@H]21 ZINC000976929509 803969751 /nfs/dbraw/zinc/96/97/51/803969751.db2.gz KVIMHBARUSUCKH-JZYVYDRUSA-N -1 1 347.375 -0.714 20 0 EBADMM CCn1cnc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)c1 ZINC000977482329 804349172 /nfs/dbraw/zinc/34/91/72/804349172.db2.gz FFWXTBNHKQRTQD-LBPRGKRZSA-N -1 1 347.423 -0.035 20 0 EBADMM CN(C[C@H]1CCN1C(=O)Cc1nnc[nH]1)C(=O)c1ncccc1[O-] ZINC000977596590 804405605 /nfs/dbraw/zinc/40/56/05/804405605.db2.gz DTRYAZCJFDGKNJ-SNVBAGLBSA-N -1 1 330.348 -0.179 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)[C@H]1CCCNC1=O)C(=O)c1ncccc1[O-] ZINC000977598441 804407154 /nfs/dbraw/zinc/40/71/54/804407154.db2.gz IGCUEOWYUIMCEU-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@H]1CCN1C(=O)[C@H]1COC(=O)N1)C(=O)c1ncccc1[O-] ZINC000977619491 804417662 /nfs/dbraw/zinc/41/76/62/804417662.db2.gz RJKMOYNPZUDPFA-NXEZZACHSA-N -1 1 334.332 -0.432 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CC[C@@H]1CN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000978058844 804638432 /nfs/dbraw/zinc/63/84/32/804638432.db2.gz VICLCJHULVBIMS-AXFHLTTASA-N -1 1 344.375 -0.254 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1CN(C)C(=O)N1 ZINC000978141134 804687400 /nfs/dbraw/zinc/68/74/00/804687400.db2.gz DIENQHXJEBSLTR-WDEREUQCSA-N -1 1 347.375 -0.516 20 0 EBADMM O=C(NC[C@@H]1[C@H]2CN(Cc3cncs3)C[C@H]21)c1n[nH]c(=O)[n-]c1=O ZINC000978417518 804829340 /nfs/dbraw/zinc/82/93/40/804829340.db2.gz UJTGDHCTFJWNHJ-OWUUHHOZSA-N -1 1 348.388 -0.153 20 0 EBADMM O=C(NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)[C@H]1CCC(=O)N1 ZINC000978666691 804912801 /nfs/dbraw/zinc/91/28/01/804912801.db2.gz XFBWTXGQNAMKGE-OUAUKWLOSA-N -1 1 332.360 -0.310 20 0 EBADMM O=C(NC[C@@H]1C[C@H](NC(=O)[C@@H]2CCNC(=O)C2)C1)c1ncccc1[O-] ZINC000978742962 804953955 /nfs/dbraw/zinc/95/39/55/804953955.db2.gz PMMKXGOINCEKSQ-UTUOFQBUSA-N -1 1 346.387 -0.062 20 0 EBADMM O=C(NC[C@H]1C[C@@H](NC(=O)[C@H]2CCCNC2=O)C1)c1ncccc1[O-] ZINC000978741965 804954035 /nfs/dbraw/zinc/95/40/35/804954035.db2.gz VZGINNJQSWMVHC-TUAOUCFPSA-N -1 1 346.387 -0.062 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2ccc(=O)[nH]n2)CCO1 ZINC000979185787 805195288 /nfs/dbraw/zinc/19/52/88/805195288.db2.gz YPVYFLZCXZXARI-SCZZXKLOSA-N -1 1 349.351 -0.975 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC000979210458 805211382 /nfs/dbraw/zinc/21/13/82/805211382.db2.gz DBGRFPFYWUXANF-ONGXEEELSA-N -1 1 335.368 -0.439 20 0 EBADMM Cc1nn(C)cc1C(=O)N1CCO[C@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979240583 805230914 /nfs/dbraw/zinc/23/09/14/805230914.db2.gz LBJNRAGXIHJWTO-JQWIXIFHSA-N -1 1 349.395 -0.428 20 0 EBADMM Cc1nc(C(=O)N2CCO[C@@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)c[nH]1 ZINC000979247480 805237594 /nfs/dbraw/zinc/23/75/94/805237594.db2.gz VIRTXFICCBEJDC-LDYMZIIASA-N -1 1 335.368 -0.439 20 0 EBADMM Cc1ocnc1C(=O)N1CCO[C@@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979252294 805242005 /nfs/dbraw/zinc/24/20/05/805242005.db2.gz DUWBFPXQIYGLNP-PSASIEDQSA-N -1 1 336.352 -0.174 20 0 EBADMM Cc1nnccc1C(=O)N1CCO[C@@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979328365 805297362 /nfs/dbraw/zinc/29/73/62/805297362.db2.gz SEJQVJDNFJMARD-ZYHUDNBSSA-N -1 1 347.379 -0.372 20 0 EBADMM Cc1ncn(C)c1C(=O)N1CCO[C@@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979346839 805310371 /nfs/dbraw/zinc/31/03/71/805310371.db2.gz JOIYVFCUWOKFOW-MWLCHTKSSA-N -1 1 349.395 -0.428 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2ccc(=O)[nH]c2)CCO1 ZINC000979423095 805368213 /nfs/dbraw/zinc/36/82/13/805368213.db2.gz CIKNOHDMYYZBKO-KOLCDFICSA-N -1 1 348.363 -0.370 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NC[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000979425049 805369402 /nfs/dbraw/zinc/36/94/02/805369402.db2.gz IWTGHPDVUNJGRA-NAKRPEOUSA-N -1 1 344.375 -0.302 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)Cn2ccnc2)CCO1 ZINC000979439249 805379548 /nfs/dbraw/zinc/37/95/48/805379548.db2.gz BYNYIRLYSRFZIY-QWRGUYRKSA-N -1 1 335.368 -0.888 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2nccs2)CCO1 ZINC000979439678 805379855 /nfs/dbraw/zinc/37/98/55/805379855.db2.gz XYETXEHMJRJFMQ-IUCAKERBSA-N -1 1 338.393 -0.014 20 0 EBADMM O=C(NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)[C@H]1CCNC(=O)C1 ZINC000979640153 805462414 /nfs/dbraw/zinc/46/24/14/805462414.db2.gz MJRAWOBSWCOIPC-SRVKXCTJSA-N -1 1 346.387 -0.062 20 0 EBADMM O=C(NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)[C@@H]1CCNC(=O)C1 ZINC000979640148 805462496 /nfs/dbraw/zinc/46/24/96/805462496.db2.gz MJRAWOBSWCOIPC-IJLUTSLNSA-N -1 1 346.387 -0.062 20 0 EBADMM Cn1cnc(C(=O)N[C@H]2C[C@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC000979665140 805476827 /nfs/dbraw/zinc/47/68/27/805476827.db2.gz OZXMEKQRGJJAGE-MGCOHNPYSA-N -1 1 330.348 -0.146 20 0 EBADMM CN1CC[C@H](C(=O)N[C@H]2C[C@H](CNC(=O)c3ncccc3[O-])C2)C1=O ZINC000979670193 805479534 /nfs/dbraw/zinc/47/95/34/805479534.db2.gz WLSJJDSAFPARLO-IJLUTSLNSA-N -1 1 346.387 -0.110 20 0 EBADMM O=C(N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1)c1c[nH]c(=O)cn1 ZINC000979669324 805479580 /nfs/dbraw/zinc/47/95/80/805479580.db2.gz UMPYGBDNXDHECJ-MGCOHNPYSA-N -1 1 343.343 -0.191 20 0 EBADMM O=C(Cn1cncn1)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000979672375 805481098 /nfs/dbraw/zinc/48/10/98/805481098.db2.gz JNWZRTKYFZGGKN-XYPYZODXSA-N -1 1 330.348 -0.297 20 0 EBADMM O=C(Cn1cnnn1)N[C@@H]1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000983488236 807025214 /nfs/dbraw/zinc/02/52/14/807025214.db2.gz YPBDPVIWAFZTOT-GHMZBOCLSA-N -1 1 345.363 -0.369 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CCC[C@H](NC(=O)Cc3nnc[nH]3)C2)c1[O-] ZINC000983581208 807042632 /nfs/dbraw/zinc/04/26/32/807042632.db2.gz QCPWPFKEWHDEHX-VHSXEESVSA-N -1 1 347.379 -0.058 20 0 EBADMM CCC(=O)N(C)[C@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000984396881 807279431 /nfs/dbraw/zinc/27/94/31/807279431.db2.gz TUEZYFXTCZYXKP-LBPRGKRZSA-N -1 1 336.392 -0.214 20 0 EBADMM CCC(=O)N(C)[C@@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000984406431 807281762 /nfs/dbraw/zinc/28/17/62/807281762.db2.gz IJXYAAMWRIOAJG-SNVBAGLBSA-N -1 1 332.364 -0.109 20 0 EBADMM Cc1ccnc(CNC[C@H]2C[C@H](NC(=O)Cn3c(=O)[n-][nH]c3=O)C2)n1 ZINC000985077241 807436479 /nfs/dbraw/zinc/43/64/79/807436479.db2.gz WRLXVKLWRPYDCU-XYPYZODXSA-N -1 1 347.379 -0.528 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)Cc2ccn(C)n2)CC1 ZINC000985433615 807510896 /nfs/dbraw/zinc/51/08/96/807510896.db2.gz MXTCCUAREVVARJ-UHFFFAOYSA-N -1 1 333.396 -0.091 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCc1ccnn1C ZINC000986330280 807675952 /nfs/dbraw/zinc/67/59/52/807675952.db2.gz GXUWCCWYFPVAQP-PWSUYJOCSA-N -1 1 333.396 -0.044 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnn2ncccc12 ZINC000986367479 807687253 /nfs/dbraw/zinc/68/72/53/807687253.db2.gz YTZXHFQFAHDHBK-MWLCHTKSSA-N -1 1 342.363 -0.054 20 0 EBADMM Cc1nc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)c(=O)[nH]c1C ZINC000986415908 807698574 /nfs/dbraw/zinc/69/85/74/807698574.db2.gz ZVMSEGKKUXPKGN-UWVGGRQHSA-N -1 1 347.379 -0.397 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cc(CN(C)C)on1 ZINC000986467247 807709619 /nfs/dbraw/zinc/70/96/19/807709619.db2.gz NRSSBQWTAKATNX-GXSJLCMTSA-N -1 1 349.395 -0.047 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc(=O)n(C)c1 ZINC000986476054 807712198 /nfs/dbraw/zinc/71/21/98/807712198.db2.gz CROQCBWYKFPRNS-KOLCDFICSA-N -1 1 332.364 -0.398 20 0 EBADMM CCn1ccnc1CN[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)[C@@H]1C ZINC000986486069 807717821 /nfs/dbraw/zinc/71/78/21/807717821.db2.gz FCYNQWVLNKQIPN-ZJUUUORDSA-N -1 1 347.379 -0.108 20 0 EBADMM COc1cnc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)nc1 ZINC000986532819 807728903 /nfs/dbraw/zinc/72/89/03/807728903.db2.gz KMKKYGUIJOZXDE-SCZZXKLOSA-N -1 1 333.352 -0.298 20 0 EBADMM COc1coc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)cc1=O ZINC000986559404 807734514 /nfs/dbraw/zinc/73/45/14/807734514.db2.gz HYMFXYUHUPKRJR-IUCAKERBSA-N -1 1 349.347 -0.135 20 0 EBADMM Cc1noc(CCC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)n1 ZINC000986636249 807754135 /nfs/dbraw/zinc/75/41/35/807754135.db2.gz RNRWGFDICJURLH-WCBMZHEXSA-N -1 1 335.368 -0.086 20 0 EBADMM Cc1ccn(CC(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)c(=O)c1 ZINC000987080683 807835188 /nfs/dbraw/zinc/83/51/88/807835188.db2.gz CEPQOHPIAFHMAY-RYUDHWBXSA-N -1 1 346.391 -0.240 20 0 EBADMM COc1cncc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)n1 ZINC000987124547 807845230 /nfs/dbraw/zinc/84/52/30/807845230.db2.gz PAZGSSMJXKBYLF-BDAKNGLRSA-N -1 1 333.352 -0.298 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnc2cccnn21 ZINC000987135417 807852712 /nfs/dbraw/zinc/85/27/12/807852712.db2.gz GUPHVGBIIZGAKY-UWVGGRQHSA-N -1 1 342.363 -0.054 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H](NC(=O)C(F)F)[C@H]1C ZINC000987182320 807861337 /nfs/dbraw/zinc/86/13/37/807861337.db2.gz RWFJBRPNPQVBHV-RITPCOANSA-N -1 1 346.290 -0.119 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)C2CC2)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000987185334 807861654 /nfs/dbraw/zinc/86/16/54/807861654.db2.gz UEAPBGMXUCXKCW-SCZZXKLOSA-N -1 1 330.348 -0.453 20 0 EBADMM CCCn1cc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)nn1 ZINC000987275941 807881620 /nfs/dbraw/zinc/88/16/20/807881620.db2.gz UALYEQKVRMLBTF-UWVGGRQHSA-N -1 1 334.384 -0.095 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cc(C(N)=O)c[nH]1 ZINC000987275827 807881778 /nfs/dbraw/zinc/88/17/78/807881778.db2.gz SRZAOYRYOMOCRC-CBAPKCEASA-N -1 1 333.352 -0.670 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCn1cnccc1=O ZINC000987282061 807883118 /nfs/dbraw/zinc/88/31/18/807883118.db2.gz VECHWVBCMGWMIS-MNOVXSKESA-N -1 1 347.379 -0.764 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cn(CC2CC2)nn1 ZINC000987282636 807883811 /nfs/dbraw/zinc/88/38/11/807883811.db2.gz YEAHLPRYWZYMKO-ONGXEEELSA-N -1 1 346.395 -0.095 20 0 EBADMM Cc1cc(=O)c(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)c[nH]1 ZINC000987297240 807884651 /nfs/dbraw/zinc/88/46/51/807884651.db2.gz VJNPISSWMIYZGZ-MWLCHTKSSA-N -1 1 332.364 -0.100 20 0 EBADMM C[C@@H]1[C@@H](NCc2ccn(C)n2)CCN1C(=O)Cn1c(=O)[n-][nH]c1=O ZINC000987422582 807915062 /nfs/dbraw/zinc/91/50/62/807915062.db2.gz RIJSMIQEXVHBLL-KOLCDFICSA-N -1 1 335.368 -0.798 20 0 EBADMM CCn1ccc(CN[C@@H]2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)[C@H]2C)n1 ZINC000987421957 807915254 /nfs/dbraw/zinc/91/52/54/807915254.db2.gz OOQAPYGJLOKSDT-CMPLNLGQSA-N -1 1 349.395 -0.315 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1c[nH]c(C(N)=O)c1 ZINC000987517673 807950807 /nfs/dbraw/zinc/95/08/07/807950807.db2.gz JEYYJPDVJSJZQY-IONNQARKSA-N -1 1 333.352 -0.670 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc(C(N)=O)nc1 ZINC000987607538 807971071 /nfs/dbraw/zinc/97/10/71/807971071.db2.gz CCYIMAMXNSUSPG-PSASIEDQSA-N -1 1 345.363 -0.603 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@H]1CN(C)CCO1 ZINC000987670480 807987225 /nfs/dbraw/zinc/98/72/25/807987225.db2.gz DOPDOEITIUCJQC-MBNYWOFBSA-N -1 1 348.403 -0.163 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1cnn(C)n1 ZINC000987684137 807991256 /nfs/dbraw/zinc/99/12/56/807991256.db2.gz LLUOPFYTXHOTDS-ZJUUUORDSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CCN1C(=O)CC(N)=O ZINC000988052983 808099919 /nfs/dbraw/zinc/09/99/19/808099919.db2.gz IGVRDHVSYVVHCE-KCJUWKMLSA-N -1 1 347.375 -0.346 20 0 EBADMM CN(C[C@H]1CCN(C(=O)[C@H]2CNC(=O)N2)C1)C(=O)c1ncccc1[O-] ZINC000988269904 808175620 /nfs/dbraw/zinc/17/56/20/808175620.db2.gz CAVYOTGPPRVFBT-GHMZBOCLSA-N -1 1 347.375 -0.611 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnc(N(C)C)cn1 ZINC000988845614 808300397 /nfs/dbraw/zinc/30/03/97/808300397.db2.gz TXXYKUOONBBSSX-ZJUUUORDSA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCc1ccnn1C ZINC000988923365 808327317 /nfs/dbraw/zinc/32/73/17/808327317.db2.gz OTIFDEDXLTXIPW-QWRGUYRKSA-N -1 1 333.396 -0.044 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnn2ccncc12 ZINC000988957292 808335501 /nfs/dbraw/zinc/33/55/01/808335501.db2.gz XUUABIHDPKGONW-ZJUUUORDSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cc2n(n1)CCO2 ZINC000988957517 808336623 /nfs/dbraw/zinc/33/66/23/808336623.db2.gz ZHRRPRVTJVPJEL-BDAKNGLRSA-N -1 1 333.352 -0.508 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CN1CCCNC1=O ZINC000989097413 808372623 /nfs/dbraw/zinc/37/26/23/808372623.db2.gz GMWQEWJMLVSDMV-ZJUUUORDSA-N -1 1 337.384 -0.995 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cn2cccnc2n1 ZINC000989094371 808373131 /nfs/dbraw/zinc/37/31/31/808373131.db2.gz MUPWWVAVGKQZTR-VHSXEESVSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc(=O)n(C)c1 ZINC000989100489 808374408 /nfs/dbraw/zinc/37/44/08/808374408.db2.gz RBQDPCUBHGNAJY-ONGXEEELSA-N -1 1 332.364 -0.398 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cc(C(N)=O)cn1C ZINC000989113806 808378617 /nfs/dbraw/zinc/37/86/17/808378617.db2.gz VADZKBIFTZRDEX-WCBMZHEXSA-N -1 1 347.379 -0.660 20 0 EBADMM COc1nn(C)cc1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC000989292862 808429483 /nfs/dbraw/zinc/42/94/83/808429483.db2.gz CSSZLPYWHMWFOR-BDAKNGLRSA-N -1 1 335.368 -0.355 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)[C@@H]3C[C@@]34CCOC4)CC2)nc1=O ZINC000989438080 808474593 /nfs/dbraw/zinc/47/45/93/808474593.db2.gz AVWZOZWMSDPDII-BLLLJJGKSA-N -1 1 335.408 -0.431 20 0 EBADMM COc1ccc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)nn1 ZINC000989646793 808545025 /nfs/dbraw/zinc/54/50/25/808545025.db2.gz PEVKLMBKIUOPDI-BDAKNGLRSA-N -1 1 333.352 -0.298 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc(N(C)C)nn1 ZINC000989690919 808560520 /nfs/dbraw/zinc/56/05/20/808560520.db2.gz PUGXAJTZXNCEKX-UWVGGRQHSA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@H]1C[C@@H](NCc2ccn(C)n2)CN1C(=O)Cn1c(=O)[n-][nH]c1=O ZINC000989721607 808566875 /nfs/dbraw/zinc/56/68/75/808566875.db2.gz CQHZCPXLEHKKPW-GXSJLCMTSA-N -1 1 335.368 -0.798 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnn2cc[nH]c12 ZINC000989724645 808569939 /nfs/dbraw/zinc/56/99/39/808569939.db2.gz BEXHZYYVKJTHGB-DTWKUNHWSA-N -1 1 330.352 -0.121 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCc1cncn1C ZINC000989735977 808573979 /nfs/dbraw/zinc/57/39/79/808573979.db2.gz KGNAVPGKTUNJKX-MNOVXSKESA-N -1 1 333.396 -0.044 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cncc(C(N)=O)c1 ZINC000989810637 808601483 /nfs/dbraw/zinc/60/14/83/808601483.db2.gz XPYRZYJNQZOLFO-KCJUWKMLSA-N -1 1 345.363 -0.603 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)[C@H]3CC34CCOCC4)CC2)nc1=O ZINC000989813508 808603372 /nfs/dbraw/zinc/60/33/72/808603372.db2.gz IMBAIOKWKNIXIU-CYBMUJFWSA-N -1 1 349.435 -0.041 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCc1cn(C)nn1 ZINC000989860352 808613586 /nfs/dbraw/zinc/61/35/86/808613586.db2.gz GWQINJFWFSYQFY-GXSJLCMTSA-N -1 1 334.384 -0.649 20 0 EBADMM O=C(C[C@@H]1C=CCC1)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000990189193 808687963 /nfs/dbraw/zinc/68/79/63/808687963.db2.gz BLZUDAGALMQHPB-GFCCVEGCSA-N -1 1 346.387 -0.390 20 0 EBADMM Cn1cnc(C(=O)N[C@]23CCC[C@H]2N(Cc2nc(=O)n(C)[n-]2)CC3)c1 ZINC000990236500 808707813 /nfs/dbraw/zinc/70/78/13/808707813.db2.gz LVGRUUHWTXIXKX-WBMJQRKESA-N -1 1 345.407 -0.231 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cn2cncn2)CCN1C(=O)c1ncccc1[O-] ZINC000990296034 808734109 /nfs/dbraw/zinc/73/41/09/808734109.db2.gz MSBCWIQNSCACHM-MNOVXSKESA-N -1 1 330.348 -0.202 20 0 EBADMM Cn1nncc1C(=O)N[C@@]12CCC[C@H]1N(Cc1nc(=O)n(C)[n-]1)CC2 ZINC000990481237 808805237 /nfs/dbraw/zinc/80/52/37/808805237.db2.gz ZFKBEHZIXNZKEW-IAQYHMDHSA-N -1 1 346.395 -0.836 20 0 EBADMM Cn1ccc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)cc1=O ZINC000990973929 809020520 /nfs/dbraw/zinc/02/05/20/809020520.db2.gz DNBZOEDQAYAZAU-UHFFFAOYSA-N -1 1 328.328 -0.260 20 0 EBADMM O=C(NC1CN(C(=O)c2cc3n(n2)CCO3)C1)c1ncccc1[O-] ZINC000990974725 809021473 /nfs/dbraw/zinc/02/14/73/809021473.db2.gz KHFNGECJBHIVTR-UHFFFAOYSA-N -1 1 329.316 -0.370 20 0 EBADMM CO[C@@H](C(=O)N1CC(NC(=O)c2ncccc2[O-])C1)[C@H]1CCOC1 ZINC000990976105 809023348 /nfs/dbraw/zinc/02/33/48/809023348.db2.gz VXZKZJWAVCODKG-IINYFYTJSA-N -1 1 335.360 -0.221 20 0 EBADMM O=C(NC1CN(C(=O)[C@H]2CCS(=O)(=O)C2)C1)c1ncccc1[O-] ZINC000990977674 809024872 /nfs/dbraw/zinc/02/48/72/809024872.db2.gz KWTMXYQAPOZJDU-VIFPVBQESA-N -1 1 339.373 -0.838 20 0 EBADMM O=C(NC1CN(C(=O)[C@@H]2CCCS2(=O)=O)C1)c1ncccc1[O-] ZINC000990978313 809025474 /nfs/dbraw/zinc/02/54/74/809025474.db2.gz MYUGZUIJSIDFDT-NSHDSACASA-N -1 1 339.373 -0.695 20 0 EBADMM C[C@H](C(=O)N1CC(NC(=O)c2ncccc2[O-])C1)[C@@H]1CCC(=O)N1 ZINC000990980288 809027144 /nfs/dbraw/zinc/02/71/44/809027144.db2.gz ZKLSCNQXROFYPP-ONGXEEELSA-N -1 1 332.360 -0.358 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1cnccn1 ZINC000991236711 809181290 /nfs/dbraw/zinc/18/12/90/809181290.db2.gz HIZFARHJUOXDJH-MFKMUULPSA-N -1 1 343.343 -0.417 20 0 EBADMM Cn1nccc1C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000991333989 809314282 /nfs/dbraw/zinc/31/42/82/809314282.db2.gz OOWULQBORIHZOB-MFKMUULPSA-N -1 1 345.359 -0.474 20 0 EBADMM Cc1cc(C(=O)N2CC[C@H](NC(=O)c3[nH]nc(C)c3[O-])[C@H](O)C2)n[nH]1 ZINC000991386176 809390462 /nfs/dbraw/zinc/39/04/62/809390462.db2.gz HCCKOCIVXVZPGP-GXSJLCMTSA-N -1 1 348.363 -0.539 20 0 EBADMM O=C(CC1(O)CCC1)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991424181 809448353 /nfs/dbraw/zinc/44/83/53/809448353.db2.gz JOVFMIJXNWLIMA-WCQYABFASA-N -1 1 349.387 -0.216 20 0 EBADMM O=C(Cc1cnoc1)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991428271 809456038 /nfs/dbraw/zinc/45/60/38/809456038.db2.gz PZCMRZXDOQHBGX-YPMHNXCESA-N -1 1 346.343 -0.291 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)[C@H]1CC12CCC2 ZINC000991534416 809613790 /nfs/dbraw/zinc/61/37/90/809613790.db2.gz IPPTXPKHRTUZGG-SNVBAGLBSA-N -1 1 342.359 -0.452 20 0 EBADMM Cc1n[nH]c(C(=O)NC2CCN([C@H]3CCC(=O)NC3=O)CC2)c1[O-] ZINC001002616020 809752480 /nfs/dbraw/zinc/75/24/80/809752480.db2.gz UHYUNMFYFRJQQN-JTQLQIEISA-N -1 1 335.364 -0.577 20 0 EBADMM O=C(NC1CC[NH+](CCN2C(=O)CNC2=O)CC1)c1ncccc1[O-] ZINC001002665152 809760459 /nfs/dbraw/zinc/76/04/59/809760459.db2.gz QEFCQZSEUKTDPA-UHFFFAOYSA-N -1 1 347.375 -0.467 20 0 EBADMM CN(C[C@@H]1CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1)C(=O)C1CC1 ZINC001002713349 809767523 /nfs/dbraw/zinc/76/75/23/809767523.db2.gz OCUJOUHVTHHFBH-NSHDSACASA-N -1 1 344.375 -0.109 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)[C@@H]3C[C@]34CCOC4)CC2)nc1=O ZINC001003925611 809811656 /nfs/dbraw/zinc/81/16/56/809811656.db2.gz FCOHRVBNTGYCRC-LRDDRELGSA-N -1 1 335.408 -0.384 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)c1cnnn1C ZINC001004851797 809827760 /nfs/dbraw/zinc/82/77/60/809827760.db2.gz YWDYRMQPTGXFHO-VHSXEESVSA-N -1 1 334.384 -0.188 20 0 EBADMM C[C@H]1CCN(C(=O)C(N)=O)C[C@@H]1NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001004861617 809828913 /nfs/dbraw/zinc/82/89/13/809828913.db2.gz UHMBDFBCTZXRAO-KWQFWETISA-N -1 1 347.375 -0.488 20 0 EBADMM CN(C(=O)c1cnccn1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005059316 809838056 /nfs/dbraw/zinc/83/80/56/809838056.db2.gz VOXWCRJGRCTOFS-UHFFFAOYSA-N -1 1 331.380 -0.365 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CN(C)C(=O)N2)C1 ZINC001005961061 809872868 /nfs/dbraw/zinc/87/28/68/809872868.db2.gz XNACLBJWJFXWCR-MWLCHTKSSA-N -1 1 347.375 -0.613 20 0 EBADMM C[C@H](NC(=O)[C@@H]1C[C@H]1C)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001006570908 809906418 /nfs/dbraw/zinc/90/64/18/809906418.db2.gz VCBOBPOFXQBIBE-NTZNESFSSA-N -1 1 348.403 -0.454 20 0 EBADMM C[C@@H](C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1)n1cccn1 ZINC001006790780 809921551 /nfs/dbraw/zinc/92/15/51/809921551.db2.gz SKTAEKACUZHASE-RYUDHWBXSA-N -1 1 333.396 -0.353 20 0 EBADMM Cn1nccc1CCN1CCC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001007798500 810020825 /nfs/dbraw/zinc/02/08/25/810020825.db2.gz GDXJRHLTBWEOPT-JTQLQIEISA-N -1 1 347.379 -0.547 20 0 EBADMM CC1(C)CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)Cn1cnnn1 ZINC001007842640 810027936 /nfs/dbraw/zinc/02/79/36/810027936.db2.gz ATFVRSCZYZYXDB-NSHDSACASA-N -1 1 345.363 -0.559 20 0 EBADMM Cc1cc(C)n(CC(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001007846989 810029194 /nfs/dbraw/zinc/02/91/94/810029194.db2.gz UAINQOWUXIBNEZ-ZDUSSCGKSA-N -1 1 347.423 -0.297 20 0 EBADMM CC1(C)CN(C(=O)Cn2ncnn2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001008068163 810072276 /nfs/dbraw/zinc/07/22/76/810072276.db2.gz APSNCODLRSTUFL-LLVKDONJSA-N -1 1 345.363 -0.559 20 0 EBADMM COc1nc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)co1 ZINC001008073530 810072563 /nfs/dbraw/zinc/07/25/63/810072563.db2.gz XGCFBPGHSQAIJP-VIFPVBQESA-N -1 1 336.352 -0.501 20 0 EBADMM CC1(C)CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)[C@@H]1COC(=O)N1 ZINC001008096001 810077102 /nfs/dbraw/zinc/07/71/02/810077102.db2.gz DKMWFWSDTHQGAI-ONGXEEELSA-N -1 1 348.359 -0.138 20 0 EBADMM Cn1cc(C(=O)NC[C@@H]2[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)nn1 ZINC001009018428 810228928 /nfs/dbraw/zinc/22/89/28/810228928.db2.gz RQCBEWNCUKOUDA-RTCCRHLQSA-N -1 1 342.359 -0.336 20 0 EBADMM CCC(=O)N1C[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC001009884462 810266943 /nfs/dbraw/zinc/26/69/43/810266943.db2.gz AIYQLWMENZMPPC-NXEZZACHSA-N -1 1 332.364 -0.206 20 0 EBADMM COc1cc(N)n(-c2cnc(OC)c([N-]S(C)(=O)=O)c2)c(=N)n1 ZINC001216153409 810361543 /nfs/dbraw/zinc/36/15/43/810361543.db2.gz QRODBKJIIFOERB-UHFFFAOYSA-N -1 1 340.365 -0.282 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)Cn1ncnn1 ZINC001012687621 810379727 /nfs/dbraw/zinc/37/97/27/810379727.db2.gz MKRGCPHUVCJLHN-ZJUUUORDSA-N -1 1 331.336 -0.807 20 0 EBADMM O=C(Cn1ncnn1)N[C@H]1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001015451647 810479593 /nfs/dbraw/zinc/47/95/93/810479593.db2.gz UTAYUEUATQDXSP-MNOVXSKESA-N -1 1 345.363 -0.511 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cc4ncccn4n3)C2)nc1=O ZINC001015879494 810610929 /nfs/dbraw/zinc/61/09/29/810610929.db2.gz FKLUHKNARXGRRR-SNVBAGLBSA-N -1 1 342.363 -0.845 20 0 EBADMM CCCC[C@@H](CC)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001219234787 810626003 /nfs/dbraw/zinc/62/60/03/810626003.db2.gz BAVAZKLTCUPYFG-UPJWGTAASA-N -1 1 339.440 -0.014 20 0 EBADMM COCc1nocc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001015981141 810637076 /nfs/dbraw/zinc/63/70/76/810637076.db2.gz LJCAFFLWIMKSII-SECBINFHSA-N -1 1 336.352 -0.753 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCN(Cc2ncccn2)C1 ZINC001015988969 810642752 /nfs/dbraw/zinc/64/27/52/810642752.db2.gz JBEXHUQTMOVKBJ-SECBINFHSA-N -1 1 346.347 -0.309 20 0 EBADMM O=C(N[C@H]1CCN(Cc2ccns2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001015993719 810648115 /nfs/dbraw/zinc/64/81/15/810648115.db2.gz ZVUSJAXIDQSEFF-VIFPVBQESA-N -1 1 345.388 -0.122 20 0 EBADMM C[C@H](C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)c1cccnc1 ZINC001016015779 810654390 /nfs/dbraw/zinc/65/43/90/810654390.db2.gz HBTQRAURKCUKQG-AAEUAGOBSA-N -1 1 330.392 -0.002 20 0 EBADMM Cc1ccc(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001016259757 810716207 /nfs/dbraw/zinc/71/62/07/810716207.db2.gz WTHCLIDZOURTRO-ZDUSSCGKSA-N -1 1 330.392 -0.255 20 0 EBADMM CN(C(=O)[C@H]1COCCO1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016268885 810717250 /nfs/dbraw/zinc/71/72/50/810717250.db2.gz ISHGIQBDLIRIFM-GCZXYKMCSA-N -1 1 335.360 -0.078 20 0 EBADMM CC(C)C(C)(C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001219698007 810799524 /nfs/dbraw/zinc/79/95/24/810799524.db2.gz ZLQUVDSXQCOXLE-MNOVXSKESA-N -1 1 325.413 -0.548 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)C3CC4(CC4)C3)C2)nc1=O ZINC001035503194 810861140 /nfs/dbraw/zinc/86/11/40/810861140.db2.gz WIODOLQIYAWJSA-GFCCVEGCSA-N -1 1 335.408 -0.384 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)[C@H]3CC34CCC4)C2)nc1=O ZINC001035524506 810874976 /nfs/dbraw/zinc/87/49/76/810874976.db2.gz GCVMJDSRDJUEDR-NWDGAFQWSA-N -1 1 335.408 -0.384 20 0 EBADMM CC1(C)OCc2cc(C[C@H](N)C(=O)N[C@@H]3CO[N-]C3=O)ccc2O1 ZINC001220028306 810954321 /nfs/dbraw/zinc/95/43/21/810954321.db2.gz NRCVOUDLLKWPRE-NWDGAFQWSA-N -1 1 335.360 -0.252 20 0 EBADMM COC(=O)[C@H](CCC(=O)[O-])NC(=O)[C@@H](N)Cc1c[nH]c2ncccc12 ZINC001220104777 810982561 /nfs/dbraw/zinc/98/25/61/810982561.db2.gz MSWYMJRPRBYGSS-RYUDHWBXSA-N -1 1 348.359 -0.045 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)C[C@H]2CCOC2)CC3)nc1=O ZINC001035676897 810986546 /nfs/dbraw/zinc/98/65/46/810986546.db2.gz LRUNCZIEEYNROG-CYBMUJFWSA-N -1 1 349.435 -0.041 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C(C)(C)C(C)(C)C)[C@@H](O)C2)nc1=O ZINC001220149282 811001915 /nfs/dbraw/zinc/00/19/15/811001915.db2.gz GKQLMCRUKJKFPZ-MNOVXSKESA-N -1 1 339.440 -0.158 20 0 EBADMM C[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC[C@H]1NCc1ccon1 ZINC001036093956 811096039 /nfs/dbraw/zinc/09/60/39/811096039.db2.gz NQCBMVRBOLQYTD-PSASIEDQSA-N -1 1 334.336 -0.089 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnc([O-])n(C)c2=O)CC[C@H]1NCc1cnon1 ZINC001036141114 811106512 /nfs/dbraw/zinc/10/65/12/811106512.db2.gz NQSZHWAXUNPTTA-BXKDBHETSA-N -1 1 348.363 -0.491 20 0 EBADMM C[C@H]1CN(C(=O)c2cnc([O-])n(C)c2=O)CC[C@H]1NCc1cnon1 ZINC001036141136 811106736 /nfs/dbraw/zinc/10/67/36/811106736.db2.gz NQSZHWAXUNPTTA-JOYOIKCWSA-N -1 1 348.363 -0.491 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CCC[C@@H](C)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036568308 811177518 /nfs/dbraw/zinc/17/75/18/811177518.db2.gz VIGXVMGEGBVIRC-SCZZXKLOSA-N -1 1 334.384 -0.033 20 0 EBADMM C[C@@H]1CCCN(C(=O)c2c[nH]c(=O)cn2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036572160 811178433 /nfs/dbraw/zinc/17/84/33/811178433.db2.gz DINNLTZPCMKSHA-KOLCDFICSA-N -1 1 347.379 -0.376 20 0 EBADMM Cn1cc(C(=O)N2CC[C@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001036642648 811192623 /nfs/dbraw/zinc/19/26/23/811192623.db2.gz UBAKPHHIWDVWHJ-JQWIXIFHSA-N -1 1 331.380 -0.020 20 0 EBADMM O=C(CCn1ccnn1)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036700338 811213076 /nfs/dbraw/zinc/21/30/76/811213076.db2.gz FHJOAXSNTKHIQO-VXGBXAGGSA-N -1 1 346.395 -0.385 20 0 EBADMM NCC(=O)N[C@@H](Cc1ccccc1)C(=O)NCC(=O)CCC(=O)[O-] ZINC001220849208 811267191 /nfs/dbraw/zinc/26/71/91/811267191.db2.gz WEVZSZJKLHCZFN-ZDUSSCGKSA-N -1 1 335.360 -0.777 20 0 EBADMM CCn1cc(C(=O)N2CC[C@@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)nn1 ZINC001036856715 811292533 /nfs/dbraw/zinc/29/25/33/811292533.db2.gz WSRAIOKIYOIYCJ-CMPLNLGQSA-N -1 1 346.395 -0.142 20 0 EBADMM O=C(N[C@H]1CCCC[C@@H]1CNCc1cnon1)c1n[nH]c(=O)[n-]c1=O ZINC001037197606 811384401 /nfs/dbraw/zinc/38/44/01/811384401.db2.gz FPMPGEZNQQRYHO-SCZZXKLOSA-N -1 1 349.351 -0.256 20 0 EBADMM C[C@@H](C(=O)NC(N)=O)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001221496968 811468761 /nfs/dbraw/zinc/46/87/61/811468761.db2.gz IARGZJRZQKYGBQ-HBNTYKKESA-N -1 1 347.375 -0.483 20 0 EBADMM CCN(C(=O)CN(C)C(C)=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079343750 811501441 /nfs/dbraw/zinc/50/14/41/811501441.db2.gz VARWZGHVFISVPI-UHFFFAOYSA-N -1 1 334.376 -0.062 20 0 EBADMM Cc1[nH]nc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)c1C ZINC001079498360 811562549 /nfs/dbraw/zinc/56/25/49/811562549.db2.gz BLOIQAUXDLQMFB-LDYMZIIASA-N -1 1 333.396 -0.301 20 0 EBADMM Cc1cc(CC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)no1 ZINC001079502216 811564482 /nfs/dbraw/zinc/56/44/82/811564482.db2.gz IYRYPBXOHBMVMU-BXKDBHETSA-N -1 1 334.380 -0.416 20 0 EBADMM CCn1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)cn1 ZINC001079503585 811567388 /nfs/dbraw/zinc/56/73/88/811567388.db2.gz RZRJHNFGSPANGQ-ZYHUDNBSSA-N -1 1 333.396 -0.425 20 0 EBADMM CO[C@H](C)CC(=O)N1CC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1 ZINC001222417085 811627475 /nfs/dbraw/zinc/62/74/75/811627475.db2.gz YTRKXSJZUOQKMK-UPJWGTAASA-N -1 1 337.424 -0.044 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)C[C@@H](C)NC(N)=O)C1 ZINC001079654104 811638538 /nfs/dbraw/zinc/63/85/38/811638538.db2.gz IUEPWKFTZKDUSI-SNVBAGLBSA-N -1 1 349.391 -0.093 20 0 EBADMM C[NH+](C)Cc1ncccc1OC(=O)[C@H](CC(=O)[O-])[C@@H](O)C(=O)[O-] ZINC001225281864 811800778 /nfs/dbraw/zinc/80/07/78/811800778.db2.gz LCFCPBKLQOZVSR-PRHODGIISA-N -1 1 326.305 -0.415 20 0 EBADMM CC(=O)NCC(=O)NC1CCN(Cc2cc(=O)n3[n-]ccc3n2)CC1 ZINC001225823799 811831100 /nfs/dbraw/zinc/83/11/00/811831100.db2.gz NITRLOLVYWCTFQ-UHFFFAOYSA-N -1 1 346.391 -0.761 20 0 EBADMM O=C([O-])C[C@@H](O)C(=O)Oc1ncnc2[nH]nc(Br)c21 ZINC001226666604 811877863 /nfs/dbraw/zinc/87/78/63/811877863.db2.gz MMGPNNLKAQIVCZ-GSVOUGTGSA-N -1 1 331.082 -0.144 20 0 EBADMM COc1cc(=O)[nH]c(O[C@H](CN2CCOCC2)CS(=O)(=O)[O-])n1 ZINC001228919422 812006380 /nfs/dbraw/zinc/00/63/80/812006380.db2.gz XPIGTRQEAQVELP-SECBINFHSA-N -1 1 349.365 -0.842 20 0 EBADMM CCN(C(=O)C1(C(N)=O)CC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001080396813 812010058 /nfs/dbraw/zinc/01/00/58/812010058.db2.gz PQNSLSREHIRXGW-UHFFFAOYSA-N -1 1 332.360 -0.274 20 0 EBADMM CNC(=O)C1(C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)CC1 ZINC001229116934 812013529 /nfs/dbraw/zinc/01/35/29/812013529.db2.gz XCPIFUFHFDVFFU-NXEZZACHSA-N -1 1 336.396 -0.447 20 0 EBADMM O=C(NCC[C@H]1CN(CCF)CCS1(=O)=O)c1ncccc1[O-] ZINC001230449342 812141564 /nfs/dbraw/zinc/14/15/64/812141564.db2.gz AGSHLXARESNBFZ-NSHDSACASA-N -1 1 345.396 -0.024 20 0 EBADMM C[C@H](C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)n1cccn1 ZINC001230848168 812247343 /nfs/dbraw/zinc/24/73/43/812247343.db2.gz BJDQCGUTLYMNPG-VXGBXAGGSA-N -1 1 333.396 -0.401 20 0 EBADMM CCO[C@H](CC)C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001230957111 812260348 /nfs/dbraw/zinc/26/03/48/812260348.db2.gz CUZQQGHXGTUCPP-NWDGAFQWSA-N -1 1 325.413 -0.044 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)Cc1cnn(C)c1 ZINC001231163299 812278099 /nfs/dbraw/zinc/27/80/99/812278099.db2.gz ZQDAQJUIFKDGBX-GFCCVEGCSA-N -1 1 333.396 -0.883 20 0 EBADMM COC(=O)CC(CC(=O)OC)Oc1c(=O)[n-]cnc1C(=O)OC ZINC001233761083 812339190 /nfs/dbraw/zinc/33/91/90/812339190.db2.gz YSKIIDSINKPURL-UHFFFAOYSA-N -1 1 328.277 -0.158 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)C[C@@](C)(O)C1CC1 ZINC001233801834 812345164 /nfs/dbraw/zinc/34/51/64/812345164.db2.gz RUILCIUMVSYISA-BLLLJJGKSA-N -1 1 337.424 -0.308 20 0 EBADMM CO[C@@H](C)CCC(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001233829027 812352933 /nfs/dbraw/zinc/35/29/33/812352933.db2.gz RPNQMTQNCRGZPG-RYUDHWBXSA-N -1 1 325.413 -0.044 20 0 EBADMM O=C(NC1(CO)CCN(C(=O)c2ncccc2[O-])CC1)c1nnc[nH]1 ZINC001080942069 812379396 /nfs/dbraw/zinc/37/93/96/812379396.db2.gz CCTWGZAACBMFIF-UHFFFAOYSA-N -1 1 346.347 -0.698 20 0 EBADMM CCc1ncncc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001080955264 812393218 /nfs/dbraw/zinc/39/32/18/812393218.db2.gz GRZVBOQBSYCJLD-ZWNOBZJWSA-N -1 1 345.407 -0.289 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)CCOCC(F)F ZINC001234378191 812419556 /nfs/dbraw/zinc/41/95/56/812419556.db2.gz NMWGSBKHQCRHDL-SNVBAGLBSA-N -1 1 347.366 -0.187 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)CCc1ccncn1 ZINC001234624602 812443600 /nfs/dbraw/zinc/44/36/00/812443600.db2.gz FHPJIKXRLQEFLD-ZDUSSCGKSA-N -1 1 345.407 -0.436 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H](C(F)(F)F)[C@@H](CO)C1 ZINC001258040048 812777460 /nfs/dbraw/zinc/77/74/60/812777460.db2.gz FWSKLSMQEAKNQV-NXEZZACHSA-N -1 1 349.309 -0.054 20 0 EBADMM CCOC(=O)[C@H]([N-]S(=O)(=O)c1c(C)nn(C)c1Cl)[C@@H](C)O ZINC001258465914 812794927 /nfs/dbraw/zinc/79/49/27/812794927.db2.gz OIYKFASPUHXXGI-HTQZYQBOSA-N -1 1 339.801 -0.027 20 0 EBADMM COC(=O)CS(=O)(=O)[N-][C@@H](CCC(N)=O)C(=O)OC(C)(C)C ZINC001259030562 812805275 /nfs/dbraw/zinc/80/52/75/812805275.db2.gz ILGGHNOKXYKEHZ-QMMMGPOBSA-N -1 1 338.382 -0.945 20 0 EBADMM Cn1nc(Br)cc1[N-]S(=O)(=O)CS(C)(=O)=O ZINC001260583684 812836171 /nfs/dbraw/zinc/83/61/71/812836171.db2.gz JKPIGUBVSBGNHC-UHFFFAOYSA-N -1 1 332.201 -0.074 20 0 EBADMM CC(=O)Nc1ncc(S(=O)(=O)[N-]c2cnc(C)[nH]c2=O)s1 ZINC001260711574 812846946 /nfs/dbraw/zinc/84/69/46/812846946.db2.gz LTXYDMVVLUKERQ-ZETCQYMHSA-N -1 1 329.363 -0.222 20 0 EBADMM CC(=O)Nc1ncc(S(=O)(=O)[N-]c2cc(=O)n(C)c(=O)[nH]2)s1 ZINC001260709244 812847175 /nfs/dbraw/zinc/84/71/75/812847175.db2.gz CAAYWJIIJXNHHZ-UHFFFAOYSA-N -1 1 345.362 -0.298 20 0 EBADMM C[C@@H](CNC(=O)C(=O)N1CCN(C2CCOCC2)C[C@@H]1C)C(=O)[O-] ZINC001261554772 812937033 /nfs/dbraw/zinc/93/70/33/812937033.db2.gz MXRFSZZPUQYHPC-RYUDHWBXSA-N -1 1 341.408 -0.465 20 0 EBADMM CO[N-]C(=O)CNC(=O)C(=O)N1CCN(CC2CCCCC2)CC1 ZINC001262315971 813065617 /nfs/dbraw/zinc/06/56/17/813065617.db2.gz VZCYYWJCFQQZRW-UHFFFAOYSA-N -1 1 340.424 -0.495 20 0 EBADMM O=C([N-]OC[C@@H]1CCOC1)C(=O)NC[C@H]1CCN1C1CCOCC1 ZINC001262318798 813066388 /nfs/dbraw/zinc/06/63/88/813066388.db2.gz PFYUXGFYWGTFJS-TZMCWYRMSA-N -1 1 341.408 -0.560 20 0 EBADMM CO[C@@H]1CN(CC(=O)N(C)C2CC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001081576097 813217847 /nfs/dbraw/zinc/21/78/47/813217847.db2.gz KCFQFQIGXZDWNQ-TZMCWYRMSA-N -1 1 348.403 -0.163 20 0 EBADMM CO[C@@H]1CN([C@H]2CCN(C)C2=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001081578995 813226933 /nfs/dbraw/zinc/22/69/33/813226933.db2.gz HRDMLJHEQUFKMC-NTZNESFSSA-N -1 1 334.376 -0.553 20 0 EBADMM O=C(Cc1cnoc1)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001081676659 813386507 /nfs/dbraw/zinc/38/65/07/813386507.db2.gz HBDQLPBYSFJOMH-WCQYABFASA-N -1 1 346.343 -0.433 20 0 EBADMM CN(CCCNC(=O)c1c[n-]n2c1nccc2=O)CC(=O)NC1CC1 ZINC001265934965 813419332 /nfs/dbraw/zinc/41/93/32/813419332.db2.gz GWRBURNYCYZTKU-UHFFFAOYSA-N -1 1 346.391 -0.647 20 0 EBADMM C[C@@]1(C(=O)NCCCN(Cc2n[nH]c(=O)[n-]2)C2CC2)CCNC1=O ZINC001266159654 813468938 /nfs/dbraw/zinc/46/89/38/813468938.db2.gz YNEFLNBWSPUXRB-HNNXBMFYSA-N -1 1 336.396 -0.493 20 0 EBADMM O=C(NCCC[C@H]1CCCN1Cc1n[nH]c(=O)[n-]1)[C@@H]1COCCO1 ZINC001266202253 813478049 /nfs/dbraw/zinc/47/80/49/813478049.db2.gz VIJNRTNZHXABSX-RYUDHWBXSA-N -1 1 339.396 -0.214 20 0 EBADMM Cc1ncoc1C(=O)NCCCN1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001266260172 813495336 /nfs/dbraw/zinc/49/53/36/813495336.db2.gz OJIYZCYAJGSVHR-UHFFFAOYSA-N -1 1 349.395 -0.256 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C(=O)Nc3ccccc3)C2)nc1=O ZINC001266327664 813532661 /nfs/dbraw/zinc/53/26/61/813532661.db2.gz JICNFCAYPYEWCQ-GFCCVEGCSA-N -1 1 344.375 -0.562 20 0 EBADMM CO[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)c1[nH]nc2c1CCC2 ZINC001081886182 813660892 /nfs/dbraw/zinc/66/08/92/813660892.db2.gz XPMYIBDNCJXTMZ-GHMZBOCLSA-N -1 1 347.379 -0.649 20 0 EBADMM Cc1cnc(CNCCN(C)C(=O)CCn2cc[n-]c(=O)c2=O)o1 ZINC001266901653 813695999 /nfs/dbraw/zinc/69/59/99/813695999.db2.gz MTGLRMIKGOWYQY-UHFFFAOYSA-N -1 1 335.364 -0.529 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cocc1C ZINC001082024610 813721733 /nfs/dbraw/zinc/72/17/33/813721733.db2.gz DDAADMVNPKVVFQ-VXGBXAGGSA-N -1 1 335.364 -0.361 20 0 EBADMM Cn1nnc(C(=O)NCCC[C@@H]2CCCN2Cc2n[nH]c(=O)[n-]2)n1 ZINC001267174039 813776651 /nfs/dbraw/zinc/77/66/51/813776651.db2.gz JZISZERGMNMMSQ-SECBINFHSA-N -1 1 335.372 -0.792 20 0 EBADMM CCN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)Cc1conc1C ZINC001267253154 813806112 /nfs/dbraw/zinc/80/61/12/813806112.db2.gz GSLRRANGRGETJA-UHFFFAOYSA-N -1 1 349.391 -0.139 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@@H]1C[C@H]1C1CC1 ZINC001082220234 813828743 /nfs/dbraw/zinc/82/87/43/813828743.db2.gz KQGOINVCHCRCLJ-UMSGYPCISA-N -1 1 335.408 -0.530 20 0 EBADMM CC(C)N(CCCNC(=O)[C@@H]1CC[C@@H]1C(N)=O)Cc1n[nH]c(=O)[n-]1 ZINC001267506048 813917330 /nfs/dbraw/zinc/91/73/30/813917330.db2.gz UTXCUNIMTYTSSI-WDEREUQCSA-N -1 1 338.412 -0.262 20 0 EBADMM C[C@@H]1CN(C(=O)C[C@@H]2CCC(=O)NC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082555090 813966248 /nfs/dbraw/zinc/96/62/48/813966248.db2.gz RIFYPYGFSDFTPY-OUAUKWLOSA-N -1 1 336.396 -0.637 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2CCc3nncn3C2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082939346 814028495 /nfs/dbraw/zinc/02/84/95/814028495.db2.gz MEPMSLPEQMQPNK-OUAUKWLOSA-N -1 1 346.395 -0.699 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)[C@@H]4CC4(C)C)[C@H]3C2)nc1=O ZINC001083053773 814093226 /nfs/dbraw/zinc/09/32/26/814093226.db2.gz LPCDKJLBZIAEQE-SDDRHHMPSA-N -1 1 335.408 -0.434 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)Cc4ccoc4)[C@H]3C2)nc1=O ZINC001083059141 814097840 /nfs/dbraw/zinc/09/78/40/814097840.db2.gz QAFFLEKSDVVHCY-QWHCGFSZSA-N -1 1 347.375 -0.644 20 0 EBADMM C[C@H](F)CCN1C[C@H]2OCCN(C(=O)c3n[nH]c(=O)[n-]c3=O)[C@H]2C1 ZINC001083132177 814153226 /nfs/dbraw/zinc/15/32/26/814153226.db2.gz GXRZCOXCOIVCOA-LPEHRKFASA-N -1 1 341.343 -0.444 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)[C@@H]4CC45CCC5)[C@H]3C2)nc1=O ZINC001083208347 814201887 /nfs/dbraw/zinc/20/18/87/814201887.db2.gz MLURDMVKPSFRHY-RWMBFGLXSA-N -1 1 347.419 -0.290 20 0 EBADMM O=C(Cn1ccc2ccccc21)NC[C@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001268336486 814216168 /nfs/dbraw/zinc/21/61/68/814216168.db2.gz UCOTWGXYQJCOER-GFCCVEGCSA-N -1 1 344.375 -0.268 20 0 EBADMM CC(C)n1nnnc1CN1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001083421241 814400474 /nfs/dbraw/zinc/40/04/74/814400474.db2.gz PZXYURYZVDKPSQ-PWSUYJOCSA-N -1 1 347.379 -0.670 20 0 EBADMM CC(C)N1CC[C@H](N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)C1=O ZINC001083422238 814401645 /nfs/dbraw/zinc/40/16/45/814401645.db2.gz NEDVRKYBTOBJBT-DYEKYZERSA-N -1 1 348.403 -0.429 20 0 EBADMM CC(C)n1ncnc1CN1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001083423169 814403292 /nfs/dbraw/zinc/40/32/92/814403292.db2.gz IBHXNDNUNZWDRC-YPMHNXCESA-N -1 1 346.391 -0.065 20 0 EBADMM CCN1CC[C@@H](N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)C1=O ZINC001083423500 814404466 /nfs/dbraw/zinc/40/44/66/814404466.db2.gz YVVDKJZNLHFFSL-WZRBSPASSA-N -1 1 334.376 -0.817 20 0 EBADMM Cn1cc(CCN2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)cn1 ZINC001083423509 814404616 /nfs/dbraw/zinc/40/46/16/814404616.db2.gz ZEZUOKFXHSEFIK-OCCSQVGLSA-N -1 1 331.376 -0.462 20 0 EBADMM CC[C@@H](C(N)=O)N1C(=O)COCC12CN(C(=O)c1ncccc1[O-])C2 ZINC001268842415 814409692 /nfs/dbraw/zinc/40/96/92/814409692.db2.gz KSBJRZHGKUATED-JTQLQIEISA-N -1 1 348.359 -0.896 20 0 EBADMM CC[C@@]1(C(=O)N[C@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)CCNC1=O ZINC001269080321 814519781 /nfs/dbraw/zinc/51/97/81/814519781.db2.gz ZGVMICHTUHFSAM-MEBBXXQBSA-N -1 1 336.396 -0.589 20 0 EBADMM Cn1cncc1CN1CC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001269178020 814566919 /nfs/dbraw/zinc/56/69/19/814566919.db2.gz WRFWALPEPSUBMD-GFCCVEGCSA-N -1 1 346.391 -0.949 20 0 EBADMM C[C@@H](c1ccccc1)N1C[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)[C@@H](O)C1 ZINC001083607284 814616902 /nfs/dbraw/zinc/61/69/02/814616902.db2.gz PFZPFPAEAIMUMA-WCQGTBRESA-N -1 1 345.359 -0.181 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C[C@@H]3CC=CCC3)[C@@H](O)C2)nc1=O ZINC001083702815 814710869 /nfs/dbraw/zinc/71/08/69/814710869.db2.gz RBKXKJNWPJIXCZ-UPJWGTAASA-N -1 1 335.408 -0.484 20 0 EBADMM Cn1ccc(CNC2(CNC(=O)CCn3cc[n-]c(=O)c3=O)CC2)n1 ZINC001269508070 814714179 /nfs/dbraw/zinc/71/41/79/814714179.db2.gz CSYFAFIKIDISQK-UHFFFAOYSA-N -1 1 346.391 -0.901 20 0 EBADMM CC(=O)[C@H](C)N1C(=O)C[C@@]2(CCCN(C(=O)Cc3nn[n-]n3)C2)C1=O ZINC001269576585 814734208 /nfs/dbraw/zinc/73/42/08/814734208.db2.gz PNOPGVIMUGHLQC-BJOHPYRUSA-N -1 1 348.363 -0.913 20 0 EBADMM CC(C)CN1C[C@]2(CC1=O)COCCN(C(=O)Cc1nn[n-]n1)C2 ZINC001269577903 814735319 /nfs/dbraw/zinc/73/53/19/814735319.db2.gz PRFKUGMXNJWRII-OAHLLOKOSA-N -1 1 336.396 -0.524 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC[C@]12CCN(Cc1cnoc1)C2=O ZINC001269577600 814735587 /nfs/dbraw/zinc/73/55/87/814735587.db2.gz KOLLVJGHABHPHQ-CQSZACIVSA-N -1 1 331.336 -0.476 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC2(CCC(=O)N(C3COC3)C2)CC1 ZINC001269578251 814736046 /nfs/dbraw/zinc/73/60/46/814736046.db2.gz YDGACYBEVDEFNK-UHFFFAOYSA-N -1 1 334.380 -0.628 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C3(C)CCCCC3)[C@@H](O)C2)nc1=O ZINC001083750952 814754005 /nfs/dbraw/zinc/75/40/05/814754005.db2.gz XLCQKYNWMJGSHC-NEPJUHHUSA-N -1 1 337.424 -0.260 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)c3occc3Cl)[C@@H](O)C2)nc1=O ZINC001083754996 814759068 /nfs/dbraw/zinc/75/90/68/814759068.db2.gz XYAVMUQWWZZTTL-BDAKNGLRSA-N -1 1 341.755 -0.670 20 0 EBADMM CN(C(=O)C(=O)Nc1ccccc1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001269812527 815612201 /nfs/dbraw/zinc/61/22/01/815612201.db2.gz JOVFKGBEPCXPBS-UHFFFAOYSA-N -1 1 344.375 -0.610 20 0 EBADMM CN(C)C(=O)CNC(=O)[C@]12C[C@H]1CCN2C(=O)c1ccc([O-])cn1 ZINC001270138273 815698116 /nfs/dbraw/zinc/69/81/16/815698116.db2.gz BHXNGJLTYPAFCX-HWPZZCPQSA-N -1 1 332.360 -0.404 20 0 EBADMM Cc1ncsc1CN1CCO[C@H]2CN(C(=O)Cc3nn[n-]n3)C[C@@H]21 ZINC001270183463 815714568 /nfs/dbraw/zinc/71/45/68/815714568.db2.gz XADIKYPZCODWOM-QWRGUYRKSA-N -1 1 349.420 -0.381 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC2(C1)CCN(C(=O)Nc1cccnc1)C2 ZINC001270185973 815715584 /nfs/dbraw/zinc/71/55/84/815715584.db2.gz NDDCZEZWVFGXFL-UHFFFAOYSA-N -1 1 342.363 -0.096 20 0 EBADMM CCCc1occc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001083950776 815734389 /nfs/dbraw/zinc/73/43/89/815734389.db2.gz APQNJYCDDKNCCH-NEPJUHHUSA-N -1 1 349.391 -0.371 20 0 EBADMM CN(C)C(=O)CN1CCO[C@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC001270258713 815736086 /nfs/dbraw/zinc/73/60/86/815736086.db2.gz VWIXLEZACVYQQS-AAEUAGOBSA-N -1 1 334.376 -0.599 20 0 EBADMM CN(C)C(=O)CN1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H](C1)O2 ZINC001270258730 815736163 /nfs/dbraw/zinc/73/61/63/815736163.db2.gz WHLBIESKGUOUPG-TXEJJXNPSA-N -1 1 334.376 -0.599 20 0 EBADMM O=C(Cn1nn[n-]c1=S)N1CC[C@H]2[C@@H]1CCN2Cc1cn[nH]c1 ZINC001270299684 815744951 /nfs/dbraw/zinc/74/49/51/815744951.db2.gz LMONUEDWPREFIO-QWRGUYRKSA-N -1 1 334.409 -0.440 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)cc1C ZINC001084020440 815775842 /nfs/dbraw/zinc/77/58/42/815775842.db2.gz KVADZSSVOATVLX-KGLIPLIRSA-N -1 1 345.403 -0.300 20 0 EBADMM CC1=CC[C@](C)(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)CC1 ZINC001084080236 815821010 /nfs/dbraw/zinc/82/10/10/815821010.db2.gz FOKBTLYTMHIWMR-IGCXYCKISA-N -1 1 349.435 -0.094 20 0 EBADMM Cc1ncoc1C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001084258385 815877993 /nfs/dbraw/zinc/87/79/93/815877993.db2.gz KXNXXAGNKWDDDB-GHMZBOCLSA-N -1 1 332.364 -0.249 20 0 EBADMM Cc1nc(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)co1 ZINC001084297063 815884845 /nfs/dbraw/zinc/88/48/45/815884845.db2.gz LMGWUNDDUYBZKV-ZYHUDNBSSA-N -1 1 332.364 -0.249 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC2(C1)SCC[C@H]2C(=O)Nc1cn[nH]c1 ZINC001270784445 815887321 /nfs/dbraw/zinc/88/73/21/815887321.db2.gz JMVCDTPVVWOYKW-VIFPVBQESA-N -1 1 348.392 -0.562 20 0 EBADMM NC(=O)[C@H]1OC2(CCN(C(=O)Cc3nn[n-]n3)CC2)c2ccccc21 ZINC001270785070 815889270 /nfs/dbraw/zinc/88/92/70/815889270.db2.gz RDBSPSAQLMPOHL-AWEZNQCLSA-N -1 1 342.359 -0.183 20 0 EBADMM COc1ccc(NC(=O)[C@H]2CC23CN(C(=O)Cc2nn[n-]n2)C3)nn1 ZINC001270785863 815889671 /nfs/dbraw/zinc/88/96/71/815889671.db2.gz XITWWVSJIDWDFN-MRVPVSSYSA-N -1 1 344.335 -0.972 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCc2cc(C(=O)N3CCCCO3)[nH]c2C1 ZINC001270788380 815891079 /nfs/dbraw/zinc/89/10/79/815891079.db2.gz GORQHCMQNBZEIG-UHFFFAOYSA-N -1 1 345.363 -0.177 20 0 EBADMM O=C(Cc1nn[n-]n1)N[C@@H]1[C@H]2CC[C@H](C2)[C@@H]1C(=O)N1CCCCO1 ZINC001270789874 815892985 /nfs/dbraw/zinc/89/29/85/815892985.db2.gz WADZMWSWWKZUFU-XXSPCDMZSA-N -1 1 334.380 -0.173 20 0 EBADMM O=C(Cc1nn[n-]n1)N1C[C@H]2CCCO[C@H]2[C@@H](C(=O)N2CC=CC2)C1 ZINC001270789695 815893671 /nfs/dbraw/zinc/89/36/71/815893671.db2.gz UUQVDCZDCCWWAH-TYNCELHUSA-N -1 1 346.391 -0.606 20 0 EBADMM O=C(Cc1nn[n-]n1)N[C@@H]1[C@H]2CC[C@H](C2)[C@@H]1C(=O)NCC(F)F ZINC001270789452 815893775 /nfs/dbraw/zinc/89/37/75/815893775.db2.gz QKIFPUKZFLQZRZ-RQJHRRTKSA-N -1 1 328.323 -0.346 20 0 EBADMM CO[C@H](C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)C1CCC1 ZINC001084553016 815936648 /nfs/dbraw/zinc/93/66/48/815936648.db2.gz YUSHRLYUOXFQHZ-NFAWXSAZSA-N -1 1 349.435 -0.044 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](O)(CNC(=O)[C@]3(C)C=CCC3)C2)nc1=O ZINC001271177374 816020520 /nfs/dbraw/zinc/02/05/20/816020520.db2.gz XFARTIHUHROWRF-HZPDHXFCSA-N -1 1 335.408 -0.482 20 0 EBADMM Nc1nccnc1C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001085154619 816023406 /nfs/dbraw/zinc/02/34/06/816023406.db2.gz CQDWDONUUHKGSU-RKDXNWHRSA-N -1 1 332.368 -0.287 20 0 EBADMM NC(=O)c1ccc(C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)[nH]1 ZINC001085333799 816042107 /nfs/dbraw/zinc/04/21/07/816042107.db2.gz IFBJEDFZLGPRTK-RKDXNWHRSA-N -1 1 347.379 -0.232 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1ccnc(F)c1 ZINC001085511704 816059586 /nfs/dbraw/zinc/05/95/86/816059586.db2.gz ZFYPOWVFGKMLQD-LLVKDONJSA-N -1 1 334.355 -0.011 20 0 EBADMM CC(C)C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@]1(C)CCNC1=O ZINC001271294563 816066185 /nfs/dbraw/zinc/06/61/85/816066185.db2.gz PRTWPTOANBZKND-MEBBXXQBSA-N -1 1 338.412 -0.343 20 0 EBADMM Cc1[nH]nc(C(=O)N(C)C[C@@H]2CCN2C[C@@H](O)Cn2cccn2)c1[O-] ZINC001085544214 816068253 /nfs/dbraw/zinc/06/82/53/816068253.db2.gz MNEIGPJUCAYOAU-QWHCGFSZSA-N -1 1 348.407 -0.172 20 0 EBADMM CC[C@@H](C(N)=O)N1CC2(CN(C(=O)c3cncc([O-])c3)C2)OCC1=O ZINC001271386707 816094040 /nfs/dbraw/zinc/09/40/40/816094040.db2.gz ORRFAERIBUHVPM-LBPRGKRZSA-N -1 1 348.359 -0.896 20 0 EBADMM COc1cc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)ccn1 ZINC001085688437 816111103 /nfs/dbraw/zinc/11/11/03/816111103.db2.gz JELPNXFISMJMCH-GFCCVEGCSA-N -1 1 346.391 -0.142 20 0 EBADMM CN(C[C@@H]1CCN1CCc1ccnn1C)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001085699988 816115285 /nfs/dbraw/zinc/11/52/85/816115285.db2.gz BGPOKLDVFHJABL-NSHDSACASA-N -1 1 347.379 -0.595 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1ccc(C(N)=O)o1 ZINC001085712691 816120083 /nfs/dbraw/zinc/12/00/83/816120083.db2.gz QRHVJBHRSCDIGT-SECBINFHSA-N -1 1 348.363 -0.853 20 0 EBADMM CCn1ncc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)c1C ZINC001085889893 816172558 /nfs/dbraw/zinc/17/25/58/816172558.db2.gz KKAZLMCATFCAKG-LBPRGKRZSA-N -1 1 347.423 -0.020 20 0 EBADMM CCn1cnc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)c1 ZINC001085927611 816181565 /nfs/dbraw/zinc/18/15/65/816181565.db2.gz BUGXBTXNDGJJOO-LLVKDONJSA-N -1 1 333.396 -0.329 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)[C@H]1CC12CCOCC2 ZINC001086006706 816210214 /nfs/dbraw/zinc/21/02/14/816210214.db2.gz MDSDOSPVEUKCFP-QWHCGFSZSA-N -1 1 349.435 -0.042 20 0 EBADMM CCn1ncnc1CN[C@H]1C[C@@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001086138378 816243695 /nfs/dbraw/zinc/24/36/95/816243695.db2.gz GCSGAYNLURYCHF-DTORHVGOSA-N -1 1 348.367 -0.808 20 0 EBADMM Cc1nnc(CN[C@H]2C[C@@H](CNC(=O)c3cnc([O-])n(C)c3=O)C2)[nH]1 ZINC001086165718 816253241 /nfs/dbraw/zinc/25/32/41/816253241.db2.gz CLXRZRQPLKQAFP-AOOOYVTPSA-N -1 1 347.379 -0.789 20 0 EBADMM O=C(NC[C@H]1C[C@H](NCc2cnns2)C1)c1n[nH]c(=O)[n-]c1=O ZINC001086418162 816326359 /nfs/dbraw/zinc/32/63/59/816326359.db2.gz DBGBUCUEWLXYQI-LJGSYFOKSA-N -1 1 337.365 -0.568 20 0 EBADMM O=C(c1occ2c1CCC2)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001272218433 816357503 /nfs/dbraw/zinc/35/75/03/816357503.db2.gz TUPZKDAZJPTCTN-UHFFFAOYSA-N -1 1 335.364 -0.184 20 0 EBADMM O=C(c1cccc([O-])c1F)N1CC2(C1)COCC(=O)N2C1COC1 ZINC001272282406 816385056 /nfs/dbraw/zinc/38/50/56/816385056.db2.gz CUYHKAKKQPFPTN-UHFFFAOYSA-N -1 1 336.319 -0.017 20 0 EBADMM CCc1cc(C(=O)N(CCO)CCNCc2n[nH]c(=O)[n-]2)nn1C ZINC001272322156 816398998 /nfs/dbraw/zinc/39/89/98/816398998.db2.gz OVHIMNYZCROEFM-UHFFFAOYSA-N -1 1 337.384 -0.970 20 0 EBADMM O=C(CN1CCCC1=O)N1CC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001272353086 816407441 /nfs/dbraw/zinc/40/74/41/816407441.db2.gz HCUZFIWOFJBZQT-NSHDSACASA-N -1 1 336.396 -0.539 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NCc1nc(CNCc2nc(=O)n(C)[n-]2)cc(=O)[nH]1 ZINC001087254606 816480577 /nfs/dbraw/zinc/48/05/77/816480577.db2.gz LPUUCCULBSTBAJ-WPRPVWTQSA-N -1 1 347.379 -0.834 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1Cc1nc(=O)n(C)[nH]1 ZINC001087552241 816531636 /nfs/dbraw/zinc/53/16/36/816531636.db2.gz VCIWPTIRGHEYSO-WDEREUQCSA-N -1 1 346.391 -0.008 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)Cn2ccc(C)n2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087730948 816555656 /nfs/dbraw/zinc/55/56/56/816555656.db2.gz MAFMUSLVPGFCFM-QWHCGFSZSA-N -1 1 347.423 -0.217 20 0 EBADMM CC[C@@H](C(N)=O)N1C(=O)COCC12CN(Cc1ccncc1[O-])C2 ZINC001273081248 816583092 /nfs/dbraw/zinc/58/30/92/816583092.db2.gz RUKCEMBZZHHWNP-LBPRGKRZSA-N -1 1 334.376 -0.536 20 0 EBADMM Cc1conc1C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C1 ZINC001088162510 816639416 /nfs/dbraw/zinc/63/94/16/816639416.db2.gz VZHYFVGABUMXQN-NEPJUHHUSA-N -1 1 346.391 -0.001 20 0 EBADMM Cc1ncncc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001088477657 816688434 /nfs/dbraw/zinc/68/84/34/816688434.db2.gz LSFLSEBBSYZCIM-PWSUYJOCSA-N -1 1 331.380 -0.400 20 0 EBADMM Cc1ccnc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)n1 ZINC001088543786 816699125 /nfs/dbraw/zinc/69/91/25/816699125.db2.gz VSXYNWRQXHARBG-MNOVXSKESA-N -1 1 331.380 -0.400 20 0 EBADMM CN(C)C(=O)CN1C[C@H]2C[C@@H](C1)N2C(=O)c1c[nH]c(=S)[n-]c1=O ZINC001273779738 816760241 /nfs/dbraw/zinc/76/02/41/816760241.db2.gz KKHCNKJRWSTZEV-DTORHVGOSA-N -1 1 337.405 -0.542 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCCN1C(=O)c1cnn(C)n1 ZINC001089241127 816821129 /nfs/dbraw/zinc/82/11/29/816821129.db2.gz ZPJRWWYRTSUJNF-NSHDSACASA-N -1 1 348.411 -0.636 20 0 EBADMM Cc1ccc([O-])c(C(=O)N2CC3(CCN3C(=O)c3ncnn3C)C2)n1 ZINC001274066923 816855218 /nfs/dbraw/zinc/85/52/18/816855218.db2.gz CWIZPDVZPXFRHO-UHFFFAOYSA-N -1 1 342.359 -0.035 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ccccc3F)[C@@H](O)C2)nc1=O ZINC001090018328 816971493 /nfs/dbraw/zinc/97/14/93/816971493.db2.gz ZUTNZNYXSRHIKH-OLZOCXBDSA-N -1 1 349.366 -0.387 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)CC3CCCC3)[C@H](O)C2)nc1=O ZINC001090033854 816976762 /nfs/dbraw/zinc/97/67/62/816976762.db2.gz MILZOCWWJHXHNP-CHWSQXEVSA-N -1 1 337.424 -0.260 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)oc1C ZINC001090197675 817110770 /nfs/dbraw/zinc/11/07/70/817110770.db2.gz OEZRRKWVIUETEI-NEPJUHHUSA-N -1 1 349.391 -0.317 20 0 EBADMM CN(C(=O)CN1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1)C1CC1 ZINC001090214681 817132125 /nfs/dbraw/zinc/13/21/25/817132125.db2.gz YVVBTDCOAFOIGG-GXTWGEPZSA-N -1 1 348.403 -0.427 20 0 EBADMM Cn1ncc(CN2CC[C@@H](NC(=O)c3ncccc3[O-])[C@H](O)C2)n1 ZINC001090215479 817132193 /nfs/dbraw/zinc/13/21/93/817132193.db2.gz FMZAOPULAGMBFK-DGCLKSJQSA-N -1 1 332.364 -0.719 20 0 EBADMM Cc1nnc(CN2CC[C@H](NC(=O)c3ncccc3[O-])[C@H](O)C2)[nH]1 ZINC001090215438 817132203 /nfs/dbraw/zinc/13/22/03/817132203.db2.gz DWZYEQDHDMBXJH-CMPLNLGQSA-N -1 1 332.364 -0.421 20 0 EBADMM Cc1nnc(CN2CC[C@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)[nH]1 ZINC001090215439 817132210 /nfs/dbraw/zinc/13/22/10/817132210.db2.gz DWZYEQDHDMBXJH-JQWIXIFHSA-N -1 1 332.364 -0.421 20 0 EBADMM Cn1cc(CN2CC[C@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)cn1 ZINC001090215455 817132406 /nfs/dbraw/zinc/13/24/06/817132406.db2.gz FDMJYIVZSGDVOJ-JSGCOSHPSA-N -1 1 331.376 -0.114 20 0 EBADMM Cn1nnnc1NC(=O)C12CC(NC(=O)c3ccncc3[O-])(C1)C2 ZINC001275216553 817171675 /nfs/dbraw/zinc/17/16/75/817171675.db2.gz MVVFDVXGSIZKCK-UHFFFAOYSA-N -1 1 329.320 -0.398 20 0 EBADMM O=C(NC12CC(C(=O)NCCn3ccnn3)(C1)C2)c1ccncc1[O-] ZINC001275218061 817171730 /nfs/dbraw/zinc/17/17/30/817171730.db2.gz NYYQCJHHHPGMSE-UHFFFAOYSA-N -1 1 342.359 -0.152 20 0 EBADMM CN(C)C(=O)c1nnc2n1CCN(C(=O)c1c[nH]c(=S)[n-]c1=O)C2 ZINC001275247234 817177615 /nfs/dbraw/zinc/17/76/15/817177615.db2.gz JIIIIFSHEFDNDK-UHFFFAOYSA-N -1 1 349.376 -0.580 20 0 EBADMM Cn1[n-]c(C(=O)N2CC3(C[C@@H]3C(=O)NCc3cnc[nH]3)C2)cc1=O ZINC001275610044 817259167 /nfs/dbraw/zinc/25/91/67/817259167.db2.gz LUROYEWRWUVKNG-SNVBAGLBSA-N -1 1 330.348 -0.373 20 0 EBADMM O=C(NCCn1ccnn1)[C@@]12C[C@@H]1CCN2C(=O)c1cncc([O-])c1 ZINC001275622503 817263067 /nfs/dbraw/zinc/26/30/67/817263067.db2.gz BIOHQUCERITSOH-BLLLJJGKSA-N -1 1 342.359 -0.200 20 0 EBADMM O=C(NCc1cnc[nH]1)[C@H]1CCC2(CN(C(=O)c3cc(=O)[nH][n-]3)C2)O1 ZINC001276022886 817343034 /nfs/dbraw/zinc/34/30/34/817343034.db2.gz NBSSCIPVIKKPMS-LLVKDONJSA-N -1 1 346.347 -0.472 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C3(C)CCCC3)[C@H](O)C2)nc1=O ZINC001090469002 817442862 /nfs/dbraw/zinc/44/28/62/817442862.db2.gz QFAGRZSJTFJUKE-VXGBXAGGSA-N -1 1 337.424 -0.260 20 0 EBADMM CCCCN1CCn2c(nnc2C(=O)N=c2nn[n-]n2C)C[C@H]1C ZINC001278218273 817726777 /nfs/dbraw/zinc/72/67/77/817726777.db2.gz IGZHMVZTVYUINH-SNVBAGLBSA-N -1 1 333.400 -0.478 20 0 EBADMM CCCCCN1CCn2c(nnc2C(=O)N=c2nn[n-]n2C)C[C@@H]1C ZINC001278228363 817727528 /nfs/dbraw/zinc/72/75/28/817727528.db2.gz SZXRTWQMCKNSHY-NSHDSACASA-N -1 1 347.427 -0.087 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C3CCC=CCC3)[C@@H](O)C2)nc1=O ZINC001090821149 817778609 /nfs/dbraw/zinc/77/86/09/817778609.db2.gz YPJHSIINHOWIRL-KGLIPLIRSA-N -1 1 349.435 -0.094 20 0 EBADMM Cn1[n-]c(CN2CC(O)(CNC(=O)/C=C/c3ccco3)C2)nc1=O ZINC001278832934 817856792 /nfs/dbraw/zinc/85/67/92/817856792.db2.gz FEZVAFKCEWINRO-SNAWJCMRSA-N -1 1 333.348 -0.922 20 0 EBADMM Cn1[n-]c(CN2CC(O)(CNC(=O)[C@@H]3CCC=CCCC3)C2)nc1=O ZINC001278877874 817879950 /nfs/dbraw/zinc/87/99/50/817879950.db2.gz SMFVFLIOEPLUFO-CYBMUJFWSA-N -1 1 349.435 -0.092 20 0 EBADMM C[C@H](NC1CC(CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1)c1nncn1C ZINC001091121374 817893108 /nfs/dbraw/zinc/89/31/08/817893108.db2.gz KLWSUZIWJGEZMW-UEJVZZJDSA-N -1 1 348.367 -0.730 20 0 EBADMM CC(=O)NCc1cc(O[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)ccn1 ZINC001091396076 817981323 /nfs/dbraw/zinc/98/13/23/817981323.db2.gz AXEYVHMKPVLTGO-AWEZNQCLSA-N -1 1 346.391 -0.207 20 0 EBADMM C[C@@]12CN(Cc3n[nH]c(=O)[n-]3)C[C@@H]1CN(C(=O)Cc1ccn[nH]1)C2 ZINC001091559867 818010877 /nfs/dbraw/zinc/01/08/77/818010877.db2.gz BPDRQLBVUWVHDG-BMIGLBTASA-N -1 1 331.380 -0.244 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)NC1CC(CNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001280077345 818017757 /nfs/dbraw/zinc/01/77/57/818017757.db2.gz VMCSCQVSRPXZHN-IKWCTNDRSA-N -1 1 348.403 -0.406 20 0 EBADMM C[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)[C@H](C)NC(=O)c1ccon1 ZINC001280203353 818026179 /nfs/dbraw/zinc/02/61/79/818026179.db2.gz QUEQJKUAJHZIHG-UWVGGRQHSA-N -1 1 349.347 -0.762 20 0 EBADMM O=C(c1ccn[nH]1)N1CCC(OC2CN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001093510597 818037658 /nfs/dbraw/zinc/03/76/58/818037658.db2.gz GONQFWKARNEJAC-UHFFFAOYSA-N -1 1 347.379 -0.261 20 0 EBADMM COc1nc(C)cc(NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001093761375 818097451 /nfs/dbraw/zinc/09/74/51/818097451.db2.gz FERAJCVFEYHZCC-UHFFFAOYSA-N -1 1 344.335 -0.633 20 0 EBADMM O=C(NCCNc1cncc(Cl)n1)c1cc(=O)n2[n-]cnc2n1 ZINC001093761852 818097484 /nfs/dbraw/zinc/09/74/84/818097484.db2.gz WYIKSUCJMPHCNT-UHFFFAOYSA-N -1 1 334.727 -0.297 20 0 EBADMM Cc1ccc(NCCCNC(=O)c2cc(=O)n3[n-]cnc3n2)nn1 ZINC001094502572 818278659 /nfs/dbraw/zinc/27/86/59/818278659.db2.gz QYRLUZBHUSZJNK-UHFFFAOYSA-N -1 1 328.336 -0.252 20 0 EBADMM Cc1nccc(NCCCNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001094502420 818278893 /nfs/dbraw/zinc/27/88/93/818278893.db2.gz KXWJRPGYRPBBMZ-UHFFFAOYSA-N -1 1 328.336 -0.252 20 0 EBADMM O=C(NC[C@]12CCC[C@H]1N(Cc1n[nH]c(=O)[n-]1)CC2)[C@@H]1CCC(=O)N1 ZINC001094563432 818287055 /nfs/dbraw/zinc/28/70/55/818287055.db2.gz VZPGGKFTIZNVQF-LYOVBCGYSA-N -1 1 348.407 -0.350 20 0 EBADMM O=C(N[C@@H]1CC(=O)N(C2CN(CCCO)C2)C1)c1ncccc1[O-] ZINC001094731684 818381685 /nfs/dbraw/zinc/38/16/85/818381685.db2.gz QQHZGBHMAISAIV-LLVKDONJSA-N -1 1 334.376 -0.816 20 0 EBADMM COC[C@@H](C)N1CC(N2C[C@H](NC(=O)c3ncccc3[O-])CC2=O)C1 ZINC001094731278 818382439 /nfs/dbraw/zinc/38/24/39/818382439.db2.gz MGYCGMTVSLNDEO-VXGBXAGGSA-N -1 1 348.403 -0.163 20 0 EBADMM Cc1cc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)on1 ZINC001095155096 818571766 /nfs/dbraw/zinc/57/17/66/818571766.db2.gz GMIWDYJWKUKELM-VWYCJHECSA-N -1 1 332.364 -0.060 20 0 EBADMM Cc1ncc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)[nH]1 ZINC001095243288 818590627 /nfs/dbraw/zinc/59/06/27/818590627.db2.gz BCLTVQURQBJHNA-SCVCMEIPSA-N -1 1 331.380 -0.325 20 0 EBADMM CCn1ccnc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001095367382 818612231 /nfs/dbraw/zinc/61/22/31/818612231.db2.gz BKIFXWYIYYHKMA-WOPDTQHZSA-N -1 1 345.407 -0.140 20 0 EBADMM CC(F)(F)CCC(=O)NCCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001283104207 818650819 /nfs/dbraw/zinc/65/08/19/818650819.db2.gz XMGJJUDXONYFCS-UHFFFAOYSA-N -1 1 342.306 -0.301 20 0 EBADMM Cc1nccc(N(C)CCCNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001095589582 818653181 /nfs/dbraw/zinc/65/31/81/818653181.db2.gz HTUKQHLPODDAAE-UHFFFAOYSA-N -1 1 346.391 -0.332 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNC(=O)c1ncccc1F ZINC001283115898 818659435 /nfs/dbraw/zinc/65/94/35/818659435.db2.gz GKPHOIZHGNAKLI-UHFFFAOYSA-N -1 1 349.322 -0.993 20 0 EBADMM CCc1ccoc1C(=O)NCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001283116681 818659540 /nfs/dbraw/zinc/65/95/40/818659540.db2.gz KXSFTRUZENKLOJ-UHFFFAOYSA-N -1 1 348.359 -0.372 20 0 EBADMM Cn1cnc(C(=O)NCCCNC(=O)c2ncccc2[O-])cc1=O ZINC001283232994 818711083 /nfs/dbraw/zinc/71/10/83/818711083.db2.gz LTTWYAFTEPMZSH-UHFFFAOYSA-N -1 1 331.332 -0.569 20 0 EBADMM Cc1nocc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001095950266 818712993 /nfs/dbraw/zinc/71/29/93/818712993.db2.gz FKCKTGFIQOXTDS-USWWRNFRSA-N -1 1 332.364 -0.060 20 0 EBADMM Cn1cc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)[nH]c1=O ZINC001095968000 818716323 /nfs/dbraw/zinc/71/63/23/818716323.db2.gz CSNRBEREQBSPMI-YWVKMMECSA-N -1 1 347.379 -0.917 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2ccncn2)C3)nc1=O ZINC001096197902 818743489 /nfs/dbraw/zinc/74/34/89/818743489.db2.gz DBQAHGKVRHNWBG-USWWRNFRSA-N -1 1 329.364 -0.566 20 0 EBADMM O=C(NCC1CC1)C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001283357893 818774535 /nfs/dbraw/zinc/77/45/35/818774535.db2.gz NDIALYIOMSRQCQ-LLVKDONJSA-N -1 1 332.360 -0.356 20 0 EBADMM CN(CCOCCNC(=O)[C@]12C[C@H]1CCC2)Cc1nc(=O)n(C)[n-]1 ZINC001283397606 818794291 /nfs/dbraw/zinc/79/42/91/818794291.db2.gz CPCNMHHTTPHLMP-MLGOLLRUSA-N -1 1 337.424 -0.137 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@H](Nc1ncccn1)C1CC1 ZINC001096696680 818873361 /nfs/dbraw/zinc/87/33/61/818873361.db2.gz DDHRCEDDGXLDFQ-LBPRGKRZSA-N -1 1 344.375 -0.276 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@@H](Nc1ncccn1)C1CC1 ZINC001096696677 818873917 /nfs/dbraw/zinc/87/39/17/818873917.db2.gz DDHRCEDDGXLDFQ-GFCCVEGCSA-N -1 1 344.375 -0.276 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1)n1cncn1 ZINC001096978002 818916645 /nfs/dbraw/zinc/91/66/45/818916645.db2.gz HDAOWXCKJMAUJD-QCNOEVLYSA-N -1 1 346.395 -0.817 20 0 EBADMM Cc1nccc(N[C@H]2C[C@@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)n1 ZINC001097202158 818954883 /nfs/dbraw/zinc/95/48/83/818954883.db2.gz RJAVXYAOXZXONI-TXEJJXNPSA-N -1 1 344.375 -0.216 20 0 EBADMM CCCOCC(=O)N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001283840864 818995737 /nfs/dbraw/zinc/99/57/37/818995737.db2.gz FQQCKNIIYNEOQE-JTQLQIEISA-N -1 1 348.363 -0.825 20 0 EBADMM CSCC(=O)N1CC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001283874974 819012168 /nfs/dbraw/zinc/01/21/68/819012168.db2.gz COKQBWUJRSQDKP-JTQLQIEISA-N -1 1 340.405 -0.993 20 0 EBADMM COCCOCCC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001283938776 819036337 /nfs/dbraw/zinc/03/63/37/819036337.db2.gz JSBCJAFGLRQJEA-WDEREUQCSA-N -1 1 327.385 -0.358 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1C[C@@H](NC(=O)C2CCC2)C1 ZINC001284074336 819087921 /nfs/dbraw/zinc/08/79/21/819087921.db2.gz LYAPREDVOFSEMO-TXEJJXNPSA-N -1 1 334.376 -0.510 20 0 EBADMM Cc1nc[nH]c1CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001098285599 819121378 /nfs/dbraw/zinc/12/13/78/819121378.db2.gz DMRVACKAJWVOFN-RTXFEEFZSA-N -1 1 345.407 -0.396 20 0 EBADMM Cc1nccc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)n1 ZINC001098430266 819184095 /nfs/dbraw/zinc/18/40/95/819184095.db2.gz AZSXZILZZJLNIO-RTXFEEFZSA-N -1 1 343.391 -0.258 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)Cc2ccc[nH]2)C3)nc1=O ZINC001098447898 819193969 /nfs/dbraw/zinc/19/39/69/819193969.db2.gz BGAGPUOCJQENHK-UPJWGTAASA-N -1 1 330.392 -0.099 20 0 EBADMM NC(=O)CNCc1cn([C@H]2CCN(C(=O)c3ncccc3[O-])C2)nn1 ZINC001098659990 819302316 /nfs/dbraw/zinc/30/23/16/819302316.db2.gz VJIVVSHAZCIPEF-NSHDSACASA-N -1 1 345.363 -0.959 20 0 EBADMM CCN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)CSC ZINC001284633576 819311343 /nfs/dbraw/zinc/31/13/43/819311343.db2.gz YPNKYEYQMCLGMZ-UHFFFAOYSA-N -1 1 342.421 -0.746 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCC[C@]3(NC(=O)C3=COCCO3)C2)nc1=O ZINC001098713096 819339411 /nfs/dbraw/zinc/33/94/11/819339411.db2.gz JLDGOODQFURNIX-ZBEGNZNMSA-N -1 1 349.391 -0.533 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCC[C@]3(NC(=O)c3cnsn3)C2)nc1=O ZINC001098742974 819349713 /nfs/dbraw/zinc/34/97/13/819349713.db2.gz WARLLZGKKOHZNK-XPTSAGLGSA-N -1 1 349.420 -0.256 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCC[C@]3(NC(=O)C3=CCOCC3)C2)nc1=O ZINC001098807039 819371664 /nfs/dbraw/zinc/37/16/64/819371664.db2.gz GEQXIYUZLWPAPR-GUYCJALGSA-N -1 1 347.419 -0.074 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCC[C@]3(NC(=O)c3cncnc3)C2)nc1=O ZINC001098803843 819372454 /nfs/dbraw/zinc/37/24/54/819372454.db2.gz GOOJXRLDOLWJMN-LRDDRELGSA-N -1 1 343.391 -0.317 20 0 EBADMM Cn1nncc1C(=O)N[C@]12CCC[C@H]1CN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001098819123 819373895 /nfs/dbraw/zinc/37/38/95/819373895.db2.gz HMMMFXQECDMZGB-BONVTDFDSA-N -1 1 346.395 -0.979 20 0 EBADMM Cn1ccc(C(=O)N[C@]23CCC[C@H]2CN(Cc2nc(=O)n(C)[n-]2)C3)n1 ZINC001099162127 819426549 /nfs/dbraw/zinc/42/65/49/819426549.db2.gz VCPJZOYVIGJKSF-ZBEGNZNMSA-N -1 1 345.407 -0.374 20 0 EBADMM NC(=O)CN[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C(F)(F)F ZINC001099242988 819439699 /nfs/dbraw/zinc/43/96/99/819439699.db2.gz AWTLHNHFSNODLB-HTQZYQBOSA-N -1 1 332.282 -0.135 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)CNC(=O)c1ccco1 ZINC001285203818 819509156 /nfs/dbraw/zinc/50/91/56/819509156.db2.gz IZOKZYPNXAGNEH-LLVKDONJSA-N -1 1 348.359 -0.298 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)CCc3ccco3)[C@H](O)C2)nc1=O ZINC001099669093 819556409 /nfs/dbraw/zinc/55/64/09/819556409.db2.gz MPKJKHAECJNJHP-CHWSQXEVSA-N -1 1 349.391 -0.614 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)CCc3ccco3)[C@@H](O)C2)nc1=O ZINC001099669096 819557399 /nfs/dbraw/zinc/55/73/99/819557399.db2.gz MPKJKHAECJNJHP-STQMWFEESA-N -1 1 349.391 -0.614 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)NC/C=C\CNC(=O)[C@@H]1C[N@H+](C)CCO1 ZINC001285511405 819635517 /nfs/dbraw/zinc/63/55/17/819635517.db2.gz KWYZMOURECSTDQ-MVZIDQBPSA-N -1 1 349.391 -0.777 20 0 EBADMM C/C=C(/C)C(=O)NC[C@@H](C)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001285642903 819704682 /nfs/dbraw/zinc/70/46/82/819704682.db2.gz PBQJTBRJEZRPOD-DKRCXCIFSA-N -1 1 336.392 -0.144 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)N(C)C(=O)c1ccoc1 ZINC001285749399 819752251 /nfs/dbraw/zinc/75/22/51/819752251.db2.gz JRQPGVIPEPDQEM-NSHDSACASA-N -1 1 348.359 -0.203 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C3(C(F)F)CC3)[C@@H](O)C2)nc1=O ZINC001099835354 819762764 /nfs/dbraw/zinc/76/27/64/819762764.db2.gz GOTGHOIKFUXLTQ-BDAKNGLRSA-N -1 1 345.350 -0.795 20 0 EBADMM CC(C)=CC(=O)NC[C@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001285832844 819782098 /nfs/dbraw/zinc/78/20/98/819782098.db2.gz QRYHPWMPLULWPP-SNVBAGLBSA-N -1 1 330.348 -0.286 20 0 EBADMM CC[C@@H](F)C(=O)N1CC[C@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285874718 819801709 /nfs/dbraw/zinc/80/17/09/819801709.db2.gz NKDYNEUUOGIOJG-WDEREUQCSA-N -1 1 340.355 -0.608 20 0 EBADMM CCCC(C)(C)C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001099984287 819990109 /nfs/dbraw/zinc/99/01/09/819990109.db2.gz YNAODXVAVJXIJL-VXGBXAGGSA-N -1 1 339.440 -0.014 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)CC(C)(F)F)[C@H](O)C2)nc1=O ZINC001099990845 819997712 /nfs/dbraw/zinc/99/77/12/819997712.db2.gz BUSKBZFDBQHDFE-RKDXNWHRSA-N -1 1 333.339 -0.795 20 0 EBADMM C[C@@H](CCCNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CNC(=O)N1 ZINC001287302154 820023539 /nfs/dbraw/zinc/02/35/39/820023539.db2.gz DCIWOCVTNCZHIG-VHSXEESVSA-N -1 1 335.364 -0.517 20 0 EBADMM CN(CCOCCNC(=O)c1ncccc1[O-])C(=O)c1cn(C)nn1 ZINC001287400794 820039348 /nfs/dbraw/zinc/03/93/48/820039348.db2.gz OXDADFFHULNRBI-UHFFFAOYSA-N -1 1 348.363 -0.566 20 0 EBADMM CCC(CC)CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001100054776 820130244 /nfs/dbraw/zinc/13/02/44/820130244.db2.gz YSBCDBCHTFUSEU-STQMWFEESA-N -1 1 339.440 -0.014 20 0 EBADMM CC(C)C(=O)N1C[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1C ZINC001287911904 820193814 /nfs/dbraw/zinc/19/38/14/820193814.db2.gz XDLSMBORTFAPSA-NEPJUHHUSA-N -1 1 336.392 -0.312 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)CCN1C(=O)C1CC1 ZINC001290677767 820477981 /nfs/dbraw/zinc/47/79/81/820477981.db2.gz YXJULWJVNRVCLF-PWSUYJOCSA-N -1 1 334.376 -0.558 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@@H]1CCCN1c1ncccn1 ZINC001100847588 820572984 /nfs/dbraw/zinc/57/29/84/820572984.db2.gz NBXMPIGXAPDIQY-LBPRGKRZSA-N -1 1 344.375 -0.498 20 0 EBADMM NC(=O)[C@@H]1CCC[C@H](C(=O)NCCNC(=O)c2ncccc2[O-])C1 ZINC001292665950 820581272 /nfs/dbraw/zinc/58/12/72/820581272.db2.gz DWHIPDHANPCBIU-MNOVXSKESA-N -1 1 334.376 -0.075 20 0 EBADMM O=C(NCCNC(=O)c1cnc(C2CC2)[n-]c1=O)C(=O)NCC1CC1 ZINC001292758756 820604333 /nfs/dbraw/zinc/60/43/33/820604333.db2.gz KZOMWTLIAYOPJX-UHFFFAOYSA-N -1 1 347.375 -0.568 20 0 EBADMM O=C(NCCNC(=O)C1(C2CC2)CC1)c1cc(=O)n2[n-]cnc2n1 ZINC001292877797 820648090 /nfs/dbraw/zinc/64/80/90/820648090.db2.gz XYHMEUFMQITIGM-UHFFFAOYSA-N -1 1 330.348 -0.546 20 0 EBADMM CCCC(=O)N(C)[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001293686728 820810611 /nfs/dbraw/zinc/81/06/11/820810611.db2.gz WDZCMNNGVZUQQM-GFCCVEGCSA-N -1 1 336.392 -0.214 20 0 EBADMM CN(CCNc1ncc(F)cn1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001101699188 820884463 /nfs/dbraw/zinc/88/44/63/820884463.db2.gz NTQDPBFAIRKNQQ-UHFFFAOYSA-N -1 1 332.299 -0.469 20 0 EBADMM CC(C)CCC(=O)N[C@@H](C)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001295568579 821125548 /nfs/dbraw/zinc/12/55/48/821125548.db2.gz IEMPTVXKCZENFS-LBPRGKRZSA-N -1 1 338.408 -0.016 20 0 EBADMM Cc1nc[nH]c1CC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102532013 821139964 /nfs/dbraw/zinc/13/99/64/821139964.db2.gz PSJLPURARJMRMI-MWLCHTKSSA-N -1 1 333.396 -0.031 20 0 EBADMM CN(C(=O)CCn1cc[n-]c(=O)c1=O)[C@H]1CCN(c2ncccn2)C1 ZINC001102720402 821196171 /nfs/dbraw/zinc/19/61/71/821196171.db2.gz ZLJMDWKXIZKNPF-LBPRGKRZSA-N -1 1 344.375 -0.546 20 0 EBADMM CN(C(=O)CCn1cc[n-]c(=O)c1=O)[C@@H]1CCN(c2ncccn2)C1 ZINC001102720401 821196255 /nfs/dbraw/zinc/19/62/55/821196255.db2.gz ZLJMDWKXIZKNPF-GFCCVEGCSA-N -1 1 344.375 -0.546 20 0 EBADMM CC(C)[C@@H](F)C(=O)NCCN(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001296198357 821224173 /nfs/dbraw/zinc/22/41/73/821224173.db2.gz OCTVJYAVKDOQCR-GFCCVEGCSA-N -1 1 342.371 -0.505 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)c1cn(C)nn1 ZINC001102943821 821235403 /nfs/dbraw/zinc/23/54/03/821235403.db2.gz KCXDIVQELAGJHW-NXEZZACHSA-N -1 1 334.384 -0.473 20 0 EBADMM CCCN(CCNC(=O)[C@H]1CCNC1=O)C(=O)c1ncccc1[O-] ZINC001296529473 821264282 /nfs/dbraw/zinc/26/42/82/821264282.db2.gz WOGMXNKFOYNVBB-NSHDSACASA-N -1 1 334.376 -0.108 20 0 EBADMM O=C(c1ccc(-n2cccn2)nc1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001296954348 821299372 /nfs/dbraw/zinc/29/93/72/821299372.db2.gz TWEOTPYNHGWFGE-UHFFFAOYSA-N -1 1 340.347 -0.096 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)c1c[nH]c(=O)cn1 ZINC001103374956 821300337 /nfs/dbraw/zinc/30/03/37/821300337.db2.gz SIAPWBDOYNAXFN-NXEZZACHSA-N -1 1 347.379 -0.518 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)[C@H]1C[C@H]1C(N)=O ZINC001103439488 821311153 /nfs/dbraw/zinc/31/11/53/821311153.db2.gz DMDYBWZVCRMLMV-DBIOUOCHSA-N -1 1 336.396 -0.794 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1CN(C(=O)Cc2ccco2)C1 ZINC001297097396 821321606 /nfs/dbraw/zinc/32/16/06/821321606.db2.gz MPOYOXQDZZUXLK-UHFFFAOYSA-N -1 1 346.343 -0.911 20 0 EBADMM C=C/C(C)=C\CC(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001297122817 821325119 /nfs/dbraw/zinc/32/51/19/821325119.db2.gz YDBBVKDPGJWQQA-QCDXTXTGSA-N -1 1 346.387 -0.224 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnn(C)n2)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001104791484 821546095 /nfs/dbraw/zinc/54/60/95/821546095.db2.gz ZKDWKXPLNNDDCU-NXEZZACHSA-N -1 1 334.384 -0.473 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@H]1CCN1C(=O)CCCF ZINC001299064301 821640348 /nfs/dbraw/zinc/64/03/48/821640348.db2.gz DXZMIUGSSYSTGA-LLVKDONJSA-N -1 1 340.355 -0.607 20 0 EBADMM CCCNCc1cn(C2CN(C(=O)c3cnc([O-])n(C)c3=O)C2)nn1 ZINC001105297350 821677189 /nfs/dbraw/zinc/67/71/89/821677189.db2.gz LTKBTAKXTJNBAD-UHFFFAOYSA-N -1 1 347.379 -0.726 20 0 EBADMM O=C(CN1C(=O)NC2(CCCC2)C1=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001302935562 821753184 /nfs/dbraw/zinc/75/31/84/821753184.db2.gz CGYXRJVZXRBKNV-SECBINFHSA-N -1 1 333.352 -0.620 20 0 EBADMM COC[C@H](NC(=O)[C@@H]1CC[C@@H](C(F)(F)F)NC1=O)c1nn[n-]n1 ZINC001303578598 821757482 /nfs/dbraw/zinc/75/74/82/821757482.db2.gz POLBFBDANJHIJB-ACZMJKKPSA-N -1 1 336.274 -0.540 20 0 EBADMM COc1cnc([C@@H]2CCCN2C(=O)[C@@H]2OCCN(C)C2=O)[n-]c1=O ZINC001304659755 821764195 /nfs/dbraw/zinc/76/41/95/821764195.db2.gz IBDXRNRHMUNEFL-GXSJLCMTSA-N -1 1 336.348 -0.289 20 0 EBADMM CN(CCN(C)c1ncnc2[nH]cnc21)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001105614217 821784942 /nfs/dbraw/zinc/78/49/42/821784942.db2.gz SZBVHRCSKVRNQR-UHFFFAOYSA-N -1 1 345.323 -0.843 20 0 EBADMM CCCOCC(=O)N1CCC(N(CCO)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001316808676 821863648 /nfs/dbraw/zinc/86/36/48/821863648.db2.gz ABQVQCQSVYJGQJ-UHFFFAOYSA-N -1 1 341.412 -0.278 20 0 EBADMM Cc1cc(NC[C@H](O)CNC(=O)c2ncccc2[O-])n2ncnc2n1 ZINC001105803363 821875397 /nfs/dbraw/zinc/87/53/97/821875397.db2.gz YMRFYOOTKFINMJ-JTQLQIEISA-N -1 1 343.347 -0.264 20 0 EBADMM COCCCn1cc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001317307831 822077751 /nfs/dbraw/zinc/07/77/51/822077751.db2.gz UUESIIFWQUAYPF-LBPRGKRZSA-N -1 1 349.395 -0.252 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)[C@H]1CCCN(C(=O)C2CC2)C1 ZINC001128019615 828394495 /nfs/dbraw/zinc/39/44/95/828394495.db2.gz RTDNJHNOTIFBCD-NSHDSACASA-N -1 1 336.396 -0.635 20 0 EBADMM CCN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)Cc1cnoc1C ZINC001317488278 822128691 /nfs/dbraw/zinc/12/86/91/822128691.db2.gz NGPUWRSXYQEXSH-UHFFFAOYSA-N -1 1 349.391 -0.139 20 0 EBADMM Cc1cc(NC(=O)C(=O)NCc2nn[n-]n2)ccc1N1CCCC1=O ZINC001318678106 822350997 /nfs/dbraw/zinc/35/09/97/822350997.db2.gz JRNIOKRGGMNEIF-UHFFFAOYSA-N -1 1 343.347 -0.110 20 0 EBADMM O=C(C[C@H]1COc2ccccc2O1)NCCNCc1n[nH]c(=O)[n-]1 ZINC001128314906 828443164 /nfs/dbraw/zinc/44/31/64/828443164.db2.gz GMDLYBGKFSWBBQ-JTQLQIEISA-N -1 1 333.348 -0.054 20 0 EBADMM C/C=C(\C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1n1ccnn1 ZINC001128913367 828547865 /nfs/dbraw/zinc/54/78/65/828547865.db2.gz FDWYQIHXCTWNFD-KAZJJLNOSA-N -1 1 346.395 -0.792 20 0 EBADMM C/C=C(/C)C(=O)NCc1cn(C2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001107156142 823759171 /nfs/dbraw/zinc/75/91/71/823759171.db2.gz RGTBKQGUKNZPRD-WMZJFQQLSA-N -1 1 346.395 -0.661 20 0 EBADMM Cn1[n-]c(CN2CCO[C@](C)(CNC(=O)C(C)(C)F)C2)nc1=O ZINC001107684089 823904894 /nfs/dbraw/zinc/90/48/94/823904894.db2.gz ZQBRDEBDBPBSEE-CQSZACIVSA-N -1 1 329.376 -0.436 20 0 EBADMM COC[C@@H](C)C(=O)NC[C@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107811945 823975920 /nfs/dbraw/zinc/97/59/20/823975920.db2.gz VPRIVFCHQWEWJY-IAQYHMDHSA-N -1 1 341.412 -0.902 20 0 EBADMM C[C@H](CNc1ncc(F)cn1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001107837656 823994541 /nfs/dbraw/zinc/99/45/41/823994541.db2.gz TYZGSJVMXCKFEL-SECBINFHSA-N -1 1 336.327 -0.527 20 0 EBADMM COC[C@@H](O)CN1CCO[C@@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001107890687 824020898 /nfs/dbraw/zinc/02/08/98/824020898.db2.gz TUUIFVCEPJVUIL-LRDDRELGSA-N -1 1 339.392 -0.385 20 0 EBADMM Cn1[n-]c(CN2CCO[C@](C)(CNC(=O)CC(C)(F)F)C2)nc1=O ZINC001108189381 824160666 /nfs/dbraw/zinc/16/06/66/824160666.db2.gz AALOGTOORDSQGD-CYBMUJFWSA-N -1 1 347.366 -0.139 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)NC[C@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001108227778 824174801 /nfs/dbraw/zinc/17/48/01/824174801.db2.gz FRXCKLWYNGSWLP-XHBSWPGZSA-N -1 1 337.424 -0.138 20 0 EBADMM Cc1c[nH]c(C(=O)NC[C@@]2(C)CN(Cc3nc(=O)n(C)[n-]3)CCO2)n1 ZINC001108269361 824187668 /nfs/dbraw/zinc/18/76/68/824187668.db2.gz CTYHXRAZOOSYBK-HNNXBMFYSA-N -1 1 349.395 -0.839 20 0 EBADMM C[C@]1(CNC(=O)c2cc(=O)n3[n-]cnc3n2)CN(CCF)CCO1 ZINC001108340888 824227764 /nfs/dbraw/zinc/22/77/64/824227764.db2.gz MDLHMWYXFHEWKX-AWEZNQCLSA-N -1 1 338.343 -0.792 20 0 EBADMM Cc1ccnc(NC[C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001108461566 824295731 /nfs/dbraw/zinc/29/57/31/824295731.db2.gz YCZRCNWICOHUJY-VIFPVBQESA-N -1 1 328.336 -0.254 20 0 EBADMM CN(CCCNc1cnc(F)cn1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001109482371 824549527 /nfs/dbraw/zinc/54/95/27/824549527.db2.gz AODIBQWOHCQWEU-UHFFFAOYSA-N -1 1 346.326 -0.079 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)CCn2cccn2)C3)nc1=O ZINC001110296397 824696384 /nfs/dbraw/zinc/69/63/84/824696384.db2.gz IXOBMHQWZGCPAA-UPJWGTAASA-N -1 1 345.407 -0.383 20 0 EBADMM Cc1cc(NCCN(CCO)C(=O)c2ncccc2[O-])nc(CO)n1 ZINC001111465589 825143374 /nfs/dbraw/zinc/14/33/74/825143374.db2.gz CQXFJFNAEPJTQS-UHFFFAOYSA-N -1 1 347.375 -0.075 20 0 EBADMM CO[C@@H]1CCn2cc(C(=O)NCCNCc3n[nH]c(=O)[n-]3)nc2C1 ZINC001130163064 828742545 /nfs/dbraw/zinc/74/25/45/828742545.db2.gz OZXAEPGJAXGPGU-SECBINFHSA-N -1 1 335.368 -0.812 20 0 EBADMM COC[C@H](C)C(=O)N[C@]12CCC[C@H]1CN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001111657753 825246262 /nfs/dbraw/zinc/24/62/62/825246262.db2.gz PMLBJKJOQIGGQK-MKBNYLNASA-N -1 1 337.424 -0.138 20 0 EBADMM COC[C@@H](C)C(=O)N[C@]12CCC[C@H]1CN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001111657754 825246739 /nfs/dbraw/zinc/24/67/39/825246739.db2.gz PMLBJKJOQIGGQK-WQGACYEGSA-N -1 1 337.424 -0.138 20 0 EBADMM CCCCO[C@H](C)C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001112677436 825507359 /nfs/dbraw/zinc/50/73/59/825507359.db2.gz BABRWIUIDIDHFX-GFCCVEGCSA-N -1 1 325.413 -0.042 20 0 EBADMM CN(CCN1CCN(C(=O)c2ncccc2[O-])CC1)S(C)(=O)=O ZINC001112782128 825636177 /nfs/dbraw/zinc/63/61/77/825636177.db2.gz FOBVUIMIVNHQLR-UHFFFAOYSA-N -1 1 342.421 -0.564 20 0 EBADMM CCNC(=O)NC(=O)CN1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001112782400 825636933 /nfs/dbraw/zinc/63/69/33/825636933.db2.gz RCLCRYOUJUKUSH-UHFFFAOYSA-N -1 1 335.364 -0.609 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)COCc3cccnc3)CC2)nc1=O ZINC001113588656 825931600 /nfs/dbraw/zinc/93/16/00/825931600.db2.gz UYMDBAZXMOORKL-UHFFFAOYSA-N -1 1 346.391 -0.636 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)COC[C@H]1CCOC1 ZINC001179648567 832997621 /nfs/dbraw/zinc/99/76/21/832997621.db2.gz NCFOMVKAXKXZFG-SRVKXCTJSA-N -1 1 339.396 -0.358 20 0 EBADMM COCCO[C@@H](C)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001179658789 832998681 /nfs/dbraw/zinc/99/86/81/832998681.db2.gz GYJLHKJUWMBKSC-DCAQKATOSA-N -1 1 327.385 -0.359 20 0 EBADMM O=C([N-]CCNC(=O)C1=NNC(=O)CC1)C(F)(F)Br ZINC001180263099 833065160 /nfs/dbraw/zinc/06/51/60/833065160.db2.gz WEBZYVKMKZJQML-UHFFFAOYSA-N -1 1 341.112 -0.528 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)COC[C@@H]1CCCO1 ZINC001180303149 833072598 /nfs/dbraw/zinc/07/25/98/833072598.db2.gz FTUSMFNSFJOJMK-SRVKXCTJSA-N -1 1 339.396 -0.215 20 0 EBADMM Cc1nccc(N[C@H](C)CN(C)C(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001115783703 826585428 /nfs/dbraw/zinc/58/54/28/826585428.db2.gz AIEQRKLRASGPPV-LLVKDONJSA-N -1 1 346.391 -0.016 20 0 EBADMM NC(=O)COc1cccc(CNC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC001116142441 826601066 /nfs/dbraw/zinc/60/10/66/826601066.db2.gz BKGGTWZTEWCKBD-UHFFFAOYSA-N -1 1 346.343 -0.893 20 0 EBADMM CC(C)COCC(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001180753935 833106336 /nfs/dbraw/zinc/10/63/36/833106336.db2.gz PICMIYRVXVUFHG-LBPRGKRZSA-N -1 1 340.380 -0.300 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCCCS(C)(=O)=O)o1 ZINC001118154281 826862940 /nfs/dbraw/zinc/86/29/40/826862940.db2.gz RCPAOKSHPWFZPI-UHFFFAOYSA-N -1 1 338.407 -0.258 20 0 EBADMM CC(C)CN1CCO[C@@H](CNC(=O)C(=O)NC[C@@H](C)C(=O)[O-])C1 ZINC001118388490 826921328 /nfs/dbraw/zinc/92/13/28/826921328.db2.gz BEMUHZPFYGNVFD-NEPJUHHUSA-N -1 1 329.397 -0.704 20 0 EBADMM COc1ccccc1N1CCN(C(=O)[C@]2(C(=O)[O-])CNCCO2)CC1 ZINC001121974067 827493568 /nfs/dbraw/zinc/49/35/68/827493568.db2.gz HXFPJDSOPUQCHJ-KRWDZBQOSA-N -1 1 349.387 -0.213 20 0 EBADMM COC(=O)NCC(=O)NCC[N-]C(=O)C(F)(F)Br ZINC001182163123 833210181 /nfs/dbraw/zinc/21/01/81/833210181.db2.gz IPHTWYBYJPFYNE-UHFFFAOYSA-N -1 1 332.101 -0.438 20 0 EBADMM CC[C@H](C)C(=O)C(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001184325693 833327234 /nfs/dbraw/zinc/32/72/34/833327234.db2.gz QKTIALDRUNNEOH-ONGXEEELSA-N -1 1 338.364 -0.357 20 0 EBADMM Cc1cc(CNCCNC(=O)CCc2n[nH]c(=O)[n-]c2=O)sn1 ZINC001130756928 828929108 /nfs/dbraw/zinc/92/91/08/828929108.db2.gz HYDSXRPCPGPSMY-UHFFFAOYSA-N -1 1 338.393 -0.114 20 0 EBADMM COC[C@]1(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CCOC1 ZINC001184639291 833336447 /nfs/dbraw/zinc/33/64/47/833336447.db2.gz ZFIMLQRLTPJSEW-QMTHXVAHSA-N -1 1 325.369 -0.746 20 0 EBADMM O=C(NCCNCc1cc(CO)ccc1F)c1n[nH]c(=O)[n-]c1=O ZINC001130865099 828982592 /nfs/dbraw/zinc/98/25/92/828982592.db2.gz PFXURXBYPRTXRT-UHFFFAOYSA-N -1 1 337.311 -0.566 20 0 EBADMM CCNC(=O)CC(=O)N[C@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001131985169 829291925 /nfs/dbraw/zinc/29/19/25/829291925.db2.gz UKRSHEHAMUONMJ-QWRGUYRKSA-N -1 1 338.412 -0.896 20 0 EBADMM CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)N=c1ncnc2[nH][n-]c(C)c1-2 ZINC001186711826 833420417 /nfs/dbraw/zinc/42/04/17/833420417.db2.gz UPGITAVKUVQRIG-HTQZYQBOSA-N -1 1 334.336 -0.570 20 0 EBADMM C[C@H](C[C@H](C)NC(=O)CCS(C)(=O)=O)NCc1n[nH]c(=O)[n-]1 ZINC001134748188 829838522 /nfs/dbraw/zinc/83/85/22/829838522.db2.gz JSRDIFXWCYEASA-BDAKNGLRSA-N -1 1 333.414 -0.682 20 0 EBADMM C[C@H](C[C@@H](C)NC(=O)c1n[nH]c(=O)[n-]c1=O)NCc1ncccn1 ZINC001134862861 829860247 /nfs/dbraw/zinc/86/02/47/829860247.db2.gz DFRKJPYNGZBLQD-RKDXNWHRSA-N -1 1 333.352 -0.241 20 0 EBADMM C[C@H](C[C@@H](C)NC(=O)c1n[nH]c(=O)[n-]c1=O)NCc1cn(C)nn1 ZINC001134868995 829861804 /nfs/dbraw/zinc/86/18/04/829861804.db2.gz UZLFFJNTYKWDSF-HTQZYQBOSA-N -1 1 336.356 -0.902 20 0 EBADMM Cc1nccnc1CNCCNC(=O)c1c[n-]n2c1nccc2=O ZINC001134941601 829875360 /nfs/dbraw/zinc/87/53/60/829875360.db2.gz KAEAVHWGABZSRS-UHFFFAOYSA-N -1 1 327.348 -0.359 20 0 EBADMM O=C(CCNC(=O)C1CCCCC1)NCCNCc1n[nH]c(=O)[n-]1 ZINC001135258159 829931614 /nfs/dbraw/zinc/93/16/14/829931614.db2.gz AHLRKLREIDPKPL-UHFFFAOYSA-N -1 1 338.412 -0.197 20 0 EBADMM COCCO[N-]C(=O)[C@H]1CC(=O)N(c2cnn(CCOC)c2)C1 ZINC001139846845 830104027 /nfs/dbraw/zinc/10/40/27/830104027.db2.gz MQCCDQMBYNSPEA-NSHDSACASA-N -1 1 326.353 -0.423 20 0 EBADMM CC(=O)N1C[C@H](O)C[C@H]1C(=O)Nc1nc(N(C)C)[n-]c(=O)c1N=O ZINC001143028039 830141307 /nfs/dbraw/zinc/14/13/07/830141307.db2.gz SSPKMZWELGDPSD-SFYZADRCSA-N -1 1 338.324 -0.434 20 0 EBADMM COC(C)(C)C(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001143330687 830150363 /nfs/dbraw/zinc/15/03/63/830150363.db2.gz VFPMWJJTUNCHQP-JTQLQIEISA-N -1 1 326.353 -0.547 20 0 EBADMM O=C(NCCOP(=O)([O-])[O-])[C@@H]1[C@H](O)CC[N@@H+]1Cc1ccccc1 ZINC001143675662 830155295 /nfs/dbraw/zinc/15/52/95/830155295.db2.gz JPWWBYFWOABQSZ-OLZOCXBDSA-N -1 1 344.304 -0.153 20 0 EBADMM O=C(NCCOP(=O)([O-])[O-])[C@@H]1[C@H](O)CC[N@H+]1Cc1ccccc1 ZINC001143675662 830155299 /nfs/dbraw/zinc/15/52/99/830155299.db2.gz JPWWBYFWOABQSZ-OLZOCXBDSA-N -1 1 344.304 -0.153 20 0 EBADMM COC(=O)[C@H]1C[C@@H](O)CN(C(=O)c2c(C)[nH]c(=O)[n-]c2=S)C1 ZINC001144923674 830171726 /nfs/dbraw/zinc/17/17/26/830171726.db2.gz SUERKVQLHSFVHI-JGVFFNPUSA-N -1 1 327.362 -0.225 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1scc2c1OCCO2 ZINC001146535483 830206509 /nfs/dbraw/zinc/20/65/09/830206509.db2.gz OBKOQAFTYLVXGX-UHFFFAOYSA-N -1 1 325.350 -0.137 20 0 EBADMM Cn1ccc(C(=O)NCCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])c1 ZINC001147013589 830266988 /nfs/dbraw/zinc/26/69/88/830266988.db2.gz HLPORIFNUMLKDI-LBPRGKRZSA-N -1 1 333.348 -0.320 20 0 EBADMM CN(C)c1nc(NC(=O)c2cc3n(n2)CCNC3=O)c(N=O)c(=O)[n-]1 ZINC001147538440 830355935 /nfs/dbraw/zinc/35/59/35/830355935.db2.gz CYNYBKKDKFBXST-UHFFFAOYSA-N -1 1 346.307 -0.162 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCN[C@H](C)c1nnc(C)o1 ZINC001147698177 830394587 /nfs/dbraw/zinc/39/45/87/830394587.db2.gz GDNZKPKMTFMOQA-ZCFIWIBFSA-N -1 1 338.324 -0.332 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCNCc1csnn1 ZINC001147700444 830396948 /nfs/dbraw/zinc/39/69/48/830396948.db2.gz YYVGSKCPENQNDP-UHFFFAOYSA-N -1 1 326.338 -0.733 20 0 EBADMM Cc1nc([C@H](C)NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)no1 ZINC001147712206 830399631 /nfs/dbraw/zinc/39/96/31/830399631.db2.gz NRVCLSDRHQOTFH-ZETCQYMHSA-N -1 1 332.324 -0.810 20 0 EBADMM CN(C)C(=O)c1cccc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)c1 ZINC001148184234 830454862 /nfs/dbraw/zinc/45/48/62/830454862.db2.gz LOKHYOCTFJEENB-UHFFFAOYSA-N -1 1 332.364 -0.268 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1n[nH]c(=O)c2ccccc21 ZINC001148700970 830529650 /nfs/dbraw/zinc/52/96/50/830529650.db2.gz QTCUAVWCRSTUKF-UHFFFAOYSA-N -1 1 329.320 -0.321 20 0 EBADMM O=C(NCCNS(=O)(=O)Cc1ccon1)c1ccc([O-])cn1 ZINC001148809698 830543162 /nfs/dbraw/zinc/54/31/62/830543162.db2.gz VIYFFBGLNWZCPI-UHFFFAOYSA-N -1 1 326.334 -0.375 20 0 EBADMM CC[C@H](C)C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149168176 830609359 /nfs/dbraw/zinc/60/93/59/830609359.db2.gz OJJSMCKDQCPYDT-RYUDHWBXSA-N -1 1 325.413 -0.138 20 0 EBADMM CC[C@H](OC)C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149349908 830646860 /nfs/dbraw/zinc/64/68/60/830646860.db2.gz UAKZBLFSAYJCFL-NEPJUHHUSA-N -1 1 341.412 -0.760 20 0 EBADMM COC[C@H](C)C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149390129 830653661 /nfs/dbraw/zinc/65/36/61/830653661.db2.gz CKWUUWSJKDJSFU-NWDGAFQWSA-N -1 1 341.412 -0.902 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NC1CCN(S(N)(=O)=O)CC1 ZINC001149392506 830655344 /nfs/dbraw/zinc/65/53/44/830655344.db2.gz CZEMAILGBWMNFR-UHFFFAOYSA-N -1 1 347.422 -0.823 20 0 EBADMM C[C@H](C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1)C1CC1 ZINC001149472163 830676708 /nfs/dbraw/zinc/67/67/08/830676708.db2.gz CMUTYKFEPAJAHR-AAEUAGOBSA-N -1 1 337.424 -0.138 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@@H](CNC(=O)Cc3ccoc3)C2)nc1=O ZINC001149608655 830710080 /nfs/dbraw/zinc/71/00/80/830710080.db2.gz RZAJNYFGBDQEQK-ZDUSSCGKSA-N -1 1 349.391 -0.349 20 0 EBADMM O=C(CCc1cnc[nH]1)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)CCCO1 ZINC001149826677 830756154 /nfs/dbraw/zinc/75/61/54/830756154.db2.gz JPCZGMCSPMBRPN-GFCCVEGCSA-N -1 1 349.395 -0.427 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CSC(C)C ZINC001211882626 837484390 /nfs/dbraw/zinc/48/43/90/837484390.db2.gz PIDZCFPUIPNEAM-GHMZBOCLSA-N -1 1 343.453 -0.435 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@@H](CNC(=O)CCCF)C2)nc1=O ZINC001150110382 830819661 /nfs/dbraw/zinc/81/96/61/830819661.db2.gz YCLQZIVSECRNFM-NSHDSACASA-N -1 1 329.376 -0.435 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@@H](C)SC ZINC001211912463 837491912 /nfs/dbraw/zinc/49/19/12/837491912.db2.gz SLLOCAFOSUEGBU-OPRDCNLKSA-N -1 1 329.426 -0.825 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)[C@H]1CC(=O)N(C2CCCC2)C1 ZINC001150646357 830949242 /nfs/dbraw/zinc/94/92/42/830949242.db2.gz CCFYYWCUHHSYSQ-JTQLQIEISA-N -1 1 336.396 -0.493 20 0 EBADMM Cc1nn(C)c(C)c1C[C@H](C)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001151663935 831096288 /nfs/dbraw/zinc/09/62/88/831096288.db2.gz IUHGKGJFIVMEFJ-VIFPVBQESA-N -1 1 335.412 -0.055 20 0 EBADMM CCCC(=O)N1CCC(C(=O)NCCNCc2n[nH]c(=O)[n-]2)CC1 ZINC001152096677 831129961 /nfs/dbraw/zinc/12/99/61/831129961.db2.gz BHLGXLGKBGCLPH-UHFFFAOYSA-N -1 1 338.412 -0.245 20 0 EBADMM CCN(Cc1cn(C)nc1C)[C@H](C)CNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001154178733 831316992 /nfs/dbraw/zinc/31/69/92/831316992.db2.gz NSHNUFCXTBDVJJ-SECBINFHSA-N -1 1 349.395 -0.035 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@@H](C)CSC ZINC001212033613 837523499 /nfs/dbraw/zinc/52/34/99/837523499.db2.gz KUGVCEINDOIOBT-HBNTYKKESA-N -1 1 343.453 -0.577 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H](CO)CN1CCOCC1 ZINC001155209617 831391791 /nfs/dbraw/zinc/39/17/91/831391791.db2.gz KLSFJINEKDNSAE-VIFPVBQESA-N -1 1 328.394 -0.798 20 0 EBADMM CNS(=O)(=O)c1ccc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)nc1 ZINC001159188698 831715616 /nfs/dbraw/zinc/71/56/16/831715616.db2.gz CBDZWWUQKNNPAU-UHFFFAOYSA-N -1 1 337.321 -0.238 20 0 EBADMM Cn1[n-]c(CN2CC=C(CCNC(=O)c3cn[nH]c3)CC2)nc1=O ZINC001159375458 831747675 /nfs/dbraw/zinc/74/76/75/831747675.db2.gz XWPYSADTJTUUBB-UHFFFAOYSA-N -1 1 331.380 -0.216 20 0 EBADMM COC(=O)c1nc2cnccc2c(Nc2c(O)[nH]c(=O)[n-]c2=S)n1 ZINC001160853671 831896527 /nfs/dbraw/zinc/89/65/27/831896527.db2.gz PDVYOUZLUDMBII-ZETCQYMHSA-N -1 1 346.328 -0.819 20 0 EBADMM Cn1[n-]c(CN2CC=C(CCNC(=O)c3ncccn3)CC2)nc1=O ZINC001161524453 831969114 /nfs/dbraw/zinc/96/91/14/831969114.db2.gz NFMOFDGWJBJAHT-UHFFFAOYSA-N -1 1 343.391 -0.149 20 0 EBADMM C[C@@H](NCCCNC(=O)c1n[nH]c(=O)[n-]c1=O)c1nnnn1C1CC1 ZINC001161864040 831998182 /nfs/dbraw/zinc/99/81/82/831998182.db2.gz VSJJQPGYGVPWRN-SSDOTTSWSA-N -1 1 349.355 -0.925 20 0 EBADMM CNC(=O)NCCNC(=O)Cc1c(C)nc(-c2ccncc2)[n-]c1=O ZINC001162812930 832065131 /nfs/dbraw/zinc/06/51/31/832065131.db2.gz OTMDYXIKAQUOPG-UHFFFAOYSA-N -1 1 344.375 -0.272 20 0 EBADMM CCn1ncnc1CNCCCNC(=O)c1cnc([O-])n(C)c1=O ZINC001163781590 832138876 /nfs/dbraw/zinc/13/88/76/832138876.db2.gz MERAXKGTAYVJNU-UHFFFAOYSA-N -1 1 335.368 -0.993 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCCNC(=O)[C@@H]1CC12CC2 ZINC001350291175 832148209 /nfs/dbraw/zinc/14/82/09/832148209.db2.gz FFYORBZWVABQII-NSHDSACASA-N -1 1 334.376 -0.651 20 0 EBADMM CC(=O)NC(C)(C)C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167462999 832342871 /nfs/dbraw/zinc/34/28/71/832342871.db2.gz UWJZZAVFEAWHIC-UHFFFAOYSA-N -1 1 338.412 -0.199 20 0 EBADMM CCn1cc(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)nn1 ZINC001167560650 832357078 /nfs/dbraw/zinc/35/70/78/832357078.db2.gz RMFJMOOOMVPHLJ-UHFFFAOYSA-N -1 1 334.384 -0.188 20 0 EBADMM Cc1nnc(CNCCCNC(=O)c2c[n-]n3c2nccc3=O)[nH]1 ZINC001167783522 832372626 /nfs/dbraw/zinc/37/26/26/832372626.db2.gz HFPBBOSHYBKPAK-UHFFFAOYSA-N -1 1 330.352 -0.641 20 0 EBADMM Cn1cc(CCC(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)nn1 ZINC001168556874 832426136 /nfs/dbraw/zinc/42/61/36/832426136.db2.gz KXHKURKBSBYBEX-UHFFFAOYSA-N -1 1 348.411 -0.352 20 0 EBADMM C/C=C(\C)C(=O)N[C@@H]1[C@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@H]21 ZINC001354067588 832460377 /nfs/dbraw/zinc/46/03/77/832460377.db2.gz GPKNWXLMTHEWRI-WARRRLFYSA-N -1 1 346.387 -0.534 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCc1nnc2ccccn21 ZINC001354206757 832471508 /nfs/dbraw/zinc/47/15/08/832471508.db2.gz BNJZSBVKTXDFJU-UHFFFAOYSA-N -1 1 328.332 -0.672 20 0 EBADMM C[C@H](CNC(=O)c1cscn1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001354297442 832476954 /nfs/dbraw/zinc/47/69/54/832476954.db2.gz DUJQQNXTPJZRLG-SSDOTTSWSA-N -1 1 347.360 -0.578 20 0 EBADMM COc1cc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)nc([S@](C)=O)n1 ZINC001170216490 832493132 /nfs/dbraw/zinc/49/31/32/832493132.db2.gz FNFHWGMKOQTCQY-QHCPKHFHSA-N -1 1 337.321 -0.005 20 0 EBADMM C[C@@]1(C(F)(F)F)CN(C(=O)[C@]2(C(=O)[O-])CNCCO2)CCO1 ZINC001354513273 832496181 /nfs/dbraw/zinc/49/61/81/832496181.db2.gz ZNPJGIUAPMUINO-QWRGUYRKSA-N -1 1 326.271 -0.391 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)[C@@H]1CC12CCC2 ZINC001354555758 832501974 /nfs/dbraw/zinc/50/19/74/832501974.db2.gz KHNZCHNKKLMMTA-NEPJUHHUSA-N -1 1 348.403 -0.262 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)c1ccncc1 ZINC001354558951 832502426 /nfs/dbraw/zinc/50/24/26/832502426.db2.gz ZOPNLOBUTKSFBL-LLVKDONJSA-N -1 1 345.359 -0.744 20 0 EBADMM CN1C[C@@H](NC(=O)C(=O)NCCc2c(F)cc([O-])cc2F)CC1=O ZINC001354564250 832503391 /nfs/dbraw/zinc/50/33/91/832503391.db2.gz BBLWAUQPBZQEAZ-QMMMGPOBSA-N -1 1 341.314 -0.324 20 0 EBADMM Cn1nnnc1CNCCCNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001171079073 832541844 /nfs/dbraw/zinc/54/18/44/832541844.db2.gz CFHVSYNUMZMWQF-UHFFFAOYSA-N -1 1 332.324 -0.638 20 0 EBADMM C[C@H](CCNC(=O)c1ccco1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001355053746 832545742 /nfs/dbraw/zinc/54/57/42/832545742.db2.gz QBNNYICFUQJUOR-LLVKDONJSA-N -1 1 348.359 -0.156 20 0 EBADMM CCN(CCNC(=O)c1c[n-]n2c1nccc2=O)C(=O)c1ccn[nH]1 ZINC001356061497 832596810 /nfs/dbraw/zinc/59/68/10/832596810.db2.gz KHBFENNEPZXEIX-UHFFFAOYSA-N -1 1 343.347 -0.362 20 0 EBADMM CCN(CCNC(=O)c1cnco1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001356142641 832604058 /nfs/dbraw/zinc/60/40/58/832604058.db2.gz ZFXLTAQAUMNUBY-UHFFFAOYSA-N -1 1 349.347 -0.807 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@H]1CCCN1C(=O)C1CCC1 ZINC001356358168 832620315 /nfs/dbraw/zinc/62/03/15/832620315.db2.gz QIHYABFLBMTSBI-CYBMUJFWSA-N -1 1 348.403 -0.166 20 0 EBADMM NC(=O)c1c(N)sc(=Nc2ccccc2)n1C(=O)Cc1nn[n-]n1 ZINC001176840508 832694262 /nfs/dbraw/zinc/69/42/62/832694262.db2.gz QPOKTVDIMRYCQZ-UHFFFAOYSA-N -1 1 344.360 -0.141 20 0 EBADMM CC(C)(C)OC(=O)N[C@@H]1CN(C(=O)Cc2nn[n-]n2)CC12COC2 ZINC001176842118 832694373 /nfs/dbraw/zinc/69/43/73/832694373.db2.gz CUJQQGMRSFKQCG-SECBINFHSA-N -1 1 338.368 -0.506 20 0 EBADMM CC(=O)N(Cc1ccccc1)CC1(O)CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001177503689 832787344 /nfs/dbraw/zinc/78/73/44/832787344.db2.gz FIBGBONYWMJAKU-UHFFFAOYSA-N -1 1 345.403 -0.296 20 0 EBADMM O=C([O-])CN1CCCn2nc(C(=O)N3CCN4CC[C@H]4C3)cc2C1=O ZINC001178366729 832855446 /nfs/dbraw/zinc/85/54/46/832855446.db2.gz FFWLJLVURWGSNX-NSHDSACASA-N -1 1 347.375 -0.656 20 0 EBADMM CC(C)(C)OC(=O)N1CCC[C@@](O)(CC(=O)NCc2nn[n-]n2)C1 ZINC001178854353 832925028 /nfs/dbraw/zinc/92/50/28/832925028.db2.gz MLNTXQIBKHMODZ-CQSZACIVSA-N -1 1 340.384 -0.032 20 0 EBADMM C[C@@H]1OCC[C@@H]1C(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001178964965 832935772 /nfs/dbraw/zinc/93/57/72/832935772.db2.gz NAGFJHBRSPIMGY-DLOVCJGASA-N -1 1 338.364 -0.547 20 0 EBADMM COC(=O)[C@H]1C[C@@H]2C[C@H](NC(=O)CCn3cc[n-]c(=O)c3=O)[C@@H]2C1 ZINC001179499466 832983670 /nfs/dbraw/zinc/98/36/70/832983670.db2.gz PSAXNKWSTCOVLG-KXNHARMFSA-N -1 1 335.360 -0.369 20 0 EBADMM CCON(CC)C(=O)C[N-]S(=O)(=O)c1n[nH]cc1C(=O)OC ZINC001213129020 837708169 /nfs/dbraw/zinc/70/81/69/837708169.db2.gz CDHMDDOAWAIWOB-UHFFFAOYSA-N -1 1 334.354 -0.725 20 0 EBADMM O=C(CN1CCC(=O)C1)NCC[N-]C(=O)C(F)(F)Br ZINC001187337542 833921885 /nfs/dbraw/zinc/92/18/85/833921885.db2.gz NXDCFAMTAXBIPL-UHFFFAOYSA-N -1 1 342.140 -0.519 20 0 EBADMM CO[C@@H](C)CCC(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001187613516 833946446 /nfs/dbraw/zinc/94/64/46/833946446.db2.gz LCEFWYQEKBHZAT-RWMBFGLXSA-N -1 1 337.424 -0.044 20 0 EBADMM C[C@]1(CCC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CCC(=O)N1 ZINC001188511813 834059058 /nfs/dbraw/zinc/05/90/58/834059058.db2.gz IVDKFEUACLLDJH-MEBBXXQBSA-N -1 1 336.396 -0.350 20 0 EBADMM C[C@H](OCC1CC1)C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001189232635 834138800 /nfs/dbraw/zinc/13/88/00/834138800.db2.gz QILDEGXZBNSUNY-WCQYABFASA-N -1 1 337.424 -0.044 20 0 EBADMM O=C(N[C@@H](CO)Cc1cnc[nH]1)c1ccccc1S(=O)(=O)[O-] ZINC001189698751 834179283 /nfs/dbraw/zinc/17/92/83/834179283.db2.gz JOKAOGJRYSVPNP-SNVBAGLBSA-N -1 1 325.346 -0.010 20 0 EBADMM CC[C@H](C)[C@H](NC(=O)Nc1c(O)[nH]c(=O)[n-]c1=S)C(=O)OC ZINC001190181729 834240280 /nfs/dbraw/zinc/24/02/80/834240280.db2.gz FSBKLXLKQXLMLD-LYFYHCNISA-N -1 1 330.366 -0.591 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@@H](C)C(C)C ZINC001213511901 837789471 /nfs/dbraw/zinc/78/94/71/837789471.db2.gz XPFIIQAAKVFNGI-QJPTWQEYSA-N -1 1 325.413 -0.284 20 0 EBADMM O=C(CN1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1)NCC1CCC1 ZINC001192374832 834652742 /nfs/dbraw/zinc/65/27/42/834652742.db2.gz LKPCWEGFXNBYJR-TZMCWYRMSA-N -1 1 348.403 -0.522 20 0 EBADMM CCCNC(=O)[C@@H](C)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001192419477 834665382 /nfs/dbraw/zinc/66/53/82/834665382.db2.gz DPPKXCKZQDBILJ-NQBHXWOUSA-N -1 1 336.392 -0.523 20 0 EBADMM CNC(=O)[C@H](C)N(C)[C@@H]1CCN(C(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001192713983 834721264 /nfs/dbraw/zinc/72/12/64/834721264.db2.gz YBRXPBLOTNKVNM-WDEREUQCSA-N -1 1 346.391 -0.697 20 0 EBADMM CCOC(=O)C(C)(C)S(=O)(=O)[N-]Cc1noc(C(=O)N(C)C)n1 ZINC001193073845 834789162 /nfs/dbraw/zinc/78/91/62/834789162.db2.gz WQWOCXLIYWBPID-UHFFFAOYSA-N -1 1 348.381 -0.468 20 0 EBADMM CC/C=C/CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001195204707 835198618 /nfs/dbraw/zinc/19/86/18/835198618.db2.gz HTLZNWNNOLJICL-IJWDBEHRSA-N -1 1 336.392 -0.383 20 0 EBADMM CC[C@@H](C)OCC(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001195524213 835236749 /nfs/dbraw/zinc/23/67/49/835236749.db2.gz JXGGDXYLZABRHN-GFCCVEGCSA-N -1 1 325.413 -0.042 20 0 EBADMM CNC(=O)NC(=O)[C@H](C)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001195580190 835247266 /nfs/dbraw/zinc/24/72/66/835247266.db2.gz GSHHQRVKBGFFMJ-NSHDSACASA-N -1 1 349.391 -0.221 20 0 EBADMM CO[C@@H](C)CCC(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001195596499 835253283 /nfs/dbraw/zinc/25/32/83/835253283.db2.gz HGHKGOKGESLQPX-LBPRGKRZSA-N -1 1 325.413 -0.042 20 0 EBADMM NS(=O)(=O)c1cncc(NC(=O)c2c[nH]c(=S)[n-]c2=O)c1 ZINC001196014534 835327147 /nfs/dbraw/zinc/32/71/47/835327147.db2.gz RFNSVHZGRUFTGJ-UHFFFAOYSA-N -1 1 327.347 -0.234 20 0 EBADMM CCOCC1(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)CC1 ZINC001196324804 835395719 /nfs/dbraw/zinc/39/57/19/835395719.db2.gz ADBHICJOKPEWHM-UHFFFAOYSA-N -1 1 337.424 -0.041 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2cc(Cl)ncc2O)C(=O)N1S(=O)(=O)[O-] ZINC001196396473 835410402 /nfs/dbraw/zinc/41/04/02/835410402.db2.gz JRMHVWUVCUXAKN-NVNXEXLPSA-N -1 1 335.725 -0.428 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCCN(CCOCC2CC2)CC1 ZINC001196423090 835414240 /nfs/dbraw/zinc/41/42/40/835414240.db2.gz GBZZBCMIFVOWGG-UHFFFAOYSA-N -1 1 337.380 -0.143 20 0 EBADMM Cn1c2ccccc2nc(C(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)c1=O ZINC001198634305 835701489 /nfs/dbraw/zinc/70/14/89/835701489.db2.gz WTDIJERNGGZACG-UHFFFAOYSA-N -1 1 328.288 -0.031 20 0 EBADMM NS(=O)(=O)c1ccc(NC(=S)NCC[P@](=O)([O-])O)cc1 ZINC001199189958 835776854 /nfs/dbraw/zinc/77/68/54/835776854.db2.gz ZVYXKKORCPYLIQ-UHFFFAOYSA-N -1 1 339.335 -0.202 20 0 EBADMM CCO[C@@H](C)C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001199940566 835881407 /nfs/dbraw/zinc/88/14/07/835881407.db2.gz OKBFKPGSSJPVDL-WDEREUQCSA-N -1 1 327.385 -0.358 20 0 EBADMM NC(=O)[C@H](CO)[N-]S(=O)(=O)c1c(F)cccc1Br ZINC001201046445 836085026 /nfs/dbraw/zinc/08/50/26/836085026.db2.gz MNNMFVYZKODOJA-ZETCQYMHSA-N -1 1 341.158 -0.287 20 0 EBADMM COC(=O)[C@@H](CC(N)=O)[N-]S(=O)(=O)c1sc(Cl)nc1C ZINC001201768671 836191027 /nfs/dbraw/zinc/19/10/27/836191027.db2.gz DFBJYRFEBOIHNV-RXMQYKEDSA-N -1 1 341.798 -0.200 20 0 EBADMM COC(=O)NCC(=O)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202552571 836317550 /nfs/dbraw/zinc/31/75/50/836317550.db2.gz LMGJQBFJUPHCDH-DTWKUNHWSA-N -1 1 338.368 -0.617 20 0 EBADMM COC(=O)NCC(=O)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202552572 836317733 /nfs/dbraw/zinc/31/77/33/836317733.db2.gz LMGJQBFJUPHCDH-IUCAKERBSA-N -1 1 338.368 -0.617 20 0 EBADMM COC(=O)NCC(=O)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202552573 836318388 /nfs/dbraw/zinc/31/83/88/836318388.db2.gz LMGJQBFJUPHCDH-RKDXNWHRSA-N -1 1 338.368 -0.617 20 0 EBADMM CCNC(=O)CC(=O)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202796495 836348980 /nfs/dbraw/zinc/34/89/80/836348980.db2.gz WZGCUASEERUMEL-ZJUUUORDSA-N -1 1 336.396 -0.447 20 0 EBADMM O=C(CCn1cnnn1)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001203530610 836442676 /nfs/dbraw/zinc/44/26/76/836442676.db2.gz JBXNREGSCRXZFQ-UWVGGRQHSA-N -1 1 347.383 -0.896 20 0 EBADMM O=C(CCn1cnnn1)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001203530613 836442824 /nfs/dbraw/zinc/44/28/24/836442824.db2.gz JBXNREGSCRXZFQ-ZJUUUORDSA-N -1 1 347.383 -0.896 20 0 EBADMM O=C(NCC1CC1)C(=O)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001203558414 836448785 /nfs/dbraw/zinc/44/87/85/836448785.db2.gz ZABNDESAFODTTP-WDEREUQCSA-N -1 1 348.407 -0.447 20 0 EBADMM COCC(C)(C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001207990943 836919063 /nfs/dbraw/zinc/91/90/63/836919063.db2.gz BYNNJIJBJVKEGG-GHMZBOCLSA-N -1 1 325.413 -0.283 20 0 EBADMM COCCO[C@@H](C)C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001209939493 837218792 /nfs/dbraw/zinc/21/87/92/837218792.db2.gz FLNBJPIKUYFACU-WDEREUQCSA-N -1 1 327.385 -0.358 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CCc1cnccn1 ZINC001210026040 837226593 /nfs/dbraw/zinc/22/65/93/837226593.db2.gz YIRPCZMBIHOTGF-DGCLKSJQSA-N -1 1 345.407 -0.532 20 0 EBADMM CC(=O)NCC(C)(C)C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210091243 837235825 /nfs/dbraw/zinc/23/58/25/837235825.db2.gz UKDFVZWUQVBDPU-NSHDSACASA-N -1 1 338.412 -0.247 20 0 EBADMM COC(=O)C1(CNC(=O)CCn2cc[n-]c(=O)c2=O)CCOCC1 ZINC001319988410 838206943 /nfs/dbraw/zinc/20/69/43/838206943.db2.gz DAGBCVLMONGVQG-UHFFFAOYSA-N -1 1 339.348 -0.987 20 0 EBADMM O=C(CCCC[C@@H]1SC[C@H]2NC(=O)N[C@H]12)NN1CC(=O)[N-]C1=O ZINC001321509471 839007404 /nfs/dbraw/zinc/00/74/04/839007404.db2.gz UZQYJPJLEFHCSY-FYBVGQRMSA-N -1 1 341.393 -0.705 20 0 EBADMM CN(Cc1nnc2n1CCCCC2)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001322778699 839259932 /nfs/dbraw/zinc/25/99/32/839259932.db2.gz HELGEWREEMPAMQ-UHFFFAOYSA-N -1 1 346.391 -0.097 20 0 EBADMM O=C(Nc1c[nH]nc1-c1ccccc1)C(=O)NN1CC(=O)[N-]C1=O ZINC001323041638 839330951 /nfs/dbraw/zinc/33/09/51/839330951.db2.gz GSQKGCZHFQHVMM-UHFFFAOYSA-N -1 1 328.288 -0.402 20 0 EBADMM COc1ccc(NC(=O)C(=O)NC[C@@H](C)O)cc1[N-]S(C)(=O)=O ZINC001323418798 839438248 /nfs/dbraw/zinc/43/82/48/839438248.db2.gz QSYIRJNRTHOJQF-MRVPVSSYSA-N -1 1 345.377 -0.498 20 0 EBADMM COCCOC[C@H](C)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001323658733 839495029 /nfs/dbraw/zinc/49/50/29/839495029.db2.gz HDSCODNHMPESKY-JTQLQIEISA-N -1 1 335.364 -0.552 20 0 EBADMM C[C@H](NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@H]1CN(C)CCO1 ZINC001323676345 839498198 /nfs/dbraw/zinc/49/81/98/839498198.db2.gz XNVRCIHOYXQXER-GXFFZTMASA-N -1 1 346.391 -0.884 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C2(O)CCOCC2)c1 ZINC001324361943 839637136 /nfs/dbraw/zinc/63/71/36/839637136.db2.gz PUMWJBRABJHCRP-UHFFFAOYSA-N -1 1 330.362 -0.220 20 0 EBADMM CN1CC(=O)N(CCCC(=O)N2CCC[C@H](c3nn[n-]n3)C2)C1=O ZINC001324370107 839639463 /nfs/dbraw/zinc/63/94/63/839639463.db2.gz VROYOKXMLIFBTI-JTQLQIEISA-N -1 1 335.368 -0.420 20 0 EBADMM O=C([C@@H]1COc2ccccc21)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001326160381 840054031 /nfs/dbraw/zinc/05/40/31/840054031.db2.gz UWQZBZCFXHYKBZ-GFCCVEGCSA-N -1 1 347.375 -0.403 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)C2(C(=O)NC3CC3)CCC2)n1 ZINC001326709165 840182052 /nfs/dbraw/zinc/18/20/52/840182052.db2.gz CWHLJVDZNPFFTD-UHFFFAOYSA-N -1 1 327.366 -0.404 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)C2(C(=O)NC3CC3)CCC2)[n-]1 ZINC001326709165 840182057 /nfs/dbraw/zinc/18/20/57/840182057.db2.gz CWHLJVDZNPFFTD-UHFFFAOYSA-N -1 1 327.366 -0.404 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCCCN3CCOC3=O)ccnc1-2 ZINC001326759774 840194912 /nfs/dbraw/zinc/19/49/12/840194912.db2.gz UBSAKJQISZULDB-UHFFFAOYSA-N -1 1 346.347 -0.761 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CC[C@@H](n4cncn4)C3)ccnc1-2 ZINC001326788322 840199804 /nfs/dbraw/zinc/19/98/04/840199804.db2.gz YOAUDLTUCGCXJY-SNVBAGLBSA-N -1 1 340.347 -0.655 20 0 EBADMM COC[C@@H](CCNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)OC ZINC001327608016 840472885 /nfs/dbraw/zinc/47/28/85/840472885.db2.gz RIVTWWHEQIKKPH-SNVBAGLBSA-N -1 1 335.364 -0.552 20 0 EBADMM Cn1cc(N2CC[C@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)cn1 ZINC001328653821 840724730 /nfs/dbraw/zinc/72/47/30/840724730.db2.gz PLPFLPNDJMUFHE-NSHDSACASA-N -1 1 332.364 -0.945 20 0 EBADMM COCCCOCCC(=O)N1CCN([C@@]2(C(=O)[O-])CCOC2)CC1 ZINC001329215804 840876366 /nfs/dbraw/zinc/87/63/66/840876366.db2.gz CZNJEUFMMLAYAL-INIZCTEOSA-N -1 1 344.408 -0.183 20 0 EBADMM CN(CCOCCNC(=O)c1ncccc1[O-])Cc1ncnn1C ZINC001331117488 841341385 /nfs/dbraw/zinc/34/13/85/841341385.db2.gz HMDGVRCCRJJJQU-UHFFFAOYSA-N -1 1 334.380 -0.206 20 0 EBADMM C[C@H]1C[C@@H](C(=O)NCCOCCN(C)Cc2nc(=O)n(C)[n-]2)CO1 ZINC001331133243 841347275 /nfs/dbraw/zinc/34/72/75/841347275.db2.gz QAXCIKKYPJNACU-NWDGAFQWSA-N -1 1 341.412 -0.902 20 0 EBADMM C[C@H](CN(C)C(=O)c1nc2ncccn2n1)NCc1n[nH]c(=O)[n-]1 ZINC001331592825 841434567 /nfs/dbraw/zinc/43/45/67/841434567.db2.gz FFBLWUNNSXDPAU-MRVPVSSYSA-N -1 1 331.340 -0.802 20 0 EBADMM Cn1[n-]c(CN[C@H](CO)CNC(=O)[C@H]2CCC=CCCC2)nc1=O ZINC001331738363 841477117 /nfs/dbraw/zinc/47/71/17/841477117.db2.gz GYPFNYMGMKGSEY-STQMWFEESA-N -1 1 337.424 -0.188 20 0 EBADMM CN1CC[C@@H](NC(=O)C(=O)NCCc2c(F)cc([O-])cc2F)C1=O ZINC001332830482 841748049 /nfs/dbraw/zinc/74/80/49/841748049.db2.gz RMGMKIQVGKQIQR-GFCCVEGCSA-N -1 1 341.314 -0.324 20 0 EBADMM CN1CC[C@H](C(=O)N[C@@H](CNCc2n[nH]c(=O)[n-]2)C(C)(C)C)C1=O ZINC001332843215 841751316 /nfs/dbraw/zinc/75/13/16/841751316.db2.gz NFWOFFZAVKNQFT-ZJUUUORDSA-N -1 1 338.412 -0.391 20 0 EBADMM CN(CCOCCNCc1n[nH]c(=O)[n-]1)C(=O)c1cnn2c1CCC2 ZINC001333222077 841824295 /nfs/dbraw/zinc/82/42/95/841824295.db2.gz DLLLBNSFVHJEHS-UHFFFAOYSA-N -1 1 349.395 -0.469 20 0 EBADMM CO[N-]C(=O)CNC(=O)C(=O)N[C@@H]1C[C@H](C)N(Cc2ccccc2)C1 ZINC001333446452 841881601 /nfs/dbraw/zinc/88/16/01/841881601.db2.gz KFFCZJFKFSQWMO-GXTWGEPZSA-N -1 1 348.403 -0.441 20 0 EBADMM O=C([N-]OCC(F)(F)F)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001333519624 841898220 /nfs/dbraw/zinc/89/82/20/841898220.db2.gz AJYRJANHCQMOJY-SFYZADRCSA-N -1 1 344.311 -0.368 20 0 EBADMM Cn1cc(-c2cc3c(nn2)CCN(Cc2nc(=O)n(C)[n-]2)C3)cn1 ZINC001336775431 842540384 /nfs/dbraw/zinc/54/03/84/842540384.db2.gz XKKQKNIIIKXIGH-UHFFFAOYSA-N -1 1 326.364 -0.143 20 0 EBADMM Cn1cnc(/C=C/C(=O)N2CCN([C@@]3(C(=O)[O-])CCOC3)CC2)c1 ZINC001339916106 842952351 /nfs/dbraw/zinc/95/23/51/842952351.db2.gz ZGLIMYBBIVZMPK-KZSQQDEKSA-N -1 1 334.376 -0.179 20 0 EBADMM O=C([O-])[C@]1(C(=O)NCCN2CCc3sccc3C2)CNCCO1 ZINC001340055971 842966297 /nfs/dbraw/zinc/96/62/97/842966297.db2.gz FRIBXIZTTMIONJ-OAHLLOKOSA-N -1 1 339.417 -0.334 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2CCO[C@H](Cc3ccccc3)C2)CNCCO1 ZINC001340218561 842982553 /nfs/dbraw/zinc/98/25/53/842982553.db2.gz ZQJJDYSBKXNPHT-PBHICJAKSA-N -1 1 334.372 -0.100 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1CNC(=O)CC(N)=O ZINC001340861358 843039439 /nfs/dbraw/zinc/03/94/39/843039439.db2.gz WUPQGWMRQSLZMJ-GHMZBOCLSA-N -1 1 334.376 -0.123 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2CCO[C@@H](C(F)(F)F)CC2)CNCCO1 ZINC001340941112 843044803 /nfs/dbraw/zinc/04/48/03/843044803.db2.gz POYOYDNNDSQYAO-LDYMZIIASA-N -1 1 326.271 -0.391 20 0 EBADMM CO[N-]C(=O)CNC(=O)C(=O)N[C@@H]1CN(Cc2ccccc2)C[C@@H]1C ZINC001341157283 843058581 /nfs/dbraw/zinc/05/85/81/843058581.db2.gz XKAVWUDLRMRFMW-GXTWGEPZSA-N -1 1 348.403 -0.583 20 0 EBADMM CO[N-]C(=O)CNC(=O)C(=O)N[C@H]1CN(Cc2ccccc2)C[C@H]1C ZINC001341157287 843058661 /nfs/dbraw/zinc/05/86/61/843058661.db2.gz XKAVWUDLRMRFMW-OCCSQVGLSA-N -1 1 348.403 -0.583 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2CCC[C@@H](N3CCCCC3=O)C2)CNCCO1 ZINC001342110534 843141272 /nfs/dbraw/zinc/14/12/72/843141272.db2.gz HGVVPYYVGSUNQJ-MLGOLLRUSA-N -1 1 339.392 -0.567 20 0 EBADMM Cc1cc(NC(=O)C(=O)NCc2nn[n-]n2)cnc1Br ZINC001342176171 843146596 /nfs/dbraw/zinc/14/65/96/843146596.db2.gz WVYHHBINPVHLFM-UHFFFAOYSA-N -1 1 340.141 -0.079 20 0 EBADMM CC(C)CN1CCO[C@@H](CNC(=O)C(=O)NCCCC(=O)[O-])C1 ZINC001345991136 843469711 /nfs/dbraw/zinc/46/97/11/843469711.db2.gz RPTAUHRQDCVJGV-LBPRGKRZSA-N -1 1 329.397 -0.560 20 0 EBADMM Cn1ncc(I)c1C(=O)OCc1nn[n-]n1 ZINC001346450930 843519469 /nfs/dbraw/zinc/51/94/69/843519469.db2.gz RPEZVDHXNQFTNW-UHFFFAOYSA-N -1 1 334.077 -0.105 20 0 EBADMM O=C(Nc1cccnc1N1CCCCC1)C(=O)NN1CC(=O)[N-]C1=O ZINC001346544491 843529523 /nfs/dbraw/zinc/52/95/23/843529523.db2.gz LXTCPFMAMRXYQT-UHFFFAOYSA-N -1 1 346.347 -0.407 20 0 EBADMM O=C(Nc1ccc([C@@H]2CCOC2)cc1)C(=O)NN1CC(=O)[N-]C1=O ZINC001347667831 843636300 /nfs/dbraw/zinc/63/63/00/843636300.db2.gz HDOVAKQCSAUULG-SNVBAGLBSA-N -1 1 332.316 -0.288 20 0 EBADMM O=C([O-])[C@]1(N2CCN(C(=O)/C=C/c3cnccn3)CC2)CCOC1 ZINC001348479721 843701098 /nfs/dbraw/zinc/70/10/98/843701098.db2.gz CRPLXIDPBPILKR-PYKQWIPUSA-N -1 1 332.360 -0.122 20 0 EBADMM C[C@H](CNC(=O)C(=O)N1CCN(C2CCOCC2)C[C@H]1C)C(=O)[O-] ZINC001349839706 843943011 /nfs/dbraw/zinc/94/30/11/843943011.db2.gz MXRFSZZPUQYHPC-VXGBXAGGSA-N -1 1 341.408 -0.465 20 0 EBADMM C[C@@]12CCC(=O)N1[C@@H](C(=O)N[C@H](Cc1cnc[nH]1)C(=O)[O-])CS2 ZINC000322515332 970897623 /nfs/dbraw/zinc/89/76/23/970897623.db2.gz RCKRHLRUDSIMSW-GPCCPHFNSA-N -1 1 338.389 -0.024 20 0 EBADMM O=C([O-])c1ccccc1CCNC(=O)NCC(=O)N1CCNCC1 ZINC000324883055 971166875 /nfs/dbraw/zinc/16/68/75/971166875.db2.gz DUWJBSRWDWSRRQ-UHFFFAOYSA-N -1 1 334.376 -0.342 20 0 EBADMM CC(=O)NC(C)(C)C(=O)N(C)CCNc1cnc(-c2nnn[n-]2)cn1 ZINC001573455324 945881071 /nfs/dbraw/zinc/88/10/71/945881071.db2.gz DAZLUMKGKGWIAV-UHFFFAOYSA-N -1 1 347.383 -0.558 20 0 EBADMM CC(=O)NC(C)(C)C(=O)N(C)CCNc1cnc(-c2nn[n-]n2)cn1 ZINC001573455324 945881094 /nfs/dbraw/zinc/88/10/94/945881094.db2.gz DAZLUMKGKGWIAV-UHFFFAOYSA-N -1 1 347.383 -0.558 20 0 EBADMM CC(=O)NC(C)(C)C(=O)NCCN(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001573464988 945970821 /nfs/dbraw/zinc/97/08/21/945970821.db2.gz UHZPUNBUSTYVLS-UHFFFAOYSA-N -1 1 347.383 -0.876 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)CCNc1nc(C)cc(-c2nnn[n-]2)n1 ZINC001573467949 945988896 /nfs/dbraw/zinc/98/88/96/945988896.db2.gz JNZXMKWIXCJDKP-SECBINFHSA-N -1 1 347.383 -0.640 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)CCNc1nc(C)cc(-c2nn[n-]n2)n1 ZINC001573467949 945988901 /nfs/dbraw/zinc/98/89/01/945988901.db2.gz JNZXMKWIXCJDKP-SECBINFHSA-N -1 1 347.383 -0.640 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N1CC[C@@H](Nc2cncc(-c3nnn[n-]3)n2)C1 ZINC001573471144 946041984 /nfs/dbraw/zinc/04/19/84/946041984.db2.gz MOXUTIQRKHYPJS-WCBMZHEXSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N1CC[C@@H](Nc2cncc(-c3nn[n-]n3)n2)C1 ZINC001573471144 946041996 /nfs/dbraw/zinc/04/19/96/946041996.db2.gz MOXUTIQRKHYPJS-WCBMZHEXSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N1CC[C@@H](Nc2cncc(-c3nnn[n-]3)n2)C1 ZINC001573471142 946043025 /nfs/dbraw/zinc/04/30/25/946043025.db2.gz MOXUTIQRKHYPJS-PSASIEDQSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N1CC[C@@H](Nc2cncc(-c3nn[n-]n3)n2)C1 ZINC001573471142 946043040 /nfs/dbraw/zinc/04/30/40/946043040.db2.gz MOXUTIQRKHYPJS-PSASIEDQSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@@H](C)CNc1cncc(-c2nnn[n-]2)n1 ZINC001573475953 946108909 /nfs/dbraw/zinc/10/89/09/946108909.db2.gz BMEQJFAFUCYLPK-IUCAKERBSA-N -1 1 347.383 -0.655 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@@H](C)CNc1cncc(-c2nn[n-]n2)n1 ZINC001573475953 946108916 /nfs/dbraw/zinc/10/89/16/946108916.db2.gz BMEQJFAFUCYLPK-IUCAKERBSA-N -1 1 347.383 -0.655 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001573477482 946137088 /nfs/dbraw/zinc/13/70/88/946137088.db2.gz REVCCIOXONCKBD-JGVFFNPUSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001573477482 946137092 /nfs/dbraw/zinc/13/70/92/946137092.db2.gz REVCCIOXONCKBD-JGVFFNPUSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@@H](C)CN(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001573477507 946138945 /nfs/dbraw/zinc/13/89/45/946138945.db2.gz RKCSTNAPRFYSBR-IUCAKERBSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@H](C)CN(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001573477611 946141317 /nfs/dbraw/zinc/14/13/17/946141317.db2.gz SSENPEQWQAMCGE-BDAKNGLRSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@H](C)CN(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001573477611 946141322 /nfs/dbraw/zinc/14/13/22/946141322.db2.gz SSENPEQWQAMCGE-BDAKNGLRSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NCC[C@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001573479606 946165694 /nfs/dbraw/zinc/16/56/94/946165694.db2.gz FXIKXROLGWWRIX-IUCAKERBSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NCC[C@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001573479606 946165698 /nfs/dbraw/zinc/16/56/98/946165698.db2.gz FXIKXROLGWWRIX-IUCAKERBSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NCCCN(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001573485326 946223241 /nfs/dbraw/zinc/22/32/41/946223241.db2.gz QMSZHLJTYIQIKD-VIFPVBQESA-N -1 1 347.383 -0.876 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NCCCN(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001573485326 946223255 /nfs/dbraw/zinc/22/32/55/946223255.db2.gz QMSZHLJTYIQIKD-VIFPVBQESA-N -1 1 347.383 -0.876 20 0 EBADMM CC(=O)NCC(=O)N(C)[C@H](C)CNc1nccnc1-c1nnn[n-]1 ZINC001573496713 946326457 /nfs/dbraw/zinc/32/64/57/946326457.db2.gz AUQMNWSWCFXTAC-MRVPVSSYSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)NCC(=O)N(C)[C@H](C)CNc1nccnc1-c1nn[n-]n1 ZINC001573496713 946326472 /nfs/dbraw/zinc/32/64/72/946326472.db2.gz AUQMNWSWCFXTAC-MRVPVSSYSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)NCC(=O)N1C[C@H](Nc2nccnc2-c2nnn[n-]2)C[C@@H]1C ZINC001573502527 946388092 /nfs/dbraw/zinc/38/80/92/946388092.db2.gz WVTGEALWEMUWLI-WCBMZHEXSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N1C[C@H](Nc2nccnc2-c2nn[n-]n2)C[C@@H]1C ZINC001573502527 946388107 /nfs/dbraw/zinc/38/81/07/946388107.db2.gz WVTGEALWEMUWLI-WCBMZHEXSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N1C[C@@H](C)[C@@H](Nc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001573502448 946388505 /nfs/dbraw/zinc/38/85/05/946388505.db2.gz WCEXTNKGJPWJKB-KCJUWKMLSA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)NCC(=O)N1C[C@@H](C)[C@@H](Nc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001573502448 946388515 /nfs/dbraw/zinc/38/85/15/946388515.db2.gz WCEXTNKGJPWJKB-KCJUWKMLSA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@@H](CNc2cncc(-c3nnn[n-]3)n2)C1 ZINC001573503881 946398289 /nfs/dbraw/zinc/39/82/89/946398289.db2.gz CNOIMICNFRGEHD-JTQLQIEISA-N -1 1 345.367 -0.947 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@@H](CNc2cncc(-c3nn[n-]n3)n2)C1 ZINC001573503881 946398297 /nfs/dbraw/zinc/39/82/97/946398297.db2.gz CNOIMICNFRGEHD-JTQLQIEISA-N -1 1 345.367 -0.947 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@@H](Nc2cncc(-c3nnn[n-]3)n2)[C@@H]1C ZINC001573503832 946399369 /nfs/dbraw/zinc/39/93/69/946399369.db2.gz BVXZNWZRJMBTQX-WCBMZHEXSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@@H](Nc2cncc(-c3nn[n-]n3)n2)[C@@H]1C ZINC001573503832 946399383 /nfs/dbraw/zinc/39/93/83/946399383.db2.gz BVXZNWZRJMBTQX-WCBMZHEXSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N1C[C@@H](C)[C@H](Nc2cncc(-c3nnn[n-]3)n2)C1 ZINC001573510335 946476033 /nfs/dbraw/zinc/47/60/33/946476033.db2.gz FJFMSLSYJAWWNS-LDYMZIIASA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)NCC(=O)N1C[C@@H](C)[C@H](Nc2cncc(-c3nn[n-]n3)n2)C1 ZINC001573510335 946476037 /nfs/dbraw/zinc/47/60/37/946476037.db2.gz FJFMSLSYJAWWNS-LDYMZIIASA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)CCCNc1ccc(-c2nnn[n-]2)nn1 ZINC001573512627 946502726 /nfs/dbraw/zinc/50/27/26/946502726.db2.gz YWVRCBZGBYDMTO-VIFPVBQESA-N -1 1 347.383 -0.510 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)CCCNc1ccc(-c2nn[n-]n2)nn1 ZINC001573512627 946502728 /nfs/dbraw/zinc/50/27/28/946502728.db2.gz YWVRCBZGBYDMTO-VIFPVBQESA-N -1 1 347.383 -0.510 20 0 EBADMM CC(=O)NCC(=O)NCC1(Nc2cnc(-c3nnn[n-]3)cn2)CCC1 ZINC001573517327 946570361 /nfs/dbraw/zinc/57/03/61/946570361.db2.gz HHLXTCJPGAQHCB-UHFFFAOYSA-N -1 1 345.367 -0.756 20 0 EBADMM CC(=O)NCC(=O)NCC1(Nc2cnc(-c3nn[n-]n3)cn2)CCC1 ZINC001573517327 946570370 /nfs/dbraw/zinc/57/03/70/946570370.db2.gz HHLXTCJPGAQHCB-UHFFFAOYSA-N -1 1 345.367 -0.756 20 0 EBADMM CC(=O)NCC(=O)NC[C@H](Nc1cnc(-c2nnn[n-]2)cn1)C(C)C ZINC001573518040 946585617 /nfs/dbraw/zinc/58/56/17/946585617.db2.gz WNIOTGCPTVYXFP-JTQLQIEISA-N -1 1 347.383 -0.655 20 0 EBADMM CC(=O)NCC(=O)NC[C@H](Nc1cnc(-c2nn[n-]n2)cn1)C(C)C ZINC001573518040 946585626 /nfs/dbraw/zinc/58/56/26/946585626.db2.gz WNIOTGCPTVYXFP-JTQLQIEISA-N -1 1 347.383 -0.655 20 0 EBADMM C[C@@H](C(=O)C(C)(C)C)n1c(=O)c(-c2nn[n-]n2)cn(CCO)c1=O ZINC001573585884 947271598 /nfs/dbraw/zinc/27/15/98/947271598.db2.gz JGGHGETWTQUPBZ-QMMMGPOBSA-N -1 1 336.352 -0.641 20 0 EBADMM C[C@@H](C(=O)N(C)C)S(=O)(=O)NCc1ccnc(-c2nnn[n-]2)c1 ZINC001573595668 947424170 /nfs/dbraw/zinc/42/41/70/947424170.db2.gz ZMSSSIYEXQTOJS-QMMMGPOBSA-N -1 1 339.381 -0.842 20 0 EBADMM C[C@@H](C(=O)N(C)C)S(=O)(=O)NCc1ccnc(-c2nn[n-]n2)c1 ZINC001573595668 947424180 /nfs/dbraw/zinc/42/41/80/947424180.db2.gz ZMSSSIYEXQTOJS-QMMMGPOBSA-N -1 1 339.381 -0.842 20 0 EBADMM C[C@H](C(=O)N(CCO)CCNc1cncc(-c2nnn[n-]2)n1)C1CC1 ZINC001573606935 947535385 /nfs/dbraw/zinc/53/53/85/947535385.db2.gz AYXHLBYOPPOQMD-JTQLQIEISA-N -1 1 346.395 -0.064 20 0 EBADMM C[C@H](C(=O)N(CCO)CCNc1cncc(-c2nn[n-]n2)n1)C1CC1 ZINC001573606935 947535395 /nfs/dbraw/zinc/53/53/95/947535395.db2.gz AYXHLBYOPPOQMD-JTQLQIEISA-N -1 1 346.395 -0.064 20 0 EBADMM C[C@H](C(=O)N1CC(=O)NC[C@H]1C(C)(C)C)n1cnc(-c2nn[n-]n2)n1 ZINC001573606941 947535841 /nfs/dbraw/zinc/53/58/41/947535841.db2.gz AZUKNRKHEMCNPK-BDAKNGLRSA-N -1 1 347.383 -0.608 20 0 EBADMM C[C@@H](C(=O)N(CCO)CCNc1cncc(-c2nnn[n-]2)n1)C1CC1 ZINC001573606936 947536205 /nfs/dbraw/zinc/53/62/05/947536205.db2.gz AYXHLBYOPPOQMD-SNVBAGLBSA-N -1 1 346.395 -0.064 20 0 EBADMM C[C@@H](C(=O)N(CCO)CCNc1cncc(-c2nn[n-]n2)n1)C1CC1 ZINC001573606936 947536216 /nfs/dbraw/zinc/53/62/16/947536216.db2.gz AYXHLBYOPPOQMD-SNVBAGLBSA-N -1 1 346.395 -0.064 20 0 EBADMM C[C@@H](C(=O)N1CC[C@H](OC(=O)N(C)C)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573622596 947680143 /nfs/dbraw/zinc/68/01/43/947680143.db2.gz BDLOTFPJKGTACD-IUCAKERBSA-N -1 1 349.355 -0.682 20 0 EBADMM C[C@H](C(=O)N1CC[C@@H](c2n[nH]cc2N)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573622474 947680463 /nfs/dbraw/zinc/68/04/63/947680463.db2.gz ACRBNDNFQDQUAR-HTQZYQBOSA-N -1 1 343.355 -0.659 20 0 EBADMM C[C@H](C(=O)N1CC[C@@H](OC(=O)N(C)C)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573622597 947681742 /nfs/dbraw/zinc/68/17/42/947681742.db2.gz BDLOTFPJKGTACD-RKDXNWHRSA-N -1 1 349.355 -0.682 20 0 EBADMM C[C@@H](C(=O)N1CC[C@@H](c2cc(=O)[nH][nH]2)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573623397 947686218 /nfs/dbraw/zinc/68/62/18/947686218.db2.gz FHNZLNZRVNEXNY-JGVFFNPUSA-N -1 1 344.339 -0.536 20 0 EBADMM CC(=O)CCCC(=O)NC[C@H](CO)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001573381364 947873261 /nfs/dbraw/zinc/87/32/61/947873261.db2.gz UBRSIGYWEGPCOP-SNVBAGLBSA-N -1 1 348.367 -0.695 20 0 EBADMM CC(=O)CCCC(=O)NC[C@H](CO)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001573381364 947873266 /nfs/dbraw/zinc/87/32/66/947873266.db2.gz UBRSIGYWEGPCOP-SNVBAGLBSA-N -1 1 348.367 -0.695 20 0 EBADMM CC(=O)N(C)C1CCN(C(=O)[C@@H](C)n2cnc(-c3nn[n-]n3)n2)CC1 ZINC001573384286 947901178 /nfs/dbraw/zinc/90/11/78/947901178.db2.gz LLEOPQSVTHVKRE-SECBINFHSA-N -1 1 347.383 -0.512 20 0 EBADMM C[C@H](C(=O)N1CCc2ccnc(N)c2C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573647776 947902573 /nfs/dbraw/zinc/90/25/73/947902573.db2.gz TVVFAFCBWKCZPI-MRVPVSSYSA-N -1 1 340.351 -0.419 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)C[C@@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001573389045 947922953 /nfs/dbraw/zinc/92/29/53/947922953.db2.gz PEYSGMZGBZXNOQ-SECBINFHSA-N -1 1 347.383 -0.606 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)C[C@@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001573389045 947922962 /nfs/dbraw/zinc/92/29/62/947922962.db2.gz PEYSGMZGBZXNOQ-SECBINFHSA-N -1 1 347.383 -0.606 20 0 EBADMM C[C@H](C(=O)N[C@@H](CCC(C)(C)C)C(N)=O)n1cnc(-c2nn[n-]n2)n1 ZINC001573653970 947961384 /nfs/dbraw/zinc/96/13/84/947961384.db2.gz OWUHUZZXJPHIEK-BDAKNGLRSA-N -1 1 349.399 -0.184 20 0 EBADMM C[C@H](C(=O)N[C@@H](CO)c1ccccn1)n1cnc(-c2nn[n-]n2)n1 ZINC001573657817 948002778 /nfs/dbraw/zinc/00/27/78/948002778.db2.gz PBKXMXSOBOTVIA-SCZZXKLOSA-N -1 1 329.324 -0.736 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H]1C[C@@H](Nc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001573408353 948065475 /nfs/dbraw/zinc/06/54/75/948065475.db2.gz FCQJNHMHGFSSPC-AOOOYVTPSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H]1C[C@@H](Nc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001573408353 948065484 /nfs/dbraw/zinc/06/54/84/948065484.db2.gz FCQJNHMHGFSSPC-AOOOYVTPSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N1CCC(N(CCO)c2nccnc2-c2nnn[n-]2)CC1 ZINC001573434753 948219172 /nfs/dbraw/zinc/21/91/72/948219172.db2.gz VUIKHOQGWUWAGV-UHFFFAOYSA-N -1 1 332.368 -0.534 20 0 EBADMM CC(=O)N1CCC(N(CCO)c2nccnc2-c2nn[n-]n2)CC1 ZINC001573434753 948219179 /nfs/dbraw/zinc/21/91/79/948219179.db2.gz VUIKHOQGWUWAGV-UHFFFAOYSA-N -1 1 332.368 -0.534 20 0 EBADMM CC(=O)N1CCCN(C(=O)c2[nH]c(=O)c(-c3nn[n-]n3)cc2C)CC1 ZINC001573442028 948284637 /nfs/dbraw/zinc/28/46/37/948284637.db2.gz QMUKSMFJYYSNEU-UHFFFAOYSA-N -1 1 345.363 -0.030 20 0 EBADMM CC(=O)N1CCC[C@H](CNC(=O)[C@H](C)n2cnc(-c3nn[n-]n3)n2)C1 ZINC001573443026 948303673 /nfs/dbraw/zinc/30/36/73/948303673.db2.gz LKVSCRLWAHSTNE-GXSJLCMTSA-N -1 1 347.383 -0.606 20 0 EBADMM C[C@@H](C(=O)NCCNc1nccnc1-c1nnn[n-]1)[C@@H]1CCC(=O)N1 ZINC001573718400 948516916 /nfs/dbraw/zinc/51/69/16/948516916.db2.gz RHVBTYJNOSRLMU-BDAKNGLRSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@@H](C(=O)NCCNc1nccnc1-c1nn[n-]n1)[C@@H]1CCC(=O)N1 ZINC001573718400 948516922 /nfs/dbraw/zinc/51/69/22/948516922.db2.gz RHVBTYJNOSRLMU-BDAKNGLRSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@@H](C(=O)NCCN(C)c1cnc(-c2nnn[n-]2)cn1)n1cncn1 ZINC001573721284 948538182 /nfs/dbraw/zinc/53/81/82/948538182.db2.gz WJJGXAGUCYOCEP-VIFPVBQESA-N -1 1 343.355 -0.938 20 0 EBADMM C[C@@H](C(=O)NCCN(C)c1cnc(-c2nn[n-]n2)cn1)n1cncn1 ZINC001573721284 948538190 /nfs/dbraw/zinc/53/81/90/948538190.db2.gz WJJGXAGUCYOCEP-VIFPVBQESA-N -1 1 343.355 -0.938 20 0 EBADMM COC(=O)[C@@H](C)CSc1[nH]c(=O)n(C)c(=O)c1-c1nn[n-]n1 ZINC001570926096 948682100 /nfs/dbraw/zinc/68/21/00/948682100.db2.gz VXTYKRBYDTYPRH-YFKPBYRVSA-N -1 1 326.338 -0.845 20 0 EBADMM Cn1c(=O)[nH]c(N[C@H]2CCc3n[nH]cc3C2)c(-c2nn[n-]n2)c1=O ZINC001570926120 948684194 /nfs/dbraw/zinc/68/41/94/948684194.db2.gz PDULDGXYMSIIET-ZETCQYMHSA-N -1 1 329.324 -0.642 20 0 EBADMM O=C(N[C@@H]1CN(c2ccc(-c3nnn[n-]3)nn2)C[C@@H]1O)C1CCC1 ZINC001570927145 948705771 /nfs/dbraw/zinc/70/57/71/948705771.db2.gz AZQPEKJJTPRBMP-MNOVXSKESA-N -1 1 330.352 -0.878 20 0 EBADMM O=C(N[C@@H]1CN(c2ccc(-c3nn[n-]n3)nn2)C[C@@H]1O)C1CCC1 ZINC001570927145 948705776 /nfs/dbraw/zinc/70/57/76/948705776.db2.gz AZQPEKJJTPRBMP-MNOVXSKESA-N -1 1 330.352 -0.878 20 0 EBADMM O=C(CNC(=O)NCc1ccco1)Nc1n[nH]cc1-c1nnn[n-]1 ZINC001570929050 948749857 /nfs/dbraw/zinc/74/98/57/948749857.db2.gz FXTNEEARIYFAAD-UHFFFAOYSA-N -1 1 331.296 -0.379 20 0 EBADMM O=C(CNC(=O)NCc1ccco1)Nc1n[nH]cc1-c1nn[n-]n1 ZINC001570929050 948749867 /nfs/dbraw/zinc/74/98/67/948749867.db2.gz FXTNEEARIYFAAD-UHFFFAOYSA-N -1 1 331.296 -0.379 20 0 EBADMM CC(C)c1nnc(CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)n1C ZINC001570929851 948786245 /nfs/dbraw/zinc/78/62/45/948786245.db2.gz WMDJVFCSLHRACM-UHFFFAOYSA-N -1 1 331.344 -0.974 20 0 EBADMM C[C@H](C(=O)Nc1cc(N(C)C)ncn1)n1cnc(-c2nn[n-]n2)n1 ZINC001573755954 948789178 /nfs/dbraw/zinc/78/91/78/948789178.db2.gz JRHSTZONWNYKDC-SSDOTTSWSA-N -1 1 329.328 -0.486 20 0 EBADMM CCc1nc(-c2nnn[n-]2)cc(N2CC[C@H](NC(C)=O)[C@H](O)C2)n1 ZINC001570930063 948798058 /nfs/dbraw/zinc/79/80/58/948798058.db2.gz AEYRBHPQDHOUHF-GXSJLCMTSA-N -1 1 332.368 -0.705 20 0 EBADMM CCc1nc(-c2nn[n-]n2)cc(N2CC[C@H](NC(C)=O)[C@H](O)C2)n1 ZINC001570930063 948798068 /nfs/dbraw/zinc/79/80/68/948798068.db2.gz AEYRBHPQDHOUHF-GXSJLCMTSA-N -1 1 332.368 -0.705 20 0 EBADMM C[C@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)[C@@H]1CCC(=O)N1 ZINC001574683094 948840666 /nfs/dbraw/zinc/84/06/66/948840666.db2.gz ULGYFTLFBWOAIN-BDAKNGLRSA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)[C@@H]1CCC(=O)N1 ZINC001574683094 948840682 /nfs/dbraw/zinc/84/06/82/948840682.db2.gz ULGYFTLFBWOAIN-BDAKNGLRSA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)CCCC(N)=O ZINC001574683351 948850180 /nfs/dbraw/zinc/85/01/80/948850180.db2.gz YDFSIXJUCRELBR-VIFPVBQESA-N -1 1 347.383 -0.381 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)CCCC(N)=O ZINC001574683351 948850187 /nfs/dbraw/zinc/85/01/87/948850187.db2.gz YDFSIXJUCRELBR-VIFPVBQESA-N -1 1 347.383 -0.381 20 0 EBADMM CC[C@@](C)(OC)C(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570933742 948907598 /nfs/dbraw/zinc/90/75/98/948907598.db2.gz DJZSCQYUNSFIGX-CYBMUJFWSA-N -1 1 337.340 -0.940 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(c3cnc(-c4nnn[n-]4)cn3)C[C@H]21)c1cocn1 ZINC001570934216 948929324 /nfs/dbraw/zinc/92/93/24/948929324.db2.gz BQQAFYYOLBRURD-JDICNCQASA-N -1 1 339.319 -0.491 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(c3cnc(-c4nn[n-]n4)cn3)C[C@H]21)c1cocn1 ZINC001570934216 948929334 /nfs/dbraw/zinc/92/93/34/948929334.db2.gz BQQAFYYOLBRURD-JDICNCQASA-N -1 1 339.319 -0.491 20 0 EBADMM CN(Cc1ccn(C)n1)S(=O)(=O)c1cccnc1-c1nn[n-]n1 ZINC001570934788 948952785 /nfs/dbraw/zinc/95/27/85/948952785.db2.gz NREDEHQBXSHNBL-UHFFFAOYSA-N -1 1 334.365 -0.184 20 0 EBADMM COC(=O)[C@H]1CN(C)C(=O)CN1Cc1ccc(-c2nn[n-]n2)s1 ZINC001570934899 948959070 /nfs/dbraw/zinc/95/90/70/948959070.db2.gz NMQJFPCBVMFKMM-SECBINFHSA-N -1 1 336.377 -0.256 20 0 EBADMM O=C(N[C@H]1C[C@H](Nc2ccnc(-c3nn[n-]n3)n2)C1)c1ccncn1 ZINC001570935334 948976493 /nfs/dbraw/zinc/97/64/93/948976493.db2.gz NHBKHBQYDRPSGJ-KYZUINATSA-N -1 1 338.335 -0.759 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)[C@@H]1CCOC1 ZINC001574689505 948986631 /nfs/dbraw/zinc/98/66/31/948986631.db2.gz HJUKBUFMRWYJMN-NXEZZACHSA-N -1 1 332.368 0.000 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)[C@@H]1CCOC1 ZINC001574689505 948986646 /nfs/dbraw/zinc/98/66/46/948986646.db2.gz HJUKBUFMRWYJMN-NXEZZACHSA-N -1 1 332.368 0.000 20 0 EBADMM CC(C)(C(=O)NC[C@@H](O)CNc1ccc(-c2nnn[n-]2)nn1)C1CC1 ZINC001573773725 948993016 /nfs/dbraw/zinc/99/30/16/948993016.db2.gz FTTSTQDDRDKIEM-JTQLQIEISA-N -1 1 346.395 -0.018 20 0 EBADMM CC(C)(C(=O)NC[C@@H](O)CNc1ccc(-c2nn[n-]n2)nn1)C1CC1 ZINC001573773725 948993032 /nfs/dbraw/zinc/99/30/32/948993032.db2.gz FTTSTQDDRDKIEM-JTQLQIEISA-N -1 1 346.395 -0.018 20 0 EBADMM CCOC(=O)[C@@H](C)[C@@H](C)Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[n-]n1 ZINC001570936135 949012744 /nfs/dbraw/zinc/01/27/44/949012744.db2.gz VXNVZBPQUVVZOX-NKWVEPMBSA-N -1 1 337.340 -0.334 20 0 EBADMM CC(C)(C(=O)NC[C@@H](CO)Nc1cnc(-c2nnn[n-]2)cn1)C1CC1 ZINC001573774595 949019172 /nfs/dbraw/zinc/01/91/72/949019172.db2.gz ONOODWALBLSIFK-JTQLQIEISA-N -1 1 346.395 -0.018 20 0 EBADMM CC(C)(C(=O)NC[C@@H](CO)Nc1cnc(-c2nn[n-]n2)cn1)C1CC1 ZINC001573774595 949019191 /nfs/dbraw/zinc/01/91/91/949019191.db2.gz ONOODWALBLSIFK-JTQLQIEISA-N -1 1 346.395 -0.018 20 0 EBADMM Cc1nnc([C@H](C)[N-]S(=O)(=O)c2ccc(-c3nn[nH]n3)cn2)o1 ZINC001570936190 949020912 /nfs/dbraw/zinc/02/09/12/949020912.db2.gz XSVJSHSCHSYFPL-LURJTMIESA-N -1 1 336.337 -0.007 20 0 EBADMM C[C@@H](CNC(=O)CNC(=O)C1CC1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574927233 949042796 /nfs/dbraw/zinc/04/27/96/949042796.db2.gz ICILELIGRIIEOS-QMMMGPOBSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@@H](CNC(=O)CNC(=O)C1CC1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574927233 949042814 /nfs/dbraw/zinc/04/28/14/949042814.db2.gz ICILELIGRIIEOS-QMMMGPOBSA-N -1 1 345.367 -0.901 20 0 EBADMM CN(C(=O)c1cn[nH]c1)[C@H]1CCN(c2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570937635 949082572 /nfs/dbraw/zinc/08/25/72/949082572.db2.gz HPBQHCLMGBKYGJ-JTQLQIEISA-N -1 1 340.351 -0.269 20 0 EBADMM C[C@H]1CN(C(=O)c2cnon2)C[C@H]1Nc1cnc(-c2nnn[n-]2)cn1 ZINC001570938538 949106265 /nfs/dbraw/zinc/10/62/65/949106265.db2.gz FNYRPESTJKDHAD-OIBJUYFYSA-N -1 1 342.323 -0.388 20 0 EBADMM C[C@H]1CN(C(=O)c2cnon2)C[C@H]1Nc1cnc(-c2nn[n-]n2)cn1 ZINC001570938538 949106278 /nfs/dbraw/zinc/10/62/78/949106278.db2.gz FNYRPESTJKDHAD-OIBJUYFYSA-N -1 1 342.323 -0.388 20 0 EBADMM CC(C)(C(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)C1CC1 ZINC001573781615 949107180 /nfs/dbraw/zinc/10/71/80/949107180.db2.gz KVGOOEMKIVPJFM-UHFFFAOYSA-N -1 1 333.352 -0.319 20 0 EBADMM C[C@H](CNC(=O)CO[C@@H]1CCOC1)Nc1nccnc1-c1nn[n-]n1 ZINC001574930763 949109048 /nfs/dbraw/zinc/10/90/48/949109048.db2.gz MIXUNSIGGDYKTP-NXEZZACHSA-N -1 1 348.367 -0.621 20 0 EBADMM C[C@H](CNC(=O)CO[C@@H]1CCOC1)Nc1nccnc1-c1nnn[n-]1 ZINC001574930763 949109031 /nfs/dbraw/zinc/10/90/31/949109031.db2.gz MIXUNSIGGDYKTP-NXEZZACHSA-N -1 1 348.367 -0.621 20 0 EBADMM COC(=O)c1cn(C)nc1NC(=O)Cc1ccc(-c2nnn[n-]2)nc1 ZINC001570938903 949122449 /nfs/dbraw/zinc/12/24/49/949122449.db2.gz JBDWLNBUZWZOIQ-UHFFFAOYSA-N -1 1 342.319 -0.037 20 0 EBADMM COC(=O)c1cn(C)nc1NC(=O)Cc1ccc(-c2nn[n-]n2)nc1 ZINC001570938903 949122460 /nfs/dbraw/zinc/12/24/60/949122460.db2.gz JBDWLNBUZWZOIQ-UHFFFAOYSA-N -1 1 342.319 -0.037 20 0 EBADMM C[C@@H](CNC(=O)COCC(F)F)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574932413 949141068 /nfs/dbraw/zinc/14/10/68/949141068.db2.gz ZUBKBEPPEGFTAU-ZETCQYMHSA-N -1 1 342.310 -0.145 20 0 EBADMM C[C@@H](CNC(=O)COCC(F)F)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574932413 949141081 /nfs/dbraw/zinc/14/10/81/949141081.db2.gz ZUBKBEPPEGFTAU-ZETCQYMHSA-N -1 1 342.310 -0.145 20 0 EBADMM C[N@@H+]1C[C@H]2CC[C@@H](C1)N2Cc1cc(=O)n2[n-]cc(-c3nnn[n-]3)c2n1 ZINC001570939523 949142436 /nfs/dbraw/zinc/14/24/36/949142436.db2.gz FQFFNIJBTHIJKQ-PHIMTYICSA-N -1 1 341.379 -0.107 20 0 EBADMM O=C(c1cn[nH]n1)N1CCC[C@@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001570940976 949199712 /nfs/dbraw/zinc/19/97/12/949199712.db2.gz QGOIFHVLXCOXQO-MRVPVSSYSA-N -1 1 341.339 -0.509 20 0 EBADMM O=C(c1cn[nH]n1)N1CCC[C@@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001570940976 949199724 /nfs/dbraw/zinc/19/97/24/949199724.db2.gz QGOIFHVLXCOXQO-MRVPVSSYSA-N -1 1 341.339 -0.509 20 0 EBADMM Cc1cc(-c2nnn[n-]2)nc(N2CC[C@H](NC(=O)c3cocn3)C2)n1 ZINC001570942716 949303244 /nfs/dbraw/zinc/30/32/44/949303244.db2.gz URIFYQIAADPXTQ-VIFPVBQESA-N -1 1 341.335 -0.038 20 0 EBADMM Cc1cc(-c2nn[n-]n2)nc(N2CC[C@H](NC(=O)c3cocn3)C2)n1 ZINC001570942716 949303273 /nfs/dbraw/zinc/30/32/73/949303273.db2.gz URIFYQIAADPXTQ-VIFPVBQESA-N -1 1 341.335 -0.038 20 0 EBADMM CC1(C(=O)N(CCO)CCNc2ccnc(-c3nn[n-]n3)n2)CC1 ZINC001575524393 949312203 /nfs/dbraw/zinc/31/22/03/949312203.db2.gz HPKCSKYTBOYKLT-UHFFFAOYSA-N -1 1 332.368 -0.889 20 0 EBADMM C[C@@H](CNC(=O)Cc1ccon1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001574941775 949318882 /nfs/dbraw/zinc/31/88/82/949318882.db2.gz HHZPRWPIOATMMZ-SECBINFHSA-N -1 1 343.351 -0.527 20 0 EBADMM C[C@@H]1CCC[C@@]1(O)CNC(=O)NCCn1cnc(-c2nn[n-]n2)n1 ZINC001576154237 949331187 /nfs/dbraw/zinc/33/11/87/949331187.db2.gz OHTJJDVLSQGNBE-NOZJJQNGSA-N -1 1 335.372 -0.692 20 0 EBADMM O=C(c1nccs1)N1CC[C@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570943301 949351627 /nfs/dbraw/zinc/35/16/27/949351627.db2.gz GQHCLNMAPKKMSZ-QMMMGPOBSA-N -1 1 343.376 -0.138 20 0 EBADMM C[C@H](CNC(=O)Cc1cc[nH]n1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001574943426 949377366 /nfs/dbraw/zinc/37/73/66/949377366.db2.gz XNWKJVVHPDYBNO-SECBINFHSA-N -1 1 342.367 -0.437 20 0 EBADMM C[C@H](CNC(=O)Cc1cc[nH]n1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001574943426 949377386 /nfs/dbraw/zinc/37/73/86/949377386.db2.gz XNWKJVVHPDYBNO-SECBINFHSA-N -1 1 342.367 -0.437 20 0 EBADMM C[C@H](CNC(=O)Cc1cnoc1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001574944873 949401674 /nfs/dbraw/zinc/40/16/74/949401674.db2.gz HQJYQIGKBAHAPY-SECBINFHSA-N -1 1 343.351 -0.172 20 0 EBADMM C[C@H](CNC(=O)Cc1cnoc1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001574944873 949401688 /nfs/dbraw/zinc/40/16/88/949401688.db2.gz HQJYQIGKBAHAPY-SECBINFHSA-N -1 1 343.351 -0.172 20 0 EBADMM C[C@@H](Cc1ccco1)NC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570944326 949414823 /nfs/dbraw/zinc/41/48/23/949414823.db2.gz NCNLUVMPMVAWEV-QMMMGPOBSA-N -1 1 345.319 -0.531 20 0 EBADMM C[C@H](CNC(=O)Cn1ccnc1)Nc1nccnc1-c1nnn[n-]1 ZINC001574948945 949478323 /nfs/dbraw/zinc/47/83/23/949478323.db2.gz OOLIXFZTTJRKCS-SECBINFHSA-N -1 1 328.340 -0.530 20 0 EBADMM C[C@H](CNC(=O)Cn1ccnc1)Nc1nccnc1-c1nn[n-]n1 ZINC001574948945 949478336 /nfs/dbraw/zinc/47/83/36/949478336.db2.gz OOLIXFZTTJRKCS-SECBINFHSA-N -1 1 328.340 -0.530 20 0 EBADMM Cc1cc(-c2nnn[n-]2)nc(N2CCO[C@@H](CNC(=O)C3CC3)C2)n1 ZINC001570945510 949485503 /nfs/dbraw/zinc/48/55/03/949485503.db2.gz BOWIHHSJFWQTRJ-NSHDSACASA-N -1 1 344.379 -0.303 20 0 EBADMM Cc1cc(-c2nn[n-]n2)nc(N2CCO[C@@H](CNC(=O)C3CC3)C2)n1 ZINC001570945510 949485513 /nfs/dbraw/zinc/48/55/13/949485513.db2.gz BOWIHHSJFWQTRJ-NSHDSACASA-N -1 1 344.379 -0.303 20 0 EBADMM C[C@@H](CNC(=O)Cn1ccnc1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001574949327 949491729 /nfs/dbraw/zinc/49/17/29/949491729.db2.gz UDISWWQYMSUJER-SNVBAGLBSA-N -1 1 342.367 -0.282 20 0 EBADMM CO[C@@H](C)C(=O)N1CC[C@H](CN(C)c2nccnc2-c2nnn[n-]2)C1 ZINC001570945743 949498394 /nfs/dbraw/zinc/49/83/94/949498394.db2.gz BVMCJTCBUFUWMJ-WDEREUQCSA-N -1 1 346.395 -0.024 20 0 EBADMM CO[C@@H](C)C(=O)N1CC[C@H](CN(C)c2nccnc2-c2nn[n-]n2)C1 ZINC001570945743 949498409 /nfs/dbraw/zinc/49/84/09/949498409.db2.gz BVMCJTCBUFUWMJ-WDEREUQCSA-N -1 1 346.395 -0.024 20 0 EBADMM C[C@@H](CNC(=O)Cn1cccn1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001574949646 949502670 /nfs/dbraw/zinc/50/26/70/949502670.db2.gz ZRIJSMFFHIJZOG-JTQLQIEISA-N -1 1 342.367 -0.506 20 0 EBADMM C[C@@H](CNC(=O)Cn1cccn1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001574949646 949502688 /nfs/dbraw/zinc/50/26/88/949502688.db2.gz ZRIJSMFFHIJZOG-JTQLQIEISA-N -1 1 342.367 -0.506 20 0 EBADMM C[C@@H](CNC(=O)Nc1ccnc(-c2nnn[n-]2)c1)S(C)(=O)=O ZINC001574949989 949524752 /nfs/dbraw/zinc/52/47/52/949524752.db2.gz IZJFRAIRWAGSIL-ZETCQYMHSA-N -1 1 325.354 -0.184 20 0 EBADMM C[C@@H](CNC(=O)Nc1ccnc(-c2nn[n-]n2)c1)S(C)(=O)=O ZINC001574949989 949524771 /nfs/dbraw/zinc/52/47/71/949524771.db2.gz IZJFRAIRWAGSIL-ZETCQYMHSA-N -1 1 325.354 -0.184 20 0 EBADMM CCCC(=O)N1CCO[C@@H]([C@@H](C)Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570946337 949527425 /nfs/dbraw/zinc/52/74/25/949527425.db2.gz LVKMVRRTMFOEBZ-GHMZBOCLSA-N -1 1 346.395 -0.094 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)CC(N)=O)CCCN1c1ccnc(-c2nn[n-]n2)n1 ZINC001575871978 949530146 /nfs/dbraw/zinc/53/01/46/949530146.db2.gz DKXRHGXVTWLSHP-BDAKNGLRSA-N -1 1 345.367 -0.994 20 0 EBADMM CC(C)[C@@H](O)C(=O)N1CCC[C@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570946597 949540454 /nfs/dbraw/zinc/54/04/54/949540454.db2.gz NSCSRUNEDBLGJX-CMPLNLGQSA-N -1 1 346.395 -0.502 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NC[C@@H]1COCCN1c1nccnc1-c1nnn[n-]1 ZINC001570946964 949558558 /nfs/dbraw/zinc/55/85/58/949558558.db2.gz URFACQRWHASQBL-AXFHLTTASA-N -1 1 344.379 -0.366 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NC[C@@H]1COCCN1c1nccnc1-c1nn[n-]n1 ZINC001570946964 949558574 /nfs/dbraw/zinc/55/85/74/949558574.db2.gz URFACQRWHASQBL-AXFHLTTASA-N -1 1 344.379 -0.366 20 0 EBADMM O=C(C[C@H]1CCOC1)N[C@H]1C[C@@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570947454 949570905 /nfs/dbraw/zinc/57/09/05/949570905.db2.gz ZTRGCVJEQAPQTC-MXWKQRLJSA-N -1 1 344.379 -0.436 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1COC(=O)N1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574712974 949593729 /nfs/dbraw/zinc/59/37/29/949593729.db2.gz VPMGEIXMKXQQLT-APPZFPTMSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1COC(=O)N1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574712974 949593745 /nfs/dbraw/zinc/59/37/45/949593745.db2.gz VPMGEIXMKXQQLT-APPZFPTMSA-N -1 1 347.339 -0.976 20 0 EBADMM CC(=O)[C@H]1CCCCN1C(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570948889 949628130 /nfs/dbraw/zinc/62/81/30/949628130.db2.gz QTQKLVJVKKMGCT-SNVBAGLBSA-N -1 1 347.335 -0.901 20 0 EBADMM COC(=O)NC1CN(C(=O)Nc2cnc(OC)c(-c3nn[n-]n3)c2)C1 ZINC001570949951 949666408 /nfs/dbraw/zinc/66/64/08/949666408.db2.gz VGIZTNHLDUCYON-UHFFFAOYSA-N -1 1 348.323 -0.158 20 0 EBADMM C[C@H](NC(=O)CCCC(N)=O)[C@H](C)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575273575 949670373 /nfs/dbraw/zinc/67/03/73/949670373.db2.gz WUWCDINQWFFIHZ-IUCAKERBSA-N -1 1 347.383 -0.961 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nnn[n-]1)C(=O)N[C@@H]1COC(=O)C1 ZINC001570950556 949690413 /nfs/dbraw/zinc/69/04/13/949690413.db2.gz LOFYRWGBXFKAEA-ZETCQYMHSA-N -1 1 349.355 -0.939 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nn[n-]n1)C(=O)N[C@@H]1COC(=O)C1 ZINC001570950556 949690428 /nfs/dbraw/zinc/69/04/28/949690428.db2.gz LOFYRWGBXFKAEA-ZETCQYMHSA-N -1 1 349.355 -0.939 20 0 EBADMM C[C@@H]1COCC[C@H]1NC(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570950616 949694199 /nfs/dbraw/zinc/69/41/99/949694199.db2.gz OJQHVMBKZGQPCR-PSASIEDQSA-N -1 1 349.351 -0.940 20 0 EBADMM C[C@@H]1CCCN(c2[nH]c(=O)n(C)c(=O)c2-c2nn[n-]n2)[C@H]1CCO ZINC001576177934 949872251 /nfs/dbraw/zinc/87/22/51/949872251.db2.gz DYIXZPIOOBVWGM-BDAKNGLRSA-N -1 1 335.368 -0.759 20 0 EBADMM C[C@]1(C(=O)N[C@H]2CCN(c3cnc(-c4nnn[n-]4)cn3)C2)CCOC1 ZINC001575571139 949947465 /nfs/dbraw/zinc/94/74/65/949947465.db2.gz XJXMEVDQIWWONG-BONVTDFDSA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@]1(C(=O)N[C@H]2CCN(c3cnc(-c4nn[n-]n4)cn3)C2)CCOC1 ZINC001575571139 949947483 /nfs/dbraw/zinc/94/74/83/949947483.db2.gz XJXMEVDQIWWONG-BONVTDFDSA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@H](CN(C)C(=O)Cc1cc[nH]n1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574728579 949997044 /nfs/dbraw/zinc/99/70/44/949997044.db2.gz DLNOFOXHWFELIC-SECBINFHSA-N -1 1 342.367 -0.119 20 0 EBADMM CC1(C(=O)NC[C@H](O)CNc2cnc(-c3nnn[n-]3)cn2)CCC1 ZINC001575575583 950055743 /nfs/dbraw/zinc/05/57/43/950055743.db2.gz KPAYDJYWCRKRIS-SECBINFHSA-N -1 1 332.368 -0.264 20 0 EBADMM CC1(C(=O)NC[C@H](O)CNc2cnc(-c3nn[n-]n3)cn2)CCC1 ZINC001575575583 950055769 /nfs/dbraw/zinc/05/57/69/950055769.db2.gz KPAYDJYWCRKRIS-SECBINFHSA-N -1 1 332.368 -0.264 20 0 EBADMM CC(C)(C)CC(=O)NC[C@H](CO)Nc1cncc(-c2nnn[n-]2)n1 ZINC001573836058 950073892 /nfs/dbraw/zinc/07/38/92/950073892.db2.gz FKOJNFBJAJWBGR-SECBINFHSA-N -1 1 334.384 -0.018 20 0 EBADMM CC(C)(C)CC(=O)NC[C@H](CO)Nc1cncc(-c2nn[n-]n2)n1 ZINC001573836058 950073913 /nfs/dbraw/zinc/07/39/13/950073913.db2.gz FKOJNFBJAJWBGR-SECBINFHSA-N -1 1 334.384 -0.018 20 0 EBADMM C[C@@H](CN(C)C(=O)Cn1cccn1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574733244 950104760 /nfs/dbraw/zinc/10/47/60/950104760.db2.gz WVHHEZYJHUCVGF-JTQLQIEISA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@@H](CN(C)C(=O)Cn1cccn1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574733244 950104767 /nfs/dbraw/zinc/10/47/67/950104767.db2.gz WVHHEZYJHUCVGF-JTQLQIEISA-N -1 1 342.367 -0.188 20 0 EBADMM CC(C)(C)CC(=O)N[C@@H]1CN(c2nccnc2-c2nnn[n-]2)C[C@H]1O ZINC001573839312 950124515 /nfs/dbraw/zinc/12/45/15/950124515.db2.gz PVCZSXVDMNWVRL-NXEZZACHSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)(C)CC(=O)N[C@@H]1CN(c2nccnc2-c2nn[n-]n2)C[C@H]1O ZINC001573839312 950124526 /nfs/dbraw/zinc/12/45/26/950124526.db2.gz PVCZSXVDMNWVRL-NXEZZACHSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)(C)CC(=O)N[C@@H]1CN(c2nccnc2-c2nnn[n-]2)C[C@@H]1O ZINC001573839313 950125660 /nfs/dbraw/zinc/12/56/60/950125660.db2.gz PVCZSXVDMNWVRL-ZJUUUORDSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)(C)CC(=O)N[C@@H]1CN(c2nccnc2-c2nn[n-]n2)C[C@@H]1O ZINC001573839313 950125671 /nfs/dbraw/zinc/12/56/71/950125671.db2.gz PVCZSXVDMNWVRL-ZJUUUORDSA-N -1 1 346.395 -0.241 20 0 EBADMM CC1(C(=O)NC[C@@]2(O)CCN(c3nccnc3-c3nnn[n-]3)C2)CC1 ZINC001575583536 950147134 /nfs/dbraw/zinc/14/71/34/950147134.db2.gz SFOMJKXXNXTDRB-HNNXBMFYSA-N -1 1 344.379 -0.486 20 0 EBADMM CC1(C(=O)NC[C@@]2(O)CCN(c3nccnc3-c3nn[n-]n3)C2)CC1 ZINC001575583536 950147142 /nfs/dbraw/zinc/14/71/42/950147142.db2.gz SFOMJKXXNXTDRB-HNNXBMFYSA-N -1 1 344.379 -0.486 20 0 EBADMM C[C@H](CC(=O)NC[C@H](CO)Nc1nccnc1-c1nnn[n-]1)C1CC1 ZINC001574494312 950160528 /nfs/dbraw/zinc/16/05/28/950160528.db2.gz KCCKLJJZOUBHLZ-MWLCHTKSSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](CC(=O)NC[C@H](CO)Nc1nccnc1-c1nn[n-]n1)C1CC1 ZINC001574494312 950160546 /nfs/dbraw/zinc/16/05/46/950160546.db2.gz KCCKLJJZOUBHLZ-MWLCHTKSSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](CC(=O)NC[C@H](O)CNc1nccnc1-c1nnn[n-]1)C1CC1 ZINC001574499428 950297465 /nfs/dbraw/zinc/29/74/65/950297465.db2.gz VBTOTIKBEWOGMP-ONGXEEELSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](CC(=O)NC[C@H](O)CNc1nccnc1-c1nn[n-]n1)C1CC1 ZINC001574499428 950297483 /nfs/dbraw/zinc/29/74/83/950297483.db2.gz VBTOTIKBEWOGMP-ONGXEEELSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cn[nH]n1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574744510 950351911 /nfs/dbraw/zinc/35/19/11/950351911.db2.gz ZNNOPCCSVAZTCA-ZETCQYMHSA-N -1 1 329.328 -0.653 20 0 EBADMM CC1(C(=O)N[C@@H]2CN(c3cnc(-c4nnn[n-]4)cn3)C[C@H]2O)CC1 ZINC001575604989 950454733 /nfs/dbraw/zinc/45/47/33/950454733.db2.gz JNSUECYVSWRKJU-NXEZZACHSA-N -1 1 330.352 -0.878 20 0 EBADMM CC1(C(=O)N[C@@H]2CN(c3cnc(-c4nn[n-]n4)cn3)C[C@H]2O)CC1 ZINC001575604989 950454747 /nfs/dbraw/zinc/45/47/47/950454747.db2.gz JNSUECYVSWRKJU-NXEZZACHSA-N -1 1 330.352 -0.878 20 0 EBADMM CC(C)CCC(=O)N(C)C[C@H](O)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001574167466 950486528 /nfs/dbraw/zinc/48/65/28/950486528.db2.gz COVGUOMEROETPU-LLVKDONJSA-N -1 1 348.411 -0.254 20 0 EBADMM C[C@@H](CNC(=O)c1cc(F)c[nH]1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575001260 950629416 /nfs/dbraw/zinc/62/94/16/950629416.db2.gz JLDUBJMEOSMQRJ-ZETCQYMHSA-N -1 1 331.315 -0.224 20 0 EBADMM C[C@@H]1[C@@H](Nc2ccc(-c3nnn[n-]3)nn2)CCN1C(=O)c1cnon1 ZINC001575921493 950641518 /nfs/dbraw/zinc/64/15/18/950641518.db2.gz NZIWDGQSCGUNNA-SFYZADRCSA-N -1 1 342.323 -0.245 20 0 EBADMM C[C@@H]1[C@@H](Nc2ccc(-c3nn[n-]n3)nn2)CCN1C(=O)c1cnon1 ZINC001575921493 950641531 /nfs/dbraw/zinc/64/15/31/950641531.db2.gz NZIWDGQSCGUNNA-SFYZADRCSA-N -1 1 342.323 -0.245 20 0 EBADMM CC(C)(C)OCC(=O)N[C@H]1C[C@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001573873541 950649125 /nfs/dbraw/zinc/64/91/25/950649125.db2.gz PTABJIUNNUEYJM-MGCOHNPYSA-N -1 1 346.395 -0.047 20 0 EBADMM CC(C)CCC(=O)NC[C@@H](O)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001574179514 950705111 /nfs/dbraw/zinc/70/51/11/950705111.db2.gz CQFRXKBBNGRRRS-JTQLQIEISA-N -1 1 334.384 -0.018 20 0 EBADMM CC(C)CCC(=O)NC[C@@H](O)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001574179514 950705126 /nfs/dbraw/zinc/70/51/26/950705126.db2.gz CQFRXKBBNGRRRS-JTQLQIEISA-N -1 1 334.384 -0.018 20 0 EBADMM C[C@H](CNC(=O)c1cc[nH]c(=O)c1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575006871 950723638 /nfs/dbraw/zinc/72/36/38/950723638.db2.gz XLBUQDGQFIOBTP-MRVPVSSYSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@H](CNC(=O)c1cc[nH]c(=O)c1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575006871 950723644 /nfs/dbraw/zinc/72/36/44/950723644.db2.gz XLBUQDGQFIOBTP-MRVPVSSYSA-N -1 1 341.335 -0.012 20 0 EBADMM CC1(C)CC(C(=O)NC[C@@H](CO)Nc2cncc(-c3nnn[n-]3)n2)C1 ZINC001575628774 950726024 /nfs/dbraw/zinc/72/60/24/950726024.db2.gz GYEGHGHYIJYGID-JTQLQIEISA-N -1 1 346.395 -0.018 20 0 EBADMM CC1(C)CC(C(=O)NC[C@@H](CO)Nc2cncc(-c3nn[n-]n3)n2)C1 ZINC001575628774 950726035 /nfs/dbraw/zinc/72/60/35/950726035.db2.gz GYEGHGHYIJYGID-JTQLQIEISA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cnn(C)c1)Nc1nccnc1-c1nnn[n-]1 ZINC001574763688 950727514 /nfs/dbraw/zinc/72/75/14/950727514.db2.gz IDGWALYVJFNBEU-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cnn(C)c1)Nc1nccnc1-c1nn[n-]n1 ZINC001574763688 950727526 /nfs/dbraw/zinc/72/75/26/950727526.db2.gz IDGWALYVJFNBEU-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CN(C)C(=O)c1cnn(C)n1)Nc1nccnc1-c1nnn[n-]1 ZINC001574763950 950738653 /nfs/dbraw/zinc/73/86/53/950738653.db2.gz NSBFWHICINENGQ-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CN(C)C(=O)c1cnn(C)n1)Nc1nccnc1-c1nn[n-]n1 ZINC001574763950 950738669 /nfs/dbraw/zinc/73/86/69/950738669.db2.gz NSBFWHICINENGQ-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cnn(C)n1)Nc1nccnc1-c1nnn[n-]1 ZINC001574763951 950739642 /nfs/dbraw/zinc/73/96/42/950739642.db2.gz NSBFWHICINENGQ-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cnn(C)n1)Nc1nccnc1-c1nn[n-]n1 ZINC001574763951 950739657 /nfs/dbraw/zinc/73/96/57/950739657.db2.gz NSBFWHICINENGQ-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](C(=O)N1Cc2cncnc2[C@H](C)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001576216647 950742583 /nfs/dbraw/zinc/74/25/83/950742583.db2.gz FCKQTGSEIAKRJJ-RKDXNWHRSA-N -1 1 340.351 -0.045 20 0 EBADMM CC(C)CCC(=O)NC[C@@H](O)CNc1nccnc1-c1nnn[n-]1 ZINC001574182759 950763698 /nfs/dbraw/zinc/76/36/98/950763698.db2.gz YGMASRDANWDCHB-SNVBAGLBSA-N -1 1 334.384 -0.018 20 0 EBADMM CC(C)CCC(=O)NC[C@@H](O)CNc1nccnc1-c1nn[n-]n1 ZINC001574182759 950763719 /nfs/dbraw/zinc/76/37/19/950763719.db2.gz YGMASRDANWDCHB-SNVBAGLBSA-N -1 1 334.384 -0.018 20 0 EBADMM C[C@@H](C(=O)N1C[C@@H](C)Cn2ncnc21)n1cnc(-c2nn[n-]n2)n1 ZINC001576218193 950781544 /nfs/dbraw/zinc/78/15/44/950781544.db2.gz LHKLCRNVTOWCFS-SFYZADRCSA-N -1 1 329.328 -0.706 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ncn(C)n1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574766335 950816842 /nfs/dbraw/zinc/81/68/42/950816842.db2.gz IGVCBRNUJNWMJN-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ncn(C)n1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574766335 950816865 /nfs/dbraw/zinc/81/68/65/950816865.db2.gz IGVCBRNUJNWMJN-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM CC(C)(C)c1nc[nH]c1C(=O)NCCn1cnc(-c2nn[n-]n2)n1 ZINC001573885577 950832601 /nfs/dbraw/zinc/83/26/01/950832601.db2.gz UEWOZYDAFHMRQD-UHFFFAOYSA-N -1 1 330.356 -0.091 20 0 EBADMM C[C@H]1[C@H](Nc2cncc(-c3nnn[n-]3)n2)CCN1C(=O)c1cn[nH]n1 ZINC001575934106 950849430 /nfs/dbraw/zinc/84/94/30/950849430.db2.gz QIAKUACNWZVNCT-JGVFFNPUSA-N -1 1 341.339 -0.510 20 0 EBADMM C[C@H]1[C@H](Nc2cncc(-c3nn[n-]n3)n2)CCN1C(=O)c1cn[nH]n1 ZINC001575934106 950849446 /nfs/dbraw/zinc/84/94/46/950849446.db2.gz QIAKUACNWZVNCT-JGVFFNPUSA-N -1 1 341.339 -0.510 20 0 EBADMM C[C@@H]1[C@H](Nc2cncc(-c3nnn[n-]3)n2)CCN1C(=O)c1cn[nH]n1 ZINC001575934104 950852238 /nfs/dbraw/zinc/85/22/38/950852238.db2.gz QIAKUACNWZVNCT-HTQZYQBOSA-N -1 1 341.339 -0.510 20 0 EBADMM C[C@@H]1[C@H](Nc2cncc(-c3nn[n-]n3)n2)CCN1C(=O)c1cn[nH]n1 ZINC001575934104 950852256 /nfs/dbraw/zinc/85/22/56/950852256.db2.gz QIAKUACNWZVNCT-HTQZYQBOSA-N -1 1 341.339 -0.510 20 0 EBADMM C[C@H](CNC(=O)c1cccc(=O)[nH]1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001575027500 951157096 /nfs/dbraw/zinc/15/70/96/951157096.db2.gz QWGGPAZZLCYSJJ-MRVPVSSYSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@H](CNC(=O)c1cccc(=O)[nH]1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575027500 951157101 /nfs/dbraw/zinc/15/71/01/951157101.db2.gz QWGGPAZZLCYSJJ-MRVPVSSYSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@@H](CNC(=O)c1cccc(=O)[nH]1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001575027501 951158272 /nfs/dbraw/zinc/15/82/72/951158272.db2.gz QWGGPAZZLCYSJJ-QMMMGPOBSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@@H](CNC(=O)c1cccc(=O)[nH]1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575027501 951158279 /nfs/dbraw/zinc/15/82/79/951158279.db2.gz QWGGPAZZLCYSJJ-QMMMGPOBSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@H](CNC(=O)c1cccnc1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575032223 951220551 /nfs/dbraw/zinc/22/05/51/951220551.db2.gz UJGGMVNCZYLSFQ-JTQLQIEISA-N -1 1 339.363 -0.048 20 0 EBADMM C[C@@H](CNC(=O)c1ccncn1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575034871 951257117 /nfs/dbraw/zinc/25/71/17/951257117.db2.gz PSTWRPBHIKNDNH-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1ccncn1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575034871 951257128 /nfs/dbraw/zinc/25/71/28/951257128.db2.gz PSTWRPBHIKNDNH-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1ccnnc1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575034933 951261706 /nfs/dbraw/zinc/26/17/06/951261706.db2.gz QRZJIWMVSJFCKA-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@@H](CNC(=O)c1ccnnc1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575034933 951261718 /nfs/dbraw/zinc/26/17/18/951261718.db2.gz QRZJIWMVSJFCKA-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@@H](CNC(=O)c1ccnn1C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001575035074 951267131 /nfs/dbraw/zinc/26/71/31/951267131.db2.gz UQGSAOVDZKCWIG-QMMMGPOBSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@@H](CNC(=O)c1ccnn1C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575035074 951267143 /nfs/dbraw/zinc/26/71/43/951267143.db2.gz UQGSAOVDZKCWIG-QMMMGPOBSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@@H](CNC(=O)c1ccn(C)n1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575035799 951282512 /nfs/dbraw/zinc/28/25/12/951282512.db2.gz LTKZZOAIQZVLAN-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@@H](CNC(=O)c1ccn(C)n1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575035799 951282524 /nfs/dbraw/zinc/28/25/24/951282524.db2.gz LTKZZOAIQZVLAN-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@@H](CNC(=O)c1cn[nH]c(=O)c1)Nc1nccnc1-c1nnn[n-]1 ZINC001575042902 951374853 /nfs/dbraw/zinc/37/48/53/951374853.db2.gz DBGWTMYQGFYDSJ-ZETCQYMHSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@@H](CNC(=O)c1cn[nH]c(=O)c1)Nc1nccnc1-c1nn[n-]n1 ZINC001575042902 951374869 /nfs/dbraw/zinc/37/48/69/951374869.db2.gz DBGWTMYQGFYDSJ-ZETCQYMHSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)c(=O)[nH]1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575043110 951379080 /nfs/dbraw/zinc/37/90/80/951379080.db2.gz PBZKOLDPWAYZBM-SSDOTTSWSA-N -1 1 344.339 -0.674 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)cn1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575043513 951397962 /nfs/dbraw/zinc/39/79/62/951397962.db2.gz SKIUTAVXFLGSFB-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)cn1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575043513 951397973 /nfs/dbraw/zinc/39/79/73/951397973.db2.gz SKIUTAVXFLGSFB-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@@H](CNC(=O)c1cnccn1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001575045763 951432813 /nfs/dbraw/zinc/43/28/13/951432813.db2.gz HEQNZZMAOPRVGI-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1cnccn1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575045763 951432820 /nfs/dbraw/zinc/43/28/20/951432820.db2.gz HEQNZZMAOPRVGI-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1cncn1C)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575046660 951444959 /nfs/dbraw/zinc/44/49/59/951444959.db2.gz QOZRSJHSBHXJIM-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@@H](CNC(=O)c1cncn1C)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575046660 951444963 /nfs/dbraw/zinc/44/49/63/951444963.db2.gz QOZRSJHSBHXJIM-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1cncn1C)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575047028 951452294 /nfs/dbraw/zinc/45/22/94/951452294.db2.gz XNGSFQNUHHKTIR-VIFPVBQESA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@H](CNC(=O)c1cncn1C)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575047028 951452297 /nfs/dbraw/zinc/45/22/97/951452297.db2.gz XNGSFQNUHHKTIR-VIFPVBQESA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)c1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575052523 951466046 /nfs/dbraw/zinc/46/60/46/951466046.db2.gz KZEHAWYUJWMQGI-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)c1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575052523 951466054 /nfs/dbraw/zinc/46/60/54/951466054.db2.gz KZEHAWYUJWMQGI-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)c1)Nc1nccnc1-c1nnn[n-]1 ZINC001575052793 951470612 /nfs/dbraw/zinc/47/06/12/951470612.db2.gz OIMWVHWPHLBYLN-QMMMGPOBSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)c1)Nc1nccnc1-c1nn[n-]n1 ZINC001575052793 951470617 /nfs/dbraw/zinc/47/06/17/951470617.db2.gz OIMWVHWPHLBYLN-QMMMGPOBSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@H](CNC(=O)c1cnsn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575055338 951502949 /nfs/dbraw/zinc/50/29/49/951502949.db2.gz QVLUYCXIIKZHJE-ZCFIWIBFSA-N -1 1 332.353 -0.840 20 0 EBADMM C[C@@H](CNC(=O)c1cnnn1C)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575055446 951506443 /nfs/dbraw/zinc/50/64/43/951506443.db2.gz UNWGTTMORJEDOU-QMMMGPOBSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@H](CNC(=O)c1cnns1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001575055645 951512460 /nfs/dbraw/zinc/51/24/60/951512460.db2.gz YTVPFGVRYBBCKH-ZETCQYMHSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@H](CNC(=O)c1cnns1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575055645 951512467 /nfs/dbraw/zinc/51/24/67/951512467.db2.gz YTVPFGVRYBBCKH-ZETCQYMHSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@H](CNC(=O)c1csnn1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575060897 951552539 /nfs/dbraw/zinc/55/25/39/951552539.db2.gz JDTOUDOWFCMLDK-ZCFIWIBFSA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@H](CNC(=O)c1csnn1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575060897 951552543 /nfs/dbraw/zinc/55/25/43/951552543.db2.gz JDTOUDOWFCMLDK-ZCFIWIBFSA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@@H](CNC(=O)c1cscn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575061235 951554291 /nfs/dbraw/zinc/55/42/91/951554291.db2.gz LWAFFABVIMGSHO-ZETCQYMHSA-N -1 1 331.365 -0.235 20 0 EBADMM O=C([O-])COCCNC(=O)N1CCC[C@@H](N2CCOCC2)CC1 ZINC001595113755 951929242 /nfs/dbraw/zinc/92/92/42/951929242.db2.gz MIFLXUZKGNRQND-CYBMUJFWSA-N -1 1 329.397 -0.016 20 0 EBADMM C[C@](CNc1ccc(-c2nnn[n-]2)nn1)(NC(=O)CC(N)=O)C1CC1 ZINC001575129273 952078738 /nfs/dbraw/zinc/07/87/38/952078738.db2.gz SIDCOCQUYDAOIS-CQSZACIVSA-N -1 1 345.367 -0.771 20 0 EBADMM C[C@](CNc1ccc(-c2nn[n-]n2)nn1)(NC(=O)CC(N)=O)C1CC1 ZINC001575129273 952078745 /nfs/dbraw/zinc/07/87/45/952078745.db2.gz SIDCOCQUYDAOIS-CQSZACIVSA-N -1 1 345.367 -0.771 20 0 EBADMM C[C@@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)[C@@H]1COCCO1 ZINC001575132240 952092341 /nfs/dbraw/zinc/09/23/41/952092341.db2.gz DVZWJZLAFIXKJT-ONGXEEELSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)[C@@H]1COCCO1 ZINC001575132240 952092352 /nfs/dbraw/zinc/09/23/52/952092352.db2.gz DVZWJZLAFIXKJT-ONGXEEELSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)c1cn(C)nn1 ZINC001575137411 952139601 /nfs/dbraw/zinc/13/96/01/952139601.db2.gz YDIKAKFAANBYET-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)c1cn(C)nn1 ZINC001575137411 952139608 /nfs/dbraw/zinc/13/96/08/952139608.db2.gz YDIKAKFAANBYET-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)[C@]1(C)CCOC1 ZINC001575141486 952144967 /nfs/dbraw/zinc/14/49/67/952144967.db2.gz HLNUOPCSPPEZMS-MEBBXXQBSA-N -1 1 346.395 -0.236 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1cnco1 ZINC001575145137 952178560 /nfs/dbraw/zinc/17/85/60/952178560.db2.gz RDCOOSVSFXJJDB-MRVPVSSYSA-N -1 1 329.324 -0.361 20 0 EBADMM C[C@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)c1cn[nH]n1 ZINC001575156909 952259289 /nfs/dbraw/zinc/25/92/89/952259289.db2.gz IIQDUFKQDIZBFJ-SSDOTTSWSA-N -1 1 329.328 -0.653 20 0 EBADMM C[C@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)c1cn[nH]n1 ZINC001575156909 952259297 /nfs/dbraw/zinc/25/92/97/952259297.db2.gz IIQDUFKQDIZBFJ-SSDOTTSWSA-N -1 1 329.328 -0.653 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)c1cnnn1C ZINC001575179659 952413433 /nfs/dbraw/zinc/41/34/33/952413433.db2.gz QILQCTSRNPBIOU-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)c1cnnn1C ZINC001575179659 952413437 /nfs/dbraw/zinc/41/34/37/952413437.db2.gz QILQCTSRNPBIOU-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM O=C([O-])[C@H]1CC(C(=O)NCCN2CC[C@H](O)C2)=NN1c1ccccc1 ZINC001594757919 953478325 /nfs/dbraw/zinc/47/83/25/953478325.db2.gz CXNDMRYVNHDKFG-DZGCQCFKSA-N -1 1 346.387 -0.111 20 0 EBADMM CCc1nc(CNC(=O)C2(C(=O)[O-])CCS(=O)(=O)CC2)n[nH]1 ZINC001593649590 953701107 /nfs/dbraw/zinc/70/11/07/953701107.db2.gz GWXNOOCECWEBMP-UHFFFAOYSA-N -1 1 330.366 -0.737 20 0 EBADMM CN(C)CCN(CC(=O)[O-])S(=O)(=O)c1cnc2n1CCCC2 ZINC001593700369 954107164 /nfs/dbraw/zinc/10/71/64/954107164.db2.gz LZZSTZQLWNFWHG-UHFFFAOYSA-N -1 1 330.410 -0.144 20 0 EBADMM C[C@@H]1CCN(CN2CC3(CCOCC3)[C@@H](O)C2=O)C[C@H]1C(=O)[O-] ZINC001589354985 954120277 /nfs/dbraw/zinc/12/02/77/954120277.db2.gz KHNJQGMEVRPQPZ-UPJWGTAASA-N -1 1 326.393 -0.014 20 0 EBADMM C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)N1CCS(=O)(=O)C[C@@H]1C(=O)[O-] ZINC001589364428 954178919 /nfs/dbraw/zinc/17/89/19/954178919.db2.gz SOAIGWLFPCSSGQ-FXAINCCUSA-N -1 1 341.389 -0.214 20 0 EBADMM O=C([O-])[C@H]1CC(=O)N(CN2CC[C@@H](C(=O)NCC(F)(F)F)C2)C1 ZINC001594899046 954601904 /nfs/dbraw/zinc/60/19/04/954601904.db2.gz YUHQHMBYGIOCQW-BDAKNGLRSA-N -1 1 337.298 -0.123 20 0 EBADMM CN1CCC[C@@H](CNC(=O)C2(C(=O)[O-])CCS(=O)(=O)CC2)C1 ZINC001593779551 954628239 /nfs/dbraw/zinc/62/82/39/954628239.db2.gz PPQOMMLQZUANKB-NSHDSACASA-N -1 1 332.422 -0.276 20 0 EBADMM CNC(=O)NC[C@H]1CN(CC2(O)CCC(C(=O)[O-])CC2)CCO1 ZINC001593795591 954807783 /nfs/dbraw/zinc/80/77/83/954807783.db2.gz YYBFUQNRYFVGFQ-AVERBVTBSA-N -1 1 329.397 -0.378 20 0 EBADMM C[C@H](CN(C)C(=O)C(=O)NC[C@H]1CCN1C1CCOCC1)C(=O)[O-] ZINC001589020497 955465814 /nfs/dbraw/zinc/46/58/14/955465814.db2.gz UDMBWDGQNCZIRY-DGCLKSJQSA-N -1 1 341.408 -0.465 20 0 EBADMM Cn1nnnc1CN1CCC[C@@H](NC(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC001594602322 956145544 /nfs/dbraw/zinc/14/55/44/956145544.db2.gz VIJCEIKONPKIEX-HTRCEHHLSA-N -1 1 336.274 -0.694 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)N[C@@H]2CC[C@H](C(=O)[O-])C2)C1 ZINC001594015415 957032323 /nfs/dbraw/zinc/03/23/23/957032323.db2.gz ZKAJCRAJYMTOKS-TUAOUCFPSA-N -1 1 327.381 -0.807 20 0 EBADMM C[C@H](CNC(=O)C(=O)N1CCC(CN2CCOCC2)CC1)C(=O)[O-] ZINC001603158895 972259578 /nfs/dbraw/zinc/25/95/78/972259578.db2.gz JVHILTVOZDGHLF-GFCCVEGCSA-N -1 1 341.408 -0.606 20 0 EBADMM CS(=O)(=O)[C@@H]1CCN(C(=O)NC2CCN(CC(=O)[O-])CC2)C1 ZINC001594163304 958920995 /nfs/dbraw/zinc/92/09/95/958920995.db2.gz CGGBTJNLDLLKBA-LLVKDONJSA-N -1 1 333.410 -0.636 20 0 EBADMM C[C@@H]1CN(C2CCOCC2)CCN1C(=O)[C@H]1CC(C(=O)[O-])=NO1 ZINC001594221300 959377049 /nfs/dbraw/zinc/37/70/49/959377049.db2.gz SEMKZVAOJMCRDS-ZWNOBZJWSA-N -1 1 325.365 -0.072 20 0 EBADMM C[C@](O)(CNC(=O)C(=O)Nc1ccn(-c2ccncc2)n1)C(=O)[O-] ZINC001603255999 972432979 /nfs/dbraw/zinc/43/29/79/972432979.db2.gz BBXYWQDVNPIRFD-AWEZNQCLSA-N -1 1 333.304 -0.842 20 0 EBADMM CC(C)(C)[C@H](C(=O)[O-])C(=O)NCc1n[nH]c(CS(C)(=O)=O)n1 ZINC001588633969 959633892 /nfs/dbraw/zinc/63/38/92/959633892.db2.gz GIISBJQCBPTBDB-VIFPVBQESA-N -1 1 332.382 -0.288 20 0 EBADMM CS(=O)(=O)c1ccc(C(=O)NC2CCN(CC(=O)[O-])CC2)o1 ZINC001604936520 972500869 /nfs/dbraw/zinc/50/08/69/972500869.db2.gz NCPTVZLSOOXJLR-UHFFFAOYSA-N -1 1 330.362 -0.038 20 0 EBADMM C[C@@H]1CN(CCO)[C@@H](C)CN1C(=O)NCCOCCOCC(=O)[O-] ZINC001573750131 960552498 /nfs/dbraw/zinc/55/24/98/960552498.db2.gz VKOHNDJWTUITBJ-QWHCGFSZSA-N -1 1 347.412 -0.799 20 0 EBADMM CS(=O)(=O)c1cccnc1C(=O)NC1CCN(CC(=O)[O-])CC1 ZINC001604944042 972513651 /nfs/dbraw/zinc/51/36/51/972513651.db2.gz SFTYYAAZWQNPPD-UHFFFAOYSA-N -1 1 341.389 -0.236 20 0 EBADMM Cn1ncc(C(=O)N2CCC[C@@H](NC(=O)C(F)(F)F)[C@@H]2C(=O)[O-])n1 ZINC001574467887 961595696 /nfs/dbraw/zinc/59/56/96/961595696.db2.gz XARNHRIBECFOSL-HTRCEHHLSA-N -1 1 349.269 -0.449 20 0 EBADMM CC(C)[C@H](CNS(=O)(=O)CCCN1CCN(C)CC1)C(=O)[O-] ZINC001588831314 961727183 /nfs/dbraw/zinc/72/71/83/961727183.db2.gz SVCXXFRROCOOEV-ZDUSSCGKSA-N -1 1 335.470 -0.100 20 0 EBADMM COC(=O)[C@@H](Cc1cnc[nH]1)NC(=O)[C@H]1C[C@@H](C)[C@H](C(=O)[O-])O1 ZINC001571165086 961989713 /nfs/dbraw/zinc/98/97/13/961989713.db2.gz ZSYNLMDQSGSGRF-QCNRFFRDSA-N -1 1 325.321 -0.512 20 0 EBADMM CSc1ncc(C(=O)N2CC[N@H+](C)C[C@H](C(=O)[O-])C2)c(=O)[n-]1 ZINC001571173348 962069195 /nfs/dbraw/zinc/06/91/95/962069195.db2.gz QUPDYJMBPAAZMZ-QMMMGPOBSA-N -1 1 326.378 -0.008 20 0 EBADMM CSc1ncc(C(=O)N2CC[N@@H+](C)C[C@H](C(=O)[O-])C2)c(=O)[n-]1 ZINC001571173348 962069218 /nfs/dbraw/zinc/06/92/18/962069218.db2.gz QUPDYJMBPAAZMZ-QMMMGPOBSA-N -1 1 326.378 -0.008 20 0 EBADMM NS(=O)(=O)c1ccc(CN2CCC([C@@H](O)C(=O)[O-])CC2)cc1 ZINC001571182121 962171506 /nfs/dbraw/zinc/17/15/06/962171506.db2.gz UDBLIRYLUFCGIB-CYBMUJFWSA-N -1 1 328.390 -0.009 20 0 EBADMM O=C([O-])[C@H]1C[C@@H](S(=O)(=O)NCc2n[nH]c([C@@H]3CCCO3)n2)C1 ZINC001571190639 962245075 /nfs/dbraw/zinc/24/50/75/962245075.db2.gz LQJWSADPCRMRON-YIZRAAEISA-N -1 1 330.366 -0.061 20 0 EBADMM CCOC(=O)CN(C)C(=O)CN(CCC(=O)[O-])C[C@@H]1CCCO1 ZINC001571192112 962272284 /nfs/dbraw/zinc/27/22/84/962272284.db2.gz ZXKKAPNSLPUBSG-LBPRGKRZSA-N -1 1 330.381 -0.036 20 0 EBADMM O=C([O-])c1nccc(NC[C@@H]2CCN(C(=O)Cc3ncn[nH]3)C2)n1 ZINC001571196992 962325288 /nfs/dbraw/zinc/32/52/88/962325288.db2.gz TUZWWLVTBQFAGR-VIFPVBQESA-N -1 1 331.336 -0.782 20 0 EBADMM Cc1nc(CC(=O)N[C@H]2C[C@H](CNC(=O)[C@@H]3C[C@@H]3C(=O)[O-])C2)n[nH]1 ZINC001571224435 962574777 /nfs/dbraw/zinc/57/47/77/962574777.db2.gz WBBQZBXFGMEDNX-DBIOUOCHSA-N -1 1 335.364 -0.613 20 0 EBADMM O=C([O-])CC[C@@H]1C[C@@H](C(=O)N2CCC(O)(c3nn[nH]n3)CC2)CO1 ZINC001574680687 962584187 /nfs/dbraw/zinc/58/41/87/962584187.db2.gz CXLSTIXNHFDEFL-NXEZZACHSA-N -1 1 339.352 -0.720 20 0 EBADMM COC[C@@]1(C(=O)[O-])CN(C(=O)N[C@H]2CCc3[nH]cnc3C2)CCO1 ZINC001574142050 962584786 /nfs/dbraw/zinc/58/47/86/962584786.db2.gz RNBYIRCAPLDMNC-ZUZCIYMTSA-N -1 1 338.364 -0.221 20 0 EBADMM C[C@@H]1CN(C(=O)c2cccn(CC(=O)[O-])c2=O)C[C@H](C)N1CCO ZINC001605063669 972679407 /nfs/dbraw/zinc/67/94/07/972679407.db2.gz YWOVRZWMXYBQSY-TXEJJXNPSA-N -1 1 337.376 -0.540 20 0 EBADMM CN1CCCN(C(=O)C(=O)Nc2ccn(C(C)(C)C(=O)[O-])n2)CC1 ZINC001604216389 972696587 /nfs/dbraw/zinc/69/65/87/972696587.db2.gz MVJRNHPRMKLEFH-UHFFFAOYSA-N -1 1 337.380 -0.195 20 0 EBADMM CN1CCC[C@H]1CNC(=O)C(=O)Nc1ccn(C(C)(C)C(=O)[O-])n1 ZINC001604216530 972698260 /nfs/dbraw/zinc/69/82/60/972698260.db2.gz PRGLIYBNTBSELZ-JTQLQIEISA-N -1 1 337.380 -0.148 20 0 EBADMM O=C([O-])[C@@H]1CN(C(=O)NCc2n[nH]c(C3CCOCC3)n2)CCO1 ZINC001606038160 973138280 /nfs/dbraw/zinc/13/82/80/973138280.db2.gz GZQJPNLWFGFDGR-JTQLQIEISA-N -1 1 339.352 -0.306 20 0 EBADMM C[C@H]1CN(S(=O)(=O)CCCN2CCN(C)CC2)CC[C@@H]1C(=O)[O-] ZINC001603463846 973325315 /nfs/dbraw/zinc/32/53/15/973325315.db2.gz MHLDYXNNWMIQMD-KBPBESRZSA-N -1 1 347.481 -0.004 20 0 EBADMM COC[C@@]1(C(=O)[O-])CN(C(=O)C2CN([C@H]3CCOC3)C2)CCO1 ZINC001604550438 973556624 /nfs/dbraw/zinc/55/66/24/973556624.db2.gz IDXNSCYSSJWHLQ-SWLSCSKDSA-N -1 1 328.365 -0.964 20 0 EBADMM O=C([O-])COCCOCCNC(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC001606284967 973674831 /nfs/dbraw/zinc/67/48/31/973674831.db2.gz VBTOGRGOLOMWJV-JTQLQIEISA-N -1 1 326.353 -0.316 20 0 EBADMM COCCN(CCC(=O)[O-])C(=O)N[C@H](C)CN1CCN(C)CC1 ZINC001604597053 973690719 /nfs/dbraw/zinc/69/07/19/973690719.db2.gz YFTYPYVNUDYURS-CYBMUJFWSA-N -1 1 330.429 -0.245 20 0 EBADMM COCCN(CCC(=O)[O-])C(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001604599835 973700171 /nfs/dbraw/zinc/70/01/71/973700171.db2.gz FHNIFVJLTKDSAL-CYBMUJFWSA-N -1 1 330.429 -0.245 20 0 EBADMM O=C([O-])c1cccc(CS(=O)(=O)N2CCN3C[C@H](O)C[C@H]3C2)c1 ZINC000405250983 973802943 /nfs/dbraw/zinc/80/29/43/973802943.db2.gz JRGZYFIIXZVPTN-UONOGXRCSA-N -1 1 340.401 -0.035 20 0 EBADMM CCN1CCN(CC(=O)Nc2nc3n(c2C(=O)[O-])CCOC3)CC1 ZINC001603764120 974213246 /nfs/dbraw/zinc/21/32/46/974213246.db2.gz UPCYDHPENFFIJR-UHFFFAOYSA-N -1 1 337.380 -0.313 20 0 EBADMM CC(C)[C@H](NC(=O)CN1C[C@]2(C)C(=O)NC(=O)[C@@]2(C)C1)C(=O)[O-] ZINC001591867094 976180053 /nfs/dbraw/zinc/18/00/53/976180053.db2.gz PJCTZGSPJBHXJO-COLVAYQJSA-N -1 1 325.365 -0.804 20 0 EBADMM C[C@H](CN(C)C(=O)CCc1nc[nH]n1)Nc1ccnc(C(=O)[O-])n1 ZINC001592568397 978462759 /nfs/dbraw/zinc/46/27/59/978462759.db2.gz YOXCXFDBDWBAEC-SECBINFHSA-N -1 1 333.352 -0.394 20 0 EBADMM C[C@@H](CN(C)c1ccnc(C(=O)[O-])n1)NC(=O)CCc1nc[nH]n1 ZINC001592588222 978511681 /nfs/dbraw/zinc/51/16/81/978511681.db2.gz DCPFVZHMEXFQDH-VIFPVBQESA-N -1 1 333.352 -0.133 20 0 EBADMM C[C@@H](CN(C)c1ccnc(C(=O)[O-])n1)NC(=O)CCc1c[nH]nn1 ZINC001592588922 978514298 /nfs/dbraw/zinc/51/42/98/978514298.db2.gz SETGXLCOQUFLAT-VIFPVBQESA-N -1 1 333.352 -0.133 20 0 EBADMM C[C@@H](CN(C)c1ccnc(C(=O)[O-])n1)NC(=O)CCc1cnn[nH]1 ZINC001592588922 978514303 /nfs/dbraw/zinc/51/43/03/978514303.db2.gz SETGXLCOQUFLAT-VIFPVBQESA-N -1 1 333.352 -0.133 20 0 EBADMM C[C@@H](CN1CCN(C)CC1)NS(=O)(=O)c1cc(C(=O)[O-])co1 ZINC001592616635 978580675 /nfs/dbraw/zinc/58/06/75/978580675.db2.gz XJQGSTRZKUQODB-JTQLQIEISA-N -1 1 331.394 -0.108 20 0 EBADMM C[C@H](NC(=O)CN[C@@](C)(CC(=O)[O-])c1ccncc1)C(=O)N(C)C ZINC001593029608 980301059 /nfs/dbraw/zinc/30/10/59/980301059.db2.gz VIXKXZNUTRZHEE-ZBEGNZNMSA-N -1 1 336.392 -0.046 20 0 EBADMM CC[C@@H](O)Cn1cc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)nn1 ZINC001595331766 980574923 /nfs/dbraw/zinc/57/49/23/980574923.db2.gz KJLIIIGAPQBFLS-LLVKDONJSA-N -1 1 339.396 -0.330 20 0 EBADMM CCC1(CC(=O)N(CCN2CCN(C)CC2)CC(=O)[O-])COC1 ZINC001595372297 980860868 /nfs/dbraw/zinc/86/08/68/980860868.db2.gz DCHUTVCHRDMRIJ-UHFFFAOYSA-N -1 1 327.425 -0.036 20 0 EBADMM CCCn1cc(C(=O)N(CCN2CCN(C)CC2)CC(=O)[O-])nn1 ZINC001596110940 983437443 /nfs/dbraw/zinc/43/74/43/983437443.db2.gz CBSUMZRARRSDLS-UHFFFAOYSA-N -1 1 338.412 -0.538 20 0 EBADMM CCN(C(=O)C(=O)N1CC[C@@](OC)(C(=O)[O-])C1)[C@@H]1CCN(C)C1 ZINC001596129141 983475970 /nfs/dbraw/zinc/47/59/70/983475970.db2.gz ZTKZVJCCBXSIKJ-ABAIWWIYSA-N -1 1 327.381 -0.759 20 0 EBADMM CCN(C(=O)C(=O)N1CC[C@@](OC)(C(=O)[O-])C1)[C@H]1CCN(C)C1 ZINC001596129145 983476009 /nfs/dbraw/zinc/47/60/09/983476009.db2.gz ZTKZVJCCBXSIKJ-NHYWBVRUSA-N -1 1 327.381 -0.759 20 0 EBADMM CCN(C(=O)C(=O)N1CC[C@](OC)(C(=O)[O-])C1)[C@H]1CCN(C)C1 ZINC001596129147 983476084 /nfs/dbraw/zinc/47/60/84/983476084.db2.gz ZTKZVJCCBXSIKJ-XHDPSFHLSA-N -1 1 327.381 -0.759 20 0 EBADMM CCOC(=O)[C@@H](CO)NS(=O)(=O)CC1(C(=O)[O-])CCCCC1 ZINC001596535907 984372524 /nfs/dbraw/zinc/37/25/24/984372524.db2.gz XLOVQTCQZZYVDO-SNVBAGLBSA-N -1 1 337.394 -0.135 20 0 EBADMM CC(=O)N1CCC[C@H]1C(=O)N(CCN1CCN(C)CC1)CC(=O)[O-] ZINC001589074863 985225522 /nfs/dbraw/zinc/22/55/22/985225522.db2.gz AITDODWJEAHQEM-AWEZNQCLSA-N -1 1 340.424 -0.842 20 0 EBADMM Cc1nc(-c2ncccn2)[nH]c(=O)c1CC(=O)N1CC(O)(C(=O)[O-])C1 ZINC001599941265 985457423 /nfs/dbraw/zinc/45/74/23/985457423.db2.gz MLIMULBIHWDOBN-UHFFFAOYSA-N -1 1 345.315 -0.852 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@@H]1CC(c2cnn(C)c2)=NO1 ZINC001594515421 985875085 /nfs/dbraw/zinc/87/50/85/985875085.db2.gz OEECNKDWEQOWLJ-RISCZKNCSA-N -1 1 349.391 -0.080 20 0 EBADMM C[C@@H]1CN2CCN1C[C@H]2C(=O)N(C)[C@@H](COC1CCC1)C(=O)[O-] ZINC001594582902 986446249 /nfs/dbraw/zinc/44/62/49/986446249.db2.gz BFSFSQXIMSYDMY-XBFCOCLRSA-N -1 1 325.409 -0.145 20 0 EBADMM CCS(=O)(=O)N1CCC[C@H](C(=O)N2CCN(CC(=O)[O-])CC2)C1 ZINC001597219334 987149442 /nfs/dbraw/zinc/14/94/42/987149442.db2.gz MCTBZMRJPZEELY-LBPRGKRZSA-N -1 1 347.437 -0.723 20 0 EBADMM CCS(=O)(=O)N[C@H](C)C(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C ZINC001597223873 987158582 /nfs/dbraw/zinc/15/85/82/987158582.db2.gz BJYDNHWTDQJERU-WDEREUQCSA-N -1 1 335.426 -0.678 20 0 EBADMM CCS(=O)(CC)=NS(=O)(=O)NC[C@]1(C(=O)[O-])CCOC1 ZINC001597245661 987224931 /nfs/dbraw/zinc/22/49/31/987224931.db2.gz KUAJTIKKKSDUBJ-SNVBAGLBSA-N -1 1 328.412 -0.180 20 0 EBADMM CCS(=O)(CC)=NS(=O)(=O)N[C@@H](C)C(=O)N[C@@H](C)C(=O)[O-] ZINC001597246423 987227590 /nfs/dbraw/zinc/22/75/90/987227590.db2.gz SGGGSFZYHWDUBO-YUMQZZPRSA-N -1 1 343.427 -0.694 20 0 EBADMM CC(C)(C(=O)[O-])N1CCN(C(=O)C(=O)N[C@@H]2CCCOCC2)CC1 ZINC001589620541 987497453 /nfs/dbraw/zinc/49/74/53/987497453.db2.gz JJFDAYXGUKKLLT-GFCCVEGCSA-N -1 1 341.408 -0.321 20 0 EBADMM C[C@@H](O)[C@H](NC(=O)CCN1CCN(c2ccccn2)CC1)C(=O)[O-] ZINC001599843259 988886495 /nfs/dbraw/zinc/88/64/95/988886495.db2.gz CEUGTZROYRZXRK-DOMZBBRYSA-N -1 1 336.392 -0.456 20 0 EBADMM C[C@@H]1CN(S(=O)(=O)CCCN2CCN(C)CC2)C[C@H]1CC(=O)[O-] ZINC001599867610 989295876 /nfs/dbraw/zinc/29/58/76/989295876.db2.gz DQTYQXRTDIXMQQ-ZIAGYGMSSA-N -1 1 347.481 -0.004 20 0 EBADMM CN(C)S(=O)(=O)c1ccc(O)c(C(=O)N[C@@H](CF)C(=O)[O-])c1 ZINC001598277430 991160434 /nfs/dbraw/zinc/16/04/34/991160434.db2.gz ZJQZFTMXOKVSGI-VIFPVBQESA-N -1 1 334.325 -0.205 20 0 EBADMM CC(C)[C@H]1CNCCN1C(=O)C(=O)N1CCC([C@@H](O)C(=O)[O-])CC1 ZINC001591096890 991695566 /nfs/dbraw/zinc/69/55/66/991695566.db2.gz XHGATXRAFRGHSO-CHWSQXEVSA-N -1 1 341.408 -0.873 20 0 EBADMM CN(C[C@H](O)CNc1ncc(C(=O)[O-])cn1)C(=O)Cc1ccn[nH]1 ZINC001598435520 991818686 /nfs/dbraw/zinc/81/86/86/991818686.db2.gz FUYYOTLYXAANMY-LLVKDONJSA-N -1 1 334.336 -0.628 20 0 EBADMM CN(CCC(=O)[O-])S(=O)(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001598470097 992012629 /nfs/dbraw/zinc/01/26/29/992012629.db2.gz GHUMSJUWFRUCPA-QMMMGPOBSA-N -1 1 333.370 -0.603 20 0 EBADMM CN(CCCN(C)c1ncc(C(=O)[O-])cn1)C(=O)Cc1ncn[nH]1 ZINC001598479614 992127530 /nfs/dbraw/zinc/12/75/30/992127530.db2.gz ZOSYPHCPKUHBMN-UHFFFAOYSA-N -1 1 333.352 -0.180 20 0 EBADMM CN(CCNC(=O)[C@@H]1C[C@@H]1C(=O)[O-])CC1CCS(=O)(=O)CC1 ZINC001598489732 992268055 /nfs/dbraw/zinc/26/80/55/992268055.db2.gz WRBWBDFMVCJIRM-NEPJUHHUSA-N -1 1 332.422 -0.420 20 0 EBADMM CN(CCNC(=O)c1ccc(S(C)(=O)=O)cc1N)CC(=O)[O-] ZINC001598493369 992335513 /nfs/dbraw/zinc/33/55/13/992335513.db2.gz YYWOSURVWOITAS-UHFFFAOYSA-N -1 1 329.378 -0.582 20 0 EBADMM CC(C)CN1C[C@@H]2CN(CCC(=O)NCC(=O)[O-])CCN2C1=O ZINC001591352169 992342674 /nfs/dbraw/zinc/34/26/74/992342674.db2.gz LRZMIRPDLWSSQT-LBPRGKRZSA-N -1 1 326.397 -0.345 20 0 EBADMM CC(C)C[C@H](NC(=O)CNC(=O)[C@H]1CN2CCN1C[C@H]2C)C(=O)[O-] ZINC001591457471 992563669 /nfs/dbraw/zinc/56/36/69/992563669.db2.gz IIOHBPNZPBLDTJ-FRRDWIJNSA-N -1 1 340.424 -0.894 20 0 EBADMM CC(C)NC(=O)CN(C)CC(=O)N1CCN(CCC(=O)[O-])[C@H](C)C1 ZINC001591640923 992955698 /nfs/dbraw/zinc/95/56/98/992955698.db2.gz CSHSERARNFTBMC-CYBMUJFWSA-N -1 1 342.440 -0.550 20 0 EBADMM CN1CC(=O)N(CCN2CCC[C@H](n3cc(C(=O)[O-])nn3)C2)C1=O ZINC001598559899 993078945 /nfs/dbraw/zinc/07/89/45/993078945.db2.gz FNTBRTWXAOALKH-JTQLQIEISA-N -1 1 336.352 -0.493 20 0 EBADMM CN1CCCC[C@H]1C(=O)N[C@@H]1CN(c2ncc(C(=O)[O-])cn2)C[C@H]1O ZINC001598598307 993538744 /nfs/dbraw/zinc/53/87/44/993538744.db2.gz GZTRPQCKJOACEU-FRRDWIJNSA-N -1 1 349.391 -0.675 20 0 EBADMM C[N@H+]1CCCN(CCC[N-]S(=O)(=O)c2cc(C(=O)[O-])no2)CC1 ZINC001598601635 993577247 /nfs/dbraw/zinc/57/72/47/993577247.db2.gz YIFWXDABCJYTKG-UHFFFAOYSA-N -1 1 346.409 -0.321 20 0 EBADMM Cn1nc(C2CC2)cc1NC(=O)C(=O)N1CCN(C)C[C@H](C(=O)[O-])C1 ZINC001598603392 993601061 /nfs/dbraw/zinc/60/10/61/993601061.db2.gz IEDVJFZWWGZMRH-NSHDSACASA-N -1 1 349.391 -0.289 20 0 EBADMM CN1CCN(C(=O)c2ccn(CCn3cccn3)n2)C[C@H](C(=O)[O-])C1 ZINC001598612973 993817282 /nfs/dbraw/zinc/81/72/82/993817282.db2.gz FJKQPCVDUAAEIW-CYBMUJFWSA-N -1 1 346.391 -0.132 20 0 EBADMM C[N@H+]1CCN(C(=O)c2c[nH]c3nc(=O)[n-]c(=O)c-3c2)C[C@@H](C(=O)[O-])C1 ZINC001598613260 993824097 /nfs/dbraw/zinc/82/40/97/993824097.db2.gz OCRIVCBHKOSQIL-VIFPVBQESA-N -1 1 347.331 -0.476 20 0 EBADMM CN1CCN(C(=O)c2cn(CC3(C)COC3)nn2)C[C@H](C(=O)[O-])C1 ZINC001598613465 993829234 /nfs/dbraw/zinc/82/92/34/993829234.db2.gz VGYPLNUJPQTOJM-LLVKDONJSA-N -1 1 337.380 -0.597 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)c2ccc(C(N)=O)cc2)CC1 ZINC001598616080 993881557 /nfs/dbraw/zinc/88/15/57/993881557.db2.gz IMBDFMRVSCEQNP-UHFFFAOYSA-N -1 1 348.403 -0.440 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)CC2(O)CCCC2)CC1 ZINC001598618002 993930616 /nfs/dbraw/zinc/93/06/16/993930616.db2.gz OLPRNZATDNPRNZ-UHFFFAOYSA-N -1 1 327.425 -0.158 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)/C=C\c2ccncn2)CC1 ZINC001598618251 993935756 /nfs/dbraw/zinc/93/57/56/993935756.db2.gz XWARLRFQRZEQIH-IHWYPQMZSA-N -1 1 333.392 -0.350 20 0 EBADMM CNC(=O)C(C)(C)CNC(=O)N1CCN(CCC(=O)[O-])C[C@H]1C ZINC001598630851 994172167 /nfs/dbraw/zinc/17/21/67/994172167.db2.gz SVPJHIDJPZMPPA-LLVKDONJSA-N -1 1 328.413 -0.051 20 0 EBADMM CNS(=O)(=O)c1ccc([C@H](C)N[C@@H](C)C(=O)NCC(=O)[O-])cc1 ZINC001598672781 994786748 /nfs/dbraw/zinc/78/67/48/994786748.db2.gz FYAKYCMUCWJVAJ-UWVGGRQHSA-N -1 1 343.405 -0.165 20 0 EBADMM COC(=O)[C@@H]1CC[C@@H](C(=O)[O-])CN1C(=O)CN(C)[C@@H]1CCC[C@H]1O ZINC001598764535 995815187 /nfs/dbraw/zinc/81/51/87/995815187.db2.gz CAWPNKDEMCEEQB-FVCCEPFGSA-N -1 1 342.392 -0.304 20 0 EBADMM COC(=O)[C@H]1CC[C@@H](C(=O)[O-])CN1C(=O)CN(C)[C@@H]1CCC[C@H]1O ZINC001598764534 995815246 /nfs/dbraw/zinc/81/52/46/995815246.db2.gz CAWPNKDEMCEEQB-FDYHWXHSSA-N -1 1 342.392 -0.304 20 0 EBADMM COC(=O)[C@H]1CN(C(=O)c2cn[nH]c2-c2cnn(C)c2)C[C@H]1C(=O)[O-] ZINC001598814697 996260050 /nfs/dbraw/zinc/26/00/50/996260050.db2.gz CRNZBWLOYIAJIJ-MNOVXSKESA-N -1 1 347.331 -0.244 20 0 EBADMM COC(=O)C[C@@H](C)CC(=O)N1CCN([C@@]2(C(=O)[O-])CCOC2)CC1 ZINC001598836136 996486241 /nfs/dbraw/zinc/48/62/41/996486241.db2.gz UUEFNXIGNOTUNY-LRDDRELGSA-N -1 1 342.392 -0.036 20 0 EBADMM CO[C@@]1(C(=O)[O-])CCN(CC(=O)NCC(C)(C)N2CCOCC2)C1 ZINC001599076523 996882310 /nfs/dbraw/zinc/88/23/10/996882310.db2.gz ORIXPLPLUOYSCD-INIZCTEOSA-N -1 1 343.424 -0.611 20 0 EBADMM COc1ccc(C(=O)[O-])c(S(=O)(=O)NC[C@@]2(O)CCN(C)C2)c1 ZINC001599494263 996994816 /nfs/dbraw/zinc/99/48/16/996994816.db2.gz JDDPFKLXTNLBOF-AWEZNQCLSA-N -1 1 344.389 -0.262 20 0 EBADMM COC[C@H]1CNCCN1C(=O)C(=O)NC[C@@H](CC(=O)[O-])C1CC1 ZINC001599208100 997447927 /nfs/dbraw/zinc/44/79/27/997447927.db2.gz PFAJBCVCEHRCHW-VXGBXAGGSA-N -1 1 327.381 -0.950 20 0 EBADMM COCCCNC(=O)C1CCN([C@@H](C)C(=O)NCC(=O)[O-])CC1 ZINC001599225774 997755497 /nfs/dbraw/zinc/75/54/97/997755497.db2.gz ROGHLELMWAMDHI-NSHDSACASA-N -1 1 329.397 -0.560 20 0 EBADMM CO[C@H]1CCn2cc(C(=O)N3CCN(C)C[C@H](C(=O)[O-])C3)nc2C1 ZINC001599128210 997890082 /nfs/dbraw/zinc/89/00/82/997890082.db2.gz SVFQBHCHTPMLNP-RYUDHWBXSA-N -1 1 336.392 -0.067 20 0 EBADMM COCCN(CCCN1C(=O)CS/C1=C\C(=O)[O-])[C@@H](C)C(N)=O ZINC001599236373 997953848 /nfs/dbraw/zinc/95/38/48/997953848.db2.gz BKULRXJTRKYAJI-UVIKPUKZSA-N -1 1 345.421 -0.300 20 0 EBADMM COCCNC(=O)[C@@H]1CC[C@H](C)N(CN2C[C@@H](C(=O)[O-])CC2=O)C1 ZINC001599242670 998073325 /nfs/dbraw/zinc/07/33/25/998073325.db2.gz SFFJSLCOQLVYQJ-XQQFMLRXSA-N -1 1 341.408 -0.260 20 0 EBADMM COC[C@@H](C)NC(=O)NC(=O)CN1CCN(CCC(=O)[O-])C[C@@H]1C ZINC001599162452 998481984 /nfs/dbraw/zinc/48/19/84/998481984.db2.gz XGPROOXZUPTUHP-NEPJUHHUSA-N -1 1 344.412 -0.672 20 0 EBADMM COC(=O)c1ncsc1S(=O)(=O)NC(C)(C)[C@@H](O)C(=O)[O-] ZINC001599017112 998948443 /nfs/dbraw/zinc/94/84/43/998948443.db2.gz OKJOTVLDXLLEPR-LURJTMIESA-N -1 1 338.363 -0.568 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-]CC[N@H+](C)CC(=O)[O-])cc1C ZINC001599019443 998966482 /nfs/dbraw/zinc/96/64/82/998966482.db2.gz KCTQXIMUQLXTHN-UHFFFAOYSA-N -1 1 334.350 -0.331 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-]CC[N@@H+](C)CC(=O)[O-])cc1C ZINC001599019443 998966483 /nfs/dbraw/zinc/96/64/83/998966483.db2.gz KCTQXIMUQLXTHN-UHFFFAOYSA-N -1 1 334.350 -0.331 20 0 EBADMM C[C@H]1CCN(C(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)[C@H]1CO ZINC001594392500 999479890 /nfs/dbraw/zinc/47/98/90/999479890.db2.gz GNORBKFCKRWQDI-RYUDHWBXSA-N -1 1 341.408 -0.777 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2COCCN2CC(F)F)CCN1CCC(=O)[O-] ZINC001594465814 999685194 /nfs/dbraw/zinc/68/51/94/999685194.db2.gz CADIWSLTVDOYOH-NEPJUHHUSA-N -1 1 349.378 -0.040 20 0 EBADMM CCN(CCNC(=O)c1cnn2ccncc12)Cc1nc(=O)n(C)[n-]1 ZINC001691023518 1125798250 /nfs/dbraw/zinc/79/82/50/1125798250.db2.gz IHFDAURXAXWVIP-UHFFFAOYSA-N -1 1 344.379 -0.597 20 0 EBADMM CO[C@H](Cc1ccccc1)C(=O)NCCN(C)Cc1nc(=O)n(C)[n-]1 ZINC001480949565 1125889943 /nfs/dbraw/zinc/88/99/43/1125889943.db2.gz KUWQBZPBRZLBOB-CQSZACIVSA-N -1 1 347.419 -0.086 20 0 EBADMM COC[C@H](NC(=O)c1cc(Br)nn1C)c1nn[n-]n1 ZINC001419555519 1125896993 /nfs/dbraw/zinc/89/69/93/1125896993.db2.gz QZKYXHOIEDFYJN-YFKPBYRVSA-N -1 1 330.146 -0.187 20 0 EBADMM CCN(CCNC(=O)c1cn(C)nc1OC)Cc1nc(=O)n(C)[n-]1 ZINC001480992204 1125904978 /nfs/dbraw/zinc/90/49/78/1125904978.db2.gz NBNSACCYPXASAI-UHFFFAOYSA-N -1 1 337.384 -0.898 20 0 EBADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)[C@H]1CN(C)CCO1 ZINC001408734662 1125938857 /nfs/dbraw/zinc/93/88/57/1125938857.db2.gz DNOMMZYRFYCVCI-CYBMUJFWSA-N -1 1 336.392 -0.304 20 0 EBADMM CO[C@H]1COCC[C@@H]1CC(=O)N(C)CCNCc1n[nH]c(=O)[n-]1 ZINC001481240075 1125950226 /nfs/dbraw/zinc/95/02/26/1125950226.db2.gz WRYUOMZKAOQBOI-MNOVXSKESA-N -1 1 327.385 -0.500 20 0 EBADMM CN(CCNCc1cncs1)C(=O)CCc1n[nH]c(=O)[n-]c1=O ZINC001481251539 1125953928 /nfs/dbraw/zinc/95/39/28/1125953928.db2.gz BXHMIQZPVFHWKP-UHFFFAOYSA-N -1 1 338.393 -0.080 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1CN(Cc2ccns2)C1 ZINC001481590196 1126019513 /nfs/dbraw/zinc/01/95/13/1126019513.db2.gz WDAZJIOIXUMEPS-UHFFFAOYSA-N -1 1 335.389 -0.616 20 0 EBADMM O=S(=O)(N=S1(=O)CCCC1)[N-]CCN1CCCS1(=O)=O ZINC001414201280 1126029946 /nfs/dbraw/zinc/02/99/46/1126029946.db2.gz OKRFNFKJYAFYCE-UHFFFAOYSA-N -1 1 345.468 -0.882 20 0 EBADMM CC(C)OCCN1C[C@@H]2C[C@H]1CN2C(=O)Cn1c(=O)[n-][nH]c1=O ZINC001481680746 1126037002 /nfs/dbraw/zinc/03/70/02/1126037002.db2.gz MGXVNJHHNRZOBH-QWRGUYRKSA-N -1 1 325.369 -0.601 20 0 EBADMM CN(C(=O)CCc1cncn1C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001481750930 1126051621 /nfs/dbraw/zinc/05/16/21/1126051621.db2.gz STOCHBMGGJDBER-CYBMUJFWSA-N -1 1 347.423 -0.493 20 0 EBADMM CC[C@@H](CNC(=O)C[C@@H]1CCCS1(=O)=O)NCc1n[nH]c(=O)[n-]1 ZINC001481836013 1126060380 /nfs/dbraw/zinc/06/03/80/1126060380.db2.gz DXNPBQRMGHFMQU-UWVGGRQHSA-N -1 1 345.425 -0.538 20 0 EBADMM C[C@](CNCc1n[nH]c(=O)[n-]1)(NC(=O)[C@H]1CCC(=O)NC1)C1CC1 ZINC001481933450 1126067438 /nfs/dbraw/zinc/06/74/38/1126067438.db2.gz JRYIWIZDGKZJGY-BJOHPYRUSA-N -1 1 336.396 -0.589 20 0 EBADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CCN(C)CC(=O)OC ZINC001363842269 1126127734 /nfs/dbraw/zinc/12/77/34/1126127734.db2.gz RWNKHQJYRLBXJL-UHFFFAOYSA-N -1 1 329.444 -0.567 20 0 EBADMM CCO[C@H](CS(=O)(=O)[N-]CC(=O)N=S(C)(C)=O)C1CC1 ZINC001414295354 1126165579 /nfs/dbraw/zinc/16/55/79/1126165579.db2.gz YKNQKMABQKGCIO-SNVBAGLBSA-N -1 1 326.440 -0.025 20 0 EBADMM CC[C@@H](C)C(=O)NCC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001409122925 1126207595 /nfs/dbraw/zinc/20/75/95/1126207595.db2.gz CSLYZKRZBGJNCX-SECBINFHSA-N -1 1 332.364 -0.348 20 0 EBADMM O=C(CNC(=O)C1CC1)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001409136438 1126211392 /nfs/dbraw/zinc/21/13/92/1126211392.db2.gz NPWXRIJDLZBCMD-UHFFFAOYSA-N -1 1 332.360 -0.498 20 0 EBADMM O=C(CCc1c[nH]nn1)NCC1CN(C(=O)c2ncccc2O)C1 ZINC001409182947 1126226772 /nfs/dbraw/zinc/22/67/72/1126226772.db2.gz MEERUURCLJUYQB-UHFFFAOYSA-N -1 1 330.348 -0.274 20 0 EBADMM O=C(CCc1cn[nH]n1)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001409182947 1126226775 /nfs/dbraw/zinc/22/67/75/1126226775.db2.gz MEERUURCLJUYQB-UHFFFAOYSA-N -1 1 330.348 -0.274 20 0 EBADMM O=C(CCc1c[nH]nn1)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001409182947 1126226776 /nfs/dbraw/zinc/22/67/76/1126226776.db2.gz MEERUURCLJUYQB-UHFFFAOYSA-N -1 1 330.348 -0.274 20 0 EBADMM CN(CCN(C)C(=O)c1cn2c(n1)COCC2)Cc1nc(=O)n(C)[n-]1 ZINC001482606920 1126235114 /nfs/dbraw/zinc/23/51/14/1126235114.db2.gz ZCYSNLVZKBSUMU-UHFFFAOYSA-N -1 1 349.395 -0.961 20 0 EBADMM O=C(NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1)[C@@H]1CCCc2cccnc21 ZINC001482761135 1126279842 /nfs/dbraw/zinc/27/98/42/1126279842.db2.gz HDYOTSQQYROAQT-NWDGAFQWSA-N -1 1 346.391 -0.408 20 0 EBADMM O=C(CCc1ccccc1F)NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001482792388 1126288371 /nfs/dbraw/zinc/28/83/71/1126288371.db2.gz YZLIDCKZMBKYSS-NSHDSACASA-N -1 1 337.355 -0.151 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)NCC=CCNCc1ccc(F)cn1 ZINC001483085782 1126330445 /nfs/dbraw/zinc/33/04/45/1126330445.db2.gz BAURKDHEITUFCV-UPHRSURJSA-N -1 1 336.327 -0.314 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1ccnn1CC(F)F ZINC001483128304 1126338295 /nfs/dbraw/zinc/33/82/95/1126338295.db2.gz BHSBXFHDGWLIEA-SSDOTTSWSA-N -1 1 329.311 -0.120 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H](C)NC(=O)C1CCS(=O)(=O)CC1 ZINC001409367806 1126359003 /nfs/dbraw/zinc/35/90/03/1126359003.db2.gz JSOLQLDETCXDHB-RKDXNWHRSA-N -1 1 345.425 -0.682 20 0 EBADMM C[C@@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)CNC(=O)C(C)(C)F ZINC001409660096 1126389359 /nfs/dbraw/zinc/38/93/59/1126389359.db2.gz QWJRSPBWWMBYFK-QMMMGPOBSA-N -1 1 338.343 -0.352 20 0 EBADMM C[C@@H](CNCc1csnn1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001483276839 1126412891 /nfs/dbraw/zinc/41/28/91/1126412891.db2.gz UUTOTXZUNGXPEM-VIFPVBQESA-N -1 1 338.393 -0.927 20 0 EBADMM C[C@H](CN(C)CC(=O)NC(=O)NC1CC1)NC(=O)c1ncccc1[O-] ZINC001483329470 1126416595 /nfs/dbraw/zinc/41/65/95/1126416595.db2.gz DYHSSCGQVCYNEY-SNVBAGLBSA-N -1 1 349.391 -0.175 20 0 EBADMM CCCC[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@]1(C)CCC(=O)N1 ZINC001409844658 1126445758 /nfs/dbraw/zinc/44/57/58/1126445758.db2.gz WFMBSBOFVWXOCM-BMIGLBTASA-N -1 1 338.412 -0.056 20 0 EBADMM Cc1n[nH]c(C(=O)NCC2(NCc3cnnn3C)CCOCC2)c1[O-] ZINC001484182829 1126512015 /nfs/dbraw/zinc/51/20/15/1126512015.db2.gz MCRBWPNFFVFOHI-UHFFFAOYSA-N -1 1 349.395 -0.379 20 0 EBADMM CC(C)C(C)(C)C(=O)NC[C@]1(O)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001484219644 1126529994 /nfs/dbraw/zinc/52/99/94/1126529994.db2.gz DTJLPTJSQBXQGP-MRXNPFEDSA-N -1 1 339.440 -0.156 20 0 EBADMM CC[C@@H]1CCC[C@@H]1C(=O)NCC1(O)CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001484293070 1126557728 /nfs/dbraw/zinc/55/77/28/1126557728.db2.gz VEVSUHZOHCMNAJ-NEPJUHHUSA-N -1 1 337.424 -0.402 20 0 EBADMM CCC(CC)C(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001484586533 1126605854 /nfs/dbraw/zinc/60/58/54/1126605854.db2.gz GOUNJICQHLAYIO-LBPRGKRZSA-N -1 1 325.413 -0.138 20 0 EBADMM O=C(COC[C@H]1CCCO1)NCC1(NCc2n[nH]c(=O)[n-]2)CCC1 ZINC001484667079 1126615868 /nfs/dbraw/zinc/61/58/68/1126615868.db2.gz INYUCNRFWCTLGZ-LLVKDONJSA-N -1 1 339.396 -0.166 20 0 EBADMM CCNC(=O)CN(C)CCCN(C)C(=O)c1c[n-]n2c1nccc2=O ZINC001484760699 1126629633 /nfs/dbraw/zinc/62/96/33/1126629633.db2.gz JBKRKSQMOVCCMQ-UHFFFAOYSA-N -1 1 348.407 -0.447 20 0 EBADMM C[C@H]1CN(C(=O)CN(C)C(=O)C2CC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001484890300 1126649515 /nfs/dbraw/zinc/64/95/15/1126649515.db2.gz RVFIEQYHIDKZDA-ONGXEEELSA-N -1 1 336.396 -0.685 20 0 EBADMM Cc1nn(C)c(=O)c(C(=O)N[C@H](C)[C@H](C)NCc2n[nH]c(=O)[n-]2)c1C ZINC001485178680 1126679208 /nfs/dbraw/zinc/67/92/08/1126679208.db2.gz CLLDDNAKQNUTAO-VHSXEESVSA-N -1 1 349.395 -0.483 20 0 EBADMM C[C@@H](CNC(=O)CCc1ccn(C)n1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001485306847 1126689204 /nfs/dbraw/zinc/68/92/04/1126689204.db2.gz DKHCNESSXGPCPU-NSHDSACASA-N -1 1 335.412 -0.589 20 0 EBADMM Cn1[n-]c(CN2CC(CCO)(NC(=O)c3cccc(F)c3)C2)nc1=O ZINC001485394686 1126696716 /nfs/dbraw/zinc/69/67/16/1126696716.db2.gz FQASLYNZBDUBIL-UHFFFAOYSA-N -1 1 349.366 -0.386 20 0 EBADMM Cc1cnn(CC(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001485493072 1126727691 /nfs/dbraw/zinc/72/76/91/1126727691.db2.gz SZJBSFGHDXKZPJ-NSHDSACASA-N -1 1 333.396 -0.750 20 0 EBADMM CC(C)[C@@H](CNC(=O)CCn1cnnn1)NC(=O)c1ncccc1[O-] ZINC001409920485 1126733108 /nfs/dbraw/zinc/73/31/08/1126733108.db2.gz JFFNASAONNCMIW-LLVKDONJSA-N -1 1 347.379 -0.265 20 0 EBADMM CCC[C@H](OC)C(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001409936954 1126860355 /nfs/dbraw/zinc/86/03/55/1126860355.db2.gz YMSIKVNLYPMGOL-JQWIXIFHSA-N -1 1 325.413 -0.140 20 0 EBADMM C[C@H](CN(C)C(=O)C[C@@H]1CCCS1(=O)=O)NCc1n[nH]c(=O)[n-]1 ZINC001485907281 1126912489 /nfs/dbraw/zinc/91/24/89/1126912489.db2.gz WPFCROYFLHSYDH-ZJUUUORDSA-N -1 1 345.425 -0.586 20 0 EBADMM Cn1[n-]c(CN[C@H](CO)CNC(=O)C2(C)CC(F)(F)C2)nc1=O ZINC001486051234 1127020414 /nfs/dbraw/zinc/02/04/14/1127020414.db2.gz XBPXXPLVYXBUIC-QMMMGPOBSA-N -1 1 333.339 -0.890 20 0 EBADMM CN(CC(=O)N1CCC1)C[C@@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001486330770 1127132891 /nfs/dbraw/zinc/13/28/91/1127132891.db2.gz QGOACAWROAYOBR-GFCCVEGCSA-N -1 1 336.392 -0.616 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H](O)CN(C)C(=O)[C@H]1CC12CCC2 ZINC001486351530 1127145422 /nfs/dbraw/zinc/14/54/22/1127145422.db2.gz VBNNRVGRQVAWLA-VXGBXAGGSA-N -1 1 337.424 -0.450 20 0 EBADMM CC(=O)N(C)CC(=O)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001486582689 1127211169 /nfs/dbraw/zinc/21/11/69/1127211169.db2.gz UZWKOVZXSKHLBJ-UHFFFAOYSA-N -1 1 334.376 -0.110 20 0 EBADMM O=C(Cc1ccon1)NC1(CCO)CN(C(=O)c2ncccc2[O-])C1 ZINC001487024818 1127366428 /nfs/dbraw/zinc/36/64/28/1127366428.db2.gz BZIPTNDBUICSKU-UHFFFAOYSA-N -1 1 346.343 -0.289 20 0 EBADMM C[C@H](NC(=O)Cn1cncn1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001487223689 1127439763 /nfs/dbraw/zinc/43/97/63/1127439763.db2.gz CMUMGSUHEIRKRQ-JTQLQIEISA-N -1 1 330.348 -0.344 20 0 EBADMM CCC(=O)N[C@@H]1CCC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001487359847 1127483502 /nfs/dbraw/zinc/48/35/02/1127483502.db2.gz FWJYFLCKJPZEJQ-NEPJUHHUSA-N -1 1 336.392 -0.120 20 0 EBADMM O=C(NCC1CC1)C(=O)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001487418183 1127495770 /nfs/dbraw/zinc/49/57/70/1127495770.db2.gz RPROZKUDROYMAX-HAQNSBGRSA-N -1 1 346.387 -0.062 20 0 EBADMM CCNC(=O)CCC(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001410257935 1127661225 /nfs/dbraw/zinc/66/12/25/1127661225.db2.gz MCJVEMSRJDNCOP-UHFFFAOYSA-N -1 1 338.412 -0.151 20 0 EBADMM Cn1[n-]c(CN[C@@H](CO)CNC(=O)[C@H]2CCCC3(CC3)C2)nc1=O ZINC001410647888 1127788632 /nfs/dbraw/zinc/78/86/32/1127788632.db2.gz GGSYXRMBJJNZCV-NWDGAFQWSA-N -1 1 337.424 -0.355 20 0 EBADMM Cn1ncc(C(=O)NCC2(NC(=O)c3ncccc3[O-])CC2)c1N ZINC001410691571 1127820250 /nfs/dbraw/zinc/82/02/50/1127820250.db2.gz GCOCEIOEJAFJTA-UHFFFAOYSA-N -1 1 330.348 -0.205 20 0 EBADMM Cc1cnc(C(=O)NC[C@H](CO)NCc2nc(=O)n(C)[n-]2)c(C)c1 ZINC001410699924 1127827154 /nfs/dbraw/zinc/82/71/54/1127827154.db2.gz MDLJBTMQKJADPT-LLVKDONJSA-N -1 1 334.380 -0.999 20 0 EBADMM O=C(NC1(CNC(=O)[C@@H]2CCC(=O)NC2)CC1)c1ncccc1[O-] ZINC001410748233 1127859845 /nfs/dbraw/zinc/85/98/45/1127859845.db2.gz JYPLZFMISJKTQY-SNVBAGLBSA-N -1 1 332.360 -0.308 20 0 EBADMM CC(C)[C@H](C)C(=O)N(C)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001410939660 1127949618 /nfs/dbraw/zinc/94/96/18/1127949618.db2.gz SKPICWSFYFGHEZ-JTQLQIEISA-N -1 1 346.391 -0.008 20 0 EBADMM Cn1nnc(C(=O)NC[C@@]2(C)CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001411068936 1127999888 /nfs/dbraw/zinc/99/98/88/1127999888.db2.gz ONARVRUGFFKFBH-OAHLLOKOSA-N -1 1 345.363 -0.407 20 0 EBADMM CCCn1cc(C(=O)N(C)C[C@@H](O)CNCc2n[nH]c(=O)[n-]2)cn1 ZINC001411096881 1128014502 /nfs/dbraw/zinc/01/45/02/1128014502.db2.gz KPUZNGZCQSIVOG-NSHDSACASA-N -1 1 337.384 -0.661 20 0 EBADMM Cn1cnc(C(=O)NC[C@]2(O)CCN(C(=O)c3ncccc3[O-])C2)c1 ZINC001411155469 1128052030 /nfs/dbraw/zinc/05/20/30/1128052030.db2.gz ABIRWMZUKBENBI-MRXNPFEDSA-N -1 1 345.359 -0.472 20 0 EBADMM C[C@@H](C(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C(C)(C)C)S(C)(=O)=O ZINC001411205853 1128091651 /nfs/dbraw/zinc/09/16/51/1128091651.db2.gz BGXFTTYPSZRVME-IUCAKERBSA-N -1 1 347.441 -0.436 20 0 EBADMM C[C@@H]1CN(Cc2nnnn2C)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC001092561071 1128253868 /nfs/dbraw/zinc/25/38/68/1128253868.db2.gz UGAWNRJBWFTMJN-GHMZBOCLSA-N -1 1 331.380 -0.191 20 0 EBADMM C[C@@H]1CN(Cc2nnn(C)n2)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC001092562328 1128254227 /nfs/dbraw/zinc/25/42/27/1128254227.db2.gz OAJBAIXDQRXJMP-GHMZBOCLSA-N -1 1 331.380 -0.191 20 0 EBADMM CCC(=O)N[C@H]1CC2(CN(Cc3nc(=O)n(C)[n-]3)C2)n2ccnc21 ZINC001092336645 1128230844 /nfs/dbraw/zinc/23/08/44/1128230844.db2.gz PTPMHRZXIHTRFT-JTQLQIEISA-N -1 1 331.380 -0.513 20 0 EBADMM O=C(NCCN1CCCS1(=O)=O)c1n[n-]nc1C(F)(F)F ZINC001187774161 1128355034 /nfs/dbraw/zinc/35/50/34/1128355034.db2.gz QPGGEEPLMYKVEQ-UHFFFAOYSA-N -1 1 327.288 -0.411 20 0 EBADMM CO[C@@H](C(=O)N[C@@H](CO)CNC(=O)c1ncccc1[O-])C(C)C ZINC001487974993 1128470693 /nfs/dbraw/zinc/47/06/93/1128470693.db2.gz BKTWFMWXZPRZQC-ZWNOBZJWSA-N -1 1 325.365 -0.335 20 0 EBADMM O=C(N[C@H](CO)CNC(=O)[C@H]1CCCOCC1)c1ncccc1[O-] ZINC001487985507 1128521502 /nfs/dbraw/zinc/52/15/02/1128521502.db2.gz KUTKAHZZHLSVAQ-RYUDHWBXSA-N -1 1 337.376 -0.189 20 0 EBADMM C/C=C(\C)C(=O)N1CC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)[C@H]1C ZINC001488125728 1128629212 /nfs/dbraw/zinc/62/92/12/1128629212.db2.gz STYIRKYPOCMSMY-VVBALGDRSA-N -1 1 348.403 -0.002 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)CN1C(=O)CC1CC1 ZINC001488155093 1128637543 /nfs/dbraw/zinc/63/75/43/1128637543.db2.gz AVTAFEZEZBDDQR-DGCLKSJQSA-N -1 1 348.403 -0.168 20 0 EBADMM CC(C)=CC(=O)N1C[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1C ZINC001488164003 1128639404 /nfs/dbraw/zinc/63/94/04/1128639404.db2.gz CPTBHVSLXIKOAI-OLZOCXBDSA-N -1 1 348.403 -0.002 20 0 EBADMM Cc1c(C(=O)NC[C@@H](O)CN(C)C(=O)c2ncccc2[O-])nnn1C ZINC001488350525 1128688249 /nfs/dbraw/zinc/68/82/49/1128688249.db2.gz HVGNKMRQMXLQGC-SNVBAGLBSA-N -1 1 348.363 -0.913 20 0 EBADMM CN(C[C@@H](O)CN(C)C(=O)[C@H]1CCOC1)C(=O)c1ncccc1[O-] ZINC001488427195 1128756900 /nfs/dbraw/zinc/75/69/00/1128756900.db2.gz ZGEZETGTKVBPSG-RYUDHWBXSA-N -1 1 337.376 -0.285 20 0 EBADMM CN(C[C@H](O)CN(C)C(=O)[C@@H]1CCOC1)C(=O)c1ncccc1[O-] ZINC001488427196 1128756987 /nfs/dbraw/zinc/75/69/87/1128756987.db2.gz ZGEZETGTKVBPSG-VXGBXAGGSA-N -1 1 337.376 -0.285 20 0 EBADMM CCCC(=O)NC1CC(N(C)C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001488585281 1128799065 /nfs/dbraw/zinc/79/90/65/1128799065.db2.gz PAXRASUDVSRQSR-UHFFFAOYSA-N -1 1 336.392 -0.168 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)[C@@]1(C)CCCOC1 ZINC001488676494 1128822346 /nfs/dbraw/zinc/82/23/46/1128822346.db2.gz NMILOPYSIUDJMJ-LRDDRELGSA-N -1 1 337.424 -0.042 20 0 EBADMM C[C@@H](CC(N)=O)C(=O)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001489264245 1128938596 /nfs/dbraw/zinc/93/85/96/1128938596.db2.gz KDZWPWZDCBFJNL-SDDRHHMPSA-N -1 1 346.387 -0.028 20 0 EBADMM COCC1(CC(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC001489325987 1128956808 /nfs/dbraw/zinc/95/68/08/1128956808.db2.gz MUPRFMFCCBYCBW-DGCLKSJQSA-N -1 1 349.387 -0.095 20 0 EBADMM COCC1(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)CC1 ZINC001489600378 1129025113 /nfs/dbraw/zinc/02/51/13/1129025113.db2.gz HYLJTJMXHXHNSI-PWSUYJOCSA-N -1 1 335.360 -0.485 20 0 EBADMM CC(C)C(=O)NC[C@H]1C[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001489799484 1129059181 /nfs/dbraw/zinc/05/91/81/1129059181.db2.gz LPVGSTMINXHROI-TXEJJXNPSA-N -1 1 336.392 -0.406 20 0 EBADMM C/C=C(/C)C(=O)N1CC[C@H]1CN(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001489763483 1129062924 /nfs/dbraw/zinc/06/29/24/1129062924.db2.gz XZCRQSBTWKBTKG-VEIWZVCTSA-N -1 1 348.403 -0.048 20 0 EBADMM CN(C)C(=O)CC(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001489812737 1129070910 /nfs/dbraw/zinc/07/09/10/1129070910.db2.gz DTEHBVUYSGGRJZ-PHIMTYICSA-N -1 1 334.376 -0.110 20 0 EBADMM Nc1nc2nc(CN3CCC(O[C@@H]4CCOC4)CC3)cc(=O)n2[n-]1 ZINC001414008487 1131284321 /nfs/dbraw/zinc/28/43/21/1131284321.db2.gz PDMWCKBVVVTJBQ-GFCCVEGCSA-N -1 1 334.380 -0.230 20 0 EBADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)CN1CCCNC1=O ZINC001416208799 1131309716 /nfs/dbraw/zinc/30/97/16/1131309716.db2.gz NOULBWBNQRPNEB-LLVKDONJSA-N -1 1 349.391 -0.173 20 0 EBADMM Cc1cc(CN2CC[C@@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)on1 ZINC001490567558 1129227471 /nfs/dbraw/zinc/22/74/71/1129227471.db2.gz TZRYJKGDYLEANQ-GFCCVEGCSA-N -1 1 347.375 -0.386 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)CNC(=O)C1CC1 ZINC001411527791 1129310555 /nfs/dbraw/zinc/31/05/55/1129310555.db2.gz CQZVMJBBIYUPCN-SNVBAGLBSA-N -1 1 334.376 -0.110 20 0 EBADMM O=C(c1ccc([O-])cn1)N1CCN([C@H]2CCS(=O)(=O)C2)CC1 ZINC001411611724 1129333398 /nfs/dbraw/zinc/33/33/98/1129333398.db2.gz ITMOGUUDRKXYCD-NSHDSACASA-N -1 1 325.390 -0.268 20 0 EBADMM COC(=O)[C@H]1C[C@@H](OC)CCN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001411950710 1129411304 /nfs/dbraw/zinc/41/13/04/1129411304.db2.gz MPYRJNKVOWYEAF-JGVFFNPUSA-N -1 1 341.320 -0.311 20 0 EBADMM CC[C@@H](C)NC(=O)CN1CCN(C(=O)CCCc2nn[n-]n2)CC1 ZINC001412397879 1129517682 /nfs/dbraw/zinc/51/76/82/1129517682.db2.gz VQESOPDYRPJODS-GFCCVEGCSA-N -1 1 337.428 -0.419 20 0 EBADMM CS(=O)(=O)N1CCN(CCNC(=O)c2cccc([O-])c2F)CC1 ZINC001412454549 1129531946 /nfs/dbraw/zinc/53/19/46/1129531946.db2.gz LCVLQLOAQQAYKZ-UHFFFAOYSA-N -1 1 345.396 -0.162 20 0 EBADMM COC(=O)[C@@H](Cn1cncn1)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001412702856 1129597468 /nfs/dbraw/zinc/59/74/68/1129597468.db2.gz IOSOIXQKMSQLHQ-SNVBAGLBSA-N -1 1 332.320 -0.377 20 0 EBADMM Cc1nc(N2CCOCC2)[n-]c(=O)c1CCC(=O)N1CC(CO)C1 ZINC001412750451 1129612824 /nfs/dbraw/zinc/61/28/24/1129612824.db2.gz NKMUZCMMPIECRH-UHFFFAOYSA-N -1 1 336.392 -0.289 20 0 EBADMM COc1cnc([C@@H]2CCCN2C(=O)c2nc3n(n2)CCCN3)[n-]c1=O ZINC001412767440 1129635177 /nfs/dbraw/zinc/63/51/77/1129635177.db2.gz FAVZBXZTDADLDJ-VIFPVBQESA-N -1 1 345.363 -0.167 20 0 EBADMM COC(=O)[C@@H](NC(=O)CCc1nn[n-]n1)c1ccc(OC)c(O)c1 ZINC001412856048 1129735804 /nfs/dbraw/zinc/73/58/04/1129735804.db2.gz WBRHGHNXDZGQIR-ZDUSSCGKSA-N -1 1 335.320 -0.123 20 0 EBADMM O=C(c1cc2c([nH]c1=O)CCOC2)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001412902674 1129769319 /nfs/dbraw/zinc/76/93/19/1129769319.db2.gz SGOACKVVADPYPN-UHFFFAOYSA-N -1 1 346.347 -0.503 20 0 EBADMM Cc1cc(C)n(CC(=O)NC[C@@H](CO)NC(=O)c2ncccc2[O-])n1 ZINC001412911462 1129781617 /nfs/dbraw/zinc/78/16/17/1129781617.db2.gz PYTDTBBBAVHFKI-LBPRGKRZSA-N -1 1 347.375 -0.492 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCCC[C@@H]1CS(C)(=O)=O ZINC001412978663 1129834516 /nfs/dbraw/zinc/83/45/16/1129834516.db2.gz CPCWMHVBGQCSND-MRVPVSSYSA-N -1 1 345.377 -0.064 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CCNC(N)=O ZINC001413025169 1129868290 /nfs/dbraw/zinc/86/82/90/1129868290.db2.gz GFQKXEXTKRRAGJ-UWVGGRQHSA-N -1 1 335.364 -0.435 20 0 EBADMM CN(C(=O)c1ccc(CCNS(C)(=O)=O)s1)c1nn[n-]n1 ZINC001361436194 1131407983 /nfs/dbraw/zinc/40/79/83/1131407983.db2.gz MYBXUNBMXMMQJR-UHFFFAOYSA-N -1 1 330.395 -0.371 20 0 EBADMM CCn1ccc(C(=O)NC[C@H](O)CN(C)C(=O)c2ncccc2[O-])n1 ZINC001413258740 1129977494 /nfs/dbraw/zinc/97/74/94/1129977494.db2.gz YPZAAMVMGHYDOM-NSHDSACASA-N -1 1 347.375 -0.134 20 0 EBADMM CCOC(=O)c1nc([C@H](C)NC(=O)C2=C3CCCN=C3NN2)n[n-]1 ZINC001413275768 1129984156 /nfs/dbraw/zinc/98/41/56/1129984156.db2.gz YNZUYJPPSMACIT-ZETCQYMHSA-N -1 1 333.352 -0.287 20 0 EBADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C2=C3CCCN=C3NN2)[n-]1 ZINC001413275768 1129984159 /nfs/dbraw/zinc/98/41/59/1129984159.db2.gz YNZUYJPPSMACIT-ZETCQYMHSA-N -1 1 333.352 -0.287 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C2=C3CCCN=C3NN2)n1 ZINC001413275768 1129984161 /nfs/dbraw/zinc/98/41/61/1129984161.db2.gz YNZUYJPPSMACIT-ZETCQYMHSA-N -1 1 333.352 -0.287 20 0 EBADMM COC(=O)[C@H]1CC[C@H](C(=O)OC)CN1C(=O)CCc1nn[n-]n1 ZINC001413280496 1129987469 /nfs/dbraw/zinc/98/74/69/1129987469.db2.gz XHCQOLUQMONPOW-DTWKUNHWSA-N -1 1 325.325 -0.915 20 0 EBADMM Cc1conc1CS(=O)(=O)[N-][C@@H]1CCN(c2cnn(C)c2)C1=O ZINC001413301480 1129999359 /nfs/dbraw/zinc/99/93/59/1129999359.db2.gz IASPVWOJUFQCRT-LLVKDONJSA-N -1 1 339.377 -0.059 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)N2CC[C@@H](S(N)(=O)=O)C2)c1 ZINC001413313668 1130007436 /nfs/dbraw/zinc/00/74/36/1130007436.db2.gz ZGRRBEXCWJGZBA-SECBINFHSA-N -1 1 336.391 -0.548 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CCCCC23OCCO3)c(=O)[nH]c1=O ZINC001413321083 1130013322 /nfs/dbraw/zinc/01/33/22/1130013322.db2.gz PVIKTJVMWFBFSZ-SNVBAGLBSA-N -1 1 345.377 -0.550 20 0 EBADMM CCNS(=O)(=O)c1ccc(F)c(C(=O)N(C)c2nn[n-]n2)c1 ZINC001413328186 1130016241 /nfs/dbraw/zinc/01/62/41/1130016241.db2.gz QUNSYPQSKRRYND-UHFFFAOYSA-N -1 1 328.329 -0.086 20 0 EBADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)NC[C@H](O)[C@H](C)O ZINC001413344723 1130032108 /nfs/dbraw/zinc/03/21/08/1130032108.db2.gz NWRFOHBZSLXKIX-GWCFXTLKSA-N -1 1 332.360 -0.047 20 0 EBADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)NC[C@H](O)[C@@H](C)O ZINC001413344732 1130032337 /nfs/dbraw/zinc/03/23/37/1130032337.db2.gz NWRFOHBZSLXKIX-MFKMUULPSA-N -1 1 332.360 -0.047 20 0 EBADMM CN(C[C@H](O)CN(C)C(=O)c1cnc[nH]c1=O)C(=O)c1ccn[nH]1 ZINC001413352811 1130039424 /nfs/dbraw/zinc/03/94/24/1130039424.db2.gz MWAVLGVRWUAKJE-SECBINFHSA-N -1 1 334.336 -0.890 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H]1CCCN(C(C)=O)C1 ZINC001413363737 1130049138 /nfs/dbraw/zinc/04/91/38/1130049138.db2.gz WNTUEFSWZRAJJO-JTQLQIEISA-N -1 1 344.393 -0.267 20 0 EBADMM Cn1ncc(S(=O)(=O)[N-][C@]2(C(N)=O)CCOC2)c1C(F)(F)F ZINC001413386353 1130065149 /nfs/dbraw/zinc/06/51/49/1130065149.db2.gz IYZRXEQNRXYGJK-SECBINFHSA-N -1 1 342.299 -0.638 20 0 EBADMM CC[C@@H](O)Cn1cc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)nn1 ZINC001413456591 1130094583 /nfs/dbraw/zinc/09/45/83/1130094583.db2.gz VEFZPWQDFQCRAO-SECBINFHSA-N -1 1 348.363 -0.453 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCO[C@@H]3COCC[C@@H]32)[n-]n1 ZINC001413457456 1130094895 /nfs/dbraw/zinc/09/48/95/1130094895.db2.gz HKUSLZIJJAXSJV-VHSXEESVSA-N -1 1 331.350 -0.625 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCO[C@@H]3COCC[C@@H]32)n[n-]1 ZINC001413457456 1130094902 /nfs/dbraw/zinc/09/49/02/1130094902.db2.gz HKUSLZIJJAXSJV-VHSXEESVSA-N -1 1 331.350 -0.625 20 0 EBADMM CCS(=O)(=O)N(C)CC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC001413459981 1130095823 /nfs/dbraw/zinc/09/58/23/1130095823.db2.gz KQGVIEXKRBMUGV-UHFFFAOYSA-N -1 1 345.343 -0.595 20 0 EBADMM CSc1nc(=O)[n-]c(C)c1C(=O)NCc1nnc2n1CCNC2=O ZINC001413481515 1130103922 /nfs/dbraw/zinc/10/39/22/1130103922.db2.gz YJHKHDDNJSMFEM-UHFFFAOYSA-N -1 1 349.376 -0.523 20 0 EBADMM CC(=O)N(C)CC(=O)NC1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001413563252 1130135473 /nfs/dbraw/zinc/13/54/73/1130135473.db2.gz VGZDBUHZSSUGDW-UHFFFAOYSA-N -1 1 334.376 -0.015 20 0 EBADMM Cn1ncc(C(=O)NCCNC(=O)c2cnc(C3CC3)[n-]c2=O)n1 ZINC001491314500 1130395642 /nfs/dbraw/zinc/39/56/42/1130395642.db2.gz CFQBZBVIAWERNX-UHFFFAOYSA-N -1 1 331.336 -0.652 20 0 EBADMM CC(C)n1ccc(CN[C@@H](C)CNC(=O)c2n[nH]c(=O)[n-]c2=O)n1 ZINC001491513565 1130478182 /nfs/dbraw/zinc/47/81/82/1130478182.db2.gz OXNYBRMMOFPLQV-VIFPVBQESA-N -1 1 335.368 -0.032 20 0 EBADMM C[C@H](CNC(=O)CCCn1ccccc1=O)NCc1n[nH]c(=O)[n-]1 ZINC001491549538 1130502038 /nfs/dbraw/zinc/50/20/38/1130502038.db2.gz YRSVLTCGFRVPEL-LLVKDONJSA-N -1 1 334.380 -0.253 20 0 EBADMM CNC(=O)C1(C(=O)N2CC[C@@H](N(C)C(=O)c3ncccc3[O-])C2)CC1 ZINC001491986303 1130573785 /nfs/dbraw/zinc/57/37/85/1130573785.db2.gz PTKNEDAFAOMGCM-LLVKDONJSA-N -1 1 346.387 -0.014 20 0 EBADMM CCOCC(=O)N1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001492026202 1130579983 /nfs/dbraw/zinc/57/99/83/1130579983.db2.gz WCWNGRNPJBXLFZ-UHFFFAOYSA-N -1 1 348.363 -0.871 20 0 EBADMM CN(CCNC(=O)c1cnc2cc[nH]c2c1)Cc1nc(=O)n(C)[n-]1 ZINC001492296991 1130635105 /nfs/dbraw/zinc/63/51/05/1130635105.db2.gz KOILCAFZCPTGJC-UHFFFAOYSA-N -1 1 329.364 -0.154 20 0 EBADMM C[C@H](NC(=O)C(C)(C)C)C(=O)NCCN(C)Cc1nc(=O)n(C)[n-]1 ZINC001492365023 1130641321 /nfs/dbraw/zinc/64/13/21/1130641321.db2.gz QGIPQFFJQSPGKH-JTQLQIEISA-N -1 1 340.428 -0.793 20 0 EBADMM CN(CCNC(=O)c1cnc2cccnn21)Cc1nc(=O)n(C)[n-]1 ZINC001492448178 1130670394 /nfs/dbraw/zinc/67/03/94/1130670394.db2.gz QMPQCQSMMUIEKR-UHFFFAOYSA-N -1 1 330.352 -0.987 20 0 EBADMM O=C(CNC(=O)c1ccccn1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001413857643 1130817892 /nfs/dbraw/zinc/81/78/92/1130817892.db2.gz FXFHQMYVJNGEHB-UHFFFAOYSA-N -1 1 343.343 -0.304 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N(CCNC(=O)CCn1cc[n-]c(=O)c1=O)C1CC1 ZINC001356635902 1130888935 /nfs/dbraw/zinc/88/89/35/1130888935.db2.gz WPEBKFSZSLNCAG-AAEUAGOBSA-N -1 1 348.403 -0.310 20 0 EBADMM O=C(NCCC1(CNC(=O)[C@H]2CNC(=O)N2)CC1)c1ncccc1[O-] ZINC001413876696 1131098595 /nfs/dbraw/zinc/09/85/95/1131098595.db2.gz GRMJOAAPDZDWRL-SNVBAGLBSA-N -1 1 347.375 -0.515 20 0 EBADMM O=C(NCCC1(CNC(=O)[C@@H]2CNC(=O)N2)CC1)c1ncccc1[O-] ZINC001413876688 1131098707 /nfs/dbraw/zinc/09/87/07/1131098707.db2.gz GRMJOAAPDZDWRL-JTQLQIEISA-N -1 1 347.375 -0.515 20 0 EBADMM COC[C@H](C)C(=O)NC/C=C\CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001357657508 1131111885 /nfs/dbraw/zinc/11/18/85/1131111885.db2.gz IRRNBNQTVCISTC-XOULXFPDSA-N -1 1 348.363 -0.898 20 0 EBADMM C[C@@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)c1cccn1C ZINC001358441088 1131185712 /nfs/dbraw/zinc/18/57/12/1131185712.db2.gz RVEAXLWHABNBGH-VIFPVBQESA-N -1 1 343.347 -0.696 20 0 EBADMM CC(C)[C@@H](F)C(=O)N[C@@H](C)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001358534662 1131207475 /nfs/dbraw/zinc/20/74/75/1131207475.db2.gz MVHILWQMKDCTDV-CMPLNLGQSA-N -1 1 342.371 -0.458 20 0 EBADMM COC(=O)[C@@H]1O[C@@H](C(=O)Nc2nc3nc(C)cc(=O)n3[n-]2)C[C@H]1C ZINC001361703865 1131442135 /nfs/dbraw/zinc/44/21/35/1131442135.db2.gz AWUVDYNETBLFDS-GTNGPMTGSA-N -1 1 335.320 -0.369 20 0 EBADMM CC(C)(C)OC(=O)NC[C@H]1OCC[C@H]1C(=O)NCc1nn[n-]n1 ZINC001362062856 1131507385 /nfs/dbraw/zinc/50/73/85/1131507385.db2.gz XXRGCUDSGCZVAC-RKDXNWHRSA-N -1 1 326.357 -0.254 20 0 EBADMM O=C([C@@H]1CC12CCS(=O)(=O)CC2)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001362065431 1131507933 /nfs/dbraw/zinc/50/79/33/1131507933.db2.gz UOVNVWZNTGDTNW-VHSXEESVSA-N -1 1 341.393 -0.686 20 0 EBADMM CS(=O)(=O)C1CCC(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)CC1 ZINC001362126072 1131520343 /nfs/dbraw/zinc/52/03/43/1131520343.db2.gz QJEWVIHWTBQACP-ILDUYXDCSA-N -1 1 343.409 -0.297 20 0 EBADMM CSc1ncc(C(=O)N[C@@H]2CCCN(CC(N)=O)C2)c(=O)[n-]1 ZINC001362240892 1131542812 /nfs/dbraw/zinc/54/28/12/1131542812.db2.gz DKVGXFRGDFROKX-MRVPVSSYSA-N -1 1 325.394 -0.416 20 0 EBADMM CO[C@@]1(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)CCS(=O)(=O)C1 ZINC001362314926 1131557931 /nfs/dbraw/zinc/55/79/31/1131557931.db2.gz UPXFIPXRXVCYDO-SKDRFNHKSA-N -1 1 329.382 -0.891 20 0 EBADMM O=C(c1ccn(CC(F)F)n1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362392843 1131574216 /nfs/dbraw/zinc/57/42/16/1131574216.db2.gz XQUMTAACLHMKAB-UHFFFAOYSA-N -1 1 327.295 -0.215 20 0 EBADMM CC(C)(C)NS(=O)(=O)CC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC001362406824 1131576911 /nfs/dbraw/zinc/57/69/11/1131576911.db2.gz LHIIIUNKEDFMLU-MRVPVSSYSA-N -1 1 348.454 -0.466 20 0 EBADMM O=C(CS[C@H]1CCS(=O)(=O)C1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362408601 1131577589 /nfs/dbraw/zinc/57/75/89/1131577589.db2.gz TUVVJGOYLXKSLK-UWVGGRQHSA-N -1 1 345.450 -0.174 20 0 EBADMM Cc1nc2c(n1CCO)CC[C@H](NC(=O)CCCc1nn[n-]n1)C2 ZINC001362523713 1131601204 /nfs/dbraw/zinc/60/12/04/1131601204.db2.gz YMXVFSPUXVVAPW-NSHDSACASA-N -1 1 333.396 -0.307 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCO[C@]2(CCCOC2)C1 ZINC001362526298 1131602010 /nfs/dbraw/zinc/60/20/10/1131602010.db2.gz HCCDOYUBGCCNHI-CQSZACIVSA-N -1 1 325.321 -0.082 20 0 EBADMM C[C@@H](NC(=O)C1CCCC1)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362558444 1131610123 /nfs/dbraw/zinc/61/01/23/1131610123.db2.gz CYXOLYFPYVIONU-SNVBAGLBSA-N -1 1 336.396 -0.295 20 0 EBADMM CCOC(=O)N1CC[C@@H](NC(=O)CNC(=O)c2ncccc2[O-])C1 ZINC001362654423 1131633796 /nfs/dbraw/zinc/63/37/96/1131633796.db2.gz SJXHAPWZYHVNBE-SNVBAGLBSA-N -1 1 336.348 -0.136 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NCc1cc2n(n1)CCCO2 ZINC001362668543 1131637254 /nfs/dbraw/zinc/63/72/54/1131637254.db2.gz NVWKAJCVNHYPNI-UHFFFAOYSA-N -1 1 331.332 -0.188 20 0 EBADMM COC(=O)[C@@H]1CC[C@@H](C(=O)OC)N(C(=O)CCCc2nn[n-]n2)C1 ZINC001362670487 1131638194 /nfs/dbraw/zinc/63/81/94/1131638194.db2.gz IRFGUISMWRSNKT-ZJUUUORDSA-N -1 1 339.352 -0.524 20 0 EBADMM CCC[C@H](C(=O)N1CCSC[C@@H]1c1nn[n-]n1)S(N)(=O)=O ZINC001362676049 1131638597 /nfs/dbraw/zinc/63/85/97/1131638597.db2.gz XTGRRJDMJJHVRD-HTQZYQBOSA-N -1 1 334.427 -0.727 20 0 EBADMM O=C(c1cn(C[C@H]2CCOC2)nn1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362710937 1131649078 /nfs/dbraw/zinc/64/90/78/1131649078.db2.gz OGFRJUULNDKTKQ-MNOVXSKESA-N -1 1 332.368 -0.153 20 0 EBADMM O=C(N1CCC(O)(c2nn[n-]n2)CC1)[C@]1(O)CCc2ccccc2C1 ZINC001362730435 1131656982 /nfs/dbraw/zinc/65/69/82/1131656982.db2.gz KSBZFEWOVJVWAL-KRWDZBQOSA-N -1 1 343.387 -0.070 20 0 EBADMM O=C(CCCc1nn[n-]n1)NC1CCN(C(=O)c2cn[nH]c2)CC1 ZINC001362788160 1131674412 /nfs/dbraw/zinc/67/44/12/1131674412.db2.gz GDBOAHAIHFVMMG-UHFFFAOYSA-N -1 1 332.368 -0.334 20 0 EBADMM O=C(CN1CCCCS1(=O)=O)N1CCC(c2nn[n-]n2)CC1 ZINC001362793409 1131676874 /nfs/dbraw/zinc/67/68/74/1131676874.db2.gz BEDRXCXGWPFJTF-UHFFFAOYSA-N -1 1 328.398 -0.669 20 0 EBADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001362798742 1131677929 /nfs/dbraw/zinc/67/79/29/1131677929.db2.gz XNIZVVJGGJVUQO-RKDXNWHRSA-N -1 1 342.377 -0.602 20 0 EBADMM O=C(CN1CCCC2(CC2)S1(=O)=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001362806007 1131680552 /nfs/dbraw/zinc/68/05/52/1131680552.db2.gz INVDWXOPSAZGOB-SNVBAGLBSA-N -1 1 340.409 -0.526 20 0 EBADMM COC(=O)c1cnc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)cn1 ZINC001362808255 1131681301 /nfs/dbraw/zinc/68/13/01/1131681301.db2.gz LFAVINKMHPCJMO-UHFFFAOYSA-N -1 1 345.315 -0.239 20 0 EBADMM COC[C@H](NC(=O)[C@H]1CN(C(C)=O)c2ccccc2O1)c1nn[n-]n1 ZINC001362910855 1131711663 /nfs/dbraw/zinc/71/16/63/1131711663.db2.gz SRCBDKIRBDQDQW-GXFFZTMASA-N -1 1 346.347 -0.183 20 0 EBADMM COC[C@@H](NC(=O)[C@H]1CN(C(C)=O)c2ccccc2O1)c1nn[n-]n1 ZINC001362910860 1131711773 /nfs/dbraw/zinc/71/17/73/1131711773.db2.gz SRCBDKIRBDQDQW-ZWNOBZJWSA-N -1 1 346.347 -0.183 20 0 EBADMM COC[C@H](NC(=O)[C@@H]1CC(=O)N(Cc2ccco2)C1)c1nn[n-]n1 ZINC001362912406 1131711883 /nfs/dbraw/zinc/71/18/83/1131711883.db2.gz XSUKJDMYFQLNCN-KOLCDFICSA-N -1 1 334.336 -0.355 20 0 EBADMM CSc1ncc(C(=O)NC2(CS(C)(=O)=O)COC2)c(=O)[n-]1 ZINC001362962813 1131725477 /nfs/dbraw/zinc/72/54/77/1131725477.db2.gz CETOEYKSUIKOBR-UHFFFAOYSA-N -1 1 333.391 -0.553 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@@H](CO)[C@H]2CO)o1 ZINC001362965006 1131726910 /nfs/dbraw/zinc/72/69/10/1131726910.db2.gz DDYRLLGUKWWVPH-VHSXEESVSA-N -1 1 332.378 -0.607 20 0 EBADMM CSCC[C@H](NC(=O)C1=NN(CCO)C(=O)CC1)c1nn[n-]n1 ZINC001362997542 1131738796 /nfs/dbraw/zinc/73/87/96/1131738796.db2.gz IIIUJDIISLMJNT-QMMMGPOBSA-N -1 1 341.397 -0.919 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC([C@H]2COC(=O)N2)CC1 ZINC001363023308 1131751651 /nfs/dbraw/zinc/75/16/51/1131751651.db2.gz JYDPYNAZKVLLON-LLVKDONJSA-N -1 1 348.359 -0.136 20 0 EBADMM Cn1ncc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)c1Br ZINC001363116390 1131790265 /nfs/dbraw/zinc/79/02/65/1131790265.db2.gz KTSQXSSRRBMESK-SSDOTTSWSA-N -1 1 342.157 -0.091 20 0 EBADMM COC(=O)c1c(NC(=O)CCc2cnn(C)c2)n[n-]c1OCCO ZINC001363122240 1131793346 /nfs/dbraw/zinc/79/33/46/1131793346.db2.gz XTUWXUJSCLBGJS-UHFFFAOYSA-N -1 1 337.336 -0.128 20 0 EBADMM COC(=O)c1c(OCCO)n[n-]c1NC(=O)CCc1cnn(C)c1 ZINC001363122240 1131793353 /nfs/dbraw/zinc/79/33/53/1131793353.db2.gz XTUWXUJSCLBGJS-UHFFFAOYSA-N -1 1 337.336 -0.128 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@H]1CCN(C2CCC2)C1=O ZINC001363196215 1131826406 /nfs/dbraw/zinc/82/64/06/1131826406.db2.gz MXSPFLFQLABQDL-NSHDSACASA-N -1 1 332.360 -0.213 20 0 EBADMM O=C(c1ccnc(N2CCCC2)n1)N1CCOC[C@H]1c1nn[n-]n1 ZINC001363205554 1131830674 /nfs/dbraw/zinc/83/06/74/1131830674.db2.gz UXHXAAXLRDHECC-NSHDSACASA-N -1 1 330.352 -0.196 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)[C@@H]1CC(=O)N(C2CC2)C1 ZINC001363207261 1131831862 /nfs/dbraw/zinc/83/18/62/1131831862.db2.gz MLFWDRHLSCZPGM-SECBINFHSA-N -1 1 332.360 -0.118 20 0 EBADMM CN(C)C(=O)NC1CCN(C(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC001363300398 1131884689 /nfs/dbraw/zinc/88/46/89/1131884689.db2.gz UKGAQDZXHQBCPW-UHFFFAOYSA-N -1 1 349.391 -0.221 20 0 EBADMM NC(=O)[C@H]1CN(C(=O)c2c([O-])cnc3c(F)cccc32)C[C@H]1C(N)=O ZINC001363318169 1131895245 /nfs/dbraw/zinc/89/52/45/1131895245.db2.gz SJCIRAAJYBILIN-DTORHVGOSA-N -1 1 346.318 -0.262 20 0 EBADMM COC(=O)c1ccn(CCCC(=O)N2CC[C@H](c3nn[n-]n3)C2)n1 ZINC001363324361 1131899576 /nfs/dbraw/zinc/89/95/76/1131899576.db2.gz WLVFTQIFRVXRQX-JTQLQIEISA-N -1 1 333.352 -0.021 20 0 EBADMM COC(=O)[C@H](C[C@H]1CCCO1)NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001363393013 1131928547 /nfs/dbraw/zinc/92/85/47/1131928547.db2.gz ORHLGRDHLZXWBO-SFYZADRCSA-N -1 1 341.320 -0.263 20 0 EBADMM CCNS(=O)(=O)c1cccc(CNC(=O)CCc2nn[n-]n2)c1 ZINC001363401227 1131933830 /nfs/dbraw/zinc/93/38/30/1131933830.db2.gz VRDDCWRSZKRWRX-UHFFFAOYSA-N -1 1 338.393 -0.253 20 0 EBADMM O=C(c1coc2c1C(=O)NCCC2)N1CCOC[C@H]1c1nn[n-]n1 ZINC001363406764 1131936178 /nfs/dbraw/zinc/93/61/78/1131936178.db2.gz FBCHLVJTIOJHSR-VIFPVBQESA-N -1 1 332.320 -0.318 20 0 EBADMM CC(C)(C)OC(=O)N1CC(C(=O)N2CCOC[C@H]2c2nn[n-]n2)C1 ZINC001363413135 1131939633 /nfs/dbraw/zinc/93/96/33/1131939633.db2.gz ZRAZHLNOTFVUPB-JTQLQIEISA-N -1 1 338.368 -0.034 20 0 EBADMM COC[C@H](NC(=O)CN1c2ccccc2O[C@@H](C)C1=O)c1nn[n-]n1 ZINC001363414980 1131940245 /nfs/dbraw/zinc/94/02/45/1131940245.db2.gz MXQCXBPTTRZTCH-UWVGGRQHSA-N -1 1 346.347 -0.183 20 0 EBADMM O=C([C@H]1CC(=O)N(c2cn[nH]c2)C1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001363433184 1131947504 /nfs/dbraw/zinc/94/75/04/1131947504.db2.gz KNPCQMLQTCEBQF-QWRGUYRKSA-N -1 1 344.379 -0.243 20 0 EBADMM CCS(=O)(=O)N[C@@H](C)C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001363435023 1131948395 /nfs/dbraw/zinc/94/83/95/1131948395.db2.gz OLVRWDBAIXBTBT-UWVGGRQHSA-N -1 1 330.414 -0.691 20 0 EBADMM CC[C@H](C(=O)N[C@H](COC)c1nn[n-]n1)N1CCO[C@H](CC)C1 ZINC001363436697 1131949590 /nfs/dbraw/zinc/94/95/90/1131949590.db2.gz PFWXZJBKXLXQPM-IJLUTSLNSA-N -1 1 326.401 -0.107 20 0 EBADMM CNS(=O)(=O)c1cccc(C(=O)N[C@@H](COC)c2nn[n-]n2)c1 ZINC001363438553 1131950354 /nfs/dbraw/zinc/95/03/54/1131950354.db2.gz UEWWLOFIZBQYDX-JTQLQIEISA-N -1 1 340.365 -0.775 20 0 EBADMM NC(=O)N[C@@H](CC(=O)N1CCOC[C@H]1c1nn[n-]n1)c1ccccc1 ZINC001363512290 1131984319 /nfs/dbraw/zinc/98/43/19/1131984319.db2.gz LXAFGYQSCOMPCQ-RYUDHWBXSA-N -1 1 345.363 -0.101 20 0 EBADMM CC[C@H](O)Cn1cc(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)nn1 ZINC001363533248 1131999918 /nfs/dbraw/zinc/99/99/18/1131999918.db2.gz YCDDMGKMOFKNOU-VIFPVBQESA-N -1 1 349.313 -0.201 20 0 EBADMM C[C@H]1CCN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)CCO1 ZINC001363579660 1132020852 /nfs/dbraw/zinc/02/08/52/1132020852.db2.gz SJPLIFUHUJQPDM-VIFPVBQESA-N -1 1 345.377 -0.706 20 0 EBADMM O=C(CN1CCC(=O)NC1=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC001363703517 1132062725 /nfs/dbraw/zinc/06/27/25/1132062725.db2.gz LMBNPNJCUFFMNJ-UHFFFAOYSA-N -1 1 336.270 -0.935 20 0 EBADMM COc1ccccc1C(=O)NCC(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001363716562 1132067992 /nfs/dbraw/zinc/06/79/92/1132067992.db2.gz DZANJCHQKLDLCZ-SNVBAGLBSA-N -1 1 330.348 -0.046 20 0 EBADMM Cc1nn(C)cc1C1=NO[C@H](C(=O)N2CC[C@H](c3nn[n-]n3)C2)C1 ZINC001363729633 1132070668 /nfs/dbraw/zinc/07/06/68/1132070668.db2.gz YHTLQZRCXKBFAH-CABZTGNLSA-N -1 1 330.352 -0.249 20 0 EBADMM CO[C@]1(C)C[C@H]([N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)C1(C)C ZINC001363784275 1132087804 /nfs/dbraw/zinc/08/78/04/1132087804.db2.gz HRXKYSSYRREFGR-TVQRCGJNSA-N -1 1 331.394 -0.032 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC(O)(c2ccnn2C)CC1 ZINC001363825472 1132103070 /nfs/dbraw/zinc/10/30/70/1132103070.db2.gz KKAJIDZYAWRXQH-UHFFFAOYSA-N -1 1 349.347 -0.246 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCC2([S@@](C)=O)CCC2)[n-]n1 ZINC001363878050 1132117009 /nfs/dbraw/zinc/11/70/09/1132117009.db2.gz UHEDKHGXPIKTHM-HXUWFJFHSA-N -1 1 335.407 -0.224 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCC2([S@@](C)=O)CCC2)n[n-]1 ZINC001363878050 1132117015 /nfs/dbraw/zinc/11/70/15/1132117015.db2.gz UHEDKHGXPIKTHM-HXUWFJFHSA-N -1 1 335.407 -0.224 20 0 EBADMM CCOC(=O)[C@H]1CSCN1S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001363877804 1132117085 /nfs/dbraw/zinc/11/70/85/1132117085.db2.gz WRAOGQWYFUNUID-MRVPVSSYSA-N -1 1 349.390 -0.177 20 0 EBADMM CCOC(=O)[C@H]1CSCN1S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001363877804 1132117091 /nfs/dbraw/zinc/11/70/91/1132117091.db2.gz WRAOGQWYFUNUID-MRVPVSSYSA-N -1 1 349.390 -0.177 20 0 EBADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CN(C)S(C)(=O)=O)n[n-]1 ZINC001363886214 1132120349 /nfs/dbraw/zinc/12/03/49/1132120349.db2.gz MNTJYZVSBHJCNB-SSDOTTSWSA-N -1 1 333.370 -0.950 20 0 EBADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CN(C)S(C)(=O)=O)[n-]1 ZINC001363886214 1132120354 /nfs/dbraw/zinc/12/03/54/1132120354.db2.gz MNTJYZVSBHJCNB-SSDOTTSWSA-N -1 1 333.370 -0.950 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CN(C)S(C)(=O)=O)n1 ZINC001363886214 1132120357 /nfs/dbraw/zinc/12/03/57/1132120357.db2.gz MNTJYZVSBHJCNB-SSDOTTSWSA-N -1 1 333.370 -0.950 20 0 EBADMM Cc1nnc2n1C[C@H](C(=O)N(C)C)N(C(=O)c1cnncc1[O-])C2 ZINC001363900283 1132124907 /nfs/dbraw/zinc/12/49/07/1132124907.db2.gz OZYVTRHXLNGIMP-SNVBAGLBSA-N -1 1 331.336 -0.805 20 0 EBADMM COC(=O)C[C@]1([N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C)CCOC1 ZINC001363960154 1132144203 /nfs/dbraw/zinc/14/42/03/1132144203.db2.gz DYIQVWTXAQTFLR-CFMSYZGJSA-N -1 1 343.427 -0.883 20 0 EBADMM COC(=O)[C@H](C[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)CC(C)C ZINC001363977869 1132151430 /nfs/dbraw/zinc/15/14/30/1132151430.db2.gz JFGDNRCDVGDEOH-VIFPVBQESA-N -1 1 347.393 -0.401 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@H](C)CS(C)(=O)=O)[n-]n1 ZINC001363978481 1132151598 /nfs/dbraw/zinc/15/15/98/1132151598.db2.gz UGIZSTPAYBUSEZ-SSDOTTSWSA-N -1 1 339.395 -0.750 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@H](C)CS(C)(=O)=O)n[n-]1 ZINC001363978481 1132151602 /nfs/dbraw/zinc/15/16/02/1132151602.db2.gz UGIZSTPAYBUSEZ-SSDOTTSWSA-N -1 1 339.395 -0.750 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@@H]2CCOC[C@@H]2OC)[n-]n1 ZINC001363982209 1132153081 /nfs/dbraw/zinc/15/30/81/1132153081.db2.gz CVWZGFDZMOYWFO-WPRPVWTQSA-N -1 1 333.366 -0.474 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@@H]2CCOC[C@@H]2OC)n[n-]1 ZINC001363982209 1132153086 /nfs/dbraw/zinc/15/30/86/1132153086.db2.gz CVWZGFDZMOYWFO-WPRPVWTQSA-N -1 1 333.366 -0.474 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@@H]2CCCN(C(C)=O)C2)[n-]n1 ZINC001363986767 1132154856 /nfs/dbraw/zinc/15/48/56/1132154856.db2.gz PSFWZMUWAGAJAE-JTQLQIEISA-N -1 1 344.393 -0.267 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@@H]2CCCN(C(C)=O)C2)n[n-]1 ZINC001363986767 1132154863 /nfs/dbraw/zinc/15/48/63/1132154863.db2.gz PSFWZMUWAGAJAE-JTQLQIEISA-N -1 1 344.393 -0.267 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2COc3ccccc32)c(=O)[nH]c1=O ZINC001364013147 1132166353 /nfs/dbraw/zinc/16/63/53/1132166353.db2.gz XHACOEMWIAFNAY-SECBINFHSA-N -1 1 337.357 -0.060 20 0 EBADMM CCOC(=O)c1nnc([C@@H](C)NS(=O)(=O)[C@@H](C)C(=O)N(C)C)[n-]1 ZINC001364019544 1132167704 /nfs/dbraw/zinc/16/77/04/1132167704.db2.gz DHDZVFWIDFMXDD-SFYZADRCSA-N -1 1 347.397 -0.562 20 0 EBADMM CCOC(=O)c1nc([C@@H](C)NS(=O)(=O)[C@@H](C)C(=O)N(C)C)n[n-]1 ZINC001364019544 1132167705 /nfs/dbraw/zinc/16/77/05/1132167705.db2.gz DHDZVFWIDFMXDD-SFYZADRCSA-N -1 1 347.397 -0.562 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](C)NS(=O)(=O)[C@@H](C)C(=O)N(C)C)n1 ZINC001364019544 1132167709 /nfs/dbraw/zinc/16/77/09/1132167709.db2.gz DHDZVFWIDFMXDD-SFYZADRCSA-N -1 1 347.397 -0.562 20 0 EBADMM CCOC(=O)c1nnc([C@@H](C)NS(=O)(=O)[C@H](C)C(=O)N(C)C)[n-]1 ZINC001364019536 1132167771 /nfs/dbraw/zinc/16/77/71/1132167771.db2.gz DHDZVFWIDFMXDD-HTQZYQBOSA-N -1 1 347.397 -0.562 20 0 EBADMM CCOC(=O)c1nc([C@@H](C)NS(=O)(=O)[C@H](C)C(=O)N(C)C)n[n-]1 ZINC001364019536 1132167772 /nfs/dbraw/zinc/16/77/72/1132167772.db2.gz DHDZVFWIDFMXDD-HTQZYQBOSA-N -1 1 347.397 -0.562 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](C)NS(=O)(=O)[C@H](C)C(=O)N(C)C)n1 ZINC001364019536 1132167773 /nfs/dbraw/zinc/16/77/73/1132167773.db2.gz DHDZVFWIDFMXDD-HTQZYQBOSA-N -1 1 347.397 -0.562 20 0 EBADMM CS(=O)(=O)c1ccc(C[N-]S(=O)(=O)N=S(C)(C)=O)cn1 ZINC001364033197 1132175223 /nfs/dbraw/zinc/17/52/23/1132175223.db2.gz OQSMRCUAPKCRKM-UHFFFAOYSA-N -1 1 341.436 -0.453 20 0 EBADMM CCn1ncc(C[N-]S(=O)(=O)c2n[nH]c(C)c2C(=O)OC)n1 ZINC001364035331 1132175476 /nfs/dbraw/zinc/17/54/76/1132175476.db2.gz LJVRIHGXYBOVQH-UHFFFAOYSA-N -1 1 328.354 -0.405 20 0 EBADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)c2ncnn21 ZINC001364036405 1132176423 /nfs/dbraw/zinc/17/64/23/1132176423.db2.gz ZTWQKOQVEYMIQV-NKWVEPMBSA-N -1 1 326.338 -0.938 20 0 EBADMM COC(=O)[C@@H](Cc1cncs1)[N-]S(=O)(=O)[C@H](C)C(=O)N(C)C ZINC001364039903 1132178729 /nfs/dbraw/zinc/17/87/29/1132178729.db2.gz IPGNMRRBOFUMPR-PSASIEDQSA-N -1 1 349.434 -0.377 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCCN(CCF)C2)[n-]n1 ZINC001364052914 1132185827 /nfs/dbraw/zinc/18/58/27/1132185827.db2.gz MUJBMFSQRRKMQR-VIFPVBQESA-N -1 1 334.373 -0.092 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCCN(CCF)C2)n[n-]1 ZINC001364052914 1132185831 /nfs/dbraw/zinc/18/58/31/1132185831.db2.gz MUJBMFSQRRKMQR-VIFPVBQESA-N -1 1 334.373 -0.092 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2C[C@H]2C2CCOCC2)c(=O)[nH]c1=O ZINC001364079224 1132199110 /nfs/dbraw/zinc/19/91/10/1132199110.db2.gz XOJFQJAYCXYZRH-VHSXEESVSA-N -1 1 329.378 -0.421 20 0 EBADMM COC(=O)c1c(NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)n[n-]c1OCCO ZINC001364104311 1132206655 /nfs/dbraw/zinc/20/66/55/1132206655.db2.gz NJRFYVCVSQTHFE-BIIVNPBRSA-N -1 1 337.332 -0.071 20 0 EBADMM COC(=O)c1c(NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)[n-]nc1OCCO ZINC001364104311 1132206660 /nfs/dbraw/zinc/20/66/60/1132206660.db2.gz NJRFYVCVSQTHFE-BIIVNPBRSA-N -1 1 337.332 -0.071 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]CC(=O)c2cnn(C)c2)c1 ZINC001364115062 1132211783 /nfs/dbraw/zinc/21/17/83/1132211783.db2.gz HBPGGYMANQDIQY-UHFFFAOYSA-N -1 1 327.318 -0.039 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-][C@@](C)(Cn2cccn2)C(N)=O)c1Cl ZINC001364156025 1132221971 /nfs/dbraw/zinc/22/19/71/1132221971.db2.gz XXCODBOHXOIJFP-NSHDSACASA-N -1 1 346.800 -0.508 20 0 EBADMM CCN(C)C(=O)[C@@H](C)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001364327232 1132277662 /nfs/dbraw/zinc/27/76/62/1132277662.db2.gz ZKIWKMRPFIIVKE-SECBINFHSA-N -1 1 337.380 -0.079 20 0 EBADMM Cn1[n-]c(CN2CCC(O)(CNC(=O)OC(C)(C)C)CC2)nc1=O ZINC001364442894 1132318783 /nfs/dbraw/zinc/31/87/83/1132318783.db2.gz CUWLYCSRNQUNRZ-UHFFFAOYSA-N -1 1 341.412 -0.040 20 0 EBADMM COC(=O)[C@@H]1OCC[C@@H]1CNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001364493364 1132339060 /nfs/dbraw/zinc/33/90/60/1132339060.db2.gz OYIODATZPFFVOG-HTRCEHHLSA-N -1 1 327.293 -0.796 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)CCN2CCOCC2)[n-]n1 ZINC001364793991 1132428635 /nfs/dbraw/zinc/42/86/35/1132428635.db2.gz BXTGMWUKXYUDLO-UHFFFAOYSA-N -1 1 332.382 -0.851 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)CCN2CCOCC2)n[n-]1 ZINC001364793991 1132428636 /nfs/dbraw/zinc/42/86/36/1132428636.db2.gz BXTGMWUKXYUDLO-UHFFFAOYSA-N -1 1 332.382 -0.851 20 0 EBADMM NC(=O)[C@@H]1CC[C@H](C[N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)O1 ZINC001364793681 1132428817 /nfs/dbraw/zinc/42/88/17/1132428817.db2.gz KYHAWSFSYQZJCE-RITPCOANSA-N -1 1 342.299 -0.260 20 0 EBADMM COC[C@H](NC(=O)[C@@H]1C[C@]12c1ccccc1NC2=O)c1nn[n-]n1 ZINC001364814804 1132436260 /nfs/dbraw/zinc/43/62/60/1132436260.db2.gz NGXPOEKMKAYUJV-ZSGNIPIJSA-N -1 1 328.332 -0.087 20 0 EBADMM COC[C@H](NC(=O)c1cc(C2CCOCC2)nn1C)c1nn[n-]n1 ZINC001364815983 1132437213 /nfs/dbraw/zinc/43/72/13/1132437213.db2.gz RVRKUZFVVXTHQW-NSHDSACASA-N -1 1 335.368 -0.055 20 0 EBADMM COC[C@@H](NC(=O)c1csc(S(C)(=O)=O)c1)c1nn[n-]n1 ZINC001364815871 1132437579 /nfs/dbraw/zinc/43/75/79/1132437579.db2.gz RFDIVFQDHCJCDP-SSDOTTSWSA-N -1 1 331.379 -0.218 20 0 EBADMM CO[C@]1(C(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)CCS(=O)(=O)C1 ZINC001364817368 1132438186 /nfs/dbraw/zinc/43/81/86/1132438186.db2.gz AMVVORANVFPYSW-ZWNOBZJWSA-N -1 1 343.409 -0.816 20 0 EBADMM CCO[C@@H]1COCC[C@@H]1NS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001364818559 1132438274 /nfs/dbraw/zinc/43/82/74/1132438274.db2.gz LOPCDDSIQWZQAK-WCBMZHEXSA-N -1 1 333.366 -0.331 20 0 EBADMM CCO[C@@H]1COCC[C@@H]1NS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001364818559 1132438279 /nfs/dbraw/zinc/43/82/79/1132438279.db2.gz LOPCDDSIQWZQAK-WCBMZHEXSA-N -1 1 333.366 -0.331 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCO[C@@]3(CCOC3)C2)[n-]n1 ZINC001364832174 1132446540 /nfs/dbraw/zinc/44/65/40/1132446540.db2.gz ZHAFUARAJWMLRU-ZANVPECISA-N -1 1 345.377 -0.187 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCO[C@@]3(CCOC3)C2)n[n-]1 ZINC001364832174 1132446544 /nfs/dbraw/zinc/44/65/44/1132446544.db2.gz ZHAFUARAJWMLRU-ZANVPECISA-N -1 1 345.377 -0.187 20 0 EBADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]C1(C(=O)OC)CCOCC1 ZINC001364841252 1132450151 /nfs/dbraw/zinc/45/01/51/1132450151.db2.gz BHGQSOHRXKQAPZ-UHFFFAOYSA-N -1 1 337.394 -0.173 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCS(=O)(=O)C[C@@H]2C)[n-]n1 ZINC001364845862 1132450758 /nfs/dbraw/zinc/45/07/58/1132450758.db2.gz XQDBUWUSNZVRQX-ZETCQYMHSA-N -1 1 337.379 -0.996 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCS(=O)(=O)C[C@@H]2C)n[n-]1 ZINC001364845862 1132450763 /nfs/dbraw/zinc/45/07/63/1132450763.db2.gz XQDBUWUSNZVRQX-ZETCQYMHSA-N -1 1 337.379 -0.996 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2C[C@H]3CCCCN3C2=O)[n-]n1 ZINC001364874973 1132463163 /nfs/dbraw/zinc/46/31/63/1132463163.db2.gz JOKFZFTVKSLENV-RKDXNWHRSA-N -1 1 342.377 -0.372 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2C[C@H]3CCCCN3C2=O)n[n-]1 ZINC001364874973 1132463164 /nfs/dbraw/zinc/46/31/64/1132463164.db2.gz JOKFZFTVKSLENV-RKDXNWHRSA-N -1 1 342.377 -0.372 20 0 EBADMM CC1(C)CCC[C@H](CS(=O)(=O)[N-][C@@H]2CS(=O)(=O)C[C@H]2O)O1 ZINC001364903053 1132476790 /nfs/dbraw/zinc/47/67/90/1132476790.db2.gz QKHDWYIBILEFPV-GMTAPVOTSA-N -1 1 341.451 -0.589 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCN(C)CC(F)F)[n-]n1 ZINC001364913620 1132481474 /nfs/dbraw/zinc/48/14/74/1132481474.db2.gz BKBBYKVYIGNPHT-UHFFFAOYSA-N -1 1 326.325 -0.329 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCN(C)CC(F)F)n[n-]1 ZINC001364913620 1132481481 /nfs/dbraw/zinc/48/14/81/1132481481.db2.gz BKBBYKVYIGNPHT-UHFFFAOYSA-N -1 1 326.325 -0.329 20 0 EBADMM O=S(=O)(Cc1ccc(F)cc1F)[N-][C@@H]1CS(=O)(=O)C[C@H]1O ZINC001364927635 1132489651 /nfs/dbraw/zinc/48/96/51/1132489651.db2.gz LUGZUDHCFYDNPG-GHMZBOCLSA-N -1 1 341.357 -0.458 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2cnc(Cl)cn2)c(=O)[nH]c1=O ZINC001364965321 1132505668 /nfs/dbraw/zinc/50/56/68/1132505668.db2.gz XHAAKBMUIVNISE-UHFFFAOYSA-N -1 1 331.741 -0.592 20 0 EBADMM COC(=O)CCCS(=O)(=O)[N-][C@@](C)(Cn1cccn1)C(=O)OC ZINC001364970354 1132507466 /nfs/dbraw/zinc/50/74/66/1132507466.db2.gz MLJRIFSJTRREMZ-ZDUSSCGKSA-N -1 1 347.393 -0.313 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@]2(C)CCS(=O)(=O)C2)[n-]n1 ZINC001365014758 1132520143 /nfs/dbraw/zinc/52/01/43/1132520143.db2.gz BTSDIDIJSIWSSA-SNVBAGLBSA-N -1 1 337.379 -0.948 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@]2(C)CCS(=O)(=O)C2)n[n-]1 ZINC001365014758 1132520151 /nfs/dbraw/zinc/52/01/51/1132520151.db2.gz BTSDIDIJSIWSSA-SNVBAGLBSA-N -1 1 337.379 -0.948 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC(C(=O)OC)CC2)[n-]n1 ZINC001365016529 1132520769 /nfs/dbraw/zinc/52/07/69/1132520769.db2.gz BEDKERHMVWICOW-UHFFFAOYSA-N -1 1 331.350 -0.230 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC(C(=O)OC)CC2)n[n-]1 ZINC001365016529 1132520775 /nfs/dbraw/zinc/52/07/75/1132520775.db2.gz BEDKERHMVWICOW-UHFFFAOYSA-N -1 1 331.350 -0.230 20 0 EBADMM CNC(=O)C1(NS(=O)(=O)c2cc(C(=O)OC)n[n-]2)CCCC1 ZINC001365038578 1132526095 /nfs/dbraw/zinc/52/60/95/1132526095.db2.gz YWAWNEIGIGCBOE-UHFFFAOYSA-N -1 1 330.366 -0.467 20 0 EBADMM CNC(=O)C1(NS(=O)(=O)c2cc(C(=O)OC)[n-]n2)CCCC1 ZINC001365038578 1132526103 /nfs/dbraw/zinc/52/61/03/1132526103.db2.gz YWAWNEIGIGCBOE-UHFFFAOYSA-N -1 1 330.366 -0.467 20 0 EBADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@@H](C(=O)OC)C1CC1 ZINC001365133057 1132554638 /nfs/dbraw/zinc/55/46/38/1132554638.db2.gz FUWLQIMEBYWOCQ-SECBINFHSA-N -1 1 331.350 -0.265 20 0 EBADMM CN(C)S(=O)(=O)c1cnn(Cc2nc3c(c(=O)[n-]2)COCC3)c1 ZINC001365252347 1132594778 /nfs/dbraw/zinc/59/47/78/1132594778.db2.gz ZNLMLDQVKLNVJU-UHFFFAOYSA-N -1 1 339.377 -0.250 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)OC(C)(C)C)C[C@H]2CO)nc1=O ZINC001365330438 1132625324 /nfs/dbraw/zinc/62/53/24/1132625324.db2.gz NIVGPFQSDCVJNJ-JTQLQIEISA-N -1 1 327.385 -0.478 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@](C)(CO)c2ccccc2)c(=O)[nH]c1=O ZINC001365375082 1132639603 /nfs/dbraw/zinc/63/96/03/1132639603.db2.gz BRLNNSQTLJEBEG-CQSZACIVSA-N -1 1 339.373 -0.328 20 0 EBADMM COCC[C@@]1([N-]S(=O)(=O)c2n[nH]c(C)c2C(=O)OC)CCOC1 ZINC001365405588 1132655132 /nfs/dbraw/zinc/65/51/32/1132655132.db2.gz MYPWGLGWRDTEBD-CYBMUJFWSA-N -1 1 347.393 -0.021 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCN(C(C)(C)C)C1=O ZINC001365425349 1132665919 /nfs/dbraw/zinc/66/59/19/1132665919.db2.gz NJELHGVJXKPEMF-SECBINFHSA-N -1 1 344.393 -0.126 20 0 EBADMM NC(=O)[C@]1([N-]S(=O)(=O)C[C@@H]2COc3ccccc3O2)CCOC1 ZINC001365426713 1132666770 /nfs/dbraw/zinc/66/67/70/1132666770.db2.gz ANHCCEHHNJELBZ-HZMBPMFUSA-N -1 1 342.373 -0.610 20 0 EBADMM CN(Cc1nncn1C)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001365428935 1132668663 /nfs/dbraw/zinc/66/86/63/1132668663.db2.gz NCBTZLXUURNWRM-UHFFFAOYSA-N -1 1 333.352 -0.275 20 0 EBADMM COC(=O)[C@](C)(CCF)[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001365448494 1132681943 /nfs/dbraw/zinc/68/19/43/1132681943.db2.gz OTLAPDLYDZIJOQ-NSHDSACASA-N -1 1 337.329 -0.945 20 0 EBADMM CCOC[C@@H]1CN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)CCO1 ZINC001365450120 1132683163 /nfs/dbraw/zinc/68/31/63/1132683163.db2.gz AGMMLJKVYJGSFH-VIFPVBQESA-N -1 1 333.366 -0.378 20 0 EBADMM CCOC[C@@H]1CN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)CCO1 ZINC001365450120 1132683172 /nfs/dbraw/zinc/68/31/72/1132683172.db2.gz AGMMLJKVYJGSFH-VIFPVBQESA-N -1 1 333.366 -0.378 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC(=O)C2(C)CCCC2)c(=O)[nH]c1=O ZINC001365453354 1132685468 /nfs/dbraw/zinc/68/54/68/1132685468.db2.gz VWZRXXHNTXOSOP-UHFFFAOYSA-N -1 1 329.378 -0.086 20 0 EBADMM CCC1(CC)CNC(=O)[C@H]1[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001365462651 1132694503 /nfs/dbraw/zinc/69/45/03/1132694503.db2.gz KDOKNWQUJYAFJV-SECBINFHSA-N -1 1 344.393 -0.931 20 0 EBADMM CCOC(=O)N1C[C@@H](CO)[C@@H]([N-]S(=O)(=O)c2ccns2)C1 ZINC001365471584 1132700547 /nfs/dbraw/zinc/70/05/47/1132700547.db2.gz ZZZPCDIYTBJHJX-IUCAKERBSA-N -1 1 335.407 -0.129 20 0 EBADMM COC(=O)CNC(=O)CNC(=O)Nc1ccc(-n2ccc(=O)[n-]2)cc1 ZINC001365514724 1132707713 /nfs/dbraw/zinc/70/77/13/1132707713.db2.gz GESDUSJZCDEDHV-UHFFFAOYSA-N -1 1 347.331 -0.011 20 0 EBADMM CO[C@H]1COCC[C@H]1N(C)C(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC001365539441 1132714614 /nfs/dbraw/zinc/71/46/14/1132714614.db2.gz UACYGNNZVWNBFX-ZJUUUORDSA-N -1 1 338.368 -0.036 20 0 EBADMM O=C(CN1CCN(C(=O)c2ncccc2[O-])CC1)Nc1cnccn1 ZINC001365628478 1132738911 /nfs/dbraw/zinc/73/89/11/1132738911.db2.gz NFNCAXGDTJIAPO-UHFFFAOYSA-N -1 1 342.359 -0.026 20 0 EBADMM COCCC1(C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)CCC1 ZINC001365680208 1132760258 /nfs/dbraw/zinc/76/02/58/1132760258.db2.gz BWDXZUBMTBMMDR-UHFFFAOYSA-N -1 1 331.394 -0.029 20 0 EBADMM CCOC(=O)[C@H](C)NC(=O)[C@H](C)[N-]S(=O)(=O)c1ccns1 ZINC001365703885 1132768849 /nfs/dbraw/zinc/76/88/49/1132768849.db2.gz SDYOPPXLOYXAKJ-YUMQZZPRSA-N -1 1 335.407 -0.122 20 0 EBADMM CCN1C[C@@H](C[N-]S(=O)(=O)c2n[nH]cc2C(=O)OC)CC1=O ZINC001365719913 1132775034 /nfs/dbraw/zinc/77/50/34/1132775034.db2.gz ODYJBRFSUDUKCS-MRVPVSSYSA-N -1 1 330.366 -0.657 20 0 EBADMM CO[C@H](CS(=O)(=O)[N-][C@@H]1CS(=O)(=O)C[C@H]1O)c1ccccc1 ZINC001365726118 1132777193 /nfs/dbraw/zinc/77/71/93/1132777193.db2.gz PIQOBBKUPFOHJG-JHJVBQTASA-N -1 1 349.430 -0.549 20 0 EBADMM Cn1cnnc1[C@@H](CO)[N-]S(=O)(=O)c1cccc2c1COC2=O ZINC001365734855 1132783735 /nfs/dbraw/zinc/78/37/35/1132783735.db2.gz RSSOWSZBFQUGJJ-SNVBAGLBSA-N -1 1 338.345 -0.503 20 0 EBADMM Cc1conc1CS(=O)(=O)[N-][C@](C)(Cn1cccn1)C(N)=O ZINC001365747212 1132789423 /nfs/dbraw/zinc/78/94/23/1132789423.db2.gz JWNGNIYDLRVRGH-GFCCVEGCSA-N -1 1 327.366 -0.457 20 0 EBADMM Cn1[n-]c(CN(CCO)[C@@H]2CCCN(C(=O)[C@H]3CC34CC4)C2)nc1=O ZINC001365884785 1132862863 /nfs/dbraw/zinc/86/28/63/1132862863.db2.gz TUXWHKJEGMCNDM-CHWSQXEVSA-N -1 1 349.435 -0.306 20 0 EBADMM C[C@H](CC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)c1cnn(C)c1 ZINC001365991806 1132951891 /nfs/dbraw/zinc/95/18/91/1132951891.db2.gz WVUSIWQKOFTWLU-DGCLKSJQSA-N -1 1 347.423 -0.274 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCN(C)Cc1nccn1C ZINC001366584945 1133198357 /nfs/dbraw/zinc/19/83/57/1133198357.db2.gz NTPHJNLATVGRDJ-UHFFFAOYSA-N -1 1 336.352 -0.508 20 0 EBADMM CCN(CCNC(=O)c1cnc(N(C)C)cn1)Cc1nc(=O)n(C)[n-]1 ZINC001366610977 1133210411 /nfs/dbraw/zinc/21/04/11/1133210411.db2.gz LGMXSBPXHUVZOL-UHFFFAOYSA-N -1 1 348.411 -0.784 20 0 EBADMM CCN(CCNC(=O)c1c[nH]nc1C1CC1)Cc1nc(=O)n(C)[n-]1 ZINC001366639365 1133221506 /nfs/dbraw/zinc/22/15/06/1133221506.db2.gz HRSPPSAXVQRMMY-UHFFFAOYSA-N -1 1 333.396 -0.039 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)NCCN(Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001366739023 1133278075 /nfs/dbraw/zinc/27/80/75/1133278075.db2.gz JCDCTZZVQQVYDV-UHFFFAOYSA-N -1 1 335.368 -0.760 20 0 EBADMM C[C@@H](C(=O)NCCN(Cc1nc(=O)n(C)[n-]1)C1CC1)c1cnn(C)c1 ZINC001366766594 1133288427 /nfs/dbraw/zinc/28/84/27/1133288427.db2.gz YIWOMIUEMGZYCW-LLVKDONJSA-N -1 1 347.423 -0.274 20 0 EBADMM CCCN(C(=O)[C@H]1[C@@H]2COC[C@@H]21)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001366804299 1133302294 /nfs/dbraw/zinc/30/22/94/1133302294.db2.gz HNAHPUJOPRIZDT-RMRHIDDWSA-N -1 1 349.435 -0.186 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)CCc3ccon3)C2)nc1=O ZINC001366902481 1133352359 /nfs/dbraw/zinc/35/23/59/1133352359.db2.gz FTNMULIJSMJZNU-LBPRGKRZSA-N -1 1 334.380 -0.190 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)COCc3cccnc3)C2)nc1=O ZINC001366952201 1133382240 /nfs/dbraw/zinc/38/22/40/1133382240.db2.gz HBTBJRJEHPOJGI-UHFFFAOYSA-N -1 1 332.364 -0.979 20 0 EBADMM Cc1ccn([C@H](C)CC(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001367034225 1133441993 /nfs/dbraw/zinc/44/19/93/1133441993.db2.gz LQBVBIOUMZRHGX-CHWSQXEVSA-N -1 1 347.423 -0.045 20 0 EBADMM COCCn1cc(C(=O)N(C)[C@H](C)CNCc2n[nH]c(=O)[n-]2)cn1 ZINC001367403717 1133580277 /nfs/dbraw/zinc/58/02/77/1133580277.db2.gz CSVBRBODEOYHHE-SNVBAGLBSA-N -1 1 337.384 -0.397 20 0 EBADMM CC(C)[C@@H](CNC(=O)[C@@]1(C)CCC(=O)NC1)NCc1n[nH]c(=O)[n-]1 ZINC001367476746 1133598014 /nfs/dbraw/zinc/59/80/14/1133598014.db2.gz CVIVJBMLEPHMGY-BMIGLBTASA-N -1 1 338.412 -0.343 20 0 EBADMM CC(C)[C@H](CNC(=O)[C@]1(C)CCC(=O)NC1)NCc1n[nH]c(=O)[n-]1 ZINC001367476762 1133598491 /nfs/dbraw/zinc/59/84/91/1133598491.db2.gz CVIVJBMLEPHMGY-ZUZCIYMTSA-N -1 1 338.412 -0.343 20 0 EBADMM CN(CCN(C)C(=O)c1ccc(C(N)=O)o1)Cc1nc(=O)n(C)[n-]1 ZINC001367522031 1133613189 /nfs/dbraw/zinc/61/31/89/1133613189.db2.gz JVTDUGLZBFPTKN-UHFFFAOYSA-N -1 1 336.352 -0.996 20 0 EBADMM O=C(NC[C@H](O)CNC/C(Cl)=C/Cl)c1n[nH]c(=O)[n-]c1=O ZINC001367599817 1133676004 /nfs/dbraw/zinc/67/60/04/1133676004.db2.gz BOZBBAUTOZTEAN-JEXOMDIQSA-N -1 1 338.151 -0.718 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1COCCN1CC(F)F ZINC001367746047 1133769660 /nfs/dbraw/zinc/76/96/60/1133769660.db2.gz KOTFMNSAUFLTHN-DTWKUNHWSA-N -1 1 348.354 -0.930 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CNC(=O)c1ccsc1 ZINC001367776999 1133781422 /nfs/dbraw/zinc/78/14/22/1133781422.db2.gz WOMLDZOGUIOYNR-QMMMGPOBSA-N -1 1 338.393 -0.404 20 0 EBADMM C[C@H](C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)c1cnn(C)c1 ZINC001367976734 1133875926 /nfs/dbraw/zinc/87/59/26/1133875926.db2.gz LYLHYXZHZSIYSO-JQWIXIFHSA-N -1 1 333.396 -0.664 20 0 EBADMM C[C@@H](Oc1ccccn1)C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001368085817 1133931003 /nfs/dbraw/zinc/93/10/03/1133931003.db2.gz HPUCUDWVGOVWRJ-LLVKDONJSA-N -1 1 346.391 -0.387 20 0 EBADMM C[C@H](C(=O)N[C@@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1)n1cncn1 ZINC001368145069 1133956944 /nfs/dbraw/zinc/95/69/44/1133956944.db2.gz BNQWVUNAJWOKME-YGRLFVJLSA-N -1 1 334.384 -0.958 20 0 EBADMM Cc1nc(CC(=O)NC[C@@]2(C)CCCN(Cc3n[nH]c(=O)[n-]3)C2)n[nH]1 ZINC001368217911 1133993076 /nfs/dbraw/zinc/99/30/76/1133993076.db2.gz NJVMWLBSPYXQJI-OAHLLOKOSA-N -1 1 348.411 -0.102 20 0 EBADMM O=C(Cc1cnoc1)NCC1(NCc2n[nH]c(=O)[n-]2)CCOCC1 ZINC001368233606 1134000997 /nfs/dbraw/zinc/00/09/97/1134000997.db2.gz JALYETONMWHCNC-UHFFFAOYSA-N -1 1 336.352 -0.504 20 0 EBADMM COCCN1CCC[C@](CO)(NC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001368371025 1134175136 /nfs/dbraw/zinc/17/51/36/1134175136.db2.gz DKUWLNBAPWEAFB-INIZCTEOSA-N -1 1 349.391 -0.774 20 0 EBADMM O=C(NC1(CNCc2n[nH]c(=O)[n-]2)CCOCC1)[C@H]1CCCCO1 ZINC001368680255 1134378909 /nfs/dbraw/zinc/37/89/09/1134378909.db2.gz YYCXOKBEMKBYOP-LLVKDONJSA-N -1 1 339.396 -0.166 20 0 EBADMM Cc1cc[nH]c1C(=O)N1CCC(O)(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001368686043 1134385297 /nfs/dbraw/zinc/38/52/97/1134385297.db2.gz LPNRTSMDYKIAHX-UHFFFAOYSA-N -1 1 334.380 -0.096 20 0 EBADMM CO[C@H](C)CC(=O)N1CCC[C@@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001368795117 1134444477 /nfs/dbraw/zinc/44/44/77/1134444477.db2.gz XLQWBIVPHUJYCF-VXGBXAGGSA-N -1 1 325.413 -0.044 20 0 EBADMM C[C@H](NC(=O)Cc1ccc(F)cn1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001369080224 1134555988 /nfs/dbraw/zinc/55/59/88/1134555988.db2.gz BCTVTAPXRJZSIH-JTQLQIEISA-N -1 1 348.382 -0.178 20 0 EBADMM C[C@H](C(=O)N(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)S(C)(=O)=O ZINC001369206731 1134616337 /nfs/dbraw/zinc/61/63/37/1134616337.db2.gz LXWFRDFCCGLVAF-SCZZXKLOSA-N -1 1 345.425 -0.730 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cc(C(N)=O)cs1)NCc1n[nH]c(=O)[n-]1 ZINC001369312430 1134653474 /nfs/dbraw/zinc/65/34/74/1134653474.db2.gz OCPUUJJJBODZFB-ZETCQYMHSA-N -1 1 338.393 -0.079 20 0 EBADMM Cn1[n-]c(CN[C@H](CO)CNC(=O)c2ccc(Cl)o2)nc1=O ZINC001369317377 1134657590 /nfs/dbraw/zinc/65/75/90/1134657590.db2.gz ZFGIXTJYTQSTIA-ZETCQYMHSA-N -1 1 329.744 -0.765 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCCC(=O)N(C)C ZINC001369407955 1134764837 /nfs/dbraw/zinc/76/48/37/1134764837.db2.gz UJNBULDHCXWCSG-QWRGUYRKSA-N -1 1 338.412 -0.152 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCCC(=O)N(C)C ZINC001369407948 1134764900 /nfs/dbraw/zinc/76/49/00/1134764900.db2.gz UJNBULDHCXWCSG-MNOVXSKESA-N -1 1 338.412 -0.152 20 0 EBADMM C[C@H]1[C@@H](NC(=O)CC(C)(C)O)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001369418630 1134771546 /nfs/dbraw/zinc/77/15/46/1134771546.db2.gz BWUKXOLBUZIYMD-QWRGUYRKSA-N -1 1 325.413 -0.262 20 0 EBADMM CN(C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)C1(C)CC(F)(F)C1 ZINC001369479994 1134844744 /nfs/dbraw/zinc/84/47/44/1134844744.db2.gz MOZQSOYMXVDGKM-MRVPVSSYSA-N -1 1 333.339 -0.145 20 0 EBADMM CN(C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)[C@H]1Cc2ccccc21 ZINC001369489585 1134862880 /nfs/dbraw/zinc/86/28/80/1134862880.db2.gz WLMMGUVUFWFIPQ-AAEUAGOBSA-N -1 1 331.376 -0.241 20 0 EBADMM COC(=O)NCC(=O)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001369624180 1134963775 /nfs/dbraw/zinc/96/37/75/1134963775.db2.gz ZLNYPCMICXUJID-UHFFFAOYSA-N -1 1 336.348 -0.232 20 0 EBADMM CCC(=O)NCC(=O)N1CCCC[C@@H]1[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001369743858 1135004447 /nfs/dbraw/zinc/00/44/47/1135004447.db2.gz CPCGCEKLIXDEIJ-GHMZBOCLSA-N -1 1 338.412 -0.104 20 0 EBADMM C[C@H](C(=O)NC[C@H](C)N(C)C(=O)c1ncccc1[O-])S(C)(=O)=O ZINC001369891173 1135075964 /nfs/dbraw/zinc/07/59/64/1135075964.db2.gz LYZDEROAYHHWGS-VHSXEESVSA-N -1 1 343.405 -0.203 20 0 EBADMM C[C@@H](O)CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001370333389 1135308971 /nfs/dbraw/zinc/30/89/71/1135308971.db2.gz WUKNYDCHLRAHMA-MXWKQRLJSA-N -1 1 337.380 -0.267 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cn(C)c(=O)n1C)NC(=O)c1ncccc1[O-] ZINC001370538907 1135399971 /nfs/dbraw/zinc/39/99/71/1135399971.db2.gz OISRXPAIUDSNIZ-JTQLQIEISA-N -1 1 347.375 -0.285 20 0 EBADMM Cc1cnc(C(=O)NC[C@@H](CO)NC(=O)c2ncccc2[O-])cn1 ZINC001370634899 1135438967 /nfs/dbraw/zinc/43/89/67/1135438967.db2.gz HXKDKKIVDXXTFS-JTQLQIEISA-N -1 1 331.332 -0.594 20 0 EBADMM Cc1cc(CC(=O)NC[C@H](CO)NC(=O)c2ncccc2[O-])no1 ZINC001370668517 1135473060 /nfs/dbraw/zinc/47/30/60/1135473060.db2.gz NTGKTZRBMGQBCN-LLVKDONJSA-N -1 1 334.332 -0.467 20 0 EBADMM Cc1noc(C)c1CC(=O)NC[C@@H](CO)NC(=O)c1ncccc1[O-] ZINC001370691249 1135504627 /nfs/dbraw/zinc/50/46/27/1135504627.db2.gz GOCAPAMXFRNQMY-NSHDSACASA-N -1 1 348.359 -0.158 20 0 EBADMM CCO[C@H](C(=O)NC[C@@H](CO)NC(=O)c1ncccc1[O-])C1CC1 ZINC001370707898 1135527968 /nfs/dbraw/zinc/52/79/68/1135527968.db2.gz KDEZCNHTERSOFH-FZMZJTMJSA-N -1 1 337.376 -0.191 20 0 EBADMM CO[C@@H](C(=O)NC[C@H](CO)NC(=O)c1ncccc1[O-])C1CCC1 ZINC001370753203 1135594701 /nfs/dbraw/zinc/59/47/01/1135594701.db2.gz JVKYZXOUGAEJAC-BXUZGUMPSA-N -1 1 337.376 -0.191 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](CNC(=O)COCC(F)F)C2)nc1=O ZINC001370761233 1135604463 /nfs/dbraw/zinc/60/44/63/1135604463.db2.gz QSLNCJWDDLWAJF-SNVBAGLBSA-N -1 1 347.366 -0.282 20 0 EBADMM C[C@H](C(=O)NC[C@H](CO)NC(=O)c1ncccc1[O-])c1cnn(C)c1 ZINC001370795468 1135638933 /nfs/dbraw/zinc/63/89/33/1135638933.db2.gz DWFRJHLDVADQJN-CMPLNLGQSA-N -1 1 347.375 -0.469 20 0 EBADMM CN(C[C@@H](O)CNC(=O)c1ncccc1[O-])C(=O)c1cn(C)cn1 ZINC001370984037 1135734677 /nfs/dbraw/zinc/73/46/77/1135734677.db2.gz WHEBULAIXVNEHW-JTQLQIEISA-N -1 1 333.348 -0.616 20 0 EBADMM Cc1cc(C(=O)NC[C@H](O)CN(C)C(=O)c2ncccc2[O-])no1 ZINC001370991503 1135743964 /nfs/dbraw/zinc/74/39/64/1135743964.db2.gz UNGKEJOODQNGJK-JTQLQIEISA-N -1 1 334.332 -0.053 20 0 EBADMM COCC1(C(=O)NC[C@H](C)NCc2n[nH]c(=O)[n-]2)CCOCC1 ZINC001371208168 1135864879 /nfs/dbraw/zinc/86/48/79/1135864879.db2.gz PYDQVJMZADBXFK-JTQLQIEISA-N -1 1 327.385 -0.452 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])[C@H](C)CNC(=O)Cn1ncnn1 ZINC001371345270 1135893565 /nfs/dbraw/zinc/89/35/65/1135893565.db2.gz JNQRFSRNVVKWJD-SNVBAGLBSA-N -1 1 333.352 -0.559 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001371586442 1135976605 /nfs/dbraw/zinc/97/66/05/1135976605.db2.gz GZSPIGSWSDOKQA-PWSUYJOCSA-N -1 1 334.376 -0.015 20 0 EBADMM C[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@H](CNC(=O)CNC(N)=O)C1 ZINC001371741437 1136011907 /nfs/dbraw/zinc/01/19/07/1136011907.db2.gz RBMMLKXSFKOUAA-MNOVXSKESA-N -1 1 349.391 -0.188 20 0 EBADMM Cc1cc(=O)c(C(=O)NCC[C@H](C)NCc2n[nH]c(=O)[n-]2)cn1C ZINC001371772223 1136018115 /nfs/dbraw/zinc/01/81/15/1136018115.db2.gz SRHMKOHOHZGENL-VIFPVBQESA-N -1 1 334.380 -0.184 20 0 EBADMM CC[C@H](C(=O)NCCN(C)Cc1nc(=O)n(C)[n-]1)N1CCCC1=O ZINC001372220571 1136143635 /nfs/dbraw/zinc/14/36/35/1136143635.db2.gz FSLQBGMDGYHTQV-LLVKDONJSA-N -1 1 338.412 -0.943 20 0 EBADMM CN1C[C@H](C(=O)NC[C@H](NC(=O)c2ncccc2[O-])C2CC2)NC1=O ZINC001372411676 1136195556 /nfs/dbraw/zinc/19/55/56/1136195556.db2.gz KXMJCLXQJGZFQG-WDEREUQCSA-N -1 1 347.375 -0.565 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H]2CNC(=O)CCc2cnccn2)nc1=O ZINC001372552789 1136229735 /nfs/dbraw/zinc/22/97/35/1136229735.db2.gz JZVJBZKSIQQZHH-ZDUSSCGKSA-N -1 1 345.407 -0.388 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H](C)NC(=O)[C@@H]1CC1(F)F ZINC001372984772 1136346553 /nfs/dbraw/zinc/34/65/53/1136346553.db2.gz CTVMYBOPQOXODQ-RITPCOANSA-N -1 1 346.290 -0.214 20 0 EBADMM CCCN(C(=O)c1nonc1C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001373137604 1136378666 /nfs/dbraw/zinc/37/86/66/1136378666.db2.gz VBBXWIJAXODVOI-LLVKDONJSA-N -1 1 349.395 -0.073 20 0 EBADMM Cc1cnccc1CC(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001373508662 1136478993 /nfs/dbraw/zinc/47/89/93/1136478993.db2.gz QAQPTOKDJRBYJQ-UHFFFAOYSA-N -1 1 330.392 -0.397 20 0 EBADMM COCC1(C(=O)NCCN(C)C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001373562916 1136496827 /nfs/dbraw/zinc/49/68/27/1136496827.db2.gz NHGUAZIAWDZDDB-UHFFFAOYSA-N -1 1 348.363 -0.968 20 0 EBADMM COCC(=O)NC[C@@H]1CCCN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001373972038 1136596797 /nfs/dbraw/zinc/59/67/97/1136596797.db2.gz XUDOPNBMLTUHAO-QMMMGPOBSA-N -1 1 340.336 -0.736 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)C1CCS(=O)(=O)CC1 ZINC001374295000 1136668395 /nfs/dbraw/zinc/66/83/95/1136668395.db2.gz UOYWUJGEKVIOBM-VIFPVBQESA-N -1 1 345.425 -0.728 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)CC[C@]1(C)CCC(=O)N1 ZINC001374336405 1136676407 /nfs/dbraw/zinc/67/64/07/1136676407.db2.gz HFJOUTMWKNDFTD-BMIGLBTASA-N -1 1 338.412 -0.104 20 0 EBADMM COc1nccc(C(=O)NC[C@H](NCc2n[nH]c(=O)[n-]2)C(C)C)n1 ZINC001374429930 1136686977 /nfs/dbraw/zinc/68/69/77/1136686977.db2.gz YYRUOPLIIIAANR-JTQLQIEISA-N -1 1 335.368 -0.147 20 0 EBADMM CC(C)[C@@H](CNC(=O)C[C@H]1CCCC(=O)N1)NCc1n[nH]c(=O)[n-]1 ZINC001374429942 1136687126 /nfs/dbraw/zinc/68/71/26/1136687126.db2.gz ZFXXRVCKTLWCQG-GHMZBOCLSA-N -1 1 338.412 -0.201 20 0 EBADMM CCOC1CC(C(=O)N(C)CCN(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001374485431 1136699849 /nfs/dbraw/zinc/69/98/49/1136699849.db2.gz BCEKUXGUILQKII-UHFFFAOYSA-N -1 1 325.413 -0.186 20 0 EBADMM O=C(NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1)[C@H]1COc2ccccc21 ZINC001374676815 1136794454 /nfs/dbraw/zinc/79/44/54/1136794454.db2.gz NKRBLKMDLHILSL-ONGXEEELSA-N -1 1 333.348 -0.747 20 0 EBADMM C[C@@H](CN(C)CCN(C)S(C)(=O)=O)NC(=O)c1ncccc1[O-] ZINC001375033988 1136897526 /nfs/dbraw/zinc/89/75/26/1136897526.db2.gz TWBNYYQKVNMCPI-NSHDSACASA-N -1 1 344.437 -0.271 20 0 EBADMM C[C@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)c1cnn2c1OCCC2 ZINC001375072118 1136907436 /nfs/dbraw/zinc/90/74/36/1136907436.db2.gz KWNSFTRINIRBMO-SNVBAGLBSA-N -1 1 349.395 -0.662 20 0 EBADMM CC[C@H](CNC(=O)C[C@@H]1CCNC1=O)NC(=O)c1ncccc1[O-] ZINC001375104427 1136914852 /nfs/dbraw/zinc/91/48/52/1136914852.db2.gz CKECJINBXUJBNM-WDEREUQCSA-N -1 1 334.376 -0.062 20 0 EBADMM C[C@@H](CN(C)[C@H]1CCCNC1=O)NC(=O)c1c[n-]n2c1nccc2=O ZINC001375123665 1136920500 /nfs/dbraw/zinc/92/05/00/1136920500.db2.gz XAQHUXATGWWFHS-JQWIXIFHSA-N -1 1 346.391 -0.649 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@@H]1[N-]S(=O)(=O)[C@@H]1COC(C)(C)C1 ZINC000625278095 376040176 /nfs/dbraw/zinc/04/01/76/376040176.db2.gz LLTJOUZSNCSBTI-LPEHRKFASA-N -1 1 327.424 -0.715 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCc2ccccc2F)c(=O)n(C)c1=O ZINC000015334224 375988095 /nfs/dbraw/zinc/98/80/95/375988095.db2.gz CXYYOLSGGLAMAJ-UHFFFAOYSA-N -1 1 341.364 -0.256 20 0 EBADMM C[C@H](CN(C)C(=O)CNS(=O)(=O)c1ccccc1)c1nn[n-]n1 ZINC000566277212 376634049 /nfs/dbraw/zinc/63/40/49/376634049.db2.gz AANYPMIFIQRQPW-SNVBAGLBSA-N -1 1 338.393 -0.260 20 0 EBADMM C[C@H](C(=O)N(C)C)S(=O)(=O)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000288853922 376656013 /nfs/dbraw/zinc/65/60/13/376656013.db2.gz FGIQEBIRDPNFCM-RKDXNWHRSA-N -1 1 331.398 -0.916 20 0 EBADMM CCC[C@@H](NC(=O)[C@@H]1CC(=O)N(c2cnn(C)c2)C1)c1nn[n-]n1 ZINC000626024734 376662960 /nfs/dbraw/zinc/66/29/60/376662960.db2.gz UDZUIGQRJZGXSR-MWLCHTKSSA-N -1 1 332.368 -0.056 20 0 EBADMM CO[C@H]1C[C@@H](N(C)C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C1 ZINC000561063666 376799179 /nfs/dbraw/zinc/79/91/79/376799179.db2.gz USXYSWLRRYUAQF-DTORHVGOSA-N -1 1 345.377 -0.707 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1CCC(=O)N(C)C1 ZINC000133899700 376969299 /nfs/dbraw/zinc/96/92/99/376969299.db2.gz UKARYBIOLWJIHP-LLVKDONJSA-N -1 1 331.376 -0.081 20 0 EBADMM Cc1noc([N-]C(=O)c2cc(C)c3c(=O)n(C)c(=O)n(C)c3n2)n1 ZINC000156705979 377268581 /nfs/dbraw/zinc/26/85/81/377268581.db2.gz BUHQKRJZCNWXNE-UHFFFAOYSA-N -1 1 330.304 -0.116 20 0 EBADMM COC(=O)[C@@]1([N-]S(=O)(=O)[C@H]2CC(=O)N(C3CC3)C2)CCSC1 ZINC000601378348 377551810 /nfs/dbraw/zinc/55/18/10/377551810.db2.gz BCRYNYIKLPPAQY-GXFFZTMASA-N -1 1 348.446 -0.282 20 0 EBADMM CCOC(=O)CCS(=O)(=O)[N-][C@H](C(=O)OC)c1ccnn1C ZINC000592090735 377787307 /nfs/dbraw/zinc/78/73/07/377787307.db2.gz DBQOAXJOIAHFKA-NSHDSACASA-N -1 1 333.366 -0.493 20 0 EBADMM COCCOCCS(=O)(=O)[N-][C@@H](C(=O)OC)c1ccnn1C ZINC000592092936 377796932 /nfs/dbraw/zinc/79/69/32/377796932.db2.gz RHTAHVUSPSFXNP-LLVKDONJSA-N -1 1 335.382 -0.783 20 0 EBADMM COC(=O)[C@@H](C)CS(=O)(=O)[N-][C@@H](C(=O)OC)c1ccnn1C ZINC000592091415 377798706 /nfs/dbraw/zinc/79/87/06/377798706.db2.gz JTFIFSTUWRXERA-WCBMZHEXSA-N -1 1 333.366 -0.637 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@@H](O)Cn2ccnn2)cc1C ZINC000595337008 377993515 /nfs/dbraw/zinc/99/35/15/377993515.db2.gz KCLLBJCPSJXTLZ-SECBINFHSA-N -1 1 344.349 -0.694 20 0 EBADMM CCOC(=O)[C@](C)(O)C[N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1 ZINC000595327932 377998829 /nfs/dbraw/zinc/99/88/29/377998829.db2.gz GBOLSOVYNQGPIY-CYBMUJFWSA-N -1 1 349.361 -0.033 20 0 EBADMM O=C(CCNS(=O)(=O)c1ccc(F)cc1)NCc1nn[n-]n1 ZINC000599344463 378227012 /nfs/dbraw/zinc/22/70/12/378227012.db2.gz QDDHMRDMFMJUCN-UHFFFAOYSA-N -1 1 328.329 -0.676 20 0 EBADMM O=C(NCc1nn[n-]n1)[C@@H]1CCCN1S(=O)(=O)c1cccs1 ZINC000599334076 378232188 /nfs/dbraw/zinc/23/21/88/378232188.db2.gz CCGPYNBPVZYEJG-QMMMGPOBSA-N -1 1 342.406 -0.269 20 0 EBADMM CCOC(=O)[C@H]1CCCN(S(=O)(=O)[N-][C@H]2COCCC2=O)C1 ZINC000599442661 378239835 /nfs/dbraw/zinc/23/98/35/378239835.db2.gz XCOLXZRZOQWZQG-QWRGUYRKSA-N -1 1 334.394 -0.546 20 0 EBADMM COCCNC(=O)Cn1cc([N-]S(=O)(=O)C[C@@H](C)OC)cn1 ZINC000337745587 378691121 /nfs/dbraw/zinc/69/11/21/378691121.db2.gz GDNZPMVJLOYWNZ-SNVBAGLBSA-N -1 1 334.398 -0.578 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCN([C@H]3CCOC3)CC2)o1 ZINC000366074004 378788993 /nfs/dbraw/zinc/78/89/93/378788993.db2.gz GTEKNJQCNSWGHQ-NSHDSACASA-N -1 1 343.405 -0.266 20 0 EBADMM COC(=O)Cn1ncc(NC(=O)CNC(=O)c2ncccc2[O-])c1C ZINC000338856384 378822443 /nfs/dbraw/zinc/82/24/43/378822443.db2.gz XJDVMKKUCCASFW-UHFFFAOYSA-N -1 1 347.331 -0.166 20 0 EBADMM CCS(=O)(=O)C[C@H](C)NC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000339370746 378909337 /nfs/dbraw/zinc/90/93/37/378909337.db2.gz BSXLLUUYRPBDKQ-QMMMGPOBSA-N -1 1 338.407 -0.259 20 0 EBADMM CCCCN(CCO)C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000339741613 378987699 /nfs/dbraw/zinc/98/76/99/378987699.db2.gz FRUYJUQJDUXODM-UHFFFAOYSA-N -1 1 347.393 -0.722 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)CS(=O)(=O)C(C)(C)C)n1 ZINC000615919656 379050214 /nfs/dbraw/zinc/05/02/14/379050214.db2.gz VLUPHVQCGVLEQW-UHFFFAOYSA-N -1 1 332.382 -0.189 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H]1CCOC[C@@H]1OC ZINC000451778303 379067661 /nfs/dbraw/zinc/06/76/61/379067661.db2.gz SJNDVANLHQMMNK-ONGXEEELSA-N -1 1 347.393 -0.084 20 0 EBADMM CO[C@H]([C@@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C1CC1 ZINC000451998959 379075969 /nfs/dbraw/zinc/07/59/69/379075969.db2.gz VJZCINKQVVGLRX-LDYMZIIASA-N -1 1 331.394 -0.824 20 0 EBADMM COc1cc2[n-]cc(C(=O)N[C@H]3CN(C)NC3=O)c(=O)c2c(OC)c1 ZINC000626360356 379180875 /nfs/dbraw/zinc/18/08/75/379180875.db2.gz OPABDVGMGMYBCR-NSHDSACASA-N -1 1 346.343 -0.380 20 0 EBADMM CCC[C@@H](NC(=O)c1coc(S(=O)(=O)NC)c1)c1nn[n-]n1 ZINC000270053238 379429704 /nfs/dbraw/zinc/42/97/04/379429704.db2.gz CSTOBJNGUZORIC-MRVPVSSYSA-N -1 1 328.354 -0.028 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCSCC(=O)OC)co1 ZINC000270058077 379430794 /nfs/dbraw/zinc/43/07/94/379430794.db2.gz OFOWMUAPJMYUMI-UHFFFAOYSA-N -1 1 336.391 -0.176 20 0 EBADMM COCC(COC)S(=O)(=O)[N-][C@H]1CN(c2ccccc2)C1=O ZINC000641436989 379422444 /nfs/dbraw/zinc/42/24/44/379422444.db2.gz DOOMECLVVMMAHC-ZDUSSCGKSA-N -1 1 328.390 -0.017 20 0 EBADMM COC[C@](C)([N-]S(=O)(=O)c1cc(C(=O)OC)co1)C(=O)OC ZINC000270045635 379426862 /nfs/dbraw/zinc/42/68/62/379426862.db2.gz SYVQYSWYGPIFDF-LBPRGKRZSA-N -1 1 335.334 -0.077 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1C[C@H]2OCCN(C)[C@H]2C1 ZINC000152054026 379478236 /nfs/dbraw/zinc/47/82/36/379478236.db2.gz ZXPGBRSEVNOCCG-UONOGXRCSA-N -1 1 345.403 -0.277 20 0 EBADMM CO[C@@H]1[C@H](C)[C@H]([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)C1(C)C ZINC000271750690 379544298 /nfs/dbraw/zinc/54/42/98/379544298.db2.gz BSHKWKGGELMNFD-DVVUODLYSA-N -1 1 345.421 -0.578 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H](OC)C(=O)OC)o1 ZINC000490629650 379552844 /nfs/dbraw/zinc/55/28/44/379552844.db2.gz VALORYRALSEYQJ-SECBINFHSA-N -1 1 335.334 -0.077 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CC(=O)c3ccccc32)c(=O)n(C)c1=O ZINC000272601679 379585382 /nfs/dbraw/zinc/58/53/82/379585382.db2.gz XTJIXPIRNMQVNC-LLVKDONJSA-N -1 1 349.368 -0.310 20 0 EBADMM NC(=O)CO[N-]C(=O)Cc1ccc(S(=O)(=O)N2CCCC2)s1 ZINC000272210253 379568541 /nfs/dbraw/zinc/56/85/41/379568541.db2.gz IXDNSOJZORMHQT-UHFFFAOYSA-N -1 1 347.418 -0.392 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H](CO)c1cnn(C)c1 ZINC000272319419 379572706 /nfs/dbraw/zinc/57/27/06/379572706.db2.gz JMUXUHSGUMADJS-CYBMUJFWSA-N -1 1 344.375 -0.235 20 0 EBADMM Cc1nnc([C@@H](C)[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)s1 ZINC000273551374 379651372 /nfs/dbraw/zinc/65/13/72/379651372.db2.gz CSVPFZAPVIQRKP-ZCFIWIBFSA-N -1 1 345.406 -0.717 20 0 EBADMM C[C@H]1C[C@H](CC[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCO1 ZINC000273657437 379656338 /nfs/dbraw/zinc/65/63/38/379656338.db2.gz ZPGJLNFZMCRSPP-WDEREUQCSA-N -1 1 345.421 -0.433 20 0 EBADMM C[C@@H]1C[C@H](CC[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCO1 ZINC000273656014 379656598 /nfs/dbraw/zinc/65/65/98/379656598.db2.gz ZPGJLNFZMCRSPP-GHMZBOCLSA-N -1 1 345.421 -0.433 20 0 EBADMM CC(C)(C)OC(=O)N1CC(OCC(=O)NN2CC(=O)[N-]C2=O)C1 ZINC000492886120 379658228 /nfs/dbraw/zinc/65/82/28/379658228.db2.gz ZBSJCTONAYQQRC-UHFFFAOYSA-N -1 1 328.325 -0.795 20 0 EBADMM CCOc1cc(C(=O)N(CC(N)=O)CC(N)=O)cc(Cl)c1[O-] ZINC000273931271 379664157 /nfs/dbraw/zinc/66/41/57/379664157.db2.gz HYMRYYVZGUZOQF-UHFFFAOYSA-N -1 1 329.740 -0.143 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)N[C@H](Cc2cnc[nH]2)C(=O)[O-])o1 ZINC000274912761 379723381 /nfs/dbraw/zinc/72/33/81/379723381.db2.gz LARBKQAMRVPWOQ-MRVPVSSYSA-N -1 1 343.317 -0.237 20 0 EBADMM O=C(N[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1)c1ncccc1[O-] ZINC000275379889 379752619 /nfs/dbraw/zinc/75/26/19/379752619.db2.gz WRFXWNOXZBDOBO-GHMZBOCLSA-N -1 1 341.389 -0.985 20 0 EBADMM COC1(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCCCC1 ZINC000274655122 379706949 /nfs/dbraw/zinc/70/69/49/379706949.db2.gz FKBZQUHDASSHQH-UHFFFAOYSA-N -1 1 345.421 -0.288 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H]2CN3CCN2CC3)c1 ZINC000632130106 379819212 /nfs/dbraw/zinc/81/92/12/379819212.db2.gz YEXOJGLFJUNNQP-LLVKDONJSA-N -1 1 327.406 -0.321 20 0 EBADMM O=S(=O)([N-]C[C@H]1CN2CCN1CC2)c1c[nH]nc1C(F)(F)F ZINC000632129694 379819358 /nfs/dbraw/zinc/81/93/58/379819358.db2.gz MGBIJSLNXSIUBY-QMMMGPOBSA-N -1 1 339.343 -0.293 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC2(N3CCOCC3)CC2)co1 ZINC000277995026 379910955 /nfs/dbraw/zinc/91/09/55/379910955.db2.gz ROAULPROSGPMAE-UHFFFAOYSA-N -1 1 343.405 -0.218 20 0 EBADMM CCC[C@@H](C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1)S(N)(=O)=O ZINC000614387580 379923088 /nfs/dbraw/zinc/92/30/88/379923088.db2.gz BNPCWQHUJWKOAP-BDAKNGLRSA-N -1 1 332.382 -0.062 20 0 EBADMM CO[C@H]1CS(=O)(=O)C[C@H]1[N-]S(=O)(=O)[C@@H]1CCCC[C@@H]1OC ZINC000632551613 379880719 /nfs/dbraw/zinc/88/07/19/379880719.db2.gz FNDKCCWGVAMNDT-NOOOWODRSA-N -1 1 341.451 -0.325 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC1(O)CC(OCC)C1 ZINC000277677151 379901859 /nfs/dbraw/zinc/90/18/59/379901859.db2.gz IYMHWBVLDHYZLU-UHFFFAOYSA-N -1 1 347.393 -0.205 20 0 EBADMM CCOC(=O)[C@@H]1CCCN1S(=O)(=O)[N-][C@H](COC)C(=O)OC ZINC000538695841 379978952 /nfs/dbraw/zinc/97/89/52/379978952.db2.gz RLZCDFLEKBBTSN-ZJUUUORDSA-N -1 1 338.382 -0.964 20 0 EBADMM CCC[C@H](C(=O)N1CCC(c2n[n-]c(=O)o2)CC1)S(N)(=O)=O ZINC000614474542 379933379 /nfs/dbraw/zinc/93/33/79/379933379.db2.gz VRJMAQZGQOFLEL-SECBINFHSA-N -1 1 332.382 -0.062 20 0 EBADMM CNC(=O)CN1CCC([N-]S(=O)(=O)c2c(C)onc2N)CC1 ZINC000281072725 380005915 /nfs/dbraw/zinc/00/59/15/380005915.db2.gz AUSUESFKDLXLQN-UHFFFAOYSA-N -1 1 331.398 -0.946 20 0 EBADMM Cc1ccc(S(=O)(=O)N[C@H](C(=O)Nc2nnn[n-]2)[C@@H](C)O)cc1 ZINC000354737186 380089490 /nfs/dbraw/zinc/08/94/90/380089490.db2.gz IVWDDDINHXGYIC-SCZZXKLOSA-N -1 1 340.365 -0.825 20 0 EBADMM Cc1ccc(S(=O)(=O)N[C@H](C(=O)Nc2nn[n-]n2)[C@@H](C)O)cc1 ZINC000354737186 380089492 /nfs/dbraw/zinc/08/94/92/380089492.db2.gz IVWDDDINHXGYIC-SCZZXKLOSA-N -1 1 340.365 -0.825 20 0 EBADMM O=C(N[C@@H]1CCCC[C@H]1N1CCOCC1)c1cc(=O)n2[n-]cnc2n1 ZINC000544780591 380079898 /nfs/dbraw/zinc/07/98/98/380079898.db2.gz MMDBRCJKWQSOTJ-DGCLKSJQSA-N -1 1 346.391 -0.209 20 0 EBADMM O=C(N[C@H]1CCCC[C@H]1N1CCOCC1)c1cc(=O)n2[n-]cnc2n1 ZINC000544780592 380080369 /nfs/dbraw/zinc/08/03/69/380080369.db2.gz MMDBRCJKWQSOTJ-WCQYABFASA-N -1 1 346.391 -0.209 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC(=O)NCC1(C)C ZINC000282685102 380087784 /nfs/dbraw/zinc/08/77/84/380087784.db2.gz NQNJGWOUMMRWJN-UHFFFAOYSA-N -1 1 331.376 -0.081 20 0 EBADMM CC[C@H](C)[C@H](O)CNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000355103495 380145098 /nfs/dbraw/zinc/14/50/98/380145098.db2.gz YYQQSOCLMPQRNN-DTWKUNHWSA-N -1 1 347.393 -0.820 20 0 EBADMM O=C(Cn1nc2ccccn2c1=O)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000282828755 380091672 /nfs/dbraw/zinc/09/16/72/380091672.db2.gz DEPAHBFOAJYIOA-JTQLQIEISA-N -1 1 343.347 -0.686 20 0 EBADMM CC(C)(NC(=O)CN1CCN(c2ccccn2)CC1)c1nn[n-]n1 ZINC000354802504 380099860 /nfs/dbraw/zinc/09/98/60/380099860.db2.gz LCAIWXCJLSZDQM-UHFFFAOYSA-N -1 1 330.396 -0.232 20 0 EBADMM COCCN1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC1=O ZINC000283059890 380101186 /nfs/dbraw/zinc/10/11/86/380101186.db2.gz AXOUVVVLQXCYTG-UHFFFAOYSA-N -1 1 335.389 -0.051 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CC[C@H](NC(=O)NC2CC2)C1 ZINC000354965489 380125555 /nfs/dbraw/zinc/12/55/55/380125555.db2.gz DRGJQGJAUPVULA-NSHDSACASA-N -1 1 347.375 -0.421 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CN(C)C(=O)N2)c1 ZINC000615055243 380163692 /nfs/dbraw/zinc/16/36/92/380163692.db2.gz XLEHPCNLGZFVAA-VIFPVBQESA-N -1 1 328.350 -0.738 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCN(c2ccccc2)C(=O)C1 ZINC000361034997 380282386 /nfs/dbraw/zinc/28/23/86/380282386.db2.gz YSQSHPBWHWXXIX-UHFFFAOYSA-N -1 1 338.327 -0.093 20 0 EBADMM CNS(=O)(=O)c1csc(C(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000361039400 380284921 /nfs/dbraw/zinc/28/49/21/380284921.db2.gz XWOFXFWJOXJUOC-UHFFFAOYSA-N -1 1 330.395 -0.166 20 0 EBADMM Cn1ccc(S(=O)(=O)[N-]C[C@H](N2CCOCC2)C(F)(F)F)n1 ZINC000564908800 380385484 /nfs/dbraw/zinc/38/54/84/380385484.db2.gz SRCFSNMXRSMXBR-VIFPVBQESA-N -1 1 342.343 -0.039 20 0 EBADMM CCCOC(=O)CCNC(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287450518 380355229 /nfs/dbraw/zinc/35/52/29/380355229.db2.gz CSCHWHZSXWQXTK-ODLFYWEKSA-N -1 1 333.348 -0.260 20 0 EBADMM C[N@H+](CC(=O)[O-])C[C@H]1CN(C(=O)[C@@H]2[C@H](C(=O)[O-])C2(C)C)CCO1 ZINC000566223594 380454483 /nfs/dbraw/zinc/45/44/83/380454483.db2.gz GQEYAFXRKQXNGO-ZMLRMANQSA-N -1 1 328.365 -0.413 20 0 EBADMM C[C@@H]1Oc2ccccc2N(CC(=O)Nc2nn[nH]c2C(N)=O)C1=O ZINC000362417247 380401798 /nfs/dbraw/zinc/40/17/98/380401798.db2.gz IXDVLJOYUWHWEO-ZETCQYMHSA-N -1 1 330.304 -0.344 20 0 EBADMM Cc1[nH]c(=O)sc1S(=O)(=O)[N-]CCNC(=O)C(C)(C)CO ZINC000566445722 380472704 /nfs/dbraw/zinc/47/27/04/380472704.db2.gz AVVWWXPXDWBOLP-UHFFFAOYSA-N -1 1 337.423 -0.430 20 0 EBADMM O=C(c1cnc(OCC2CC2)cn1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000367527305 380473123 /nfs/dbraw/zinc/47/31/23/380473123.db2.gz ZIZUIQFLKXOCIO-NSHDSACASA-N -1 1 331.336 -0.008 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2ncccc2F)c(=O)n(C)c1=O ZINC000156715869 380533999 /nfs/dbraw/zinc/53/39/99/380533999.db2.gz DHJNSDKDHKTLKC-UHFFFAOYSA-N -1 1 328.325 -0.903 20 0 EBADMM C[C@@H](C(=O)N(C)C)S(=O)(=O)[N-]c1ccc2n[nH]c(C(N)=O)c2c1 ZINC000340635288 380575241 /nfs/dbraw/zinc/57/52/41/380575241.db2.gz CVZRVRTYHONDQP-ZETCQYMHSA-N -1 1 339.377 -0.120 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCN2CCO[C@@H](C)C2)co1 ZINC000535125647 380607246 /nfs/dbraw/zinc/60/72/46/380607246.db2.gz QCLPMMPLQBIJNB-JTQLQIEISA-N -1 1 331.394 -0.362 20 0 EBADMM CC(=O)N1CCN(C(=O)CNC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC000341475897 380709112 /nfs/dbraw/zinc/70/91/12/380709112.db2.gz VSEMFBYGJISKNO-UHFFFAOYSA-N -1 1 334.376 -0.014 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@H](n3cncn3)C2)o1 ZINC000375316907 380838816 /nfs/dbraw/zinc/83/88/16/380838816.db2.gz FNOPFLHATWTDPZ-VIFPVBQESA-N -1 1 325.350 -0.134 20 0 EBADMM CC(C)(NC(=O)CNS(=O)(=O)c1cccc(F)c1)c1nn[n-]n1 ZINC000577754959 380842408 /nfs/dbraw/zinc/84/24/08/380842408.db2.gz TVJRNOYADZWATR-UHFFFAOYSA-N -1 1 342.356 -0.331 20 0 EBADMM CN(CCO)S(=O)(=O)CCCS(=O)(=O)[N-]c1ccccn1 ZINC000349537652 380886551 /nfs/dbraw/zinc/88/65/51/380886551.db2.gz MVKOIKQUNRFCEQ-UHFFFAOYSA-N -1 1 337.423 -0.533 20 0 EBADMM CC(C)N1CCO[C@@H](CN2CCN(C(=O)CNC(=O)[O-])CC2)C1 ZINC000376110054 380888671 /nfs/dbraw/zinc/88/86/71/380888671.db2.gz AMYQLCXFCVTAGC-ZDUSSCGKSA-N -1 1 328.413 -0.493 20 0 EBADMM NS(=O)(=O)CCCCCC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000582907842 381084616 /nfs/dbraw/zinc/08/46/16/381084616.db2.gz IBQTXEFOQUSNNF-UHFFFAOYSA-N -1 1 330.414 -0.066 20 0 EBADMM C[C@H]1CSCCN1C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000346677017 381098253 /nfs/dbraw/zinc/09/82/53/381098253.db2.gz YVALCRJANJTKNU-QMMMGPOBSA-N -1 1 347.418 -0.379 20 0 EBADMM CO[C@H](C)[C@H](C)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000347382145 381211472 /nfs/dbraw/zinc/21/14/72/381211472.db2.gz UKVRCQZHLBZJDT-JGVFFNPUSA-N -1 1 333.366 -0.804 20 0 EBADMM Cc1ncc(C(=O)NCC[N-]S(=O)(=O)c2sc(=O)[nH]c2C)[nH]1 ZINC000347698489 381257141 /nfs/dbraw/zinc/25/71/41/381257141.db2.gz LRMNWSYNJPTRHM-UHFFFAOYSA-N -1 1 345.406 -0.103 20 0 EBADMM C[C@H]1CN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C[C@H]1C ZINC000347745306 381269626 /nfs/dbraw/zinc/26/96/26/381269626.db2.gz VKUVDCXRRKOTFB-DTORHVGOSA-N -1 1 329.378 -0.229 20 0 EBADMM COCCOc1cc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)ccn1 ZINC000347971088 381292502 /nfs/dbraw/zinc/29/25/02/381292502.db2.gz REISFTKIDHYMHT-UHFFFAOYSA-N -1 1 341.349 -0.119 20 0 EBADMM COCCOc1cc(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)ccn1 ZINC000347971088 381292508 /nfs/dbraw/zinc/29/25/08/381292508.db2.gz REISFTKIDHYMHT-UHFFFAOYSA-N -1 1 341.349 -0.119 20 0 EBADMM C[C@@H](NS(=O)(=O)c1cccs1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348275260 381351817 /nfs/dbraw/zinc/35/18/17/381351817.db2.gz JGIMVWWHLHFINX-SSDOTTSWSA-N -1 1 342.406 -0.267 20 0 EBADMM COC(=O)c1c[n-]c(S(=O)(=O)Cc2nnnn2C(C)(C)C)n1 ZINC000350152860 381508302 /nfs/dbraw/zinc/50/83/02/381508302.db2.gz ZVZVSTJATSAPST-UHFFFAOYSA-N -1 1 328.354 -0.088 20 0 EBADMM COC[C@@H](NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C)C(=O)OC ZINC000351807263 381676031 /nfs/dbraw/zinc/67/60/31/381676031.db2.gz JHTNJYZMXFXHSB-LLVKDONJSA-N -1 1 336.348 -0.102 20 0 EBADMM CCC[C@@H](NC(=O)CC1(n2cnnn2)CCOCC1)c1nn[n-]n1 ZINC000612060485 381776960 /nfs/dbraw/zinc/77/69/60/381776960.db2.gz KQDNMJIRAUQNKZ-SNVBAGLBSA-N -1 1 335.372 -0.260 20 0 EBADMM CCC[C@H](NC(=O)CC1(n2cnnn2)CCOCC1)c1nn[n-]n1 ZINC000612060484 381777308 /nfs/dbraw/zinc/77/73/08/381777308.db2.gz KQDNMJIRAUQNKZ-JTQLQIEISA-N -1 1 335.372 -0.260 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C2(C(F)(F)F)CCC2)c(=O)n(C)c1=O ZINC000332490472 381801883 /nfs/dbraw/zinc/80/18/83/381801883.db2.gz WZVSKPWOLDMOSB-UHFFFAOYSA-N -1 1 341.311 -0.153 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCCCn2ccnc2)c(=O)n(C)c1=O ZINC000537178863 381877251 /nfs/dbraw/zinc/87/72/51/381877251.db2.gz DUHRTASFUXDISX-UHFFFAOYSA-N -1 1 341.393 -0.961 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@@H]1[N-]S(=O)(=O)CC1(OC)CCC1 ZINC000632552675 382078556 /nfs/dbraw/zinc/07/85/56/382078556.db2.gz XDWCNCJJIPODOJ-VHSXEESVSA-N -1 1 327.424 -0.713 20 0 EBADMM C[C@@]1(CNC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)CCCO1 ZINC000446525007 382181324 /nfs/dbraw/zinc/18/13/24/382181324.db2.gz WQKQPZCWYYJXCS-ZDUSSCGKSA-N -1 1 345.377 -0.658 20 0 EBADMM COc1ccc(-c2nnc(S(=O)(=O)C[C@@H](O)CO)[n-]2)c(OC)c1 ZINC000447497019 382198872 /nfs/dbraw/zinc/19/88/72/382198872.db2.gz SEHWPHBCYNVXGN-QMMMGPOBSA-N -1 1 343.361 -0.384 20 0 EBADMM COc1ccc(-c2nc(S(=O)(=O)C[C@@H](O)CO)n[n-]2)c(OC)c1 ZINC000447497019 382198876 /nfs/dbraw/zinc/19/88/76/382198876.db2.gz SEHWPHBCYNVXGN-QMMMGPOBSA-N -1 1 343.361 -0.384 20 0 EBADMM O=C(CCc1ccncn1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051641638 735282117 /nfs/dbraw/zinc/28/21/17/735282117.db2.gz CAMSUIYOOOQGNZ-LBPRGKRZSA-N -1 1 347.379 -0.750 20 0 EBADMM O=C(CCc1ccncn1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051641637 735282125 /nfs/dbraw/zinc/28/21/25/735282125.db2.gz CAMSUIYOOOQGNZ-GFCCVEGCSA-N -1 1 347.379 -0.750 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1C[C@H](F)CN1Cc1cnn(C)c1 ZINC000329955864 155248520 /nfs/dbraw/zinc/24/85/20/155248520.db2.gz OPVBQIRFIKXJBR-STQMWFEESA-N -1 1 337.403 -0.114 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H](C)C(=O)N2CCOCC2)o1 ZINC000330382663 155266480 /nfs/dbraw/zinc/26/64/80/155266480.db2.gz JDSMAZPYGHDTFR-SECBINFHSA-N -1 1 345.377 -0.835 20 0 EBADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CNC(=O)C2)o1 ZINC000330885506 155318427 /nfs/dbraw/zinc/31/84/27/155318427.db2.gz LQVAPWKDXWZEKH-QMMMGPOBSA-N -1 1 329.378 -0.025 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1C(=O)NCC[C@@H]1C ZINC000194232839 154164135 /nfs/dbraw/zinc/16/41/35/154164135.db2.gz RIBNSGPIUCXESL-VXJOIVPMSA-N -1 1 331.376 -0.177 20 0 EBADMM O=C(Nc1nnn[n-]1)[C@H]1CC(=O)N(c2ccc3c(c2)OCCO3)C1 ZINC000263977554 154270941 /nfs/dbraw/zinc/27/09/41/154270941.db2.gz AEEZXPARNZZBEG-QMMMGPOBSA-N -1 1 330.304 -0.038 20 0 EBADMM O=C(Nc1nn[n-]n1)[C@H]1CC(=O)N(c2ccc3c(c2)OCCO3)C1 ZINC000263977554 154270945 /nfs/dbraw/zinc/27/09/45/154270945.db2.gz AEEZXPARNZZBEG-QMMMGPOBSA-N -1 1 330.304 -0.038 20 0 EBADMM COc1cccc(C[C@H](C(=O)Nc2nnn[n-]2)n2nnnc2C)c1 ZINC000265474249 154317735 /nfs/dbraw/zinc/31/77/35/154317735.db2.gz PZFILRYVYUGRIK-LLVKDONJSA-N -1 1 329.324 -0.074 20 0 EBADMM COc1cccc(C[C@H](C(=O)Nc2nn[n-]n2)n2nnnc2C)c1 ZINC000265474249 154317737 /nfs/dbraw/zinc/31/77/37/154317737.db2.gz PZFILRYVYUGRIK-LLVKDONJSA-N -1 1 329.324 -0.074 20 0 EBADMM CS(=O)(=O)CCS(=O)(=O)[N-]CC(=O)OCc1ccccc1 ZINC000266184924 154340005 /nfs/dbraw/zinc/34/00/05/154340005.db2.gz GXFBCWGKBWRFBA-UHFFFAOYSA-N -1 1 335.403 -0.306 20 0 EBADMM COc1ccnc(N2CCN(C(=O)c3cnc([O-])n(C)c3=O)CC2)c1 ZINC000267584995 154381534 /nfs/dbraw/zinc/38/15/34/154381534.db2.gz JVTHBGDMIDEFGO-UHFFFAOYSA-N -1 1 345.359 -0.148 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CC(=O)N(C3CC3)C2)o1 ZINC000267989104 154397213 /nfs/dbraw/zinc/39/72/13/154397213.db2.gz VRCFMUOGXZSIDE-MRVPVSSYSA-N -1 1 327.362 -0.319 20 0 EBADMM C[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)[C@H]1CCCCO1 ZINC000272102909 154544344 /nfs/dbraw/zinc/54/43/44/154544344.db2.gz XETSMPOUWJQBDH-NXEZZACHSA-N -1 1 331.394 -0.680 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CC(=O)N(C)C2)c1 ZINC000291886677 155025397 /nfs/dbraw/zinc/02/53/97/155025397.db2.gz HRXCJQKTPZPFGS-MRVPVSSYSA-N -1 1 327.362 -0.283 20 0 EBADMM C=COCCCNC(=C1C(=O)[N-]C(=S)NC1=O)[C@@H](F)C(=O)OC ZINC000292631787 155033965 /nfs/dbraw/zinc/03/39/65/155033965.db2.gz YGLRXWKPPVNKRH-MRVPVSSYSA-N -1 1 345.352 -0.578 20 0 EBADMM COC(=O)CCCS(=O)(=O)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000292940955 155040448 /nfs/dbraw/zinc/04/04/48/155040448.db2.gz BKKMEPWOVAJSOW-SECBINFHSA-N -1 1 332.382 -0.440 20 0 EBADMM COCCN1C(=O)C(=C(C)NC[C@@H]2CCCO2)C(=O)[N-]C1=S ZINC000293418514 155046049 /nfs/dbraw/zinc/04/60/49/155046049.db2.gz RHNFIWRYNLHDOS-SPQRFVMMSA-N -1 1 327.406 -0.081 20 0 EBADMM C[C@H]1CSC[C@@H]1NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000342461321 156036950 /nfs/dbraw/zinc/03/69/50/156036950.db2.gz ORHHIVBRNBHMFM-YUMQZZPRSA-N -1 1 347.418 -0.476 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@H]1CCC(=O)N[C@H]1C1CC1 ZINC000342529543 156039874 /nfs/dbraw/zinc/03/98/74/156039874.db2.gz CXZXMAULBUMYFR-HZMBPMFUSA-N -1 1 332.360 -0.310 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NCCNC(=O)c1ccco1 ZINC000343092576 156095203 /nfs/dbraw/zinc/09/52/03/156095203.db2.gz CFDJMHGIULGOQD-UHFFFAOYSA-N -1 1 332.316 -0.344 20 0 EBADMM Cc1nnc2n1C[C@@H](CNC(=O)CNC(=O)c1ncccc1[O-])CC2 ZINC000343408473 156133631 /nfs/dbraw/zinc/13/36/31/156133631.db2.gz YCHKVZAAAGEREE-LLVKDONJSA-N -1 1 344.375 -0.204 20 0 EBADMM C[C@@H](CCN1CCOCC1)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000343488036 156138643 /nfs/dbraw/zinc/13/86/43/156138643.db2.gz STGAIXGJUJJTDC-LBPRGKRZSA-N -1 1 336.392 -0.256 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCO[C@H](Cn2cncn2)C1 ZINC000343665235 156153432 /nfs/dbraw/zinc/15/34/32/156153432.db2.gz JYFWYVUGVRRHAV-NSHDSACASA-N -1 1 346.347 -0.964 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CC(=O)N[C@@H]2CCCC[C@H]21 ZINC000343827686 156173577 /nfs/dbraw/zinc/17/35/77/156173577.db2.gz MXDIPEOERZRSOT-GHMZBOCLSA-N -1 1 332.360 -0.213 20 0 EBADMM C[C@H](C(=O)N[C@H]1CCS(=O)(=O)C1)S(=O)(=O)c1ccc([O-])cc1 ZINC000343931133 156189826 /nfs/dbraw/zinc/18/98/26/156189826.db2.gz DRKXUYIFEXVMIC-ZJUUUORDSA-N -1 1 347.414 -0.142 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H]2CCCCO2)o1 ZINC000344101822 156202701 /nfs/dbraw/zinc/20/27/01/156202701.db2.gz HYWXZNKBXIBTJS-SECBINFHSA-N -1 1 345.377 -0.658 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NC[C@H]1CCCS1(=O)=O ZINC000344409506 156224637 /nfs/dbraw/zinc/22/46/37/156224637.db2.gz YEOJTNURCLAFMF-SECBINFHSA-N -1 1 327.362 -0.790 20 0 EBADMM CCN(CC1CC1)C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000344748118 156260659 /nfs/dbraw/zinc/26/06/59/156260659.db2.gz AWPYFLLTDYNFIE-UHFFFAOYSA-N -1 1 329.378 -0.085 20 0 EBADMM CCO[C@@H](C)C(=O)NCC[N-]S(=O)(=O)c1sc(=O)[nH]c1C ZINC000345448228 156334727 /nfs/dbraw/zinc/33/47/27/156334727.db2.gz NGIACEIVMGZASN-QMMMGPOBSA-N -1 1 337.423 -0.023 20 0 EBADMM CCO[C@H]1C[C@@H]1C(=O)NCC[N-]S(=O)(=O)c1sc(=O)[nH]c1C ZINC000345482973 156335798 /nfs/dbraw/zinc/33/57/98/156335798.db2.gz KLCHJUZLDNXINR-IUCAKERBSA-N -1 1 349.434 -0.023 20 0 EBADMM O=C(CS(=O)(=O)c1nc[n-]n1)NCCOc1ccc(F)cc1 ZINC000356082664 157043005 /nfs/dbraw/zinc/04/30/05/157043005.db2.gz AQVSQFFBGJUNOG-UHFFFAOYSA-N -1 1 328.325 -0.087 20 0 EBADMM COC(=O)CN1CCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CC1 ZINC000356939386 157106211 /nfs/dbraw/zinc/10/62/11/157106211.db2.gz UDBWTIMOGGFZGL-UHFFFAOYSA-N -1 1 333.348 -0.395 20 0 EBADMM C[C@@]1(NC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)CCCOC1 ZINC000357893658 157185412 /nfs/dbraw/zinc/18/54/12/157185412.db2.gz VIFCCZFSWZYLBM-CYBMUJFWSA-N -1 1 345.377 -0.658 20 0 EBADMM Cn1ncc2c1[n-]cc(C(=O)N1CCC[C@@H](S(N)(=O)=O)C1)c2=O ZINC000358363189 157235892 /nfs/dbraw/zinc/23/58/92/157235892.db2.gz XPBTVILXSJNUGI-MRVPVSSYSA-N -1 1 339.377 -0.433 20 0 EBADMM CCC1(CC)NC(=O)N(CC(=O)N(C)C[C@H](C)c2nn[n-]n2)C1=O ZINC000358378743 157239094 /nfs/dbraw/zinc/23/90/94/157239094.db2.gz DAKYSRFUGCNSKD-VIFPVBQESA-N -1 1 337.384 -0.128 20 0 EBADMM CCOC(=O)[C@](C)(O)CNC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000358529851 157254221 /nfs/dbraw/zinc/25/42/21/157254221.db2.gz ZZUKWPNZWGDQIX-GFCCVEGCSA-N -1 1 334.350 -0.768 20 0 EBADMM COCCNC(=O)C(=O)Nc1ccc([N-]S(C)(=O)=O)c(OC)c1 ZINC000359056089 157294540 /nfs/dbraw/zinc/29/45/40/157294540.db2.gz NDPUJIBPGJYHAN-UHFFFAOYSA-N -1 1 345.377 -0.232 20 0 EBADMM CC[N@H+]1CCC[C@@H]1CN1C[C@@H](C(=O)NCc2n[nH]c(=O)[n-]2)CC1=O ZINC000359270522 157306999 /nfs/dbraw/zinc/30/69/99/157306999.db2.gz KLJXXDZFAQNMHO-WDEREUQCSA-N -1 1 336.396 -0.541 20 0 EBADMM O=C(CCNC(=O)c1n[nH]c2ccccc21)NCc1n[nH]c(=O)[n-]1 ZINC000359271277 157309527 /nfs/dbraw/zinc/30/95/27/157309527.db2.gz LMKCMYLDCWCAPY-UHFFFAOYSA-N -1 1 329.320 -0.177 20 0 EBADMM C[C@H](NS(=O)(=O)c1cccs1)C(=O)NC(C)(C)c1nn[n-]n1 ZINC000359780230 157340889 /nfs/dbraw/zinc/34/08/89/157340889.db2.gz AHPATOWJHZFYKV-ZETCQYMHSA-N -1 1 344.422 -0.021 20 0 EBADMM CN(C)S(=O)(=O)c1c[nH]c(C(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000530619901 418963189 /nfs/dbraw/zinc/96/31/89/418963189.db2.gz NYCKNVXFHNJOLX-UHFFFAOYSA-N -1 1 327.370 -0.557 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@]1(C(N)=O)CCOC1 ZINC000655322321 418998328 /nfs/dbraw/zinc/99/83/28/418998328.db2.gz NGUONUGPNVBPSX-OAHLLOKOSA-N -1 1 333.348 -0.645 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCCn1ccnn1 ZINC000317520191 419008890 /nfs/dbraw/zinc/00/88/90/419008890.db2.gz HOQYBQVBKYVLOP-UHFFFAOYSA-N -1 1 328.354 -0.454 20 0 EBADMM CC(F)(F)CNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000647259272 419055694 /nfs/dbraw/zinc/05/56/94/419055694.db2.gz CBRZTYBRFMKHTQ-UHFFFAOYSA-N -1 1 325.293 -0.572 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CCOC(C)(C)C2)c(=O)n(C)c1=O ZINC000407983592 419129562 /nfs/dbraw/zinc/12/95/62/419129562.db2.gz RCPICZUCFUUFAE-SECBINFHSA-N -1 1 331.394 -0.680 20 0 EBADMM O=C(Cn1nc2n(c1=O)CCCC2)NC1(c2nn[n-]n2)CCCC1 ZINC000651353552 419189259 /nfs/dbraw/zinc/18/92/59/419189259.db2.gz LDHOXRQAVACMGZ-UHFFFAOYSA-N -1 1 332.368 -0.520 20 0 EBADMM N=c1nc(N2CCN(S(=O)(=O)N3CCCOCC3)CC2)s[n-]1 ZINC000651602565 419212839 /nfs/dbraw/zinc/21/28/39/419212839.db2.gz USFVHLMZWWRCSR-UHFFFAOYSA-N -1 1 348.454 -0.960 20 0 EBADMM COCCOCCN1CCN(S(=O)(=O)c2c(C)o[n-]c2=N)CC1 ZINC000651931794 419228699 /nfs/dbraw/zinc/22/86/99/419228699.db2.gz CLFLGTMSIDEVQV-UHFFFAOYSA-N -1 1 348.425 -0.635 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@H]1CC[C@H](C(=O)N(C)C)O1 ZINC000574002861 419240570 /nfs/dbraw/zinc/24/05/70/419240570.db2.gz JIZLXVANIJXMNO-DTWKUNHWSA-N -1 1 332.382 -0.521 20 0 EBADMM COC[C@H](CC(C)(C)C)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000655650702 419471501 /nfs/dbraw/zinc/47/15/01/419471501.db2.gz DAZYRMVPQCDFNW-JTQLQIEISA-N -1 1 347.437 -0.187 20 0 EBADMM CC[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)[C@H]1CCCCO1 ZINC000655665797 419502637 /nfs/dbraw/zinc/50/26/37/419502637.db2.gz IFBYSXJCWFSFOC-WDEREUQCSA-N -1 1 345.421 -0.290 20 0 EBADMM CN(CCCNC(=O)CNC1(C(=O)[O-])CCCC1)S(C)(=O)=O ZINC000532712680 419623615 /nfs/dbraw/zinc/62/36/15/419623615.db2.gz KXHYADQEIIKFAH-UHFFFAOYSA-N -1 1 335.426 -0.629 20 0 EBADMM CC1=C(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)[C@@H](C)n2ncnc2N1C ZINC000375996087 419737110 /nfs/dbraw/zinc/73/71/10/419737110.db2.gz XCEPWOPTKNQHPL-NXEZZACHSA-N -1 1 345.367 -0.324 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCOC[C@@H]2CC(=O)OC)o1 ZINC000377177116 419745881 /nfs/dbraw/zinc/74/58/81/419745881.db2.gz DEMZIDHBHCHSGD-VIFPVBQESA-N -1 1 346.361 -0.408 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCc2cscn2)c(=O)n(C)c1=O ZINC000172726272 419767262 /nfs/dbraw/zinc/76/72/62/419767262.db2.gz NWZAHFBTTZPKGH-UHFFFAOYSA-N -1 1 330.391 -0.939 20 0 EBADMM O=C([O-])[C@H]1COCCN1C(=O)CCNC(=O)c1n[nH]c2ccccc21 ZINC000648451247 419787397 /nfs/dbraw/zinc/78/73/97/419787397.db2.gz LJMYTUREYRDEGA-GFCCVEGCSA-N -1 1 346.343 -0.005 20 0 EBADMM C[C@@H](NC(=O)c1n[nH]c2ccccc21)C(=O)N1CCOC[C@@H]1C(=O)[O-] ZINC000648451345 419787655 /nfs/dbraw/zinc/78/76/55/419787655.db2.gz QVVHBNYXCCGHFN-BXKDBHETSA-N -1 1 346.343 -0.007 20 0 EBADMM C[C@H](CN(C)C(=O)CNC(=O)C=Cc1cccnc1)c1nn[n-]n1 ZINC000492675894 420575503 /nfs/dbraw/zinc/57/55/03/420575503.db2.gz HDNDUWYZCWMNKJ-ISALQUGTSA-N -1 1 329.364 -0.014 20 0 EBADMM C[C@@H](CN(C)C(=O)CNC(=O)C=Cc1cccnc1)c1nn[n-]n1 ZINC000492675899 420575766 /nfs/dbraw/zinc/57/57/66/420575766.db2.gz HDNDUWYZCWMNKJ-QRGHLMKCSA-N -1 1 329.364 -0.014 20 0 EBADMM CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCC(=O)Nc1nnn[n-]1 ZINC000495270963 420597910 /nfs/dbraw/zinc/59/79/10/420597910.db2.gz OGZJWARNWGGVMY-QMMMGPOBSA-N -1 1 339.356 -0.346 20 0 EBADMM CC(C)(C)OC(=O)N1CCC[C@H]1C(=O)NCC(=O)Nc1nn[n-]n1 ZINC000495270963 420597917 /nfs/dbraw/zinc/59/79/17/420597917.db2.gz OGZJWARNWGGVMY-QMMMGPOBSA-N -1 1 339.356 -0.346 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCc2cnc(C)nc2N)o1 ZINC000552183889 420645260 /nfs/dbraw/zinc/64/52/60/420645260.db2.gz FOSDKFLRKRGJJN-UHFFFAOYSA-N -1 1 325.350 -0.202 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCc3cnc(N)nc3C2)o1 ZINC000623326876 420646169 /nfs/dbraw/zinc/64/61/69/420646169.db2.gz HPEWOYXPRLWPCZ-UHFFFAOYSA-N -1 1 337.361 -0.242 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2Cc3ccccc32)c(=O)n(C)c1=O ZINC000193982782 420746149 /nfs/dbraw/zinc/74/61/49/420746149.db2.gz NRBSSJQVKAIUQE-NSHDSACASA-N -1 1 335.385 -0.298 20 0 EBADMM C[C@@H]1SCC[C@H]1NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000649175705 420789940 /nfs/dbraw/zinc/78/99/40/420789940.db2.gz XZVWFZVVZZRUET-JGVFFNPUSA-N -1 1 347.418 -0.333 20 0 EBADMM CO[C@H]1CS(=O)(=O)C[C@@H]1[N-]S(=O)(=O)Cc1csc(C)n1 ZINC000657100916 420917623 /nfs/dbraw/zinc/91/76/23/420917623.db2.gz OVOLYJZRBOEVDC-UWVGGRQHSA-N -1 1 340.448 -0.317 20 0 EBADMM COCCN1CCC[C@H]1CNC(=O)C(=O)NC[C@H](C(=O)[O-])C(C)C ZINC000655984102 420861739 /nfs/dbraw/zinc/86/17/39/420861739.db2.gz KHDAIVZXWIGNDA-STQMWFEESA-N -1 1 343.424 -0.314 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C(=O)N(Cc4ccccc4)C(=O)[C@@H]3C2)nc1=O ZINC000661178091 421061140 /nfs/dbraw/zinc/06/11/40/421061140.db2.gz XVZRROXLCHGNJS-BETUJISGSA-N -1 1 341.371 -0.275 20 0 EBADMM CO[C@H]1CS(=O)(=O)C[C@@H]1NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000664343157 421154429 /nfs/dbraw/zinc/15/44/29/421154429.db2.gz WHZYDGUSAWQBRJ-QWRGUYRKSA-N -1 1 337.357 -0.535 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H](CO)Cc1cccnc1 ZINC000416225626 264798515 /nfs/dbraw/zinc/79/85/15/264798515.db2.gz JINGTBFQTVUTIA-GFCCVEGCSA-N -1 1 330.344 -0.368 20 0 EBADMM Cc1cc(F)c(S(=O)(=O)[N-]CCN2CCNC(=O)C2)c(F)c1 ZINC000425164421 265083476 /nfs/dbraw/zinc/08/34/76/265083476.db2.gz BDVMXEKPUSVFSR-UHFFFAOYSA-N -1 1 333.360 -0.017 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C(=O)NCC2CC2)c1 ZINC000427900261 265113042 /nfs/dbraw/zinc/11/30/42/265113042.db2.gz XKGATGJGOAHKEH-UHFFFAOYSA-N -1 1 327.362 -0.235 20 0 EBADMM COc1ccc(OC)c(NC(=O)C(=O)NC2(c3nn[n-]n3)CC2)c1 ZINC000430332794 265147608 /nfs/dbraw/zinc/14/76/08/265147608.db2.gz PAPROBCEIANOCE-UHFFFAOYSA-N -1 1 332.320 -0.039 20 0 EBADMM CCCCS(=O)(=O)N1CCC[C@H]1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000430314881 265147636 /nfs/dbraw/zinc/14/76/36/265147636.db2.gz CKYHFGYZPPTNDH-JTQLQIEISA-N -1 1 342.425 -0.101 20 0 EBADMM C[C@](O)(CN1Cc2ccccc2C1=O)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000430318711 265147991 /nfs/dbraw/zinc/14/79/91/265147991.db2.gz UKFUFCSAVTWFOC-HNNXBMFYSA-N -1 1 342.359 -0.288 20 0 EBADMM Cc1cc(S(N)(=O)=O)sc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000430319995 265148122 /nfs/dbraw/zinc/14/81/22/265148122.db2.gz WWOZHPPZVJYUJG-UHFFFAOYSA-N -1 1 328.379 -0.364 20 0 EBADMM CCS(=O)(=O)N[C@H](CCSC)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000430318980 265148334 /nfs/dbraw/zinc/14/83/34/265148334.db2.gz LTWXKEGYSLSTCM-MRVPVSSYSA-N -1 1 348.454 -0.634 20 0 EBADMM C[C@@H]1CC[S@](=O)CCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000432470976 265176413 /nfs/dbraw/zinc/17/64/13/265176413.db2.gz WFQKVIZNZWYBTI-STFLBKPXSA-N -1 1 325.390 -0.114 20 0 EBADMM CCC[C@H](NC(=O)CNS(=O)(=O)CCCOC)c1nn[n-]n1 ZINC000433095713 265184532 /nfs/dbraw/zinc/18/45/32/265184532.db2.gz ZFFCBHJMKXSFTK-VIFPVBQESA-N -1 1 334.402 -0.887 20 0 EBADMM COC(=O)c1ccc([O-])c(NC(=O)c2cn(CCO)c(=O)[nH]c2=O)c1 ZINC000436502189 265239624 /nfs/dbraw/zinc/23/96/24/265239624.db2.gz BRVZCAPSBARCGA-UHFFFAOYSA-N -1 1 349.299 -0.314 20 0 EBADMM CC1=CCCN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C1 ZINC000437948515 265249503 /nfs/dbraw/zinc/24/95/03/265249503.db2.gz BGDPXDOQWNDJKP-UHFFFAOYSA-N -1 1 327.362 -0.165 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C1(CC(N)=O)CCC1 ZINC000443364887 265346552 /nfs/dbraw/zinc/34/65/52/265346552.db2.gz IGNKGJLSVBMPJK-UHFFFAOYSA-N -1 1 330.366 -0.337 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCCN(C)C1=O ZINC000443359833 265346789 /nfs/dbraw/zinc/34/67/89/265346789.db2.gz QNPFYQMELQDNNI-SECBINFHSA-N -1 1 330.366 -0.515 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H](O)COCC(C)C ZINC000443387279 265347219 /nfs/dbraw/zinc/34/72/19/265347219.db2.gz ZNRMCUGLPDIGFM-JTQLQIEISA-N -1 1 349.409 -0.102 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C1 ZINC000444468183 265362942 /nfs/dbraw/zinc/36/29/42/265362942.db2.gz PVYBKGDBCCRKAW-DTWKUNHWSA-N -1 1 329.378 -0.038 20 0 EBADMM COC[C@@H](NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)C(C)C ZINC000531297723 272903224 /nfs/dbraw/zinc/90/32/24/272903224.db2.gz QKWYVVQQJZJMIK-SECBINFHSA-N -1 1 347.393 -0.556 20 0 EBADMM CC(C)COC[C@H](O)CNC(=O)CNC(=O)c1ncccc1[O-] ZINC000344010859 278926769 /nfs/dbraw/zinc/92/67/69/278926769.db2.gz RVTODQFVVLKHEL-LLVKDONJSA-N -1 1 325.365 -0.333 20 0 EBADMM CN(C)S(=O)(=O)CCC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000670405631 545997195 /nfs/dbraw/zinc/99/71/95/545997195.db2.gz JJICQCGQXDFTFD-UHFFFAOYSA-N -1 1 332.382 -0.237 20 0 EBADMM CN(C)c1nc2cc(NC(=O)C(=O)NCc3nn[n-]n3)ccc2o1 ZINC000675928900 546192329 /nfs/dbraw/zinc/19/23/29/546192329.db2.gz POUMSQCIHDXCBR-UHFFFAOYSA-N -1 1 330.308 -0.338 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1ccnn1CCc1ccccc1 ZINC000676551108 546212084 /nfs/dbraw/zinc/21/20/84/546212084.db2.gz DZKLELAYCWAZGE-UHFFFAOYSA-N -1 1 340.347 -0.106 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2nnnn2C2CC2)co1 ZINC000677311050 546229043 /nfs/dbraw/zinc/22/90/43/546229043.db2.gz XTYUDJOEWVWKFY-UHFFFAOYSA-N -1 1 326.338 -0.561 20 0 EBADMM NC(=O)c1cc([N-]S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)n[nH]1 ZINC000679204497 546267543 /nfs/dbraw/zinc/26/75/43/546267543.db2.gz ITUUOMLUSYIYJP-UHFFFAOYSA-N -1 1 337.317 -0.360 20 0 EBADMM COc1ccc(NC(=O)N2C[C@@H](O)[C@@H](O)C2)cc1[N-]S(C)(=O)=O ZINC000680467764 546287697 /nfs/dbraw/zinc/28/76/97/546287697.db2.gz DDHNSYACTHFRRV-PHIMTYICSA-N -1 1 345.377 -0.364 20 0 EBADMM O=C(CCC(=O)Nc1ccc2[nH]c(=O)[nH]c2n1)NCc1nn[n-]n1 ZINC000680878882 546295214 /nfs/dbraw/zinc/29/52/14/546295214.db2.gz KNAHLZSUMURXFH-UHFFFAOYSA-N -1 1 331.296 -0.788 20 0 EBADMM O=C(Cc1ccc(O)cc1)NCC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000680932241 546296072 /nfs/dbraw/zinc/29/60/72/546296072.db2.gz NKWZCCLEEFOFHG-LBPRGKRZSA-N -1 1 344.375 -0.030 20 0 EBADMM C[C@](O)(CN1c2ccccc2C(C)(C)C1=O)C(=O)NCc1nn[n-]n1 ZINC000683243911 546345318 /nfs/dbraw/zinc/34/53/18/546345318.db2.gz LLWPKCHRXWPWSO-INIZCTEOSA-N -1 1 344.375 -0.109 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]CC(C)(C)NS(C)(=O)=O ZINC000685496798 546405495 /nfs/dbraw/zinc/40/54/95/546405495.db2.gz GELCPRWFBSVMLH-UHFFFAOYSA-N -1 1 326.400 -0.829 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCN(C)C(C)(C)C3)ccnc1-2 ZINC000891006240 582539599 /nfs/dbraw/zinc/53/95/99/582539599.db2.gz LKDUXXITRLIGCO-UHFFFAOYSA-N -1 1 330.392 -0.167 20 0 EBADMM CSCC[C@@H]([N-]S(=O)(=O)c1c(C)onc1N)C(=O)N(C)C ZINC000349870207 582577004 /nfs/dbraw/zinc/57/70/04/582577004.db2.gz IYKJMYHGCIBRSK-MRVPVSSYSA-N -1 1 336.439 0.053 20 0 EBADMM CCCc1cc(=O)n2nc(NC(=O)C3=NN(C)C(=O)CC3)[n-]c2n1 ZINC000726864446 582623169 /nfs/dbraw/zinc/62/31/69/582623169.db2.gz YZKNGCIGQUZTJO-UHFFFAOYSA-N -1 1 331.336 -0.083 20 0 EBADMM CNC(=O)c1ccc(CN(C)C(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC000726872343 582623568 /nfs/dbraw/zinc/62/35/68/582623568.db2.gz ZRMBIJZDMHRFOO-UHFFFAOYSA-N -1 1 344.371 -0.055 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNC(=O)c1ccccc1F ZINC000727756416 582656375 /nfs/dbraw/zinc/65/63/75/582656375.db2.gz ZPERNDMBXLHDLB-UHFFFAOYSA-N -1 1 348.334 -0.388 20 0 EBADMM CC(C)(C)C(=O)N1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000727850237 582661249 /nfs/dbraw/zinc/66/12/49/582661249.db2.gz VGXILZLSOYFWLK-UHFFFAOYSA-N -1 1 336.392 -0.356 20 0 EBADMM CC1CCC(NS(=O)(=O)CC(=O)NN2CC(=O)[N-]C2=O)CC1 ZINC000728065055 582670518 /nfs/dbraw/zinc/67/05/18/582670518.db2.gz MERROADHIARFOA-UHFFFAOYSA-N -1 1 332.382 -0.933 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC(=O)N3CCC[C@H]32)o1 ZINC000888178084 582687892 /nfs/dbraw/zinc/68/78/92/582687892.db2.gz HWAXNIFOYXDSOJ-SNVBAGLBSA-N -1 1 327.362 -0.018 20 0 EBADMM COC[C@]1(C(=O)[N-]S(=O)(=O)[C@H]2C[C@@H]3CC[C@H]2C3)CNCCO1 ZINC000901060858 582790205 /nfs/dbraw/zinc/79/02/05/582790205.db2.gz GFKRAMIUQDEPRS-UHXUPSOCSA-N -1 1 332.422 -0.374 20 0 EBADMM O=C(c1ccccc1-n1cnnn1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000731880608 582841791 /nfs/dbraw/zinc/84/17/91/582841791.db2.gz RJWTWDWTSGKREQ-LLVKDONJSA-N -1 1 327.308 -0.611 20 0 EBADMM COC(=O)COc1ccc(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)cc1 ZINC000732090011 582844018 /nfs/dbraw/zinc/84/40/18/582844018.db2.gz FXYAEVIAGDCAAQ-LBPRGKRZSA-N -1 1 347.331 -0.035 20 0 EBADMM CN1C(=O)c2ccc(C(=O)N3CCO[C@@H](c4nn[n-]n4)C3)cc2C1=O ZINC000732090296 582844201 /nfs/dbraw/zinc/84/42/01/582844201.db2.gz UNMPYGCVOBWTGX-LLVKDONJSA-N -1 1 342.315 -0.361 20 0 EBADMM O=C(Cn1cc(Br)cn1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000732091632 582844523 /nfs/dbraw/zinc/84/45/23/582844523.db2.gz LFPAOWOIQPXKNH-MRVPVSSYSA-N -1 1 342.157 -0.241 20 0 EBADMM Cc1nnnn1C(=Cc1ccc(F)cc1)C(=O)NCc1nn[n-]n1 ZINC000732158139 582845453 /nfs/dbraw/zinc/84/54/53/582845453.db2.gz UNNCBOPJORTLQK-WDZFZDKYSA-N -1 1 329.299 -0.052 20 0 EBADMM CN(C)C(=O)[C@H]1CCC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000734145822 582869613 /nfs/dbraw/zinc/86/96/13/582869613.db2.gz NSUUQZRIHCQOFU-RYUDHWBXSA-N -1 1 336.392 -0.310 20 0 EBADMM COc1cc(CCNC(=O)CCn2cc[n-]c(=O)c2=O)ccc1O ZINC000734174551 582869783 /nfs/dbraw/zinc/86/97/83/582869783.db2.gz AXPVPNFHCCOTAI-UHFFFAOYSA-N -1 1 333.344 0.000 20 0 EBADMM CCc1[nH]n(C)c2nnc(NC(=O)CCn3cc[n-]c(=O)c3=O)c1-2 ZINC000734471676 582873644 /nfs/dbraw/zinc/87/36/44/582873644.db2.gz WXMDJINNXHQDSF-UHFFFAOYSA-N -1 1 331.336 -0.262 20 0 EBADMM CC(C)(C)OC(=O)CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735625252 582902361 /nfs/dbraw/zinc/90/23/61/582902361.db2.gz ZYGXMHUZHRKMHL-UHFFFAOYSA-N -1 1 340.365 -0.118 20 0 EBADMM CC(C)(C)OC(=O)CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735625252 582902362 /nfs/dbraw/zinc/90/23/62/582902362.db2.gz ZYGXMHUZHRKMHL-UHFFFAOYSA-N -1 1 340.365 -0.118 20 0 EBADMM C[C@H]1C(=O)NCCN1S(=O)(=O)c1ccc(F)c(-c2nn[n-]n2)c1 ZINC000736227435 582925993 /nfs/dbraw/zinc/92/59/93/582925993.db2.gz DIXNXEMAUWWPCD-ZETCQYMHSA-N -1 1 340.340 -0.485 20 0 EBADMM CC(C)(C)NC(=O)CN1CCN(C(=O)CNC(C)(C)C(=O)[O-])CC1 ZINC000736261102 582927308 /nfs/dbraw/zinc/92/73/08/582927308.db2.gz MPWOJHYLOCBWRU-UHFFFAOYSA-N -1 1 342.440 -0.502 20 0 EBADMM CC(C)(C)c1n[nH]c([C@@H]2CN(CC(=O)NCC(=O)[O-])CCO2)n1 ZINC000736298590 582929256 /nfs/dbraw/zinc/92/92/56/582929256.db2.gz BSMZHVANKFCUJW-VIFPVBQESA-N -1 1 325.369 -0.324 20 0 EBADMM CC(C)(C)c1nnc([C@@H]2CN(CC(=O)NCC(=O)[O-])CCO2)[nH]1 ZINC000736298590 582929258 /nfs/dbraw/zinc/92/92/58/582929258.db2.gz BSMZHVANKFCUJW-VIFPVBQESA-N -1 1 325.369 -0.324 20 0 EBADMM C[C@H]1SCCN(c2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)[C@H]1C ZINC000736300646 582929399 /nfs/dbraw/zinc/92/93/99/582929399.db2.gz CMYOBPHXRGSSQZ-JGVFFNPUSA-N -1 1 337.409 -0.406 20 0 EBADMM CCCCN(CC(N)=O)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736456911 582934600 /nfs/dbraw/zinc/93/46/00/582934600.db2.gz MWAYEAPPKJOFJB-UHFFFAOYSA-N -1 1 339.381 -0.462 20 0 EBADMM CCCCN(CC(N)=O)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736456911 582934603 /nfs/dbraw/zinc/93/46/03/582934603.db2.gz MWAYEAPPKJOFJB-UHFFFAOYSA-N -1 1 339.381 -0.462 20 0 EBADMM CCCN(CC(=O)OC)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736468399 582935517 /nfs/dbraw/zinc/93/55/17/582935517.db2.gz ATQFEOBLRCNOCB-UHFFFAOYSA-N -1 1 340.365 -0.165 20 0 EBADMM CCCN(CC(=O)OC)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736468399 582935518 /nfs/dbraw/zinc/93/55/18/582935518.db2.gz ATQFEOBLRCNOCB-UHFFFAOYSA-N -1 1 340.365 -0.165 20 0 EBADMM CCN(CCC(=O)OC)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736537349 582939389 /nfs/dbraw/zinc/93/93/89/582939389.db2.gz NBCQFPFPENBWCV-UHFFFAOYSA-N -1 1 340.365 -0.165 20 0 EBADMM CCN(CCC(=O)OC)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736537349 582939392 /nfs/dbraw/zinc/93/93/92/582939392.db2.gz NBCQFPFPENBWCV-UHFFFAOYSA-N -1 1 340.365 -0.165 20 0 EBADMM O=C(c1cccc(-n2cccn2)n1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000736556352 582941382 /nfs/dbraw/zinc/94/13/82/582941382.db2.gz BQTCSAVIILHVQQ-LLVKDONJSA-N -1 1 326.320 -0.006 20 0 EBADMM CCS(=O)(=O)NCCOC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736665431 582949642 /nfs/dbraw/zinc/94/96/42/582949642.db2.gz UWJDVTHHXKHLPY-UHFFFAOYSA-N -1 1 326.338 -0.642 20 0 EBADMM CCS(=O)(=O)NCCOC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736665431 582949644 /nfs/dbraw/zinc/94/96/44/582949644.db2.gz UWJDVTHHXKHLPY-UHFFFAOYSA-N -1 1 326.338 -0.642 20 0 EBADMM CCc1nn(CC(=O)NCCCOC)c(=O)c(-c2nn[n-]n2)c1CC ZINC000736705516 582950702 /nfs/dbraw/zinc/95/07/02/582950702.db2.gz JSZJRFIKIZBDJO-UHFFFAOYSA-N -1 1 349.395 -0.299 20 0 EBADMM CCc1nn(CCN2C(=O)CNC2=O)c(=O)c(-c2nn[n-]n2)c1CC ZINC000736706588 582950996 /nfs/dbraw/zinc/95/09/96/582950996.db2.gz XZKPPJDQLLIXPY-UHFFFAOYSA-N -1 1 346.351 -0.900 20 0 EBADMM CCn1cc(-c2nn[n-]n2)c(=O)n(CCCCC(=O)N(C)C)c1=O ZINC000736714948 582951115 /nfs/dbraw/zinc/95/11/15/582951115.db2.gz XIVICXGHKJBSBO-UHFFFAOYSA-N -1 1 335.368 -0.532 20 0 EBADMM CNC(=O)[C@@H]1CCCN1S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736869931 582965922 /nfs/dbraw/zinc/96/59/22/582965922.db2.gz DENNDJTXYBYJOF-JTQLQIEISA-N -1 1 337.365 -0.839 20 0 EBADMM CNC(=O)[C@@H]1CCCN1S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736869931 582965924 /nfs/dbraw/zinc/96/59/24/582965924.db2.gz DENNDJTXYBYJOF-JTQLQIEISA-N -1 1 337.365 -0.839 20 0 EBADMM COC(=O)CCNC(=O)COC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736918663 582972855 /nfs/dbraw/zinc/97/28/55/582972855.db2.gz HWHQXAVEZVVWKE-UHFFFAOYSA-N -1 1 334.292 -0.902 20 0 EBADMM COC(=O)CCNC(=O)COC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736918663 582972857 /nfs/dbraw/zinc/97/28/57/582972857.db2.gz HWHQXAVEZVVWKE-UHFFFAOYSA-N -1 1 334.292 -0.902 20 0 EBADMM COCCOCCNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737011437 582983927 /nfs/dbraw/zinc/98/39/27/582983927.db2.gz RXAITZGGDKYYQE-UHFFFAOYSA-N -1 1 328.354 -0.797 20 0 EBADMM COCCOCCNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737011437 582983928 /nfs/dbraw/zinc/98/39/28/582983928.db2.gz RXAITZGGDKYYQE-UHFFFAOYSA-N -1 1 328.354 -0.797 20 0 EBADMM CS(=O)(=O)NC[C@H]1CCCN(c2nccnc2-c2nnn[n-]2)C1 ZINC000737168717 582994604 /nfs/dbraw/zinc/99/46/04/582994604.db2.gz KAYUNYSXODUICF-SECBINFHSA-N -1 1 338.397 -0.578 20 0 EBADMM CS(=O)(=O)NC[C@H]1CCCN(c2nccnc2-c2nn[n-]n2)C1 ZINC000737168717 582994606 /nfs/dbraw/zinc/99/46/06/582994606.db2.gz KAYUNYSXODUICF-SECBINFHSA-N -1 1 338.397 -0.578 20 0 EBADMM C[S@](=O)CCN1CCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000737174990 582995271 /nfs/dbraw/zinc/99/52/71/582995271.db2.gz ZAQYYUIFKJBHTA-DEOSSOPVSA-N -1 1 349.420 -0.602 20 0 EBADMM Cc1nc2n(n1)C[C@@H](NC(=O)c1ccc(-c3nnn[n-]3)nc1)CC2 ZINC000737490442 583010167 /nfs/dbraw/zinc/01/01/67/583010167.db2.gz VAFGOGKNPSGHNG-JTQLQIEISA-N -1 1 325.336 -0.094 20 0 EBADMM Cc1nc2n(n1)C[C@@H](NC(=O)c1ccc(-c3nn[n-]n3)nc1)CC2 ZINC000737490442 583010172 /nfs/dbraw/zinc/01/01/72/583010172.db2.gz VAFGOGKNPSGHNG-JTQLQIEISA-N -1 1 325.336 -0.094 20 0 EBADMM NC(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000737747706 583025557 /nfs/dbraw/zinc/02/55/57/583025557.db2.gz WKDNJMLIWOFMKJ-QMMMGPOBSA-N -1 1 337.365 -0.852 20 0 EBADMM NC(=O)[C@H]1CCCN(S(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000737747706 583025559 /nfs/dbraw/zinc/02/55/59/583025559.db2.gz WKDNJMLIWOFMKJ-QMMMGPOBSA-N -1 1 337.365 -0.852 20 0 EBADMM NS(=O)(=O)c1cccc(CNc2nccnc2-c2nnn[n-]2)c1 ZINC000737769445 583027215 /nfs/dbraw/zinc/02/72/15/583027215.db2.gz KCHPYJXQIOBMLR-UHFFFAOYSA-N -1 1 332.349 -0.084 20 0 EBADMM NS(=O)(=O)c1cccc(CNc2nccnc2-c2nn[n-]n2)c1 ZINC000737769445 583027216 /nfs/dbraw/zinc/02/72/16/583027216.db2.gz KCHPYJXQIOBMLR-UHFFFAOYSA-N -1 1 332.349 -0.084 20 0 EBADMM O=C(c1ccc(-n2ccnc2)nc1)N1CCOC[C@H]1c1nn[n-]n1 ZINC000738258965 583046147 /nfs/dbraw/zinc/04/61/47/583046147.db2.gz SUVZOIGTRFTXTN-NSHDSACASA-N -1 1 326.320 -0.006 20 0 EBADMM O=C1CN(S(=O)(=O)c2ccc(F)c(-c3nn[n-]n3)c2)CCCN1 ZINC000738308340 583049362 /nfs/dbraw/zinc/04/93/62/583049362.db2.gz ZCSYBJPSJWWWDV-UHFFFAOYSA-N -1 1 340.340 -0.484 20 0 EBADMM CN1CCO[C@@H](CS(=O)(=O)[N-][C@@H]2CCCCC23OCCO3)C1 ZINC000866991681 583056606 /nfs/dbraw/zinc/05/66/06/583056606.db2.gz KDGKNUQNOJYRBB-CHWSQXEVSA-N -1 1 334.438 -0.078 20 0 EBADMM CN1CCO[C@@H](CS(=O)(=O)[N-][C@H]2CCCCC23OCCO3)C1 ZINC000866991682 583056648 /nfs/dbraw/zinc/05/66/48/583056648.db2.gz KDGKNUQNOJYRBB-OLZOCXBDSA-N -1 1 334.438 -0.078 20 0 EBADMM CC(C)(C)CNC(=O)C(C)(C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000746279400 583104666 /nfs/dbraw/zinc/10/46/66/583104666.db2.gz HSYNDZJASDSTKV-UHFFFAOYSA-N -1 1 338.408 -0.016 20 0 EBADMM CSCC[C@H](C)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000746283237 583104756 /nfs/dbraw/zinc/10/47/56/583104756.db2.gz CSPIHPARFGHALN-QMMMGPOBSA-N -1 1 349.434 -0.085 20 0 EBADMM CCOCCO[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000750202916 583134840 /nfs/dbraw/zinc/13/48/40/583134840.db2.gz PHRDHIOAJBOVCQ-LBPRGKRZSA-N -1 1 325.365 -0.419 20 0 EBADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@H](C(=O)OC)C(C)C ZINC000750973985 583140245 /nfs/dbraw/zinc/14/02/45/583140245.db2.gz XSJSNKAEZARZPE-VIFPVBQESA-N -1 1 333.366 -0.019 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H](O)c1cnn(C)c1 ZINC000751450310 583144897 /nfs/dbraw/zinc/14/48/97/583144897.db2.gz LLGAFNMYYKKUMT-SNVBAGLBSA-N -1 1 343.365 -0.668 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@]1(O)CCc2ccccc21 ZINC000752561240 583154807 /nfs/dbraw/zinc/15/48/07/583154807.db2.gz FQURFTDQJYFLFP-QGZVFWFLSA-N -1 1 329.356 -0.123 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCCN2C(=O)CNC2=O)co1 ZINC000756404558 583183050 /nfs/dbraw/zinc/18/30/50/583183050.db2.gz YPPXIKNYNBKRBV-UHFFFAOYSA-N -1 1 345.333 -0.714 20 0 EBADMM CCNC(=O)NC(=O)[C@@H](C)OC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000756400559 583183070 /nfs/dbraw/zinc/18/30/70/583183070.db2.gz DQBCURSKNBPYDC-SSDOTTSWSA-N -1 1 347.349 -0.421 20 0 EBADMM C[C@@H](CNC(=O)OC(C)(C)C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000756571101 583184840 /nfs/dbraw/zinc/18/48/40/583184840.db2.gz JAANMHDPUPIIND-JTQLQIEISA-N -1 1 340.380 -0.044 20 0 EBADMM O=C(CCC(=O)N1CC(=O)Nc2ccccc21)NN1CC(=O)[N-]C1=O ZINC000757933968 583196950 /nfs/dbraw/zinc/19/69/50/583196950.db2.gz YXPNYAPVBODKBB-UHFFFAOYSA-N -1 1 345.315 -0.665 20 0 EBADMM CCOC(=O)[C@@H]1CCCN1S(=O)(=O)[N-]C(=O)CCc1nc[nH]n1 ZINC000759839528 583218774 /nfs/dbraw/zinc/21/87/74/583218774.db2.gz UASBXVQBDFRGKY-VIFPVBQESA-N -1 1 345.381 -0.874 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCN(C(=O)C2CCCC2)C1 ZINC000762246638 583245570 /nfs/dbraw/zinc/24/55/70/583245570.db2.gz ATBSAVCWBVVYOR-CYBMUJFWSA-N -1 1 348.403 -0.166 20 0 EBADMM CS[C@H]1CN(C(=O)Cn2[n-]cc3c(=O)ncnc2-3)C[C@H]1N(C)C ZINC000765257995 583280038 /nfs/dbraw/zinc/28/00/38/583280038.db2.gz CCTFZLRAAPIULA-MNOVXSKESA-N -1 1 336.421 -0.425 20 0 EBADMM Cn1[n-]c(COC(=O)CCn2c(=O)[nH]c(=O)c3ccccc32)nc1=O ZINC000765410812 583281388 /nfs/dbraw/zinc/28/13/88/583281388.db2.gz VDKSBMPIDMBZCQ-UHFFFAOYSA-N -1 1 345.315 -0.343 20 0 EBADMM Cn1[n-]c(COC(=O)c2cccc(CN3C(=O)CNC3=O)c2)nc1=O ZINC000765438726 583282434 /nfs/dbraw/zinc/28/24/34/583282434.db2.gz MSTHMLBXRLQDCH-UHFFFAOYSA-N -1 1 345.315 -0.483 20 0 EBADMM COC(=O)[C@H](CC(F)(F)F)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000765841345 583287924 /nfs/dbraw/zinc/28/79/24/583287924.db2.gz OOFMIPBUFZFSSO-ZETCQYMHSA-N -1 1 337.254 -0.463 20 0 EBADMM Cc1n[nH]c(C)c1[C@@H]1COCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000766055275 583289874 /nfs/dbraw/zinc/28/98/74/583289874.db2.gz JUWNFVKDODXFOX-LBPRGKRZSA-N -1 1 347.375 -0.133 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCS[C@H]2COCC[C@H]21 ZINC000768378378 583314125 /nfs/dbraw/zinc/31/41/25/583314125.db2.gz VYXPHNKHXYKNAH-MNOVXSKESA-N -1 1 325.390 -0.340 20 0 EBADMM CS(=O)(=O)NCc1ccc(NC(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000770483826 583338148 /nfs/dbraw/zinc/33/81/48/583338148.db2.gz GOOOLWNBJMJNOA-UHFFFAOYSA-N -1 1 341.349 -0.676 20 0 EBADMM Cc1ccc(NC(=O)NN2CC(=O)[N-]C2=O)cc1S(N)(=O)=O ZINC000770483539 583338285 /nfs/dbraw/zinc/33/82/85/583338285.db2.gz CERHJCCSEZSOSC-UHFFFAOYSA-N -1 1 327.322 -0.769 20 0 EBADMM CCOCCO[N-]C(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000772699898 583364329 /nfs/dbraw/zinc/36/43/29/583364329.db2.gz XPEWJFVDUAEOKY-MNOVXSKESA-N -1 1 334.394 -0.894 20 0 EBADMM Cn1cc(C(=O)OCCC[N-]C(=O)C(F)(F)F)c(=O)n(C)c1=O ZINC000774959435 583392998 /nfs/dbraw/zinc/39/29/98/583392998.db2.gz IUMQPRNKIKPKGU-UHFFFAOYSA-N -1 1 337.254 -0.691 20 0 EBADMM C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774961225 583393002 /nfs/dbraw/zinc/39/30/02/583393002.db2.gz HZLZOWWBNQDGMO-CBAPKCEASA-N -1 1 340.298 -0.126 20 0 EBADMM C[C@@H](OC(=O)c1cn[n-]n1)C(=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000805605505 583420740 /nfs/dbraw/zinc/42/07/40/583420740.db2.gz MZFHEFRFZYAJIM-SNVBAGLBSA-N -1 1 347.331 -0.072 20 0 EBADMM COc1ccccc1N(CCC(N)=O)C(=O)COC(=O)c1cn[n-]n1 ZINC000805607730 583421502 /nfs/dbraw/zinc/42/15/02/583421502.db2.gz ZNBJRPAFGJUSAM-UHFFFAOYSA-N -1 1 347.331 -0.121 20 0 EBADMM O=C(CNC(=O)c1cccc(O)c1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000778770317 583425814 /nfs/dbraw/zinc/42/58/14/583425814.db2.gz WCFYSFGDMGBLDQ-NSHDSACASA-N -1 1 332.320 -0.765 20 0 EBADMM CCO[C@H]1C[C@@](CO)(NC(=O)CCn2cc[n-]c(=O)c2=O)C1(C)C ZINC000781875027 583462579 /nfs/dbraw/zinc/46/25/79/583462579.db2.gz QBTWXPFZGMOLSI-ZBEGNZNMSA-N -1 1 339.392 -0.391 20 0 EBADMM O=C(NN1CC(=O)[N-]C1=O)[C@H]1CC=CC[C@@H]1C(=O)N1CCOCC1 ZINC000784348564 583485546 /nfs/dbraw/zinc/48/55/46/583485546.db2.gz WUZWGPBWGNNPIQ-QWRGUYRKSA-N -1 1 336.348 -0.989 20 0 EBADMM CN1C[C@@H](C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c2ccccc2C1=O ZINC000787338205 583518276 /nfs/dbraw/zinc/51/82/76/583518276.db2.gz XGNZXMVVMSOKBX-OLZOCXBDSA-N -1 1 342.359 -0.031 20 0 EBADMM Cc1cccc(C[C@H](CO)NC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000787389090 583518540 /nfs/dbraw/zinc/51/85/40/583518540.db2.gz AGNJCEIVZROCNQ-CQSZACIVSA-N -1 1 331.372 -0.045 20 0 EBADMM CS(=O)(=O)[N-]C(=O)CCNC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000800553939 583616077 /nfs/dbraw/zinc/61/60/77/583616077.db2.gz VJJURLYLXVJDCZ-UHFFFAOYSA-N -1 1 337.361 -0.333 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCCC(=O)C(=O)OC)co1 ZINC000803407720 583629684 /nfs/dbraw/zinc/62/96/84/583629684.db2.gz OAANWWDMYFVROX-UHFFFAOYSA-N -1 1 333.318 -0.133 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)OCCCCCO)o1 ZINC000803501948 583630180 /nfs/dbraw/zinc/63/01/80/583630180.db2.gz JUOCUPDLZHDOGL-UHFFFAOYSA-N -1 1 334.350 -0.637 20 0 EBADMM COCCN1CCC[C@@H]1CNC(=O)C(=O)[N-]OC1CCOCC1 ZINC000804355168 583644031 /nfs/dbraw/zinc/64/40/31/583644031.db2.gz VDXPUOYXQSQDBO-GFCCVEGCSA-N -1 1 329.397 -0.560 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)N3CCC[C@H]3CS(N)(=O)=O)ccnc1-2 ZINC000806481459 583650457 /nfs/dbraw/zinc/65/04/57/583650457.db2.gz MNKDNVHRTQOMGH-VIFPVBQESA-N -1 1 338.393 -0.373 20 0 EBADMM CN(CC(=O)NC(C)(C)C)C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806847481 583655073 /nfs/dbraw/zinc/65/50/73/583655073.db2.gz PXDQQJLJVCNDTQ-UHFFFAOYSA-N -1 1 346.391 -0.347 20 0 EBADMM CC[C@H](C)NC(=O)[C@H](C)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806871066 583655108 /nfs/dbraw/zinc/65/51/08/583655108.db2.gz RNOCXTMAEZLNFA-UWVGGRQHSA-N -1 1 346.391 -0.300 20 0 EBADMM C[C@@H]1COCCN1CCNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806888838 583655268 /nfs/dbraw/zinc/65/52/68/583655268.db2.gz MVCCDPOVFDOLKN-LLVKDONJSA-N -1 1 346.391 -0.883 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCN(C4CC4)C(=O)C3)ccnc1-2 ZINC000806925610 583655916 /nfs/dbraw/zinc/65/59/16/583655916.db2.gz PMZCEHCABIYBFP-UHFFFAOYSA-N -1 1 342.359 -0.887 20 0 EBADMM CN(C[C@H]1CCC[C@@H]1O)C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806951311 583656042 /nfs/dbraw/zinc/65/60/42/583656042.db2.gz WRMALLKNIZEHLT-MFKMUULPSA-N -1 1 331.376 -0.100 20 0 EBADMM C[C@H](O)C[C@H]1COCCN1C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000807027718 583656991 /nfs/dbraw/zinc/65/69/91/583656991.db2.gz YNMPRRSONNUFSQ-QWRGUYRKSA-N -1 1 347.375 -0.721 20 0 EBADMM O=C(Nc1ccc(OCC2CC2)cc1)C(=O)NN1CC(=O)[N-]C1=O ZINC000807062648 583657714 /nfs/dbraw/zinc/65/77/14/583657714.db2.gz APWIKXYMKCYIOL-UHFFFAOYSA-N -1 1 332.316 -0.003 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@@H](O)c2cnn(C)c2)cc1 ZINC000809556648 583679412 /nfs/dbraw/zinc/67/94/12/583679412.db2.gz STELWXKBLHQOSH-AWEZNQCLSA-N -1 1 347.327 -0.038 20 0 EBADMM COC(=O)CCS(=O)(=O)[N-]c1ccc(S(N)(=O)=O)c(C)c1 ZINC000811682628 583692658 /nfs/dbraw/zinc/69/26/58/583692658.db2.gz CDXNDYTWZKPUNU-UHFFFAOYSA-N -1 1 336.391 -0.053 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CCN2CCOCC2)c1 ZINC000812361978 583695201 /nfs/dbraw/zinc/69/52/01/583695201.db2.gz NERLQJHAILAELK-UHFFFAOYSA-N -1 1 343.405 -0.039 20 0 EBADMM CC(=O)NCCN(C(=O)CN(C)[C@H]1CCSC1)[C@@H](C)C(=O)[O-] ZINC000819746014 583760637 /nfs/dbraw/zinc/76/06/37/583760637.db2.gz QHCFDDJEJFQLQS-JQWIXIFHSA-N -1 1 331.438 -0.139 20 0 EBADMM CC(=O)N1CCCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)CC1 ZINC000819796570 583761305 /nfs/dbraw/zinc/76/13/05/583761305.db2.gz LWIPEZQSJDIGQH-UHFFFAOYSA-N -1 1 333.356 -0.314 20 0 EBADMM CC(=O)N1CCCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)CC1 ZINC000819796570 583761307 /nfs/dbraw/zinc/76/13/07/583761307.db2.gz LWIPEZQSJDIGQH-UHFFFAOYSA-N -1 1 333.356 -0.314 20 0 EBADMM CC(C)(C)OC(=O)[C@@H]1CCN(C(=O)Cn2cnc(-c3nn[n-]n3)n2)C1 ZINC000819922773 583763798 /nfs/dbraw/zinc/76/37/98/583763798.db2.gz PGSKHPAJIKDISA-SECBINFHSA-N -1 1 348.367 -0.352 20 0 EBADMM CC(C)(C)OC(=O)NCCNC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000819939782 583764134 /nfs/dbraw/zinc/76/41/34/583764134.db2.gz JOSHKXCARHLODJ-UHFFFAOYSA-N -1 1 337.344 -0.901 20 0 EBADMM CC(C)(C)c1nnc(CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)s1 ZINC000819971424 583764358 /nfs/dbraw/zinc/76/43/58/583764358.db2.gz KSBVEDJYXCTSLZ-UHFFFAOYSA-N -1 1 348.396 -0.076 20 0 EBADMM C[C@H](CC(=O)c1ccco1)NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000820225828 583768676 /nfs/dbraw/zinc/76/86/76/583768676.db2.gz QBZZDPRBWFTTAI-MRVPVSSYSA-N -1 1 330.308 -0.171 20 0 EBADMM C[C@@H](CN1CCCC1=O)NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820252521 583769502 /nfs/dbraw/zinc/76/95/02/583769502.db2.gz BDXXXWWNFQIFBE-QMMMGPOBSA-N -1 1 333.356 -0.267 20 0 EBADMM C[C@@H](CN1CCCC1=O)NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820252521 583769504 /nfs/dbraw/zinc/76/95/04/583769504.db2.gz BDXXXWWNFQIFBE-QMMMGPOBSA-N -1 1 333.356 -0.267 20 0 EBADMM C[C@H](CN1CCCC1=O)NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820252519 583769552 /nfs/dbraw/zinc/76/95/52/583769552.db2.gz BDXXXWWNFQIFBE-MRVPVSSYSA-N -1 1 333.356 -0.267 20 0 EBADMM C[C@H](CN1CCCC1=O)NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820252519 583769553 /nfs/dbraw/zinc/76/95/53/583769553.db2.gz BDXXXWWNFQIFBE-MRVPVSSYSA-N -1 1 333.356 -0.267 20 0 EBADMM C[C@@H](CS(C)(=O)=O)NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820293226 583771247 /nfs/dbraw/zinc/77/12/47/583771247.db2.gz JCPLRSUQPFBUPW-LURJTMIESA-N -1 1 328.358 -0.845 20 0 EBADMM C[C@@H](CS(C)(=O)=O)NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820293226 583771248 /nfs/dbraw/zinc/77/12/48/583771248.db2.gz JCPLRSUQPFBUPW-LURJTMIESA-N -1 1 328.358 -0.845 20 0 EBADMM C[C@H](O)CN(Cc1ccccc1)C(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000820480557 583773865 /nfs/dbraw/zinc/77/38/65/583773865.db2.gz LZRLZLPRICVXCB-NSHDSACASA-N -1 1 342.363 -0.132 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N(CC[NH+](C)C)CC(=O)[O-])co1 ZINC000820641123 583776712 /nfs/dbraw/zinc/77/67/12/583776712.db2.gz LQKQVJDXPLVNKK-UHFFFAOYSA-N -1 1 333.366 -0.724 20 0 EBADMM C[C@@H]1CN(C(=O)Cn2cnc(-c3nn[n-]n3)n2)C[C@@H](C(F)(F)F)O1 ZINC000820735517 583778681 /nfs/dbraw/zinc/77/86/81/583778681.db2.gz SNNGLQKDLFDIGY-RQJHMYQMSA-N -1 1 346.273 -0.364 20 0 EBADMM C[C@H]1CN(c2nccnc2-c2nnn[n-]2)CCN1C(=O)c1ccon1 ZINC000820788562 583780046 /nfs/dbraw/zinc/78/00/46/583780046.db2.gz JPDDIJAXIZUOFD-VIFPVBQESA-N -1 1 341.335 -0.004 20 0 EBADMM C[C@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1C(=O)c1ccon1 ZINC000820788562 583780049 /nfs/dbraw/zinc/78/00/49/583780049.db2.gz JPDDIJAXIZUOFD-VIFPVBQESA-N -1 1 341.335 -0.004 20 0 EBADMM CC[C@@H](NC(=O)c1ccc(-c2nnn[n-]2)nc1)C(=O)N1CCOCC1 ZINC000820920294 583783144 /nfs/dbraw/zinc/78/31/44/583783144.db2.gz OVLSMTGPWJSNIE-LLVKDONJSA-N -1 1 345.363 -0.371 20 0 EBADMM CC[C@@H](NC(=O)c1ccc(-c2nn[n-]n2)nc1)C(=O)N1CCOCC1 ZINC000820920294 583783147 /nfs/dbraw/zinc/78/31/47/583783147.db2.gz OVLSMTGPWJSNIE-LLVKDONJSA-N -1 1 345.363 -0.371 20 0 EBADMM CCCNC(=O)CN1CCN(c2nccnc2-c2nn[n-]n2)C[C@@H]1C ZINC000821114430 583785207 /nfs/dbraw/zinc/78/52/07/583785207.db2.gz RPYCENLLXACVMX-NSHDSACASA-N -1 1 345.411 -0.307 20 0 EBADMM CCN(CC(=O)NC)S(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000821164308 583786178 /nfs/dbraw/zinc/78/61/78/583786178.db2.gz BKCHHGCNFSFTDT-UHFFFAOYSA-N -1 1 342.356 -0.238 20 0 EBADMM CCN(CC(=O)NC)S(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000821164308 583786179 /nfs/dbraw/zinc/78/61/79/583786179.db2.gz BKCHHGCNFSFTDT-UHFFFAOYSA-N -1 1 342.356 -0.238 20 0 EBADMM CCOC(=O)CN1CCN(c2nccnc2-c2nnn[n-]2)C[C@H]1C ZINC000821330754 583788868 /nfs/dbraw/zinc/78/88/68/583788868.db2.gz QKNMIOBIXURTJT-SNVBAGLBSA-N -1 1 332.368 -0.270 20 0 EBADMM CCOC(=O)CN1CCN(c2nccnc2-c2nn[n-]n2)C[C@H]1C ZINC000821330754 583788870 /nfs/dbraw/zinc/78/88/70/583788870.db2.gz QKNMIOBIXURTJT-SNVBAGLBSA-N -1 1 332.368 -0.270 20 0 EBADMM CCS(=O)(=O)N1CCN(c2nccnc2-c2nnn[n-]2)C[C@@H]1C ZINC000821440657 583791285 /nfs/dbraw/zinc/79/12/85/583791285.db2.gz AADYJSJKLUOHQN-VIFPVBQESA-N -1 1 338.397 -0.483 20 0 EBADMM CCS(=O)(=O)N1CCN(c2nccnc2-c2nn[n-]n2)C[C@@H]1C ZINC000821440657 583791286 /nfs/dbraw/zinc/79/12/86/583791286.db2.gz AADYJSJKLUOHQN-VIFPVBQESA-N -1 1 338.397 -0.483 20 0 EBADMM CCn1cc(-c2nn[n-]n2)c(=O)n(Cc2nc([C@H](C)OC)no2)c1=O ZINC000821565355 583793177 /nfs/dbraw/zinc/79/31/77/583793177.db2.gz OZJRYYYWIQVMHU-ZETCQYMHSA-N -1 1 348.323 -0.651 20 0 EBADMM CN(C)C(=O)COC1CN(C(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000821578080 583793816 /nfs/dbraw/zinc/79/38/16/583793816.db2.gz MURYYRQLFRDZBZ-UHFFFAOYSA-N -1 1 331.336 -0.809 20 0 EBADMM CN(C)C(=O)COC1CN(C(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000821578080 583793818 /nfs/dbraw/zinc/79/38/18/583793818.db2.gz MURYYRQLFRDZBZ-UHFFFAOYSA-N -1 1 331.336 -0.809 20 0 EBADMM CN(CCS(C)(=O)=O)C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000821604729 583795099 /nfs/dbraw/zinc/79/50/99/583795099.db2.gz CUIQCSQCJMWNCH-UHFFFAOYSA-N -1 1 328.358 -0.892 20 0 EBADMM CN(CCS(C)(=O)=O)C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000821604729 583795101 /nfs/dbraw/zinc/79/51/01/583795101.db2.gz CUIQCSQCJMWNCH-UHFFFAOYSA-N -1 1 328.358 -0.892 20 0 EBADMM COC(C)(C)C[C@@H](C)Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000821672258 583800390 /nfs/dbraw/zinc/80/03/90/583800390.db2.gz DSCAOFRHXODYKA-MRVPVSSYSA-N -1 1 337.384 -0.120 20 0 EBADMM CO[C@]1(C)C[C@@H](Nc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)C1(C)C ZINC000821677985 583800449 /nfs/dbraw/zinc/80/04/49/583800449.db2.gz HWUFZQICWSDMCK-ANRSDYALSA-N -1 1 349.395 -0.120 20 0 EBADMM COC[C@H](C)C(=O)N1CCN(c2nccnc2-c2nnn[n-]2)C[C@H]1C ZINC000821682075 583801168 /nfs/dbraw/zinc/80/11/68/583801168.db2.gz ZERRDEWMZFETIF-WDEREUQCSA-N -1 1 346.395 -0.024 20 0 EBADMM COC[C@H](C)C(=O)N1CCN(c2nccnc2-c2nn[n-]n2)C[C@H]1C ZINC000821682075 583801170 /nfs/dbraw/zinc/80/11/70/583801170.db2.gz ZERRDEWMZFETIF-WDEREUQCSA-N -1 1 346.395 -0.024 20 0 EBADMM Cc1ncc2c(n1)CCC[C@H]2NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000822472387 583811351 /nfs/dbraw/zinc/81/13/51/583811351.db2.gz QRNPRIBRGBQHSO-LLVKDONJSA-N -1 1 340.351 -0.250 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N2C[C@@H]3CCCC[C@H]3C2)n(C)c1=O ZINC000822599262 583813424 /nfs/dbraw/zinc/81/34/24/583813424.db2.gz CCFHPESXWPTBNO-UWVGGRQHSA-N -1 1 331.380 -0.110 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NC2(CCO)CCOCC2)n1 ZINC000822609500 583814077 /nfs/dbraw/zinc/81/40/77/583814077.db2.gz OIUOCPCMIGZLBF-UHFFFAOYSA-N -1 1 336.356 -0.347 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NC2(CCO)CCOCC2)n1 ZINC000822609500 583814078 /nfs/dbraw/zinc/81/40/78/583814078.db2.gz OIUOCPCMIGZLBF-UHFFFAOYSA-N -1 1 336.356 -0.347 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCC(=O)NC2CCCC2)n1 ZINC000822613771 583814113 /nfs/dbraw/zinc/81/41/13/583814113.db2.gz HAZJWGJFTXAALL-UHFFFAOYSA-N -1 1 333.356 -0.220 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCC(=O)NC2CCCC2)n1 ZINC000822613771 583814114 /nfs/dbraw/zinc/81/41/14/583814114.db2.gz HAZJWGJFTXAALL-UHFFFAOYSA-N -1 1 333.356 -0.220 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CCN(C3CC3)C(=O)C2)n1 ZINC000822610027 583814177 /nfs/dbraw/zinc/81/41/77/583814177.db2.gz ZLQUAHCOXSBTTC-UHFFFAOYSA-N -1 1 331.340 -0.561 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CCN(C3CC3)C(=O)C2)n1 ZINC000822610027 583814180 /nfs/dbraw/zinc/81/41/80/583814180.db2.gz ZLQUAHCOXSBTTC-UHFFFAOYSA-N -1 1 331.340 -0.561 20 0 EBADMM Cn1cc(C(=O)OCc2ccnc(-c3nnn[n-]3)c2)c(=O)n(C)c1=O ZINC000822669913 583816255 /nfs/dbraw/zinc/81/62/55/583816255.db2.gz USUBSYALMVISEI-UHFFFAOYSA-N -1 1 343.303 -0.984 20 0 EBADMM Cn1cc(C(=O)OCc2ccnc(-c3nn[n-]n3)c2)c(=O)n(C)c1=O ZINC000822669913 583816257 /nfs/dbraw/zinc/81/62/57/583816257.db2.gz USUBSYALMVISEI-UHFFFAOYSA-N -1 1 343.303 -0.984 20 0 EBADMM O=C(CNC(=O)COc1ccccc1)Nc1n[nH]cc1-c1nnn[n-]1 ZINC000823050383 583823333 /nfs/dbraw/zinc/82/33/33/583823333.db2.gz XHSWZZXHLIEYQG-UHFFFAOYSA-N -1 1 342.319 -0.277 20 0 EBADMM O=C(CNC(=O)COc1ccccc1)Nc1n[nH]cc1-c1nn[n-]n1 ZINC000823050383 583823336 /nfs/dbraw/zinc/82/33/36/583823336.db2.gz XHSWZZXHLIEYQG-UHFFFAOYSA-N -1 1 342.319 -0.277 20 0 EBADMM CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000823063464 583823815 /nfs/dbraw/zinc/82/38/15/583823815.db2.gz TZZAMXQZUGETIN-MNOVXSKESA-N -1 1 339.377 -0.725 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCO[C@@H](C(F)(F)F)C1 ZINC000823126594 583824689 /nfs/dbraw/zinc/82/46/89/583824689.db2.gz JUHCWZFLXCKLJT-ZCFIWIBFSA-N -1 1 332.246 -0.752 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCCC(=O)N1CCCCCC1 ZINC000823126280 583824771 /nfs/dbraw/zinc/82/47/71/583824771.db2.gz HBZLCJBTSCNRPL-UHFFFAOYSA-N -1 1 347.383 -0.633 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NC[C@H](O)Cc1ccccc1 ZINC000823127052 583825043 /nfs/dbraw/zinc/82/50/43/583825043.db2.gz RKCRTNSTDTUSAM-LLVKDONJSA-N -1 1 328.336 -0.822 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCCc1nc2ccccc2o1 ZINC000823131149 583825419 /nfs/dbraw/zinc/82/54/19/583825419.db2.gz CHMRGLMTWBVCMB-UHFFFAOYSA-N -1 1 339.319 -0.042 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCCC[C@H]1CCCC[C@@H]1O ZINC000823131681 583825578 /nfs/dbraw/zinc/82/55/78/583825578.db2.gz LWAAZYIUVBGCRS-MNOVXSKESA-N -1 1 334.384 -0.094 20 0 EBADMM O=C(NCCN1CCCS1(=O)=O)c1ccc(-c2nnn[n-]2)s1 ZINC000823198078 583826695 /nfs/dbraw/zinc/82/66/95/583826695.db2.gz YMYZVSUYRAUTBN-UHFFFAOYSA-N -1 1 342.406 -0.307 20 0 EBADMM O=C(NCCN1CCCS1(=O)=O)c1ccc(-c2nn[n-]n2)s1 ZINC000823198078 583826696 /nfs/dbraw/zinc/82/66/96/583826696.db2.gz YMYZVSUYRAUTBN-UHFFFAOYSA-N -1 1 342.406 -0.307 20 0 EBADMM O=C1C[C@H](NS(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)CN1C1CC1 ZINC000823521664 583830765 /nfs/dbraw/zinc/83/07/65/583830765.db2.gz YOBOYIDFHRLKTC-QMMMGPOBSA-N -1 1 349.376 -0.697 20 0 EBADMM O=C1C[C@H](NS(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)CN1C1CC1 ZINC000823521664 583830768 /nfs/dbraw/zinc/83/07/68/583830768.db2.gz YOBOYIDFHRLKTC-QMMMGPOBSA-N -1 1 349.376 -0.697 20 0 EBADMM CC(C)(CNC(=O)Cn1ccnc1-c1nn[n-]n1)N1CCOCC1 ZINC000824157500 583842023 /nfs/dbraw/zinc/84/20/23/583842023.db2.gz HOUDSRMOKZOHBR-UHFFFAOYSA-N -1 1 334.384 -0.710 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)[C@H]2CN(C(=O)[O-])CCO2)C1 ZINC000824257673 583842934 /nfs/dbraw/zinc/84/29/34/583842934.db2.gz SWKSENTZPQOLPD-CHWSQXEVSA-N -1 1 329.397 -0.162 20 0 EBADMM C[C@@H](CNC(=O)Nc1nn(C)cc1-c1nnn[n-]1)S(C)(=O)=O ZINC000824420392 583845230 /nfs/dbraw/zinc/84/52/30/583845230.db2.gz IZTVYCLYQYSUIK-LURJTMIESA-N -1 1 328.358 -0.845 20 0 EBADMM C[C@@H](CNC(=O)Nc1nn(C)cc1-c1nn[n-]n1)S(C)(=O)=O ZINC000824420392 583845231 /nfs/dbraw/zinc/84/52/31/583845231.db2.gz IZTVYCLYQYSUIK-LURJTMIESA-N -1 1 328.358 -0.845 20 0 EBADMM C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000824680206 583848840 /nfs/dbraw/zinc/84/88/40/583848840.db2.gz ZCFGKQNXXRDMGQ-JVXZTZIISA-N -1 1 346.347 -0.214 20 0 EBADMM CC[C@@H](C)NC(=O)CN1CCN(c2ccc(-c3nnn[n-]3)nn2)CC1 ZINC000825067757 583854876 /nfs/dbraw/zinc/85/48/76/583854876.db2.gz MGTSPGZVGZZSBH-LLVKDONJSA-N -1 1 345.411 -0.307 20 0 EBADMM CC[C@@H](C)NC(=O)CN1CCN(c2ccc(-c3nn[n-]n3)nn2)CC1 ZINC000825067757 583854878 /nfs/dbraw/zinc/85/48/78/583854878.db2.gz MGTSPGZVGZZSBH-LLVKDONJSA-N -1 1 345.411 -0.307 20 0 EBADMM CC[C@H](CSC)Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000825128453 583855423 /nfs/dbraw/zinc/85/54/23/583855423.db2.gz UQHPESZJGSNHFS-SSDOTTSWSA-N -1 1 325.398 -0.182 20 0 EBADMM CCC[C@@H]1CN(c2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)CCO1 ZINC000825258814 583856830 /nfs/dbraw/zinc/85/68/30/583856830.db2.gz DUVIFNHIKDUTBE-SECBINFHSA-N -1 1 335.368 -0.731 20 0 EBADMM CCN(CCS(C)(=O)=O)C(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825424542 583860653 /nfs/dbraw/zinc/86/06/53/583860653.db2.gz JZKXARHBDYAZQT-UHFFFAOYSA-N -1 1 342.381 -0.401 20 0 EBADMM CCN(CCS(C)(=O)=O)C(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825424542 583860654 /nfs/dbraw/zinc/86/06/54/583860654.db2.gz JZKXARHBDYAZQT-UHFFFAOYSA-N -1 1 342.381 -0.401 20 0 EBADMM CCNC(=O)CNS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000825471275 583861526 /nfs/dbraw/zinc/86/15/26/583861526.db2.gz QKKVXMZWSVAEIP-UHFFFAOYSA-N -1 1 328.329 -0.580 20 0 EBADMM CCc1nn(Cc2nc(=O)n(C)[nH]2)c(=O)c(-c2nn[n-]n2)c1CC ZINC000825763108 583866392 /nfs/dbraw/zinc/86/63/92/583866392.db2.gz OFKVATFLOOTPDU-UHFFFAOYSA-N -1 1 331.340 -0.982 20 0 EBADMM CN(C)C(=O)NCCN(C)C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000825846307 583868506 /nfs/dbraw/zinc/86/85/06/583868506.db2.gz VTSLDZUEVJUJMB-UHFFFAOYSA-N -1 1 336.360 -0.665 20 0 EBADMM CN(C)C(=O)NCCN(C)C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000825846307 583868508 /nfs/dbraw/zinc/86/85/08/583868508.db2.gz VTSLDZUEVJUJMB-UHFFFAOYSA-N -1 1 336.360 -0.665 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nnn[n-]1)C(=O)NC[C@H]1CCCO1 ZINC000825929878 583870914 /nfs/dbraw/zinc/87/09/14/583870914.db2.gz NKBXJGWCETVVFC-SECBINFHSA-N -1 1 349.399 -0.075 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nn[n-]n1)C(=O)NC[C@H]1CCCO1 ZINC000825929878 583870916 /nfs/dbraw/zinc/87/09/16/583870916.db2.gz NKBXJGWCETVVFC-SECBINFHSA-N -1 1 349.399 -0.075 20 0 EBADMM CN(CCc1cnccn1)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000825950995 583871880 /nfs/dbraw/zinc/87/18/80/583871880.db2.gz ZMVJEMVQAHKKNQ-UHFFFAOYSA-N -1 1 346.376 -0.085 20 0 EBADMM CN(CCc1cnccn1)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000825950995 583871881 /nfs/dbraw/zinc/87/18/81/583871881.db2.gz ZMVJEMVQAHKKNQ-UHFFFAOYSA-N -1 1 346.376 -0.085 20 0 EBADMM C[C@H](C(=O)N1CCC[C@H](C(N)=O)C1)N1CCSC[C@H]1CC(=O)[O-] ZINC000825982635 583872647 /nfs/dbraw/zinc/87/26/47/583872647.db2.gz JCEWBYKLQLFYFX-GRYCIOLGSA-N -1 1 343.449 -0.009 20 0 EBADMM CNC(=O)[C@H](NC(=O)Cn1ccnc1-c1nnn[n-]1)c1ccccc1 ZINC000826050811 583875394 /nfs/dbraw/zinc/87/53/94/583875394.db2.gz DYDGDIYAHSVWJV-GFCCVEGCSA-N -1 1 340.347 -0.333 20 0 EBADMM CNC(=O)[C@H](NC(=O)Cn1ccnc1-c1nn[n-]n1)c1ccccc1 ZINC000826050811 583875396 /nfs/dbraw/zinc/87/53/96/583875396.db2.gz DYDGDIYAHSVWJV-GFCCVEGCSA-N -1 1 340.347 -0.333 20 0 EBADMM COC(=O)[C@H]1CN(C(=O)Cc2noc(C)c2-c2nnn[n-]2)C[C@@H]1C ZINC000826133788 583881743 /nfs/dbraw/zinc/88/17/43/583881743.db2.gz PQPXWVJMKUOPED-CBAPKCEASA-N -1 1 334.336 -0.027 20 0 EBADMM COC(=O)[C@H]1CN(C(=O)Cc2noc(C)c2-c2nn[n-]n2)C[C@@H]1C ZINC000826133788 583881744 /nfs/dbraw/zinc/88/17/44/583881744.db2.gz PQPXWVJMKUOPED-CBAPKCEASA-N -1 1 334.336 -0.027 20 0 EBADMM COc1ccc2[nH]nc(NC(=O)Cn3cnc(-c4nn[n-]n4)n3)c2c1 ZINC000826212234 583888170 /nfs/dbraw/zinc/88/81/70/583888170.db2.gz KPEIWCWNYUTRIA-UHFFFAOYSA-N -1 1 340.307 -0.018 20 0 EBADMM CS(=O)(=O)CCN(C(=O)c1ccc(-c2nnn[n-]2)nc1)C1CC1 ZINC000826222646 583888276 /nfs/dbraw/zinc/88/82/76/583888276.db2.gz GAIXRFLIMLBSTL-UHFFFAOYSA-N -1 1 336.377 -0.089 20 0 EBADMM CS(=O)(=O)CCN(C(=O)c1ccc(-c2nn[n-]n2)nc1)C1CC1 ZINC000826222646 583888277 /nfs/dbraw/zinc/88/82/77/583888277.db2.gz GAIXRFLIMLBSTL-UHFFFAOYSA-N -1 1 336.377 -0.089 20 0 EBADMM COc1cccc(OC)c1OC[C@H](O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000826217373 583888294 /nfs/dbraw/zinc/88/82/94/583888294.db2.gz JPIRCGOWFYLALO-SECBINFHSA-N -1 1 347.335 -0.085 20 0 EBADMM Cc1[nH]c(=O)c(-c2nn[n-]n2)c(C)c1CCC(=O)Nc1nnnn1C ZINC000826233144 583888913 /nfs/dbraw/zinc/88/89/13/583888913.db2.gz VLQZXFILOUHDNU-UHFFFAOYSA-N -1 1 344.339 -0.321 20 0 EBADMM Cc1nc2c(-c3nnn[n-]3)cnn2c(C)c1CCC(=O)NC[C@H](C)O ZINC000826328134 583891772 /nfs/dbraw/zinc/89/17/72/583891772.db2.gz HCOGFCBSUIIDRQ-QMMMGPOBSA-N -1 1 344.379 -0.044 20 0 EBADMM Cc1nc2c(-c3nn[n-]n3)cnn2c(C)c1CCC(=O)NC[C@H](C)O ZINC000826328134 583891775 /nfs/dbraw/zinc/89/17/75/583891775.db2.gz HCOGFCBSUIIDRQ-QMMMGPOBSA-N -1 1 344.379 -0.044 20 0 EBADMM Cc1onc(CC(=O)N2CCC[C@H](OCCO)C2)c1-c1nnn[n-]1 ZINC000826344744 583892664 /nfs/dbraw/zinc/89/26/64/583892664.db2.gz RBUZYSSTWUYIDN-JTQLQIEISA-N -1 1 336.352 -0.294 20 0 EBADMM Cc1onc(CC(=O)N2CCC[C@H](OCCO)C2)c1-c1nn[n-]n1 ZINC000826344744 583892665 /nfs/dbraw/zinc/89/26/65/583892665.db2.gz RBUZYSSTWUYIDN-JTQLQIEISA-N -1 1 336.352 -0.294 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N2CC[C@H]([C@H]3CCOC3)C2)n(C)c1=O ZINC000826355989 583893927 /nfs/dbraw/zinc/89/39/27/583893927.db2.gz WFXQOFKBDYNAPU-UWVGGRQHSA-N -1 1 347.379 -0.873 20 0 EBADMM O=C(Cn1ccnc1-c1nnn[n-]1)CN1C(=O)c2ccccc2C1=O ZINC000826409845 583899093 /nfs/dbraw/zinc/89/90/93/583899093.db2.gz RDYSBLYPTNCDHK-UHFFFAOYSA-N -1 1 337.299 -0.072 20 0 EBADMM O=C(Cn1ccnc1-c1nn[n-]n1)CN1C(=O)c2ccccc2C1=O ZINC000826409845 583899095 /nfs/dbraw/zinc/89/90/95/583899095.db2.gz RDYSBLYPTNCDHK-UHFFFAOYSA-N -1 1 337.299 -0.072 20 0 EBADMM O=C(CNS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1)NC1CC1 ZINC000826412913 583899530 /nfs/dbraw/zinc/89/95/30/583899530.db2.gz JBNXJLWLNPUEPJ-UHFFFAOYSA-N -1 1 340.340 -0.437 20 0 EBADMM O=C(CNS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1)NC1CC1 ZINC000826412913 583899533 /nfs/dbraw/zinc/89/95/33/583899533.db2.gz JBNXJLWLNPUEPJ-UHFFFAOYSA-N -1 1 340.340 -0.437 20 0 EBADMM O=C1NC(=O)N2CCN(c3ccc(Cl)c(-c4nnn[n-]4)n3)C[C@@H]12 ZINC000826481649 583904155 /nfs/dbraw/zinc/90/41/55/583904155.db2.gz DNOWOGJZDSPRSF-ZETCQYMHSA-N -1 1 334.727 -0.345 20 0 EBADMM O=C1NC(=O)N2CCN(c3ccc(Cl)c(-c4nn[n-]n4)n3)C[C@@H]12 ZINC000826481649 583904157 /nfs/dbraw/zinc/90/41/57/583904157.db2.gz DNOWOGJZDSPRSF-ZETCQYMHSA-N -1 1 334.727 -0.345 20 0 EBADMM O=C1OCC[C@@H]1NS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000826483234 583904696 /nfs/dbraw/zinc/90/46/96/583904696.db2.gz GEZLDLZDVKKXKQ-ZETCQYMHSA-N -1 1 327.297 -0.400 20 0 EBADMM O=C1OCC[C@@H]1NS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000826483234 583904697 /nfs/dbraw/zinc/90/46/97/583904697.db2.gz GEZLDLZDVKKXKQ-ZETCQYMHSA-N -1 1 327.297 -0.400 20 0 EBADMM O=S(=O)(c1ccc(-c2nn[n-]n2)nc1)N1CC(n2ccnc2)C1 ZINC000826494750 583905987 /nfs/dbraw/zinc/90/59/87/583905987.db2.gz NTRUNEULVSFIRX-UHFFFAOYSA-N -1 1 332.349 -0.296 20 0 EBADMM CC[C@@H]1CN(C(=O)[C@@H]2CN(C(=O)[O-])CCO2)CCN1C[C@H](C)O ZINC000826681082 583909645 /nfs/dbraw/zinc/90/96/45/583909645.db2.gz DRZRGSSVGVTAKS-XQQFMLRXSA-N -1 1 329.397 -0.331 20 0 EBADMM CCN(CC(=O)N(CCCN(C)C)[C@@H]1CCS(=O)(=O)C1)C(=O)[O-] ZINC000826996922 583913746 /nfs/dbraw/zinc/91/37/46/583913746.db2.gz OOQCUUUEBLIKNZ-GFCCVEGCSA-N -1 1 349.453 -0.046 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)CNC(=O)c2ccccn2)CC1 ZINC000827361749 583919882 /nfs/dbraw/zinc/91/98/82/583919882.db2.gz FCGXHJAXLJOFKE-LBPRGKRZSA-N -1 1 334.376 -0.181 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)CNC(=O)c2ccncc2)CC1 ZINC000827362131 583919950 /nfs/dbraw/zinc/91/99/50/583919950.db2.gz IRIYPGQZGIWEOI-GFCCVEGCSA-N -1 1 334.376 -0.181 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)Cc2nc3ncccn3n2)CC1 ZINC000827369288 583920196 /nfs/dbraw/zinc/92/01/96/583920196.db2.gz UBUIAGGQRILDTN-LLVKDONJSA-N -1 1 332.364 -0.326 20 0 EBADMM CN(C)C(=O)NCC[N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F ZINC000867047827 583924854 /nfs/dbraw/zinc/92/48/54/583924854.db2.gz ATLPMWKXMYROCZ-UHFFFAOYSA-N -1 1 343.331 -0.012 20 0 EBADMM C[C@@](NCC(=O)N1CCN(c2cnccn2)CC1)(C(=O)[O-])C1CC1 ZINC000827727977 583927012 /nfs/dbraw/zinc/92/70/12/583927012.db2.gz NZPFHBHNEUSQPY-INIZCTEOSA-N -1 1 333.392 -0.032 20 0 EBADMM C[C@H]1CCCN(CCNS(=O)(=O)c2cnn(CC(=O)[O-])c2)C1 ZINC000828266289 583936481 /nfs/dbraw/zinc/93/64/81/583936481.db2.gz RYGWHHPCLYKMBY-NSHDSACASA-N -1 1 330.410 -0.022 20 0 EBADMM CC(C)N1C[C@H](C)[C@H](NS(=O)(=O)c2cnn(CC(=O)[O-])c2)C1 ZINC000828424938 583938899 /nfs/dbraw/zinc/93/88/99/583938899.db2.gz VCQWOFDZBLMVCW-CMPLNLGQSA-N -1 1 330.410 -0.025 20 0 EBADMM COCCN(CC(=O)N1CCN(C[C@H]2CCOC2)CC1)C(=O)[O-] ZINC000828971016 583949168 /nfs/dbraw/zinc/94/91/68/583949168.db2.gz LWBJCFDQEUXRDG-CYBMUJFWSA-N -1 1 329.397 -0.207 20 0 EBADMM CC(=O)[C@H](OC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)C(C)C ZINC000829070706 583950561 /nfs/dbraw/zinc/95/05/61/583950561.db2.gz YAJYMOAZDUGCMU-GFCCVEGCSA-N -1 1 346.361 -0.186 20 0 EBADMM CN(CCC(=O)[O-])S(=O)(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000830735659 583970212 /nfs/dbraw/zinc/97/02/12/583970212.db2.gz WCKRPRCARIDESP-GFCCVEGCSA-N -1 1 335.426 -0.708 20 0 EBADMM CN(CCC(=O)[O-])S(=O)(=O)NC1CCN(C[C@@H]2CCCO2)CC1 ZINC000830743023 583970332 /nfs/dbraw/zinc/97/03/32/583970332.db2.gz WNSJFMWBTOSLTD-ZDUSSCGKSA-N -1 1 349.453 -0.129 20 0 EBADMM CN1CCN(C)[C@H](CNC(=O)C(=O)Nc2cc(C(=O)[O-])cs2)C1 ZINC000830950738 583972767 /nfs/dbraw/zinc/97/27/67/583972767.db2.gz NBKCBCBVJMLJKZ-SNVBAGLBSA-N -1 1 340.405 -0.253 20 0 EBADMM O=C([O-])N1C[C@H](O)C[C@@H]1C(=O)N1CCN(C2CCOCC2)CC1 ZINC000831271130 583981033 /nfs/dbraw/zinc/98/10/33/583981033.db2.gz AIQBBWWCNYUREI-CHWSQXEVSA-N -1 1 327.381 -0.577 20 0 EBADMM O=C([O-])N1C[C@H](O)C[C@H]1C(=O)N1CCCN(C[C@@H]2CCCO2)CC1 ZINC000831271386 583981127 /nfs/dbraw/zinc/98/11/27/583981127.db2.gz BHGHEIXWWQRXEX-RDBSUJKOSA-N -1 1 341.408 -0.187 20 0 EBADMM O=C([O-])N1C[C@@H](O)C[C@H]1C(=O)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000831271144 583981203 /nfs/dbraw/zinc/98/12/03/583981203.db2.gz ANGIDNIUULAVJM-ZDEQEGDKSA-N -1 1 327.381 -0.843 20 0 EBADMM O=C([O-])N1C[C@@H](O)C[C@H]1C(=O)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000831271142 583981229 /nfs/dbraw/zinc/98/12/29/583981229.db2.gz ANGIDNIUULAVJM-RNJOBUHISA-N -1 1 327.381 -0.843 20 0 EBADMM O=C([O-])N1CCOC[C@@H]1C(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000831282985 583981363 /nfs/dbraw/zinc/98/13/63/583981363.db2.gz IZNQZPNZOGXXHI-QWHCGFSZSA-N -1 1 327.381 -0.454 20 0 EBADMM O=C([O-])N1CCOC[C@H]1C(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000831282986 583981422 /nfs/dbraw/zinc/98/14/22/583981422.db2.gz IZNQZPNZOGXXHI-STQMWFEESA-N -1 1 327.381 -0.454 20 0 EBADMM O=C(C[C@@H]1CN(C(=O)[O-])CCO1)NCCCCN1CCOCC1 ZINC000831380628 583982040 /nfs/dbraw/zinc/98/20/40/583982040.db2.gz GHTXBVIEDVMVMI-CYBMUJFWSA-N -1 1 329.397 -0.016 20 0 EBADMM O=C([O-])N1CC(NC(=O)N[C@@H]2CCN(CCN3CCOCC3)C2)C1 ZINC000831600328 583988102 /nfs/dbraw/zinc/98/81/02/583988102.db2.gz YKQGMXAOQPVBQO-GFCCVEGCSA-N -1 1 341.412 -0.946 20 0 EBADMM O=C([O-])N1CCC[C@H](OCC(=O)N2CCO[C@H](c3nn[nH]n3)C2)C1 ZINC000831998763 583994436 /nfs/dbraw/zinc/99/44/36/583994436.db2.gz WBBGDDJNRAKJKS-UWVGGRQHSA-N -1 1 340.340 -0.741 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@H]1CCCN(C(N)=O)C1 ZINC000833618966 584012278 /nfs/dbraw/zinc/01/22/78/584012278.db2.gz HQWDHJXJYHCPLO-NEPJUHHUSA-N -1 1 326.397 -0.216 20 0 EBADMM C[C@H]1CN(C(=O)CCCN2C(=O)CNC2=O)CCN1CCC(=O)[O-] ZINC000833620887 584012574 /nfs/dbraw/zinc/01/25/74/584012574.db2.gz YLKIKDQOOYYPSZ-NSHDSACASA-N -1 1 340.380 -0.674 20 0 EBADMM O=C([O-])c1cc(S(=O)(=O)NC[C@H](O)CN2CCCCC2)c[nH]1 ZINC000833779405 584016279 /nfs/dbraw/zinc/01/62/79/584016279.db2.gz DXJQNSRURUPOLW-JTQLQIEISA-N -1 1 331.394 -0.162 20 0 EBADMM C[C@H](NC(=O)[O-])[C@@H]1CCN(C(=O)NC[C@H]2CN(C)CCN2C)C1 ZINC000833814508 584016774 /nfs/dbraw/zinc/01/67/74/584016774.db2.gz DPQZUQPCXXPPOW-XQQFMLRXSA-N -1 1 327.429 -0.080 20 0 EBADMM CNC(=O)CCCN(C)CN1C[C@H]2CN(C(=O)[O-])CCN2C1=O ZINC000833875649 584017776 /nfs/dbraw/zinc/01/77/76/584017776.db2.gz YOGBCHDKGSYCHC-LLVKDONJSA-N -1 1 327.385 -0.498 20 0 EBADMM O=C([O-])N1CCN(C(=O)[C@H]2CCCN(CC3OCCO3)C2)CC1 ZINC000834115470 584026507 /nfs/dbraw/zinc/02/65/07/584026507.db2.gz KCXDBSNLNOPQMV-LBPRGKRZSA-N -1 1 327.381 -0.107 20 0 EBADMM CC(=O)c1cn(CC(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)nn1 ZINC000843013637 584069691 /nfs/dbraw/zinc/06/96/91/584069691.db2.gz IKZGYJCIMYEYJQ-UHFFFAOYSA-N -1 1 343.409 -0.589 20 0 EBADMM CO[C@@H]1COC[C@@H]1[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867389301 584114348 /nfs/dbraw/zinc/11/43/48/584114348.db2.gz LLZSUMVHQQOWMS-NTSWFWBYSA-N -1 1 326.172 -0.136 20 0 EBADMM CC1=C(C(=O)N(C)C[C@H](C)c2nn[n-]n2)[C@H](C)n2nnnc2N1C ZINC000847724975 584145748 /nfs/dbraw/zinc/14/57/48/584145748.db2.gz HSPDQTDIGHCMQL-CBAPKCEASA-N -1 1 332.372 -0.267 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)N1C[C@@H](C)O[C@@H](C)C1 ZINC000848295924 584154980 /nfs/dbraw/zinc/15/49/80/584154980.db2.gz WBBQKJAYVOIZGW-RWMBFGLXSA-N -1 1 338.408 -0.459 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H]2CN(C3CC3)C(=O)O2)co1 ZINC000848365757 584156311 /nfs/dbraw/zinc/15/63/11/584156311.db2.gz NNFITYRIIAMTCV-SNVBAGLBSA-N -1 1 343.361 -0.099 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC(=O)c2ccnn2C)o1 ZINC000848701369 584160820 /nfs/dbraw/zinc/16/08/20/584160820.db2.gz UDKGUIVGCQPAJQ-UHFFFAOYSA-N -1 1 327.318 -0.039 20 0 EBADMM Cn1[n-]c(COC(=O)[C@]2(c3ccccc3)CCC(=O)NC2)nc1=O ZINC000848784664 584161877 /nfs/dbraw/zinc/16/18/77/584161877.db2.gz CYBUXEMNAIESDK-MRXNPFEDSA-N -1 1 330.344 0.000 20 0 EBADMM CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC000849233072 584167183 /nfs/dbraw/zinc/16/71/83/584167183.db2.gz WGUHPBCCMRIKDG-RNFRBKRXSA-N -1 1 347.315 -0.611 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2COC3(CCC3)C2)c(=O)n(C)c1=O ZINC000849449326 584171170 /nfs/dbraw/zinc/17/11/70/584171170.db2.gz CIMKCNVQLPWXPY-SECBINFHSA-N -1 1 329.378 -0.926 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NC[C@@H](CO)CC3CC3)ccnc1-2 ZINC000850668947 584184958 /nfs/dbraw/zinc/18/49/58/584184958.db2.gz RIPJFVMAAGCKOT-NSHDSACASA-N -1 1 331.376 -0.195 20 0 EBADMM COC(=O)N(C)CCN(C)C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000850666134 584185021 /nfs/dbraw/zinc/18/50/21/584185021.db2.gz VMUSEOWYOIBHCF-UHFFFAOYSA-N -1 1 348.363 -0.563 20 0 EBADMM CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@H]1C(=O)NCC1(C)C ZINC000851816247 584203316 /nfs/dbraw/zinc/20/33/16/584203316.db2.gz DSDUOLMIJIUIOT-VIFPVBQESA-N -1 1 344.393 -0.302 20 0 EBADMM Cn1nnc(CN2CCC[C@@H](NC(=O)C(F)(F)F)[C@@H]2C(=O)[O-])n1 ZINC000852732330 584214853 /nfs/dbraw/zinc/21/48/53/584214853.db2.gz BAAAFHFGXYBDMS-HTRCEHHLSA-N -1 1 336.274 -0.694 20 0 EBADMM CN(C(=O)CCn1cc[n-]c(=O)c1=O)[C@H](CO)Cc1ccccc1 ZINC000855137361 584255637 /nfs/dbraw/zinc/25/56/37/584255637.db2.gz CKHODPKBEFPREI-AWEZNQCLSA-N -1 1 331.372 -0.011 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000855474778 584259784 /nfs/dbraw/zinc/25/97/84/584259784.db2.gz YQSAOALWFMOYLB-RKDXNWHRSA-N -1 1 342.402 -0.440 20 0 EBADMM COc1ccc(COCC(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cn1 ZINC000859279050 584298987 /nfs/dbraw/zinc/29/89/87/584298987.db2.gz XPZCNWKPUYFKED-LLVKDONJSA-N -1 1 334.336 -0.280 20 0 EBADMM CSCC[C@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)C(N)=O ZINC000859296100 584299460 /nfs/dbraw/zinc/29/94/60/584299460.db2.gz AUWNFKAJUANYOF-LURJTMIESA-N -1 1 326.831 -0.041 20 0 EBADMM CCOC(=O)C[C@H](O)COC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000859608615 584305319 /nfs/dbraw/zinc/30/53/19/584305319.db2.gz LGYPEYTZZZDSMF-VIFPVBQESA-N -1 1 335.334 -0.341 20 0 EBADMM O=C(c1ccc2n[n-]c(=S)n2c1)N(CCO)CCOCCO ZINC000862245543 584350092 /nfs/dbraw/zinc/35/00/92/584350092.db2.gz RWZRPVYXFSNOJF-UHFFFAOYSA-N -1 1 326.378 -0.539 20 0 EBADMM CO[C@@H]1COC[C@H]1[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867448917 584408476 /nfs/dbraw/zinc/40/84/76/584408476.db2.gz LLZSUMVHQQOWMS-PHDIDXHHSA-N -1 1 326.172 -0.136 20 0 EBADMM CS(C)(=O)=NS(=O)(=O)[N-]CC[S@@](=O)CC(F)(F)F ZINC000867497416 584409519 /nfs/dbraw/zinc/40/95/19/584409519.db2.gz BLDJOVBLVKDSPP-MRXNPFEDSA-N -1 1 330.375 -0.141 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C(C)(C)[C@H]2CCCCO2)c(=O)n(C)c1=O ZINC000867683897 584414065 /nfs/dbraw/zinc/41/40/65/584414065.db2.gz FASBTRANGAALOC-LLVKDONJSA-N -1 1 345.421 -0.290 20 0 EBADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(Cn2nnn(C3CC3)c2=O)C1 ZINC000872754022 584466588 /nfs/dbraw/zinc/46/65/88/584466588.db2.gz UEOZLUAJYYXWEI-NSHDSACASA-N -1 1 334.302 -0.125 20 0 EBADMM CCN1C[C@H](NC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)CC1=O ZINC000875642414 584503969 /nfs/dbraw/zinc/50/39/69/584503969.db2.gz OVWOVVDFOLUWFL-SECBINFHSA-N -1 1 336.314 -0.073 20 0 EBADMM Cn1c(=O)c2ccc(C(=O)NC[C@H]3COCCN3)cc2[n-]c1=S ZINC000877039589 584528728 /nfs/dbraw/zinc/52/87/28/584528728.db2.gz HCXYAGQJNLVSJJ-JTQLQIEISA-N -1 1 334.401 -0.060 20 0 EBADMM COC(=O)Cn1cc(CN2CC(C[N-]C(=O)C(F)(F)F)C2)nn1 ZINC000877776530 584534424 /nfs/dbraw/zinc/53/44/24/584534424.db2.gz ODTHQFMAKGPKFP-UHFFFAOYSA-N -1 1 335.286 -0.439 20 0 EBADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-][C@H]1C[C@H]2CCCCN2C1=O ZINC000882245341 584586504 /nfs/dbraw/zinc/58/65/04/584586504.db2.gz HRGFDENYFPVPKK-ZJNREGCTSA-N -1 1 338.455 -0.451 20 0 EBADMM CO[C@H](C[N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C)[C@H]1CCOC1 ZINC000882386345 584588661 /nfs/dbraw/zinc/58/86/61/584588661.db2.gz XUGGRXHSOLUZBZ-QHJCOXKOSA-N -1 1 329.444 -0.553 20 0 EBADMM Cn1ncc(Br)c1CC(=O)OCc1nc(=O)n(C)[n-]1 ZINC000885241189 584646891 /nfs/dbraw/zinc/64/68/91/584646891.db2.gz CBCFDGDQCUUYFB-UHFFFAOYSA-N -1 1 330.142 -0.110 20 0 EBADMM c1nn(CCN2CCOCC2)cc1Nc1nccnc1-c1nnn[n-]1 ZINC000738445688 598674054 /nfs/dbraw/zinc/67/40/54/598674054.db2.gz KKIAQQNUXGQIJN-UHFFFAOYSA-N -1 1 342.367 -0.071 20 0 EBADMM c1nn(CCN2CCOCC2)cc1Nc1nccnc1-c1nn[n-]n1 ZINC000738445688 598674055 /nfs/dbraw/zinc/67/40/55/598674055.db2.gz KKIAQQNUXGQIJN-UHFFFAOYSA-N -1 1 342.367 -0.071 20 0 EBADMM O=S(=O)(c1ccc(-c2nnn[n-]2)nc1)N1CCn2ccnc2C1 ZINC000738352907 599596720 /nfs/dbraw/zinc/59/67/20/599596720.db2.gz BWXNEHSJWKBWIB-UHFFFAOYSA-N -1 1 332.349 -0.337 20 0 EBADMM O=S(=O)(c1ccc(-c2nn[n-]n2)nc1)N1CCn2ccnc2C1 ZINC000738352907 599596721 /nfs/dbraw/zinc/59/67/21/599596721.db2.gz BWXNEHSJWKBWIB-UHFFFAOYSA-N -1 1 332.349 -0.337 20 0 EBADMM O=C([O-])C1CCN(S(=O)(=O)NC[C@H]2CN3CCC[C@H]3CO2)CC1 ZINC000320757246 599785673 /nfs/dbraw/zinc/78/56/73/599785673.db2.gz JAFMLEYXWANGNB-STQMWFEESA-N -1 1 347.437 -0.519 20 0 EBADMM O=C([O-])[C@H]1CCN(C(=O)N[C@H]2CCN(CCN3CCOCC3)C2)C1 ZINC000739592720 600190643 /nfs/dbraw/zinc/19/06/43/600190643.db2.gz PFCHKVYCXBMTCS-KBPBESRZSA-N -1 1 340.424 -0.491 20 0 EBADMM CCOC(=O)N1CCN(C(=O)CN2CC[C@H](CNC(=O)[O-])C2)CC1 ZINC000739479530 601961532 /nfs/dbraw/zinc/96/15/32/601961532.db2.gz YRRVLRIMTSBWBP-GFCCVEGCSA-N -1 1 342.396 -0.123 20 0 EBADMM CN1CCN(C)[C@H](CNC(=O)NCC[C@@H]2CCN(C(=O)[O-])C2)C1 ZINC000739735430 602575102 /nfs/dbraw/zinc/57/51/02/602575102.db2.gz QMCLWXIBVDJAQW-CHWSQXEVSA-N -1 1 327.429 -0.079 20 0 EBADMM CN1CCN(C)[C@H](CNC(=O)NC[C@H]2CCCN(C(=O)[O-])C2)C1 ZINC000739733521 602587916 /nfs/dbraw/zinc/58/79/16/602587916.db2.gz DKANWEKYYGEJIP-CHWSQXEVSA-N -1 1 327.429 -0.079 20 0 EBADMM O=C([O-])N1CC[C@H](NC(=O)N2CCN([C@@H]3CCC[C@H]3O)CC2)C1 ZINC000740505775 602636335 /nfs/dbraw/zinc/63/63/35/602636335.db2.gz RGKCOSUOCQSAQV-YNEHKIRRSA-N -1 1 326.397 -0.021 20 0 EBADMM COCCNC(=O)CN1CCN(C[C@H]2CCCN(C(=O)[O-])C2)CC1 ZINC000739830131 602702404 /nfs/dbraw/zinc/70/24/04/602702404.db2.gz GBKJNZCDXJTEHU-CQSZACIVSA-N -1 1 342.440 -0.243 20 0 EBADMM COC1(C(=O)N[C@H](C)CN2CCN(C)CC2)CCN(C(=O)[O-])CC1 ZINC000739799259 602736145 /nfs/dbraw/zinc/73/61/45/602736145.db2.gz RGZUCCOTXLUJQL-CYBMUJFWSA-N -1 1 342.440 -0.103 20 0 EBADMM O=C([O-])N1CCCc2cc(NC(=O)C(=O)NCc3nn[nH]n3)ccc21 ZINC000740452752 602763632 /nfs/dbraw/zinc/76/36/32/602763632.db2.gz QCVYEMKHQXAGPU-UHFFFAOYSA-N -1 1 345.319 -0.115 20 0 EBADMM O=C([O-])N1CCc2ccc(NC(=O)C(=O)NCc3nn[nH]n3)cc2C1 ZINC000740452616 602763669 /nfs/dbraw/zinc/76/36/69/602763669.db2.gz OUOVRRGELNWHJA-UHFFFAOYSA-N -1 1 345.319 -0.509 20 0 EBADMM CC[C@H](NC(=O)[O-])C(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC000739532206 602770732 /nfs/dbraw/zinc/77/07/32/602770732.db2.gz VTSPJDCWWQEYPM-OLZOCXBDSA-N -1 1 328.413 -0.445 20 0 EBADMM O=C([O-])N1C[C@H](O)C[C@H]1C(=O)N1CCC(CN2CCOCC2)CC1 ZINC000740341502 603071346 /nfs/dbraw/zinc/07/13/46/603071346.db2.gz ORUCJDAVQLPZPC-KGLIPLIRSA-N -1 1 341.408 -0.330 20 0 EBADMM COC(=O)[C@@H]1CN([C@H](C)CCN2CCO[C@@H](C(=O)[O-])C2)CCO1 ZINC000831115373 604435975 /nfs/dbraw/zinc/43/59/75/604435975.db2.gz ZEWLBECNXZQZFF-UPJWGTAASA-N -1 1 330.381 -0.576 20 0 EBADMM O=C(COC(=O)[C@H]1CCC(=O)NC1)N[N-]C(=O)c1cccs1 ZINC000051570858 649952388 /nfs/dbraw/zinc/95/23/88/649952388.db2.gz WYZKRGSQNWWSDH-QMMMGPOBSA-N -1 1 325.346 -0.422 20 0 EBADMM CCn1c(=O)[nH]c(=O)c2c(C(=O)[N-]NC(=O)NC)cc(C3CC3)nc21 ZINC000056376999 649958959 /nfs/dbraw/zinc/95/89/59/649958959.db2.gz BEASMDXKFMHPMK-UHFFFAOYSA-N -1 1 346.347 -0.444 20 0 EBADMM CN1CCN(c2ccc(NC(=O)c3n[nH]c(=O)[n-]c3=O)cc2)CC1 ZINC000074733870 649969695 /nfs/dbraw/zinc/96/96/95/649969695.db2.gz QWVMKAGGXFJMEX-UHFFFAOYSA-N -1 1 330.348 -0.125 20 0 EBADMM CN1CCN(Cc2cccc(C(=O)N[N-]C(=O)c3cn[nH]n3)c2)CC1 ZINC000081355270 649972116 /nfs/dbraw/zinc/97/21/16/649972116.db2.gz IOWXALKWSBELIU-UHFFFAOYSA-N -1 1 343.391 -0.373 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-]CCCCS(N)(=O)=O)c1Cl ZINC000312081788 649994168 /nfs/dbraw/zinc/99/41/68/649994168.db2.gz MAQWJYGKMIDXCK-UHFFFAOYSA-N -1 1 330.819 -0.580 20 0 EBADMM CS(=O)(=O)Cc1noc(=NC(=O)c2cc3c(cc2O)OCO3)[n-]1 ZINC000436540957 650010364 /nfs/dbraw/zinc/01/03/64/650010364.db2.gz YKUDLESZSHHKOL-UHFFFAOYSA-N -1 1 341.301 -0.277 20 0 EBADMM CN(C[C@@H]1COc2ccccc2O1)C(=O)[C@]1(C(=O)[O-])CNCCO1 ZINC000912021675 650199231 /nfs/dbraw/zinc/19/92/31/650199231.db2.gz GHYNLHOKJDFYGI-BZNIZROVSA-N -1 1 336.344 -0.272 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)CO[C@H]1CCOC1 ZINC000912543406 650207563 /nfs/dbraw/zinc/20/75/63/650207563.db2.gz WKLUFRRIXYYFRP-BDAKNGLRSA-N -1 1 333.366 -0.331 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC(=O)NC(=O)NC2CC2)o1 ZINC000915239707 650266441 /nfs/dbraw/zinc/26/64/41/650266441.db2.gz JFXXGJFMPUCYEC-UHFFFAOYSA-N -1 1 345.333 -0.667 20 0 EBADMM CCS(=O)(CC)=NS(=O)(=O)[N-][C@@H](C)[C@H]1CN(C)CCN1C ZINC000919980360 650307612 /nfs/dbraw/zinc/30/76/12/650307612.db2.gz CLPPYGMZTUVFTB-NWDGAFQWSA-N -1 1 340.515 -0.037 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@]23C[C@@H]2CCCC3)c(=O)n(C)c1=O ZINC000920860082 650314859 /nfs/dbraw/zinc/31/48/59/650314859.db2.gz DDPOBSBIRKQKJX-IINYFYTJSA-N -1 1 327.406 -0.057 20 0 EBADMM CO[C@]12CCC[C@@]1([N-]S(=O)(=O)CCS(C)(=O)=O)CCO2 ZINC000921316098 650316802 /nfs/dbraw/zinc/31/68/02/650316802.db2.gz VYXDVHIVUKHWGR-MNOVXSKESA-N -1 1 327.424 -0.364 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C2([C@H]3CCCCO3)CC2)c(=O)n(C)c1=O ZINC000922106199 650328823 /nfs/dbraw/zinc/32/88/23/650328823.db2.gz ZXOIFZODIQWZDK-LLVKDONJSA-N -1 1 343.405 -0.536 20 0 EBADMM COC[C@@H](O)C[N@H+]1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC000923255467 650337048 /nfs/dbraw/zinc/33/70/48/650337048.db2.gz NAQUQVWPOXNNNU-CIUDSAMLSA-N -1 1 328.287 -0.410 20 0 EBADMM CO[N-]C(=O)CNC(=O)N1CCC(CCN2CCOCC2)CC1 ZINC000927212673 651499136 /nfs/dbraw/zinc/49/91/36/651499136.db2.gz URUBRDZVGXABIY-UHFFFAOYSA-N -1 1 328.413 -0.192 20 0 EBADMM O=C([N-]CCCN1CCS(=O)(=O)C[C@@H]1CCO)C(F)(F)F ZINC000932312867 651672493 /nfs/dbraw/zinc/67/24/93/651672493.db2.gz ZMNFJPXWPVQPLT-VIFPVBQESA-N -1 1 332.344 -0.464 20 0 EBADMM CN(C(=O)Cn1cnnn1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937211036 651679448 /nfs/dbraw/zinc/67/94/48/651679448.db2.gz HMPWRNHUWYRCLK-SNVBAGLBSA-N -1 1 331.336 -0.853 20 0 EBADMM CN(C(=O)[C@H]1CN(C)CCO1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937211784 651679909 /nfs/dbraw/zinc/67/99/09/651679909.db2.gz PBLWEFCUWJOFAE-GXTWGEPZSA-N -1 1 348.403 -0.209 20 0 EBADMM CN(C(=O)[C@@H]1CCNC(=O)C1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937211847 651680077 /nfs/dbraw/zinc/68/00/77/651680077.db2.gz PQLRSCGJWXUBMF-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)[C@@H]1CCNC1=O)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216756 651685268 /nfs/dbraw/zinc/68/52/68/651685268.db2.gz LPCMMSRGCNLOBU-WDEREUQCSA-N -1 1 332.360 -0.404 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)[C@@H]2CC23CC3)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000936264876 651755304 /nfs/dbraw/zinc/75/53/04/651755304.db2.gz ZCGNGGZTMGCODC-ZJUUUORDSA-N -1 1 342.359 -0.452 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCCC[C@H]1CNC(=O)C1CC1 ZINC000937437883 651776710 /nfs/dbraw/zinc/77/67/10/651776710.db2.gz QEZXNDODXOEYPY-ZDUSSCGKSA-N -1 1 348.403 -0.166 20 0 EBADMM CC(F)(F)C(=O)N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000937605614 651853993 /nfs/dbraw/zinc/85/39/93/651853993.db2.gz WWRQGJWNPBISFP-ZETCQYMHSA-N -1 1 340.290 -0.597 20 0 EBADMM C[C@H](C(=O)N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC000937791198 651926155 /nfs/dbraw/zinc/92/61/55/651926155.db2.gz SWKNZUGMSSPGDC-ONGXEEELSA-N -1 1 344.375 -0.206 20 0 EBADMM CC1(C)C[C@@H]1C(=O)N[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000937836218 651939119 /nfs/dbraw/zinc/93/91/19/651939119.db2.gz ZVBTZJROAMDDKC-NWDGAFQWSA-N -1 1 348.403 -0.310 20 0 EBADMM CN1CCOC[C@H]1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937848203 651944315 /nfs/dbraw/zinc/94/43/15/651944315.db2.gz OJGDEHYKPQRWQA-RYUDHWBXSA-N -1 1 334.376 -0.552 20 0 EBADMM NC(=O)c1cc(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)c[nH]1 ZINC000937864943 651959633 /nfs/dbraw/zinc/95/96/33/651959633.db2.gz ACPFKPYIMOHHSB-JTQLQIEISA-N -1 1 343.343 -0.141 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)cc1=O ZINC000937864808 651960139 /nfs/dbraw/zinc/96/01/39/651960139.db2.gz YHDOVSIMDOFYNO-JTQLQIEISA-N -1 1 343.343 -0.475 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cn[nH]n2)C1)c1cnc(C2CC2)[n-]c1=O ZINC000937967024 652015844 /nfs/dbraw/zinc/01/58/44/652015844.db2.gz XPCBXKYXLUIBQX-VIFPVBQESA-N -1 1 343.347 -0.178 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)c1cnc[nH]c1=O ZINC000938151415 652107874 /nfs/dbraw/zinc/10/78/74/652107874.db2.gz CWTLULNRMSBCRE-VIFPVBQESA-N -1 1 329.316 -0.073 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCN(C(=O)c2cocn2)C1 ZINC000938310442 652189280 /nfs/dbraw/zinc/18/92/80/652189280.db2.gz BIZBHFGOMVNVHL-ZETCQYMHSA-N -1 1 349.303 -0.471 20 0 EBADMM CCn1cc(CC(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)nn1 ZINC000938429997 652252598 /nfs/dbraw/zinc/25/25/98/652252598.db2.gz RPXMBIAEWJMHKK-NSHDSACASA-N -1 1 344.375 -0.028 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@@H]2CCCNC2=O)C1 ZINC000938691790 652670976 /nfs/dbraw/zinc/67/09/76/652670976.db2.gz GKZDYXSKOVLIGM-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1[n-]c(CN2CC(N3CCN(C(=O)C4CC=CC4)CC3)C2)nc1=O ZINC000941355900 652688705 /nfs/dbraw/zinc/68/87/05/652688705.db2.gz HGDRFGSSLLQSIE-UHFFFAOYSA-N -1 1 346.435 -0.597 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@H]1CCC(=O)N1 ZINC000941364864 652695733 /nfs/dbraw/zinc/69/57/33/652695733.db2.gz PXQQNEOUDDRWPL-CKYFFXLPSA-N -1 1 348.359 -0.979 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)Cc1cc[nH]n1 ZINC000941409574 652721325 /nfs/dbraw/zinc/72/13/25/652721325.db2.gz FRBWBGMSMVUHPL-DGCLKSJQSA-N -1 1 345.359 -0.291 20 0 EBADMM CN(C(=O)C1CC1)[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000938872546 652752007 /nfs/dbraw/zinc/75/20/07/652752007.db2.gz ZPZFUCUEIKODRN-LBPRGKRZSA-N -1 1 334.376 -0.604 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1)C1=COCCO1 ZINC000944456825 652770612 /nfs/dbraw/zinc/77/06/12/652770612.db2.gz MGVFAGYCTUCCSW-LLVKDONJSA-N -1 1 349.343 -0.367 20 0 EBADMM Cn1[n-]c(CN2CC(N3CCN(C(=O)C4(C)CCC4)CC3)C2)nc1=O ZINC000941519779 652783588 /nfs/dbraw/zinc/78/35/88/652783588.db2.gz DGYRZSONIXOJRF-UHFFFAOYSA-N -1 1 348.451 -0.373 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H](NC(=O)C(F)F)C1 ZINC000939012511 652809508 /nfs/dbraw/zinc/80/95/08/652809508.db2.gz MKXLWSBQXZAINR-RXMQYKEDSA-N -1 1 332.263 -0.508 20 0 EBADMM CC(C)N1CC(N2CCN(C(=O)c3c[n-]n4c3nccc4=O)CC2)C1 ZINC000941595369 652822409 /nfs/dbraw/zinc/82/24/09/652822409.db2.gz IEEAQAJVTGMXLD-UHFFFAOYSA-N -1 1 344.419 -0.127 20 0 EBADMM CO[C@@H]1CN(C(=O)Cn2nccn2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941602890 652826973 /nfs/dbraw/zinc/82/69/73/652826973.db2.gz JNSULTKRWKYTFY-ZYHUDNBSSA-N -1 1 346.347 -0.966 20 0 EBADMM CO[C@@H]1CN(C(=O)Cn2ccnc2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941609601 652831247 /nfs/dbraw/zinc/83/12/47/652831247.db2.gz YBOYAEMZXMDASO-DGCLKSJQSA-N -1 1 345.359 -0.361 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@H]1CCN(C)C1=O ZINC000939157598 652847585 /nfs/dbraw/zinc/84/75/85/652847585.db2.gz WJMKEASXDUUILI-IJLUTSLNSA-N -1 1 346.387 -0.158 20 0 EBADMM O=C(Cn1cccn1)N1CCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944561318 652860824 /nfs/dbraw/zinc/86/08/24/652860824.db2.gz QQWOUJOJYOEUMC-LBPRGKRZSA-N -1 1 345.359 -0.359 20 0 EBADMM NC(=O)C1(C(=O)N2CCO[C@H](CNC(=O)c3ncccc3[O-])C2)CC1 ZINC000944565563 652864811 /nfs/dbraw/zinc/86/48/11/652864811.db2.gz WCPQVJLSTUSMRY-SNVBAGLBSA-N -1 1 348.359 -0.990 20 0 EBADMM CC[C@@H](F)C(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000941663881 652865662 /nfs/dbraw/zinc/86/56/62/652865662.db2.gz IQEBYNFYYIZLFC-RKDXNWHRSA-N -1 1 336.327 -0.504 20 0 EBADMM CC(C)(F)C(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000941664097 652866586 /nfs/dbraw/zinc/86/65/86/652866586.db2.gz MSGURWWXZLPSQA-MRVPVSSYSA-N -1 1 336.327 -0.504 20 0 EBADMM CC(C)(C)C(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000941664081 652866656 /nfs/dbraw/zinc/86/66/56/652866656.db2.gz LZLITDHELGJKNX-SECBINFHSA-N -1 1 332.364 -0.206 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)c1ccc(=O)[nH]n1 ZINC000939269432 652874953 /nfs/dbraw/zinc/87/49/53/652874953.db2.gz VXSPESXMEYEIRS-SECBINFHSA-N -1 1 329.316 -0.073 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1cnccn1 ZINC000941763755 652903595 /nfs/dbraw/zinc/90/35/95/652903595.db2.gz HRYCHEMZCLXWHJ-WDEREUQCSA-N -1 1 331.380 -0.461 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1cnn(C)c1 ZINC000941795083 652913867 /nfs/dbraw/zinc/91/38/67/652913867.db2.gz QOJHYLWVQKMCMO-PWSUYJOCSA-N -1 1 333.396 -0.518 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCCN(C(=O)C(N)=O)CC1 ZINC000941814008 652918986 /nfs/dbraw/zinc/91/89/86/652918986.db2.gz FLFSIQBDRCVJOQ-UHFFFAOYSA-N -1 1 349.391 -0.226 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)Cc1cc[nH]n1 ZINC000941911528 652948660 /nfs/dbraw/zinc/94/86/60/652948660.db2.gz FGIHXNRCUKQPRI-JQWIXIFHSA-N -1 1 333.396 -0.599 20 0 EBADMM Cc1c(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)nnn1C ZINC000941914840 652950877 /nfs/dbraw/zinc/95/08/77/652950877.db2.gz ZPLACPKRBGZCKR-KOLCDFICSA-N -1 1 348.411 -0.814 20 0 EBADMM Cn1ncc(C(=O)NC[C@@H]2CN(C(=O)c3ncccc3[O-])CCO2)n1 ZINC000944672223 652957963 /nfs/dbraw/zinc/95/79/63/652957963.db2.gz MXMVRNYEXRCXNJ-SNVBAGLBSA-N -1 1 346.347 -0.813 20 0 EBADMM C[C@@H]1CN(C(=O)c2cccn(C)c2=O)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939560053 653005331 /nfs/dbraw/zinc/00/53/31/653005331.db2.gz GGJJCZAMRYZYPT-MWLCHTKSSA-N -1 1 332.364 -0.541 20 0 EBADMM C[C@@H]1CN(Cc2nnnn2C)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC000941989757 653014158 /nfs/dbraw/zinc/01/41/58/653014158.db2.gz QFGDTEFJNJBBBQ-MNOVXSKESA-N -1 1 331.380 -0.049 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)Cc1cncnc1 ZINC000942004774 653018017 /nfs/dbraw/zinc/01/80/17/653018017.db2.gz LBISMOYKBDEZCT-WCQYABFASA-N -1 1 345.407 -0.532 20 0 EBADMM Cc1c[nH]nc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1C ZINC000942029489 653024011 /nfs/dbraw/zinc/02/40/11/653024011.db2.gz MIAPGQPGTVSTQU-QWRGUYRKSA-N -1 1 333.396 -0.220 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)n1cncn1 ZINC000940082858 653078652 /nfs/dbraw/zinc/07/86/52/653078652.db2.gz QIPNDEBWPPHSLE-WDEREUQCSA-N -1 1 330.348 -0.029 20 0 EBADMM C[C@H](C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1)S(C)(=O)=O ZINC000940130719 653084576 /nfs/dbraw/zinc/08/45/76/653084576.db2.gz URNWCAZBCGIZPR-NXEZZACHSA-N -1 1 341.389 -0.449 20 0 EBADMM NC(=O)c1c[nH]c(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)c1 ZINC000940131668 653084816 /nfs/dbraw/zinc/08/48/16/653084816.db2.gz IYYOJYGBQBSMNB-SNVBAGLBSA-N -1 1 343.343 -0.141 20 0 EBADMM C[C@@H](C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1)n1cncn1 ZINC000940132460 653085104 /nfs/dbraw/zinc/08/51/04/653085104.db2.gz ZUMYMARHFNAOIH-WDEREUQCSA-N -1 1 330.348 -0.029 20 0 EBADMM Cn1ncc(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)c1N ZINC000940132414 653085150 /nfs/dbraw/zinc/08/51/50/653085150.db2.gz XQSICHJWJPDZPF-SECBINFHSA-N -1 1 330.348 -0.253 20 0 EBADMM CC(=O)NC[C@@H]1CCCC[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000946407745 653108915 /nfs/dbraw/zinc/10/89/15/653108915.db2.gz RZHIVWAQYGWYDK-QWRGUYRKSA-N -1 1 332.364 -0.158 20 0 EBADMM Cc1nnc(CC(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)[nH]1 ZINC000940339502 653133613 /nfs/dbraw/zinc/13/36/13/653133613.db2.gz QZNBPORSYRWPSX-SNVBAGLBSA-N -1 1 330.348 -0.213 20 0 EBADMM Cc1nc(CC(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)n[nH]1 ZINC000940339502 653133619 /nfs/dbraw/zinc/13/36/19/653133619.db2.gz QZNBPORSYRWPSX-SNVBAGLBSA-N -1 1 330.348 -0.213 20 0 EBADMM Cn1cnc(C(=O)NC2CCN(C(=O)c3ncccc3[O-])CC2)n1 ZINC000946533265 653144411 /nfs/dbraw/zinc/14/44/11/653144411.db2.gz OVUYOFUPALBNQM-UHFFFAOYSA-N -1 1 330.348 -0.050 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1n[nH]cc1F ZINC000942600618 653159932 /nfs/dbraw/zinc/15/99/32/653159932.db2.gz RYDXVDPQKLTBKY-PSASIEDQSA-N -1 1 337.359 -0.389 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CC[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2CC1 ZINC000946707729 653195701 /nfs/dbraw/zinc/19/57/01/653195701.db2.gz ZYTBXDYDDJBRNE-PHIMTYICSA-N -1 1 346.395 -0.079 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)Cn2cnnn2)C1 ZINC000942759660 653197745 /nfs/dbraw/zinc/19/77/45/653197745.db2.gz ZFRPTGPFEWQGKA-LLVKDONJSA-N -1 1 345.363 -0.463 20 0 EBADMM O=C(Cn1nccn1)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000946857294 653249676 /nfs/dbraw/zinc/24/96/76/653249676.db2.gz KDEVPEANDKWQAT-UHFFFAOYSA-N -1 1 330.348 -0.200 20 0 EBADMM CCN(C(=O)c1nnn(C)n1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000942919153 653261621 /nfs/dbraw/zinc/26/16/21/653261621.db2.gz SJXPTNQPHDGPOD-SNVBAGLBSA-N -1 1 345.363 -0.313 20 0 EBADMM Cc1cc(C(=O)N2CCC(NC(=O)Cn3c(=O)[n-][nH]c3=O)CC2)n[nH]1 ZINC000944822497 653272721 /nfs/dbraw/zinc/27/27/21/653272721.db2.gz WVSOHAOHTWTIJZ-UHFFFAOYSA-N -1 1 349.351 -0.858 20 0 EBADMM O=C(N[C@@H]1CCCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC000943017382 653280173 /nfs/dbraw/zinc/28/01/73/653280173.db2.gz OBOSQLGJQLLUDP-LLVKDONJSA-N -1 1 344.375 -0.062 20 0 EBADMM CCN1CCO[C@H](C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000940794483 653286836 /nfs/dbraw/zinc/28/68/36/653286836.db2.gz VRNHHKCCZKDKNH-OCCSQVGLSA-N -1 1 348.403 -0.161 20 0 EBADMM Cc1cnc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H](C)C2)cn1 ZINC000947017268 653291240 /nfs/dbraw/zinc/29/12/40/653291240.db2.gz GFJANPLXQLGDRR-NEPJUHHUSA-N -1 1 345.407 -0.010 20 0 EBADMM CN1C[C@H](C(=O)N2CCCN(C(=O)c3ncccc3[O-])CC2)NC1=O ZINC000940831898 653297710 /nfs/dbraw/zinc/29/77/10/653297710.db2.gz OCYAJMCNGTXGDG-LLVKDONJSA-N -1 1 347.375 -0.515 20 0 EBADMM Cc1nn(C)cc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@H](C)C1 ZINC000947126713 653320661 /nfs/dbraw/zinc/32/06/61/653320661.db2.gz OULSPWPRLMLPMP-ZYHUDNBSSA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2nccnc2N)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947144961 653327081 /nfs/dbraw/zinc/32/70/81/653327081.db2.gz YFEPMPNCFYEXBO-VHSXEESVSA-N -1 1 346.395 -0.737 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2cnn(C)c2N)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947227150 653340948 /nfs/dbraw/zinc/34/09/48/653340948.db2.gz XGBBAIKTDXVNRA-ZJUUUORDSA-N -1 1 348.411 -0.793 20 0 EBADMM Cc1ccnc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H](C)C2)n1 ZINC000947245466 653346295 /nfs/dbraw/zinc/34/62/95/653346295.db2.gz SSGUOEPNHNWWQW-NEPJUHHUSA-N -1 1 345.407 -0.010 20 0 EBADMM Cc1ccnc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H](C)C2)n1 ZINC000947245471 653346405 /nfs/dbraw/zinc/34/64/05/653346405.db2.gz SSGUOEPNHNWWQW-RYUDHWBXSA-N -1 1 345.407 -0.010 20 0 EBADMM Cc1ccnc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H](C)C2)n1 ZINC000947245472 653346455 /nfs/dbraw/zinc/34/64/55/653346455.db2.gz SSGUOEPNHNWWQW-VXGBXAGGSA-N -1 1 345.407 -0.010 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1NC(=O)c1nnn(C)n1 ZINC000945276121 653386218 /nfs/dbraw/zinc/38/62/18/653386218.db2.gz BSFOJZMWJZSMCU-NXEZZACHSA-N -1 1 345.363 -0.409 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1NC(=O)Cn1cnnn1 ZINC000945288121 653391330 /nfs/dbraw/zinc/39/13/30/653391330.db2.gz JJBJXCYRMSGKNP-QWRGUYRKSA-N -1 1 345.363 -0.559 20 0 EBADMM Cc1c[nH]c(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)n1 ZINC000943482763 653402316 /nfs/dbraw/zinc/40/23/16/653402316.db2.gz QHXWBFPLAFOFBV-MWLCHTKSSA-N -1 1 333.396 -0.220 20 0 EBADMM Cc1nc(CN2CC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C[C@H]2C)n[nH]1 ZINC000947506338 653418391 /nfs/dbraw/zinc/41/83/91/653418391.db2.gz NZZIWGRIIYBNJD-VXNVDRBHSA-N -1 1 348.367 -0.508 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CCN1Cc1ccnn1C ZINC000947505888 653418532 /nfs/dbraw/zinc/41/85/32/653418532.db2.gz GHFNUMAPQLWTKD-UWVGGRQHSA-N -1 1 347.379 -0.201 20 0 EBADMM CO[C@@H]1CN(C(=O)C(C)C)C[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000943537500 653418727 /nfs/dbraw/zinc/41/87/27/653418727.db2.gz MDJAJKNZFQPIAR-GHMZBOCLSA-N -1 1 348.363 -0.971 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cccnn3)C(C)(C)C2)nc1=O ZINC000941117250 653420339 /nfs/dbraw/zinc/42/03/39/653420339.db2.gz ACRSXKVVIQSUBZ-LBPRGKRZSA-N -1 1 345.407 -0.071 20 0 EBADMM CCC(=O)N[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H]1C ZINC000945401335 653438866 /nfs/dbraw/zinc/43/88/66/653438866.db2.gz DSDPQIWAZQLAHB-NWDGAFQWSA-N -1 1 336.392 -0.310 20 0 EBADMM C[C@@H]1CN(CCF)CC[C@H]1NC(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC000943690282 653450374 /nfs/dbraw/zinc/45/03/74/653450374.db2.gz RGKWUUPGHWQAMK-ZYHUDNBSSA-N -1 1 336.371 -0.133 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2n[nH]cc2F)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947697961 653463037 /nfs/dbraw/zinc/46/30/37/653463037.db2.gz JSSXFGZYPYIHRW-BDAKNGLRSA-N -1 1 337.359 -0.247 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1cccnn1 ZINC000943748615 653467451 /nfs/dbraw/zinc/46/74/51/653467451.db2.gz CABPZTWCQRIMAP-WDEREUQCSA-N -1 1 331.380 -0.461 20 0 EBADMM Cc1nonc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000941251583 653469802 /nfs/dbraw/zinc/46/98/02/653469802.db2.gz ZAKJSKUVWRZINK-JTQLQIEISA-N -1 1 349.395 -0.170 20 0 EBADMM Cc1ccn(CC(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H](C)C2)n1 ZINC000947730999 653475275 /nfs/dbraw/zinc/47/52/75/653475275.db2.gz HAZNOHBCZCOEIU-QWHCGFSZSA-N -1 1 347.423 -0.217 20 0 EBADMM C[C@H]1CN(C(=O)C(N)=O)CC[C@@H]1NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000945495082 653476451 /nfs/dbraw/zinc/47/64/51/653476451.db2.gz OPUBFRCPYJVSEK-KWQFWETISA-N -1 1 347.375 -0.488 20 0 EBADMM Cc1nonc1CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC000943818631 653477703 /nfs/dbraw/zinc/47/77/03/653477703.db2.gz XREYOEMZSUYFMC-KOLCDFICSA-N -1 1 349.395 -0.631 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)N1CCC(NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000943826399 653478291 /nfs/dbraw/zinc/47/82/91/653478291.db2.gz NXZCLLHNUUJGLH-KOLCDFICSA-N -1 1 344.375 -0.206 20 0 EBADMM CC(=O)N[C@H](C)[C@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000945656825 653495126 /nfs/dbraw/zinc/49/51/26/653495126.db2.gz IVVLNPAKLXYKCC-YPMHNXCESA-N -1 1 336.392 -0.310 20 0 EBADMM Cc1nonc1CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@H](C)C1 ZINC000947872418 653495850 /nfs/dbraw/zinc/49/58/50/653495850.db2.gz NEEKTKLVPAMYPK-KOLCDFICSA-N -1 1 349.395 -0.488 20 0 EBADMM CN1C[C@@H](C(=O)NC[C@H]2CCCN2C(=O)c2ncccc2[O-])NC1=O ZINC000943981004 653501095 /nfs/dbraw/zinc/50/10/95/653501095.db2.gz XQVUJPBHRXDCST-MNOVXSKESA-N -1 1 347.375 -0.468 20 0 EBADMM O=C(NC[C@H]1CCCN1C(=O)c1ncccc1[O-])[C@@H]1CCC(=O)N1 ZINC000943977139 653501139 /nfs/dbraw/zinc/50/11/39/653501139.db2.gz USOHOBYQQUPYCI-MNOVXSKESA-N -1 1 332.360 -0.213 20 0 EBADMM NC(=O)CC(=O)N1CC[C@H]2[C@@H](CCN2C(=O)c2ncccc2[O-])C1 ZINC000945913248 653518287 /nfs/dbraw/zinc/51/82/87/653518287.db2.gz KYOWIFKPMRRXSN-QWRGUYRKSA-N -1 1 332.360 -0.274 20 0 EBADMM Cc1nonc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC000945945627 653521630 /nfs/dbraw/zinc/52/16/30/653521630.db2.gz XKLUAXRCPZTDKQ-PSASIEDQSA-N -1 1 335.368 -0.560 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2CN(C)CCO2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000948113778 653523828 /nfs/dbraw/zinc/52/38/28/653523828.db2.gz KYHNSHMOTWSRGY-YRGRVCCFSA-N -1 1 348.403 -0.306 20 0 EBADMM CCC(=O)N1CC[C@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)CC[C@H]21 ZINC000945990569 653529061 /nfs/dbraw/zinc/52/90/61/653529061.db2.gz UNEOHZITFDUBCU-QWHCGFSZSA-N -1 1 348.403 -0.214 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H]2[C@@H](CCN2C(C)=O)C1 ZINC000945994008 653529322 /nfs/dbraw/zinc/52/93/22/653529322.db2.gz SXSPNVCWXPSETJ-UWVGGRQHSA-N -1 1 336.348 -0.021 20 0 EBADMM O=C(NC[C@H]1CCC[C@@H]1NC(=O)C1CC1)c1cc(=O)n2[n-]cnc2n1 ZINC000946079557 653541249 /nfs/dbraw/zinc/54/12/49/653541249.db2.gz LMPYHWOLTGQGLJ-MNOVXSKESA-N -1 1 344.375 -0.158 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cnc4[nH]cnc4c3)CC2)nc1=O ZINC000948577892 653559900 /nfs/dbraw/zinc/55/99/00/653559900.db2.gz VFATZYUURPUZQY-UHFFFAOYSA-N -1 1 342.363 -0.662 20 0 EBADMM C[C@H](C(N)=O)N1CCC[C@H](N(C)C(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC000948590615 653561272 /nfs/dbraw/zinc/56/12/72/653561272.db2.gz MTVAZVPVRLNXAN-BDAKNGLRSA-N -1 1 338.368 -0.917 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@H]1CCNC(=O)C1 ZINC000948618874 653562743 /nfs/dbraw/zinc/56/27/43/653562743.db2.gz MUFFZQRKDCOPQT-GRYCIOLGSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@H]1COCCN1C ZINC000948618759 653562757 /nfs/dbraw/zinc/56/27/57/653562757.db2.gz GIBYPIJVHSYQBO-JHJVBQTASA-N -1 1 348.403 -0.306 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@H]1COCCO1 ZINC000948619000 653562984 /nfs/dbraw/zinc/56/29/84/653562984.db2.gz OLEGPCBRYZQJSA-NQBHXWOUSA-N -1 1 335.360 -0.221 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H]1CNC(=O)c1ccco1 ZINC000948704239 653567711 /nfs/dbraw/zinc/56/77/11/653567711.db2.gz ZXJBOAMIGGKXJI-LLVKDONJSA-N -1 1 346.343 -0.449 20 0 EBADMM CCc1nnc(C)cc1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000948935021 653583287 /nfs/dbraw/zinc/58/32/87/653583287.db2.gz ARGYHUYMABBULH-UHFFFAOYSA-N -1 1 345.407 -0.273 20 0 EBADMM CCCc1c(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cnn1C ZINC000949079287 653593341 /nfs/dbraw/zinc/59/33/41/653593341.db2.gz FXEZEEKIHZZGFV-UHFFFAOYSA-N -1 1 347.423 -0.248 20 0 EBADMM CN1CCO[C@@H](C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])C1 ZINC000949239795 653844718 /nfs/dbraw/zinc/84/47/18/653844718.db2.gz VFHDGXUFWRCKNE-WCQYABFASA-N -1 1 334.376 -0.552 20 0 EBADMM C[C@@H]1CN(C(=O)Cn2ncnn2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000949303046 653857781 /nfs/dbraw/zinc/85/77/81/653857781.db2.gz DDHVRHQXCSAKER-NXEZZACHSA-N -1 1 331.336 -0.949 20 0 EBADMM CN1CCOC[C@H]1C(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC000949478284 653902457 /nfs/dbraw/zinc/90/24/57/653902457.db2.gz SCJRKYMUYHVKGO-RYUDHWBXSA-N -1 1 334.376 -0.552 20 0 EBADMM C[C@@H]1CCN(C(=O)C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])C1 ZINC000949538915 653923674 /nfs/dbraw/zinc/92/36/74/653923674.db2.gz UBLZYMGNGTXFFK-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)[C@H]1CCC(=O)NC1)c1ncccc1[O-] ZINC000949541463 653923903 /nfs/dbraw/zinc/92/39/03/653923903.db2.gz IDKQTKDIMYTBLB-WDEREUQCSA-N -1 1 332.360 -0.356 20 0 EBADMM C[C@]1(C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])CNC(=O)C1 ZINC000949541823 653924452 /nfs/dbraw/zinc/92/44/52/653924452.db2.gz QBVGVVYFVVLXHQ-MGPLVRAMSA-N -1 1 332.360 -0.356 20 0 EBADMM Cc1noc(CCC(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC000949568049 653927980 /nfs/dbraw/zinc/92/79/80/653927980.db2.gz QWRPUCGLGFJDIC-UHFFFAOYSA-N -1 1 335.368 -0.923 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cccc4[nH]ncc43)CC2)nc1=O ZINC000949583894 653929954 /nfs/dbraw/zinc/92/99/54/653929954.db2.gz YIIZRCPPWGOYOM-UHFFFAOYSA-N -1 1 341.375 -0.057 20 0 EBADMM CC(=O)N1CC(C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])C1 ZINC000949608215 653933026 /nfs/dbraw/zinc/93/30/26/653933026.db2.gz HEHQRLAHFXQHBE-LBPRGKRZSA-N -1 1 332.360 -0.404 20 0 EBADMM CCN(C(=O)c1nccc(C)n1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000949988316 654014293 /nfs/dbraw/zinc/01/42/93/654014293.db2.gz DVFFVCCSDLFOLX-UHFFFAOYSA-N -1 1 331.380 -0.447 20 0 EBADMM CO[C@@H](C)C(=O)N1CCC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000950164098 654057578 /nfs/dbraw/zinc/05/75/78/654057578.db2.gz RRZNTBUVDGGSQN-VHSXEESVSA-N -1 1 348.363 -0.827 20 0 EBADMM O=C(N[C@@H]1CCCN(C(=O)[C@H]2CCC(=O)N2)C1)c1ncccc1[O-] ZINC000950219708 654067696 /nfs/dbraw/zinc/06/76/96/654067696.db2.gz QFOZQYHSULFGPP-GHMZBOCLSA-N -1 1 332.360 -0.213 20 0 EBADMM Cc1ncc(C(=O)N2CCC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC000950224029 654068567 /nfs/dbraw/zinc/06/85/67/654068567.db2.gz PMXBNKZMADYEKV-MRVPVSSYSA-N -1 1 347.335 -0.651 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N[C@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000951362092 654104036 /nfs/dbraw/zinc/10/40/36/654104036.db2.gz JGQPHLZQCADYDT-FRRDWIJNSA-N -1 1 348.403 -0.310 20 0 EBADMM CC(C)C(=O)N[C@@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000951408723 654118351 /nfs/dbraw/zinc/11/83/51/654118351.db2.gz RNTBUCGEYQSQOJ-SNVBAGLBSA-N -1 1 332.364 -0.206 20 0 EBADMM O=C(Cn1cnnn1)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950539573 654171826 /nfs/dbraw/zinc/17/18/26/654171826.db2.gz SQUAXKNPLDRMHL-JTQLQIEISA-N -1 1 331.336 -0.805 20 0 EBADMM CN1CCO[C@@H](C(=O)N[C@H]2CCCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000950539671 654172090 /nfs/dbraw/zinc/17/20/90/654172090.db2.gz VBYFZLZTCTVOHX-GXTWGEPZSA-N -1 1 348.403 -0.161 20 0 EBADMM CCN(C(=O)c1c(C)ncn1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950563541 654179493 /nfs/dbraw/zinc/17/94/93/654179493.db2.gz FKDNKUDHQNXDFT-UHFFFAOYSA-N -1 1 333.396 -0.503 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H]1CNC(=O)[C@H]1C[C@@H]1C ZINC000951602093 654208782 /nfs/dbraw/zinc/20/87/82/654208782.db2.gz IPRYLAOPVRPBOH-CIUDSAMLSA-N -1 1 336.348 -0.117 20 0 EBADMM CCN(C(=O)[C@@H]1CCOC[C@H]1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000951700018 654251288 /nfs/dbraw/zinc/25/12/88/654251288.db2.gz ACTVMTIBDZIBFQ-DGCLKSJQSA-N -1 1 337.424 -0.186 20 0 EBADMM Cc1nc(CN2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)CC2)co1 ZINC000951777247 654278790 /nfs/dbraw/zinc/27/87/90/654278790.db2.gz DMBCUXOYTANJRZ-UHFFFAOYSA-N -1 1 347.375 -0.432 20 0 EBADMM CC(C)=CC(=O)N1CC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951222933 654424632 /nfs/dbraw/zinc/42/46/32/654424632.db2.gz VKFAOXDTXPPVOZ-JTQLQIEISA-N -1 1 330.348 -0.286 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCN1C(=O)c1ncc[nH]1 ZINC000951222828 654424659 /nfs/dbraw/zinc/42/46/59/654424659.db2.gz TUDQDIVMYOQEMM-ZETCQYMHSA-N -1 1 348.319 -0.736 20 0 EBADMM CC(C)(C)C(=O)N1CC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951225290 654426426 /nfs/dbraw/zinc/42/64/26/654426426.db2.gz YGYVFFQQPQYLHE-VIFPVBQESA-N -1 1 332.364 -0.206 20 0 EBADMM CCc1cc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n(C)n1 ZINC000952389769 654451400 /nfs/dbraw/zinc/45/14/00/654451400.db2.gz LZAXWZZTCJQCMH-UHFFFAOYSA-N -1 1 333.396 -0.638 20 0 EBADMM CCC(=O)N1CC[C@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)[C@H]2C1 ZINC000953227516 654545116 /nfs/dbraw/zinc/54/51/16/654545116.db2.gz JCPXRXZMNRBIFI-STQMWFEESA-N -1 1 348.403 -0.214 20 0 EBADMM NC(=O)CC(=O)N1CC[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000953313563 654555143 /nfs/dbraw/zinc/55/51/43/654555143.db2.gz FIZZKYPEIOVGDI-GHMZBOCLSA-N -1 1 332.360 -0.274 20 0 EBADMM CN(C(=O)C1CCC1)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000953474994 654590337 /nfs/dbraw/zinc/59/03/37/654590337.db2.gz WSBUEUSVMNPXIQ-UHFFFAOYSA-N -1 1 334.376 -0.604 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(N(C)C(=O)[C@H]2C[C@H]2C)C1 ZINC000953625609 654600046 /nfs/dbraw/zinc/60/00/46/654600046.db2.gz ZIMZSRNVXCMKFL-APPZFPTMSA-N -1 1 336.348 -0.165 20 0 EBADMM CC1CC(C(=O)N(C)C2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)C1 ZINC000954014049 654669432 /nfs/dbraw/zinc/66/94/32/654669432.db2.gz JSCHEUWJMHHGFO-UHFFFAOYSA-N -1 1 348.403 -0.358 20 0 EBADMM Cc1c[nH]nc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1C ZINC000965986372 724555517 /nfs/dbraw/zinc/55/55/17/724555517.db2.gz YKEWTVPJFQDVEM-MWLCHTKSSA-N -1 1 333.396 -0.220 20 0 EBADMM Cc1c[nH]nc1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1C ZINC000965986373 724555603 /nfs/dbraw/zinc/55/56/03/724555603.db2.gz YKEWTVPJFQDVEM-ONGXEEELSA-N -1 1 333.396 -0.220 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CN(C(=O)C(F)F)C[C@@H]1C ZINC000966016450 724559818 /nfs/dbraw/zinc/55/98/18/724559818.db2.gz DWPKIVJLIISSCR-WDSKDSINSA-N -1 1 346.290 -0.262 20 0 EBADMM Cc1ccc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)nn1 ZINC000966049816 724561987 /nfs/dbraw/zinc/56/19/87/724561987.db2.gz SXVGXEIYMOYHAF-MFKMUULPSA-N -1 1 345.407 -0.153 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)nn1 ZINC000966049818 724562247 /nfs/dbraw/zinc/56/22/47/724562247.db2.gz SXVGXEIYMOYHAF-ZWNOBZJWSA-N -1 1 345.407 -0.153 20 0 EBADMM COCC(=O)N1C[C@H](NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)[C@@H](C)C1 ZINC000966059652 724563452 /nfs/dbraw/zinc/56/34/52/724563452.db2.gz PVRBDUAQRQJQHL-YUMQZZPRSA-N -1 1 340.336 -0.881 20 0 EBADMM Cc1cn(C)nc1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1C ZINC000966073088 724564843 /nfs/dbraw/zinc/56/48/43/724564843.db2.gz FSFMDHDYLWJQLQ-PWSUYJOCSA-N -1 1 347.423 -0.209 20 0 EBADMM Cn1cc(S(=O)(=O)NC[C@H]2CN3CCC[C@H]3CO2)cc1C(=O)[O-] ZINC000390115393 719414321 /nfs/dbraw/zinc/41/43/21/719414321.db2.gz VSPPRVLKJGFCMY-QWRGUYRKSA-N -1 1 343.405 -0.135 20 0 EBADMM C[C@H](CN1CCN(C)CC1)NC(=O)CN(C[C@@H]1CCCO1)C(=O)[O-] ZINC000738909030 719419383 /nfs/dbraw/zinc/41/93/83/719419383.db2.gz CSOIXCHTXCXOQY-KGLIPLIRSA-N -1 1 342.440 -0.103 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)c2cnn(C)c2N)C1 ZINC000954123961 719569606 /nfs/dbraw/zinc/56/96/06/719569606.db2.gz GGDLCMPGIKZDPI-UHFFFAOYSA-N -1 1 330.348 -0.301 20 0 EBADMM CN(C(=O)[C@@H]1CCNC1=O)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000954132284 719574406 /nfs/dbraw/zinc/57/44/06/719574406.db2.gz LYCDOXXQCLHCAJ-GFCCVEGCSA-N -1 1 346.387 -0.014 20 0 EBADMM Cc1nnc(CC(=O)N(C)C2CN(C(=O)c3ncccc3[O-])C2)[nH]1 ZINC000954230601 719624605 /nfs/dbraw/zinc/62/46/05/719624605.db2.gz BLAHTAQIQICURI-UHFFFAOYSA-N -1 1 330.348 -0.261 20 0 EBADMM C/C=C(/C)C(=O)N1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H](C)C1 ZINC000966310073 724609392 /nfs/dbraw/zinc/60/93/92/724609392.db2.gz SLTAOFFJNKCPND-BXKFSTFJSA-N -1 1 344.375 -0.040 20 0 EBADMM CN(C(=O)c1c[nH]c(C(N)=O)c1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954335940 719674142 /nfs/dbraw/zinc/67/41/42/719674142.db2.gz WEYWVOZEUDGSEH-UHFFFAOYSA-N -1 1 343.343 -0.189 20 0 EBADMM CC[N@@H+]1CCO[C@@H](C(=O)N(C)C2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000954424644 719716847 /nfs/dbraw/zinc/71/68/47/719716847.db2.gz LSQKGMREGUSDBI-CQSZACIVSA-N -1 1 348.403 -0.209 20 0 EBADMM CN(C(=O)c1cc2n(n1)CCO2)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954548633 719776058 /nfs/dbraw/zinc/77/60/58/719776058.db2.gz HXHBJCRQCGLWHZ-UHFFFAOYSA-N -1 1 343.343 -0.027 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)Cn1cncn1 ZINC000966350429 724620041 /nfs/dbraw/zinc/62/00/41/724620041.db2.gz QNRYKKSOWBRFND-MNOVXSKESA-N -1 1 330.348 -0.344 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)Cc1nc[nH]n1 ZINC000966351116 724620452 /nfs/dbraw/zinc/62/04/52/724620452.db2.gz RPPBOORBZUJEFH-ZJUUUORDSA-N -1 1 330.348 -0.275 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)Cc1nnc[nH]1 ZINC000966351116 724620458 /nfs/dbraw/zinc/62/04/58/724620458.db2.gz RPPBOORBZUJEFH-ZJUUUORDSA-N -1 1 330.348 -0.275 20 0 EBADMM CN(C(=O)C1CC(=O)NC(=O)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954776557 719903477 /nfs/dbraw/zinc/90/34/77/719903477.db2.gz YGHIIDPSNOQREJ-UHFFFAOYSA-N -1 1 346.343 -0.877 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C1CN(C(=O)[C@@H]2CC23CC3)C1 ZINC000954829476 719925031 /nfs/dbraw/zinc/92/50/31/719925031.db2.gz YEQQJYAEBPBOLS-VIFPVBQESA-N -1 1 348.359 -0.021 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)c2cc[nH]c2)C1 ZINC000954829996 719925284 /nfs/dbraw/zinc/92/52/84/719925284.db2.gz FGLIPFOEAPNZMD-UHFFFAOYSA-N -1 1 341.331 -0.658 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)N1CC(N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000954831847 719925698 /nfs/dbraw/zinc/92/56/98/719925698.db2.gz MACNMYKBIJVNEL-SCZZXKLOSA-N -1 1 330.348 -0.644 20 0 EBADMM CC[C@@H](C)C(=O)N1CC(N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000954832750 719927023 /nfs/dbraw/zinc/92/70/23/719927023.db2.gz QRKFSSFLRDYLJN-SECBINFHSA-N -1 1 332.364 -0.254 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)C2(C)CC2)C1 ZINC000954833630 719927370 /nfs/dbraw/zinc/92/73/70/719927370.db2.gz ZUDNGAGKQBJPAY-UHFFFAOYSA-N -1 1 330.348 -0.500 20 0 EBADMM CN(C(=O)[C@H]1CC[C@@H]1C(N)=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954957403 719985154 /nfs/dbraw/zinc/98/51/54/719985154.db2.gz KJPAKYVPCDKRTB-QWRGUYRKSA-N -1 1 332.360 -0.419 20 0 EBADMM CN(C(=O)c1nc[nH]n1)C1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000955016908 720015113 /nfs/dbraw/zinc/01/51/13/720015113.db2.gz JKIGGXKQQDERQK-UHFFFAOYSA-N -1 1 343.347 -0.226 20 0 EBADMM C[C@@H]1C[C@@H]1CC(=O)N(C)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000955218671 720095004 /nfs/dbraw/zinc/09/50/04/720095004.db2.gz ZPCFWIBWKWZAFF-VXGBXAGGSA-N -1 1 348.403 -0.358 20 0 EBADMM C[C@@H]1CCN(C(=O)C(=O)N(C)C2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000955384850 720155456 /nfs/dbraw/zinc/15/54/56/720155456.db2.gz RBFMCAMPGNQREE-LLVKDONJSA-N -1 1 346.387 -0.062 20 0 EBADMM C[C@H](C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCC(=O)N1 ZINC000955410862 720169706 /nfs/dbraw/zinc/16/97/06/720169706.db2.gz PEWRRRDCVRENQV-JQWIXIFHSA-N -1 1 346.387 -0.015 20 0 EBADMM CN(C(=O)[C@@H]1CC12CC2)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000955433572 720174414 /nfs/dbraw/zinc/17/44/14/720174414.db2.gz YTRAJAAWOCDIJK-JTQLQIEISA-N -1 1 342.359 -0.500 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)Cn2c(=O)[n-][nH]c2=O)CCN1C(=O)c1ccn[nH]1 ZINC000955571583 720193061 /nfs/dbraw/zinc/19/30/61/720193061.db2.gz NMGZKKGTPKWXNA-IUCAKERBSA-N -1 1 349.351 -0.778 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)Cn2ncnn2)CCN1C(=O)c1ncccc1[O-] ZINC000955648929 720209594 /nfs/dbraw/zinc/20/95/94/720209594.db2.gz HIZAVRNDUBEHLM-MNOVXSKESA-N -1 1 345.363 -0.417 20 0 EBADMM CCC(=O)N[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)[C@@H](C)C1 ZINC000955736814 720240724 /nfs/dbraw/zinc/24/07/24/720240724.db2.gz AFXHZSCPULMHAW-NWDGAFQWSA-N -1 1 336.392 -0.168 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)C2CC2)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000955740061 720242504 /nfs/dbraw/zinc/24/25/04/720242504.db2.gz NMTHKXYPXQVJBG-YPMHNXCESA-N -1 1 348.403 -0.168 20 0 EBADMM O=C(NC[C@@H]1CCCN1C(=O)[C@H]1COCCO1)c1ncccc1[O-] ZINC000955905371 720290127 /nfs/dbraw/zinc/29/01/27/720290127.db2.gz XWBZVOOBKHYPEZ-WCQYABFASA-N -1 1 335.360 -0.077 20 0 EBADMM CN1CCO[C@H](C(=O)NC[C@@H]2CCCN2C(=O)c2ncccc2[O-])C1 ZINC000955979892 720315320 /nfs/dbraw/zinc/31/53/20/720315320.db2.gz VCRQPBDVJXYYKW-JSGCOSHPSA-N -1 1 348.403 -0.161 20 0 EBADMM CN1CCO[C@@H](C(=O)NC[C@@H]2CCCN2C(=O)c2ncccc2[O-])C1 ZINC000955979891 720315386 /nfs/dbraw/zinc/31/53/86/720315386.db2.gz VCRQPBDVJXYYKW-GXTWGEPZSA-N -1 1 348.403 -0.161 20 0 EBADMM CN1CCOC[C@H]1C(=O)NC[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC000955979254 720315781 /nfs/dbraw/zinc/31/57/81/720315781.db2.gz CDICJRCDHCSCGZ-STQMWFEESA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@]1(NC(=O)C2CCC2)CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000956626386 720468194 /nfs/dbraw/zinc/46/81/94/720468194.db2.gz YPRMBIWPKPWZIB-KRWDZBQOSA-N -1 1 348.403 -0.166 20 0 EBADMM C[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1NC(=O)CC1CC1 ZINC000966605674 724696219 /nfs/dbraw/zinc/69/62/19/724696219.db2.gz BDKXFLRZMKSCBY-JOYOIKCWSA-N -1 1 344.375 -0.206 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@]2(C)CCN(C(=O)CCc3c[nH]nn3)C2)c1[O-] ZINC000956831811 722114764 /nfs/dbraw/zinc/11/47/64/722114764.db2.gz RBZKKUSELBDEKZ-OAHLLOKOSA-N -1 1 347.379 -0.105 20 0 EBADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)[C@@H]2COCCO2)C1 ZINC000956848511 722119028 /nfs/dbraw/zinc/11/90/28/722119028.db2.gz BQLYHEMBARRBBX-LRDDRELGSA-N -1 1 335.360 -0.077 20 0 EBADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)[C@H]2COCCO2)C1 ZINC000956848513 722119127 /nfs/dbraw/zinc/11/91/27/722119127.db2.gz BQLYHEMBARRBBX-WBMJQRKESA-N -1 1 335.360 -0.077 20 0 EBADMM Cn1cc(C(=O)N2CC[C@](C)(NC(=O)c3ncccc3[O-])C2)nn1 ZINC000956848699 722119148 /nfs/dbraw/zinc/11/91/48/722119148.db2.gz DTXHQRUIPWFVQI-HNNXBMFYSA-N -1 1 330.348 -0.050 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@](C)(NC(=O)c3ncccc3[O-])C2)n1 ZINC000956849724 722119508 /nfs/dbraw/zinc/11/95/08/722119508.db2.gz XKWYCVMDHMSIPB-HNNXBMFYSA-N -1 1 330.348 -0.050 20 0 EBADMM CN1CC[C@H](C(=O)N2CC[C@@](C)(NC(=O)c3ncccc3[O-])C2)C1=O ZINC000956851398 722119713 /nfs/dbraw/zinc/11/97/13/722119713.db2.gz ZYAZKFQQJMMEDQ-APPDUMDISA-N -1 1 346.387 -0.014 20 0 EBADMM CN1C[C@@H](C(=O)N2CC[C@@](C)(NC(=O)c3ncccc3[O-])C2)NC1=O ZINC000956851786 722119742 /nfs/dbraw/zinc/11/97/42/722119742.db2.gz NDYRFGGTWOLSDD-MGPLVRAMSA-N -1 1 347.375 -0.468 20 0 EBADMM CCN(C(=O)c1cc(C)nc(C)n1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000956952754 722138836 /nfs/dbraw/zinc/13/88/36/722138836.db2.gz ARYBWIXVGLTLCF-UHFFFAOYSA-N -1 1 345.407 -0.138 20 0 EBADMM Cn1cc(C(C)(C)C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cn1 ZINC000956983344 722141759 /nfs/dbraw/zinc/14/17/59/722141759.db2.gz BUOSSVPWIXZCSI-UHFFFAOYSA-N -1 1 347.423 -0.536 20 0 EBADMM CCN(C(=O)c1[nH]c(=O)[n-]c(=O)c1OC)C1CN(C[C@@H](C)O)C1 ZINC000957132731 722161682 /nfs/dbraw/zinc/16/16/82/722161682.db2.gz YDKLLGBSWLHTJB-MRVPVSSYSA-N -1 1 326.353 -0.577 20 0 EBADMM CC(C)C(=O)N1CC[C@@](C)(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000957220051 722176543 /nfs/dbraw/zinc/17/65/43/722176543.db2.gz SCGCDPJNUQWLSB-OAHLLOKOSA-N -1 1 332.364 -0.206 20 0 EBADMM Cn1cc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c(Cl)n1 ZINC000957291837 722190023 /nfs/dbraw/zinc/19/00/23/722190023.db2.gz YPTXPDMRSIQIFQ-UHFFFAOYSA-N -1 1 339.787 -0.547 20 0 EBADMM CC(C)n1cc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cn1 ZINC000957301307 722190795 /nfs/dbraw/zinc/19/07/95/722190795.db2.gz BHLYWCUKIQUSBI-UHFFFAOYSA-N -1 1 333.396 -0.156 20 0 EBADMM C[C@H]1CC[C@@H](CN2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC2)O1 ZINC000957314578 722193062 /nfs/dbraw/zinc/19/30/62/722193062.db2.gz KBXFPFCKOJNMQQ-RYUDHWBXSA-N -1 1 346.391 -0.257 20 0 EBADMM CCN(C(=O)c1ccnc(C)n1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000957404367 722206372 /nfs/dbraw/zinc/20/63/72/722206372.db2.gz JSBRRTXJMNRNAO-UHFFFAOYSA-N -1 1 331.380 -0.447 20 0 EBADMM C[C@H](CC(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1)[C@@H]1CCCO1 ZINC000957463159 722214553 /nfs/dbraw/zinc/21/45/53/722214553.db2.gz YCEJTDKLQOUGCX-OLZOCXBDSA-N -1 1 337.424 -0.042 20 0 EBADMM Cn1cncc1CCC(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000957508635 722218687 /nfs/dbraw/zinc/21/86/87/722218687.db2.gz GLQRRVUHGXCNOV-UHFFFAOYSA-N -1 1 333.396 -0.881 20 0 EBADMM Cn1[n-]c(CN2C[C@H](O)[C@@H](CNC(=O)c3ccccc3F)C2)nc1=O ZINC000957813117 722244689 /nfs/dbraw/zinc/24/46/89/722244689.db2.gz WZOPBXSZNSALMG-GWCFXTLKSA-N -1 1 349.366 -0.530 20 0 EBADMM C[C@@H](C[C@@H]1CCCO1)C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000957845708 722250386 /nfs/dbraw/zinc/25/03/86/722250386.db2.gz NGRGLPMSDRKFDF-STQMWFEESA-N -1 1 337.424 -0.042 20 0 EBADMM Cn1[n-]c(CN2C[C@H](O)[C@H](CNC(=O)c3ccsc3)C2)nc1=O ZINC000957859470 722253817 /nfs/dbraw/zinc/25/38/17/722253817.db2.gz PSZCLUHPVLTDQZ-MNOVXSKESA-N -1 1 337.405 -0.607 20 0 EBADMM Cc1cc(C)n([C@H](C)C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC000957879835 722256494 /nfs/dbraw/zinc/25/64/94/722256494.db2.gz LMJTZKHFRRELGD-CYBMUJFWSA-N -1 1 347.423 -0.173 20 0 EBADMM CN(CC(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1)c1ccccc1 ZINC000957879580 722256610 /nfs/dbraw/zinc/25/66/10/722256610.db2.gz FGZYTDMXWHSDHD-UHFFFAOYSA-N -1 1 344.419 -0.111 20 0 EBADMM Cc1ncoc1CN1C[C@@H](O)[C@@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000957984929 722282274 /nfs/dbraw/zinc/28/22/74/722282274.db2.gz ATYAYHLUXUZWGU-WDEREUQCSA-N -1 1 335.364 -0.057 20 0 EBADMM O=C(NC[C@H]1CN(Cc2ncccn2)C[C@@H]1O)c1ncccc1[O-] ZINC000957990237 722283808 /nfs/dbraw/zinc/28/38/08/722283808.db2.gz LONCSJCESSKCBY-AAEUAGOBSA-N -1 1 329.360 -0.200 20 0 EBADMM Cn1ccc(CN2C[C@@H](CNC(=O)c3ncccc3[O-])[C@H](O)C2)n1 ZINC000957991476 722284139 /nfs/dbraw/zinc/28/41/39/722284139.db2.gz SCCVZXRLGAXTND-BXUZGUMPSA-N -1 1 331.376 -0.257 20 0 EBADMM O=C(NC[C@@H]1CN(Cc2nncs2)C[C@@H]1O)c1ncccc1[O-] ZINC000957994873 722285037 /nfs/dbraw/zinc/28/50/37/722285037.db2.gz JCTCRZVFZVRQSA-KOLCDFICSA-N -1 1 335.389 -0.139 20 0 EBADMM O=C(NC[C@@H]1CN(Cc2cnns2)C[C@H]1O)c1ncccc1[O-] ZINC000957995064 722285052 /nfs/dbraw/zinc/28/50/52/722285052.db2.gz KQKDEEYXJGBOLP-BXKDBHETSA-N -1 1 335.389 -0.139 20 0 EBADMM Cn1ncnc1CN1C[C@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957995612 722285253 /nfs/dbraw/zinc/28/52/53/722285253.db2.gz OCSICANZLLMSOG-JQWIXIFHSA-N -1 1 332.364 -0.862 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)co1 ZINC000958015323 722289181 /nfs/dbraw/zinc/28/91/81/722289181.db2.gz KNTMAPIFVNVBRT-NWDGAFQWSA-N -1 1 335.364 -0.767 20 0 EBADMM Cn1[n-]c(CN2C[C@H](O)[C@@H](CNC(=O)C3CC(C)(C)C3)C2)nc1=O ZINC000958042539 722296115 /nfs/dbraw/zinc/29/61/15/722296115.db2.gz VZYQABZFKGZBHE-RYUDHWBXSA-N -1 1 337.424 -0.547 20 0 EBADMM Cc1cc(C[N@@H+]2C[C@H](O)[C@@H](CNC(=O)c3cnc[nH]c3=O)C2)on1 ZINC000958168024 722317406 /nfs/dbraw/zinc/31/74/06/722317406.db2.gz RUDOIFFTCJZLBS-GWCFXTLKSA-N -1 1 333.348 -0.299 20 0 EBADMM Cn1[n-]c(CN2C[C@H](O)[C@@H](CNC(=O)C34CCC(CC3)C4)C2)nc1=O ZINC000958184265 722320472 /nfs/dbraw/zinc/32/04/72/722320472.db2.gz XRLGLWXNBJKCEN-UDRCLVEDSA-N -1 1 349.435 -0.402 20 0 EBADMM CCc1ccoc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC000958375462 722354329 /nfs/dbraw/zinc/35/43/29/722354329.db2.gz UKWZYSYECLJHTA-NEPJUHHUSA-N -1 1 349.391 -0.514 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@@H](CNC(=O)C3CC4(CC4)C3)C2)nc1=O ZINC000958400475 722360627 /nfs/dbraw/zinc/36/06/27/722360627.db2.gz VGKKMMANJSHAFA-NWDGAFQWSA-N -1 1 335.408 -0.793 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c(C)[nH]1 ZINC000958444936 722366984 /nfs/dbraw/zinc/36/69/84/722366984.db2.gz UGQMNLSSRTVCBF-YPMHNXCESA-N -1 1 348.407 -0.724 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H]2CCCOCC2)nc1=O ZINC000958723549 722420029 /nfs/dbraw/zinc/42/00/29/722420029.db2.gz NQOPGNIPVIMCSO-CIQGVGRVSA-N -1 1 335.408 -0.529 20 0 EBADMM CC(C)(C)n1cc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)nn1 ZINC001018945692 728752135 /nfs/dbraw/zinc/75/21/35/728752135.db2.gz DJVUOHBHURQZBU-VIFPVBQESA-N -1 1 334.384 -0.139 20 0 EBADMM CN(C(=O)c1csnn1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958901841 722647099 /nfs/dbraw/zinc/64/70/99/722647099.db2.gz ZBDJOKPEVTXEKY-ONGXEEELSA-N -1 1 349.372 -0.404 20 0 EBADMM CCC(=O)N1CC[C@H]([C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000959000575 722681989 /nfs/dbraw/zinc/68/19/89/722681989.db2.gz ZZIUFWQACXNSQG-ZJUUUORDSA-N -1 1 332.364 -0.206 20 0 EBADMM C[C@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1CNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC000959383934 722714667 /nfs/dbraw/zinc/71/46/67/722714667.db2.gz BSNNNJZDDFCFBK-JGVFFNPUSA-N -1 1 347.335 -0.856 20 0 EBADMM C[C@H]1CN(C(=O)C(N)=O)C[C@@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000959572745 722745725 /nfs/dbraw/zinc/74/57/25/722745725.db2.gz VUZAMMVNHUQDRS-WPRPVWTQSA-N -1 1 347.375 -0.631 20 0 EBADMM C[C@@H]1CN(C(=O)Cc2nnc[nH]2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000959697322 722757144 /nfs/dbraw/zinc/75/71/44/722757144.db2.gz SLBFDBQMQDKSOH-NXEZZACHSA-N -1 1 330.348 -0.275 20 0 EBADMM O=C(CCn1cncn1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959882219 722770719 /nfs/dbraw/zinc/77/07/19/722770719.db2.gz YCZULPJKQACHQK-NSHDSACASA-N -1 1 334.384 -0.337 20 0 EBADMM CN1CCOC[C@@H]1C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959970131 722778764 /nfs/dbraw/zinc/77/87/64/722778764.db2.gz IWHFHYVFVCOCBV-NWDGAFQWSA-N -1 1 338.412 -0.688 20 0 EBADMM CN1CCOC[C@H]1C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959970135 722778834 /nfs/dbraw/zinc/77/88/34/722778834.db2.gz IWHFHYVFVCOCBV-RYUDHWBXSA-N -1 1 338.412 -0.688 20 0 EBADMM CC[C@H](OC)C(=O)N1CCC[C@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC000960483301 722832868 /nfs/dbraw/zinc/83/28/68/722832868.db2.gz NIOFDXAUBRCYRJ-RYUDHWBXSA-N -1 1 325.413 -0.044 20 0 EBADMM CC[C@@H](OC)C(=O)N1CCC[C@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC000960483298 722832915 /nfs/dbraw/zinc/83/29/15/722832915.db2.gz NIOFDXAUBRCYRJ-NWDGAFQWSA-N -1 1 325.413 -0.044 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)C1=CCOCC1 ZINC000960565803 722839629 /nfs/dbraw/zinc/83/96/29/722839629.db2.gz DQJIWJLPDATKGB-CYBMUJFWSA-N -1 1 335.408 -0.122 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)c1cncnc1 ZINC000960569415 722839666 /nfs/dbraw/zinc/83/96/66/722839666.db2.gz WHNKGPNDMKONKE-LBPRGKRZSA-N -1 1 331.380 -0.365 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)c1cnn(C)c1N ZINC000960571954 722839989 /nfs/dbraw/zinc/83/99/89/722839989.db2.gz DOUQFPJJQQXYPO-JTQLQIEISA-N -1 1 348.411 -0.839 20 0 EBADMM Cc1ncc(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2n[nH]c(=O)[n-]c2=O)s1 ZINC000960811257 722867716 /nfs/dbraw/zinc/86/77/16/722867716.db2.gz UQTBESIFPKLHDX-MYJAWHEDSA-N -1 1 348.388 -0.092 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN1C(=O)CCc1c[nH]nn1 ZINC000960873662 722875578 /nfs/dbraw/zinc/87/55/78/722875578.db2.gz LKUSAAGGCONQEK-LLVKDONJSA-N -1 1 334.384 -0.316 20 0 EBADMM C[C@@H]1CCO[C@@H]1C(=O)N1CCC[C@@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC000960875765 722875923 /nfs/dbraw/zinc/87/59/23/722875923.db2.gz ULXSCYDUNTXAIK-BZPMIXESSA-N -1 1 337.424 -0.044 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)[C@@]1(C)CCOC1 ZINC000960954596 722881728 /nfs/dbraw/zinc/88/17/28/722881728.db2.gz HAFBCTSONDKFGA-WBMJQRKESA-N -1 1 337.424 -0.042 20 0 EBADMM Cn1nnc(C(=O)N2C[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]3C2)n1 ZINC000961428103 723017486 /nfs/dbraw/zinc/01/74/86/723017486.db2.gz ZQHRSAXQJQOOPZ-AOOOYVTPSA-N -1 1 343.347 -0.845 20 0 EBADMM CN(C)c1ccc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001019074922 728790009 /nfs/dbraw/zinc/79/00/09/728790009.db2.gz OXYHIWSPVJURBA-NSHDSACASA-N -1 1 331.380 -0.024 20 0 EBADMM C[N@H+]1CCC[C@@H]1C(=O)N[C@@H]1CN(C(=O)c2ccccc2O)C[C@@H]1O ZINC000961924198 723119217 /nfs/dbraw/zinc/11/92/17/723119217.db2.gz URZWHCFMGVRBIS-NFAWXSAZSA-N -1 1 333.388 -0.212 20 0 EBADMM COc1cncc(CN[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001019094793 728794695 /nfs/dbraw/zinc/79/46/95/728794695.db2.gz MMOHOHIKAALEHK-QMMMGPOBSA-N -1 1 347.335 -0.914 20 0 EBADMM COc1cccc(CN[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001019094831 728795140 /nfs/dbraw/zinc/79/51/40/728795140.db2.gz OFCDLRCKIKRADV-JTQLQIEISA-N -1 1 346.347 -0.309 20 0 EBADMM Cc1cc(CC(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)n[nH]1 ZINC000962203298 723194051 /nfs/dbraw/zinc/19/40/51/723194051.db2.gz FVCHJLRHJAAKTF-YPMHNXCESA-N -1 1 345.359 -0.637 20 0 EBADMM Cc1cc(CC(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)[nH]n1 ZINC000962203298 723194054 /nfs/dbraw/zinc/19/40/54/723194054.db2.gz FVCHJLRHJAAKTF-YPMHNXCESA-N -1 1 345.359 -0.637 20 0 EBADMM CCn1cc(CC(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)cn1 ZINC000962268023 723211406 /nfs/dbraw/zinc/21/14/06/723211406.db2.gz CKNQTWLOARIGPU-JYAVWHMHSA-N -1 1 345.407 -0.886 20 0 EBADMM Cc1cc(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)Cn2c(=O)[n-][nH]c2=O)ncn1 ZINC000962405341 723241831 /nfs/dbraw/zinc/24/18/31/723241831.db2.gz LPANLUYXOGUWGS-PJXYFTJBSA-N -1 1 345.363 -0.966 20 0 EBADMM C[C@](O)(CC(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1)C1CC1 ZINC000962434095 723251193 /nfs/dbraw/zinc/25/11/93/723251193.db2.gz DCCOLTHSVVCPMD-ZUCKAHLUSA-N -1 1 349.387 -0.360 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2cnsn2)C[C@@H]1O)c1ncccc1[O-] ZINC000962433958 723251255 /nfs/dbraw/zinc/25/12/55/723251255.db2.gz BGMPWYBXHAZRSX-SCZZXKLOSA-N -1 1 335.345 -0.746 20 0 EBADMM CS[C@@H](C)C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962434809 723251765 /nfs/dbraw/zinc/25/17/65/723251765.db2.gz LMQIUTBKSSYYCC-NGZCFLSTSA-N -1 1 325.390 -0.160 20 0 EBADMM O=C(C[C@@H]1COC(=O)C1)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962434945 723251849 /nfs/dbraw/zinc/25/18/49/723251849.db2.gz MPPZPAHSNDKEPB-UMNHJUIQSA-N -1 1 349.343 -0.958 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2cncnc2)C[C@@H]1O)c1ncccc1[O-] ZINC000962435319 723252286 /nfs/dbraw/zinc/25/22/86/723252286.db2.gz PIIZLQSLAKWLEL-PWSUYJOCSA-N -1 1 329.316 -0.808 20 0 EBADMM Cc1nc[nH]c1CC(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962435837 723252484 /nfs/dbraw/zinc/25/24/84/723252484.db2.gz WFMPBHMYZVGIHJ-YPMHNXCESA-N -1 1 345.359 -0.637 20 0 EBADMM CCC(=O)N1CC[C@H]2[C@@H]1CCCN2C(=O)CCn1cc[n-]c(=O)c1=O ZINC000963417973 723420175 /nfs/dbraw/zinc/42/01/75/723420175.db2.gz WWEFZJXHNVAQOD-STQMWFEESA-N -1 1 348.403 -0.071 20 0 EBADMM NC(=O)CC(=O)N1CCC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000963700599 723469039 /nfs/dbraw/zinc/46/90/39/723469039.db2.gz QPKSAIMWQLUWAW-WDEREUQCSA-N -1 1 332.360 -0.274 20 0 EBADMM Cc1n[nH]c(=O)c(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c1C ZINC001019221024 728829051 /nfs/dbraw/zinc/82/90/51/728829051.db2.gz MFPCGJZSUXVKLX-VIFPVBQESA-N -1 1 333.352 -0.373 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1ncccc1[O-])C1=COCCO1 ZINC000964078949 723787594 /nfs/dbraw/zinc/78/75/94/723787594.db2.gz HJDFXGAWFPVINV-NSHDSACASA-N -1 1 349.343 -0.367 20 0 EBADMM Cn1nccc1C(=O)N1CCOC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000964153063 723839524 /nfs/dbraw/zinc/83/95/24/723839524.db2.gz ALINXUUNRGGJIB-LLVKDONJSA-N -1 1 345.359 -0.208 20 0 EBADMM Cc1cc(C(=O)N2CCOC[C@@H]2CNC(=O)c2[nH]nc(C)c2[O-])n[nH]1 ZINC000964155850 723841684 /nfs/dbraw/zinc/84/16/84/723841684.db2.gz OHLSQJFLNYEDIO-JTQLQIEISA-N -1 1 348.363 -0.274 20 0 EBADMM Cc1nn[nH]c1C(=O)NC[C@H]1COCCN1C(=O)c1ncccc1[O-] ZINC000964291493 723944834 /nfs/dbraw/zinc/94/48/34/723944834.db2.gz PQCCAWGIZNAPOH-JTQLQIEISA-N -1 1 346.347 -0.515 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1ncccc1[O-])c1n[nH]cc1F ZINC000964345549 723988921 /nfs/dbraw/zinc/98/89/21/723988921.db2.gz AEQHJMAYRULRGN-SECBINFHSA-N -1 1 349.322 -0.080 20 0 EBADMM O=C(NC[C@H]1CCCCN1C(=O)C1CC1)c1cc(=O)n2[n-]cnc2n1 ZINC000964393364 724005250 /nfs/dbraw/zinc/00/52/50/724005250.db2.gz BVEZDUHHWRXTKC-LLVKDONJSA-N -1 1 344.375 -0.062 20 0 EBADMM CC(=O)N1CCC2(C[C@@H]2NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000964406992 724005771 /nfs/dbraw/zinc/00/57/71/724005771.db2.gz AMOCQGIKRWJTCD-NSHDSACASA-N -1 1 330.348 -0.452 20 0 EBADMM O=C(N[C@@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1)C1CC1 ZINC000964657246 724047194 /nfs/dbraw/zinc/04/71/94/724047194.db2.gz BWQAMCKIRVNWEL-LLVKDONJSA-N -1 1 344.375 -0.062 20 0 EBADMM O=C(c1ncccc1[O-])N1CC2(C1)CN(C(=O)[C@@H]1CCOC1)CCO2 ZINC000965318000 724173025 /nfs/dbraw/zinc/17/30/25/724173025.db2.gz DPEFTGXCKJLVRW-GFCCVEGCSA-N -1 1 347.371 -0.123 20 0 EBADMM Cc1cnn(CC(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)c1 ZINC000965476331 724266031 /nfs/dbraw/zinc/26/60/31/724266031.db2.gz LJUJBDINKZRYGZ-STQMWFEESA-N -1 1 347.423 -0.360 20 0 EBADMM Cc1cc(CC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)no1 ZINC000965649815 724342054 /nfs/dbraw/zinc/34/20/54/724342054.db2.gz CBTXCEKWEOYYKY-ZWNOBZJWSA-N -1 1 348.407 -0.026 20 0 EBADMM O=C(N[C@@H]1COC2(CN(C(=O)c3cn[nH]n3)C2)C1)c1ncccc1[O-] ZINC000965673625 724357603 /nfs/dbraw/zinc/35/76/03/724357603.db2.gz HAFNCAYWQMTTGR-VIFPVBQESA-N -1 1 344.331 -0.681 20 0 EBADMM CO[C@@H](C)C(=O)N1CC2(C1)C[C@@H](NC(=O)c1ncccc1[O-])CO2 ZINC000965678557 724360907 /nfs/dbraw/zinc/36/09/07/724360907.db2.gz BFANJNQWLUYNCL-WDEREUQCSA-N -1 1 335.360 -0.078 20 0 EBADMM O=C(N[C@H]1COC2(CN(C(=O)c3cc[nH]n3)C2)C1)c1ncccc1[O-] ZINC000965685765 724365555 /nfs/dbraw/zinc/36/55/55/724365555.db2.gz UYRQFBYYVMKFGJ-SNVBAGLBSA-N -1 1 343.343 -0.076 20 0 EBADMM O=C(N[C@H]1COC2(CN(C(=O)c3ccn[nH]3)C2)C1)c1ncccc1[O-] ZINC000965685765 724365561 /nfs/dbraw/zinc/36/55/61/724365561.db2.gz UYRQFBYYVMKFGJ-SNVBAGLBSA-N -1 1 343.343 -0.076 20 0 EBADMM O=C(N[C@H]1COC2(CN(C(=O)c3cnon3)C2)C1)c1ncccc1[O-] ZINC000965685755 724365899 /nfs/dbraw/zinc/36/58/99/724365899.db2.gz UQNYPMNEQQQSGD-SECBINFHSA-N -1 1 345.315 -0.416 20 0 EBADMM C[C@@H]1CCN(CCn2cncn2)C[C@@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC000967256269 724856820 /nfs/dbraw/zinc/85/68/20/724856820.db2.gz KCOVIQYXYUEVFJ-ZJUUUORDSA-N -1 1 348.367 -0.985 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1c[nH]c(=O)n1C ZINC000967719585 724898143 /nfs/dbraw/zinc/89/81/43/724898143.db2.gz IEHGDQUBMZWFMB-ZJUUUORDSA-N -1 1 349.395 -0.812 20 0 EBADMM CCN(C(=O)c1cnc(C)[nH]1)C1CN(C(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC000967837493 724915562 /nfs/dbraw/zinc/91/55/62/724915562.db2.gz KKOJCORIZMRBCD-UHFFFAOYSA-N -1 1 349.351 -0.906 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)Cc1cnoc1 ZINC000967970998 724940721 /nfs/dbraw/zinc/94/07/21/724940721.db2.gz SHFSZHWFCCKFNV-PWSUYJOCSA-N -1 1 334.380 -0.334 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)Cc1cnoc1 ZINC000967970997 724940821 /nfs/dbraw/zinc/94/08/21/724940821.db2.gz SHFSZHWFCCKFNV-JQWIXIFHSA-N -1 1 334.380 -0.334 20 0 EBADMM Cc1nc(CN[C@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)co1 ZINC001019523352 728908083 /nfs/dbraw/zinc/90/80/83/728908083.db2.gz WEGNHNHAEJBSHH-LBPRGKRZSA-N -1 1 347.375 -0.386 20 0 EBADMM CCN(C(=O)[C@H]1CCN(C)C1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000968264850 725042946 /nfs/dbraw/zinc/04/29/46/725042946.db2.gz YZRCCHZUVWFCOK-LBPRGKRZSA-N -1 1 346.387 -0.062 20 0 EBADMM O=C(c1ncccc1[O-])N1CC[C@@H](NCc2nnc3n2CCOC3)C1 ZINC000968478036 725071246 /nfs/dbraw/zinc/07/12/46/725071246.db2.gz ATXDGNQMGSDUFC-LLVKDONJSA-N -1 1 344.375 -0.087 20 0 EBADMM CCn1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)nn1 ZINC000968480591 725071933 /nfs/dbraw/zinc/07/19/33/725071933.db2.gz OJPLXHMYGKCCGI-WDEREUQCSA-N -1 1 348.411 -0.640 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)Cc1cncn1C ZINC000968535468 725078202 /nfs/dbraw/zinc/07/82/02/725078202.db2.gz ZSBNDFRBRFRATO-YPMHNXCESA-N -1 1 347.423 -0.589 20 0 EBADMM CN(CC(=O)N1C[C@@H](NC(=O)c2cnn[nH]2)[C@@H](O)C1)c1ccccc1 ZINC000968707238 725106264 /nfs/dbraw/zinc/10/62/64/725106264.db2.gz HCWDJLYFGDDWOA-KGLIPLIRSA-N -1 1 344.375 -0.757 20 0 EBADMM O=C(c1cnn2ncccc12)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000968932413 725130484 /nfs/dbraw/zinc/13/04/84/725130484.db2.gz GTCFCVAJPHUGIJ-SECBINFHSA-N -1 1 328.336 -0.443 20 0 EBADMM O=C([C@@H]1CCc2nccn2C1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969138312 725155446 /nfs/dbraw/zinc/15/54/46/725155446.db2.gz RJNCPKVAHSXRMA-GHMZBOCLSA-N -1 1 331.380 -0.340 20 0 EBADMM Cc1nnc2ccc(C(=O)N3CC[C@@H](NCc4n[nH]c(=O)[n-]4)C3)cn12 ZINC000969154318 725156875 /nfs/dbraw/zinc/15/68/75/725156875.db2.gz GBRFWMHVIWNFHT-LLVKDONJSA-N -1 1 342.363 -0.134 20 0 EBADMM O=C(Cn1ncc2cccnc21)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969391105 725175900 /nfs/dbraw/zinc/17/59/00/725175900.db2.gz XUIJUCASYRZEDM-LLVKDONJSA-N -1 1 342.363 -0.354 20 0 EBADMM O=C([C@H]1CCc2nncn2CC1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969397997 725176292 /nfs/dbraw/zinc/17/62/92/725176292.db2.gz WSESAKBUOULDBK-WDEREUQCSA-N -1 1 346.395 -0.555 20 0 EBADMM C[C@H](NC(=O)c1ccc(F)cn1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969529038 725188932 /nfs/dbraw/zinc/18/89/32/725188932.db2.gz JKPRAEUBXLFBJH-VIFPVBQESA-N -1 1 334.355 -0.107 20 0 EBADMM Cc1ncoc1CN[C@@H]1CCN(C(=O)c2cnc([O-])n(C)c2=O)C1 ZINC000969552433 725192260 /nfs/dbraw/zinc/19/22/60/725192260.db2.gz KLJOOBJJTIEMIA-SNVBAGLBSA-N -1 1 333.348 -0.213 20 0 EBADMM C[C@@H](N[C@@H]1CCN(C(=O)c2cnc([O-])n(C)c2=O)C1)c1cnccn1 ZINC000969553446 725192351 /nfs/dbraw/zinc/19/23/51/725192351.db2.gz UHXUMGUIIVIWEU-GHMZBOCLSA-N -1 1 344.375 -0.159 20 0 EBADMM Cn1nnc2c1C[C@H](C(=O)N1CC[C@@H](NCc3n[nH]c(=O)[n-]3)C1)CC2 ZINC000969731821 725207515 /nfs/dbraw/zinc/20/75/15/725207515.db2.gz XGANEPHPVVJCQX-NXEZZACHSA-N -1 1 346.395 -0.866 20 0 EBADMM NC(=O)Cc1occc1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969731238 725207618 /nfs/dbraw/zinc/20/76/18/725207618.db2.gz RAPBZJNYILOXLI-MRVPVSSYSA-N -1 1 334.336 -0.865 20 0 EBADMM C[C@@H](NC(=O)c1cc2n(n1)CCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969803479 725218881 /nfs/dbraw/zinc/21/88/81/725218881.db2.gz JGZRAULNZPHOQS-SNVBAGLBSA-N -1 1 345.407 -0.499 20 0 EBADMM CCc1n[nH]cc1C(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970030531 725241140 /nfs/dbraw/zinc/24/11/40/725241140.db2.gz DHOWOSUSVBSKKJ-SECBINFHSA-N -1 1 333.396 -0.356 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H](NCc2cscn2)C1 ZINC000970278560 725272660 /nfs/dbraw/zinc/27/26/60/725272660.db2.gz XOUHFINYDRHNTB-LLVKDONJSA-N -1 1 349.416 -0.226 20 0 EBADMM CN1CCCC[C@H](C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)C1=O ZINC000970469078 725293666 /nfs/dbraw/zinc/29/36/66/725293666.db2.gz XFXDOEKHLOJDEQ-MNOVXSKESA-N -1 1 336.396 -0.541 20 0 EBADMM C[C@H](CC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)N1CCCC1=O ZINC000970467081 725293684 /nfs/dbraw/zinc/29/36/84/725293684.db2.gz AVKCIDYFRGAQJO-GHMZBOCLSA-N -1 1 336.396 -0.398 20 0 EBADMM C[C@@H](CC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)N1CCCC1=O ZINC000970467083 725293741 /nfs/dbraw/zinc/29/37/41/725293741.db2.gz AVKCIDYFRGAQJO-WDEREUQCSA-N -1 1 336.396 -0.398 20 0 EBADMM Cc1cc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)nc(C)n1 ZINC000970580965 725301651 /nfs/dbraw/zinc/30/16/51/725301651.db2.gz QPYBLSYYAUZORK-JTQLQIEISA-N -1 1 345.407 -0.234 20 0 EBADMM Cc1ncc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c(C)n1 ZINC000970603278 725304202 /nfs/dbraw/zinc/30/42/02/725304202.db2.gz XZWOFZWVOJFZRF-SECBINFHSA-N -1 1 345.407 -0.234 20 0 EBADMM Cc1cn2ccnc(C(=O)N3CC[C@H](NCc4n[nH]c(=O)[n-]4)C3)c2n1 ZINC001019618860 728942928 /nfs/dbraw/zinc/94/29/28/728942928.db2.gz QJUZQPKQXKXDQY-JTQLQIEISA-N -1 1 342.363 -0.134 20 0 EBADMM Cc1cc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC000971166623 725353060 /nfs/dbraw/zinc/35/30/60/725353060.db2.gz KWZODJXDIKLYEZ-LLVKDONJSA-N -1 1 333.396 -0.503 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)c2cccc(=O)[nH]2)C1 ZINC000971282802 725358095 /nfs/dbraw/zinc/35/80/95/725358095.db2.gz WBVSPMZYXUEQNA-JTQLQIEISA-N -1 1 332.364 -0.445 20 0 EBADMM CCn1nccc1C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000971399383 725363306 /nfs/dbraw/zinc/36/33/06/725363306.db2.gz FQNGVCHFSUBSFL-NSHDSACASA-N -1 1 333.396 -0.329 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)[C@H]2CCCOCC2)C1 ZINC000971433931 725365657 /nfs/dbraw/zinc/36/56/57/725365657.db2.gz VVWLVAUUDPQISJ-QWHCGFSZSA-N -1 1 337.424 -0.042 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)c2cc3n(n2)CCC3)C1 ZINC000971647803 725428010 /nfs/dbraw/zinc/42/80/10/725428010.db2.gz NDSFFXMUXHNBIG-LBPRGKRZSA-N -1 1 345.407 -0.402 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)c2cc3n(n2)CCO3)C1 ZINC000971690721 725430937 /nfs/dbraw/zinc/43/09/37/725430937.db2.gz VLRHJZNNCMGBAC-SNVBAGLBSA-N -1 1 347.379 -0.956 20 0 EBADMM CCc1nn(C)cc1C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000971742856 725434702 /nfs/dbraw/zinc/43/47/02/725434702.db2.gz MXJGNGHVYAJGHP-LLVKDONJSA-N -1 1 347.423 -0.249 20 0 EBADMM Cc1nc(CN(C)[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC000971870521 725444469 /nfs/dbraw/zinc/44/44/69/725444469.db2.gz RRTVIAXGDUBUOR-MRVPVSSYSA-N -1 1 334.340 -0.944 20 0 EBADMM Cn1ncc(C(=O)N2CC[C@@H]3CN(C(=O)c4ncccc4[O-])[C@@H]3C2)n1 ZINC000971900155 725447104 /nfs/dbraw/zinc/44/71/04/725447104.db2.gz OUSPXMHNNNXMFS-ZYHUDNBSSA-N -1 1 342.359 -0.098 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)c2csc(=O)[nH]2)C1 ZINC000972136917 725470937 /nfs/dbraw/zinc/47/09/37/725470937.db2.gz GJJWEJJVCSSAGX-MRVPVSSYSA-N -1 1 338.393 -0.383 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)c2csc(=O)[nH]2)C1 ZINC000972136918 725471037 /nfs/dbraw/zinc/47/10/37/725471037.db2.gz GJJWEJJVCSSAGX-QMMMGPOBSA-N -1 1 338.393 -0.383 20 0 EBADMM O=C(c1ccn[nH]1)N1CC[C@@]2(C1)CN(Cc1n[nH]c(=O)[n-]1)CCO2 ZINC000972277924 725489277 /nfs/dbraw/zinc/48/92/77/725489277.db2.gz XSVAAODDXAMTGO-AWEZNQCLSA-N -1 1 333.352 -0.650 20 0 EBADMM C[C@H](C(=O)N1CC[C@@]2(C1)CN(Cc1nc(=O)n(C)[n-]1)CCO2)C1CC1 ZINC000972323288 725499576 /nfs/dbraw/zinc/49/95/76/725499576.db2.gz IXNZVNQEVDPPTB-SJCJKPOMSA-N -1 1 349.435 -0.042 20 0 EBADMM C/C=C(\C)C(=O)N1CC[C@]2(C1)CN(Cc1nc(=O)n(C)[n-]1)CCO2 ZINC000972329395 725500324 /nfs/dbraw/zinc/50/03/24/725500324.db2.gz VYWGQQXOXRVQFM-OOQVMBGTSA-N -1 1 335.408 -0.122 20 0 EBADMM NC(=O)C1(C(=O)N2CC(NC(=O)c3cnc(C4CC4)[n-]c3=O)C2)CC1 ZINC000991647528 725510282 /nfs/dbraw/zinc/51/02/82/725510282.db2.gz MKRREBJVMIIBEC-UHFFFAOYSA-N -1 1 345.359 -0.734 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CC[C@]2(C1)CN(CC1CC1)CCO2 ZINC000972450877 725526512 /nfs/dbraw/zinc/52/65/12/725526512.db2.gz YZNWCLAGYVOVNB-OAHLLOKOSA-N -1 1 335.364 -0.390 20 0 EBADMM CCn1ccc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000972479506 725531692 /nfs/dbraw/zinc/53/16/92/725531692.db2.gz VIFLGWLIYXXHIC-LLVKDONJSA-N -1 1 333.396 -0.329 20 0 EBADMM C[C@H](CC(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1)n1cccn1 ZINC000972688699 725581182 /nfs/dbraw/zinc/58/11/82/725581182.db2.gz VXXHZGNUQVQIAN-CHWSQXEVSA-N -1 1 347.423 -0.011 20 0 EBADMM Cn1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)cn1 ZINC000972799777 725594760 /nfs/dbraw/zinc/59/47/60/725594760.db2.gz LCEVQHRWVRCUOR-LLVKDONJSA-N -1 1 333.396 -0.518 20 0 EBADMM NC(=O)c1c[nH]c(C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)c1 ZINC000973199190 725667774 /nfs/dbraw/zinc/66/77/74/725667774.db2.gz QDOPWSWKTJWXKB-MGCOHNPYSA-N -1 1 343.343 -0.095 20 0 EBADMM CN1C[C@@H](C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000973198121 725667832 /nfs/dbraw/zinc/66/78/32/725667832.db2.gz VILHZAOTQRXGRS-DCAQKATOSA-N -1 1 332.360 -0.358 20 0 EBADMM O=C(N[C@H]1C[C@H](NC(=O)C2CS(=O)(=O)C2)C1)c1ncccc1[O-] ZINC000973199958 725668446 /nfs/dbraw/zinc/66/84/46/725668446.db2.gz USJZIQLMDSOBDY-MGCOHNPYSA-N -1 1 339.373 -0.791 20 0 EBADMM CCc1nn(C)cc1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051668501 735308924 /nfs/dbraw/zinc/30/89/24/735308924.db2.gz FPTQURMNDALBHF-SNVBAGLBSA-N -1 1 349.395 -0.563 20 0 EBADMM O=C(NCC1CC(NC(=O)[C@H]2COC(=O)N2)C1)c1ncccc1[O-] ZINC000992048318 725708772 /nfs/dbraw/zinc/70/87/72/725708772.db2.gz ILPYUOCDGSKSQN-UDNWOFFPSA-N -1 1 334.332 -0.480 20 0 EBADMM Cn1ncc(C(=O)NC2CC(CNC(=O)c3ncccc3[O-])C2)n1 ZINC000992047524 725708843 /nfs/dbraw/zinc/70/88/43/725708843.db2.gz DANKVBOGMJCKBY-UHFFFAOYSA-N -1 1 330.348 -0.146 20 0 EBADMM CN1CCOC[C@H]1C(=O)NCC1CC(NC(=O)c2ncccc2[O-])C1 ZINC000992048364 725708891 /nfs/dbraw/zinc/70/88/91/725708891.db2.gz BSIHRGSLVMLBHO-BPCQOVAHSA-N -1 1 348.403 -0.258 20 0 EBADMM Cn1cnc(C(=O)NC2CC(CNC(=O)c3ncccc3[O-])C2)n1 ZINC000992049524 725709216 /nfs/dbraw/zinc/70/92/16/725709216.db2.gz OZXMEKQRGJJAGE-UHFFFAOYSA-N -1 1 330.348 -0.146 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC000992055319 725710879 /nfs/dbraw/zinc/71/08/79/725710879.db2.gz GWBIOCVCUNQQHP-LXKPXOPUSA-N -1 1 332.360 -0.467 20 0 EBADMM O=C(C[C@H]1CCNC1=O)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC000992057584 725711371 /nfs/dbraw/zinc/71/13/71/725711371.db2.gz ZWMDVSVJAXUBEQ-MOENNCHZSA-N -1 1 346.387 -0.062 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)Cc4cnoc4)CCC[C@H]23)nc1=O ZINC000992125654 725730632 /nfs/dbraw/zinc/73/06/32/725730632.db2.gz FBEOOCKUYGUNTN-BLLLJJGKSA-N -1 1 346.391 -0.048 20 0 EBADMM CN1C[C@@H](C(=O)NCC2CC(NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000992180605 725740749 /nfs/dbraw/zinc/74/07/49/725740749.db2.gz JXSFOGJSJNTSSA-CXQJBGSLSA-N -1 1 346.387 -0.110 20 0 EBADMM CC1(C(=O)N[C@H]2C[C@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)CCC1 ZINC000973465848 725756238 /nfs/dbraw/zinc/75/62/38/725756238.db2.gz XUBJKMMLTFVUBS-MGCOHNPYSA-N -1 1 344.375 -0.015 20 0 EBADMM CC(=O)N1CCC[C@@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC[C@@H]21 ZINC000973692355 725790735 /nfs/dbraw/zinc/79/07/35/725790735.db2.gz HJSIKQJUHMHEPQ-YPMHNXCESA-N -1 1 344.375 -0.109 20 0 EBADMM CCC(=O)N1C[C@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@@]2(C)C1 ZINC000992450356 725798958 /nfs/dbraw/zinc/79/89/58/725798958.db2.gz GVPPLETZFNDSTA-MGPLVRAMSA-N -1 1 344.375 -0.252 20 0 EBADMM O=C(N[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1ccoc1 ZINC000973875536 725814819 /nfs/dbraw/zinc/81/48/19/725814819.db2.gz YCFUAGZHOFOCPW-AOOOYVTPSA-N -1 1 342.315 -0.299 20 0 EBADMM C[C@@H]1[C@H](NC(=O)Cn2cccn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993007645 725850157 /nfs/dbraw/zinc/85/01/57/725850157.db2.gz GLETUKRKXHXKPV-VXGBXAGGSA-N -1 1 333.396 -0.526 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)[nH]n1 ZINC000993076005 725853421 /nfs/dbraw/zinc/85/34/21/725853421.db2.gz SWGPVUOXTFBTLH-WDEREUQCSA-N -1 1 333.396 -0.077 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)[nH]n1 ZINC000993075992 725853573 /nfs/dbraw/zinc/85/35/73/725853573.db2.gz SWGPVUOXTFBTLH-GHMZBOCLSA-N -1 1 333.396 -0.077 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)nn1C ZINC000993082819 725854061 /nfs/dbraw/zinc/85/40/61/725854061.db2.gz CBFMQIGCZPETTJ-RYUDHWBXSA-N -1 1 347.423 -0.067 20 0 EBADMM Cc1ncncc1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1C ZINC000993093588 725854358 /nfs/dbraw/zinc/85/43/58/725854358.db2.gz PUHCSFZHXIODAM-WCQYABFASA-N -1 1 345.407 -0.010 20 0 EBADMM Cn1ncc(C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)c1N ZINC000974052103 725856898 /nfs/dbraw/zinc/85/68/98/725856898.db2.gz STDUNNKYMVWFOP-DTORHVGOSA-N -1 1 330.348 -0.206 20 0 EBADMM O=C(CN1C(=O)CCC1=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974055165 725858591 /nfs/dbraw/zinc/85/85/91/725858591.db2.gz BWPMLRKBDIQDFM-AOOOYVTPSA-N -1 1 346.343 -0.687 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCCN1Cc1nc(=O)n(C)[nH]1 ZINC000993250125 725865555 /nfs/dbraw/zinc/86/55/55/725865555.db2.gz PNORCOISNLLYJK-GHMZBOCLSA-N -1 1 346.391 -0.008 20 0 EBADMM Cc1ccc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)nn1 ZINC000993359461 725879703 /nfs/dbraw/zinc/87/97/03/725879703.db2.gz QIPZGQLKIXKHEH-NEPJUHHUSA-N -1 1 345.407 -0.010 20 0 EBADMM Cc1nnccc1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1C ZINC000993549398 725902046 /nfs/dbraw/zinc/90/20/46/725902046.db2.gz OHKSBFUMPASBQA-AAEUAGOBSA-N -1 1 345.407 -0.010 20 0 EBADMM Cc1n[nH]cc1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC000993639413 725919880 /nfs/dbraw/zinc/91/98/80/725919880.db2.gz AOEIRIOKOWYEBP-ZYHUDNBSSA-N -1 1 333.396 -0.077 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2n[nH]cc2F)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993749227 725933271 /nfs/dbraw/zinc/93/32/71/725933271.db2.gz ZJXDLUVPGHKZNY-WCBMZHEXSA-N -1 1 337.359 -0.247 20 0 EBADMM CCN1C[C@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC000993797060 725944878 /nfs/dbraw/zinc/94/48/78/725944878.db2.gz NENVXAJBROTMKV-SNVBAGLBSA-N -1 1 332.360 -0.404 20 0 EBADMM Cc1ccn(CC(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)n1 ZINC000993853454 725953934 /nfs/dbraw/zinc/95/39/34/725953934.db2.gz IRDAAJVEJNIIRT-CHWSQXEVSA-N -1 1 347.423 -0.217 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ccnnc2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993869943 725955824 /nfs/dbraw/zinc/95/58/24/725955824.db2.gz FGEQPLFJXKCOCJ-PWSUYJOCSA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)CCCN1CCO ZINC000994014466 725972042 /nfs/dbraw/zinc/97/20/42/725972042.db2.gz ZGNCGCXUVSPKLK-PWSUYJOCSA-N -1 1 334.380 -0.967 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1(C2CC2)CC1 ZINC000994081661 725977430 /nfs/dbraw/zinc/97/74/30/725977430.db2.gz LABRJEZQGIRFSG-UHFFFAOYSA-N -1 1 342.359 -0.452 20 0 EBADMM CN1CC[C@@H](CC(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1=O ZINC000994108900 725979824 /nfs/dbraw/zinc/97/98/24/725979824.db2.gz YDPIOZQLTOFIEB-JTQLQIEISA-N -1 1 332.360 -0.404 20 0 EBADMM Cc1nonc1CC(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1C ZINC000994128284 725982300 /nfs/dbraw/zinc/98/23/00/725982300.db2.gz STWBOABXSHZODD-QWRGUYRKSA-N -1 1 349.395 -0.488 20 0 EBADMM C[C@@H](C(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1)C1CCC1 ZINC000994502425 726026844 /nfs/dbraw/zinc/02/68/44/726026844.db2.gz BFYGSAYAXGZZJZ-LLVKDONJSA-N -1 1 348.403 -0.310 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)c3cn[nH]c(=O)c3)C(C)(C)C2)nc1=O ZINC000974689406 726052585 /nfs/dbraw/zinc/05/25/85/726052585.db2.gz XWTOSVSOCVHTSE-SNVBAGLBSA-N -1 1 347.379 -0.756 20 0 EBADMM O=C(c1ncccc1[O-])N1CC[C@@H]2CN(C(=O)[C@@H]3CCNC3=O)[C@@H]2C1 ZINC000974731650 726059664 /nfs/dbraw/zinc/05/96/64/726059664.db2.gz RRJZUPSQFVJEKX-IJLUTSLNSA-N -1 1 344.371 -0.404 20 0 EBADMM Cn1cnc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)cc1=O ZINC000994785366 726079693 /nfs/dbraw/zinc/07/96/93/726079693.db2.gz ZCWSRKFYXSQLTH-UHFFFAOYSA-N -1 1 329.316 -0.865 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)Cc3cnoc3)C(C)(C)C2)nc1=O ZINC000974935388 726083831 /nfs/dbraw/zinc/08/38/31/726083831.db2.gz NGIAJWMVZMANEN-NSHDSACASA-N -1 1 334.380 -0.334 20 0 EBADMM O=C(NC1CN(C(=O)c2ccc3oc(=O)nc-3[n-]2)C1)c1cnn[nH]1 ZINC000995171445 726181305 /nfs/dbraw/zinc/18/13/05/726181305.db2.gz LHSAFJDVKWSCIN-UHFFFAOYSA-N -1 1 329.276 -0.699 20 0 EBADMM NC(=O)N1CCC[C@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000995443056 726224654 /nfs/dbraw/zinc/22/46/54/726224654.db2.gz UWJHXSRJONATHY-JTQLQIEISA-N -1 1 347.375 -0.482 20 0 EBADMM CC(=O)N1CCN(C(=O)c2ncccc2[O-])[C@@H]2CS(=O)(=O)C[C@@H]21 ZINC000995442504 726224676 /nfs/dbraw/zinc/22/46/76/726224676.db2.gz WYMBVZUGTIKKPA-WDEREUQCSA-N -1 1 339.373 -0.743 20 0 EBADMM CN1CCOC[C@H]1C(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000976391664 726289224 /nfs/dbraw/zinc/28/92/24/726289224.db2.gz KECIBZGLIIGSRU-KZVDOYCCSA-N -1 1 346.387 -0.696 20 0 EBADMM Cc1n[nH]nc1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976393456 726290409 /nfs/dbraw/zinc/29/04/09/726290409.db2.gz JEULLDNUAOVLDV-GDGBQDQQSA-N -1 1 328.332 -0.286 20 0 EBADMM Cc1nn[nH]c1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976393456 726290414 /nfs/dbraw/zinc/29/04/14/726290414.db2.gz JEULLDNUAOVLDV-GDGBQDQQSA-N -1 1 328.332 -0.286 20 0 EBADMM Cn1nnnc1CN[C@H]1CN(C(=O)c2ncccc2[O-])CC1(C)C ZINC000995700723 726290707 /nfs/dbraw/zinc/29/07/07/726290707.db2.gz FJFXGPNBHLWFTC-NSHDSACASA-N -1 1 331.380 -0.049 20 0 EBADMM CN1CCOC[C@@H]1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976403675 726294345 /nfs/dbraw/zinc/29/43/45/726294345.db2.gz NPZOWGGYOODJPF-CZXHOFHRSA-N -1 1 346.387 -0.696 20 0 EBADMM Cn1ccc(CC(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)n1 ZINC000995795188 726300071 /nfs/dbraw/zinc/30/00/71/726300071.db2.gz BISXRYSRCDBCOI-LLVKDONJSA-N -1 1 333.396 -0.187 20 0 EBADMM CN1C[C@H](C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)CC1=O ZINC000995872702 726308649 /nfs/dbraw/zinc/30/86/49/726308649.db2.gz OXPCVOOQDFPVQT-ZJUUUORDSA-N -1 1 336.396 -0.685 20 0 EBADMM Cn1cc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)ncc1=O ZINC000996062061 726328156 /nfs/dbraw/zinc/32/81/56/726328156.db2.gz BDLPESXCBKNARM-JTQLQIEISA-N -1 1 347.379 -0.756 20 0 EBADMM CC1(C)CN(C(=O)c2coc(C(N)=O)c2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996099578 726332015 /nfs/dbraw/zinc/33/20/15/726332015.db2.gz MYFXYICQCSTBKT-SNVBAGLBSA-N -1 1 348.363 -0.157 20 0 EBADMM O=C(N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)[C@@H]1CNC(=O)N1)c1ncccc1[O-] ZINC000996149099 726338621 /nfs/dbraw/zinc/33/86/21/726338621.db2.gz YSCMIYYDTXLCAP-RCWTZXSCSA-N -1 1 345.359 -0.670 20 0 EBADMM CC1(C)CN(C(=O)[C@]2(C)CCNC2=O)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996289558 726360417 /nfs/dbraw/zinc/36/04/17/726360417.db2.gz GEAUMGKSIAZSOG-RFAUZJTJSA-N -1 1 336.396 -0.637 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)[C@@H]1CCCNC1=O ZINC000976674828 726382044 /nfs/dbraw/zinc/38/20/44/726382044.db2.gz NBTLKTINMFBWDS-DCQANWLSSA-N -1 1 344.371 -0.500 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)c2c[nH]cc2C)C1 ZINC000996397616 726386077 /nfs/dbraw/zinc/38/60/77/726386077.db2.gz OXKJGDUHVYVEMY-UHFFFAOYSA-N -1 1 347.331 -0.213 20 0 EBADMM CC1(C)CC[C@@H]1C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996405591 726388478 /nfs/dbraw/zinc/38/84/78/726388478.db2.gz JINAXKDNDQBXTG-SNVBAGLBSA-N -1 1 344.375 -0.206 20 0 EBADMM CS[C@H](C)C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996408419 726388864 /nfs/dbraw/zinc/38/88/64/726388864.db2.gz PDDWZBIQQFRJNP-SSDOTTSWSA-N -1 1 336.377 -0.890 20 0 EBADMM CC[C@]1(C)C[C@H]1C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996410095 726389282 /nfs/dbraw/zinc/38/92/82/726389282.db2.gz YYLQLWBQUMFMHI-MGPLVRAMSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(NC1CN(C(=O)c2cccnc2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996408990 726389458 /nfs/dbraw/zinc/38/94/58/726389458.db2.gz RIDNYDFMECNUIU-UHFFFAOYSA-N -1 1 339.315 -0.933 20 0 EBADMM O=C(NC1CN(C(=O)c2cccs2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996409571 726389478 /nfs/dbraw/zinc/38/94/78/726389478.db2.gz UZLOBAFKJRSNAM-UHFFFAOYSA-N -1 1 344.356 -0.267 20 0 EBADMM COc1ccc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)nn1 ZINC000996488246 726404528 /nfs/dbraw/zinc/40/45/28/726404528.db2.gz RJOQRTJXRJSXFB-JTQLQIEISA-N -1 1 347.379 -0.051 20 0 EBADMM Cn1cccc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)c1=O ZINC000996535468 726412923 /nfs/dbraw/zinc/41/29/23/726412923.db2.gz YXNUTIQQZULFQS-NSHDSACASA-N -1 1 346.391 -0.151 20 0 EBADMM O=C(CC1CS(=O)(=O)C1)N1CCC(NCc2n[nH]c(=O)[n-]2)CC1 ZINC000996743847 726425095 /nfs/dbraw/zinc/42/50/95/726425095.db2.gz BLFRMXXWXDCCLG-UHFFFAOYSA-N -1 1 343.409 -0.974 20 0 EBADMM Cn1cc(C(N)=O)cc1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000996774950 726426428 /nfs/dbraw/zinc/42/64/28/726426428.db2.gz VOHMCJCJAXUNNR-UHFFFAOYSA-N -1 1 343.343 -0.521 20 0 EBADMM O=C(NC1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1)[C@@H]1CCC(=O)N1 ZINC000997119506 726439025 /nfs/dbraw/zinc/43/90/25/726439025.db2.gz SFZAQAMRBLTCLE-NSHDSACASA-N -1 1 345.359 -0.721 20 0 EBADMM CN1C(=O)CC[C@@H]1CC(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000997365133 726448228 /nfs/dbraw/zinc/44/82/28/726448228.db2.gz RFKFYTCRLNOTDI-LLVKDONJSA-N -1 1 332.360 -0.261 20 0 EBADMM COCCn1cc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)cn1 ZINC000997670549 726459222 /nfs/dbraw/zinc/45/92/22/726459222.db2.gz GBNACKUSWKFPPO-UHFFFAOYSA-N -1 1 345.359 -0.116 20 0 EBADMM CC1(C)CC[C@H]1C(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000997925109 726469962 /nfs/dbraw/zinc/46/99/62/726469962.db2.gz XBGUOEPNISHQPF-JTQLQIEISA-N -1 1 344.375 -0.206 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)[C@H]2C[C@H]3C[C@H]3C2)C1 ZINC000997998073 726472283 /nfs/dbraw/zinc/47/22/83/726472283.db2.gz NLBKDBCKARFLRR-BRPSZJMVSA-N -1 1 348.359 -0.117 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)[C@H]1C[C@H]2C[C@H]2C1 ZINC000997997292 726472309 /nfs/dbraw/zinc/47/23/09/726472309.db2.gz GUOMPFBVZDZXAB-MYJAWHEDSA-N -1 1 342.359 -0.596 20 0 EBADMM O=C(NC1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1)c1cnon1 ZINC000998238685 726481825 /nfs/dbraw/zinc/48/18/25/726481825.db2.gz IZKZPTRKMNUSLN-UHFFFAOYSA-N -1 1 330.304 -0.303 20 0 EBADMM Cn1ccc(CCC(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000999278621 726513030 /nfs/dbraw/zinc/51/30/30/726513030.db2.gz BIYDYOJVDURHFL-LBPRGKRZSA-N -1 1 333.396 -0.043 20 0 EBADMM O=C(c1ccnc2ccnn21)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999339151 726515062 /nfs/dbraw/zinc/51/50/62/726515062.db2.gz KVUNRIQSPZTSJA-JTQLQIEISA-N -1 1 342.363 -0.053 20 0 EBADMM O=C(c1cnn2ncccc12)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999368053 726516732 /nfs/dbraw/zinc/51/67/32/726516732.db2.gz FFLLVOPAEYJFQJ-JTQLQIEISA-N -1 1 342.363 -0.053 20 0 EBADMM O=C(c1cnn2ccncc12)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999376494 726517606 /nfs/dbraw/zinc/51/76/06/726517606.db2.gz MTAQDPQKKMHJLY-JTQLQIEISA-N -1 1 342.363 -0.053 20 0 EBADMM O=C(CC1CS(=O)(=O)C1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999541559 726522208 /nfs/dbraw/zinc/52/22/08/726522208.db2.gz KFCYXHFSMRMHNC-JTQLQIEISA-N -1 1 343.409 -0.974 20 0 EBADMM Cc1cc(CN[C@H]2CCCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)nn1C ZINC000999608661 726524624 /nfs/dbraw/zinc/52/46/24/726524624.db2.gz IZMMVEDAFHCORX-JTQLQIEISA-N -1 1 347.379 -0.281 20 0 EBADMM Cn1ccnc1CN[C@@H]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000999611772 726524766 /nfs/dbraw/zinc/52/47/66/726524766.db2.gz XPXIWVHKJVRGOL-SECBINFHSA-N -1 1 333.352 -0.589 20 0 EBADMM CCn1ccnc1CN[C@@H]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000999610844 726524827 /nfs/dbraw/zinc/52/48/27/726524827.db2.gz QZFHJHDRZYGBOY-SNVBAGLBSA-N -1 1 347.379 -0.106 20 0 EBADMM O=C(c1ccc2nnnn2c1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999693186 726528020 /nfs/dbraw/zinc/52/80/20/726528020.db2.gz ROELHXCVKCKXSM-SNVBAGLBSA-N -1 1 343.351 -0.658 20 0 EBADMM COc1coc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC000999699120 726528364 /nfs/dbraw/zinc/52/83/64/726528364.db2.gz VSYCNHKXEHQOLV-SECBINFHSA-N -1 1 349.347 -0.134 20 0 EBADMM CCn1cc(CC(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)nn1 ZINC000999770339 726531332 /nfs/dbraw/zinc/53/13/32/726531332.db2.gz KYSMXIMUCRFSHP-JTQLQIEISA-N -1 1 334.384 -0.555 20 0 EBADMM CC(C)c1nnnn1CC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000411934 726566324 /nfs/dbraw/zinc/56/63/24/726566324.db2.gz PJOXTHLUTPAFIP-JTQLQIEISA-N -1 1 349.399 -0.599 20 0 EBADMM CCO[C@H]1C[C@H]1C(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001000481217 726568410 /nfs/dbraw/zinc/56/84/10/726568410.db2.gz JECUGDWHEPTMBM-OLZOCXBDSA-N -1 1 335.408 -0.218 20 0 EBADMM COc1ncc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001000604959 726572534 /nfs/dbraw/zinc/57/25/34/726572534.db2.gz QWHCPGKKGMFUIT-SNVBAGLBSA-N -1 1 333.352 -0.297 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001000806085 726578845 /nfs/dbraw/zinc/57/88/45/726578845.db2.gz DNIBOTDGSPYBRJ-UHFFFAOYSA-N -1 1 347.379 -0.592 20 0 EBADMM COc1c(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)cnn1C ZINC001000806991 726578933 /nfs/dbraw/zinc/57/89/33/726578933.db2.gz GAYWUBCYPVDCDE-VIFPVBQESA-N -1 1 335.368 -0.353 20 0 EBADMM NC(=O)c1cncc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001000949017 726584695 /nfs/dbraw/zinc/58/46/95/726584695.db2.gz IXJZYPHVQXYBQU-NSHDSACASA-N -1 1 345.363 -0.601 20 0 EBADMM O=C([C@@H]1CCCS1(=O)=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000964536 726585323 /nfs/dbraw/zinc/58/53/23/726585323.db2.gz OWLDCMYSLPBBJU-ZJUUUORDSA-N -1 1 343.409 -0.832 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)c3csnn3)CC2)nc1=O ZINC001001012540 726587500 /nfs/dbraw/zinc/58/75/00/726587500.db2.gz OGMHAAJCVURZKH-UHFFFAOYSA-N -1 1 335.393 -0.478 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)c3ncccn3)CC2)nc1=O ZINC001001281867 726600433 /nfs/dbraw/zinc/60/04/33/726600433.db2.gz NDBOEANDNPNPRX-UHFFFAOYSA-N -1 1 329.364 -0.540 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)[C@@H]3C[C@]34CCOC4)CC2)nc1=O ZINC001001348615 726603278 /nfs/dbraw/zinc/60/32/78/726603278.db2.gz FPBFKVSDIYRWRS-GUYCJALGSA-N -1 1 347.419 -0.217 20 0 EBADMM O=C(NCC1CN(C(=O)c2ccc(=O)[nH]n2)C1)c1ncccc1[O-] ZINC001001538237 726611146 /nfs/dbraw/zinc/61/11/46/726611146.db2.gz SCYZQPUSTAALKD-UHFFFAOYSA-N -1 1 329.316 -0.215 20 0 EBADMM Cn1oc(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)cc1=O ZINC001001541263 726611299 /nfs/dbraw/zinc/61/12/99/726611299.db2.gz JUQXBICHKFSQIR-UHFFFAOYSA-N -1 1 332.316 -0.419 20 0 EBADMM O=C(NCC1CN(C(=O)c2cn[nH]c(=O)c2)C1)c1ncccc1[O-] ZINC001001546062 726611451 /nfs/dbraw/zinc/61/14/51/726611451.db2.gz PXCLXVQFSCDWNU-UHFFFAOYSA-N -1 1 329.316 -0.215 20 0 EBADMM C[C@@H](C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1)[C@H]1CCC(=O)N1 ZINC001001546677 726611468 /nfs/dbraw/zinc/61/14/68/726611468.db2.gz UXRREWJPXCKYRJ-ZYHUDNBSSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@]1(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)CCNC(=O)C1 ZINC001001552382 726611628 /nfs/dbraw/zinc/61/16/28/726611628.db2.gz PJTAUQNGNOTOON-KRWDZBQOSA-N -1 1 346.387 -0.108 20 0 EBADMM NC(=O)CC(=O)N1CC(CNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001001952600 726628067 /nfs/dbraw/zinc/62/80/67/726628067.db2.gz UXXNRKZAETWITO-UHFFFAOYSA-N -1 1 333.348 -0.877 20 0 EBADMM C[C@H]1CC(=O)N[C@@H](C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001002209553 726635551 /nfs/dbraw/zinc/63/55/51/726635551.db2.gz BMBMHUURYJHOQN-ZYHUDNBSSA-N -1 1 346.387 -0.110 20 0 EBADMM CN(C[C@H]1CCCN1C(=O)c1ncccc1[O-])C(=O)[C@@H]1COC(=O)N1 ZINC001002413351 726640453 /nfs/dbraw/zinc/64/04/53/726640453.db2.gz UMGLYGBUQBBRII-MNOVXSKESA-N -1 1 348.359 -0.041 20 0 EBADMM CN(C[C@@H]1CCCN1C(=O)c1ncccc1[O-])C(=O)[C@@H]1CCNC1=O ZINC001002411931 726640455 /nfs/dbraw/zinc/64/04/55/726640455.db2.gz NNTSAWZZTBUKJD-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@H]1CCCN1C(=O)[C@H]1CNC(=O)N1)C(=O)c1ncccc1[O-] ZINC001002905786 726653462 /nfs/dbraw/zinc/65/34/62/726653462.db2.gz ZEKGVDOSRJLZAL-GHMZBOCLSA-N -1 1 347.375 -0.468 20 0 EBADMM Cc1ncc(CC(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)o1 ZINC001003004695 726658112 /nfs/dbraw/zinc/65/81/12/726658112.db2.gz NPOQIJZHYBZQEC-UHFFFAOYSA-N -1 1 334.380 -0.272 20 0 EBADMM Cc1ncn(C)c1C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001003086745 726661334 /nfs/dbraw/zinc/66/13/34/726661334.db2.gz ZWSWVUGYYVIBBE-UHFFFAOYSA-N -1 1 333.396 -0.455 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)c3ccn(C)c(=O)c3)CC2)nc1=O ZINC001003181476 726663581 /nfs/dbraw/zinc/66/35/81/726663581.db2.gz DMUVWWWCTKVSAQ-UHFFFAOYSA-N -1 1 346.391 -0.799 20 0 EBADMM CCc1n[nH]cc1C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001003227770 726665415 /nfs/dbraw/zinc/66/54/15/726665415.db2.gz PEFIHVYUOIMDMR-UHFFFAOYSA-N -1 1 333.396 -0.212 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CN(C(=O)c2ccco2)C1 ZINC001003315098 726669298 /nfs/dbraw/zinc/66/92/98/726669298.db2.gz PSGPTJQTWXOSMD-UHFFFAOYSA-N -1 1 348.315 -0.009 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)N1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001003320018 726669733 /nfs/dbraw/zinc/66/97/33/726669733.db2.gz RAEQCVFJXSRFCV-SCZZXKLOSA-N -1 1 330.348 -0.738 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001003320030 726669748 /nfs/dbraw/zinc/66/97/48/726669748.db2.gz RAEQCVFJXSRFCV-WPRPVWTQSA-N -1 1 330.348 -0.738 20 0 EBADMM C[C@@H]1COCC[C@H]1C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001003579596 726681364 /nfs/dbraw/zinc/68/13/64/726681364.db2.gz JHOVMRHLPPINAU-DGCLKSJQSA-N -1 1 337.424 -0.138 20 0 EBADMM CC(C)n1cc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)nn1 ZINC001003860977 726692929 /nfs/dbraw/zinc/69/29/29/726692929.db2.gz OUUUGQYXEULDBS-UHFFFAOYSA-N -1 1 348.411 -0.325 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)NCC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001004619589 726712742 /nfs/dbraw/zinc/71/27/42/726712742.db2.gz YEKXIRJTLZRQCZ-GHMZBOCLSA-N -1 1 344.375 -0.348 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)Cn1cnnn1 ZINC001004718299 726714043 /nfs/dbraw/zinc/71/40/43/726714043.db2.gz OWRSNACBQLOZGQ-UWVGGRQHSA-N -1 1 335.372 -0.944 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)c1cnn(C)c1N ZINC001004816101 726717093 /nfs/dbraw/zinc/71/70/93/726717093.db2.gz ISRUKZDAGYBKQT-ONGXEEELSA-N -1 1 348.411 -0.001 20 0 EBADMM C[C@@H]1CCN(C(=O)c2ccn[nH]2)C[C@@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001005083024 726724332 /nfs/dbraw/zinc/72/43/32/726724332.db2.gz DJIXUVMGHBVNQT-APPZFPTMSA-N -1 1 347.335 -0.714 20 0 EBADMM CN(C(=O)c1cnn(C)c1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005099226 726724754 /nfs/dbraw/zinc/72/47/54/726724754.db2.gz QQQDOAMZPQBFKF-UHFFFAOYSA-N -1 1 333.396 -0.422 20 0 EBADMM CC(=O)N1CCCCC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001005468215 726733622 /nfs/dbraw/zinc/73/36/22/726733622.db2.gz JNMHDBCYIXLLBT-LLVKDONJSA-N -1 1 332.364 -0.062 20 0 EBADMM C[C@@H]1C[C@H](C(=O)N(C)C2CCN(Cc3nc(=O)n(C)[n-]3)CC2)CO1 ZINC001005614525 726736493 /nfs/dbraw/zinc/73/64/93/726736493.db2.gz XGIPILHKHSEBSS-NEPJUHHUSA-N -1 1 337.424 -0.044 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2COCCN2C)C1 ZINC001005950303 726749548 /nfs/dbraw/zinc/74/95/48/726749548.db2.gz IWQYAXLXWFUXAU-YPMHNXCESA-N -1 1 348.403 -0.306 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)C[C@H]2CCNC2=O)C1 ZINC001005954174 726749879 /nfs/dbraw/zinc/74/98/79/726749879.db2.gz WMGWAAJDHFGPAU-GHMZBOCLSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CCNC2=O)C1 ZINC001005956546 726750062 /nfs/dbraw/zinc/75/00/62/726750062.db2.gz LLTPQWHEDKBORI-MWLCHTKSSA-N -1 1 332.360 -0.500 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)Cn2cncn2)C1 ZINC001005957127 726750189 /nfs/dbraw/zinc/75/01/89/726750189.db2.gz NNFUQZYLFNPFDL-SNVBAGLBSA-N -1 1 330.348 -0.344 20 0 EBADMM C[C@@H]1CCO[C@@H]1C(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001006009964 726751956 /nfs/dbraw/zinc/75/19/56/726751956.db2.gz ZIDRETNKAGRTKO-RISCZKNCSA-N -1 1 337.424 -0.044 20 0 EBADMM C[C@@H](NC(=O)[C@@H]1CCC(=O)NC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006375131 726765262 /nfs/dbraw/zinc/76/52/62/726765262.db2.gz NMXVQZFQSDFGFJ-GHMZBOCLSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@H](NC(=O)c1cnn(C)n1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006425341 726768957 /nfs/dbraw/zinc/76/89/57/726768957.db2.gz QOBKAMDTTAHVEE-VIFPVBQESA-N -1 1 330.348 -0.194 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)C1CN(C(=O)C2CC2)C1 ZINC001006454173 726769817 /nfs/dbraw/zinc/76/98/17/726769817.db2.gz MWCJOSNUKGSPRS-ZETCQYMHSA-N -1 1 336.348 -0.117 20 0 EBADMM CCCC(=O)N1CC([C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001006469507 726770441 /nfs/dbraw/zinc/77/04/41/726770441.db2.gz UTMBYFDYGJZVCF-SECBINFHSA-N -1 1 332.364 -0.206 20 0 EBADMM C[C@@H](NC(=O)c1cnc([O-])n(C)c1=O)C1CN(C(=O)c2ccn[nH]2)C1 ZINC001006553392 726773185 /nfs/dbraw/zinc/77/31/85/726773185.db2.gz NBTQPXNMJCHENR-MRVPVSSYSA-N -1 1 346.347 -0.901 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC001006853072 726785251 /nfs/dbraw/zinc/78/52/51/726785251.db2.gz KIFBRYRJQXMELJ-NSHDSACASA-N -1 1 333.396 -0.455 20 0 EBADMM Cc1cc(CC(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)no1 ZINC001006946436 726787594 /nfs/dbraw/zinc/78/75/94/726787594.db2.gz STLMBTMADJDYPG-NSHDSACASA-N -1 1 334.380 -0.272 20 0 EBADMM CCn1cc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001006953253 726787657 /nfs/dbraw/zinc/78/76/57/726787657.db2.gz VHRZWPCQLVNDLS-LBPRGKRZSA-N -1 1 333.396 -0.281 20 0 EBADMM COc1ccc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001009095398 726856644 /nfs/dbraw/zinc/85/66/44/726856644.db2.gz JINQHROXIJWPKF-JTQLQIEISA-N -1 1 347.379 -0.699 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])[C@H]1CN(C(=O)CC(N)=O)CCO1 ZINC001009667334 726955468 /nfs/dbraw/zinc/95/54/68/726955468.db2.gz SUYOHNKLUMWDAM-GXSJLCMTSA-N -1 1 336.348 -0.992 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(C(=O)CC2CC2)CC1 ZINC001009760242 726997187 /nfs/dbraw/zinc/99/71/87/726997187.db2.gz YTRBIQSRCUBTTB-UHFFFAOYSA-N -1 1 336.348 -0.019 20 0 EBADMM C[C@H]1C[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)CN1C(=O)C1CC1 ZINC001009905282 727012735 /nfs/dbraw/zinc/01/27/35/727012735.db2.gz JPCMYBXFGGZOPJ-VHSXEESVSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001010046574 727022131 /nfs/dbraw/zinc/02/21/31/727022131.db2.gz IQVFNTFDBXQAHV-RYUDHWBXSA-N -1 1 334.376 -0.747 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(C(=O)[C@H](C)OC)CC1 ZINC001010560840 727107373 /nfs/dbraw/zinc/10/73/73/727107373.db2.gz YCZKHICYAWWDGA-QMMMGPOBSA-N -1 1 340.336 -0.784 20 0 EBADMM O=C(N[C@H]1CCN(CCN2C(=O)CCC2=O)C1)c1ncccc1[O-] ZINC001010728214 727134982 /nfs/dbraw/zinc/13/49/82/727134982.db2.gz UKVUDSWEQAEXTK-NSHDSACASA-N -1 1 332.360 -0.260 20 0 EBADMM C[C@]12CN(C(=O)CC(N)=O)C[C@@]1(C)CN(C(=O)c1ncccc1[O-])C2 ZINC001010960231 727169089 /nfs/dbraw/zinc/16/90/89/727169089.db2.gz PDPCIVHDFIONEH-CALCHBBNSA-N -1 1 346.387 -0.027 20 0 EBADMM O=C(C[C@@H]1CCNC1=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011036170 727186188 /nfs/dbraw/zinc/18/61/88/727186188.db2.gz LWAAMQOEGLXHCU-NSHDSACASA-N -1 1 332.360 -0.402 20 0 EBADMM Cn1cc(OCC(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)cn1 ZINC001011035721 727186256 /nfs/dbraw/zinc/18/62/56/727186256.db2.gz OWLLLSCNRBTNMX-UHFFFAOYSA-N -1 1 345.359 -0.116 20 0 EBADMM CN1C[C@H](C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)NC1=O ZINC001011036688 727186786 /nfs/dbraw/zinc/18/67/86/727186786.db2.gz TYFNJUPYUXRMGU-SNVBAGLBSA-N -1 1 333.348 -0.905 20 0 EBADMM C[C@H](C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1)[C@H]1CCC(=O)N1 ZINC001011037696 727186989 /nfs/dbraw/zinc/18/69/89/727186989.db2.gz IGABDEBTEWIASW-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN1C(=O)C1CCC1 ZINC001011367212 727519430 /nfs/dbraw/zinc/51/94/30/727519430.db2.gz XFHVRWHNIFNUFC-MWLCHTKSSA-N -1 1 344.375 -0.063 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN1C(=O)CC1CC1 ZINC001011372108 727519753 /nfs/dbraw/zinc/51/97/53/727519753.db2.gz AMPFWBKOBLBKCZ-MWLCHTKSSA-N -1 1 344.375 -0.063 20 0 EBADMM Cc1cc(C(=O)N2CC[C@@H](NC(=O)Cn3c(=O)[n-][nH]c3=O)[C@@H]2C)[nH]n1 ZINC001011543240 727539260 /nfs/dbraw/zinc/53/92/60/727539260.db2.gz URERGTPMDWRWMS-DTWKUNHWSA-N -1 1 349.351 -0.860 20 0 EBADMM Cc1ncc(C(=O)N2CC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)[C@@H]2C)[nH]1 ZINC001011565767 727542687 /nfs/dbraw/zinc/54/26/87/727542687.db2.gz BUKYBJHSEGUUBQ-XPUUQOCRSA-N -1 1 347.335 -0.653 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CCN1C(=O)CC(N)=O ZINC001011585292 727544903 /nfs/dbraw/zinc/54/49/03/727544903.db2.gz IGVRDHVSYVVHCE-LDYMZIIASA-N -1 1 347.375 -0.346 20 0 EBADMM CC[C@H](F)C(=O)N1CCN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)CC1 ZINC001011635659 727551694 /nfs/dbraw/zinc/55/16/94/727551694.db2.gz HTYHUGJAPRVNDG-QMMMGPOBSA-N -1 1 342.327 -0.071 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2nnn(C)n2)CCN1C(=O)c1ncccc1[O-] ZINC001011681990 727558159 /nfs/dbraw/zinc/55/81/59/727558159.db2.gz ZOPMWOUVOHEQDK-IUCAKERBSA-N -1 1 331.336 -0.656 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1ncn(C)n1 ZINC001011719856 727565543 /nfs/dbraw/zinc/56/55/43/727565543.db2.gz MQCGEEYMBDEIGM-VHSXEESVSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@H]1[C@H](NC(=O)C(N)=O)CCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001011764072 727573324 /nfs/dbraw/zinc/57/33/24/727573324.db2.gz XQOUQDNKNCFKNM-OIBJUYFYSA-N -1 1 333.348 -0.736 20 0 EBADMM Cc1cc(C(=O)N2C[C@@H](NC(=O)Cn3c(=O)[n-][nH]c3=O)C[C@H]2C)[nH]n1 ZINC001012357767 727656987 /nfs/dbraw/zinc/65/69/87/727656987.db2.gz WHIKONXGWQDWGN-BDAKNGLRSA-N -1 1 349.351 -0.860 20 0 EBADMM Cc1ncc(C(=O)N2C[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C[C@@H]2C)[nH]1 ZINC001012393889 727660746 /nfs/dbraw/zinc/66/07/46/727660746.db2.gz YZTZYDKHBLVMKQ-POYBYMJQSA-N -1 1 347.335 -0.653 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@@H]2C[C@H](C)N(C(=O)c3ncccc3[O-])C2)C1 ZINC001012509645 727673665 /nfs/dbraw/zinc/67/36/65/727673665.db2.gz IYTVEVQLZDTVRM-GXFFZTMASA-N -1 1 346.387 -0.015 20 0 EBADMM C[C@H]1C[C@H](NC(=O)C2(C)CC2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001013325742 727794036 /nfs/dbraw/zinc/79/40/36/727794036.db2.gz KTPADAKUPNBTLA-UWVGGRQHSA-N -1 1 344.375 -0.063 20 0 EBADMM Cn1cc(CCC(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001051942716 735477219 /nfs/dbraw/zinc/47/72/19/735477219.db2.gz FYJFRHYLJPVGCL-LBPRGKRZSA-N -1 1 349.395 -0.806 20 0 EBADMM CC(C)c1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC001014402138 727940586 /nfs/dbraw/zinc/94/05/86/727940586.db2.gz WEZQVJTZXVLDNB-NSHDSACASA-N -1 1 347.423 -0.030 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cnc4[nH]cnc4c3)C2)nc1=O ZINC001014589755 727973440 /nfs/dbraw/zinc/97/34/40/727973440.db2.gz WOPLBCZIIXOWBY-SNVBAGLBSA-N -1 1 342.363 -0.616 20 0 EBADMM COCc1cncc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001014777597 728007415 /nfs/dbraw/zinc/00/74/15/728007415.db2.gz AALGMOJJJCZIFT-CYBMUJFWSA-N -1 1 346.391 -0.346 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ccc4nc[nH]c4n3)C2)nc1=O ZINC001014883793 728025984 /nfs/dbraw/zinc/02/59/84/728025984.db2.gz HLXYIGQHSZNWQR-SECBINFHSA-N -1 1 342.363 -0.616 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@@H]3CCn4ccnc4C3)C2)nc1=O ZINC001015000709 728052861 /nfs/dbraw/zinc/05/28/61/728052861.db2.gz QOXPAQIONBKDGK-VXGBXAGGSA-N -1 1 345.407 -0.742 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@@H]3CCc4cncn4C3)C2)nc1=O ZINC001015000472 728053054 /nfs/dbraw/zinc/05/30/54/728053054.db2.gz QCWDMKYYKIRLID-NEPJUHHUSA-N -1 1 345.407 -0.742 20 0 EBADMM Cc1cccnc1CN1CC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001015108378 728079865 /nfs/dbraw/zinc/07/98/65/728079865.db2.gz BOVCLRHCVNIBMV-SNVBAGLBSA-N -1 1 330.348 -0.009 20 0 EBADMM Cc1cc(C)n(CCN2CC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001015110340 728081039 /nfs/dbraw/zinc/08/10/39/728081039.db2.gz QZAKBECVPZGNOD-LLVKDONJSA-N -1 1 347.379 -0.400 20 0 EBADMM NC(=O)CN1CCN([C@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001052017164 735504686 /nfs/dbraw/zinc/50/46/86/735504686.db2.gz DXTXWVSSYSXUSG-LBPRGKRZSA-N -1 1 333.392 -0.895 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3onc4c3CCCC4)C2)nc1=O ZINC001015265863 728392157 /nfs/dbraw/zinc/39/21/57/728392157.db2.gz UTEHLRDSPKUKQO-JTQLQIEISA-N -1 1 346.391 -0.021 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3occ4c3CCOC4)C2)nc1=O ZINC001015398737 728410278 /nfs/dbraw/zinc/41/02/78/728410278.db2.gz RMVRYRYVNJJYBW-LLVKDONJSA-N -1 1 347.375 -0.222 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cc(C(F)F)n[nH]3)C2)nc1=O ZINC001015683086 728426752 /nfs/dbraw/zinc/42/67/52/728426752.db2.gz CKDYHLLBUMQRRB-SSDOTTSWSA-N -1 1 341.322 -0.227 20 0 EBADMM Cn1cc([C@@H]2C[C@H]2C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001015732525 728430635 /nfs/dbraw/zinc/43/06/35/728430635.db2.gz AARMVRDHWMLEFC-FRRDWIJNSA-N -1 1 345.407 -0.664 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cc4c([nH]3)CCC4)C2)nc1=O ZINC001015977392 728440145 /nfs/dbraw/zinc/44/01/45/728440145.db2.gz TXRMIFMOWRRUCD-NSHDSACASA-N -1 1 330.392 -0.071 20 0 EBADMM Cc1nn(C)c(F)c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001016130727 728441876 /nfs/dbraw/zinc/44/18/76/728441876.db2.gz LAVVSCWSDSQESV-VIFPVBQESA-N -1 1 337.359 -0.706 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3c[nH]c4ncccc34)C2)nc1=O ZINC001016286053 728450041 /nfs/dbraw/zinc/45/00/41/728450041.db2.gz FAJBUAGNAGDVFN-JTQLQIEISA-N -1 1 341.375 -0.011 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3c[nH]c4ncccc34)C2)nc1=O ZINC001016286054 728450231 /nfs/dbraw/zinc/45/02/31/728450231.db2.gz FAJBUAGNAGDVFN-SNVBAGLBSA-N -1 1 341.375 -0.011 20 0 EBADMM Cn1ncc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c1C1CC1 ZINC001016406345 728468275 /nfs/dbraw/zinc/46/82/75/728468275.db2.gz PRKAGFVEZUYTTH-NSHDSACASA-N -1 1 345.407 -0.276 20 0 EBADMM CN(C(=O)c1cnn(C)n1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016740493 728500059 /nfs/dbraw/zinc/50/00/59/728500059.db2.gz AZJUFFYJOFFLKI-UHFFFAOYSA-N -1 1 330.348 -0.051 20 0 EBADMM NC(=O)C1(C(=O)N2CCC(C3(NC(=O)c4cnn[nH]4)CC3)CC2)CC1 ZINC001017176012 728551974 /nfs/dbraw/zinc/55/19/74/728551974.db2.gz IPHRLIBHINFWMM-UHFFFAOYSA-N -1 1 346.391 -0.429 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)Cc2nnc[nH]2)C1 ZINC001017246061 728558128 /nfs/dbraw/zinc/55/81/28/728558128.db2.gz LRKWYXNHQBYYIZ-SNVBAGLBSA-N -1 1 334.384 -0.459 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)c2ccccn2)nc1=O ZINC001017298383 728561578 /nfs/dbraw/zinc/56/15/78/728561578.db2.gz QPKOCBMKRRYMCM-TXEJJXNPSA-N -1 1 328.376 -0.008 20 0 EBADMM O=C(Cn1nccn1)N1CC=C(CNC(=O)c2ncccc2[O-])CC1 ZINC001017399992 728571667 /nfs/dbraw/zinc/57/16/67/728571667.db2.gz IBWMITCTTPTREN-UHFFFAOYSA-N -1 1 342.359 -0.033 20 0 EBADMM O=C(Cn1cnnn1)N1CC=C(CNC(=O)c2ncccc2[O-])CC1 ZINC001017400929 728571955 /nfs/dbraw/zinc/57/19/55/728571955.db2.gz ZUKIEBFFLIYUSU-UHFFFAOYSA-N -1 1 343.347 -0.638 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)[C@@H]2CCCOC2)nc1=O ZINC001017408438 728572315 /nfs/dbraw/zinc/57/23/15/728572315.db2.gz OAFVKYSNCKSSSK-UPJWGTAASA-N -1 1 335.408 -0.290 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@]2(CCN(C(=O)C(N)=O)C2)O1 ZINC001017833878 728615648 /nfs/dbraw/zinc/61/56/48/728615648.db2.gz ZKXRMGDDBCYQCS-QFYYESIMSA-N -1 1 348.359 -0.896 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@]2(CCN(C(=O)C(N)=O)C2)O1 ZINC001017833874 728615717 /nfs/dbraw/zinc/61/57/17/728615717.db2.gz ZKXRMGDDBCYQCS-HWPZZCPQSA-N -1 1 348.359 -0.896 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)c2ccncn2)nc1=O ZINC001018073958 728631461 /nfs/dbraw/zinc/63/14/61/728631461.db2.gz WYJQQZUEIVIHHR-PHIMTYICSA-N -1 1 329.364 -0.613 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)Cn1ncnn1 ZINC001018105346 728633937 /nfs/dbraw/zinc/63/39/37/728633937.db2.gz RDUCDJQPHIUFLM-WDEREUQCSA-N -1 1 345.363 -0.417 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)Cn2ncnn2)CN1C(=O)c1ncccc1[O-] ZINC001018176136 728643241 /nfs/dbraw/zinc/64/32/41/728643241.db2.gz ZOWREWQKTPYMSB-GHMZBOCLSA-N -1 1 345.363 -0.417 20 0 EBADMM CCC(=O)N1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CC[C@H]1C ZINC001018273762 728652602 /nfs/dbraw/zinc/65/26/02/728652602.db2.gz CKYQKXQGFDJJJB-ZJUUUORDSA-N -1 1 332.364 -0.063 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CN1C(=O)C1CC1 ZINC001018274698 728652632 /nfs/dbraw/zinc/65/26/32/728652632.db2.gz GQCIJGNIMVYHKB-GXSJLCMTSA-N -1 1 344.375 -0.063 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CN1C(=O)C1CC1 ZINC001018274699 728652657 /nfs/dbraw/zinc/65/26/57/728652657.db2.gz GQCIJGNIMVYHKB-KOLCDFICSA-N -1 1 344.375 -0.063 20 0 EBADMM CC(=O)N1CC[C@H](C)[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001018450504 728670412 /nfs/dbraw/zinc/67/04/12/728670412.db2.gz QHTVRZURPVBJIT-ONGXEEELSA-N -1 1 332.364 -0.348 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC2(CN(C(=O)C3CC3)C2)C1 ZINC001018662147 728684111 /nfs/dbraw/zinc/68/41/11/728684111.db2.gz YSGIXSSSIPDIAL-UHFFFAOYSA-N -1 1 346.387 -0.602 20 0 EBADMM O=C([C@@H]1COCCO1)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001018810290 728691049 /nfs/dbraw/zinc/69/10/49/728691049.db2.gz CTAJKVBTFMUUEW-ZDUSSCGKSA-N -1 1 347.371 -0.123 20 0 EBADMM CC(C)(C)n1nnc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001018876801 728697563 /nfs/dbraw/zinc/69/75/63/728697563.db2.gz IKJYRBPPAGMJQA-QMMMGPOBSA-N -1 1 335.372 -0.744 20 0 EBADMM NC(=O)c1cncc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001019786383 728991525 /nfs/dbraw/zinc/99/15/25/728991525.db2.gz XJTZTCCXENFWGH-JTQLQIEISA-N -1 1 331.336 -0.992 20 0 EBADMM COc1cc(CN[C@H]2C[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)on1 ZINC001020353047 729143987 /nfs/dbraw/zinc/14/39/87/729143987.db2.gz MPUUDKDICOZPDK-LJGSYFOKSA-N -1 1 336.308 -0.670 20 0 EBADMM CCn1ccnc1CN[C@H]1C[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001020353100 729144192 /nfs/dbraw/zinc/14/41/92/729144192.db2.gz PDAJKVVZLPHCGH-KYZUINATSA-N -1 1 333.352 -0.450 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CC[C@@H](CNc2ncccn2)C1 ZINC001060894468 738409928 /nfs/dbraw/zinc/40/99/28/738409928.db2.gz MRMRGLQPRLUXHU-JTQLQIEISA-N -1 1 340.347 -0.218 20 0 EBADMM O=C(C[C@H]1CCCS1(=O)=O)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001020434728 729166663 /nfs/dbraw/zinc/16/66/63/729166663.db2.gz KZWBSAYKPLJUHK-OPRDCNLKSA-N -1 1 343.409 -0.786 20 0 EBADMM Cc1cc(CN[C@H]2C[C@H](NC(=O)c3cnc([O-])n(C)c3=O)C2)on1 ZINC001020459414 729175318 /nfs/dbraw/zinc/17/53/18/729175318.db2.gz LTDXCABJWDYNFU-MGCOHNPYSA-N -1 1 333.348 -0.167 20 0 EBADMM CCn1ccc(CN[C@H]2C[C@H](NC(=O)c3cnc([O-])n(C)c3=O)C2)n1 ZINC001020460907 729175605 /nfs/dbraw/zinc/17/56/05/729175605.db2.gz VRBORMHFGBFHQZ-HAQNSBGRSA-N -1 1 346.391 -0.247 20 0 EBADMM Cn1ncnc1CN[C@H]1C[C@H](NC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001020696740 729219429 /nfs/dbraw/zinc/21/94/29/729219429.db2.gz ZXQIDLVZRNXWGS-MGCOHNPYSA-N -1 1 342.363 -0.798 20 0 EBADMM CC(C)C(=O)N1CC[C@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@H]21 ZINC001021102298 729283386 /nfs/dbraw/zinc/28/33/86/729283386.db2.gz VBNJXMUDSOYPAP-QWHCGFSZSA-N -1 1 348.403 -0.358 20 0 EBADMM C[C@H](C(=O)NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1)n1cncn1 ZINC001021235451 729296509 /nfs/dbraw/zinc/29/65/09/729296509.db2.gz NHXXGFWITIDBLM-WAAKLRNESA-N -1 1 346.395 -0.025 20 0 EBADMM O=C(c1nc[nH]n1)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001021441708 729314184 /nfs/dbraw/zinc/31/41/84/729314184.db2.gz AFIHABROHJUNQU-VHSXEESVSA-N -1 1 328.332 -0.108 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@@H](CCCN3C(=O)c3cn[nH]c3)C2)nc1=O ZINC001021533664 729320323 /nfs/dbraw/zinc/32/03/23/729320323.db2.gz PQHWIAWZXUMPEV-WCQYABFASA-N -1 1 345.407 -0.042 20 0 EBADMM Cc1cc(=O)c(C(=O)N[C@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cn1C ZINC001022242915 729471047 /nfs/dbraw/zinc/47/10/47/729471047.db2.gz YLFGCPVWAWMNRK-AOOOYVTPSA-N -1 1 332.364 -0.432 20 0 EBADMM O=C(N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C1CCS(=O)(=O)CC1 ZINC001022800013 729534294 /nfs/dbraw/zinc/53/42/94/729534294.db2.gz LJKMBKYLRAWGDG-AOOOYVTPSA-N -1 1 343.409 -0.928 20 0 EBADMM O=C([N-][C@@H]1CN(C(=O)c2[nH]ncc2C(F)(F)F)C[C@H]1O)C(F)F ZINC001022859882 729541599 /nfs/dbraw/zinc/54/15/99/729541599.db2.gz JPFCMEFQTFFIMJ-PHDIDXHHSA-N -1 1 342.224 -0.005 20 0 EBADMM Cc1nnsc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001023027431 729581058 /nfs/dbraw/zinc/58/10/58/729581058.db2.gz WJTDWPXBEXBTKB-PSASIEDQSA-N -1 1 349.372 -0.438 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCCN([C@H]3CCC(=O)NC3=O)C2)c1[O-] ZINC001023307211 729661393 /nfs/dbraw/zinc/66/13/93/729661393.db2.gz VDNUAXRGCOCACO-QWRGUYRKSA-N -1 1 349.391 -0.329 20 0 EBADMM CCC(=O)NC[C@@H]1COCCN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001061153890 738436283 /nfs/dbraw/zinc/43/62/83/738436283.db2.gz DHWRHUUTQJCJTD-MRVPVSSYSA-N -1 1 340.336 -0.736 20 0 EBADMM Cn1nccc1CN1CCC[C@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001023468816 729696357 /nfs/dbraw/zinc/69/63/57/729696357.db2.gz ZTOICIUZAYDZDW-SNVBAGLBSA-N -1 1 347.379 -0.342 20 0 EBADMM CCn1nncc1C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023488550 729702593 /nfs/dbraw/zinc/70/25/93/729702593.db2.gz NAGCHOKQYAGDFG-BXKDBHETSA-N -1 1 346.347 -0.986 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ccncn2)C[C@H]1O)c1ncccc1[O-] ZINC001023490886 729703644 /nfs/dbraw/zinc/70/36/44/729703644.db2.gz SJIXFDYMZSBBGT-ZYHUDNBSSA-N -1 1 329.316 -0.808 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ccsn2)C[C@H]1O)c1ncccc1[O-] ZINC001023493793 729704643 /nfs/dbraw/zinc/70/46/43/729704643.db2.gz MBSIETBPVUHPEN-MWLCHTKSSA-N -1 1 334.357 -0.141 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](CNC(=O)c3cccnn3)C2)nc1=O ZINC001023667392 729750494 /nfs/dbraw/zinc/75/04/94/729750494.db2.gz BJNKXWXYGQZDHV-LLVKDONJSA-N -1 1 331.380 -0.460 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H]2CNC(=O)C2=COCCO2)nc1=O ZINC001024309011 729871820 /nfs/dbraw/zinc/87/18/20/729871820.db2.gz ROVOFFDBQHKVLY-NSHDSACASA-N -1 1 337.380 -0.533 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H]2CNC(=O)c2cc[nH]c(=O)c2)nc1=O ZINC001024389321 729880860 /nfs/dbraw/zinc/88/08/60/729880860.db2.gz XOEBIMOFAJRMRX-GFCCVEGCSA-N -1 1 346.391 -0.007 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H]2CNC(=O)C2=CCOCC2)nc1=O ZINC001024407134 729882688 /nfs/dbraw/zinc/88/26/88/729882688.db2.gz LDWLJZSCZJVZJB-ZDUSSCGKSA-N -1 1 335.408 -0.074 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)NC[C@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001024494317 729893301 /nfs/dbraw/zinc/89/33/01/729893301.db2.gz LXHVUYPLBGXCLL-SNVBAGLBSA-N -1 1 349.395 -0.370 20 0 EBADMM Cc1n[nH]cc1C(=O)NC[C@@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001024586232 729908004 /nfs/dbraw/zinc/90/80/04/729908004.db2.gz MGLZMSQODLATLB-NSHDSACASA-N -1 1 333.396 -0.076 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)c1cc(F)c[nH]1 ZINC001024719267 729921837 /nfs/dbraw/zinc/92/18/37/729921837.db2.gz GJHVKGWRSRHVEG-ZYHUDNBSSA-N -1 1 334.307 -0.130 20 0 EBADMM Cc1nc[nH]c1C(=O)NC[C@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001024828860 729934079 /nfs/dbraw/zinc/93/40/79/729934079.db2.gz QJYCKACUBPDJCB-LLVKDONJSA-N -1 1 333.396 -0.076 20 0 EBADMM O=C(c1cc[n+]([O-])cc1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024857275 729936683 /nfs/dbraw/zinc/93/66/83/729936683.db2.gz AFGVCMFFXVSBRG-GFCCVEGCSA-N -1 1 332.364 -0.072 20 0 EBADMM Cc1nnccc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001024865757 729937339 /nfs/dbraw/zinc/93/73/39/729937339.db2.gz GCNCLEXFAIENQA-DGCLKSJQSA-N -1 1 343.343 -0.499 20 0 EBADMM O=C([C@@H]1CCCNC1=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024992196 729983427 /nfs/dbraw/zinc/98/34/27/729983427.db2.gz OHAARHILTWSCHM-GHMZBOCLSA-N -1 1 336.396 -0.493 20 0 EBADMM C[C@]1(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CNC(=O)C1 ZINC001025030398 729988131 /nfs/dbraw/zinc/98/81/31/729988131.db2.gz PRXCFHCWSVHHOR-ZUZCIYMTSA-N -1 1 336.396 -0.493 20 0 EBADMM CN1C(=O)CC[C@H]1C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001025046962 729990181 /nfs/dbraw/zinc/99/01/81/729990181.db2.gz BHIJTAFNDGTCQR-QWRGUYRKSA-N -1 1 336.396 -0.398 20 0 EBADMM NC(=O)CC(=O)N1CCCC[C@H]1[C@@H]1CCCN1Cc1n[nH]c(=O)[n-]1 ZINC001025212882 730015350 /nfs/dbraw/zinc/01/53/50/730015350.db2.gz IQJPCCPQDAKUMO-QWRGUYRKSA-N -1 1 336.396 -0.269 20 0 EBADMM C[C@@H](NC(=O)c1c[n-]n2c1nccc2=O)[C@H]1CCCN(CC(N)=O)C1 ZINC001025551987 730054057 /nfs/dbraw/zinc/05/40/57/730054057.db2.gz KEWCDJGSUFKQPG-MNOVXSKESA-N -1 1 346.391 -0.662 20 0 EBADMM CC(C)[C@@H]1OCC[C@@H]1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001025810245 730094477 /nfs/dbraw/zinc/09/44/77/730094477.db2.gz UILITIAUFNYSAF-OBORUHMCSA-N -1 1 349.435 -0.284 20 0 EBADMM CC[C@H]1C[C@H](C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)CCO1 ZINC001026137330 730123097 /nfs/dbraw/zinc/12/30/97/730123097.db2.gz YNOIUCHIWYNOAN-JYKNGBAOSA-N -1 1 349.435 -0.140 20 0 EBADMM Cc1n[nH]c(C(=O)NC2CCC([NH2+]Cc3nc(=O)n(C)[n-]3)CC2)c1[O-] ZINC001026479184 730146093 /nfs/dbraw/zinc/14/60/93/730146093.db2.gz BYZUSGMTBGZLNI-UHFFFAOYSA-N -1 1 349.395 -0.324 20 0 EBADMM Cc1nnc(CNC[C@@H]2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)o1 ZINC001027191173 730195897 /nfs/dbraw/zinc/19/58/97/730195897.db2.gz ICHXCKZXIIGQIZ-VIFPVBQESA-N -1 1 337.340 -0.981 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)cnn1 ZINC001027848490 730242811 /nfs/dbraw/zinc/24/28/11/730242811.db2.gz KRZUTYAXCLNUEH-GFCCVEGCSA-N -1 1 331.380 -0.399 20 0 EBADMM CCN1CC[C@@H](N2CCC[C@@H]2CNC(=O)c2cnc[nH]c2=O)C1=O ZINC001027958311 730251773 /nfs/dbraw/zinc/25/17/73/730251773.db2.gz FZWMWMCKTSFTRW-DGCLKSJQSA-N -1 1 333.392 -0.003 20 0 EBADMM COc1cc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)ccn1 ZINC001027986682 730254283 /nfs/dbraw/zinc/25/42/83/730254283.db2.gz CZCUEFHJQFHZHG-LBPRGKRZSA-N -1 1 346.391 -0.094 20 0 EBADMM Cc1nc([C@H](C)N2CCC[C@@H]2CNC(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC001027999398 730255657 /nfs/dbraw/zinc/25/56/57/730255657.db2.gz HIZSVIQTCUVSSO-IONNQARKSA-N -1 1 348.367 -0.335 20 0 EBADMM Cc1nc([C@H](C)N2CCC[C@H]2CNC(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC001027999397 730255790 /nfs/dbraw/zinc/25/57/90/730255790.db2.gz HIZSVIQTCUVSSO-CBAPKCEASA-N -1 1 348.367 -0.335 20 0 EBADMM Cc1nnccc1C(=O)NC[C@@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001028001163 730256258 /nfs/dbraw/zinc/25/62/58/730256258.db2.gz SQAABLFTSHCKFJ-NSHDSACASA-N -1 1 331.380 -0.399 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H]2CNC(=O)c2cccc(=O)n2C)nc1=O ZINC001028128941 730268963 /nfs/dbraw/zinc/26/89/63/730268963.db2.gz LGDVJPXZWKJVNX-NSHDSACASA-N -1 1 346.391 -0.799 20 0 EBADMM CCn1ccc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001028179874 730272441 /nfs/dbraw/zinc/27/24/41/730272441.db2.gz QCNNQEMXRFCUJV-NSHDSACASA-N -1 1 333.396 -0.281 20 0 EBADMM CNC(=O)[C@H](C)N1CCC[C@H]1CNC(=O)c1c[n-]n2c1nccc2=O ZINC001028186470 730272919 /nfs/dbraw/zinc/27/29/19/730272919.db2.gz LQSQGWJHCPFMMR-QWRGUYRKSA-N -1 1 346.391 -0.649 20 0 EBADMM CCc1nc[nH]c1C(=O)NC[C@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001028289097 730279998 /nfs/dbraw/zinc/27/99/98/730279998.db2.gz ACQOLCKQNOWTME-SNVBAGLBSA-N -1 1 333.396 -0.212 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)c3cc[nH]c(=O)c3)C2)nc1=O ZINC001028481570 730298862 /nfs/dbraw/zinc/29/88/62/730298862.db2.gz BMJOLHNGYYGWIL-JTQLQIEISA-N -1 1 332.364 -0.539 20 0 EBADMM CC(C)n1cc(C(=O)NC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001028895120 730368165 /nfs/dbraw/zinc/36/81/65/730368165.db2.gz KZKZRJCFJYBXOZ-LLVKDONJSA-N -1 1 348.411 -0.467 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)c3cnn4cc[nH]c34)C2)nc1=O ZINC001028954605 730374001 /nfs/dbraw/zinc/37/40/01/730374001.db2.gz CZUIPJFADWRROE-JTQLQIEISA-N -1 1 344.379 -0.664 20 0 EBADMM C[C@H](NCc1cnon1)C1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001029116078 730389663 /nfs/dbraw/zinc/38/96/63/730389663.db2.gz MYYFRYAVVPWDJT-QMMMGPOBSA-N -1 1 349.351 -0.304 20 0 EBADMM C[C@H]1C[C@@H](C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)CO1 ZINC001029366655 730401562 /nfs/dbraw/zinc/40/15/62/730401562.db2.gz IKADPYFHYNBMBB-QNWHQSFQSA-N -1 1 335.408 -0.291 20 0 EBADMM Cc1n[nH]nc1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029417182 730404056 /nfs/dbraw/zinc/40/40/56/730404056.db2.gz LUTIDISAEQCOLS-AOOOYVTPSA-N -1 1 332.368 -0.976 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)c2ccc(=O)[nH]n2)C1 ZINC001029637729 730478327 /nfs/dbraw/zinc/47/83/27/730478327.db2.gz FWHIPVUQGJLVNA-VIFPVBQESA-N -1 1 333.352 -0.400 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)[C@H]2COCCN2C)C1 ZINC001029709850 730485646 /nfs/dbraw/zinc/48/56/46/730485646.db2.gz KZQDDEVBCMBQFN-NWDGAFQWSA-N -1 1 338.412 -0.879 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)c2cn[nH]c(=O)c2)C1 ZINC001029762312 730497316 /nfs/dbraw/zinc/49/73/16/730497316.db2.gz MGFKQRNKFQYVMA-VIFPVBQESA-N -1 1 333.352 -0.400 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)c2cnc[nH]c2=O)C1 ZINC001029764797 730497891 /nfs/dbraw/zinc/49/78/91/730497891.db2.gz PWILSDYCEGFHAQ-SECBINFHSA-N -1 1 333.352 -0.400 20 0 EBADMM COc1cc(C(=O)N2CC[C@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)nn1C ZINC001029780671 730500354 /nfs/dbraw/zinc/50/03/54/730500354.db2.gz ATPSVFIOBWZRCW-SNVBAGLBSA-N -1 1 349.395 -0.154 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)CCc2cnn(C)n2)C1 ZINC001029843057 730512333 /nfs/dbraw/zinc/51/23/33/730512333.db2.gz BKEOPZOXYFACQA-NSHDSACASA-N -1 1 348.411 -0.448 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)C[C@H]2CCC(=O)N2)C1 ZINC001029867347 730515185 /nfs/dbraw/zinc/51/51/85/730515185.db2.gz VSYJFLGDLQCJEI-GHMZBOCLSA-N -1 1 336.396 -0.541 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)c2ccc(=O)[nH]n2)CC1 ZINC001029931266 730525865 /nfs/dbraw/zinc/52/58/65/730525865.db2.gz JTFSHHSVDZMFGL-UHFFFAOYSA-N -1 1 347.379 -0.010 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)c2nccnc2N)CC1 ZINC001029948549 730527407 /nfs/dbraw/zinc/52/74/07/730527407.db2.gz NZXIBABANYKVHD-UHFFFAOYSA-N -1 1 346.395 -0.133 20 0 EBADMM C[C@H]1CN(C(=O)CN2CC(NC(=O)c3ncccc3[O-])C2)CCO1 ZINC001030242934 730558210 /nfs/dbraw/zinc/55/82/10/730558210.db2.gz ZJPURYGRVMGSPG-NSHDSACASA-N -1 1 334.376 -0.552 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@H]3CCCc4c[nH]nc43)C2)nc1=O ZINC001030317144 730567371 /nfs/dbraw/zinc/56/73/71/730567371.db2.gz PVXZKVTYFNXBBO-NSHDSACASA-N -1 1 331.380 -0.748 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cnc4n3CCCCC4)C2)nc1=O ZINC001030513030 730597564 /nfs/dbraw/zinc/59/75/64/730597564.db2.gz QYEJPXVUFOLWMX-UHFFFAOYSA-N -1 1 345.407 -0.355 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cccnc3C3CC3)C2)nc1=O ZINC001030611507 730613379 /nfs/dbraw/zinc/61/33/79/730613379.db2.gz JIBMSXSQGNZEAT-UHFFFAOYSA-N -1 1 328.376 -0.005 20 0 EBADMM Cc1ccn2cc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)nc2c1 ZINC001030624915 730615844 /nfs/dbraw/zinc/61/58/44/730615844.db2.gz BNWAVJIXYZUSQN-UHFFFAOYSA-N -1 1 341.375 -0.321 20 0 EBADMM Cc1ccc2ncc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)n2c1 ZINC001030674747 730622774 /nfs/dbraw/zinc/62/27/74/730622774.db2.gz PEGAQKKNLVBVOJ-UHFFFAOYSA-N -1 1 341.375 -0.321 20 0 EBADMM Cn1cnnc1CN1CC(NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001031060528 730669927 /nfs/dbraw/zinc/66/99/27/730669927.db2.gz GERDAYQRMZCPIP-UHFFFAOYSA-N -1 1 329.364 -0.198 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@@H]3CCc4ccccc4O3)C2)nc1=O ZINC001031118391 730678339 /nfs/dbraw/zinc/67/83/39/730678339.db2.gz APWXYHHGFIEJKW-AWEZNQCLSA-N -1 1 343.387 -0.197 20 0 EBADMM CCn1ccnc1CN1CC(NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC001031249754 730693349 /nfs/dbraw/zinc/69/33/49/730693349.db2.gz GWQDFKUNIZIICD-UHFFFAOYSA-N -1 1 348.363 -0.273 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(Cc2cnc(C)cn2)C1 ZINC001031249822 730693472 /nfs/dbraw/zinc/69/34/72/730693472.db2.gz IEFZMTWYUZWGND-UHFFFAOYSA-N -1 1 346.347 -0.391 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(Cc2nonc2C)C1 ZINC001031250181 730693802 /nfs/dbraw/zinc/69/38/02/730693802.db2.gz WZAROLULQOHWOT-UHFFFAOYSA-N -1 1 336.308 -0.798 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(Cc2cnn(C)c2)C1 ZINC001031250201 730693868 /nfs/dbraw/zinc/69/38/68/730693868.db2.gz XVYFINMNPNPJGO-UHFFFAOYSA-N -1 1 334.336 -0.756 20 0 EBADMM Cc1nc(CN2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)c(C)o1 ZINC001031251675 730695056 /nfs/dbraw/zinc/69/50/56/730695056.db2.gz UUFSJEGXYYGDCU-UHFFFAOYSA-N -1 1 343.347 -0.363 20 0 EBADMM C[C@@H](c1cnccn1)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001031251548 730695161 /nfs/dbraw/zinc/69/51/61/730695161.db2.gz OTGHJUHYFARWKQ-VIFPVBQESA-N -1 1 340.347 -0.617 20 0 EBADMM CCn1nc(C)c(CC(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC001031269072 730696976 /nfs/dbraw/zinc/69/69/76/730696976.db2.gz SSEYPCUWULSYGG-UHFFFAOYSA-N -1 1 347.423 -0.515 20 0 EBADMM Cc1ccn2ncc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)c2n1 ZINC001031269393 730697057 /nfs/dbraw/zinc/69/70/57/730697057.db2.gz HOESPBOJJYXJCG-UHFFFAOYSA-N -1 1 342.363 -0.926 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cccc(NC(N)=O)c3)C2)nc1=O ZINC001031370151 730710207 /nfs/dbraw/zinc/71/02/07/730710207.db2.gz SZECAWDEAFEXST-UHFFFAOYSA-N -1 1 345.363 -0.787 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cnc(C(C)(C)C)nc3)C2)nc1=O ZINC001031484619 730728611 /nfs/dbraw/zinc/72/86/11/730728611.db2.gz MHCVYEIQLDIJMX-UHFFFAOYSA-N -1 1 345.407 -0.190 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cccc4n[nH]nc43)C2)nc1=O ZINC001031692550 730751957 /nfs/dbraw/zinc/75/19/57/730751957.db2.gz BJMQSJFIYUZRRI-UHFFFAOYSA-N -1 1 342.363 -0.759 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3ccn(C(F)F)n3)C2)nc1=O ZINC001031698983 730753438 /nfs/dbraw/zinc/75/34/38/730753438.db2.gz CFKJAXUGWTVPSA-UHFFFAOYSA-N -1 1 341.322 -0.438 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cncc4[nH]ccc43)C2)nc1=O ZINC001031812550 730773425 /nfs/dbraw/zinc/77/34/25/730773425.db2.gz AGDHVTJGNMCOIZ-UHFFFAOYSA-N -1 1 341.375 -0.154 20 0 EBADMM Cc1cc(=O)oc(C)c1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031832709 730777173 /nfs/dbraw/zinc/77/71/73/730777173.db2.gz PYXYWZSWRFKHAV-UHFFFAOYSA-N -1 1 347.375 -0.460 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cccc4nccn43)C2)nc1=O ZINC001031843464 730778851 /nfs/dbraw/zinc/77/88/51/730778851.db2.gz ACVQCUWLFXWYIF-UHFFFAOYSA-N -1 1 341.375 -0.382 20 0 EBADMM Cc1cc(C)c(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)c(=O)[nH]1 ZINC001031884712 730785143 /nfs/dbraw/zinc/78/51/43/730785143.db2.gz KFWXGRKWMIIPTB-UHFFFAOYSA-N -1 1 346.391 -0.312 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@@H]3Cc4ccccc43)C2)nc1=O ZINC001031943830 730795754 /nfs/dbraw/zinc/79/57/54/730795754.db2.gz JUPGQXLOIBOMRH-CQSZACIVSA-N -1 1 327.388 -0.004 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3ocnc3C(F)F)C2)nc1=O ZINC001031977193 730799321 /nfs/dbraw/zinc/79/93/21/730799321.db2.gz AMMZPIICFDKBIC-UHFFFAOYSA-N -1 1 342.306 -0.104 20 0 EBADMM Cn1cncc1[C@@H]1C[C@H]1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031987290 730801275 /nfs/dbraw/zinc/80/12/75/730801275.db2.gz GUBWNHKEHQGOBG-VXGBXAGGSA-N -1 1 345.407 -0.806 20 0 EBADMM COc1nc(C)ccc1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032030949 730804966 /nfs/dbraw/zinc/80/49/66/730804966.db2.gz OBSFZUVGHWVSRA-UHFFFAOYSA-N -1 1 346.391 -0.318 20 0 EBADMM CC[C@@H]1C[C@H](C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)CCO1 ZINC001032110772 730812993 /nfs/dbraw/zinc/81/29/93/730812993.db2.gz PZZGGZGSLXIBLF-CHWSQXEVSA-N -1 1 337.424 -0.138 20 0 EBADMM CCc1ncncc1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032190498 730824376 /nfs/dbraw/zinc/82/43/76/730824376.db2.gz NNMHNPUBLLJSMY-UHFFFAOYSA-N -1 1 331.380 -0.677 20 0 EBADMM CCn1cc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)cn1 ZINC001032321662 730840648 /nfs/dbraw/zinc/84/06/48/730840648.db2.gz DMYRWRNLRCETGP-RYUDHWBXSA-N -1 1 331.380 -0.576 20 0 EBADMM Cc1cc(CC(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)no1 ZINC001032321388 730840927 /nfs/dbraw/zinc/84/09/27/730840927.db2.gz RJYOCWWQUKEKPI-RYUDHWBXSA-N -1 1 332.364 -0.567 20 0 EBADMM Cc1ccncc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032329217 730841901 /nfs/dbraw/zinc/84/19/01/730841901.db2.gz HGSNGYVRSDQEDY-RYUDHWBXSA-N -1 1 328.376 -0.089 20 0 EBADMM CCn1ccc(CC(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)n1 ZINC001032412744 730855083 /nfs/dbraw/zinc/85/50/83/730855083.db2.gz MDXCDCMNHFXWFR-STQMWFEESA-N -1 1 345.407 -0.647 20 0 EBADMM CCOc1cc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)on1 ZINC001032523673 730882431 /nfs/dbraw/zinc/88/24/31/730882431.db2.gz FMPKEOROFNIHDR-UWVGGRQHSA-N -1 1 348.363 -0.406 20 0 EBADMM CCn1ccc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)n1 ZINC001032664169 730906720 /nfs/dbraw/zinc/90/67/20/730906720.db2.gz UDTJRWIUSHQDKX-QWRGUYRKSA-N -1 1 331.380 -0.576 20 0 EBADMM CNC(=O)CN1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001032668495 730907591 /nfs/dbraw/zinc/90/75/91/730907591.db2.gz CZOQAKPJMCVBGZ-QWRGUYRKSA-N -1 1 331.376 -0.296 20 0 EBADMM C[C@H](C(N)=O)N1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001032668636 730907760 /nfs/dbraw/zinc/90/77/60/730907760.db2.gz JIDKGHPKFUBMHQ-MIMYLULJSA-N -1 1 331.376 -0.168 20 0 EBADMM CC[C@@H](F)CN1C[C@@H]2C[C@H]1CN2C(=O)CCn1cc[n-]c(=O)c1=O ZINC001032686074 730911147 /nfs/dbraw/zinc/91/11/47/730911147.db2.gz DDQMNSFMKGJKLD-AGIUHOORSA-N -1 1 338.383 -0.040 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)c2ncccc2F)nc1=O ZINC001032698902 730913517 /nfs/dbraw/zinc/91/35/17/730913517.db2.gz SBPXMVYUNCZOLR-UWVGGRQHSA-N -1 1 332.339 -0.259 20 0 EBADMM CCn1cc(CC(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)cn1 ZINC001032726341 730919383 /nfs/dbraw/zinc/91/93/83/730919383.db2.gz KDSAPJQDDDTIMN-STQMWFEESA-N -1 1 345.407 -0.647 20 0 EBADMM CCc1cc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC001032917075 730948783 /nfs/dbraw/zinc/94/87/83/730948783.db2.gz RSMLUTCESSNCFV-NSHDSACASA-N -1 1 333.396 -0.260 20 0 EBADMM Cc1cnn(CC(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001032939594 730950842 /nfs/dbraw/zinc/95/08/42/730950842.db2.gz ZGEIVURBQJVKOL-GFCCVEGCSA-N -1 1 333.396 -0.654 20 0 EBADMM Cc1[nH]nc(C(=O)N(C)[C@H]2CCN(CCN3C(=O)CCC3=O)C2)c1[O-] ZINC001033016155 730957300 /nfs/dbraw/zinc/95/73/00/730957300.db2.gz QKVDINZWFDFRCN-NSHDSACASA-N -1 1 349.391 -0.281 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN([C@H]2CCC(=O)NC2=O)C1 ZINC001033036707 730960304 /nfs/dbraw/zinc/96/03/04/730960304.db2.gz UIQDRCMNIIWYND-MNOVXSKESA-N -1 1 332.360 -0.261 20 0 EBADMM CN(C(=O)c1cn2c(n1)CCC2)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033090253 730969381 /nfs/dbraw/zinc/96/93/81/730969381.db2.gz LUULZTFZBPOKFI-LLVKDONJSA-N -1 1 345.407 -0.402 20 0 EBADMM CN(C(=O)c1ccc(C(N)=O)o1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033247661 730995446 /nfs/dbraw/zinc/99/54/46/730995446.db2.gz NEUGZZRWFCLVHM-SECBINFHSA-N -1 1 348.363 -0.853 20 0 EBADMM CC[C@@H]1OCC[C@H]1C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033287033 731000702 /nfs/dbraw/zinc/00/07/02/731000702.db2.gz ODQMQOKDMDJJDN-XQQFMLRXSA-N -1 1 337.424 -0.044 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033345900 731012639 /nfs/dbraw/zinc/01/26/39/731012639.db2.gz CDFOKRUWNCDSDN-JTQLQIEISA-N -1 1 347.379 -0.741 20 0 EBADMM CN(C(=O)c1cc(C(N)=O)co1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033411809 731020212 /nfs/dbraw/zinc/02/02/12/731020212.db2.gz CTHLPKOXJBXUBQ-JTQLQIEISA-N -1 1 348.363 -0.853 20 0 EBADMM CN(C(=O)Cc1cscn1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033477509 731027582 /nfs/dbraw/zinc/02/75/82/731027582.db2.gz MPDAQOCITADISK-LLVKDONJSA-N -1 1 336.421 -0.160 20 0 EBADMM CCc1nc[nH]c1C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033621317 731048414 /nfs/dbraw/zinc/04/84/14/731048414.db2.gz LGRZAVMAOJWWAS-SNVBAGLBSA-N -1 1 333.396 -0.260 20 0 EBADMM CN(C(=O)Cn1ccccc1=O)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033656004 731056325 /nfs/dbraw/zinc/05/63/25/731056325.db2.gz IBSIZEXEWRUXDN-LBPRGKRZSA-N -1 1 346.391 -0.997 20 0 EBADMM CCN(C(=O)c1cncnc1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033803151 731075272 /nfs/dbraw/zinc/07/52/72/731075272.db2.gz FELITRRSHAFUPL-GFCCVEGCSA-N -1 1 331.380 -0.365 20 0 EBADMM CCN(C(=O)c1cnn(CC)n1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033820725 731077019 /nfs/dbraw/zinc/07/70/19/731077019.db2.gz OEFNIRFBMVBRAS-NSHDSACASA-N -1 1 348.411 -0.544 20 0 EBADMM Cc1c[nH]nc1C(=O)N[C@@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034198658 731110171 /nfs/dbraw/zinc/11/01/71/731110171.db2.gz DEWVNILUPXKZQZ-LLVKDONJSA-N -1 1 333.396 -0.076 20 0 EBADMM Cn1cnc(C(=O)N[C@@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001034196161 731110331 /nfs/dbraw/zinc/11/03/31/731110331.db2.gz LPSQJPPSHVWZNP-SNVBAGLBSA-N -1 1 334.384 -0.979 20 0 EBADMM Cn1ccc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)cc1=O ZINC001034972091 731170482 /nfs/dbraw/zinc/17/04/82/731170482.db2.gz AXUWVZOCXLYTMN-LLVKDONJSA-N -1 1 332.364 -0.397 20 0 EBADMM CCn1ccnc1CNC[C@H]1CCCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001034984630 731172835 /nfs/dbraw/zinc/17/28/35/731172835.db2.gz AJHVWDWGMNNZOT-SNVBAGLBSA-N -1 1 347.379 -0.106 20 0 EBADMM Cn1ccc(=O)c(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)c1 ZINC001034992681 731174220 /nfs/dbraw/zinc/17/42/20/731174220.db2.gz YVNNJCOMCCHZBZ-SNVBAGLBSA-N -1 1 332.364 -0.397 20 0 EBADMM O=C(c1ccn2nnnc2c1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035010667 731175936 /nfs/dbraw/zinc/17/59/36/731175936.db2.gz FNRNTIPLKBOHDW-SNVBAGLBSA-N -1 1 343.351 -0.658 20 0 EBADMM CN(C)C(=O)[C@@H]1C[C@@H]1C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035052952 731180808 /nfs/dbraw/zinc/18/08/08/731180808.db2.gz RNQRUGGSYGMJRE-MXWKQRLJSA-N -1 1 336.396 -0.685 20 0 EBADMM CN1C(=O)CCC[C@@H]1C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035116369 731187855 /nfs/dbraw/zinc/18/78/55/731187855.db2.gz WSDZIWYLFGWUGY-GHMZBOCLSA-N -1 1 336.396 -0.398 20 0 EBADMM O=C([C@@H]1CCCS1(=O)=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035129883 731190471 /nfs/dbraw/zinc/19/04/71/731190471.db2.gz NDRMPLLCIKMMJP-ZJUUUORDSA-N -1 1 343.409 -0.832 20 0 EBADMM NC(=O)c1cccc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)n1 ZINC001035138098 731191685 /nfs/dbraw/zinc/19/16/85/731191685.db2.gz GLWXCSZPWGDUFB-SECBINFHSA-N -1 1 345.363 -0.601 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)c3ccncc3)C2)nc1=O ZINC001035272838 731202963 /nfs/dbraw/zinc/20/29/63/731202963.db2.gz GVXUIIQSNRTTBM-GFCCVEGCSA-N -1 1 332.364 -0.866 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)no1 ZINC001035285534 731205477 /nfs/dbraw/zinc/20/54/77/731205477.db2.gz BRBWPTBLTCBUSX-JTQLQIEISA-N -1 1 336.352 -0.964 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)co1 ZINC001035341772 731220920 /nfs/dbraw/zinc/22/09/20/731220920.db2.gz KSXMNNNMXMENLK-LBPRGKRZSA-N -1 1 335.364 -0.359 20 0 EBADMM Cc1nnc(CN2CCO[C@@H](CNC(=O)c3ncccc3[O-])C2)[nH]1 ZINC001035343125 731221692 /nfs/dbraw/zinc/22/16/92/731221692.db2.gz GANJJDFIJGOZKR-NSHDSACASA-N -1 1 332.364 -0.155 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)C3CC(C)(C)C3)C2)nc1=O ZINC001035362809 731230450 /nfs/dbraw/zinc/23/04/50/731230450.db2.gz SFYGMXBHQFGYNJ-GFCCVEGCSA-N -1 1 337.424 -0.138 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cccc3n[nH]nc32)nc1=O ZINC001038292150 731302715 /nfs/dbraw/zinc/30/27/15/731302715.db2.gz GCGWLPXNJIQCMV-VIFPVBQESA-N -1 1 342.363 -0.616 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cnn(CCF)c2)nc1=O ZINC001038441997 731326192 /nfs/dbraw/zinc/32/61/92/731326192.db2.gz TXWQLHRJGTVIOC-NSHDSACASA-N -1 1 337.359 -0.721 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cccn3nccc23)nc1=O ZINC001038454976 731328502 /nfs/dbraw/zinc/32/85/02/731328502.db2.gz OSCFIEYDGUBHGM-LLVKDONJSA-N -1 1 341.375 -0.240 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cnn3c2OCCC3)nc1=O ZINC001038460985 731329673 /nfs/dbraw/zinc/32/96/73/731329673.db2.gz KEILVYAYSWVEBF-SNVBAGLBSA-N -1 1 347.379 -0.908 20 0 EBADMM CC(C)c1nc(CN2CC[C@H]2CNC(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC001038466193 731330952 /nfs/dbraw/zinc/33/09/52/731330952.db2.gz RFUORUVCWHBBOA-QMMMGPOBSA-N -1 1 348.367 -0.471 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@@H]2CCCc3nc[nH]c32)nc1=O ZINC001038683227 731363879 /nfs/dbraw/zinc/36/38/79/731363879.db2.gz GZHDVSCIOAKPAJ-GHMZBOCLSA-N -1 1 345.407 -0.358 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2ncn(C(C)(C)C)n2)nc1=O ZINC001038706515 731367499 /nfs/dbraw/zinc/36/74/99/731367499.db2.gz SAYYWSRRPTUFHJ-JTQLQIEISA-N -1 1 348.411 -0.541 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2nccc3[nH]ccc32)nc1=O ZINC001038715444 731369312 /nfs/dbraw/zinc/36/93/12/731369312.db2.gz GPXMNZOYPCIUNV-SNVBAGLBSA-N -1 1 341.375 -0.011 20 0 EBADMM CC(C)c1cc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)[nH]n1 ZINC001038716720 731369426 /nfs/dbraw/zinc/36/94/26/731369426.db2.gz HXOZGNUKELAKLV-SNVBAGLBSA-N -1 1 333.396 -0.041 20 0 EBADMM COCc1cc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)no1 ZINC001038780787 731376110 /nfs/dbraw/zinc/37/61/10/731376110.db2.gz QIDGMORJSZFNKV-SECBINFHSA-N -1 1 336.352 -0.753 20 0 EBADMM CCn1nc(C)c(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)c1C ZINC001038827025 731382566 /nfs/dbraw/zinc/38/25/66/731382566.db2.gz QIRBYSRBPLMEQT-GFCCVEGCSA-N -1 1 347.423 -0.054 20 0 EBADMM O=C(NC[C@@H]1CCN1Cc1cncs1)c1cc(=O)n2[n-]cnc2n1 ZINC001038921136 731393376 /nfs/dbraw/zinc/39/33/76/731393376.db2.gz KQAJIOHUZFKCOF-VIFPVBQESA-N -1 1 345.388 -0.122 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cncnc2C2CC2)nc1=O ZINC001038976188 731398603 /nfs/dbraw/zinc/39/86/03/731398603.db2.gz IMGNNVIRQDUNDF-LLVKDONJSA-N -1 1 343.391 -0.220 20 0 EBADMM Cn1cc(C(=O)N2C[C@H]3CCC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)cn1 ZINC001039510344 731438428 /nfs/dbraw/zinc/43/84/28/731438428.db2.gz AFXYOCVFPPJODJ-BETUJISGSA-N -1 1 345.407 -0.279 20 0 EBADMM CO[C@H](C)C(=O)N1CC[C@]2(C1)CCCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001040104305 731600922 /nfs/dbraw/zinc/60/09/22/731600922.db2.gz LMHNRZVULPLGSU-MLGOLLRUSA-N -1 1 337.424 -0.042 20 0 EBADMM Cn1[n-]c(CN2CCC[C@]3(CCN(C(=O)c4nc[nH]n4)C3)C2)nc1=O ZINC001040457755 731742306 /nfs/dbraw/zinc/74/23/06/731742306.db2.gz ZSWWENHUDQNPAA-HNNXBMFYSA-N -1 1 346.395 -0.645 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@]3(CCN(C(=O)c4nc[nH]n4)C3)C2)nc1=O ZINC001040457757 731742360 /nfs/dbraw/zinc/74/23/60/731742360.db2.gz ZSWWENHUDQNPAA-OAHLLOKOSA-N -1 1 346.395 -0.645 20 0 EBADMM O=C(Cc1c[nH]cn1)NC[C@@]1(O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001041036249 731969744 /nfs/dbraw/zinc/96/97/44/731969744.db2.gz QJAQQCKIZCOWJB-INIZCTEOSA-N -1 1 345.359 -0.554 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(CCN(C(=O)C4=COCCO4)C3)C2)nc1=O ZINC001041036622 731970446 /nfs/dbraw/zinc/97/04/46/731970446.db2.gz AZASFEBMRQXDOB-INIZCTEOSA-N -1 1 349.391 -0.579 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(CCN(C(=O)Cc4ccon4)C3)C2)nc1=O ZINC001041148093 732007431 /nfs/dbraw/zinc/00/74/31/732007431.db2.gz WSNWJDVXKMBKQB-INIZCTEOSA-N -1 1 346.391 -0.237 20 0 EBADMM CC(C)[C@@H](O)C(=O)N1CC[C@@]2(CC[N@@H+](Cc3nc(=O)n(C)[nH]3)C2)C1 ZINC001041253063 732051773 /nfs/dbraw/zinc/05/17/73/732051773.db2.gz VBBZNQHCYCFCKJ-CZUORRHYSA-N -1 1 337.424 -0.450 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(CCN(C(=O)c4csnn4)C3)C2)nc1=O ZINC001041519789 732146085 /nfs/dbraw/zinc/14/60/85/732146085.db2.gz RIRQLIDLJQRIHN-CQSZACIVSA-N -1 1 349.420 -0.302 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(CCN(C(=O)[C@H]4[C@@H]5COC[C@@H]54)C3)C2)nc1=O ZINC001041573508 732159129 /nfs/dbraw/zinc/15/91/29/732159129.db2.gz MXEZXONRZNLYSB-RKCSVJPLSA-N -1 1 347.419 -0.575 20 0 EBADMM NC(=O)CN1CC[C@]2(CCN(C(=O)c3c[n-]n4c3nccc4=O)C2)C1 ZINC001041728771 732207042 /nfs/dbraw/zinc/20/70/42/732207042.db2.gz PATDFQMWEPZKDS-INIZCTEOSA-N -1 1 344.375 -0.954 20 0 EBADMM CCO[C@H](CC)C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001041822036 732232875 /nfs/dbraw/zinc/23/28/75/732232875.db2.gz CAPBBZOMQNISQG-GFCCVEGCSA-N -1 1 337.376 -0.095 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)c4ccon4)C[C@@H]32)nc1=O ZINC001041950039 732262194 /nfs/dbraw/zinc/26/21/94/732262194.db2.gz NVPXDISSONRPFQ-PWSUYJOCSA-N -1 1 332.364 -0.167 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)Cc4ccon4)C[C@@H]32)nc1=O ZINC001041975200 732265730 /nfs/dbraw/zinc/26/57/30/732265730.db2.gz KVJBWBQINKSRIK-AAEUAGOBSA-N -1 1 346.391 -0.238 20 0 EBADMM Cc1n[nH]cc1C(=O)N1CC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C1 ZINC001042173968 732342742 /nfs/dbraw/zinc/34/27/42/732342742.db2.gz UWCPJSYFJFLLGE-DGCLKSJQSA-N -1 1 345.407 -0.123 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CC[C@@H]2CCN(Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001042179043 732345524 /nfs/dbraw/zinc/34/55/24/732345524.db2.gz IPRJZIDIMLNPPG-VHSXEESVSA-N -1 1 332.368 -0.327 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CC[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1 ZINC001042179207 732345668 /nfs/dbraw/zinc/34/56/68/732345668.db2.gz JJACGRMXAQATKN-QWRGUYRKSA-N -1 1 346.395 -0.728 20 0 EBADMM Cc1nc[nH]c1C(=O)N1CC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1 ZINC001042383294 732422605 /nfs/dbraw/zinc/42/26/05/732422605.db2.gz PSELCKOTXNPGIK-NEPJUHHUSA-N -1 1 345.407 -0.123 20 0 EBADMM Cc1cc(CC(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)n[nH]1 ZINC001042480418 732450244 /nfs/dbraw/zinc/45/02/44/732450244.db2.gz AIBQIOYVXIFGRZ-UHFFFAOYSA-N -1 1 345.359 -0.635 20 0 EBADMM Cc1cc(CC(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)[nH]n1 ZINC001042480418 732450246 /nfs/dbraw/zinc/45/02/46/732450246.db2.gz AIBQIOYVXIFGRZ-UHFFFAOYSA-N -1 1 345.359 -0.635 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)[C@H]1CCCCO1 ZINC001042569725 732482351 /nfs/dbraw/zinc/48/23/51/732482351.db2.gz ZPSSWSFWKFUUPS-GFCCVEGCSA-N -1 1 335.360 -0.341 20 0 EBADMM CO[C@@H](C)CCC(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001042692035 732534872 /nfs/dbraw/zinc/53/48/72/732534872.db2.gz OLUKSMCFDIKFMJ-NSHDSACASA-N -1 1 337.376 -0.095 20 0 EBADMM O=C(NCC1(O)CN(C(=O)[C@H]2CCCCO2)C1)c1ncccc1[O-] ZINC001042713106 732546861 /nfs/dbraw/zinc/54/68/61/732546861.db2.gz OJZIFHVJTRVRIZ-GFCCVEGCSA-N -1 1 335.360 -0.341 20 0 EBADMM CO[C@@H](C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1)C1CC1 ZINC001042713478 732547348 /nfs/dbraw/zinc/54/73/48/732547348.db2.gz ZOHKKRUQARLNFS-CYBMUJFWSA-N -1 1 335.360 -0.485 20 0 EBADMM CO[C@H]1CC[C@H](C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)C1 ZINC001042719719 732550677 /nfs/dbraw/zinc/55/06/77/732550677.db2.gz IFZSRVDJKLZWSD-RYUDHWBXSA-N -1 1 349.387 -0.095 20 0 EBADMM Cc1nonc1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042720206 732551337 /nfs/dbraw/zinc/55/13/37/732551337.db2.gz SRUFZTSHZQSPAF-UHFFFAOYSA-N -1 1 333.304 -0.904 20 0 EBADMM CN(C(=O)[C@H]1CCCc2c[nH]nc21)C1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001042725528 732553090 /nfs/dbraw/zinc/55/30/90/732553090.db2.gz VEFWSSWNUUSRHG-NSHDSACASA-N -1 1 331.380 -0.004 20 0 EBADMM CN(C(=O)c1cn(C2CCC2)nn1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042733822 732557289 /nfs/dbraw/zinc/55/72/89/732557289.db2.gz VPETYVMUOMJBPG-UHFFFAOYSA-N -1 1 346.395 -0.619 20 0 EBADMM CN(C(=O)c1cn(C)nc1C1CC1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042804644 732593158 /nfs/dbraw/zinc/59/31/58/732593158.db2.gz KOHWDWRPDJZLLP-UHFFFAOYSA-N -1 1 345.407 -0.324 20 0 EBADMM Cc1ccnc(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)n1 ZINC001042838204 732618515 /nfs/dbraw/zinc/61/85/15/732618515.db2.gz RUOICHQTGLLJEC-UHFFFAOYSA-N -1 1 343.343 -0.497 20 0 EBADMM CN(C(=O)c1cnn2c1CCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042861145 732632575 /nfs/dbraw/zinc/63/25/75/732632575.db2.gz DPTBFSGCWQYHFH-UHFFFAOYSA-N -1 1 331.380 -0.793 20 0 EBADMM CCOC1CC(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001042881648 732641593 /nfs/dbraw/zinc/64/15/93/732641593.db2.gz FWKZEVIRGKEMGY-UHFFFAOYSA-N -1 1 349.387 -0.095 20 0 EBADMM Cc1nn(C)cc1CC(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042939072 732671655 /nfs/dbraw/zinc/67/16/55/732671655.db2.gz ABSCZUPKGSYTHS-UHFFFAOYSA-N -1 1 333.396 -0.964 20 0 EBADMM O=C(CC1(O)CCC1)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001042946002 732676514 /nfs/dbraw/zinc/67/65/14/732676514.db2.gz SZQZJTBCJOLYPY-UHFFFAOYSA-N -1 1 335.360 -0.605 20 0 EBADMM Cc1cc(=O)oc(C)c1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043278924 732852859 /nfs/dbraw/zinc/85/28/59/732852859.db2.gz WVNQTUOJLDJLJT-UHFFFAOYSA-N -1 1 347.375 -0.365 20 0 EBADMM CCc1cc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c(C)nn1 ZINC001043467156 732957619 /nfs/dbraw/zinc/95/76/19/732957619.db2.gz NKDPSJMEHPRHSK-UHFFFAOYSA-N -1 1 345.407 -0.274 20 0 EBADMM Cc1ncccc1CC(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043860844 733158415 /nfs/dbraw/zinc/15/84/15/733158415.db2.gz OLPSSRHMKKWNHK-UHFFFAOYSA-N -1 1 330.392 -0.303 20 0 EBADMM CCOc1cc(N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)ncn1 ZINC001043919100 733199133 /nfs/dbraw/zinc/19/91/33/733199133.db2.gz YAIXPOANBFUSSC-PWSUYJOCSA-N -1 1 345.359 -0.045 20 0 EBADMM CN(C(=O)c1noc2c1CCCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043963419 733222831 /nfs/dbraw/zinc/22/28/31/733222831.db2.gz JYEBBWSVWLMAEP-UHFFFAOYSA-N -1 1 346.391 -0.068 20 0 EBADMM CN(C(=O)c1cnn2c1CCCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044146742 733301745 /nfs/dbraw/zinc/30/17/45/733301745.db2.gz PDWUWTSBWPCPAE-UHFFFAOYSA-N -1 1 345.407 -0.402 20 0 EBADMM Cc1nnc(CN2CC(N(C)C(=O)c3cc(=O)n4[n-]cnc4n3)C2)o1 ZINC001044182350 733321952 /nfs/dbraw/zinc/32/19/52/733321952.db2.gz SEVODSGTXHAFNS-UHFFFAOYSA-N -1 1 344.335 -0.935 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(Cc2ccn(C)n2)C1 ZINC001044182793 733322035 /nfs/dbraw/zinc/32/20/35/733322035.db2.gz ZASMYIBKSXBKKB-UHFFFAOYSA-N -1 1 342.363 -0.893 20 0 EBADMM Cc1cnc(CN2CC(N(C)C(=O)c3cc(=O)n4[n-]cnc4n3)C2)o1 ZINC001044182550 733322194 /nfs/dbraw/zinc/32/21/94/733322194.db2.gz UKNGZJJIBABDKW-UHFFFAOYSA-N -1 1 343.347 -0.330 20 0 EBADMM CN(C(=O)[C@@H]1CC12CCOCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044379818 733407246 /nfs/dbraw/zinc/40/72/46/733407246.db2.gz NTJRHMDYYTYZKA-LBPRGKRZSA-N -1 1 335.408 -0.432 20 0 EBADMM C[C@H](C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1)c1cnn(C)c1 ZINC001044396565 733413381 /nfs/dbraw/zinc/41/33/81/733413381.db2.gz IWBKQMTYBOTBQS-JTQLQIEISA-N -1 1 333.396 -0.712 20 0 EBADMM C[C@@H]1CO[C@@H](C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001044564808 733453294 /nfs/dbraw/zinc/45/32/94/733453294.db2.gz QBMPABDDNCOOIN-CMPLNLGQSA-N -1 1 335.360 -0.485 20 0 EBADMM CC[C@@H]1OCC[C@H]1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001044617927 733465760 /nfs/dbraw/zinc/46/57/60/733465760.db2.gz OBNLWFPYQKSAQV-YPMHNXCESA-N -1 1 349.387 -0.095 20 0 EBADMM C[C@@H]1C[C@@H](NCc2ncccn2)CCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001044665405 733475216 /nfs/dbraw/zinc/47/52/16/733475216.db2.gz YKCFBZOYDPVINX-ZJUUUORDSA-N -1 1 345.363 -0.144 20 0 EBADMM O=C(CO[C@@H]1CCOC1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045034595 733561852 /nfs/dbraw/zinc/56/18/52/733561852.db2.gz OOWMMCUVNUPSLN-WDEREUQCSA-N -1 1 325.369 -0.604 20 0 EBADMM COc1cc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)ncn1 ZINC001045040931 733563876 /nfs/dbraw/zinc/56/38/76/733563876.db2.gz ZWBMGTPFNVKCJV-VIFPVBQESA-N -1 1 333.352 -0.297 20 0 EBADMM CC(C)(C)n1nnc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)n1 ZINC001045046113 733564767 /nfs/dbraw/zinc/56/47/67/733564767.db2.gz OTIDCINBOOPMAB-VIFPVBQESA-N -1 1 349.399 -0.354 20 0 EBADMM CC(=O)N1CC[C@@H](C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)C1 ZINC001045293220 733653943 /nfs/dbraw/zinc/65/39/43/733653943.db2.gz XJWMQDMEPRSENM-NEPJUHHUSA-N -1 1 336.396 -0.541 20 0 EBADMM C[C@@H]1OCC[C@@H]1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001045293857 733654855 /nfs/dbraw/zinc/65/48/55/733654855.db2.gz SAUXJABRPPEKCR-QWRGUYRKSA-N -1 1 335.360 -0.485 20 0 EBADMM O=C([C@H]1CCc2nncn2C1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045321657 733668364 /nfs/dbraw/zinc/66/83/64/733668364.db2.gz FNWBTKCVOUEFPY-QWRGUYRKSA-N -1 1 346.395 -0.555 20 0 EBADMM Cn1cc(CCC(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)nn1 ZINC001045334624 733671109 /nfs/dbraw/zinc/67/11/09/733671109.db2.gz KEUQARPUVGPAML-NSHDSACASA-N -1 1 334.384 -0.648 20 0 EBADMM Cn1[n-]c(CN2CCC(C)(NC(=O)[C@]34C[C@H]3COC4)CC2)nc1=O ZINC001045445768 733696442 /nfs/dbraw/zinc/69/64/42/733696442.db2.gz BOPMSCRBVLHOSJ-ZBEGNZNMSA-N -1 1 335.408 -0.384 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CC[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001045824331 733776825 /nfs/dbraw/zinc/77/68/25/733776825.db2.gz GGFYICPGRMJBAH-MNOVXSKESA-N -1 1 346.395 -0.586 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@]2(C)CCN(CCN3CCNC3=O)C2)c1[O-] ZINC001046238583 733891196 /nfs/dbraw/zinc/89/11/96/733891196.db2.gz HEDQOWUWNSEDGE-HNNXBMFYSA-N -1 1 336.396 -0.357 20 0 EBADMM Cn1[nH]c(CN2CC[C@@](C)(NC(=O)c3ncccc3[O-])C2)nc1=O ZINC001046262527 733898832 /nfs/dbraw/zinc/89/88/32/733898832.db2.gz VQCVKWJSRTZCHD-OAHLLOKOSA-N -1 1 332.364 -0.397 20 0 EBADMM Cc1ccnc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001046284070 733901645 /nfs/dbraw/zinc/90/16/45/733901645.db2.gz NZNQHEGRRVOZNB-HNNXBMFYSA-N -1 1 331.380 -0.399 20 0 EBADMM Cc1conc1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001046560657 733965685 /nfs/dbraw/zinc/96/56/85/733965685.db2.gz XDZDEJQYQOMMQF-UHFFFAOYSA-N -1 1 332.316 -0.299 20 0 EBADMM CCn1nc(C)c(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001046880085 734049091 /nfs/dbraw/zinc/04/90/91/734049091.db2.gz SOLASSVYXDZYDK-OAHLLOKOSA-N -1 1 348.411 -0.577 20 0 EBADMM Cn1cc(CC(=O)N[C@H]2C[C@H]3C[C@@H](C2)N(Cc2n[nH]c(=O)[n-]2)C3)cn1 ZINC001047036361 734084594 /nfs/dbraw/zinc/08/45/94/734084594.db2.gz WRGQXFWLBPLADI-DRZSPHRISA-N -1 1 345.407 -0.044 20 0 EBADMM Cn1cc(C(=O)N[C@H]2C[C@H]3C[C@@H](C2)N(Cc2n[nH]c(=O)[n-]2)C3)nn1 ZINC001047066933 734088633 /nfs/dbraw/zinc/08/86/33/734088633.db2.gz HHVIOQFPRLJTAG-GUBZILKMSA-N -1 1 332.368 -0.578 20 0 EBADMM O=C(N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2)c1cnc[nH]c1=O ZINC001047093333 734093096 /nfs/dbraw/zinc/09/30/96/734093096.db2.gz NAFFKENCOYCSNT-GUBZILKMSA-N -1 1 345.363 -0.211 20 0 EBADMM CN(C(=O)[C@]12C[C@H]1CCC2)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047463738 734207618 /nfs/dbraw/zinc/20/76/18/734207618.db2.gz PNBXWFDZYHSYEV-KZTGVZKYSA-N -1 1 335.408 -0.698 20 0 EBADMM C[C@H](NCc1cnon1)[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001047893854 734319292 /nfs/dbraw/zinc/31/92/92/734319292.db2.gz HIMWTPZWRQWLPN-YUMQZZPRSA-N -1 1 335.324 -0.694 20 0 EBADMM C[C@@H](NCc1ncnn1C)[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001047895108 734319370 /nfs/dbraw/zinc/31/93/70/734319370.db2.gz OITZDOQLJUHGCK-RKDXNWHRSA-N -1 1 348.367 -0.948 20 0 EBADMM Cc1nnc(CNC[C@@H]2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@@H]2C)o1 ZINC001048303785 734386459 /nfs/dbraw/zinc/38/64/59/734386459.db2.gz KBXGKMJJGKQWMV-IONNQARKSA-N -1 1 349.351 -0.528 20 0 EBADMM O=C(NC[C@H]1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1)[C@H]1CCNC1=O ZINC001048553156 734442293 /nfs/dbraw/zinc/44/22/93/734442293.db2.gz JKQFAHZBKQEJEF-USZNOCQGSA-N -1 1 348.407 -0.494 20 0 EBADMM CCCOCC(=O)N1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001048721988 734482658 /nfs/dbraw/zinc/48/26/58/734482658.db2.gz CTRJYNLSWGXAMC-AAEUAGOBSA-N -1 1 337.376 -0.143 20 0 EBADMM Cn1cncc1CN1C[C@@H]2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@@H]2C1 ZINC001048919542 734571088 /nfs/dbraw/zinc/57/10/88/734571088.db2.gz YNDTULYNVOAULW-AOOOYVTPSA-N -1 1 345.363 -0.780 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)N1C[C@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C1 ZINC001048998860 734606414 /nfs/dbraw/zinc/60/64/14/734606414.db2.gz GAWCPZWYKUYVTG-AOOOYVTPSA-N -1 1 345.363 -0.482 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4ncccc4F)C[C@@H]3C2)nc1=O ZINC001049132378 734657478 /nfs/dbraw/zinc/65/74/78/734657478.db2.gz PGJVFTNRXFXZGL-PHIMTYICSA-N -1 1 346.366 -0.154 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@H]2CCCN3C(=O)c2cnns2)nc1=O ZINC001049355107 734714033 /nfs/dbraw/zinc/71/40/33/734714033.db2.gz WASBIAVWHUUWCX-NXEZZACHSA-N -1 1 349.420 -0.161 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@@H]2CCOC2)nc1=O ZINC001049359373 734714687 /nfs/dbraw/zinc/71/46/87/734714687.db2.gz JEQUIOCXDMGMKN-FRRDWIJNSA-N -1 1 335.408 -0.290 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@H]2CCCN3C(=O)c2cncnc2)nc1=O ZINC001049437750 734730318 /nfs/dbraw/zinc/73/03/18/734730318.db2.gz SWVMWASSLKMESC-CHWSQXEVSA-N -1 1 343.391 -0.223 20 0 EBADMM CC(C)[C@@H](O)C(=O)N1CCC[C@H]2[C@@H]1CCN2Cc1nc(=O)n(C)[n-]1 ZINC001049452038 734733794 /nfs/dbraw/zinc/73/37/94/734733794.db2.gz CYANUDWPWJFJCZ-SGMGOOAPSA-N -1 1 337.424 -0.309 20 0 EBADMM Cn1cnc(C(=O)N2CCC[C@H]3[C@@H]2CCN3Cc2nc(=O)n(C)[n-]2)n1 ZINC001049456158 734734359 /nfs/dbraw/zinc/73/43/59/734734359.db2.gz IZYWZKINQMOZTE-QWRGUYRKSA-N -1 1 346.395 -0.884 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@H]2CCCN3C(=O)CC2(O)CCC2)nc1=O ZINC001049472360 734738294 /nfs/dbraw/zinc/73/82/94/734738294.db2.gz SFHHUKIRAYSCNG-OLZOCXBDSA-N -1 1 349.435 -0.021 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@@H]2CCCN3C(=O)Cc2ncc[nH]2)nc1=O ZINC001049806089 734792383 /nfs/dbraw/zinc/79/23/83/734792383.db2.gz MAUIJHGASWQQSO-NWDGAFQWSA-N -1 1 345.407 -0.361 20 0 EBADMM COC1CC(C(=O)N2CCC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)C1 ZINC001050071239 734836049 /nfs/dbraw/zinc/83/60/49/734836049.db2.gz MRWUGGJENZILBD-GFJIZPEISA-N -1 1 349.435 -0.044 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCCN(C(=O)c4n[nH]cc4F)[C@@H]3C2)nc1=O ZINC001050130774 734850911 /nfs/dbraw/zinc/85/09/11/734850911.db2.gz HNNXUDJQLSRECH-GXSJLCMTSA-N -1 1 349.370 -0.293 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCCN(C(=O)c4ccnnc4)[C@@H]3C2)nc1=O ZINC001050148000 734854119 /nfs/dbraw/zinc/85/41/19/734854119.db2.gz XGEBPRYGAPYPPD-QWHCGFSZSA-N -1 1 343.391 -0.365 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCCN(C(=O)c4ccncn4)[C@@H]3C2)nc1=O ZINC001050165770 734858565 /nfs/dbraw/zinc/85/85/65/734858565.db2.gz JFCQHCPIVMSWPT-WCQYABFASA-N -1 1 343.391 -0.365 20 0 EBADMM C[C@@H](NC(=O)CNC(N)=O)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050529586 734919495 /nfs/dbraw/zinc/91/94/95/734919495.db2.gz IUDNZZHOINVFPW-NXEZZACHSA-N -1 1 335.364 -0.578 20 0 EBADMM CNC(=O)CC(=O)N1CC[C@@H]([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001050538489 734921545 /nfs/dbraw/zinc/92/15/45/734921545.db2.gz SUQXPCWQGXOLIL-WDEREUQCSA-N -1 1 334.376 -0.110 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)[C@H]2CC=CCC2)nc1=O ZINC001050810633 734965425 /nfs/dbraw/zinc/96/54/25/734965425.db2.gz UHUDTYBKNCILCY-QWHCGFSZSA-N -1 1 335.408 -0.218 20 0 EBADMM Cn1cnnc1CN1CCOC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001050890595 734990771 /nfs/dbraw/zinc/99/07/71/734990771.db2.gz JHEFWAAWPZVEAS-LLVKDONJSA-N -1 1 332.364 -0.454 20 0 EBADMM Cc1cc(C)c(C(=O)NC[C@H]2COCCN2Cc2nc(=O)n(C)[n-]2)[nH]1 ZINC001050982467 735024132 /nfs/dbraw/zinc/02/41/32/735024132.db2.gz OOBPFIYJGMFINB-LBPRGKRZSA-N -1 1 348.407 -0.316 20 0 EBADMM CCc1nc[nH]c1C(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001051226659 735103987 /nfs/dbraw/zinc/10/39/87/735103987.db2.gz XPPQOGRWDKKVEB-JTQLQIEISA-N -1 1 349.395 -0.975 20 0 EBADMM O=C(C[C@H]1CCCO1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051462460 735161965 /nfs/dbraw/zinc/16/19/65/735161965.db2.gz CXOUIQHZTNQCSP-GHMZBOCLSA-N -1 1 325.369 -0.604 20 0 EBADMM O=C(c1ccncc1F)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051510306 735216888 /nfs/dbraw/zinc/21/68/88/735216888.db2.gz QAWCVGUUMAWBSO-SECBINFHSA-N -1 1 336.327 -0.325 20 0 EBADMM O=C(C1CC(F)(F)C1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051533762 735223296 /nfs/dbraw/zinc/22/32/96/735223296.db2.gz BFIYOGHEPVRTKV-VIFPVBQESA-N -1 1 331.323 -0.127 20 0 EBADMM O=C(C1CC(F)(F)C1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051533761 735223445 /nfs/dbraw/zinc/22/34/45/735223445.db2.gz BFIYOGHEPVRTKV-SECBINFHSA-N -1 1 331.323 -0.127 20 0 EBADMM O=C(c1cc[nH]c(=O)c1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051540625 735224558 /nfs/dbraw/zinc/22/45/58/735224558.db2.gz SBUIOBDGXVKKKQ-SNVBAGLBSA-N -1 1 334.336 -0.758 20 0 EBADMM COc1cc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)on1 ZINC001051559809 735232905 /nfs/dbraw/zinc/23/29/05/735232905.db2.gz SMKOOCUMTFGGHT-QMMMGPOBSA-N -1 1 338.324 -0.862 20 0 EBADMM Cn1cnnc1CNC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001051566390 735237550 /nfs/dbraw/zinc/23/75/50/735237550.db2.gz LYSCPYOVGRKSBU-LLVKDONJSA-N -1 1 332.364 -0.454 20 0 EBADMM CC(C)n1nccc1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051638231 735279152 /nfs/dbraw/zinc/27/91/52/735279152.db2.gz PZRLUTAQIVNFLJ-NSHDSACASA-N -1 1 349.395 -0.082 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)c3cnsn3)CC2)nc1=O ZINC001052230145 735572892 /nfs/dbraw/zinc/57/28/92/735572892.db2.gz DCGDARNTZUPYTC-VIFPVBQESA-N -1 1 337.409 -0.256 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNC(=O)CNC(N)=O ZINC001052420277 735611228 /nfs/dbraw/zinc/61/12/28/735611228.db2.gz CUSQQJKOTRTGLM-UWVGGRQHSA-N -1 1 335.364 -0.720 20 0 EBADMM CC(=O)NCC(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001052423511 735611701 /nfs/dbraw/zinc/61/17/01/735611701.db2.gz XHBUWZFJODFFDP-ZYHUDNBSSA-N -1 1 334.376 -0.252 20 0 EBADMM CNC(=O)NCC(=O)N1C[C@H](C)[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001052768148 735684183 /nfs/dbraw/zinc/68/41/83/735684183.db2.gz ASXJXRLACXZSKX-WDEREUQCSA-N -1 1 349.391 -0.460 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)c3ncccn3)CC2)nc1=O ZINC001052811317 735697442 /nfs/dbraw/zinc/69/74/42/735697442.db2.gz FWEABXBBJHDCRL-LLVKDONJSA-N -1 1 331.380 -0.317 20 0 EBADMM NC(=O)C(=O)N1CCC[C@@H]([C@H]2CCCN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001053038740 735741799 /nfs/dbraw/zinc/74/17/99/735741799.db2.gz BDLFRUPQOFEMAT-WDEREUQCSA-N -1 1 336.396 -0.554 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)c2ccccn2)CCO3)nc1=O ZINC001053124452 735753636 /nfs/dbraw/zinc/75/36/36/735753636.db2.gz ALHJBOYVKURJPP-UHFFFAOYSA-N -1 1 344.375 -0.770 20 0 EBADMM Cn1ncnc1CN1CC2(C1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC001053231803 735785648 /nfs/dbraw/zinc/78/56/48/735785648.db2.gz BNLASJPYTVXUQA-UHFFFAOYSA-N -1 1 344.375 -0.357 20 0 EBADMM Cc1nocc1C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053406541 735864109 /nfs/dbraw/zinc/86/41/09/735864109.db2.gz IZJUCJWOXKYGOV-UHFFFAOYSA-N -1 1 348.363 -0.868 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)[C@H]2CC24CC4)CCO3)nc1=O ZINC001053445997 735877074 /nfs/dbraw/zinc/87/70/74/735877074.db2.gz IKBHTFZQHGRWRT-LLVKDONJSA-N -1 1 333.392 -0.678 20 0 EBADMM O=C(NC[C@@H]1CCC2(CN(CC3CC3)C2)O1)c1n[nH]c(=O)[n-]c1=O ZINC001053627045 735949141 /nfs/dbraw/zinc/94/91/41/735949141.db2.gz LTKJJQVTISTEEJ-JTQLQIEISA-N -1 1 335.364 -0.344 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2COC3(CN(Cc4nc(=O)n(C)[n-]4)C3)C2)o1 ZINC001053730856 735981782 /nfs/dbraw/zinc/98/17/82/735981782.db2.gz LMIAEARJGAFQQK-NSHDSACASA-N -1 1 347.375 -0.217 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)c2ccoc2)CO3)nc1=O ZINC001053738514 735986090 /nfs/dbraw/zinc/98/60/90/735986090.db2.gz NGCSQYKHPXYXJE-LLVKDONJSA-N -1 1 333.348 -0.525 20 0 EBADMM Cc1ccoc1C(=O)N[C@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053737884 735986177 /nfs/dbraw/zinc/98/61/77/735986177.db2.gz YUUIHGURHSPWPA-LLVKDONJSA-N -1 1 347.375 -0.217 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)C2=COCCC2)CO3)nc1=O ZINC001053754060 735995610 /nfs/dbraw/zinc/99/56/10/735995610.db2.gz GJAQEVKXZSUKDP-LBPRGKRZSA-N -1 1 349.391 -0.738 20 0 EBADMM CC1CC(C(=O)N[C@H]2COC3(CN(Cc4nc(=O)n(C)[n-]4)C3)C2)C1 ZINC001053771661 736006469 /nfs/dbraw/zinc/00/64/69/736006469.db2.gz RSEUSERIYUVUPO-HTAVTVPLSA-N -1 1 335.408 -0.386 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)[C@H]2CC2(C)C)CO3)nc1=O ZINC001053779365 736010507 /nfs/dbraw/zinc/01/05/07/736010507.db2.gz QOTIZTGFKWJYQH-WDEREUQCSA-N -1 1 335.408 -0.386 20 0 EBADMM C[C@@H](C(=O)N(C)C)N1CC2(C1)C[C@@H](NC(=O)c1ncccc1[O-])CO2 ZINC001053784352 736014090 /nfs/dbraw/zinc/01/40/90/736014090.db2.gz MCICEAHUVNYOMC-NWDGAFQWSA-N -1 1 348.403 -0.163 20 0 EBADMM Cc1coc(C(=O)N[C@@H]2COC3(CN(Cc4nc(=O)n(C)[n-]4)C3)C2)c1 ZINC001053901065 736085167 /nfs/dbraw/zinc/08/51/67/736085167.db2.gz ZTJRYHTUZJKNLR-NSHDSACASA-N -1 1 347.375 -0.217 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)C2CC4(CC4)C2)CO3)nc1=O ZINC001053921098 736095747 /nfs/dbraw/zinc/09/57/47/736095747.db2.gz APRTYCRKRXCAEA-GFCCVEGCSA-N -1 1 347.419 -0.242 20 0 EBADMM COc1cc(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)ncn1 ZINC001054670536 736228458 /nfs/dbraw/zinc/22/84/58/736228458.db2.gz SBQFLIWECKRHRH-PSASIEDQSA-N -1 1 333.352 -0.441 20 0 EBADMM COc1nc(C)ncc1C(=O)N1C[C@@H](C)[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001054708195 736234002 /nfs/dbraw/zinc/23/40/02/736234002.db2.gz JWSCVVKTRTWJGG-KCJUWKMLSA-N -1 1 347.379 -0.132 20 0 EBADMM C[C@@H]1CN(C(=O)CCc2ccn(C)n2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054712827 736234461 /nfs/dbraw/zinc/23/44/61/736234461.db2.gz MOCKJTBSKZRIIN-PWSUYJOCSA-N -1 1 333.396 -0.187 20 0 EBADMM C[C@@H]1CN(C(=O)C2(F)CCOCC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054729363 736236308 /nfs/dbraw/zinc/23/63/08/736236308.db2.gz ARYRNMASZXUKDG-ZJUUUORDSA-N -1 1 327.360 -0.035 20 0 EBADMM C[C@H]1CN(C(=O)c2cc3n(n2)CCO3)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054737491 736236977 /nfs/dbraw/zinc/23/69/77/736236977.db2.gz NANOPYPPQWHIHX-WPRPVWTQSA-N -1 1 333.352 -0.651 20 0 EBADMM Cc1c(CC(=O)N2C[C@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)cnn1C ZINC001054747558 736238928 /nfs/dbraw/zinc/23/89/28/736238928.db2.gz PWOJCDISTSKPBZ-JOYOIKCWSA-N -1 1 333.396 -0.269 20 0 EBADMM C[C@H]1CN(C(=O)c2cnn3ncccc23)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054745678 736239033 /nfs/dbraw/zinc/23/90/33/736239033.db2.gz DMYBBTJODHLSLX-ONGXEEELSA-N -1 1 342.363 -0.197 20 0 EBADMM Cc1cc(OCC(=O)N2C[C@@H](C)[C@@H](NCc3n[nH]c(=O)[n-]3)C2)no1 ZINC001054767732 736243485 /nfs/dbraw/zinc/24/34/85/736243485.db2.gz WMFDMEPFUQLTLN-SCZZXKLOSA-N -1 1 336.352 -0.178 20 0 EBADMM Cc1cnc(CN[C@@H]2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@@H]2C)cn1 ZINC001054858991 736256904 /nfs/dbraw/zinc/25/69/04/736256904.db2.gz FQOXYQMISHWJPH-GZMMTYOYSA-N -1 1 345.363 -0.368 20 0 EBADMM Cc1cc(CN[C@@H]2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@H]2C)nn1C ZINC001054858838 736256982 /nfs/dbraw/zinc/25/69/82/736256982.db2.gz BYUOPLVIOBGZDX-LDYMZIIASA-N -1 1 347.379 -0.425 20 0 EBADMM Cc1ncc(CN[C@@H]2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@@H]2C)o1 ZINC001054858708 736257035 /nfs/dbraw/zinc/25/70/35/736257035.db2.gz AASDTAHSETYHII-OIBJUYFYSA-N -1 1 334.336 -0.170 20 0 EBADMM Cc1ncoc1CN[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@H]1C ZINC001054860694 736257304 /nfs/dbraw/zinc/25/73/04/736257304.db2.gz RARPSBIESQNADO-IONNQARKSA-N -1 1 334.336 -0.170 20 0 EBADMM CCn1nncc1CN[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@H]1C ZINC001054862831 736258699 /nfs/dbraw/zinc/25/86/99/736258699.db2.gz LUDAKNKCOYHBMK-WCBMZHEXSA-N -1 1 348.367 -0.856 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccnc3n[nH]nc32)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054886636 736263243 /nfs/dbraw/zinc/26/32/43/736263243.db2.gz XXBVNIWGPFBPRD-VXNVDRBHSA-N -1 1 343.351 -0.573 20 0 EBADMM COc1nccc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H](C)C2)n1 ZINC001054894608 736264797 /nfs/dbraw/zinc/26/47/97/736264797.db2.gz WDJYSJHRUQKGGF-WPRPVWTQSA-N -1 1 333.352 -0.441 20 0 EBADMM C[C@H]1CN(C(=O)CCc2cncnc2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054904706 736266212 /nfs/dbraw/zinc/26/62/12/736266212.db2.gz XTRNPZNRWCLFDE-CMPLNLGQSA-N -1 1 331.380 -0.130 20 0 EBADMM C[C@H]1CN(C(=O)c2ccoc2CC(N)=O)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001055016165 736281200 /nfs/dbraw/zinc/28/12/00/736281200.db2.gz WKGDBSFZEOOKIW-WPRPVWTQSA-N -1 1 348.363 -0.619 20 0 EBADMM Cc1ccnc(N2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC2)n1 ZINC001055857301 736524160 /nfs/dbraw/zinc/52/41/60/736524160.db2.gz WKZRRMINZHXEEV-UHFFFAOYSA-N -1 1 340.347 -0.522 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(c2nccc(C)n2)CC1 ZINC001055857362 736524205 /nfs/dbraw/zinc/52/42/05/736524205.db2.gz AJJDEUWJRZDAHY-UHFFFAOYSA-N -1 1 346.347 -0.043 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@]2(CO)CCCN(C(=O)c3ccn[nH]3)C2)c1[O-] ZINC001055887135 736533838 /nfs/dbraw/zinc/53/38/38/736533838.db2.gz HRIUONLDRXYOMS-OAHLLOKOSA-N -1 1 348.363 -0.456 20 0 EBADMM O=C(N[C@@]1(CO)CCCN(C(=O)c2cn[nH]n2)C1)c1ncccc1[O-] ZINC001055899571 736540523 /nfs/dbraw/zinc/54/05/23/736540523.db2.gz XAPISHGMHUOSSA-HNNXBMFYSA-N -1 1 346.347 -0.698 20 0 EBADMM O=C(N[C@@]1(CO)CCCN(C(=O)[C@@H]2CCOC2)C1)c1ncccc1[O-] ZINC001055900680 736541448 /nfs/dbraw/zinc/54/14/48/736541448.db2.gz RIVAGSAYOYWWLR-PXAZEXFGSA-N -1 1 349.387 -0.093 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(c3ccc4nnnn4n3)C[C@H]21)c1ncccc1[O-] ZINC001056180753 736661938 /nfs/dbraw/zinc/66/19/38/736661938.db2.gz AYENHAFPBYDUDS-WOFXILAISA-N -1 1 338.331 -0.516 20 0 EBADMM NC(=O)NCC(=O)N1C[C@@H]2CCCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001056647850 736760645 /nfs/dbraw/zinc/76/06/45/736760645.db2.gz VZQNTTCJVGYPFX-WDEREUQCSA-N -1 1 347.375 -0.482 20 0 EBADMM Cc1cc(C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])no1 ZINC001056905620 736867862 /nfs/dbraw/zinc/86/78/62/736867862.db2.gz GRINVIYFFUMSNF-UHFFFAOYSA-N -1 1 334.332 -0.052 20 0 EBADMM CN(c1ncccn1)[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001056941563 736887455 /nfs/dbraw/zinc/88/74/55/736887455.db2.gz CSQHFHLPOFISSW-SNVBAGLBSA-N -1 1 340.347 -0.442 20 0 EBADMM C[C@H](C(=O)N(CCO)CCNC(=O)c1ncccc1[O-])n1cccn1 ZINC001057049561 736943599 /nfs/dbraw/zinc/94/35/99/736943599.db2.gz LOEIYDDBABSPGX-GFCCVEGCSA-N -1 1 347.375 -0.204 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1cnsn1)c1ncccc1[O-] ZINC001057087351 736976062 /nfs/dbraw/zinc/97/60/62/736976062.db2.gz MZFBMIUTLLZEPP-UHFFFAOYSA-N -1 1 337.361 -0.497 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1cncnc1)c1ncccc1[O-] ZINC001057406509 737226304 /nfs/dbraw/zinc/22/63/04/737226304.db2.gz KKVNNVOEDCOEQK-UHFFFAOYSA-N -1 1 331.332 -0.558 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccc1[O-])[C@]1(F)CCOC1 ZINC001057409061 737229327 /nfs/dbraw/zinc/22/93/27/737229327.db2.gz TZOHFMQUTWCXGA-HNNXBMFYSA-N -1 1 341.339 -0.534 20 0 EBADMM Cc1cn(C)nc1C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410097 737230052 /nfs/dbraw/zinc/23/00/52/737230052.db2.gz CCRRZQLLJMWLPU-UHFFFAOYSA-N -1 1 347.375 -0.306 20 0 EBADMM O=C(Cc1cc[nH]n1)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410249 737230103 /nfs/dbraw/zinc/23/01/03/737230103.db2.gz JYOXQABLOVDFGH-UHFFFAOYSA-N -1 1 333.348 -0.696 20 0 EBADMM CC[C@H](OC)C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410085 737230202 /nfs/dbraw/zinc/23/02/02/737230202.db2.gz BVMQXXLPULCRHV-LBPRGKRZSA-N -1 1 325.365 -0.237 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccc1[O-])c1cnsn1 ZINC001057410388 737230870 /nfs/dbraw/zinc/23/08/70/737230870.db2.gz PNQIPAYACOTDLS-UHFFFAOYSA-N -1 1 337.361 -0.497 20 0 EBADMM Cc1nnc(CC(=O)NCCN(CCO)C(=O)c2ncccc2[O-])o1 ZINC001057410631 737231054 /nfs/dbraw/zinc/23/10/54/737231054.db2.gz VALXTNCNJHFDRT-UHFFFAOYSA-N -1 1 349.347 -0.728 20 0 EBADMM Cc1nocc1C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057411838 737232183 /nfs/dbraw/zinc/23/21/83/737232183.db2.gz QGBDGVXPKNAUQX-UHFFFAOYSA-N -1 1 334.332 -0.052 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccc1[O-])c1cnc[nH]c1=O ZINC001057413048 737233256 /nfs/dbraw/zinc/23/32/56/737233256.db2.gz FXQZYANWZQNQSF-UHFFFAOYSA-N -1 1 347.331 -0.853 20 0 EBADMM Cn1c(=O)[nH]cc1C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057417365 737236659 /nfs/dbraw/zinc/23/66/59/737236659.db2.gz SHLFEQARMRNYCB-UHFFFAOYSA-N -1 1 349.347 -0.909 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccc1[O-])c1csnn1 ZINC001057417035 737236682 /nfs/dbraw/zinc/23/66/82/737236682.db2.gz NKBCDIAPZLGVKE-UHFFFAOYSA-N -1 1 337.361 -0.497 20 0 EBADMM Cc1nc(C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])co1 ZINC001057420128 737238404 /nfs/dbraw/zinc/23/84/04/737238404.db2.gz QOPVAFNBPKXHFH-UHFFFAOYSA-N -1 1 334.332 -0.052 20 0 EBADMM Cc1c[nH]nc1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057528964 737313738 /nfs/dbraw/zinc/31/37/38/737313738.db2.gz JQQHPNGUTQQGII-UHFFFAOYSA-N -1 1 333.348 -0.317 20 0 EBADMM O=C(N[C@H]1CCN(c2cc(F)ncn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001058405915 737816353 /nfs/dbraw/zinc/81/63/53/737816353.db2.gz FVDYNJKOUWCBCI-QMMMGPOBSA-N -1 1 344.310 -0.645 20 0 EBADMM O=C(NCCN(CCO)C(=O)[C@@]1(F)CCOC1)c1ncccc1[O-] ZINC001058492772 737856294 /nfs/dbraw/zinc/85/62/94/737856294.db2.gz FARHWWIRXHYZQG-OAHLLOKOSA-N -1 1 341.339 -0.534 20 0 EBADMM Cc1nonc1CC(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001058688022 737952615 /nfs/dbraw/zinc/95/26/15/737952615.db2.gz BIQHPLDPSWXWDI-UHFFFAOYSA-N -1 1 349.347 -0.728 20 0 EBADMM O=C(c1ncccc1[O-])N1CC[C@H](Nc2nccn3nnnc23)C1 ZINC001058878958 738049548 /nfs/dbraw/zinc/04/95/48/738049548.db2.gz FKFDLNCUYXDDBJ-VIFPVBQESA-N -1 1 326.320 -0.054 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059050392 738103175 /nfs/dbraw/zinc/10/31/75/738103175.db2.gz PZBBQDPVQZZRCD-LBPRGKRZSA-N -1 1 334.376 -0.108 20 0 EBADMM O=C(NCC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1CCNC1=O ZINC001059519098 738188060 /nfs/dbraw/zinc/18/80/60/738188060.db2.gz ZOFODGQZTKSTLA-VXGBXAGGSA-N -1 1 346.387 -0.108 20 0 EBADMM Cc1cc(N[C@H]2C[C@@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)ncn1 ZINC001059681259 738226558 /nfs/dbraw/zinc/22/65/58/738226558.db2.gz SQYNALCCQILBEZ-AOOOYVTPSA-N -1 1 340.347 -0.111 20 0 EBADMM CC(C)C(=O)NCC1(NC(=O)c2cc(=O)n3[n-]cnc3n2)CCC1 ZINC001062559523 738940474 /nfs/dbraw/zinc/94/04/74/738940474.db2.gz PNHKASHDGCYVCJ-UHFFFAOYSA-N -1 1 332.364 -0.158 20 0 EBADMM NC(=O)NCCC(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839279 739002751 /nfs/dbraw/zinc/00/27/51/739002751.db2.gz KGJFFWWEOHCZSO-UHFFFAOYSA-N -1 1 335.364 -0.386 20 0 EBADMM O=C(NCC1(NC(=O)[C@@H]2COCCO2)CCC1)c1ncccc1[O-] ZINC001062839462 739002768 /nfs/dbraw/zinc/00/27/68/739002768.db2.gz QOESHYPWUNCJHZ-LBPRGKRZSA-N -1 1 335.360 -0.029 20 0 EBADMM NC(=O)CC(=O)NC1(CNC(=O)c2cnc(C3CC3)[n-]c2=O)CCC1 ZINC001063086664 739057440 /nfs/dbraw/zinc/05/74/40/739057440.db2.gz GTMCKXBNAOPTNE-UHFFFAOYSA-N -1 1 347.375 -0.296 20 0 EBADMM CCOCC(=O)NC1(CNC(=O)c2cc(=O)n3[n-]cnc3n2)CCC1 ZINC001063715780 739185555 /nfs/dbraw/zinc/18/55/55/739185555.db2.gz YGPNVCXNVIEHML-UHFFFAOYSA-N -1 1 348.363 -0.777 20 0 EBADMM O=C(NCC1(NC(=O)C(F)F)CCC1)c1cc(=O)n2[n-]cnc2n1 ZINC001063715565 739185785 /nfs/dbraw/zinc/18/57/85/739185785.db2.gz SSBHNLVZDNJQSX-UHFFFAOYSA-N -1 1 340.290 -0.549 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCC1(NC(=O)C(N)=O)CCC1 ZINC001063878978 739204704 /nfs/dbraw/zinc/20/47/04/739204704.db2.gz CYDOIEGCJDIGIF-UHFFFAOYSA-N -1 1 349.391 -0.132 20 0 EBADMM O=C(Cc1cnoc1)NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001065073906 739511342 /nfs/dbraw/zinc/51/13/42/739511342.db2.gz RCEQOGMFEXXNHK-LBPRGKRZSA-N -1 1 346.343 -0.025 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCCN(C(=O)C2CC2)CC1 ZINC001066318927 739944133 /nfs/dbraw/zinc/94/41/33/739944133.db2.gz JKXFHAGOFSPOTD-CYBMUJFWSA-N -1 1 348.403 -0.166 20 0 EBADMM C[C@@H]1[C@H](Nc2ncccn2)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001068873182 740476471 /nfs/dbraw/zinc/47/64/71/740476471.db2.gz ZDDKPQZLYUUVMO-NXEZZACHSA-N -1 1 340.347 -0.077 20 0 EBADMM C[C@@H]1C[C@@H](Nc2ncccn2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001069120961 740537074 /nfs/dbraw/zinc/53/70/74/740537074.db2.gz GQOSWGRHYYDLGN-NXEZZACHSA-N -1 1 340.347 -0.077 20 0 EBADMM CCC1(NC(C)=O)CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001069476646 740560015 /nfs/dbraw/zinc/56/00/15/740560015.db2.gz AUWSULGZPLRYEM-UHFFFAOYSA-N -1 1 336.392 -0.166 20 0 EBADMM CC(=O)N[C@@H]1CCN(c2cccc(CNCc3nc(=O)n(C)[n-]3)n2)C1 ZINC001069846758 740589297 /nfs/dbraw/zinc/58/92/97/740589297.db2.gz KNBJCXSXGVFVPV-CYBMUJFWSA-N -1 1 345.407 -0.492 20 0 EBADMM C[C@H]1C[C@H]1C(=O)NCc1cnn2c1CN(Cc1nc(=O)n(C)[n-]1)CC2 ZINC001069851302 740590044 /nfs/dbraw/zinc/59/00/44/740590044.db2.gz ZFYWQCVOSOIEDZ-CMPLNLGQSA-N -1 1 345.407 -0.407 20 0 EBADMM COCCN1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](n2ccnn2)C1 ZINC001069915124 740616530 /nfs/dbraw/zinc/61/65/30/740616530.db2.gz MJFXZKVAXFGWRM-NEPJUHHUSA-N -1 1 332.364 -0.320 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCCN(C(=O)C2CC2)C1 ZINC001070344095 740790915 /nfs/dbraw/zinc/79/09/15/740790915.db2.gz WILOZGTYDQZVSG-GFCCVEGCSA-N -1 1 334.376 -0.556 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1C[C@@H](c2cncn2C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001070678025 740917067 /nfs/dbraw/zinc/91/70/67/740917067.db2.gz HFIMZOXFEZBOEH-DDHJBXDOSA-N -1 1 345.407 -0.016 20 0 EBADMM CNC(=O)NCCC(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001070690071 740920814 /nfs/dbraw/zinc/92/08/14/740920814.db2.gz FAKBNSGAKHVJGC-LLVKDONJSA-N -1 1 349.391 -0.173 20 0 EBADMM C[C@@H](O)CN1CCNC(=O)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001070958730 740996606 /nfs/dbraw/zinc/99/66/06/740996606.db2.gz PKXDRKMQOXCHCH-GFCCVEGCSA-N -1 1 336.392 -0.568 20 0 EBADMM CC(C)C(=O)N1C[C@@H](C)O[C@]2(CCN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001071134230 741064717 /nfs/dbraw/zinc/06/47/17/741064717.db2.gz ONNAAOUGSIBFFO-WBMJQRKESA-N -1 1 337.424 -0.044 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2cnns2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071373596 741128807 /nfs/dbraw/zinc/12/88/07/741128807.db2.gz LUOIFYRGACKTRZ-RKDXNWHRSA-N -1 1 337.409 -0.257 20 0 EBADMM Cc1nn(C)cc1C(=O)N[C@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071386100 741130656 /nfs/dbraw/zinc/13/06/56/741130656.db2.gz KPZRDLNVBALMFH-JQWIXIFHSA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@H]1CN(C(=O)C2CC2)C[C@@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001071429160 741135572 /nfs/dbraw/zinc/13/55/72/741135572.db2.gz KSYDBCDUVWTMPC-JQWIXIFHSA-N -1 1 334.376 -0.700 20 0 EBADMM CCCC(=O)N1C[C@@H](C)[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001071476947 741143828 /nfs/dbraw/zinc/14/38/28/741143828.db2.gz OJPCYWRPHDPJQE-VXGBXAGGSA-N -1 1 336.392 -0.310 20 0 EBADMM Cc1ccnc(C(=O)N[C@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001071476359 741143851 /nfs/dbraw/zinc/14/38/51/741143851.db2.gz FSKBYEMYAYJHSW-NEPJUHHUSA-N -1 1 345.407 -0.010 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2cnnn2C)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071481274 741144166 /nfs/dbraw/zinc/14/41/66/741144166.db2.gz GOZSNFFNHOSPKC-ZJUUUORDSA-N -1 1 334.384 -0.980 20 0 EBADMM CCn1ncc(C(=O)N[C@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001071490120 741144973 /nfs/dbraw/zinc/14/49/73/741144973.db2.gz HHEGHYLXKCNKLF-MNOVXSKESA-N -1 1 348.411 -0.497 20 0 EBADMM Cc1nnc(CC(=O)N[C@@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)o1 ZINC001071491350 741145385 /nfs/dbraw/zinc/14/53/85/741145385.db2.gz ZNKVVKQUJUKZFJ-MWLCHTKSSA-N -1 1 349.395 -0.488 20 0 EBADMM Cc1nnccc1C(=O)N[C@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071651382 741181965 /nfs/dbraw/zinc/18/19/65/741181965.db2.gz HWSDZMYUSPTTFM-JQWIXIFHSA-N -1 1 345.407 -0.010 20 0 EBADMM Cc1n[nH]cc1C(=O)N[C@@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071720725 741202601 /nfs/dbraw/zinc/20/26/01/741202601.db2.gz GFUCHHKVKXCRRD-MWLCHTKSSA-N -1 1 333.396 -0.077 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)CN1CCO ZINC001071908498 741243250 /nfs/dbraw/zinc/24/32/50/741243250.db2.gz WZIVRZYUDFIYTB-WDEREUQCSA-N -1 1 334.380 -0.967 20 0 EBADMM COC(=O)NCC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001071952657 741263798 /nfs/dbraw/zinc/26/37/98/741263798.db2.gz PKXSQHPUQUVPEJ-VHSXEESVSA-N -1 1 336.348 -0.280 20 0 EBADMM CNC(=O)NCC(=O)N1C[C@@H](C)[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001072269140 741350075 /nfs/dbraw/zinc/35/00/75/741350075.db2.gz WTYAOTFYQFJTDQ-ZJUUUORDSA-N -1 1 335.364 -0.707 20 0 EBADMM C/C=C(\C)C(=O)N[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC001072425909 741394859 /nfs/dbraw/zinc/39/48/59/741394859.db2.gz ALQYIZRKXNZFIN-RFEIPGMPSA-N -1 1 344.375 -0.040 20 0 EBADMM Cn1cc(C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)cn1 ZINC001072452209 741404350 /nfs/dbraw/zinc/40/43/50/741404350.db2.gz DOBXAJUXYJAWBA-UHFFFAOYSA-N -1 1 331.380 -0.810 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)Cn4cccn4)C3)C2)nc1=O ZINC001072469134 741409412 /nfs/dbraw/zinc/40/94/12/741409412.db2.gz LNZMVLNJFGBQCT-UHFFFAOYSA-N -1 1 331.380 -0.961 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)[C@H]4CCCOC4)C3)C2)nc1=O ZINC001072539132 741425858 /nfs/dbraw/zinc/42/58/58/741425858.db2.gz HHYMLUMWVVRCCF-LBPRGKRZSA-N -1 1 335.408 -0.431 20 0 EBADMM C[C@@H]1CN(C(=O)C(=O)NCC2CC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001072612307 741441475 /nfs/dbraw/zinc/44/14/75/741441475.db2.gz ZTAIHINFQHYKDL-PWSUYJOCSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@@H]1CN(C(=O)C(=O)NCC2CC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001072612308 741441562 /nfs/dbraw/zinc/44/15/62/741441562.db2.gz ZTAIHINFQHYKDL-ZYHUDNBSSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@@H]1OCCC[C@H]1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072670283 741457490 /nfs/dbraw/zinc/45/74/90/741457490.db2.gz QLPDLQBQMHTHHP-QWHCGFSZSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)[C@H]4CC4(F)F)C3)C2)nc1=O ZINC001072704826 741463782 /nfs/dbraw/zinc/46/37/82/741463782.db2.gz IOJUCBYPYIBSHH-SECBINFHSA-N -1 1 327.335 -0.202 20 0 EBADMM Cc1nnccc1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072898047 741515329 /nfs/dbraw/zinc/51/53/29/741515329.db2.gz FYNOGGAXYWGJCE-UHFFFAOYSA-N -1 1 343.391 -0.445 20 0 EBADMM C[C@@H]1OCC[C@H]1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001073081032 741555334 /nfs/dbraw/zinc/55/53/34/741555334.db2.gz UGBOFHWELJGGLN-NWDGAFQWSA-N -1 1 335.408 -0.432 20 0 EBADMM CC1(C)CN(C(=O)CNC(N)=O)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC001073373511 741602834 /nfs/dbraw/zinc/60/28/34/741602834.db2.gz UTJQSAWUTBALJP-LLVKDONJSA-N -1 1 349.391 -0.188 20 0 EBADMM Cc1nc[nH]c1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001073493952 741623238 /nfs/dbraw/zinc/62/32/38/741623238.db2.gz SEKWVQKDSXMXGM-UHFFFAOYSA-N -1 1 331.380 -0.512 20 0 EBADMM Cc1ncc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CCCO2)[nH]1 ZINC001073552162 741647577 /nfs/dbraw/zinc/64/75/77/741647577.db2.gz KHMQZYCYRMHFQI-LLVKDONJSA-N -1 1 349.395 -0.839 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@H](CNC(=O)C3CC=CC3)C2)nc1=O ZINC001073558186 741652908 /nfs/dbraw/zinc/65/29/08/741652908.db2.gz BWZMRFARIKBKGH-CYBMUJFWSA-N -1 1 335.408 -0.218 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@H](CNC(=O)C3=CCCC3)C2)nc1=O ZINC001073574193 741662235 /nfs/dbraw/zinc/66/22/35/741662235.db2.gz LZJSILYLAMMHPE-CYBMUJFWSA-N -1 1 335.408 -0.074 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@@H](CNC(=O)[C@@H]3CC3(C)C)C2)nc1=O ZINC001073578507 741664614 /nfs/dbraw/zinc/66/46/14/741664614.db2.gz OPTILPUCUDXOBQ-RYUDHWBXSA-N -1 1 337.424 -0.138 20 0 EBADMM Cc1c[nH]nc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001073597918 741678884 /nfs/dbraw/zinc/67/88/84/741678884.db2.gz LKUFCZRHODZGSC-NSHDSACASA-N -1 1 349.395 -0.839 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1NC(=O)CNC(N)=O ZINC001073704445 741715466 /nfs/dbraw/zinc/71/54/66/741715466.db2.gz IIPODSCBPPYDII-VHSXEESVSA-N -1 1 335.364 -0.578 20 0 EBADMM Cc1c[nH]c(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CCCO2)n1 ZINC001073810039 741747959 /nfs/dbraw/zinc/74/79/59/741747959.db2.gz GLMIEVFWDZFTEY-NSHDSACASA-N -1 1 349.395 -0.839 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H]3CCN(C(=O)C(F)F)C[C@H]32)nc1=O ZINC001074129045 741837246 /nfs/dbraw/zinc/83/72/46/741837246.db2.gz ZGUPTVIYYMKPOO-BDAKNGLRSA-N -1 1 331.323 -0.825 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H]3CCN(C(=O)c4ccco4)C[C@H]32)nc1=O ZINC001074144376 741839442 /nfs/dbraw/zinc/83/94/42/741839442.db2.gz OZZYSMPHGXZQSY-VXGBXAGGSA-N -1 1 347.375 -0.183 20 0 EBADMM Cc1ncc(C(=O)N2CC[C@@H]3OCCN(Cc4n[nH]c(=O)[n-]4)[C@H]3C2)[nH]1 ZINC001074194253 741857164 /nfs/dbraw/zinc/85/71/64/741857164.db2.gz HKCJYVIIYZCQLR-RYUDHWBXSA-N -1 1 347.379 -0.343 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H]3CCN(C(=O)C4CC=CC4)C[C@@H]32)nc1=O ZINC001074196603 741858021 /nfs/dbraw/zinc/85/80/21/741858021.db2.gz NVRZKTHYTVNUNR-UONOGXRCSA-N -1 1 347.419 -0.124 20 0 EBADMM CCCN1CCO[C@@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@@H]21 ZINC001074382997 741922034 /nfs/dbraw/zinc/92/20/34/741922034.db2.gz UHBBMDBKISIWNE-QWHCGFSZSA-N -1 1 346.391 -0.257 20 0 EBADMM O=C(NCC1(O)CCN(C(=O)c2ncccc2[O-])CC1)[C@H]1CCOC1 ZINC001074559160 741996905 /nfs/dbraw/zinc/99/69/05/741996905.db2.gz SEVATJQXWUPLCX-LBPRGKRZSA-N -1 1 349.387 -0.093 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C[C@@H]1CCN(C)C1=O ZINC001074701629 742077491 /nfs/dbraw/zinc/07/74/91/742077491.db2.gz XQMMZEAGMOMZQC-DCAQKATOSA-N -1 1 336.396 -0.542 20 0 EBADMM CNC(=O)NCC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C[C@H]1C ZINC001074943461 742140025 /nfs/dbraw/zinc/14/00/25/742140025.db2.gz IUUBGZKCMLFLMY-MNOVXSKESA-N -1 1 349.391 -0.175 20 0 EBADMM CC(=O)N1CCC[C@@H](n2cc(CNCc3nc(=O)n(C)[n-]3)nn2)C1 ZINC001075371317 742219028 /nfs/dbraw/zinc/21/90/28/742219028.db2.gz QHYXMVSPHQOZPA-GFCCVEGCSA-N -1 1 334.384 -0.827 20 0 EBADMM C[C@@H](CCNC(=O)c1ccco1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001075418570 742225228 /nfs/dbraw/zinc/22/52/28/742225228.db2.gz QBNNYICFUQJUOR-NSHDSACASA-N -1 1 348.359 -0.156 20 0 EBADMM CSCC(=O)NCC[C@H](C)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001075521015 742241232 /nfs/dbraw/zinc/24/12/32/742241232.db2.gz ZHFZWDMZLDVUHS-QMMMGPOBSA-N -1 1 338.393 -0.595 20 0 EBADMM Cc1nnsc1C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001075537012 742244725 /nfs/dbraw/zinc/24/47/25/742244725.db2.gz QVBRUKAHZQOWKX-VHSXEESVSA-N -1 1 349.420 -0.385 20 0 EBADMM Cc1c(C(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)cnn1C ZINC001075550133 742248946 /nfs/dbraw/zinc/24/89/46/742248946.db2.gz GPOKTNSCHGCZLB-WCQYABFASA-N -1 1 345.407 -0.503 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)[C@@H]4CCCCO4)[C@@H]3C2)nc1=O ZINC001075691074 742273271 /nfs/dbraw/zinc/27/32/71/742273271.db2.gz RQPJRCFVPHMKRZ-XQQFMLRXSA-N -1 1 335.408 -0.290 20 0 EBADMM Cc1n[nH]cc1C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001076112725 742377776 /nfs/dbraw/zinc/37/77/76/742377776.db2.gz PMKQLDKXLUMOKV-CMPLNLGQSA-N -1 1 331.380 -0.514 20 0 EBADMM C[C@@H](CCNC(=O)CN1CN=NC1=O)NC(=O)c1ncccc1[O-] ZINC001076225950 742412896 /nfs/dbraw/zinc/41/28/96/742412896.db2.gz JAJXSBFTJJWTTA-VIFPVBQESA-N -1 1 334.336 -0.591 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)c1c[nH]c(=O)cn1 ZINC001076245326 742419115 /nfs/dbraw/zinc/41/91/15/742419115.db2.gz XKKUGXIYOOTJII-VIFPVBQESA-N -1 1 331.332 -0.191 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CCCNC1=O ZINC001076244932 742419314 /nfs/dbraw/zinc/41/93/14/742419314.db2.gz GOENAZYKYWAFKJ-QWRGUYRKSA-N -1 1 334.376 -0.062 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CC(=O)N(C)C1 ZINC001076248677 742419595 /nfs/dbraw/zinc/41/95/95/742419595.db2.gz YFCGMZBTPFNOGU-WDEREUQCSA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)C[C@@H]1NC(=O)NC1=O ZINC001076246499 742419702 /nfs/dbraw/zinc/41/97/02/742419702.db2.gz VSEXVFPMXSFKLY-IUCAKERBSA-N -1 1 349.347 -0.990 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)C[C@@H]1CC(=O)NC1=O ZINC001076246588 742419713 /nfs/dbraw/zinc/41/97/13/742419713.db2.gz ZTALDMRGERYGCZ-VHSXEESVSA-N -1 1 348.359 -0.535 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)c4ccnnc4)[C@@H]3C2)nc1=O ZINC001076282557 742428900 /nfs/dbraw/zinc/42/89/00/742428900.db2.gz LKMFMITUVPONFL-NWDGAFQWSA-N -1 1 329.364 -0.755 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)Cc4ncc[nH]4)[C@@H]3C2)nc1=O ZINC001076401986 742459413 /nfs/dbraw/zinc/45/94/13/742459413.db2.gz JXWAUYVJGZXJDZ-WDEREUQCSA-N -1 1 331.380 -0.893 20 0 EBADMM CCn1ncnc1CN1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001076917351 742642702 /nfs/dbraw/zinc/64/27/02/742642702.db2.gz YLAHEIBHFHQWQX-ZYHUDNBSSA-N -1 1 332.364 -0.626 20 0 EBADMM C[C@@H](CCNC(=O)Cn1ncn(C)c1=O)NC(=O)c1ncccc1[O-] ZINC001077211401 742791849 /nfs/dbraw/zinc/79/18/49/742791849.db2.gz SOEVQTYXJVCGQH-JTQLQIEISA-N -1 1 348.363 -0.993 20 0 EBADMM C[C@@H](CCNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)c1ccco1 ZINC001077402431 742955744 /nfs/dbraw/zinc/95/57/44/742955744.db2.gz OCLGPEDXDKNWCU-NSHDSACASA-N -1 1 348.359 -0.156 20 0 EBADMM C[C@@H](CCNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)C(C)(F)F ZINC001077402276 742956445 /nfs/dbraw/zinc/95/64/45/742956445.db2.gz IKLPDPKMTTWEON-VIFPVBQESA-N -1 1 346.334 -0.407 20 0 EBADMM C[C@@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)c1ncc[nH]1 ZINC001077488279 743014014 /nfs/dbraw/zinc/01/40/14/743014014.db2.gz KSGBRPXMMGOILV-QMMMGPOBSA-N -1 1 344.335 -0.921 20 0 EBADMM CC(C)C[C@@H]1C[C@H]1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001077702115 743185082 /nfs/dbraw/zinc/18/50/82/743185082.db2.gz FROBCQJDXLDUFS-FDYHWXHSSA-N -1 1 337.424 -0.548 20 0 EBADMM C[C@@H](CCNC(=O)CCCF)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001077845564 743293243 /nfs/dbraw/zinc/29/32/43/743293243.db2.gz DHKHAECUFDAFRN-VIFPVBQESA-N -1 1 338.343 -0.208 20 0 EBADMM CCc1oc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)cc1C ZINC001077992290 743400163 /nfs/dbraw/zinc/40/01/63/743400163.db2.gz SQEOYWMNQSKXER-GHMZBOCLSA-N -1 1 349.391 -0.453 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)C3CCC=CCC3)C2)nc1=O ZINC001078192393 743529576 /nfs/dbraw/zinc/52/95/76/743529576.db2.gz UMJUYQOXBGOMPS-CHWSQXEVSA-N -1 1 335.408 -0.484 20 0 EBADMM CC(C)c1occc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001078252325 743555496 /nfs/dbraw/zinc/55/54/96/743555496.db2.gz BNXHTACQPSNOGM-VXGBXAGGSA-N -1 1 349.391 -0.200 20 0 EBADMM Cn1cccc1C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078338396 743591676 /nfs/dbraw/zinc/59/16/76/743591676.db2.gz YCROWIDDDDWCCE-NSHDSACASA-N -1 1 334.380 -0.130 20 0 EBADMM Cc1ocnc1C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078349516 743597965 /nfs/dbraw/zinc/59/79/65/743597965.db2.gz OBPYKEDFPUTLAU-SNVBAGLBSA-N -1 1 336.352 -0.172 20 0 EBADMM O=C(C1=COCCC1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078352490 743600328 /nfs/dbraw/zinc/60/03/28/743600328.db2.gz HIUCVKWUASQAKS-LBPRGKRZSA-N -1 1 337.380 -0.088 20 0 EBADMM Cc1cc(C(=O)N2CCCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)ncn1 ZINC001078381771 743616231 /nfs/dbraw/zinc/61/62/31/743616231.db2.gz WOSVEANXWIOUKG-LLVKDONJSA-N -1 1 347.379 -0.370 20 0 EBADMM Cn1cc(CNC[C@H]2CN(C(=O)c3ncccc3[O-])CCCO2)nn1 ZINC001078384390 743618254 /nfs/dbraw/zinc/61/82/54/743618254.db2.gz VLHONOQQLGUYGL-ZDUSSCGKSA-N -1 1 346.391 -0.063 20 0 EBADMM O=C(c1cncnc1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078385511 743619197 /nfs/dbraw/zinc/61/91/97/743619197.db2.gz HUZITTQIXODMAZ-NSHDSACASA-N -1 1 333.352 -0.679 20 0 EBADMM CCn1nccc1C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078388350 743620244 /nfs/dbraw/zinc/62/02/44/743620244.db2.gz ZRPRVVZPFAUTNN-LLVKDONJSA-N -1 1 349.395 -0.252 20 0 EBADMM O=C(c1cc(F)c[nH]1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078429419 743645388 /nfs/dbraw/zinc/64/53/88/743645388.db2.gz CEIRVAHVUGMESH-JTQLQIEISA-N -1 1 338.343 -0.002 20 0 EBADMM Cc1ccnn1CC(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078480353 743667875 /nfs/dbraw/zinc/66/78/75/743667875.db2.gz DVRYVEJWPFZHDG-LBPRGKRZSA-N -1 1 349.395 -0.577 20 0 EBADMM Cc1nc[nH]c1C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078515706 743687517 /nfs/dbraw/zinc/68/75/17/743687517.db2.gz DNAPQFJOKRYMGM-JTQLQIEISA-N -1 1 335.368 -0.437 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2C[C@H](NCc3nnnn3C)C23CCC3)c1[O-] ZINC001078601467 743704876 /nfs/dbraw/zinc/70/48/76/743704876.db2.gz IVXPVWLGZPNINK-VHSXEESVSA-N -1 1 346.395 -0.222 20 0 EBADMM O=C(Cn1cncn1)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078802319 743736507 /nfs/dbraw/zinc/73/65/07/743736507.db2.gz AGOLBWTXHLLNMP-VHSXEESVSA-N -1 1 332.368 -0.681 20 0 EBADMM COc1c(C(=O)NC[C@H]2C[C@H](NC(=O)c3cnn[nH]3)C2)cnn1C ZINC000980102645 805732595 /nfs/dbraw/zinc/73/25/95/805732595.db2.gz LPGOXINQCIMFJF-KYZUINATSA-N -1 1 333.352 -0.515 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)c2ccc(=O)[nH]c2)C1 ZINC000980311954 805839845 /nfs/dbraw/zinc/83/98/45/805839845.db2.gz DEZSESKIIIQWDP-LLVKDONJSA-N -1 1 332.364 -0.445 20 0 EBADMM Cc1noc(CNC[C@H]2C[C@H](C)N(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC000980640837 805972472 /nfs/dbraw/zinc/97/24/72/805972472.db2.gz ABTUAKJXXMOIHQ-IONNQARKSA-N -1 1 349.351 -0.385 20 0 EBADMM COc1cc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)on1 ZINC000981016141 806058716 /nfs/dbraw/zinc/05/87/16/806058716.db2.gz VUTMTSCAFIDJLE-UHFFFAOYSA-N -1 1 336.352 -0.547 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CCC[C@H]([NH2+]Cc3nc(=O)n(C)[n-]3)C2)c1[O-] ZINC000981020100 806058804 /nfs/dbraw/zinc/05/88/04/806058804.db2.gz JMBKLUYBHLFWQR-VHSXEESVSA-N -1 1 349.395 -0.324 20 0 EBADMM O=C(N[C@@H]1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C1CS(=O)(=O)C1 ZINC000981110962 806087264 /nfs/dbraw/zinc/08/72/64/806087264.db2.gz SRAIBAGXFKWZKB-NXEZZACHSA-N -1 1 343.409 -0.928 20 0 EBADMM CSCC(=O)N1CC2(C1)CCCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC000981608822 806213943 /nfs/dbraw/zinc/21/39/43/806213943.db2.gz ZIAQZCXAMIUTIM-UHFFFAOYSA-N -1 1 325.438 -0.104 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)[C@@H]4CCCO4)C3)C2)nc1=O ZINC000981606785 806214301 /nfs/dbraw/zinc/21/43/01/806214301.db2.gz QKNDOZOGTZJZGM-LBPRGKRZSA-N -1 1 335.408 -0.288 20 0 EBADMM Cc1cc(=O)[nH]cc1C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000981935939 806335346 /nfs/dbraw/zinc/33/53/46/806335346.db2.gz ZEFCUEHWJAQFQO-UHFFFAOYSA-N -1 1 346.391 -0.134 20 0 EBADMM CC(C)C(=O)N1CC[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000982459411 806509446 /nfs/dbraw/zinc/50/94/46/806509446.db2.gz TVZJIJCRTLEHIU-JTQLQIEISA-N -1 1 332.364 -0.348 20 0 EBADMM NC(=O)CC(=O)N1CC[C@@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000982564514 806565555 /nfs/dbraw/zinc/56/55/55/806565555.db2.gz CSOUHIBKSYKLFI-VIFPVBQESA-N -1 1 347.375 -0.487 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCN(C(=O)Cc3ccn[nH]3)C2)c1[O-] ZINC000982571283 806573427 /nfs/dbraw/zinc/57/34/27/806573427.db2.gz CRUSKXMHQBMWTI-JTQLQIEISA-N -1 1 332.364 -0.032 20 0 EBADMM CN1CCOC[C@@H]1C(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982656677 806609542 /nfs/dbraw/zinc/60/95/42/806609542.db2.gz DPDIAQBMPIXWQW-QWHCGFSZSA-N -1 1 348.403 -0.304 20 0 EBADMM O=C(CN1CN=NC1=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982666924 806622384 /nfs/dbraw/zinc/62/23/84/806622384.db2.gz GXVPMUPIWBZGHH-SNVBAGLBSA-N -1 1 346.347 -0.637 20 0 EBADMM CN1CC[C@@H](C(=O)NC[C@H]2CCN(C(=O)c3ncccc3[O-])C2)C1=O ZINC000982675149 806631544 /nfs/dbraw/zinc/63/15/44/806631544.db2.gz IZOSAZXJFWQNGH-NEPJUHHUSA-N -1 1 346.387 -0.156 20 0 EBADMM Cn1oc(C(=O)N2CC[C@@H](CNC(=O)c3ncccc3[O-])C2)cc1=O ZINC000982689928 806640085 /nfs/dbraw/zinc/64/00/85/806640085.db2.gz BCXYTABUQQENLA-JTQLQIEISA-N -1 1 346.343 -0.029 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)[C@H]2CCCNC2=O)C1)c1ncccc1[O-] ZINC000982884600 806756090 /nfs/dbraw/zinc/75/60/90/806756090.db2.gz QZEDPWWSLCBCQG-RYUDHWBXSA-N -1 1 346.387 -0.108 20 0 EBADMM CN1C[C@@H](C(=O)N2CC[C@@H](CNC(=O)c3ncccc3[O-])C2)NC1=O ZINC000983089707 806878720 /nfs/dbraw/zinc/87/87/20/806878720.db2.gz WQRZPRFNCDYXJJ-QWRGUYRKSA-N -1 1 347.375 -0.611 20 0 EBADMM CN1C(=O)CC[C@@H]1C(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000983156916 806911494 /nfs/dbraw/zinc/91/14/94/806911494.db2.gz ZMCAOPAPNLKJSQ-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@H]1CN(c2ccc(C(=O)NN3CC(=O)[N-]C3=O)cn2)C[C@H](C)O1 ZINC000030041098 803741916 /nfs/dbraw/zinc/74/19/16/803741916.db2.gz RILUIVVHBZCNCF-UWVGGRQHSA-N -1 1 333.348 -0.108 20 0 EBADMM Cn1c(C(=O)N[C@@H]2[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)c[nH]c1=O ZINC000976947206 803996090 /nfs/dbraw/zinc/99/60/90/803996090.db2.gz UMEZBIZVNUSLLS-GDGBQDQQSA-N -1 1 343.343 -0.273 20 0 EBADMM COCCN1C[C@@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C(C)(C)C1 ZINC000977360119 804294603 /nfs/dbraw/zinc/29/46/03/804294603.db2.gz FMZCADZYZCTHFL-GFCCVEGCSA-N -1 1 348.407 -0.456 20 0 EBADMM C[C@@H](O)CN1C[C@@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C(C)(C)C1 ZINC000977364943 804296493 /nfs/dbraw/zinc/29/64/93/804296493.db2.gz QZSLUHGXLVFPFL-ZYHUDNBSSA-N -1 1 348.407 -0.721 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)[C@]3(C)CCOC3)C(C)(C)C2)nc1=O ZINC000977405804 804311907 /nfs/dbraw/zinc/31/19/07/804311907.db2.gz RHQORDQUMMLHOD-MEDUHNTESA-N -1 1 337.424 -0.138 20 0 EBADMM Cc1ncc(C(=O)N(C)C[C@H]2CCN2C(=O)Cn2c(=O)[n-][nH]c2=O)[nH]1 ZINC000977472449 804341932 /nfs/dbraw/zinc/34/19/32/804341932.db2.gz OGBGNKABVQJEGZ-SECBINFHSA-N -1 1 349.351 -0.906 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@@H]1CN(C)CCO1 ZINC000977506884 804359648 /nfs/dbraw/zinc/35/96/48/804359648.db2.gz DFNDLOLHGRFGBX-JSGCOSHPSA-N -1 1 348.403 -0.209 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@@H]1COCCN1C ZINC000977590826 804400081 /nfs/dbraw/zinc/40/00/81/804400081.db2.gz AURDYQIJLLKSGG-STQMWFEESA-N -1 1 348.403 -0.209 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)[C@H]1CC(=O)N(C)C1)C(=O)c1ncccc1[O-] ZINC000977599205 804406294 /nfs/dbraw/zinc/40/62/94/804406294.db2.gz KKUKAMTTXVUHOA-RYUDHWBXSA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)[C@@]1(C)CCNC1=O)C(=O)c1ncccc1[O-] ZINC000977604838 804409977 /nfs/dbraw/zinc/40/99/77/804409977.db2.gz XQGNMPYUXLFKNR-GTNSWQLSSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)[C@H]1CN(C)CCO1)C(=O)c1ncccc1[O-] ZINC000977614931 804415357 /nfs/dbraw/zinc/41/53/57/804415357.db2.gz FIIFVPKMCWCXNM-GXTWGEPZSA-N -1 1 348.403 -0.209 20 0 EBADMM CN(C[C@H]1CCN1C(=O)[C@@H]1CN(C)CCO1)C(=O)c1ncccc1[O-] ZINC000977614937 804415403 /nfs/dbraw/zinc/41/54/03/804415403.db2.gz FIIFVPKMCWCXNM-OCCSQVGLSA-N -1 1 348.403 -0.209 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)[C@H]1COCCN1C)C(=O)c1ncccc1[O-] ZINC000977617803 804416021 /nfs/dbraw/zinc/41/60/21/804416021.db2.gz LZAROSHNLQAKFQ-QWHCGFSZSA-N -1 1 348.403 -0.209 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1cnn(C)n1)C(=O)c1ncccc1[O-] ZINC000977616738 804416166 /nfs/dbraw/zinc/41/61/66/804416166.db2.gz INLFNYNAPKMHEQ-SNVBAGLBSA-N -1 1 330.348 -0.098 20 0 EBADMM CN(C[C@H]1CCN1C(=O)C(N)=O)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000977723884 804476763 /nfs/dbraw/zinc/47/67/63/804476763.db2.gz IMZUYEGUINPGBK-SECBINFHSA-N -1 1 333.348 -0.782 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C[C@H]1CCN1C(=O)C1CC1 ZINC000978059938 804638284 /nfs/dbraw/zinc/63/82/84/804638284.db2.gz YRSCSXCTLDGFEB-SECBINFHSA-N -1 1 336.348 -0.021 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@@H]1CNC(=O)N1 ZINC000978309196 804785223 /nfs/dbraw/zinc/78/52/23/804785223.db2.gz WHWHGNWRJDKBBR-UWVGGRQHSA-N -1 1 333.348 -0.859 20 0 EBADMM O=C(N[C@H]1C[C@@H](CNC(=O)[C@H]2COCCO2)C1)c1ncccc1[O-] ZINC000978600784 804877317 /nfs/dbraw/zinc/87/73/17/804877317.db2.gz RTLWBCBMBVSXPK-NTZNESFSSA-N -1 1 335.360 -0.173 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)C2=COCCO2)CCO1 ZINC000979184631 805195443 /nfs/dbraw/zinc/19/54/43/805195443.db2.gz VPVXQYGNQCYUMO-NXEZZACHSA-N -1 1 339.352 -0.896 20 0 EBADMM Cc1c(C(=O)N2CCO[C@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)cnn1C ZINC000979195481 805202315 /nfs/dbraw/zinc/20/23/15/805202315.db2.gz PEMUYFZELCAPPF-SKDRFNHKSA-N -1 1 349.395 -0.428 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2cnns2)CCO1 ZINC000979204184 805207876 /nfs/dbraw/zinc/20/78/76/805207876.db2.gz BXMPLHQEOYELRA-YUMQZZPRSA-N -1 1 339.381 -0.619 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)Cc2cc[nH]n2)CCO1 ZINC000979237070 805228003 /nfs/dbraw/zinc/22/80/03/805228003.db2.gz MVFAJTGOUSLODE-ONGXEEELSA-N -1 1 335.368 -0.818 20 0 EBADMM Cc1[nH]nc(C(=O)N2CCO[C@@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)c1C ZINC000979252109 805240467 /nfs/dbraw/zinc/24/04/67/805240467.db2.gz GHQBQXNPFFRMMJ-GHMZBOCLSA-N -1 1 349.395 -0.130 20 0 EBADMM Cc1ocnc1C(=O)N1CCO[C@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979252308 805241879 /nfs/dbraw/zinc/24/18/79/805241879.db2.gz DUWBFPXQIYGLNP-WPRPVWTQSA-N -1 1 336.352 -0.174 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2cscn2)CCO1 ZINC000979269342 805250328 /nfs/dbraw/zinc/25/03/28/805250328.db2.gz ZGXDZXGPKVFZBX-WCBMZHEXSA-N -1 1 338.393 -0.014 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)CCc2ncc[nH]2)CCO1 ZINC000979280677 805259651 /nfs/dbraw/zinc/25/96/51/805259651.db2.gz PYMXKDOSHCTZHK-GHMZBOCLSA-N -1 1 349.395 -0.428 20 0 EBADMM CCn1ccnc1C(=O)N1CCO[C@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979287736 805264592 /nfs/dbraw/zinc/26/45/92/805264592.db2.gz YYELJVGKYUMMII-QWRGUYRKSA-N -1 1 349.395 -0.254 20 0 EBADMM Cc1nnccc1C(=O)N1CCO[C@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979328358 805297519 /nfs/dbraw/zinc/29/75/19/805297519.db2.gz SEJQVJDNFJMARD-JQWIXIFHSA-N -1 1 347.379 -0.372 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2ccsn2)CCO1 ZINC000979333515 805300138 /nfs/dbraw/zinc/30/01/38/805300138.db2.gz PJFVGXFQQZVQIB-WCBMZHEXSA-N -1 1 338.393 -0.014 20 0 EBADMM Cc1cnn(C)c1C(=O)N1CCO[C@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979344967 805309814 /nfs/dbraw/zinc/30/98/14/805309814.db2.gz OQEZRKCMBBOUDO-MNOVXSKESA-N -1 1 349.395 -0.428 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)CCO1 ZINC000979347844 805311447 /nfs/dbraw/zinc/31/14/47/805311447.db2.gz SRIZJFOVZUGETM-ZTVLKNOQSA-N -1 1 337.380 -0.892 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)CCc2cn[nH]c2)CCO1 ZINC000979380833 805339370 /nfs/dbraw/zinc/33/93/70/805339370.db2.gz HFQXQSMEBABKJE-CMPLNLGQSA-N -1 1 349.395 -0.428 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)Cc2cnc[nH]2)CCO1 ZINC000979398882 805353358 /nfs/dbraw/zinc/35/33/58/805353358.db2.gz DHCXKUJHTNPCDR-ONGXEEELSA-N -1 1 335.368 -0.818 20 0 EBADMM O=C(CN1CN=NC1=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000979666541 805477931 /nfs/dbraw/zinc/47/79/31/805477931.db2.gz WAHHSBDMJCFKEB-MGCOHNPYSA-N -1 1 346.347 -0.591 20 0 EBADMM COCC(=O)N1CCC[C@H](N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000983784405 807095536 /nfs/dbraw/zinc/09/55/36/807095536.db2.gz YEJKFRUZBQLETI-JTQLQIEISA-N -1 1 348.363 -0.873 20 0 EBADMM CN(C(=O)Cn1c(=O)[n-][nH]c1=O)[C@H]1CCCN(C(=O)c2ccn[nH]2)C1 ZINC000983925166 807121795 /nfs/dbraw/zinc/12/17/95/807121795.db2.gz PKEKMBBXFJBICB-VIFPVBQESA-N -1 1 349.351 -0.824 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCCN(C(=O)[C@H]2COC(=O)N2)C1 ZINC000984140272 807186925 /nfs/dbraw/zinc/18/69/25/807186925.db2.gz KANGRGAFQFBJEW-WDEREUQCSA-N -1 1 348.359 -0.041 20 0 EBADMM NC(=O)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1C(=O)c1ncccc1[O-] ZINC000984277080 807243379 /nfs/dbraw/zinc/24/33/79/807243379.db2.gz LOMQJSGWORJVKL-QCNOEVLYSA-N -1 1 344.371 -0.419 20 0 EBADMM O=C(N[C@H]1C[C@H](CNCc2cnon2)C1)c1c[n-]n2c1nccc2=O ZINC000984894726 807405723 /nfs/dbraw/zinc/40/57/23/807405723.db2.gz GUXJMVAKEHMDMO-MGCOHNPYSA-N -1 1 343.347 -0.296 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)[C@H]2COCCO2)CC1 ZINC000985289526 807479878 /nfs/dbraw/zinc/47/98/78/807479878.db2.gz ADDUAWIWIUNGCR-LLVKDONJSA-N -1 1 325.369 -0.652 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)[C@@H]2CC(=O)N(C)C2)CC1 ZINC000985463406 807514312 /nfs/dbraw/zinc/51/43/12/807514312.db2.gz UKMNFPZRTIPZSN-SNVBAGLBSA-N -1 1 336.396 -0.589 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC000985480851 807518662 /nfs/dbraw/zinc/51/86/62/807518662.db2.gz NJFRSRYIHNKZEW-UHFFFAOYSA-N -1 1 335.368 -0.016 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)c2cn(C)c(=O)[nH]2)CC1 ZINC000985620943 807551325 /nfs/dbraw/zinc/55/13/25/807551325.db2.gz BOKONISNOLSIMO-UHFFFAOYSA-N -1 1 335.368 -0.314 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCc1ccnn1C ZINC000986330282 807675854 /nfs/dbraw/zinc/67/58/54/807675854.db2.gz GXUWCCWYFPVAQP-ZYHUDNBSSA-N -1 1 333.396 -0.044 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc2n[nH]nc2n1 ZINC000986334588 807677116 /nfs/dbraw/zinc/67/71/16/807677116.db2.gz KIPFMPMGOREMDT-YUMQZZPRSA-N -1 1 343.351 -0.431 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccnc2ccnn21 ZINC000986359268 807684624 /nfs/dbraw/zinc/68/46/24/807684624.db2.gz NYGDWOUQXKXKOI-UWVGGRQHSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnc2n[nH]nc2c1 ZINC000986360443 807685850 /nfs/dbraw/zinc/68/58/50/807685850.db2.gz XMUYNWDLNTXBBC-VXNVDRBHSA-N -1 1 343.351 -0.431 20 0 EBADMM CCC(=O)N1CC[C@@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@@H]2C1 ZINC000986419718 807699909 /nfs/dbraw/zinc/69/99/09/807699909.db2.gz XTJLIATVJUPACU-MNOVXSKESA-N -1 1 344.375 -0.252 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccn(C)c(=O)c1 ZINC000986442406 807701861 /nfs/dbraw/zinc/70/18/61/807701861.db2.gz WJXWYFDCPFLGAM-KOLCDFICSA-N -1 1 332.364 -0.398 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CC1CS(=O)(=O)C1 ZINC000986448678 807706703 /nfs/dbraw/zinc/70/67/03/807706703.db2.gz PSCBQILEJXCFSU-WCBMZHEXSA-N -1 1 343.409 -0.976 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cc(C(N)=O)cn1C ZINC000986497119 807719950 /nfs/dbraw/zinc/71/99/50/807719950.db2.gz WJUQCFHLDQDTFJ-WCBMZHEXSA-N -1 1 347.379 -0.660 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cccc2ncnn21 ZINC000986494104 807720259 /nfs/dbraw/zinc/72/02/59/807720259.db2.gz CZZJHYBPEQZOES-VHSXEESVSA-N -1 1 342.363 -0.054 20 0 EBADMM COc1cnc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)nc1 ZINC000986532821 807729168 /nfs/dbraw/zinc/72/91/68/807729168.db2.gz KMKKYGUIJOZXDE-WPRPVWTQSA-N -1 1 333.352 -0.298 20 0 EBADMM Cc1cc(CC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)n(C)n1 ZINC000986556770 807734607 /nfs/dbraw/zinc/73/46/07/807734607.db2.gz NAMOPISDISJEIW-ZYHUDNBSSA-N -1 1 333.396 -0.126 20 0 EBADMM COc1cncc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)n1 ZINC000987124586 807845536 /nfs/dbraw/zinc/84/55/36/807845536.db2.gz PAZGSSMJXKBYLF-IUCAKERBSA-N -1 1 333.352 -0.298 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)C2CCC2)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000987173945 807856959 /nfs/dbraw/zinc/85/69/59/807856959.db2.gz OEPWXHCKWYUSMV-KOLCDFICSA-N -1 1 344.375 -0.063 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)C2CC2)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000987184993 807860827 /nfs/dbraw/zinc/86/08/27/807860827.db2.gz QQSHENPIOCUECP-PWSUYJOCSA-N -1 1 334.376 -0.558 20 0 EBADMM COc1ccc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)nn1 ZINC000987316709 807892072 /nfs/dbraw/zinc/89/20/72/807892072.db2.gz JNVQZSHRAKQAGE-RKDXNWHRSA-N -1 1 333.352 -0.298 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])[C@H]1C ZINC000987599511 807968966 /nfs/dbraw/zinc/96/89/66/807968966.db2.gz RIATYRBYNXLEBE-BDAKNGLRSA-N -1 1 333.352 -0.117 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@@H]1COCCO1 ZINC000987671227 807986861 /nfs/dbraw/zinc/98/68/61/807986861.db2.gz IFAVJZCUSOXMIV-MDZLAQPJSA-N -1 1 335.360 -0.078 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CN1CN=NC1=O ZINC000987683979 807991456 /nfs/dbraw/zinc/99/14/56/807991456.db2.gz KQYFTYNUMPNULX-ZJUUUORDSA-N -1 1 346.347 -0.496 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CCn1cnnn1 ZINC000987684414 807991507 /nfs/dbraw/zinc/99/15/07/807991507.db2.gz NJZZJSOAAAJLHV-MNOVXSKESA-N -1 1 345.363 -0.417 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C(=O)c1nnn(C)n1 ZINC000987927468 808059183 /nfs/dbraw/zinc/05/91/83/808059183.db2.gz CUXMRMHJMLJRTE-JTQLQIEISA-N -1 1 345.363 -0.455 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)C2(C)CC2)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000988471464 808222566 /nfs/dbraw/zinc/22/25/66/808222566.db2.gz UBXJELLLJGLSQA-ZJUUUORDSA-N -1 1 344.375 -0.063 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCc1ccnn1C ZINC000988923366 808327600 /nfs/dbraw/zinc/32/76/00/808327600.db2.gz OTIFDEDXLTXIPW-WDEREUQCSA-N -1 1 333.396 -0.044 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnn2ncccc12 ZINC000988971257 808338915 /nfs/dbraw/zinc/33/89/15/808338915.db2.gz FDNMDNNGJRKKDC-ZJUUUORDSA-N -1 1 342.363 -0.054 20 0 EBADMM Cc1nn(C)cc1CC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC000988975409 808339887 /nfs/dbraw/zinc/33/98/87/808339887.db2.gz VGZOWVIDZCRQLP-CABZTGNLSA-N -1 1 333.396 -0.126 20 0 EBADMM Cc1nccnc1CN[C@@H]1C[C@H](C)N(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000989107700 808375988 /nfs/dbraw/zinc/37/59/88/808375988.db2.gz ARMQIRJTPGEWKC-WCBMZHEXSA-N -1 1 345.363 -0.226 20 0 EBADMM CCn1nncc1CN[C@@H]1C[C@H](C)N(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000989117892 808380316 /nfs/dbraw/zinc/38/03/16/808380316.db2.gz DITJHQTYPVMWQP-DTWKUNHWSA-N -1 1 348.367 -0.713 20 0 EBADMM C[C@@H]1C[C@@H](NCc2ccn(C)n2)CN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000989118883 808380490 /nfs/dbraw/zinc/38/04/90/808380490.db2.gz INXCITOPMRRPDV-PSASIEDQSA-N -1 1 333.352 -0.591 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cn(C)ccc1=O ZINC000989156079 808388214 /nfs/dbraw/zinc/38/82/14/808388214.db2.gz RLPZLMRHWGDGAU-UWVGGRQHSA-N -1 1 332.364 -0.398 20 0 EBADMM COc1cc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)nn1C ZINC000989160305 808391236 /nfs/dbraw/zinc/39/12/36/808391236.db2.gz LHCQSUYGENAMCU-BDAKNGLRSA-N -1 1 335.368 -0.355 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCc1nccn1C ZINC000989221153 808406764 /nfs/dbraw/zinc/40/67/64/808406764.db2.gz JDZHCSHAMPARSP-WDEREUQCSA-N -1 1 333.396 -0.044 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)[C@H]2CCNC2=O)CCN1C(=O)c1ncccc1[O-] ZINC000989241744 808418752 /nfs/dbraw/zinc/41/87/52/808418752.db2.gz ATCBCWWJGSJMSH-VWYCJHECSA-N -1 1 332.360 -0.358 20 0 EBADMM C[C@@H]1C[C@@H](NCc2nccn2C)CN1C(=O)c1cnc([O-])n(C)c1=O ZINC000989279664 808425857 /nfs/dbraw/zinc/42/58/57/808425857.db2.gz BXWBWXNRNOKRTQ-GHMZBOCLSA-N -1 1 346.391 -0.388 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2nnn(C)n2)CCN1C(=O)c1ncccc1[O-] ZINC000989334847 808448691 /nfs/dbraw/zinc/44/86/91/808448691.db2.gz ZOPMWOUVOHEQDK-BDAKNGLRSA-N -1 1 331.336 -0.656 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccoc1CC(N)=O ZINC000989359490 808455999 /nfs/dbraw/zinc/45/59/99/808455999.db2.gz ZZVCPSBHCLABPE-IUCAKERBSA-N -1 1 348.363 -0.476 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cccc(=O)n1C ZINC000989438518 808474333 /nfs/dbraw/zinc/47/43/33/808474333.db2.gz LPQYGSBQRFKCDX-VHSXEESVSA-N -1 1 332.364 -0.398 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)C(C)(C)c3cnc[nH]3)CC2)nc1=O ZINC000989486701 808488769 /nfs/dbraw/zinc/48/87/69/808488769.db2.gz RSAQCUCQNKFXOQ-UHFFFAOYSA-N -1 1 347.423 -0.156 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnc2cccnn21 ZINC000989537248 808499063 /nfs/dbraw/zinc/49/90/63/808499063.db2.gz SOOJEKIYEWOAGT-VHSXEESVSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@H]1C[C@@H](NCc2cnon2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000989671603 808551448 /nfs/dbraw/zinc/55/14/48/808551448.db2.gz CPDBFBXYIRUUCW-DTWKUNHWSA-N -1 1 344.335 -0.807 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc(N(C)C)nn1 ZINC000989690920 808560041 /nfs/dbraw/zinc/56/00/41/808560041.db2.gz PUGXAJTZXNCEKX-VHSXEESVSA-N -1 1 346.395 -0.241 20 0 EBADMM CCn1ccc(CN[C@@H]2C[C@H](C)N(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)n1 ZINC000989723145 808568513 /nfs/dbraw/zinc/56/85/13/808568513.db2.gz NVGXLRTXCXZFQQ-CMPLNLGQSA-N -1 1 349.395 -0.315 20 0 EBADMM C[C@@H]1C[C@H](NCc2cnns2)CN1C(=O)Cn1c(=O)[n-][nH]c1=O ZINC000989722467 808568611 /nfs/dbraw/zinc/56/86/11/808568611.db2.gz KPNZNMKYSKIQLQ-SFYZADRCSA-N -1 1 339.381 -0.680 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cccc(C(N)=O)n1 ZINC000989842738 808610533 /nfs/dbraw/zinc/61/05/33/808610533.db2.gz WOZBDGDOIOMPTH-DTWKUNHWSA-N -1 1 345.363 -0.603 20 0 EBADMM Cn1nccc1C(=O)N[C@@]12CCC[C@@H]1N(Cc1nc(=O)n(C)[n-]1)CC2 ZINC000990027997 808639778 /nfs/dbraw/zinc/63/97/78/808639778.db2.gz ZXZSPLTVEMWBTJ-BLLLJJGKSA-N -1 1 345.407 -0.231 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)[C@@H]2CCCO2)C1 ZINC000990160994 808677397 /nfs/dbraw/zinc/67/73/97/808677397.db2.gz MTGNBLQPRRZHCA-QMMMGPOBSA-N -1 1 338.320 -0.984 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)[C@@H]4CCCO4)CCC[C@H]23)nc1=O ZINC000990308805 808743084 /nfs/dbraw/zinc/74/30/84/808743084.db2.gz SJOYQWVWCUXDNK-MKBNYLNASA-N -1 1 335.408 -0.099 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)[C@@H]4CCCO4)CCC[C@@H]23)nc1=O ZINC000990308806 808743115 /nfs/dbraw/zinc/74/31/15/808743115.db2.gz SJOYQWVWCUXDNK-OZVIIMIRSA-N -1 1 335.408 -0.099 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)Cc2ccon2)C1 ZINC000990557559 808841205 /nfs/dbraw/zinc/84/12/05/808841205.db2.gz ONYOKNWKHDPLBE-UHFFFAOYSA-N -1 1 349.303 -0.932 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)c4ncc[nH]4)CCC[C@@H]23)nc1=O ZINC000990612177 808862899 /nfs/dbraw/zinc/86/28/99/808862899.db2.gz GXCDXXWOOAGJSP-MEBBXXQBSA-N -1 1 331.380 -0.242 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)[C@H]2[C@@H]3CCC[C@@H]32)C1 ZINC000990698192 808890995 /nfs/dbraw/zinc/89/09/95/808890995.db2.gz HTCCATYIVWGXMX-MYJAWHEDSA-N -1 1 348.359 -0.117 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)c2cc[nH]c2C)C1 ZINC000990734069 808898837 /nfs/dbraw/zinc/89/88/37/808898837.db2.gz UUNIRKIMKXWOOC-UHFFFAOYSA-N -1 1 347.331 -0.213 20 0 EBADMM Cc1n[nH]c(C(=O)NC2CN(C(=O)[C@@H]3CCc4[nH]cnc4C3)C2)c1[O-] ZINC000990858592 808954119 /nfs/dbraw/zinc/95/41/19/808954119.db2.gz GJBCQRYJBULSTH-SECBINFHSA-N -1 1 344.375 -0.107 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)[C@@H]2CC2(C)C)C1 ZINC000990874340 808960823 /nfs/dbraw/zinc/96/08/23/808960823.db2.gz ASUUSSQSOGDJKJ-QMMMGPOBSA-N -1 1 336.348 -0.117 20 0 EBADMM O=C(CCn1cnccc1=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990969106 809017213 /nfs/dbraw/zinc/01/72/13/809017213.db2.gz ABBXIDSVOHGBJT-UHFFFAOYSA-N -1 1 343.343 -0.625 20 0 EBADMM CN1C(=O)CCC[C@H]1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990971758 809019553 /nfs/dbraw/zinc/01/95/53/809019553.db2.gz JBIPTTSILWUGJG-NSHDSACASA-N -1 1 332.360 -0.261 20 0 EBADMM O=C(NC1CN(C(=O)c2nc3ncccn3n2)C1)c1ncccc1[O-] ZINC000990972149 809019793 /nfs/dbraw/zinc/01/97/93/809019793.db2.gz MJLXJIXRAPDOSJ-UHFFFAOYSA-N -1 1 339.315 -0.521 20 0 EBADMM O=C(CCn1ccccc1=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990979157 809026661 /nfs/dbraw/zinc/02/66/61/809026661.db2.gz UAEACUBRWNCGAQ-UHFFFAOYSA-N -1 1 342.355 -0.020 20 0 EBADMM O=C(CC1CS(=O)(=O)C1)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990979011 809026764 /nfs/dbraw/zinc/02/67/64/809026764.db2.gz RKYIQYKFUHGLAT-UHFFFAOYSA-N -1 1 339.373 -0.838 20 0 EBADMM CC1(C)CC(C(=O)NC2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)C1 ZINC000991134116 809097641 /nfs/dbraw/zinc/09/76/41/809097641.db2.gz GKRMCYVEYFMLGD-UHFFFAOYSA-N -1 1 348.403 -0.310 20 0 EBADMM CC(=O)N1CCC(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)CC1 ZINC000991350756 809345379 /nfs/dbraw/zinc/34/53/79/809345379.db2.gz ZFPQGWAOPNYKPH-UHFFFAOYSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ccncn2)C[C@@H]1O)c1ncccc1[O-] ZINC000991406673 809424160 /nfs/dbraw/zinc/42/41/60/809424160.db2.gz CXWQLYJGQJHVKZ-MFKMUULPSA-N -1 1 343.343 -0.417 20 0 EBADMM C[C@H]1CC[C@@H](C(=O)NC2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)C1 ZINC000991411763 809429763 /nfs/dbraw/zinc/42/97/63/809429763.db2.gz REQVABRHRTZQLK-VHSXEESVSA-N -1 1 344.375 -0.206 20 0 EBADMM Cn1cc(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)cn1 ZINC000991413555 809432053 /nfs/dbraw/zinc/43/20/53/809432053.db2.gz ZHJGOQPJTCMPCC-AAEUAGOBSA-N -1 1 345.359 -0.474 20 0 EBADMM Cn1cc(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)cn1 ZINC000991413560 809432512 /nfs/dbraw/zinc/43/25/12/809432512.db2.gz ZHJGOQPJTCMPCC-YPMHNXCESA-N -1 1 345.359 -0.474 20 0 EBADMM Cc1n[nH]cc1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991426115 809451678 /nfs/dbraw/zinc/45/16/78/809451678.db2.gz MKLSCNNWQZKRMT-DGCLKSJQSA-N -1 1 345.359 -0.176 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)C1=CCOCC1 ZINC000991427435 809454110 /nfs/dbraw/zinc/45/41/10/809454110.db2.gz OGHQONGSHHRNKR-OCCSQVGLSA-N -1 1 347.371 -0.175 20 0 EBADMM Cc1c[nH]nc1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000991468520 809523542 /nfs/dbraw/zinc/52/35/42/809523542.db2.gz HVLXDXWBCGBFFL-JQWIXIFHSA-N -1 1 345.359 -0.176 20 0 EBADMM COCCn1cc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)nn1 ZINC000991476534 809534888 /nfs/dbraw/zinc/53/48/88/809534888.db2.gz PDNOYXLSEIPOTC-UHFFFAOYSA-N -1 1 346.347 -0.721 20 0 EBADMM NC(=O)[C@H]1CC[C@H]1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001003548784 809801263 /nfs/dbraw/zinc/80/12/63/809801263.db2.gz LLEZGMPAWPAJCR-WDEREUQCSA-N -1 1 332.360 -0.513 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)CC3=CCOCC3)CC2)nc1=O ZINC001003563310 809804117 /nfs/dbraw/zinc/80/41/17/809804117.db2.gz JACQEZKHFOUWQC-UHFFFAOYSA-N -1 1 335.408 -0.074 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)[C@@H]3C[C@@]34CCOC4)CC2)nc1=O ZINC001003925610 809811602 /nfs/dbraw/zinc/81/16/02/809811602.db2.gz FCOHRVBNTGYCRC-BLLLJJGKSA-N -1 1 335.408 -0.384 20 0 EBADMM CCC(=O)N[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)CC[C@@H]1C ZINC001004258575 809818020 /nfs/dbraw/zinc/81/80/20/809818020.db2.gz FZHDTVMAKUYVJM-NWDGAFQWSA-N -1 1 336.392 -0.310 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)Cn1ncnn1 ZINC001004859381 809828461 /nfs/dbraw/zinc/82/84/61/809828461.db2.gz GCSQSTPUYFPCCR-VHSXEESVSA-N -1 1 335.372 -0.944 20 0 EBADMM Cc1cc(C(=O)N(C)C2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cnn1 ZINC001005314085 809851291 /nfs/dbraw/zinc/85/12/91/809851291.db2.gz NCKNPXGJIZLFOR-UHFFFAOYSA-N -1 1 345.407 -0.057 20 0 EBADMM Cc1nc(CN2CCC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001007799799 810021586 /nfs/dbraw/zinc/02/15/86/810021586.db2.gz KYHOWVSOMGSUPM-MRVPVSSYSA-N -1 1 334.340 -0.896 20 0 EBADMM Cc1nc([C@@H](C)N2CCC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001007799892 810022231 /nfs/dbraw/zinc/02/22/31/810022231.db2.gz LKTQIXKAVBNDBR-APPZFPTMSA-N -1 1 348.367 -0.335 20 0 EBADMM Cc1nnccc1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001007810071 810024189 /nfs/dbraw/zinc/02/41/89/810024189.db2.gz HCUUQNUZWSTUNZ-LLVKDONJSA-N -1 1 331.380 -0.399 20 0 EBADMM Cc1ncc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1C ZINC001008309079 810129694 /nfs/dbraw/zinc/12/96/94/810129694.db2.gz JTIYTIROIDSYID-NSHDSACASA-N -1 1 333.396 -0.455 20 0 EBADMM COc1ncccc1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001008379573 810148551 /nfs/dbraw/zinc/14/85/51/810148551.db2.gz QDFYBVMIMSETPO-LLVKDONJSA-N -1 1 346.391 -0.094 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)c3cccc(=O)n3C)C2)nc1=O ZINC001008490270 810174866 /nfs/dbraw/zinc/17/48/66/810174866.db2.gz ZFMMCIFNEZTPKA-LLVKDONJSA-N -1 1 346.391 -0.799 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1C[C@@H]2[C@@H](CNC(=O)C3CC3)[C@@H]2C1 ZINC001008695243 810214809 /nfs/dbraw/zinc/21/48/09/810214809.db2.gz WVYVMVXHXYEZQV-XYYAHUGASA-N -1 1 346.387 -0.843 20 0 EBADMM C[C@@H]1CN(C(=O)CN(C)C(=O)C2CC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001214768431 810278524 /nfs/dbraw/zinc/27/85/24/810278524.db2.gz RVFIEQYHIDKZDA-MWLCHTKSSA-N -1 1 336.396 -0.685 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(C(=O)c2cocn2)CC1 ZINC001010679815 810292076 /nfs/dbraw/zinc/29/20/76/810292076.db2.gz AVUDASGGTNFEBB-UHFFFAOYSA-N -1 1 349.303 -0.517 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@H]2C)C1 ZINC001011674801 810345005 /nfs/dbraw/zinc/34/50/05/810345005.db2.gz WDEVFNUHIAQONW-GXFFZTMASA-N -1 1 346.387 -0.015 20 0 EBADMM CCC(=O)N[C@@]12CCC[C@H]1N(C(=O)CCn1cc[n-]c(=O)c1=O)CC2 ZINC001014106690 810415892 /nfs/dbraw/zinc/41/58/92/810415892.db2.gz LZLFUXFATRXXEP-SJKOYZFVSA-N -1 1 348.403 -0.024 20 0 EBADMM CCOc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)ccn1 ZINC001015680090 810559969 /nfs/dbraw/zinc/55/99/69/810559969.db2.gz UMZIASRVHHJCLE-GFCCVEGCSA-N -1 1 346.391 -0.094 20 0 EBADMM Cc1ncccc1CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001015698670 810564822 /nfs/dbraw/zinc/56/48/22/810564822.db2.gz NPYSHQYGTYRAGS-ZDUSSCGKSA-N -1 1 330.392 -0.255 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3nccn4ccnc34)C2)nc1=O ZINC001015719672 810568806 /nfs/dbraw/zinc/56/88/06/810568806.db2.gz SROMVPYOTHZBGM-JTQLQIEISA-N -1 1 342.363 -0.845 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3coc(C4CC4)n3)C2)nc1=O ZINC001015802590 810585142 /nfs/dbraw/zinc/58/51/42/810585142.db2.gz HCUOZGZANGRNAN-JTQLQIEISA-N -1 1 332.364 -0.022 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ccc4nncn4c3)C2)nc1=O ZINC001015833995 810597953 /nfs/dbraw/zinc/59/79/53/810597953.db2.gz MMTRLZGLFZRJLM-LLVKDONJSA-N -1 1 342.363 -0.845 20 0 EBADMM CC[C@@H](CN1CC[C@@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1)OC ZINC001015865022 810604744 /nfs/dbraw/zinc/60/47/44/810604744.db2.gz OCMBSIWATWKPJE-NEPJUHHUSA-N -1 1 348.407 -0.313 20 0 EBADMM Cn1cc(C(C)(C)C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001015921961 810618914 /nfs/dbraw/zinc/61/89/14/810618914.db2.gz LGZAMQWPOUPCMW-GFCCVEGCSA-N -1 1 347.423 -0.490 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCN(Cc2ncnn2C)C1 ZINC001015989001 810643085 /nfs/dbraw/zinc/64/30/85/810643085.db2.gz JRUMUEUKLWOROA-MRVPVSSYSA-N -1 1 349.351 -0.971 20 0 EBADMM O=C(N[C@H]1CCN(Cc2ccon2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001015991159 810644427 /nfs/dbraw/zinc/64/44/27/810644427.db2.gz ATPQZRFGTJTQJP-VIFPVBQESA-N -1 1 329.320 -0.590 20 0 EBADMM O=C(N[C@H]1CCN(Cc2cnns2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001015991415 810644618 /nfs/dbraw/zinc/64/46/18/810644618.db2.gz CZILRRAGBKDWOL-QMMMGPOBSA-N -1 1 346.376 -0.727 20 0 EBADMM Cc1oncc1CN1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001015992235 810645162 /nfs/dbraw/zinc/64/51/62/810645162.db2.gz MYMIZJGQLYULJF-NSHDSACASA-N -1 1 343.347 -0.282 20 0 EBADMM Cc1nnc(CN2CC[C@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)o1 ZINC001015992177 810645837 /nfs/dbraw/zinc/64/58/37/810645837.db2.gz LVVDFRPSBDSQMW-VIFPVBQESA-N -1 1 344.335 -0.887 20 0 EBADMM O=C(N[C@H]1CCN(Cc2nncs2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001015991749 810645875 /nfs/dbraw/zinc/64/58/75/810645875.db2.gz GMRQWAKPYBZFPQ-QMMMGPOBSA-N -1 1 346.376 -0.727 20 0 EBADMM CN(C)c1ccncc1C(=O)N[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001016008550 810652100 /nfs/dbraw/zinc/65/21/00/810652100.db2.gz JLLIQZZUHRKAEK-JTQLQIEISA-N -1 1 331.380 -0.024 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@@H]3Cc4cccnc4C3)C2)nc1=O ZINC001016103930 810663950 /nfs/dbraw/zinc/66/39/50/810663950.db2.gz MRBSOOGRINBXON-CHWSQXEVSA-N -1 1 342.403 -0.391 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N[C@@H]1CCN(Cc2n[nH]c(C3CC3)n2)C1 ZINC001016112171 810669086 /nfs/dbraw/zinc/66/90/86/810669086.db2.gz VRWQWPJTEPJRJL-SECBINFHSA-N -1 1 348.367 -0.925 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)[C@H]2CNC(=O)N2)C1 ZINC001016432212 810726852 /nfs/dbraw/zinc/72/68/52/810726852.db2.gz VVDJMXLBHKVDDW-UDNWOFFPSA-N -1 1 333.348 -0.812 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)CC(C)(C)C3CC3)[C@@H](O)C2)nc1=O ZINC001219573593 810755771 /nfs/dbraw/zinc/75/57/71/810755771.db2.gz YEXYAQKSWASQKR-NEPJUHHUSA-N -1 1 337.424 -0.404 20 0 EBADMM CCc1ocnc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001023236736 810772732 /nfs/dbraw/zinc/77/27/32/810772732.db2.gz WGXGKTTZDVDLJP-MWLCHTKSSA-N -1 1 346.343 -0.047 20 0 EBADMM CN(C(=O)[C@@]1(C)CCCOC1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033544749 810778866 /nfs/dbraw/zinc/77/88/66/810778866.db2.gz MCXXNBWEXXMLCT-WBMJQRKESA-N -1 1 337.424 -0.042 20 0 EBADMM CN1CCCC[C@H]1C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)CCO1 ZINC001035415614 810786135 /nfs/dbraw/zinc/78/61/35/810786135.db2.gz CGWWKOTZAYGOES-NEPJUHHUSA-N -1 1 338.412 -0.688 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)ccn1 ZINC001035549061 810894090 /nfs/dbraw/zinc/89/40/90/810894090.db2.gz FVSCSJWOZDWCQS-ZDUSSCGKSA-N -1 1 346.391 -0.557 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CN(CCCF)CCO1 ZINC001035575515 810925118 /nfs/dbraw/zinc/92/51/18/810925118.db2.gz DWKUAGWZYCNCMT-SECBINFHSA-N -1 1 344.343 -0.313 20 0 EBADMM C[C@H]1[C@H](NC(=O)[C@H](N)Cc2ccccc2F)C(=O)N1S(=O)(=O)[O-] ZINC001220099717 810980949 /nfs/dbraw/zinc/98/09/49/810980949.db2.gz ZCIUJPCKJGUOEV-XROYCOCOSA-N -1 1 345.352 -0.786 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)c2ncccn2)CC3)nc1=O ZINC001035818751 811032620 /nfs/dbraw/zinc/03/26/20/811032620.db2.gz CCJPWTIGLFZYRF-UHFFFAOYSA-N -1 1 343.391 -0.363 20 0 EBADMM CCC[C@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O)C(C)C ZINC001220452128 811112889 /nfs/dbraw/zinc/11/28/89/811112889.db2.gz RMPPHFOUBPZLRF-XQQFMLRXSA-N -1 1 339.440 -0.158 20 0 EBADMM C[C@@H]1CCCN(C(=O)CCn2cncn2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036508989 811167031 /nfs/dbraw/zinc/16/70/31/811167031.db2.gz VJCXZYNCVZDCLG-VXGBXAGGSA-N -1 1 348.411 -0.091 20 0 EBADMM C[C@H]1CCCN(C(=O)c2ncn(C)n2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036544081 811172426 /nfs/dbraw/zinc/17/24/26/811172426.db2.gz AXMZSZFTRKNRKS-VHSXEESVSA-N -1 1 334.384 -0.331 20 0 EBADMM Cc1nnc(CC(=O)N2CCC[C@@H](C)[C@@H]2CNCc2n[nH]c(=O)[n-]2)[nH]1 ZINC001036551440 811175657 /nfs/dbraw/zinc/17/56/57/811175657.db2.gz KYVKJKDLAYUVKC-KOLCDFICSA-N -1 1 348.411 -0.104 20 0 EBADMM O=C(C1=COCCO1)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036635770 811188091 /nfs/dbraw/zinc/18/80/91/811188091.db2.gz OGHXLJOEJXIGGC-WDEREUQCSA-N -1 1 335.364 -0.179 20 0 EBADMM Cn1cc(C(=O)N2CC[C@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001036642649 811193408 /nfs/dbraw/zinc/19/34/08/811193408.db2.gz UBAKPHHIWDVWHJ-PWSUYJOCSA-N -1 1 331.380 -0.020 20 0 EBADMM Cn1oc(C(=O)N2CC[C@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC001036700580 811212851 /nfs/dbraw/zinc/21/28/51/811212851.db2.gz IIDDRSWBIGUEEB-UWVGGRQHSA-N -1 1 348.363 -0.461 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036788867 811253653 /nfs/dbraw/zinc/25/36/53/811253653.db2.gz IOCQVULZWWJTCB-NXEZZACHSA-N -1 1 332.368 -0.327 20 0 EBADMM Cc1nonc1CC(=O)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036858530 811291347 /nfs/dbraw/zinc/29/13/47/811291347.db2.gz AFEJWJSRZYHFRE-ZYHUDNBSSA-N -1 1 347.379 -0.133 20 0 EBADMM Cn1ccc(C(=O)N2CC[C@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001036894369 811305331 /nfs/dbraw/zinc/30/53/31/811305331.db2.gz GSEXPZKJDJIBCA-PWSUYJOCSA-N -1 1 331.380 -0.020 20 0 EBADMM Cc1nonc1CNC[C@@H]1CCC[C@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001037037736 811347216 /nfs/dbraw/zinc/34/72/16/811347216.db2.gz CQFIUYHGFRQYCP-DTWKUNHWSA-N -1 1 349.351 -0.337 20 0 EBADMM Cc1nnc(CNC[C@@H]2CCC[C@H]2NC(=O)c2n[nH]c(=O)[n-]c2=O)o1 ZINC001037038276 811347756 /nfs/dbraw/zinc/34/77/56/811347756.db2.gz KTWJFZOEERCOLI-DTWKUNHWSA-N -1 1 349.351 -0.337 20 0 EBADMM CSCCC(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001221254094 811428030 /nfs/dbraw/zinc/42/80/30/811428030.db2.gz IUYSMMIIKFINTE-GHMZBOCLSA-N -1 1 325.438 -0.106 20 0 EBADMM CCO[C@@H](C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)C1CC1 ZINC001221559087 811487745 /nfs/dbraw/zinc/48/77/45/811487745.db2.gz SMWODRPHGCMSID-UMVBOHGHSA-N -1 1 349.435 -0.044 20 0 EBADMM CCOCC1(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)CC1 ZINC001221653387 811510077 /nfs/dbraw/zinc/51/00/77/811510077.db2.gz GNOZXQWWMGCFCR-CHWSQXEVSA-N -1 1 349.435 -0.042 20 0 EBADMM Cc1nnsc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001079411821 811531579 /nfs/dbraw/zinc/53/15/79/811531579.db2.gz MYRCKYMQRKTJBY-VXNVDRBHSA-N -1 1 337.409 -0.481 20 0 EBADMM COCC[C@@H](C)C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001222033399 811584368 /nfs/dbraw/zinc/58/43/68/811584368.db2.gz RPJYHCPOHAPUML-JHJVBQTASA-N -1 1 337.424 -0.186 20 0 EBADMM COCC(=O)NCC1CCN(Cc2cc(=O)n3[n-]ccc3n2)CC1 ZINC001222194788 811608568 /nfs/dbraw/zinc/60/85/68/811608568.db2.gz SENOTGMAKRXSPQ-UHFFFAOYSA-N -1 1 333.392 -0.003 20 0 EBADMM CC(C)OCC(=O)N1CC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1 ZINC001222362634 811623612 /nfs/dbraw/zinc/62/36/12/811623612.db2.gz PXWYETJICJPPCI-OLZOCXBDSA-N -1 1 337.424 -0.044 20 0 EBADMM NC(=O)NC(=O)CN1CC[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC001222550762 811641220 /nfs/dbraw/zinc/64/12/20/811641220.db2.gz BJYJOYBXBNCFFE-MNOVXSKESA-N -1 1 347.375 -0.482 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)Cc3cc[nH]n3)CC2)nc1=O ZINC001222688310 811656132 /nfs/dbraw/zinc/65/61/32/811656132.db2.gz PBMHDVQPAAOQHT-UHFFFAOYSA-N -1 1 333.396 -0.598 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)CC3(O)CCC3)CC2)nc1=O ZINC001223122653 811684276 /nfs/dbraw/zinc/68/42/76/811684276.db2.gz KULXEVUVTDNETB-UHFFFAOYSA-N -1 1 337.424 -0.258 20 0 EBADMM CCn1ccc(CC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)n1 ZINC001079733724 811689515 /nfs/dbraw/zinc/68/95/15/811689515.db2.gz RBOUFUGVHZWXMM-DGCLKSJQSA-N -1 1 347.423 -0.496 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cnn2c1CCC2 ZINC001079813997 811738360 /nfs/dbraw/zinc/73/83/60/811738360.db2.gz AIMKYNMFDUEANQ-ZYHUDNBSSA-N -1 1 345.407 -0.499 20 0 EBADMM O=C(CCc1c[nH]nn1)NCC1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001224600219 811766696 /nfs/dbraw/zinc/76/66/96/811766696.db2.gz PBGQLHHOXBLBRC-UHFFFAOYSA-N -1 1 334.384 -0.411 20 0 EBADMM C[C@@H]1CN(Cc2ccccn2)C[C@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001080050653 811867262 /nfs/dbraw/zinc/86/72/62/811867262.db2.gz CYSKLLSOHAZSJF-MWLCHTKSSA-N -1 1 330.348 -0.072 20 0 EBADMM COC(=O)[C@@H]1C[C@@H](Oc2cc(=O)[n-]c(N3CCOCC3)n2)CN1C ZINC001226728964 811881416 /nfs/dbraw/zinc/88/14/16/811881416.db2.gz WODZNKQXLDRZMP-MNOVXSKESA-N -1 1 338.364 -0.357 20 0 EBADMM Cc1cnn(C)c1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001080283512 811972302 /nfs/dbraw/zinc/97/23/02/811972302.db2.gz QATFITPVJXUTME-GHMZBOCLSA-N -1 1 333.396 -0.599 20 0 EBADMM O=[N+]([O-])O[C@H]1CO[C@@H]2[C@H](Oc3n[n-]c(-c4cccnc4)n3)CO[C@@H]21 ZINC001228697004 811994123 /nfs/dbraw/zinc/99/41/23/811994123.db2.gz CYSYBZOMLHTHPA-LMLFDSFASA-N -1 1 335.276 -0.011 20 0 EBADMM CO[C@H](C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1)C(C)C ZINC001229024380 812010878 /nfs/dbraw/zinc/01/08/78/812010878.db2.gz JEXZNPSHDKOIIB-ZDUSSCGKSA-N -1 1 325.413 -0.140 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)CCc3cn[nH]c3)CC2)nc1=O ZINC001229535569 812038808 /nfs/dbraw/zinc/03/88/08/812038808.db2.gz WXBYVJYVJJQHLM-UHFFFAOYSA-N -1 1 333.396 -0.455 20 0 EBADMM CCN(C(=O)Cn1cncn1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001080584137 812075466 /nfs/dbraw/zinc/07/54/66/812075466.db2.gz LLXPSPLTIHGXPD-UHFFFAOYSA-N -1 1 330.348 -0.248 20 0 EBADMM COC(=O)c1c[n-]c(O[C@@H]2C(=O)O[C@@H]3[C@H]4OC(C)(C)O[C@H]4O[C@H]23)n1 ZINC001230281995 812098447 /nfs/dbraw/zinc/09/84/47/812098447.db2.gz NMWXVTHLLKQXSZ-DADSOTLSSA-N -1 1 340.288 -0.255 20 0 EBADMM Cn1cc(CCC(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)nn1 ZINC001230383560 812110802 /nfs/dbraw/zinc/11/08/02/812110802.db2.gz BCDXLDAAKMEVEI-VXGBXAGGSA-N -1 1 348.411 -0.211 20 0 EBADMM COc1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)o1 ZINC001080665133 812122644 /nfs/dbraw/zinc/12/26/44/812122644.db2.gz CWLBGXVXZRXUGW-NXEZZACHSA-N -1 1 335.364 -0.040 20 0 EBADMM O=S(=O)([O-])C[C@@H](CN1CCOCC1)Oc1nncc2n[nH]cc21 ZINC001230895672 812252646 /nfs/dbraw/zinc/25/26/46/812252646.db2.gz JLPAGKDMZFOIMA-SECBINFHSA-N -1 1 343.365 -0.680 20 0 EBADMM COCCC(=O)N1CCC(CO)(NC(=O)c2ncccc2[O-])CC1 ZINC001080826276 812260581 /nfs/dbraw/zinc/26/05/81/812260581.db2.gz JKIAZLSJTCASQP-UHFFFAOYSA-N -1 1 337.376 -0.093 20 0 EBADMM CC[C@@H](C)OCC(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001233716384 812333180 /nfs/dbraw/zinc/33/31/80/812333180.db2.gz RPOZUHWOFJNUML-NEPJUHHUSA-N -1 1 325.413 -0.044 20 0 EBADMM Cc1noc(C)c1CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001080926925 812362860 /nfs/dbraw/zinc/36/28/60/812362860.db2.gz NQRLJXMWZJZBDZ-NOZJJQNGSA-N -1 1 348.407 -0.108 20 0 EBADMM Cc1ccc(CC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)cn1 ZINC001080945609 812386333 /nfs/dbraw/zinc/38/63/33/812386333.db2.gz MVTNRPVDQQRCIB-BXUZGUMPSA-N -1 1 344.419 -0.009 20 0 EBADMM O=C(NCCO[C@@H]1CCOC1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001235544304 812496915 /nfs/dbraw/zinc/49/69/15/812496915.db2.gz JDLBVWQXQWQQJJ-SNVBAGLBSA-N -1 1 338.364 -0.140 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cc(C)no1 ZINC001081342470 812766690 /nfs/dbraw/zinc/76/66/90/812766690.db2.gz SDIGTUXHLVMKIG-MWLCHTKSSA-N -1 1 336.352 -0.966 20 0 EBADMM NC(=O)C([N-]S(=O)(=O)c1c(Cl)cccc1Cl)C(N)=O ZINC001257770585 812771730 /nfs/dbraw/zinc/77/17/30/812771730.db2.gz OGTVPKANOSEZMN-UHFFFAOYSA-N -1 1 326.161 -0.389 20 0 EBADMM O=C(Cc1ccon1)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001081365524 812811528 /nfs/dbraw/zinc/81/15/28/812811528.db2.gz PEMQGFCRTUEDCM-GXFFZTMASA-N -1 1 346.343 -0.433 20 0 EBADMM CCOC(=O)c1noc(C[N-]S(=O)(=O)c2cn(C)c(C)n2)n1 ZINC001260551444 812835739 /nfs/dbraw/zinc/83/57/39/812835739.db2.gz ARRZQCFDRPADPC-UHFFFAOYSA-N -1 1 329.338 -0.233 20 0 EBADMM CS(=O)(=O)CS(=O)(=O)[N-]c1cnncc1Br ZINC001260584609 812837492 /nfs/dbraw/zinc/83/74/92/812837492.db2.gz OOBDWWZGDIKTNT-UHFFFAOYSA-N -1 1 330.185 -0.017 20 0 EBADMM CCOC(=O)[C@@H](F)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001261248800 812885579 /nfs/dbraw/zinc/88/55/79/812885579.db2.gz VKAQCSMNWRAYBK-NSHDSACASA-N -1 1 327.312 -0.714 20 0 EBADMM CCCOCC(=O)N1C[C@H](O)[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001081424278 812911212 /nfs/dbraw/zinc/91/12/12/812911212.db2.gz CLFCLHQRCDEGKO-YPMHNXCESA-N -1 1 337.376 -0.237 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2CCN(c3ccccc3F)CC2)CNCCO1 ZINC001263092426 813106689 /nfs/dbraw/zinc/10/66/89/813106689.db2.gz RTXJOZQSJOYTOB-INIZCTEOSA-N -1 1 337.351 -0.083 20 0 EBADMM O=C([O-])[C@@]1(C(=O)NCCOc2ccc(F)c(F)c2)CNCCO1 ZINC001263083522 813106754 /nfs/dbraw/zinc/10/67/54/813106754.db2.gz QAGTZSZDCOEZTR-AWEZNQCLSA-N -1 1 330.287 -0.097 20 0 EBADMM Cn1[n-]c(CN(CCO)[C@H]2CCCN(C(=O)C3CC=CC3)C2)nc1=O ZINC001264074912 813145470 /nfs/dbraw/zinc/14/54/70/813145470.db2.gz LMOMKQWMNDOOPV-AWEZNQCLSA-N -1 1 349.435 -0.140 20 0 EBADMM COCCCC(=O)N1CCC(N(CCO)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001264198032 813162595 /nfs/dbraw/zinc/16/25/95/813162595.db2.gz GCPCOJUENHYWKR-UHFFFAOYSA-N -1 1 341.412 -0.278 20 0 EBADMM CO[C@@H]1CN([C@@H]2CCC(=O)NC2=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001081578748 813226828 /nfs/dbraw/zinc/22/68/28/813226828.db2.gz UZMWJONSFJWLIU-CKYFFXLPSA-N -1 1 348.359 -0.979 20 0 EBADMM CO[C@@H]1CN([C@H]2CCC(=O)NC2=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001081578749 813227255 /nfs/dbraw/zinc/22/72/55/813227255.db2.gz UZMWJONSFJWLIU-JFGNBEQYSA-N -1 1 348.359 -0.979 20 0 EBADMM CN(CCCNC(=O)c1cnc(C2CC2)[n-]c1=O)Cc1nnnn1C ZINC001265045144 813228419 /nfs/dbraw/zinc/22/84/19/813228419.db2.gz HLUUYZXTLXOOBF-UHFFFAOYSA-N -1 1 346.395 -0.165 20 0 EBADMM CCN(CCCNC(=O)[C@@H]1CCCC(=O)N1C)Cc1n[nH]c(=O)[n-]1 ZINC001265144014 813246777 /nfs/dbraw/zinc/24/67/77/813246777.db2.gz YYTQGYAICQSRMR-NSHDSACASA-N -1 1 338.412 -0.151 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1coc(C)c1 ZINC001081588619 813247165 /nfs/dbraw/zinc/24/71/65/813247165.db2.gz FAEJNACGIROELP-VXGBXAGGSA-N -1 1 335.364 -0.361 20 0 EBADMM CNC(=O)CN(CCCNC(=O)c1c[n-]n2c1nccc2=O)C1CC1 ZINC001265177526 813253196 /nfs/dbraw/zinc/25/31/96/813253196.db2.gz VNBBPQFXSMOILK-UHFFFAOYSA-N -1 1 346.391 -0.647 20 0 EBADMM CC(=O)NCCCCCC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001265457433 813327648 /nfs/dbraw/zinc/32/76/48/813327648.db2.gz YLLDBRNNTHHRBN-LBPRGKRZSA-N -1 1 338.412 -0.103 20 0 EBADMM C[C@@H](CNC(=O)c1cccc2c1oc(=O)n2C)NCc1n[nH]c(=O)[n-]1 ZINC001265783749 813370113 /nfs/dbraw/zinc/37/01/13/813370113.db2.gz PTCPYSJJBDOSKG-QMMMGPOBSA-N -1 1 346.347 -0.137 20 0 EBADMM CCN(CCCNC(=O)[C@H]1C[C@@H]1C(=O)OC)Cc1n[nH]c(=O)[n-]1 ZINC001266025238 813440844 /nfs/dbraw/zinc/44/08/44/813440844.db2.gz FGFJGFMLDCQGFJ-UWVGGRQHSA-N -1 1 325.369 -0.352 20 0 EBADMM CN(CCNC(=O)c1ccnc2[nH]cnc21)Cc1nc(=O)n(C)[n-]1 ZINC001266278744 813508227 /nfs/dbraw/zinc/50/82/27/813508227.db2.gz QORQBEJXSXBDLO-UHFFFAOYSA-N -1 1 330.352 -0.759 20 0 EBADMM CCN(CCNC(=O)c1cnc2n[nH]nc2c1)Cc1nc(=O)n(C)[n-]1 ZINC001266394051 813558600 /nfs/dbraw/zinc/55/86/00/813558600.db2.gz HRRBBTJYQZCUMC-UHFFFAOYSA-N -1 1 345.367 -0.973 20 0 EBADMM O=C(Cc1c[nH]cn1)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001081800404 813608675 /nfs/dbraw/zinc/60/86/75/813608675.db2.gz OPHASPDNCNOBAY-ZWNOBZJWSA-N -1 1 345.359 -0.698 20 0 EBADMM CN(CCNC(=O)c1cnn2ccncc12)Cc1nc(=O)n(C)[n-]1 ZINC001267199273 813783158 /nfs/dbraw/zinc/78/31/58/813783158.db2.gz KLJOYPKTWNGZJD-UHFFFAOYSA-N -1 1 330.352 -0.987 20 0 EBADMM C[C@@H]1CN(C(=O)[C@]2(C)CCC(=O)NC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082697697 813995058 /nfs/dbraw/zinc/99/50/58/813995058.db2.gz SHDLWUOTJAZEJS-IQMDTDKHSA-N -1 1 336.396 -0.637 20 0 EBADMM C[C@@H]1CN(C(=O)C(=O)N2CC[C@H](C)C2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082850495 814015998 /nfs/dbraw/zinc/01/59/98/814015998.db2.gz XDJDISRNFGVLJW-HBNTYKKESA-N -1 1 336.396 -0.685 20 0 EBADMM CC(=O)N1CC[C@@H](C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001082888356 814019966 /nfs/dbraw/zinc/01/99/66/814019966.db2.gz JZPSQVDBTFGCHG-YUSALJHKSA-N -1 1 336.396 -0.685 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2CCc3nncn3C2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082939345 814028989 /nfs/dbraw/zinc/02/89/89/814028989.db2.gz MEPMSLPEQMQPNK-GMTAPVOTSA-N -1 1 346.395 -0.699 20 0 EBADMM Cc1ocnc1C(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21 ZINC001083018519 814064516 /nfs/dbraw/zinc/06/45/16/814064516.db2.gz QLQWANVNADCVEB-WDEREUQCSA-N -1 1 348.363 -0.870 20 0 EBADMM C[C@H](C(=O)N(C)C)N1C[C@H]2OCCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC001083059808 814097804 /nfs/dbraw/zinc/09/78/04/814097804.db2.gz XCYIWSJKYTYIDV-MBNYWOFBSA-N -1 1 348.403 -0.211 20 0 EBADMM CN1CC[C@H](N2C[C@H]3OCCN(C(=O)c4ncccc4[O-])[C@H]3C2)C1=O ZINC001083060336 814099907 /nfs/dbraw/zinc/09/99/07/814099907.db2.gz YMZNKNRTZIJNMK-SGMGOOAPSA-N -1 1 346.387 -0.457 20 0 EBADMM C[C@@H](F)CCN1C[C@H]2OCCN(C(=O)c3n[nH]c(=O)[n-]c3=O)[C@H]2C1 ZINC001083132176 814152857 /nfs/dbraw/zinc/15/28/57/814152857.db2.gz GXRZCOXCOIVCOA-KXUCPTDWSA-N -1 1 341.343 -0.444 20 0 EBADMM Cc1cccn2cc(C(=O)NC[C@@H](O)CNCc3n[nH]c(=O)[n-]3)cc12 ZINC001268329179 814208191 /nfs/dbraw/zinc/20/81/91/814208191.db2.gz RJPMCHCCJHIAFR-LBPRGKRZSA-N -1 1 344.375 -0.048 20 0 EBADMM O=C([N-]CCCN1CC(NC(=O)c2ccnnc2)C1)C(F)(F)F ZINC001268454808 814247912 /nfs/dbraw/zinc/24/79/12/814247912.db2.gz RXIFDCDRMRZTPP-UHFFFAOYSA-N -1 1 331.298 -0.041 20 0 EBADMM CCn1ncnc1CN1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001083423494 814404602 /nfs/dbraw/zinc/40/46/02/814404602.db2.gz YLAHEIBHFHQWQX-PWSUYJOCSA-N -1 1 332.364 -0.626 20 0 EBADMM Cc1csc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c1 ZINC001083446152 814434419 /nfs/dbraw/zinc/43/44/19/814434419.db2.gz KDANRUSPJCUAPJ-ZJUUUORDSA-N -1 1 337.405 -0.547 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@@]3(C)CC=CCC3)[C@@H](O)C2)nc1=O ZINC001083487980 814480006 /nfs/dbraw/zinc/48/00/06/814480006.db2.gz QXTDZWUBRBAWGS-WQGACYEGSA-N -1 1 335.408 -0.484 20 0 EBADMM COCCN1C[C@@H](NC(=O)Cc2cc(=O)n(CC(C)C)[n-]2)[C@@H](O)C1 ZINC001083612432 814623533 /nfs/dbraw/zinc/62/35/33/814623533.db2.gz UEJHIHIXADGIPE-KGLIPLIRSA-N -1 1 340.424 -0.817 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@H]3CC[C@@H]4C[C@@H]4CC3)[C@@H](O)C2)nc1=O ZINC001083661764 814669504 /nfs/dbraw/zinc/66/95/04/814669504.db2.gz MOXUFCNRUGFUKL-ITGHMWBKSA-N -1 1 349.435 -0.404 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@@H]2[C@H]1CCC(=O)N2Cc1cnoc1 ZINC001269576909 814733850 /nfs/dbraw/zinc/73/38/50/814733850.db2.gz APRJRNXKYZZBOO-GHMZBOCLSA-N -1 1 331.336 -0.478 20 0 EBADMM CCOCCNC(=O)[C@@H]1CC12CCN(C(=O)Cc1nn[n-]n1)CC2 ZINC001269576477 814734225 /nfs/dbraw/zinc/73/42/25/814734225.db2.gz MYMKCMDNIHMUKB-NSHDSACASA-N -1 1 336.396 -0.476 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC2(C[C@H]2C(=O)NCc2cnoc2)CC1 ZINC001269578204 814735888 /nfs/dbraw/zinc/73/58/88/814735888.db2.gz WDJJLELKNFWPEN-NSHDSACASA-N -1 1 345.363 -0.325 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@]34C[C@H]3CCCC4)[C@@H](O)C2)nc1=O ZINC001083761409 814762416 /nfs/dbraw/zinc/76/24/16/814762416.db2.gz GGNCSJAATJLQAU-LSSIXWDNSA-N -1 1 335.408 -0.650 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O)c1ccco1 ZINC001083773590 815590480 /nfs/dbraw/zinc/59/04/80/815590480.db2.gz TXCFRQRHITYGLB-AXFHLTTASA-N -1 1 335.364 -0.834 20 0 EBADMM Cc1ccc(F)c(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c1 ZINC001083812287 815621926 /nfs/dbraw/zinc/62/19/26/815621926.db2.gz UITFVTDAHWBIIT-OLZOCXBDSA-N -1 1 349.366 -0.469 20 0 EBADMM CN(C)C(=O)CNC(=O)[C@@]12C[C@@H]1CCN2C(=O)c1ccc([O-])cn1 ZINC001270138274 815697880 /nfs/dbraw/zinc/69/78/80/815697880.db2.gz BHXNGJLTYPAFCX-MGPLVRAMSA-N -1 1 332.360 -0.404 20 0 EBADMM COC1(CC(=O)N2CCC23CN(C(=O)Cc2nn[n-]n2)C3)CCC1 ZINC001270182527 815713201 /nfs/dbraw/zinc/71/32/01/815713201.db2.gz ICADEUDPBHXEQY-UHFFFAOYSA-N -1 1 334.380 -0.485 20 0 EBADMM COCCOCN1C[C@@H]2COC[C@H](C1)N2C(=O)c1ncccc1[O-] ZINC001270258437 815736328 /nfs/dbraw/zinc/73/63/28/815736328.db2.gz RYYIXPZZXMZABW-BETUJISGSA-N -1 1 337.376 -0.067 20 0 EBADMM O=C(Cn1nn[n-]c1=S)N1C[C@H]2CC[C@@H](C1)N2CCn1ccnc1 ZINC001270302858 815746039 /nfs/dbraw/zinc/74/60/39/815746039.db2.gz JHVAPHNSKPDFMD-TXEJJXNPSA-N -1 1 348.436 -0.466 20 0 EBADMM Cc1cccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c1C ZINC001084016392 815774717 /nfs/dbraw/zinc/77/47/17/815774717.db2.gz LYFDNFCUTDWBHU-KGLIPLIRSA-N -1 1 345.403 -0.300 20 0 EBADMM Cn1cc(CC(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)cn1 ZINC001084221423 815868872 /nfs/dbraw/zinc/86/88/72/815868872.db2.gz VLUAOHHEXPQHDH-CHWSQXEVSA-N -1 1 345.407 -0.883 20 0 EBADMM Cn1ccnc1NC(=O)[C@H]1CC12CCN(C(=O)Cc1nn[n-]n1)CC2 ZINC001270784411 815887564 /nfs/dbraw/zinc/88/75/64/815887564.db2.gz ILGHEOMHMSULTQ-SNVBAGLBSA-N -1 1 344.379 -0.257 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@@H]2C[C@@]21C(=O)Nc1ccc(O)cc1O ZINC001270784274 815887979 /nfs/dbraw/zinc/88/79/79/815887979.db2.gz GWTYCORAXNZWKE-GLEZIHRCSA-N -1 1 344.331 -0.217 20 0 EBADMM CC(C)(C)NC(=O)[C@H]1CCSC12CN(C(=O)Cc1nn[n-]n1)C2 ZINC001270785072 815888547 /nfs/dbraw/zinc/88/85/47/815888547.db2.gz RFEGICODZUDFOL-SECBINFHSA-N -1 1 338.437 -0.009 20 0 EBADMM COCCN(C)C(=O)[C@@H]1CC12CCN(C(=O)Cc1nn[n-]n1)CC2 ZINC001270788297 815890792 /nfs/dbraw/zinc/89/07/92/815890792.db2.gz DGKYNNKWVALPFH-NSHDSACASA-N -1 1 336.396 -0.524 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC2(C1)CCOC[C@H]2C(=O)N1CC=CC1 ZINC001270788286 815890863 /nfs/dbraw/zinc/89/08/63/815890863.db2.gz DCBLKAVZPNZSRH-NSHDSACASA-N -1 1 332.364 -0.994 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@]23C[C@@H]2CN(C(=O)Cc2nn[n-]n2)C3)C[C@@H](C)O1 ZINC001270788353 815891333 /nfs/dbraw/zinc/89/13/33/815891333.db2.gz GEXFDUDGKAGBIK-UYUMYWFVSA-N -1 1 334.380 -0.774 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCc2n[nH]c(C(=O)NCC(F)F)c2C1 ZINC001270788665 815892383 /nfs/dbraw/zinc/89/23/83/815892383.db2.gz IRXSAKYCFZGXSX-UHFFFAOYSA-N -1 1 340.294 -0.955 20 0 EBADMM O=C(Cc1nn[n-]n1)NC12CC(C(=O)N3Cc4cncnc4C3)(C1)C2 ZINC001270789697 815893755 /nfs/dbraw/zinc/89/37/55/815893755.db2.gz UVUHUOLWGOKPJI-UHFFFAOYSA-N -1 1 340.347 -0.887 20 0 EBADMM CN(Cc1ncccn1)C(=O)[C@]12C[C@H]1CCN2C(=O)Cc1nn[n-]n1 ZINC001270789651 815893896 /nfs/dbraw/zinc/89/38/96/815893896.db2.gz SWHCTQXPDOVODO-BMIGLBTASA-N -1 1 342.363 -0.818 20 0 EBADMM Cc1ncc(CC(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)o1 ZINC001084402590 815909573 /nfs/dbraw/zinc/90/95/73/815909573.db2.gz RMYYACKQKYQSQS-DGCLKSJQSA-N -1 1 346.391 -0.320 20 0 EBADMM O=C(Cn1nn[n-]c1=S)N1Cc2n[nH]c(C(=O)N3CC=CC3)c2C1 ZINC001270938196 815934248 /nfs/dbraw/zinc/93/42/48/815934248.db2.gz BHJPPCZFMMSVGL-UHFFFAOYSA-N -1 1 346.376 -0.761 20 0 EBADMM Cc1ncc(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)n1C ZINC001084609634 815947903 /nfs/dbraw/zinc/94/79/03/815947903.db2.gz MODFBUWMMZKFAX-DGCLKSJQSA-N -1 1 345.407 -0.503 20 0 EBADMM CN1CC(C(=O)N2C[C@H]3CCN(Cc4n[nH]c(=O)[n-]4)C[C@H]32)=NC1=O ZINC001084633385 815953053 /nfs/dbraw/zinc/95/30/53/815953053.db2.gz GDQHEIQZLLAGCA-PSASIEDQSA-N -1 1 333.352 -0.704 20 0 EBADMM O=C(CCc1c[nH]nn1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001084671278 815960685 /nfs/dbraw/zinc/96/06/85/815960685.db2.gz JVGWXBPVWMNMKX-MWLCHTKSSA-N -1 1 332.368 -0.706 20 0 EBADMM O=C(CCc1cnn[nH]1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001084671278 815960691 /nfs/dbraw/zinc/96/06/91/815960691.db2.gz JVGWXBPVWMNMKX-MWLCHTKSSA-N -1 1 332.368 -0.706 20 0 EBADMM C[C@@H]1CC[C@H](C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)O1 ZINC001084673596 815961128 /nfs/dbraw/zinc/96/11/28/815961128.db2.gz IBZPTRXUZITHLH-FDYHWXHSSA-N -1 1 335.408 -0.291 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4ccnnc4)[C@@H]3C2)nc1=O ZINC001084681544 815961206 /nfs/dbraw/zinc/96/12/06/815961206.db2.gz PEDWXWDELOXCEU-VXGBXAGGSA-N -1 1 329.364 -0.755 20 0 EBADMM Cc1ccnn1CC(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001084692510 815962361 /nfs/dbraw/zinc/96/23/61/815962361.db2.gz NDTGPHUSPUSZFF-CHWSQXEVSA-N -1 1 345.407 -0.654 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4cccnn4)[C@@H]3C2)nc1=O ZINC001084734380 815972051 /nfs/dbraw/zinc/97/20/51/815972051.db2.gz LMZJXSVHKIPAED-ZYHUDNBSSA-N -1 1 329.364 -0.755 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4ncccc4F)[C@@H]3C2)nc1=O ZINC001084739175 815972127 /nfs/dbraw/zinc/97/21/27/815972127.db2.gz XDRXJFZLWXAHMC-ZYHUDNBSSA-N -1 1 346.366 -0.011 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)[C@]4(C)CCCOC4)[C@@H]3C2)nc1=O ZINC001084744905 815973191 /nfs/dbraw/zinc/97/31/91/815973191.db2.gz YTJJLTOOIOBRBC-PBFPGSCMSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)[C@H]4CCCOC4)C[C@@H]32)nc1=O ZINC001084903942 816000968 /nfs/dbraw/zinc/00/09/68/816000968.db2.gz XAQSVNNCYBJLCC-RDBSUJKOSA-N -1 1 349.435 -0.042 20 0 EBADMM O=C(N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)c1ccc(=O)[nH]n1 ZINC001085126791 816022262 /nfs/dbraw/zinc/02/22/62/816022262.db2.gz XBFVAIACASQCFT-RKDXNWHRSA-N -1 1 333.352 -0.163 20 0 EBADMM Cn1ccc(CN[C@@H]2CCCC[C@H]2NC(=O)c2n[nH]c(=O)[n-]c2=O)n1 ZINC001085260244 816034550 /nfs/dbraw/zinc/03/45/50/816034550.db2.gz DAQPCPMFXAOSEY-GHMZBOCLSA-N -1 1 347.379 -0.153 20 0 EBADMM O=C(N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)c1c[nH]c(=O)cn1 ZINC001085312402 816040086 /nfs/dbraw/zinc/04/00/86/816040086.db2.gz COYBRFHQBARNAN-RKDXNWHRSA-N -1 1 333.352 -0.576 20 0 EBADMM C[C@@]1(C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)CCC(=O)N1 ZINC001085322640 816040926 /nfs/dbraw/zinc/04/09/26/816040926.db2.gz WCQIVKCCNLIVGM-FCHSOHFDSA-N -1 1 336.396 -0.304 20 0 EBADMM Cc1c(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)cnn1C ZINC001085488540 816054822 /nfs/dbraw/zinc/05/48/22/816054822.db2.gz BBTKKZAHHLBFOM-NSHDSACASA-N -1 1 333.396 -0.503 20 0 EBADMM Cc1[nH]nc(C(=O)N(C)C[C@@H]2CCN2C[C@H](O)Cn2cccn2)c1[O-] ZINC001085544215 816068213 /nfs/dbraw/zinc/06/82/13/816068213.db2.gz MNEIGPJUCAYOAU-STQMWFEESA-N -1 1 348.407 -0.172 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[nH]1)C(=O)c1ncccc1[O-] ZINC001085562479 816072665 /nfs/dbraw/zinc/07/26/65/816072665.db2.gz MJTLPSXNHOCAGI-SNVBAGLBSA-N -1 1 332.364 -0.445 20 0 EBADMM Cc1ccnc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001085573334 816076434 /nfs/dbraw/zinc/07/64/34/816076434.db2.gz TXMLFWVFLNDQSO-LLVKDONJSA-N -1 1 331.380 -0.447 20 0 EBADMM COc1cc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)ncn1 ZINC001085599723 816085201 /nfs/dbraw/zinc/08/52/01/816085201.db2.gz ZMASAYBWZCYXNJ-SNVBAGLBSA-N -1 1 347.379 -0.747 20 0 EBADMM Cc1cc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)c(C)nn1 ZINC001085615012 816087662 /nfs/dbraw/zinc/08/76/62/816087662.db2.gz AKARFWJZSRKWDW-GFCCVEGCSA-N -1 1 345.407 -0.138 20 0 EBADMM COCCCN1C(=O)COCC12CN(C(=O)c1cncc([O-])c1)C2 ZINC001271385679 816094606 /nfs/dbraw/zinc/09/46/06/816094606.db2.gz GSSBCHQDAZCZDU-UHFFFAOYSA-N -1 1 335.360 -0.123 20 0 EBADMM CN(C[C@H]1CCN1C[C@H]1CCCCO1)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001085699945 816115497 /nfs/dbraw/zinc/11/54/97/816115497.db2.gz ABZWZIMRHXEVQC-GHMZBOCLSA-N -1 1 337.380 -0.002 20 0 EBADMM Cc1nc(CN2CC[C@@H]2CN(C)C(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC001085700419 816116474 /nfs/dbraw/zinc/11/64/74/816116474.db2.gz NTLKKQGVMKJKMA-MRVPVSSYSA-N -1 1 334.340 -0.944 20 0 EBADMM Cc1cc(=O)c(C(=O)NC[C@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C2)cn1C ZINC001086394696 816319232 /nfs/dbraw/zinc/31/92/32/816319232.db2.gz UIMBYINPFQRTQH-XYPYZODXSA-N -1 1 346.391 -0.184 20 0 EBADMM Cc1cc(CN[C@H]2C[C@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)nn1C ZINC001086418157 816326889 /nfs/dbraw/zinc/32/68/89/816326889.db2.gz CXDGZAHCANMWDP-MGCOHNPYSA-N -1 1 347.379 -0.377 20 0 EBADMM Cc1ncc(CN[C@H]2C[C@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC001086418669 816327994 /nfs/dbraw/zinc/32/79/94/816327994.db2.gz FMCUGCILIHQHPS-KYZUINATSA-N -1 1 334.336 -0.123 20 0 EBADMM COc1c[nH]c(C(=O)NC[C@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC001086428920 816332497 /nfs/dbraw/zinc/33/24/97/816332497.db2.gz PXFDGZRVAHMORX-KYZUINATSA-N -1 1 348.363 -0.495 20 0 EBADMM O=C(c1cnc(C2CC2)nc1)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001272270827 816378333 /nfs/dbraw/zinc/37/83/33/816378333.db2.gz DWIHYEPJHURWLU-UHFFFAOYSA-N -1 1 347.379 -0.598 20 0 EBADMM C[C@@H]1CCN(C(=O)c2nnn(C)n2)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087201135 816473207 /nfs/dbraw/zinc/47/32/07/816473207.db2.gz DGIRVYBIYXYXMY-BDAKNGLRSA-N -1 1 335.372 -0.936 20 0 EBADMM CN(C)S(=O)(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1cncc([O-])c1 ZINC001272673204 816504411 /nfs/dbraw/zinc/50/44/11/816504411.db2.gz RMQPBZJWBQIFIL-TXEJJXNPSA-N -1 1 340.405 -0.118 20 0 EBADMM Cc1[nH]nc(C(=O)N2C[C@H]3CCN([C@@H]4CCNC4=O)C[C@H]3C2)c1[O-] ZINC001087935783 816582272 /nfs/dbraw/zinc/58/22/72/816582272.db2.gz RXNWOZMAKWXIQV-GRYCIOLGSA-N -1 1 333.392 -0.294 20 0 EBADMM CC[C@H](C(N)=O)N1CC2(CN(Cc3ncccc3[O-])C2)OCC1=O ZINC001273325474 816645230 /nfs/dbraw/zinc/64/52/30/816645230.db2.gz MPWCKDNGGWOROL-GFCCVEGCSA-N -1 1 334.376 -0.536 20 0 EBADMM CCc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)[nH]n1 ZINC001088474137 816688818 /nfs/dbraw/zinc/68/88/18/816688818.db2.gz ZHYMMWWBPFFMDY-KOLCDFICSA-N -1 1 333.396 -0.213 20 0 EBADMM C[C@@H](C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C)n1cncn1 ZINC001088531119 816696234 /nfs/dbraw/zinc/69/62/34/816696234.db2.gz XCORKQKUXGPJOP-VWYCJHECSA-N -1 1 334.384 -0.960 20 0 EBADMM CCn1ccnc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001088545793 816700834 /nfs/dbraw/zinc/70/08/34/816700834.db2.gz ZHGZTKVJCWIPPL-MNOVXSKESA-N -1 1 333.396 -0.282 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)[C@@]2(F)CCOC2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088816514 816747864 /nfs/dbraw/zinc/74/78/64/816747864.db2.gz FUDKFDRMFSHODR-ISTVAULSSA-N -1 1 327.360 -0.684 20 0 EBADMM COCCN1CC[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)[C@H]1C ZINC001088927291 816765102 /nfs/dbraw/zinc/76/51/02/816765102.db2.gz FWJSFADVOGEGRI-PWSUYJOCSA-N -1 1 334.380 -0.703 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN1Cc1ccon1 ZINC001088978969 816775607 /nfs/dbraw/zinc/77/56/07/816775607.db2.gz NDXXHKQOSSXLMC-KOLCDFICSA-N -1 1 343.347 -0.202 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)C(C)(C)c2c[nH]cn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088981794 816775689 /nfs/dbraw/zinc/77/56/89/816775689.db2.gz MRXUJQKPHNAMIH-MNOVXSKESA-N -1 1 347.423 -0.112 20 0 EBADMM C[C@@H](C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C)c1cnn(C)c1 ZINC001089098581 816801204 /nfs/dbraw/zinc/80/12/04/816801204.db2.gz PIOFZJXZPVZUIP-WZRBSPASSA-N -1 1 347.423 -0.275 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCCN1C(=O)Cn1cccn1 ZINC001089117414 816804334 /nfs/dbraw/zinc/80/43/34/816804334.db2.gz GGRQMQOVSUCZRV-ZDUSSCGKSA-N -1 1 347.423 -0.182 20 0 EBADMM CC1(C)C[C@@H](NC(=O)Cn2ncnn2)CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001089387204 816836000 /nfs/dbraw/zinc/83/60/00/816836000.db2.gz XJSIOGWSTGAOPN-JTQLQIEISA-N -1 1 349.399 -0.696 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cccs3)[C@@H](O)C2)nc1=O ZINC001090043360 816982431 /nfs/dbraw/zinc/98/24/31/816982431.db2.gz XCHNAXBBPRZREE-ZJUUUORDSA-N -1 1 337.405 -0.465 20 0 EBADMM Cc1cncc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c1 ZINC001090161395 817082922 /nfs/dbraw/zinc/08/29/22/817082922.db2.gz FWPIBBKPGDZUSD-OLZOCXBDSA-N -1 1 346.391 -0.823 20 0 EBADMM Cc1[nH]ccc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001090173858 817090713 /nfs/dbraw/zinc/09/07/13/817090713.db2.gz GMOWMJXWFAGRCF-NEPJUHHUSA-N -1 1 334.380 -0.890 20 0 EBADMM Cc1cnc2c(cnn2CC(=O)N[C@H](C)CNCc2n[nH]c(=O)[n-]2)c1 ZINC001274850032 817096959 /nfs/dbraw/zinc/09/69/59/817096959.db2.gz YLPFLTLYJBVDIS-SNVBAGLBSA-N -1 1 344.379 -0.142 20 0 EBADMM CN(C(=O)CN1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1)C1CC1 ZINC001090214683 817131979 /nfs/dbraw/zinc/13/19/79/817131979.db2.gz YVVBTDCOAFOIGG-OCCSQVGLSA-N -1 1 348.403 -0.427 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)co1 ZINC001090224338 817145441 /nfs/dbraw/zinc/14/54/41/817145441.db2.gz DJQBRXLJXVCQMZ-RYUDHWBXSA-N -1 1 335.364 -0.625 20 0 EBADMM O=C(NCC(F)F)C1=NO[C@@H]2CN(C(=O)c3cncc([O-])c3)C[C@H]12 ZINC001275623077 817263259 /nfs/dbraw/zinc/26/32/59/817263259.db2.gz IESOARXPGGYWSC-VHSXEESVSA-N -1 1 340.286 -0.005 20 0 EBADMM Cn1nnnc1NC(=O)C12CC(NC(=O)c3ccc(F)c(=O)[n-]3)(C1)C2 ZINC001276298057 817382558 /nfs/dbraw/zinc/38/25/58/817382558.db2.gz VNNZSJCNVHOMPY-UHFFFAOYSA-N -1 1 347.310 -0.259 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C3CC4(CCC4)C3)[C@@H](O)C2)nc1=O ZINC001090495820 817476092 /nfs/dbraw/zinc/47/60/92/817476092.db2.gz JLSGTDLGOZWYIF-STQMWFEESA-N -1 1 349.435 -0.260 20 0 EBADMM CCCOCC(=O)N1CC2(C1)CCCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001276847616 817481418 /nfs/dbraw/zinc/48/14/18/817481418.db2.gz KUPZDYMCAQMVGF-UHFFFAOYSA-N -1 1 337.424 -0.041 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C(C)(C)C3CC3)[C@@H](O)C2)nc1=O ZINC001090505847 817490823 /nfs/dbraw/zinc/49/08/23/817490823.db2.gz GDEMCJSLILGJAY-RYUDHWBXSA-N -1 1 337.424 -0.404 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C(C)(C)C3CC3)[C@H](O)C2)nc1=O ZINC001090505846 817491018 /nfs/dbraw/zinc/49/10/18/817491018.db2.gz GDEMCJSLILGJAY-NWDGAFQWSA-N -1 1 337.424 -0.404 20 0 EBADMM CC[C@H](F)CN1CC[C@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001276941766 817499066 /nfs/dbraw/zinc/49/90/66/817499066.db2.gz QDAAQMPPXFFLSM-RYUDHWBXSA-N -1 1 326.372 -0.135 20 0 EBADMM Cc1nc(CNC2(CNC(=O)CCn3cc[n-]c(=O)c3=O)CC2)co1 ZINC001277201879 817530146 /nfs/dbraw/zinc/53/01/46/817530146.db2.gz CJHULJKQZOCBSE-UHFFFAOYSA-N -1 1 347.375 -0.338 20 0 EBADMM COCCCC(=O)N1CCC([N@H+](C)Cc2nc(=O)n(C)[n-]2)CC1 ZINC001277379872 817564531 /nfs/dbraw/zinc/56/45/31/817564531.db2.gz WSQQWAISSNGXHU-UHFFFAOYSA-N -1 1 325.413 -0.042 20 0 EBADMM Cc1ncoc1C(=O)NCCOCCN(C)Cc1nc(=O)n(C)[n-]1 ZINC001277480602 817575637 /nfs/dbraw/zinc/57/56/37/817575637.db2.gz AZIVAIYMWQCUSB-UHFFFAOYSA-N -1 1 338.368 -0.717 20 0 EBADMM Cc1cnc(CN2CC(N(C)C(=O)CCn3cc[n-]c(=O)c3=O)C2)o1 ZINC001277670743 817620212 /nfs/dbraw/zinc/62/02/12/817620212.db2.gz HVHGKZXBZWJGOP-UHFFFAOYSA-N -1 1 347.375 -0.434 20 0 EBADMM CS[C@@H](C)CCN1C[C@@H]2C(C(=O)N=c3nn[n-]n3C)=NO[C@@H]2C1 ZINC001278056862 817688441 /nfs/dbraw/zinc/68/84/41/817688441.db2.gz DICHKWPKKYFNCM-LPEHRKFASA-N -1 1 339.425 -0.601 20 0 EBADMM CN(C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)c1ccc2cccnn21 ZINC001278815995 817846347 /nfs/dbraw/zinc/84/63/47/817846347.db2.gz FOJBTXZNXSPRCL-LLVKDONJSA-N -1 1 345.363 -0.619 20 0 EBADMM COc1coc(C(=O)NCC2CC(NCc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC001091144860 817906651 /nfs/dbraw/zinc/90/66/51/817906651.db2.gz PVQVUSUKOGUIFN-UHFFFAOYSA-N -1 1 349.347 -0.230 20 0 EBADMM C[C@@H]1C[C@H](C)C[C@@]2(C1)NC(=O)N(CC(=O)N(C)c1nn[n-]n1)C2=O ZINC001279188452 817910611 /nfs/dbraw/zinc/91/06/11/817910611.db2.gz VOGJBCLLSULZMH-ICCCGROCSA-N -1 1 335.368 -0.091 20 0 EBADMM Cc1cc(C(=O)N(C)CCOCCNCc2n[nH]c(=O)[n-]2)n(C)n1 ZINC001279393772 817924108 /nfs/dbraw/zinc/92/41/08/817924108.db2.gz XBGXAVNBVFRSLN-UHFFFAOYSA-N -1 1 337.384 -0.569 20 0 EBADMM Cc1nnc(CC(=O)N2CC[C@@H](CCNCc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC001280040873 818013694 /nfs/dbraw/zinc/01/36/94/818013694.db2.gz LAYHSLQPFPAKFQ-SNVBAGLBSA-N -1 1 334.384 -0.492 20 0 EBADMM CC(C)CC(=O)N[C@H](C)[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001280149146 818021613 /nfs/dbraw/zinc/02/16/13/818021613.db2.gz NJCRRIVRZDJIIR-NWDGAFQWSA-N -1 1 338.408 -0.018 20 0 EBADMM C[C@H](C(N)=O)N1CC(n2cc(CNC(=O)c3ncccc3[O-])nn2)C1 ZINC001094286570 818208089 /nfs/dbraw/zinc/20/80/89/818208089.db2.gz HKPGKXJIJSDIMB-SECBINFHSA-N -1 1 345.363 -0.961 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)CC(N)=O)CCN1Cc1cc(=O)n2[n-]ccc2n1 ZINC001281659899 818251453 /nfs/dbraw/zinc/25/14/53/818251453.db2.gz SQWOZCRJFVDCQZ-QWRGUYRKSA-N -1 1 346.391 -0.633 20 0 EBADMM O=C(NCCCNc1ncc(F)cn1)c1cc(=O)n2[n-]cnc2n1 ZINC001094502643 818278365 /nfs/dbraw/zinc/27/83/65/818278365.db2.gz UAPJHNKYXINSPW-UHFFFAOYSA-N -1 1 332.299 -0.421 20 0 EBADMM Cc1nsc(NCCCNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001094502659 818279053 /nfs/dbraw/zinc/27/90/53/818279053.db2.gz USFOHMFPVCYXEK-UHFFFAOYSA-N -1 1 334.365 -0.190 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)NC[C@]12CCC[C@H]1N(Cc1n[nH]c(=O)[n-]1)CC2 ZINC001094513190 818280268 /nfs/dbraw/zinc/28/02/68/818280268.db2.gz KZEMWVZTMIJZEL-ZAQLIGFBSA-N -1 1 348.407 -0.508 20 0 EBADMM C[C@H](O)CN1CC(N2C[C@H](NC(=O)c3ncccc3[O-])CC2=O)C1 ZINC001094730582 818381232 /nfs/dbraw/zinc/38/12/32/818381232.db2.gz FKAYOUROJUZJNT-WDEREUQCSA-N -1 1 334.376 -0.817 20 0 EBADMM CO[C@@H](C)CN1CC(N2C[C@H](NC(=O)c3ncccc3[O-])CC2=O)C1 ZINC001094732064 818382070 /nfs/dbraw/zinc/38/20/70/818382070.db2.gz VAPKPYQLZPYROK-NWDGAFQWSA-N -1 1 348.403 -0.163 20 0 EBADMM CC(=O)N1CC[C@@](C)(C(=O)NCCNC(=O)c2ncccc2[O-])C1 ZINC001282980975 818560659 /nfs/dbraw/zinc/56/06/59/818560659.db2.gz BYSFVOGNIFFPPW-MRXNPFEDSA-N -1 1 334.376 -0.108 20 0 EBADMM Cc1cnn(CC(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)c1 ZINC001095214120 818585359 /nfs/dbraw/zinc/58/53/59/818585359.db2.gz FRVXFJKHVOBESR-AGIUHOORSA-N -1 1 345.407 -0.465 20 0 EBADMM O=C(NCCNC(=O)[C@@H]1CCC(=O)NC1)c1cnc(C2CC2)[n-]c1=O ZINC001283022395 818588376 /nfs/dbraw/zinc/58/83/76/818588376.db2.gz RHLHSKNNHKUVQK-SNVBAGLBSA-N -1 1 347.375 -0.568 20 0 EBADMM Cn1ccc(CC(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)n1 ZINC001095402999 818619140 /nfs/dbraw/zinc/61/91/40/818619140.db2.gz LYEKBSGVGPRFLJ-AGIUHOORSA-N -1 1 345.407 -0.694 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2cnc[nH]c2=O)C3)nc1=O ZINC001095593380 818654471 /nfs/dbraw/zinc/65/44/71/818654471.db2.gz SHOIGEYIFPXBFI-MIMYLULJSA-N -1 1 345.363 -0.861 20 0 EBADMM CCC1(C(=O)NCCCNC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001283300286 818750059 /nfs/dbraw/zinc/75/00/59/818750059.db2.gz NNGRLESKBMPCBQ-UHFFFAOYSA-N -1 1 336.392 -0.261 20 0 EBADMM Cc1cncc(C(=O)NCCOCCN(C)Cc2nc(=O)n(C)[n-]2)c1 ZINC001283363951 818779056 /nfs/dbraw/zinc/77/90/56/818779056.db2.gz RSCGCOODEKIWEJ-UHFFFAOYSA-N -1 1 348.407 -0.310 20 0 EBADMM Cc1cc(N2CC[C@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)ncn1 ZINC001096402696 818817836 /nfs/dbraw/zinc/81/78/36/818817836.db2.gz GQPCFZMCKGCTQG-LBPRGKRZSA-N -1 1 344.375 -0.580 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)c2cnccn2)C3)nc1=O ZINC001096747575 818879465 /nfs/dbraw/zinc/87/94/65/818879465.db2.gz SLTCFHURXBFLTG-FOGDFJRCSA-N -1 1 329.364 -0.566 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)c2cc[nH]c(=O)c2)C3)nc1=O ZINC001096937567 818908958 /nfs/dbraw/zinc/90/89/58/818908958.db2.gz JUDZDXFVNXRHSP-UTUOFQBUSA-N -1 1 344.375 -0.256 20 0 EBADMM Cc1ncc(CC(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)o1 ZINC001097211410 818957157 /nfs/dbraw/zinc/95/71/57/818957157.db2.gz QESUXMPPDIMZRM-RTXFEEFZSA-N -1 1 346.391 -0.131 20 0 EBADMM Cc1nc(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C3)n[nH]1 ZINC001097445706 818992100 /nfs/dbraw/zinc/99/21/00/818992100.db2.gz GSYMJRQSMPPKBT-HLTSFMKQSA-N -1 1 346.351 -0.755 20 0 EBADMM CCCC(=O)NC[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C1CC1 ZINC001283915793 819028108 /nfs/dbraw/zinc/02/81/08/819028108.db2.gz CWTUYOMFORZDBK-LBPRGKRZSA-N -1 1 336.392 -0.262 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)Nc1cc(F)ncn1 ZINC001097940216 819059266 /nfs/dbraw/zinc/05/92/66/819059266.db2.gz VMRDYBOUMXOXTH-SECBINFHSA-N -1 1 336.327 -0.527 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)Cc2ncc[nH]2)C3)nc1=O ZINC001098103528 819090386 /nfs/dbraw/zinc/09/03/86/819090386.db2.gz KFCVXBQFTAAQDZ-MXWKQRLJSA-N -1 1 331.380 -0.704 20 0 EBADMM CC(C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001284172360 819124515 /nfs/dbraw/zinc/12/45/15/819124515.db2.gz TWPBMNGXCZLXJN-PJXYFTJBSA-N -1 1 334.376 -0.844 20 0 EBADMM CCC[C@@H](C)C(=O)NC[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001284212487 819133724 /nfs/dbraw/zinc/13/37/24/819133724.db2.gz HEFVBYIIIGKPHN-NEPJUHHUSA-N -1 1 338.408 -0.016 20 0 EBADMM C[C@H](CNC(=O)C(=O)NCC(F)F)NC(=O)c1ncccc1[O-] ZINC001284274463 819161757 /nfs/dbraw/zinc/16/17/57/819161757.db2.gz SPNVIEUZAAJQMP-SSDOTTSWSA-N -1 1 330.291 -0.597 20 0 EBADMM C[C@H](CNC(=O)c1nccs1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001284316611 819182546 /nfs/dbraw/zinc/18/25/46/819182546.db2.gz MXDQMJRMFHPVOC-SSDOTTSWSA-N -1 1 347.360 -0.578 20 0 EBADMM Cc1n[nH]cc1C(=O)N1CCC(N(CCO)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001098445033 819190413 /nfs/dbraw/zinc/19/04/13/819190413.db2.gz FVYSQZNPMBVGEM-UHFFFAOYSA-N -1 1 349.395 -0.359 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCC[C@]3(NC(=O)c3cnccn3)C2)nc1=O ZINC001098707231 819340158 /nfs/dbraw/zinc/34/01/58/819340158.db2.gz ZRKLAPREKKAWKO-ZBEGNZNMSA-N -1 1 343.391 -0.317 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCC[C@]3(NC(=O)Cc3ccon3)C2)nc1=O ZINC001098760175 819356343 /nfs/dbraw/zinc/35/63/43/819356343.db2.gz RSTHYORPBYYTNQ-ZBEGNZNMSA-N -1 1 346.391 -0.190 20 0 EBADMM Cc1nn[nH]c1C(=O)N[C@]12CCC[C@H]1CN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001098970936 819396444 /nfs/dbraw/zinc/39/64/44/819396444.db2.gz AYFKRAPGESQYQE-BONVTDFDSA-N -1 1 346.395 -0.681 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1CN(C(=O)c2cc[nH]c2)C1 ZINC001284979625 819441107 /nfs/dbraw/zinc/44/11/07/819441107.db2.gz LOGQALNASGDUTQ-UHFFFAOYSA-N -1 1 345.359 -0.857 20 0 EBADMM CC[C@@H](C)C(=O)N[C@@H](CC)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285074865 819473015 /nfs/dbraw/zinc/47/30/15/819473015.db2.gz MDHIKWXJLGTWNN-NEPJUHHUSA-N -1 1 338.408 -0.016 20 0 EBADMM CCCSCC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001099702536 819593818 /nfs/dbraw/zinc/59/38/18/819593818.db2.gz WBEONVAASNPBKB-GHMZBOCLSA-N -1 1 343.453 -0.697 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC/C=C/CNC(=O)CC1CCC1 ZINC001285509614 819634888 /nfs/dbraw/zinc/63/48/88/819634888.db2.gz AFRCZPUMHSIKQE-OWOJBTEDSA-N -1 1 348.403 -0.095 20 0 EBADMM Cc1c(C(=O)NC/C=C/CNC(=O)c2ncccc2[O-])nnn1C ZINC001285510881 819635901 /nfs/dbraw/zinc/63/59/01/819635901.db2.gz NOHZOASYHYHHOB-ONEGZZNKSA-N -1 1 330.348 -0.060 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CCN(CCOCC(F)F)C[C@H]2O)c1[O-] ZINC001099772406 819683200 /nfs/dbraw/zinc/68/32/00/819683200.db2.gz LHZPEJMIMWDXRE-NXEZZACHSA-N -1 1 348.350 -0.130 20 0 EBADMM COCCOCCN1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])[C@H](O)C1 ZINC001099773423 819684759 /nfs/dbraw/zinc/68/47/59/819684759.db2.gz SWDLEPVGXUGBAP-NWDGAFQWSA-N -1 1 342.396 -0.748 20 0 EBADMM C/C=C(\C)C(=O)NC[C@@H](C)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001285642905 819704393 /nfs/dbraw/zinc/70/43/93/819704393.db2.gz PBQJTBRJEZRPOD-JXDHDYMSSA-N -1 1 336.392 -0.144 20 0 EBADMM C[C@@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)c1ccsn1 ZINC001285744524 819749300 /nfs/dbraw/zinc/74/93/00/819749300.db2.gz NRNXHUHPJLWJPG-ZETCQYMHSA-N -1 1 347.360 -0.578 20 0 EBADMM C[C@@H](CNC(=O)c1ccccn1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285755915 819758494 /nfs/dbraw/zinc/75/84/94/819758494.db2.gz NCCRFUGSBUKWGM-NSHDSACASA-N -1 1 345.359 -0.744 20 0 EBADMM C[C@H](C(=O)N1CC[C@@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O)C1CC1 ZINC001285874082 819800836 /nfs/dbraw/zinc/80/08/36/819800836.db2.gz KAUOONICPUFINC-WCQYABFASA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CC12CC2)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001285901908 819814499 /nfs/dbraw/zinc/81/44/99/819814499.db2.gz PBWXFKQBTYKAQN-VXGBXAGGSA-N -1 1 348.403 -0.310 20 0 EBADMM C/C=C(/C)C(=O)N1CC(N(C)C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001286024551 819873767 /nfs/dbraw/zinc/87/37/67/819873767.db2.gz DETCZIWCWQYIRD-WCIBSUBMSA-N -1 1 334.376 -0.438 20 0 EBADMM C[C@@]1(CNC(=O)c2cnc(C3CC3)[n-]c2=O)CCN(C(=O)C(N)=O)C1 ZINC001286135278 819914153 /nfs/dbraw/zinc/91/41/53/819914153.db2.gz JRXXLJKPNFBLBE-INIZCTEOSA-N -1 1 347.375 -0.487 20 0 EBADMM CC(C)=C(C)CC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001099949072 819958601 /nfs/dbraw/zinc/95/86/01/819958601.db2.gz LULCXMZKNIQDPT-CHWSQXEVSA-N -1 1 337.424 -0.094 20 0 EBADMM CCC1(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)CCC1 ZINC001099965474 819968880 /nfs/dbraw/zinc/96/88/80/819968880.db2.gz XOFUNJBVZHNNAU-RYUDHWBXSA-N -1 1 337.424 -0.260 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)CCCC3CC3)[C@@H](O)C2)nc1=O ZINC001099964789 819969226 /nfs/dbraw/zinc/96/92/26/819969226.db2.gz ROFYMHHKRNNKNS-OLZOCXBDSA-N -1 1 337.424 -0.260 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)CCCC3CC3)[C@@H](O)C2)nc1=O ZINC001099964791 819969927 /nfs/dbraw/zinc/96/99/27/819969927.db2.gz ROFYMHHKRNNKNS-STQMWFEESA-N -1 1 337.424 -0.260 20 0 EBADMM CCCC(C)(C)C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001099984286 819989688 /nfs/dbraw/zinc/98/96/88/819989688.db2.gz YNAODXVAVJXIJL-RYUDHWBXSA-N -1 1 339.440 -0.014 20 0 EBADMM CC(C)CCCC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001100017382 820045493 /nfs/dbraw/zinc/04/54/93/820045493.db2.gz ISNOVVDZAXHPEM-STQMWFEESA-N -1 1 339.440 -0.014 20 0 EBADMM CC(C)CCCC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001100017380 820046159 /nfs/dbraw/zinc/04/61/59/820046159.db2.gz ISNOVVDZAXHPEM-OLZOCXBDSA-N -1 1 339.440 -0.014 20 0 EBADMM CCC(=O)N1C[C@@H]2CCC[C@]2(NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001292290185 820531106 /nfs/dbraw/zinc/53/11/06/820531106.db2.gz KXMLCKBDXLJGRB-SJCJKPOMSA-N -1 1 348.403 -0.166 20 0 EBADMM O=C(NCCNC(=O)c1cc(=O)n2[n-]cnc2n1)c1cncc(F)c1 ZINC001292630910 820572324 /nfs/dbraw/zinc/57/23/24/820572324.db2.gz JBUAMVBDXOXFRC-UHFFFAOYSA-N -1 1 345.294 -0.889 20 0 EBADMM CCCN(CCNC(=O)c1cc(=O)n2[n-]cnc2n1)c1ncccn1 ZINC001101161059 820705400 /nfs/dbraw/zinc/70/54/00/820705400.db2.gz PNDCIRRLSCLQGH-UHFFFAOYSA-N -1 1 342.363 -0.146 20 0 EBADMM CC[C@@H](F)C(=O)N[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001293591539 820793335 /nfs/dbraw/zinc/79/33/35/820793335.db2.gz IRYCWZNXEBDHED-GHMZBOCLSA-N -1 1 340.355 -0.608 20 0 EBADMM COC(=O)c1nccc(NCCN(C)C(=O)c2ncccc2[O-])n1 ZINC001101561115 820815223 /nfs/dbraw/zinc/81/52/23/820815223.db2.gz JDXBKRDUIPRNBQ-UHFFFAOYSA-N -1 1 331.332 -0.030 20 0 EBADMM C[C@@H](C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C)n1cncn1 ZINC001101892093 820947752 /nfs/dbraw/zinc/94/77/52/820947752.db2.gz KDVUMOHZVPGHJV-OUAUKWLOSA-N -1 1 334.384 -0.453 20 0 EBADMM CC(C)CC(=O)N1CC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001294998849 821034608 /nfs/dbraw/zinc/03/46/08/821034608.db2.gz QBMGZWDDWCUACX-LBPRGKRZSA-N -1 1 336.392 -0.310 20 0 EBADMM C[C@H](CNC(=O)C1CC=CC1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001295450310 821096373 /nfs/dbraw/zinc/09/63/73/821096373.db2.gz XNHXABLIPWFCOB-SECBINFHSA-N -1 1 330.348 -0.382 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)C1(CF)CC1 ZINC001295567897 821125977 /nfs/dbraw/zinc/12/59/77/821125977.db2.gz DSNKPENJKJFPDN-SNVBAGLBSA-N -1 1 340.355 -0.703 20 0 EBADMM C[C@@H](CNC(=O)c1[nH]ncc1F)NC(=O)c1c[n-]n2c1nccc2=O ZINC001295643325 821141522 /nfs/dbraw/zinc/14/15/22/821141522.db2.gz OYSJJGJIXBOWNE-ZETCQYMHSA-N -1 1 347.310 -0.567 20 0 EBADMM C[C@H](CCNC(=O)c1cnco1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001295886722 821182924 /nfs/dbraw/zinc/18/29/24/821182924.db2.gz MAWHLWTZNOQGBS-SNVBAGLBSA-N -1 1 349.347 -0.761 20 0 EBADMM CN(CCNC(=O)[C@@]1(C)C=CCC1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001296193835 821222598 /nfs/dbraw/zinc/22/25/98/821222598.db2.gz AKXXWCKUWRYTCO-INIZCTEOSA-N -1 1 344.375 -0.038 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)C(C)(C)C(N)=O ZINC001103110454 821260675 /nfs/dbraw/zinc/26/06/75/821260675.db2.gz QAGWFWMZTMJGIS-NXEZZACHSA-N -1 1 338.412 -0.404 20 0 EBADMM C[C@@H](CC(N)=O)C(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001103310524 821287560 /nfs/dbraw/zinc/28/75/60/821287560.db2.gz VHSHNIMPXOGXPJ-HBNTYKKESA-N -1 1 338.412 -0.404 20 0 EBADMM CCC1(C(=O)NC2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)CC1 ZINC001297137642 821326562 /nfs/dbraw/zinc/32/65/62/821326562.db2.gz MGUITARMBFPXBD-UHFFFAOYSA-N -1 1 334.376 -0.556 20 0 EBADMM CC[C@H](CNC(=O)c1ccon1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001297367412 821363034 /nfs/dbraw/zinc/36/30/34/821363034.db2.gz AZHYVTYYRKSPPI-SNVBAGLBSA-N -1 1 349.347 -0.761 20 0 EBADMM C[C@@](CNC(=O)C1CC1)(NC(=O)CCn1cc[n-]c(=O)c1=O)C1CC1 ZINC001297569639 821390760 /nfs/dbraw/zinc/39/07/60/821390760.db2.gz UVPFBJYBAQSWPD-KRWDZBQOSA-N -1 1 348.403 -0.262 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnnn2C)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001104140725 821409757 /nfs/dbraw/zinc/40/97/57/821409757.db2.gz QSKCZJVFDRMGSX-NXEZZACHSA-N -1 1 334.384 -0.473 20 0 EBADMM O=C(NC/C=C/CNC(=O)c1ncccc1[O-])c1c[nH]c(=O)cn1 ZINC001298357868 821516648 /nfs/dbraw/zinc/51/66/48/821516648.db2.gz ZOESJGSXOJMNOF-OWOJBTEDSA-N -1 1 329.316 -0.414 20 0 EBADMM C[C@@H]1CN(C(=O)c2cn(C)c(=O)[nH]2)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001104628240 821523936 /nfs/dbraw/zinc/52/39/36/821523936.db2.gz PYCWMEKLSOFRFH-NXEZZACHSA-N -1 1 349.395 -0.163 20 0 EBADMM C[C@@H](CNc1ncnc2[nH]cnc21)N(C)C(=O)Cn1c(=O)[n-][nH]c1=O ZINC001104643821 821526798 /nfs/dbraw/zinc/52/67/98/821526798.db2.gz FLQIAGNYPPLVBI-ZETCQYMHSA-N -1 1 347.339 -0.734 20 0 EBADMM C[C@H](CNc1ncnc2[nH]cnc21)N(C)C(=O)Cn1c(=O)[n-][nH]c1=O ZINC001104643820 821526832 /nfs/dbraw/zinc/52/68/32/821526832.db2.gz FLQIAGNYPPLVBI-SSDOTTSWSA-N -1 1 347.339 -0.734 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C(=O)NCC(F)F ZINC001298815814 821602579 /nfs/dbraw/zinc/60/25/79/821602579.db2.gz GLDXGDYQVZLFQE-ZETCQYMHSA-N -1 1 330.291 -0.597 20 0 EBADMM C[C@@]1(C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])CCNC1=O ZINC001299104136 821647544 /nfs/dbraw/zinc/64/75/44/821647544.db2.gz IISLFVZYRVLSMK-QLJPJBMISA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(CN1CCCCC(=O)C1=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001303597681 821758731 /nfs/dbraw/zinc/75/87/31/821758731.db2.gz ZKJFVCXSEKZBMD-LLVKDONJSA-N -1 1 334.380 -0.438 20 0 EBADMM CC1(C)NC(=O)N(CC(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)C1=O ZINC001303595165 821758851 /nfs/dbraw/zinc/75/88/51/821758851.db2.gz CTCQHVNZRDSYTR-SECBINFHSA-N -1 1 335.368 -0.689 20 0 EBADMM COCc1cc(NC[C@@H](O)CNC(=O)c2ncccc2[O-])ncn1 ZINC001105801701 821873495 /nfs/dbraw/zinc/87/34/95/821873495.db2.gz CAXJVSJPEYUVCQ-LLVKDONJSA-N -1 1 333.348 -0.074 20 0 EBADMM O=C(NC[C@@H](O)CNc1cccc(F)n1)c1cc(=O)n2[n-]cnc2n1 ZINC001105908484 821981678 /nfs/dbraw/zinc/98/16/78/821981678.db2.gz RDNYORPKXDHJGH-QMMMGPOBSA-N -1 1 347.310 -0.846 20 0 EBADMM Cc1cnc2c(C(=O)NC[C@@H](C)NCc3n[nH]c(=O)[n-]3)cnn2c1 ZINC001317269326 822056131 /nfs/dbraw/zinc/05/61/31/822056131.db2.gz BYYVXBHRRQQRMB-SECBINFHSA-N -1 1 330.352 -0.230 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1cccc(-n2cncn2)n1 ZINC001317646099 822191086 /nfs/dbraw/zinc/19/10/86/822191086.db2.gz JLFRVFNMYNZQHA-UHFFFAOYSA-N -1 1 343.351 -0.652 20 0 EBADMM CC(C)N(CCCNC(=O)[C@@]1(C)CCNC1=O)Cc1n[nH]c(=O)[n-]1 ZINC001317702134 822203757 /nfs/dbraw/zinc/20/37/57/822203757.db2.gz RHEKHMVMBUMHRA-OAHLLOKOSA-N -1 1 338.412 -0.247 20 0 EBADMM O=C(NC1CN(CCC[N-]C(=O)C(F)(F)F)C1)c1cc[n+]([O-])cc1 ZINC001317997491 822243337 /nfs/dbraw/zinc/24/33/37/822243337.db2.gz BCBWBNZNSQIINE-UHFFFAOYSA-N -1 1 346.309 -0.197 20 0 EBADMM Cc1nn[nH]c1C(=O)NC1CN(CCC[N-]C(=O)C(F)(F)F)C1 ZINC001318051656 822250934 /nfs/dbraw/zinc/25/09/34/822250934.db2.gz NDDZUNVRKMWLHC-UHFFFAOYSA-N -1 1 334.302 -0.404 20 0 EBADMM C[C@H](CCNc1cnc(F)cn1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001106382621 822260747 /nfs/dbraw/zinc/26/07/47/822260747.db2.gz FSPATMVNWJDOIV-MRVPVSSYSA-N -1 1 346.326 -0.033 20 0 EBADMM CC[C@H](CNC(=O)Cn1ncc2cccnc21)NCc1n[nH]c(=O)[n-]1 ZINC001318550219 822334461 /nfs/dbraw/zinc/33/44/61/822334461.db2.gz ZSJLQGKKQFPLES-LLVKDONJSA-N -1 1 344.379 -0.060 20 0 EBADMM CC(C)CC(=O)NCc1cnn2c1CN(Cc1nc(=O)n(C)[n-]1)CC2 ZINC001128182528 828425050 /nfs/dbraw/zinc/42/50/50/828425050.db2.gz BKBQJSNYCCGSTI-UHFFFAOYSA-N -1 1 347.423 -0.017 20 0 EBADMM Cc1cc(NC/C=C/CNC(=O)CCn2cc[n-]c(=O)c2=O)ncn1 ZINC001107257834 823830788 /nfs/dbraw/zinc/83/07/88/823830788.db2.gz RQEKGMARWGTYFN-NSCUHMNNSA-N -1 1 344.375 -0.190 20 0 EBADMM Cc1nsc(NC[C@@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001107837703 823995081 /nfs/dbraw/zinc/99/50/81/823995081.db2.gz VKSOVAKJUDMFJX-MRVPVSSYSA-N -1 1 338.393 -0.297 20 0 EBADMM C[C@H](CNc1cnc(F)cn1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001107844126 823996999 /nfs/dbraw/zinc/99/69/99/823996999.db2.gz SYNTTXGTQXSFLF-SSDOTTSWSA-N -1 1 332.299 -0.423 20 0 EBADMM Cn1[n-]c(CN2CCO[C@](C)(CNC(=O)[C@H]3CC3(C)C)C2)nc1=O ZINC001107874110 824008152 /nfs/dbraw/zinc/00/81/52/824008152.db2.gz JPGYUMBROILONP-BDJLRTHQSA-N -1 1 337.424 -0.138 20 0 EBADMM Cn1nncc1CN1CCO[C@@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001107890552 824021040 /nfs/dbraw/zinc/02/10/40/824021040.db2.gz PGVGTFKZRLXBDU-INIZCTEOSA-N -1 1 346.391 -0.063 20 0 EBADMM Cc1n[nH]cc1C(=O)NC[C@@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001108108361 824127362 /nfs/dbraw/zinc/12/73/62/824127362.db2.gz VBTVKIZUWKYJFC-HNNXBMFYSA-N -1 1 349.395 -0.839 20 0 EBADMM C[C@H](CNc1ncnc2[nH]cnc21)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001108208902 824166915 /nfs/dbraw/zinc/16/69/15/824166915.db2.gz UOZYKYIRURLBGD-RXMQYKEDSA-N -1 1 331.296 -0.869 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@](C)(CNC(=O)C(C)(C)C)C2)nc1=O ZINC001108358946 824236689 /nfs/dbraw/zinc/23/66/89/824236689.db2.gz VYIIDEVDUOBPFO-HNNXBMFYSA-N -1 1 325.413 -0.138 20 0 EBADMM C[C@H](F)CCN1CCO[C@](C)(CNC(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC001108368430 824240496 /nfs/dbraw/zinc/24/04/96/824240496.db2.gz XQJHTLQJROTKLM-IINYFYTJSA-N -1 1 345.375 -0.356 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1ccc2c(c1)OCC(=O)N2 ZINC001129517138 828628845 /nfs/dbraw/zinc/62/88/45/828628845.db2.gz GLDXIPJRTHFNFU-UHFFFAOYSA-N -1 1 332.320 -0.639 20 0 EBADMM Cc1ccc(NC2(CNC(=O)CCn3cc[n-]c(=O)c3=O)CC2)nn1 ZINC001110180389 824672446 /nfs/dbraw/zinc/67/24/46/824672446.db2.gz HYEGKAVLSGNUER-UHFFFAOYSA-N -1 1 344.375 -0.214 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1(Nc2ncccn2)CC1 ZINC001110180488 824673072 /nfs/dbraw/zinc/67/30/72/824673072.db2.gz LXVSCKHRNCLRPV-UHFFFAOYSA-N -1 1 330.348 -0.522 20 0 EBADMM COCC(=O)NCc1c[nH]nc1[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001130329755 828784606 /nfs/dbraw/zinc/78/46/06/828784606.db2.gz YONXIKHBZXQVCH-SNVBAGLBSA-N -1 1 349.395 -0.916 20 0 EBADMM CC[C@H](C)[C@@H](OC)C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001113044042 825736671 /nfs/dbraw/zinc/73/66/71/825736671.db2.gz JNUAIHCOQVQSER-WCQYABFASA-N -1 1 325.413 -0.186 20 0 EBADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)[C@@H](C)Nc1cc(F)ncn1 ZINC001113118277 825764502 /nfs/dbraw/zinc/76/45/02/825764502.db2.gz DPJINAPUTGBJGK-HTQZYQBOSA-N -1 1 346.326 -0.034 20 0 EBADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)[C@@H](C)Nc1cnc(F)cn1 ZINC001113118797 825764541 /nfs/dbraw/zinc/76/45/41/825764541.db2.gz MRJZQPRCFULUDR-HTQZYQBOSA-N -1 1 346.326 -0.034 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)COCc3ccncc3)CC2)nc1=O ZINC001113456398 825882643 /nfs/dbraw/zinc/88/26/43/825882643.db2.gz BDICTMNALAAOON-UHFFFAOYSA-N -1 1 346.391 -0.636 20 0 EBADMM CCOCCN1CCN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)CC1 ZINC001113533027 825910651 /nfs/dbraw/zinc/91/06/51/825910651.db2.gz PQAQTCBOJGISRK-UHFFFAOYSA-N -1 1 326.353 -0.309 20 0 EBADMM COC[C@@H](C)C(=O)N[C@@H]1[C@H]2CN(Cc3cc(=O)n4[n-]ccc4n3)C[C@H]21 ZINC001114038945 826120011 /nfs/dbraw/zinc/12/00/11/826120011.db2.gz ZMOACCSEZGSDKR-KBNOKHGBSA-N -1 1 345.403 -0.149 20 0 EBADMM CC[C@@H](SC)C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001114151678 826149994 /nfs/dbraw/zinc/14/99/94/826149994.db2.gz LLMPASRWHDGQTD-KLBPJQLPSA-N -1 1 325.438 -0.204 20 0 EBADMM CS[C@@H](C)CC(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001114156069 826150871 /nfs/dbraw/zinc/15/08/71/826150871.db2.gz UJXAIIWJEDURKW-QISWUMQESA-N -1 1 325.438 -0.204 20 0 EBADMM COC1(CC(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)CCC1 ZINC001114196725 826170610 /nfs/dbraw/zinc/17/06/10/826170610.db2.gz LRTZSAWXSKOJSU-YABSGUDNSA-N -1 1 335.408 -0.386 20 0 EBADMM CCC[C@@H](OCC)C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001114719884 826322739 /nfs/dbraw/zinc/32/27/39/826322739.db2.gz NHGCUEOULLKXKU-CZXHOFHRSA-N -1 1 337.424 -0.140 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)C(C)(F)F ZINC001114854425 826356384 /nfs/dbraw/zinc/35/63/84/826356384.db2.gz ODGJAJYLUWLRBX-WYOJIJJFSA-N -1 1 329.351 -0.054 20 0 EBADMM O=C(CCC(=O)N1CCNC(=O)CC1)Nc1n[n-]c(C(F)(F)F)n1 ZINC001180263469 833065841 /nfs/dbraw/zinc/06/58/41/833065841.db2.gz LUQYJGZZWWRXOD-UHFFFAOYSA-N -1 1 348.285 -0.109 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CCCn2ccnc2)nc1=O ZINC001115338854 826512562 /nfs/dbraw/zinc/51/25/62/826512562.db2.gz UYUGYKBJXWTIEY-JYAVWHMHSA-N -1 1 345.407 -0.668 20 0 EBADMM C[C@H](CS(C)(=O)=O)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001180241676 833062735 /nfs/dbraw/zinc/06/27/35/833062735.db2.gz FWHSSFMNNYMMLL-UTLUCORTSA-N -1 1 345.425 -0.730 20 0 EBADMM CS(=O)(=O)CC(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCCC1 ZINC001115434874 826543314 /nfs/dbraw/zinc/54/33/14/826543314.db2.gz ZCWVYIDMEYBVIL-UHFFFAOYSA-N -1 1 345.425 -0.536 20 0 EBADMM CN(C)C(=O)c1ccc(CNC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC001116055572 826596843 /nfs/dbraw/zinc/59/68/43/826596843.db2.gz OQFQCBHFHNFUST-UHFFFAOYSA-N -1 1 344.371 -0.055 20 0 EBADMM CCS(=O)(=O)c1ccc(CCC(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC001116386017 826617287 /nfs/dbraw/zinc/61/72/87/826617287.db2.gz JSYHSTFVNKHNDW-UHFFFAOYSA-N -1 1 339.373 -0.004 20 0 EBADMM COC(=O)[C@@H]1C[C@H](OC)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001116492935 826623041 /nfs/dbraw/zinc/62/30/41/826623041.db2.gz WOIOHVAPMSPKDP-MNOVXSKESA-N -1 1 339.348 -0.894 20 0 EBADMM COCCOCCS(=O)(=O)[N-]N=c1cc(Cl)[nH]c(N)n1 ZINC001116760877 826641226 /nfs/dbraw/zinc/64/12/26/826641226.db2.gz SUCXZCMBSXULPH-UHFFFAOYSA-N -1 1 325.778 -0.379 20 0 EBADMM Cc1cc(=O)c(C(=O)NN2CC(=O)[N-]C2=O)nn1-c1ccccc1 ZINC001116791466 826642809 /nfs/dbraw/zinc/64/28/09/826642809.db2.gz KOXCGFUSGIILMH-UHFFFAOYSA-N -1 1 327.300 -0.262 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@@H](CO)CC1CCOCC1 ZINC001116802192 826643078 /nfs/dbraw/zinc/64/30/78/826643078.db2.gz KFXPTGBYHCRNOJ-ZDUSSCGKSA-N -1 1 339.392 -0.532 20 0 EBADMM COC(=O)Cn1ncc(NC(=O)CCn2cc[n-]c(=O)c2=O)c1C ZINC001116867879 826647582 /nfs/dbraw/zinc/64/75/82/826647582.db2.gz QCAVVCHMSZPLKC-UHFFFAOYSA-N -1 1 335.320 -0.757 20 0 EBADMM C[C@H](O)[C@H]1CCCN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC001117384944 826736679 /nfs/dbraw/zinc/73/66/79/826736679.db2.gz IBSUQXLJMLKLIF-QWRGUYRKSA-N -1 1 331.376 -0.100 20 0 EBADMM CO[C@]1(CNC(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)CCOC1 ZINC001117432550 826748561 /nfs/dbraw/zinc/74/85/61/826748561.db2.gz NTVDBXGSSOEGFI-HNNXBMFYSA-N -1 1 333.348 -0.798 20 0 EBADMM COc1cc2[n-]cc(C(=O)NCCn3cnnn3)c(=O)c2c(OC)c1 ZINC001118847473 827007550 /nfs/dbraw/zinc/00/75/50/827007550.db2.gz NFHBNARHZFJRFU-UHFFFAOYSA-N -1 1 344.331 -0.038 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)c1ccc(=O)[nH]n1 ZINC001181752863 833178599 /nfs/dbraw/zinc/17/85/99/833178599.db2.gz TZHJEPWXVZZGPR-JTQLQIEISA-N -1 1 348.319 -0.706 20 0 EBADMM CCC(CC)C(=O)N1CCN(C(=O)[C@]2(C(=O)[O-])CNCCO2)CC1 ZINC001122031454 827507179 /nfs/dbraw/zinc/50/71/79/827507179.db2.gz UKUOZVMNKIRXSR-INIZCTEOSA-N -1 1 341.408 -0.463 20 0 EBADMM CC(C)(C)OC(=O)NC[C@H](O)C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001182633806 833249859 /nfs/dbraw/zinc/24/98/59/833249859.db2.gz PXYLNUHHGQQMGY-LURJTMIESA-N -1 1 338.324 -0.117 20 0 EBADMM C[C@H]1[C@H](NC(=O)Cc2ccc3nc[nH]c3c2)C(=O)N1S(=O)(=O)[O-] ZINC001182845951 833264303 /nfs/dbraw/zinc/26/43/03/833264303.db2.gz PYYDEWCMTDFGSO-MADCSZMMSA-N -1 1 338.345 -0.376 20 0 EBADMM CCOC(=O)c1c[n-]c(NC(=O)Cn2cc(F)c(=O)[nH]c2=O)n1 ZINC001183656478 833298337 /nfs/dbraw/zinc/29/83/37/833298337.db2.gz YOVBWQRMHOHVLU-UHFFFAOYSA-N -1 1 325.256 -0.374 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1ccnc(N2CCCC2)n1 ZINC001130462235 828853952 /nfs/dbraw/zinc/85/39/52/828853952.db2.gz IWNKKPKBDOATCJ-UHFFFAOYSA-N -1 1 332.368 -0.580 20 0 EBADMM Cc1cnc(CNCCNC(=O)CCc2n[nH]c(=O)[n-]c2=O)cn1 ZINC001130756845 828929600 /nfs/dbraw/zinc/92/96/00/828929600.db2.gz DBOSYAFLMMPBGP-UHFFFAOYSA-N -1 1 333.352 -0.780 20 0 EBADMM O=C(CCCn1ccccc1=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001184858886 833342198 /nfs/dbraw/zinc/34/21/98/833342198.db2.gz SSFJGGZNMBZXTQ-GFCCVEGCSA-N -1 1 346.391 -0.157 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001131830548 829235748 /nfs/dbraw/zinc/23/57/48/829235748.db2.gz SEKNPEMRLBFSMY-ZYHUDNBSSA-N -1 1 338.412 -0.944 20 0 EBADMM CCC(=O)NCC(=O)N[C@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001131911118 829271913 /nfs/dbraw/zinc/27/19/13/829271913.db2.gz CWVXMXYQEDRFFU-MNOVXSKESA-N -1 1 338.412 -0.896 20 0 EBADMM COC(=O)[C@H]1CCN(CC(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)C1 ZINC001186100871 833388375 /nfs/dbraw/zinc/38/83/75/833388375.db2.gz LJZIARWJUQOJFG-LURJTMIESA-N -1 1 325.281 -0.281 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)CCc2ncc[nH]2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001132025562 829307567 /nfs/dbraw/zinc/30/75/67/829307567.db2.gz RHGKWZBAHXVZDN-NWDGAFQWSA-N -1 1 347.423 -0.067 20 0 EBADMM Cc1cc2ncc(C(=O)NCCNCc3n[nH]c(=O)[n-]3)c(C)n2n1 ZINC001132108883 829333499 /nfs/dbraw/zinc/33/34/99/829333499.db2.gz WYLLXBIHBWWPDK-UHFFFAOYSA-N -1 1 330.352 -0.311 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)CCc2c[nH]nn2)CN1Cc1n[nH]c(=O)[n-]1 ZINC001132315420 829411130 /nfs/dbraw/zinc/41/11/30/829411130.db2.gz SWXXZMFTMPEVNR-KOLCDFICSA-N -1 1 334.384 -0.270 20 0 EBADMM CC(=O)CCCC(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001186845793 833432558 /nfs/dbraw/zinc/43/25/58/833432558.db2.gz YVMWWKXNHVCILC-QWHCGFSZSA-N -1 1 335.408 -0.100 20 0 EBADMM CC(C)OCCC(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001186949626 833442690 /nfs/dbraw/zinc/44/26/90/833442690.db2.gz NXAFJYWICAFAJR-QWHCGFSZSA-N -1 1 337.424 -0.044 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cnn(-c2ccccn2)c1 ZINC001134628261 829807649 /nfs/dbraw/zinc/80/76/49/829807649.db2.gz QJBHKOQEKCDWGP-UHFFFAOYSA-N -1 1 328.336 -0.389 20 0 EBADMM Cc1nnc(CN[C@H](C)C[C@@H](C)NC(=O)c2n[nH]c(=O)[n-]c2=O)[nH]1 ZINC001134869448 829861889 /nfs/dbraw/zinc/86/18/89/829861889.db2.gz XBHQVYLJCBFOSN-RNFRBKRXSA-N -1 1 336.356 -0.604 20 0 EBADMM CC[C@@H](C)C(=O)NCC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001211147814 837401224 /nfs/dbraw/zinc/40/12/24/837401224.db2.gz VDMPUQJNBWSNMK-GHMZBOCLSA-N -1 1 338.412 -0.247 20 0 EBADMM C[C@H](C[C@H](C)NC(=O)c1cnc([O-])n(C)c1=O)NCc1ncccn1 ZINC001135175007 829914427 /nfs/dbraw/zinc/91/44/27/829914427.db2.gz GFDKJNXXMSMRSP-MNOVXSKESA-N -1 1 346.391 -0.037 20 0 EBADMM C[C@@H](C[C@H](C)NC(=O)c1cnc([O-])n(C)c1=O)NCc1nncn1C ZINC001135174399 829914718 /nfs/dbraw/zinc/91/47/18/829914718.db2.gz CBVSGPNXVGJELC-UWVGGRQHSA-N -1 1 349.395 -0.699 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)NCCNCc1ccns1 ZINC001135465294 829970820 /nfs/dbraw/zinc/97/08/20/829970820.db2.gz CRDQKLKJGOAZHR-UHFFFAOYSA-N -1 1 333.377 -0.566 20 0 EBADMM Cc1nc(C)c(CNCCNC(=O)Cn2[n-]cc3c(=O)ncnc2-3)o1 ZINC001135465469 829970950 /nfs/dbraw/zinc/97/09/50/829970950.db2.gz HEKOJVZTFORWFX-UHFFFAOYSA-N -1 1 345.363 -0.418 20 0 EBADMM Cc1cc(CNCCNC(=O)Cn2[n-]cc3c(=O)ncnc2-3)ncn1 ZINC001135465484 829971344 /nfs/dbraw/zinc/97/13/44/829971344.db2.gz IBGJRXMRKOGYQY-UHFFFAOYSA-N -1 1 342.363 -0.924 20 0 EBADMM C[C@H](NCCNC(=O)Cn1[n-]cc2c(=O)ncnc1-2)c1cnccn1 ZINC001135465968 829972477 /nfs/dbraw/zinc/97/24/77/829972477.db2.gz ZCEOHQSYFKNZFS-JTQLQIEISA-N -1 1 342.363 -0.672 20 0 EBADMM C[C@@H](C[C@H](C)NC(=O)CCCS(C)(=O)=O)NCc1n[nH]c(=O)[n-]1 ZINC001135657292 829999177 /nfs/dbraw/zinc/99/91/77/829999177.db2.gz HECYWZPBKJXQOX-UWVGGRQHSA-N -1 1 347.441 -0.292 20 0 EBADMM C[C@H](C[C@@H](C)NC(=O)CCCS(C)(=O)=O)NCc1n[nH]c(=O)[n-]1 ZINC001135657291 829999562 /nfs/dbraw/zinc/99/95/62/829999562.db2.gz HECYWZPBKJXQOX-NXEZZACHSA-N -1 1 347.441 -0.292 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@@H]1CCCN(CC(N)=O)C1 ZINC001136672724 830088528 /nfs/dbraw/zinc/08/85/28/830088528.db2.gz WZRBJHQTZNWUKX-MRVPVSSYSA-N -1 1 325.394 -0.541 20 0 EBADMM C[C@]1(C2CCN(C(=O)CCCc3nn[n-]n3)CC2)NC(=O)NC1=O ZINC001136995097 830091602 /nfs/dbraw/zinc/09/16/02/830091602.db2.gz UDSWUHVRENWZJI-CQSZACIVSA-N -1 1 335.368 -0.641 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC[C@H](CS(N)(=O)=O)C1 ZINC001138834776 830100657 /nfs/dbraw/zinc/10/06/57/830100657.db2.gz CCTYZLZELIYYTO-QMMMGPOBSA-N -1 1 346.434 -0.080 20 0 EBADMM CCOCCC(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001142526330 830130780 /nfs/dbraw/zinc/13/07/80/830130780.db2.gz WAOCWFKVDBFOJU-NSHDSACASA-N -1 1 326.353 -0.546 20 0 EBADMM O=C([N-]CCNC(=O)[C@@H]1CCC(=O)N1)C(F)(F)Br ZINC001143134349 830144526 /nfs/dbraw/zinc/14/45/26/830144526.db2.gz HXNHPCRKMIJGDA-YFKPBYRVSA-N -1 1 328.113 -0.515 20 0 EBADMM CN(C)c1nc(NC(=O)CCCS(N)(=O)=O)c(N=O)c(=O)[n-]1 ZINC001144580210 830165771 /nfs/dbraw/zinc/16/57/71/830165771.db2.gz HEXMNCREXOPYMB-UHFFFAOYSA-N -1 1 332.342 -0.347 20 0 EBADMM CCc1cnc(CNCCNC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)o1 ZINC001147697560 830394677 /nfs/dbraw/zinc/39/46/77/830394677.db2.gz DGANCLDWMFPWPV-UHFFFAOYSA-N -1 1 337.336 -0.034 20 0 EBADMM CCc1nc(CNCCNC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)no1 ZINC001147700381 830397748 /nfs/dbraw/zinc/39/77/48/830397748.db2.gz WMJOGTSFEZDYRE-UHFFFAOYSA-N -1 1 338.324 -0.639 20 0 EBADMM CS(=O)(=O)CCNCCNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001147706232 830398590 /nfs/dbraw/zinc/39/85/90/830398590.db2.gz TUHHDZCWNMAJIN-UHFFFAOYSA-N -1 1 328.350 -0.708 20 0 EBADMM N[C@@H]1NCN(C(=O)c2ccc(C(F)(F)F)cc2[O-])[C@H]2NCN[C@@H]21 ZINC001148805908 830542701 /nfs/dbraw/zinc/54/27/01/830542701.db2.gz HNMBKRPYSIDZIL-GMTAPVOTSA-N -1 1 331.298 -0.456 20 0 EBADMM CC(C)OCC(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149330541 830643556 /nfs/dbraw/zinc/64/35/56/830643556.db2.gz JSYSWZMKVLZXBL-LBPRGKRZSA-N -1 1 341.412 -0.760 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cc2c(cn1)nc[nH]c2=O ZINC001149332196 830644270 /nfs/dbraw/zinc/64/42/70/830644270.db2.gz FCVLYLDZRIOEQV-UHFFFAOYSA-N -1 1 330.308 -0.926 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@@H](CNC(=O)Cc3ccco3)C2)nc1=O ZINC001150479466 830913102 /nfs/dbraw/zinc/91/31/02/830913102.db2.gz GKDCBPJMVSUXIM-ZDUSSCGKSA-N -1 1 349.391 -0.349 20 0 EBADMM CC(=O)NCc1cccc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)c1 ZINC001151545805 831087880 /nfs/dbraw/zinc/08/78/80/831087880.db2.gz KEMHLCBOINFHRC-UHFFFAOYSA-N -1 1 332.364 -0.334 20 0 EBADMM CCC[C@@H](C)N1C[C@@H](C(=O)NCCNCc2n[nH]c(=O)[n-]2)CC1=O ZINC001152245361 831144789 /nfs/dbraw/zinc/14/47/89/831144789.db2.gz FCFVDPKQCKOTTN-MNOVXSKESA-N -1 1 338.412 -0.247 20 0 EBADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1ccc2c(n1)NC(=O)CO2 ZINC001155735604 831426466 /nfs/dbraw/zinc/42/64/66/831426466.db2.gz HDCGOPMPZDGQCP-UHFFFAOYSA-N -1 1 343.259 -0.306 20 0 EBADMM CSc1ncc(C(=O)N2CCC[C@@]3(C2)NC(=O)NC3=O)c(=O)[n-]1 ZINC001156513646 831479231 /nfs/dbraw/zinc/47/92/31/831479231.db2.gz VCMQZYYBAYZHEK-ZDUSSCGKSA-N -1 1 337.361 -0.282 20 0 EBADMM CO[C@@H]1CN(CCNC(=O)C2CC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001212156105 837559353 /nfs/dbraw/zinc/55/93/53/837559353.db2.gz BMYHILAZKKIEEE-TZMCWYRMSA-N -1 1 348.403 -0.258 20 0 EBADMM CO[C@@H]1CN(CC(=O)NC(C)C)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001212156198 837559695 /nfs/dbraw/zinc/55/96/95/837559695.db2.gz FFLWPASRTSYGFX-DGCLKSJQSA-N -1 1 336.392 -0.259 20 0 EBADMM Cn1[n-]c(CN2CC=C(CCNC(=O)[C@H]3CCC(=O)N3)CC2)nc1=O ZINC001159581399 831762716 /nfs/dbraw/zinc/76/27/16/831762716.db2.gz OHSULANVNOMIJE-GFCCVEGCSA-N -1 1 348.407 -0.975 20 0 EBADMM Cn1cnc2c1nc(Cl)nc2Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001160847570 831894194 /nfs/dbraw/zinc/89/41/94/831894194.db2.gz AUVBNQJGUMQRQU-BYPYZUCNSA-N -1 1 325.741 -0.036 20 0 EBADMM Cn1[n-]c(CN2CC=C(CCNC(=O)c3ccncn3)CC2)nc1=O ZINC001161514359 831968509 /nfs/dbraw/zinc/96/85/09/831968509.db2.gz RFFFCVUVBCUHPK-UHFFFAOYSA-N -1 1 343.391 -0.149 20 0 EBADMM O=C(NCCCNCc1nccn1C(F)F)c1n[nH]c(=O)[n-]c1=O ZINC001161872605 831999420 /nfs/dbraw/zinc/99/94/20/831999420.db2.gz JUNKTQONYPYDJY-UHFFFAOYSA-N -1 1 343.294 -0.216 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCCc1ncn(C)n1 ZINC001212302593 837600330 /nfs/dbraw/zinc/60/03/30/837600330.db2.gz QHAKGRZOGYFXAV-UHFFFAOYSA-N -1 1 328.354 -0.764 20 0 EBADMM C[C@H](NCCCNC(=O)c1cnc([O-])n(C)c1=O)c1nncn1C ZINC001163781327 832138521 /nfs/dbraw/zinc/13/85/21/832138521.db2.gz DGHVHQQMRJQNHM-VIFPVBQESA-N -1 1 335.368 -0.915 20 0 EBADMM Cc1n[nH]c(C(=O)NCCC2(C[NH2+]Cc3nc(=O)n(C)[n-]3)CC2)c1[O-] ZINC001164102096 832170677 /nfs/dbraw/zinc/17/06/77/832170677.db2.gz CTSQTCVPSSLWAE-UHFFFAOYSA-N -1 1 349.395 -0.465 20 0 EBADMM CNC(=O)C1(C(=O)N(C)CCCNC(=O)c2ncccc2[O-])CC1 ZINC001351407162 832235008 /nfs/dbraw/zinc/23/50/08/832235008.db2.gz PXWAPGGJUVITEC-UHFFFAOYSA-N -1 1 334.376 -0.108 20 0 EBADMM Cn1ccnc1CNCC1(CCNC(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001165993057 832245276 /nfs/dbraw/zinc/24/52/76/832245276.db2.gz PNZMELFINZZWEZ-UHFFFAOYSA-N -1 1 347.379 -0.294 20 0 EBADMM Cc1nonc1CC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167590789 832360096 /nfs/dbraw/zinc/36/00/96/832360096.db2.gz GLOMAYOCPLVHAM-UHFFFAOYSA-N -1 1 335.368 -0.179 20 0 EBADMM CCn1cc(CNCCCNC(=O)c2c[n-]n3c2nccc3=O)nn1 ZINC001167783586 832372761 /nfs/dbraw/zinc/37/27/61/832372761.db2.gz JONJRSMAPZLOQH-UHFFFAOYSA-N -1 1 344.379 -0.456 20 0 EBADMM Cn1ncc(CNCCCNC(=O)c2c[n-]n3c2nccc3=O)n1 ZINC001167783450 832373032 /nfs/dbraw/zinc/37/30/32/832373032.db2.gz AWPPVDIIJJXPEI-UHFFFAOYSA-N -1 1 330.352 -0.939 20 0 EBADMM Cc1nnc([C@H](C)NCCCNC(=O)c2c[n-]n3c2nccc3=O)[nH]1 ZINC001167783577 832373047 /nfs/dbraw/zinc/37/30/47/832373047.db2.gz JGWDHDSLSKXBEY-VIFPVBQESA-N -1 1 344.379 -0.080 20 0 EBADMM Cc1cc([N-]S(=O)(=O)c2ccc(-n3nnnc3CN)nc2)no1 ZINC001168920972 832440665 /nfs/dbraw/zinc/44/06/65/832440665.db2.gz NPKMBQOEYCLZPM-UHFFFAOYSA-N -1 1 336.337 -0.387 20 0 EBADMM C[C@H](CNC(=O)c1ccc[nH]1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001354240818 832473496 /nfs/dbraw/zinc/47/34/96/832473496.db2.gz AKMPGFLUPBQOML-MRVPVSSYSA-N -1 1 329.320 -0.706 20 0 EBADMM CNC(=O)CC(=O)N[C@@H](C)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001354401777 832484107 /nfs/dbraw/zinc/48/41/07/832484107.db2.gz IZXFFMXUDSTJAP-QMMMGPOBSA-N -1 1 335.364 -0.570 20 0 EBADMM COc1nc(Nc2[n-]c(=O)nc3nc[nH]c32)nc(N2CCOCC2)n1 ZINC001170226746 832492939 /nfs/dbraw/zinc/49/29/39/832492939.db2.gz SHLQRBNBQQZEKX-UHFFFAOYSA-N -1 1 345.323 -0.168 20 0 EBADMM C[C@H](CNC(=O)c1cc(F)c[nH]1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001354561218 832502777 /nfs/dbraw/zinc/50/27/77/832502777.db2.gz CWQDTYFPWOKAEQ-SSDOTTSWSA-N -1 1 347.310 -0.567 20 0 EBADMM CCCOCC(=O)NCCN(C)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001355500005 832562791 /nfs/dbraw/zinc/56/27/91/832562791.db2.gz FDUKYCPCPVLGHS-UHFFFAOYSA-N -1 1 342.352 -0.489 20 0 EBADMM CCN(CCNC(=O)c1ncccc1[O-])C(=O)[C@H]1CCCNC1=O ZINC001356033867 832595500 /nfs/dbraw/zinc/59/55/00/832595500.db2.gz UCPXOICIVBRITJ-NSHDSACASA-N -1 1 334.376 -0.108 20 0 EBADMM O=C(CC[C@@H]1NC(=O)NC1=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC001175389079 832623563 /nfs/dbraw/zinc/62/35/63/832623563.db2.gz LMQDCKBVLPRGPC-ZETCQYMHSA-N -1 1 336.270 -0.889 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)CCc1cn[nH]c1 ZINC001175973684 832651241 /nfs/dbraw/zinc/65/12/41/832651241.db2.gz IQWOLIVGHUVKHY-LBPRGKRZSA-N -1 1 348.363 -0.616 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@]2(C[C@@H](Oc3cccnc3)CO2)C1 ZINC001176839770 832693493 /nfs/dbraw/zinc/69/34/93/832693493.db2.gz BTFSJGOMIKALBM-DOMZBBRYSA-N -1 1 330.348 -0.024 20 0 EBADMM O=C(C[C@@H]1COC[C@H]2CN(C(=O)Cc3nn[n-]n3)C[C@H]21)NCC1CC1 ZINC001176839744 832693613 /nfs/dbraw/zinc/69/36/13/832693613.db2.gz BLXJBQJCNQVPOK-UPJWGTAASA-N -1 1 348.407 -0.621 20 0 EBADMM CC(C)(C)OC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)NCS(=O)(=O)[O-] ZINC001177089411 832749326 /nfs/dbraw/zinc/74/93/26/832749326.db2.gz WBDHIAPIXJKZKH-VIFPVBQESA-N -1 1 348.381 -0.193 20 0 EBADMM COCCCCC(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001189259844 834139522 /nfs/dbraw/zinc/13/95/22/834139522.db2.gz BTVCXSBSNYDPSP-LBPRGKRZSA-N -1 1 325.413 -0.042 20 0 EBADMM CO[C@@H](C)CCC(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001189798143 834193128 /nfs/dbraw/zinc/19/31/28/834193128.db2.gz QSKCRTYBKCXHIK-NWDGAFQWSA-N -1 1 325.413 -0.044 20 0 EBADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1cnc(-c2ncccn2)nc1 ZINC001190881794 834361757 /nfs/dbraw/zinc/36/17/57/834361757.db2.gz GWEHTXKCPYJGTN-UHFFFAOYSA-N -1 1 326.276 -0.031 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C(C)(C)C(F)F ZINC001213417117 837768615 /nfs/dbraw/zinc/76/86/15/837768615.db2.gz YHZCHFVQHIFOHE-RKDXNWHRSA-N -1 1 347.366 -0.285 20 0 EBADMM Cc1[nH]nc(C(=O)NCCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])c1C ZINC001191129800 834418903 /nfs/dbraw/zinc/41/89/03/834418903.db2.gz DFZSZSBHAIHZLK-NSHDSACASA-N -1 1 348.363 -0.318 20 0 EBADMM CSc1ncc(C(=O)N2CCN(S(C)(=O)=O)CC2)c(=O)[n-]1 ZINC001191426446 834475754 /nfs/dbraw/zinc/47/57/54/834475754.db2.gz APVGOOGFXIXBIS-UHFFFAOYSA-N -1 1 332.407 -0.379 20 0 EBADMM CCC[C@@H](OC)C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001191697593 834533654 /nfs/dbraw/zinc/53/36/54/834533654.db2.gz WEVPJOWWRHWXCO-VXGBXAGGSA-N -1 1 325.413 -0.044 20 0 EBADMM COCCO[C@@H](C)C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001192632464 834705747 /nfs/dbraw/zinc/70/57/47/834705747.db2.gz PMEUJEAQJCRJCU-NWDGAFQWSA-N -1 1 341.412 -0.807 20 0 EBADMM COC(=O)[C@@H](Cc1cncn1C)[N-]S(=O)(=O)C[C@H]1CCCO1 ZINC001193299411 834829653 /nfs/dbraw/zinc/82/96/53/834829653.db2.gz QHYHWLVKDCEPLE-VXGBXAGGSA-N -1 1 331.394 -0.397 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)COC(C)(C)C)CC2)nc1=O ZINC001195563091 835246350 /nfs/dbraw/zinc/24/63/50/835246350.db2.gz TVCDUTYHHVUVSC-UHFFFAOYSA-N -1 1 325.413 -0.042 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)CCCn3ccnn3)CC2)nc1=O ZINC001196149137 835354979 /nfs/dbraw/zinc/35/49/79/835354979.db2.gz ZASCHCAFPFHCMH-UHFFFAOYSA-N -1 1 348.411 -0.785 20 0 EBADMM CCC[C@@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O)C(C)C ZINC001196527344 835428125 /nfs/dbraw/zinc/42/81/25/835428125.db2.gz RMPPHFOUBPZLRF-JHJVBQTASA-N -1 1 339.440 -0.158 20 0 EBADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)CCCCC(=O)OC)[C@@H](C)O ZINC001196967980 835489519 /nfs/dbraw/zinc/48/95/19/835489519.db2.gz NAWKWOWVMGBWSP-KOLCDFICSA-N -1 1 325.383 -0.438 20 0 EBADMM COCC[C@@H](C)C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001198176283 835649917 /nfs/dbraw/zinc/64/99/17/835649917.db2.gz PXYDNBMXABGZAS-GFCCVEGCSA-N -1 1 325.413 -0.185 20 0 EBADMM CO[C@@H](C)CC(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001200062661 835902744 /nfs/dbraw/zinc/90/27/44/835902744.db2.gz NRHZULRNQCKIML-WDEREUQCSA-N -1 1 327.385 -0.358 20 0 EBADMM COC[C@@H](C)C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001200122492 835913015 /nfs/dbraw/zinc/91/30/15/835913015.db2.gz FFGVLSZURDEVQD-MNOVXSKESA-N -1 1 327.385 -0.500 20 0 EBADMM O=C(COCC(F)F)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001201071961 836089499 /nfs/dbraw/zinc/08/94/99/836089499.db2.gz LUIIXYWTAIIIJU-SECBINFHSA-N -1 1 349.338 -0.501 20 0 EBADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]C[C@@H]1CNC(=O)CO1 ZINC001201763866 836190584 /nfs/dbraw/zinc/19/05/84/836190584.db2.gz GNJBNSWBWUQNLQ-LURJTMIESA-N -1 1 325.799 -0.102 20 0 EBADMM O=C(CNC(=O)C1CC1)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202541829 836312540 /nfs/dbraw/zinc/31/25/40/836312540.db2.gz FEWDINDXNBUKMY-GHMZBOCLSA-N -1 1 348.407 -0.447 20 0 EBADMM CN(C)C(=O)CC(=O)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001203475418 836435715 /nfs/dbraw/zinc/43/57/15/836435715.db2.gz RSGVAEKOCNYENE-ZJUUUORDSA-N -1 1 336.396 -0.494 20 0 EBADMM NC(=O)NCCC(=O)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001203714048 836466391 /nfs/dbraw/zinc/46/63/91/836466391.db2.gz UITJRGFFAJJZMG-RKDXNWHRSA-N -1 1 337.384 -0.914 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)N3C[C@]4(C)C(=O)NC(=O)[C@]4(C)C3)ccnc1-2 ZINC001204294740 836507664 /nfs/dbraw/zinc/50/76/64/836507664.db2.gz ODLBEFNYXODSRM-IYBDPMFKSA-N -1 1 342.359 -0.142 20 0 EBADMM CC[C@@H](C)OCC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001206878616 836687117 /nfs/dbraw/zinc/68/71/17/836687117.db2.gz URYKUXBSDAITKT-IJLUTSLNSA-N -1 1 325.413 -0.140 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CCc1ccon1 ZINC001207999575 836921091 /nfs/dbraw/zinc/92/10/91/836921091.db2.gz NSZCXLKKZKUOHG-ZYHUDNBSSA-N -1 1 334.380 -0.334 20 0 EBADMM C[C@@H](CC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)NC(N)=O ZINC001208041122 836930322 /nfs/dbraw/zinc/93/03/22/836930322.db2.gz CKLZTVYRZPOIAP-DTWKUNHWSA-N -1 1 325.373 -0.962 20 0 EBADMM CCS(=O)(=O)NCCC[N-]S(=O)(=O)c1cc(OC)ns1 ZINC001208783237 837110245 /nfs/dbraw/zinc/11/02/45/837110245.db2.gz JKPOFQGFJNMMTA-UHFFFAOYSA-N -1 1 343.452 -0.241 20 0 EBADMM C[C@@H](CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C)n1ccnc1 ZINC001208985724 837128790 /nfs/dbraw/zinc/12/87/90/837128790.db2.gz YFNIVMZCMAIUIV-FRRDWIJNSA-N -1 1 347.423 -0.107 20 0 EBADMM COc1cc(S(=O)(=O)[N-]CCCn2ccc(=O)[nH]c2=O)sn1 ZINC001213976177 837913727 /nfs/dbraw/zinc/91/37/27/837913727.db2.gz OCEKLHKJQWRTJZ-UHFFFAOYSA-N -1 1 346.390 -0.217 20 0 EBADMM CNC(=O)Cn1cnc([N-]C(=O)c2nc3nc(C)cc(C)n3n2)n1 ZINC001319084216 838068943 /nfs/dbraw/zinc/06/89/43/838068943.db2.gz RTIGQXUJHZOEHF-UHFFFAOYSA-N -1 1 329.324 -0.669 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC(O)(C(F)(F)F)CC1 ZINC001319568319 838151435 /nfs/dbraw/zinc/15/14/35/838151435.db2.gz QTEYXQTYXMIXHV-UHFFFAOYSA-N -1 1 335.282 -0.158 20 0 EBADMM Cc1nn(C)c(C)c1-c1cc(C(=O)[N-]N2CC(=O)N(C)C2=O)n[nH]1 ZINC001319838985 838185396 /nfs/dbraw/zinc/18/53/96/838185396.db2.gz HPSQKOVPKSLQLT-UHFFFAOYSA-N -1 1 331.336 -0.034 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1cncc(Br)c1 ZINC001320212414 838711837 /nfs/dbraw/zinc/71/18/37/838711837.db2.gz NGPHZZTVUANOKE-UHFFFAOYSA-N -1 1 326.114 -0.388 20 0 EBADMM Cn1cc(-c2n[nH]cc2C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cn1 ZINC001320517434 838783412 /nfs/dbraw/zinc/78/34/12/838783412.db2.gz ZRIIZRMZWXUJAV-SNVBAGLBSA-N -1 1 329.324 -0.463 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1nccn2ccnc12 ZINC001320846402 838848029 /nfs/dbraw/zinc/84/80/29/838848029.db2.gz YRHCNPWHSAGLSV-UHFFFAOYSA-N -1 1 330.352 -0.195 20 0 EBADMM Cn1cncc1CNC/C=C\CNC(=O)CCc1n[nH]c(=O)[n-]c1=O ZINC001321137125 838919654 /nfs/dbraw/zinc/91/96/54/838919654.db2.gz RTNSUENKAOXAAM-IHWYPQMZSA-N -1 1 347.379 -0.589 20 0 EBADMM CN(C)c1noc(C[N-]S(=O)(=O)c2cnc3c(cnn3C)c2)n1 ZINC001321397769 838982273 /nfs/dbraw/zinc/98/22/73/838982273.db2.gz SZSDRISMBHFCRJ-UHFFFAOYSA-N -1 1 337.365 -0.104 20 0 EBADMM O=C(c1cccc(-n2cnnn2)c1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001321618952 839033953 /nfs/dbraw/zinc/03/39/53/839033953.db2.gz KNVBQVXGTOVOLR-UHFFFAOYSA-N -1 1 341.335 -0.701 20 0 EBADMM CSC[C@H](C)C(=O)N1CCC(C(=O)NN2CC(=O)[N-]C2=O)CC1 ZINC001322625654 839220705 /nfs/dbraw/zinc/22/07/05/839220705.db2.gz ICQKXEYMZGLBHF-VIFPVBQESA-N -1 1 342.421 -0.193 20 0 EBADMM Cc1cc(NC(=O)[C@@H](C)SCC(=O)NN2CC(=O)[N-]C2=O)no1 ZINC001322696674 839236566 /nfs/dbraw/zinc/23/65/66/839236566.db2.gz FZTVYGSSPRFJAL-SSDOTTSWSA-N -1 1 341.349 -0.374 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NC[C@H](O)C(F)(F)F)ccnc1-2 ZINC001323263445 839395944 /nfs/dbraw/zinc/39/59/44/839395944.db2.gz YTXHUJMUIIYWTR-QMMMGPOBSA-N -1 1 331.254 -0.680 20 0 EBADMM COc1ccc(NC(=O)C(=O)NN2CC(=O)[N-]C2=O)c2cccnc12 ZINC001323273537 839398615 /nfs/dbraw/zinc/39/86/15/839398615.db2.gz MURLDYCPGNVANE-UHFFFAOYSA-N -1 1 343.299 -0.235 20 0 EBADMM C[C@H](CO[C@@H]1CCOC1)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001323664720 839496254 /nfs/dbraw/zinc/49/62/54/839496254.db2.gz MGMODOVCORPFSH-GHMZBOCLSA-N -1 1 347.375 -0.410 20 0 EBADMM CN(C(=O)c1cnn(C)n1)C1CN(CCC[N-]C(=O)C(F)(F)F)C1 ZINC001323994226 839573938 /nfs/dbraw/zinc/57/39/38/839573938.db2.gz CSODKRKKSNRDDP-UHFFFAOYSA-N -1 1 348.329 -0.360 20 0 EBADMM CON(C)C(=O)C1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001324145653 839597386 /nfs/dbraw/zinc/59/73/86/839597386.db2.gz LVOUIZDRKUEVLJ-UHFFFAOYSA-N -1 1 338.364 -0.815 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCC[C@H](CO)CC3)ccnc1-2 ZINC001324263415 839618466 /nfs/dbraw/zinc/61/84/66/839618466.db2.gz YVBJAFJVUQCYBQ-NSHDSACASA-N -1 1 331.376 -0.099 20 0 EBADMM CC(C)(C)C(=O)NCCCC(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001324619003 839688696 /nfs/dbraw/zinc/68/86/96/839688696.db2.gz UOODFFVODHZVKH-UHFFFAOYSA-N -1 1 338.412 -0.048 20 0 EBADMM O=C(Nc1ccn(C2CCCCC2)n1)C(=O)NN1CC(=O)[N-]C1=O ZINC001324877452 839727713 /nfs/dbraw/zinc/72/77/13/839727713.db2.gz CCJOVYAIXFQAMM-UHFFFAOYSA-N -1 1 334.336 -0.090 20 0 EBADMM CC/C(C)=C/C(=O)N[C@]1(CO)CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001325837714 839952478 /nfs/dbraw/zinc/95/24/78/839952478.db2.gz RFVHNBBKZAFONX-OQRGYWNNSA-N -1 1 337.424 -0.092 20 0 EBADMM CCc1noc(CNCCN(CCO)C(=O)c2ncccc2[O-])n1 ZINC001326009151 840000695 /nfs/dbraw/zinc/00/06/95/840000695.db2.gz MHCATWGDGYRQNN-UHFFFAOYSA-N -1 1 335.364 -0.043 20 0 EBADMM C[C@H]1COCC[C@@H]1C(=O)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001326090895 840029899 /nfs/dbraw/zinc/02/98/99/840029899.db2.gz HNEFJCZAZNKUOP-QWRGUYRKSA-N -1 1 327.385 -0.907 20 0 EBADMM CCO[N-]C(=O)CNC(=O)Cc1c(C)nc(-c2ncccn2)[nH]c1=O ZINC001326565069 840136789 /nfs/dbraw/zinc/13/67/89/840136789.db2.gz UKTYSSKGGPAKES-UHFFFAOYSA-N -1 1 346.347 -0.326 20 0 EBADMM CC(C)C[C@@H](CNC(=O)C(=O)N1CCN2C[C@H](O)C[C@H]2C1)C(=O)[O-] ZINC001327160586 840315252 /nfs/dbraw/zinc/31/52/52/840315252.db2.gz NXXBWRDKZYCGHM-RWMBFGLXSA-N -1 1 341.408 -0.873 20 0 EBADMM COCCCOCC(=O)N1C[C@@H](C)[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001327926318 840550031 /nfs/dbraw/zinc/55/00/31/840550031.db2.gz QZKCPFRBNLXUHR-MNOVXSKESA-N -1 1 327.385 -0.500 20 0 EBADMM COCCCOCC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H](C)C1 ZINC001327926320 840550641 /nfs/dbraw/zinc/55/06/41/840550641.db2.gz QZKCPFRBNLXUHR-QWRGUYRKSA-N -1 1 327.385 -0.500 20 0 EBADMM O=C(C(=O)N1CCO[C@]2(CCS(=O)(=O)C2)C1)c1ccc([O-])cc1 ZINC001328569341 840708532 /nfs/dbraw/zinc/70/85/32/840708532.db2.gz SNDMEIDTNBDRNS-OAHLLOKOSA-N -1 1 339.369 -0.009 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NOCCN2CCCC2=O)co1 ZINC001328829010 840764039 /nfs/dbraw/zinc/76/40/39/840764039.db2.gz SXQOVPUJUARMLO-UHFFFAOYSA-N -1 1 331.350 -0.529 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NC[C@@H]3CCC[C@@H](O)C3)ccnc1-2 ZINC001329063522 840832522 /nfs/dbraw/zinc/83/25/22/840832522.db2.gz QRDVLALUWITXHY-GHMZBOCLSA-N -1 1 331.376 -0.052 20 0 EBADMM O=C(Nc1ccccc1-n1cccn1)C(=O)NN1CC(=O)[N-]C1=O ZINC001329112405 840844668 /nfs/dbraw/zinc/84/46/68/840844668.db2.gz YJNCAHLRDIYFBB-UHFFFAOYSA-N -1 1 328.288 -0.606 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)NC[C@@H](CC(C)C)C(=O)[O-])C1 ZINC001329129041 840850731 /nfs/dbraw/zinc/85/07/31/840850731.db2.gz ZCHLGFWZKXMIBZ-OLZOCXBDSA-N -1 1 343.424 -0.314 20 0 EBADMM C[C@@H]1C[C@H](C(=O)NCCOCCN(C)Cc2nc(=O)n(C)[n-]2)CO1 ZINC001331133241 841348475 /nfs/dbraw/zinc/34/84/75/841348475.db2.gz QAXCIKKYPJNACU-NEPJUHHUSA-N -1 1 341.412 -0.902 20 0 EBADMM Cn1[n-]c(CN[C@@H](CO)CNC(=O)c2cc3ccccn3c2)nc1=O ZINC001331804595 841504672 /nfs/dbraw/zinc/50/46/72/841504672.db2.gz NYZNUDKHSMKCOJ-GFCCVEGCSA-N -1 1 344.375 -0.758 20 0 EBADMM CC(C)[C@@H](C(=O)[O-])C(=O)N[C@@H]1CC[N@@H+](CCN2CCOCC2)C1 ZINC001332113916 841576157 /nfs/dbraw/zinc/57/61/57/841576157.db2.gz NWCFMMYLEIPOOC-ZIAGYGMSSA-N -1 1 327.425 -0.134 20 0 EBADMM CC(C)[C@@H](C(=O)[O-])C(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC001332113916 841576170 /nfs/dbraw/zinc/57/61/70/841576170.db2.gz NWCFMMYLEIPOOC-ZIAGYGMSSA-N -1 1 327.425 -0.134 20 0 EBADMM CO[C@@H](CS(=O)(=O)NNc1nc(=O)c2cnn(C)c2[n-]1)C(C)C ZINC001332317668 841614158 /nfs/dbraw/zinc/61/41/58/841614158.db2.gz HTIRPNCQMIEQFB-VIFPVBQESA-N -1 1 344.397 -0.014 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H](O)CN(C)C(=O)[C@]1(C)C=CCC1 ZINC001332648068 841704670 /nfs/dbraw/zinc/70/46/70/841704670.db2.gz NHRHCMSLUPJHJW-MLGOLLRUSA-N -1 1 337.424 -0.284 20 0 EBADMM C=C/C(C)=C\CC(=O)N(C)C[C@@H](O)CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001332646713 841704991 /nfs/dbraw/zinc/70/49/91/841704991.db2.gz GSALSPJCVYAGDM-OTAKNEKHSA-N -1 1 337.424 -0.118 20 0 EBADMM CCO[N-]C(=O)CNC(=O)C(=O)NCc1nc2ccc(C)cc2[nH]1 ZINC001332790759 841737287 /nfs/dbraw/zinc/73/72/87/841737287.db2.gz ACVLWVDVCWDDGD-UHFFFAOYSA-N -1 1 333.348 -0.329 20 0 EBADMM C[C@@H]1COCC[C@H]1C(=O)N(C)CCOCCNCc1n[nH]c(=O)[n-]1 ZINC001333251456 841835892 /nfs/dbraw/zinc/83/58/92/841835892.db2.gz VDRNCUSYNFKFMQ-VXGBXAGGSA-N -1 1 341.412 -0.253 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)Cc3ccon3)CC2)nc1=O ZINC001333317283 841849064 /nfs/dbraw/zinc/84/90/64/841849064.db2.gz ZLLFEYMXOXXCHT-UHFFFAOYSA-N -1 1 332.364 -0.413 20 0 EBADMM CO[C@H](CNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@@H]1CCOC1 ZINC001333324338 841850366 /nfs/dbraw/zinc/85/03/66/841850366.db2.gz BGCVPDDMPFKOFR-ZWNOBZJWSA-N -1 1 347.375 -0.552 20 0 EBADMM C[C@@H](NC(=O)C1(C)CC1)[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001333918248 841966316 /nfs/dbraw/zinc/96/63/16/841966316.db2.gz YKLKDDNYXGDWTI-WDEREUQCSA-N -1 1 336.392 -0.264 20 0 EBADMM CNC(=O)CC(=O)NC[C@@H]1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001338350000 842786565 /nfs/dbraw/zinc/78/65/65/842786565.db2.gz LHHBZKYCEHLIFI-GHMZBOCLSA-N -1 1 334.376 -0.062 20 0 EBADMM COc1ccc(NC(=S)NCC(N)=O)cc1[N-]S(C)(=O)=O ZINC001338366149 842787633 /nfs/dbraw/zinc/78/76/33/842787633.db2.gz OTZOKSMORTVLMZ-UHFFFAOYSA-N -1 1 332.407 -0.162 20 0 EBADMM COC(=O)c1cc(NC(=O)C(=O)NCc2nn[n-]n2)c(F)cc1F ZINC001339329142 842908907 /nfs/dbraw/zinc/90/89/07/842908907.db2.gz YVTBXQNMEUYCOS-UHFFFAOYSA-N -1 1 340.246 -0.481 20 0 EBADMM C[C@H](C(=O)NN1CC(=O)[N-]C1=O)c1ccc(S(C)(=O)=O)cc1 ZINC001340109494 842972036 /nfs/dbraw/zinc/97/20/36/842972036.db2.gz HRWJEVOTUSUBFO-QMMMGPOBSA-N -1 1 325.346 -0.223 20 0 EBADMM C[C@@H](c1cccnc1)N1CCN(C(=O)[C@@]2(C(=O)[O-])CNCCO2)CC1 ZINC001340118642 842972866 /nfs/dbraw/zinc/97/28/66/842972866.db2.gz KCDAMVDSQFNIKN-SUMWQHHRSA-N -1 1 348.403 -0.270 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2CCCN(CC(F)(F)F)CC2)CNCCO1 ZINC001340155497 842976112 /nfs/dbraw/zinc/97/61/12/842976112.db2.gz PYOZOGMXRKRMIB-GFCCVEGCSA-N -1 1 339.314 -0.474 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2CCN(CC(F)(F)F)CC2)CNCCO1 ZINC001340788764 843031895 /nfs/dbraw/zinc/03/18/95/843031895.db2.gz GAJKEJGAOPYGHI-LLVKDONJSA-N -1 1 325.287 -0.864 20 0 EBADMM CO[C@]1(C(F)(F)F)CCN(C(=O)[C@@]2(C(=O)[O-])CNCCO2)C1 ZINC001340949875 843046588 /nfs/dbraw/zinc/04/65/88/843046588.db2.gz BZRJSCIVTKMFEW-GHMZBOCLSA-N -1 1 326.271 -0.391 20 0 EBADMM COc1ccc(NC(=O)C(=O)N[C@H](C)CO)cc1[N-]S(C)(=O)=O ZINC001341715350 843108416 /nfs/dbraw/zinc/10/84/16/843108416.db2.gz SWCVENAXAIWJSM-MRVPVSSYSA-N -1 1 345.377 -0.498 20 0 EBADMM CC(C)(C)CC(=O)N1CCN(C(=O)[C@]2(C(=O)[O-])CNCCO2)CC1 ZINC001342106716 843140200 /nfs/dbraw/zinc/14/02/00/843140200.db2.gz LAYZJPMBASLQPC-INIZCTEOSA-N -1 1 341.408 -0.463 20 0 EBADMM Cc1nc(CN2CCN(c3nnc(-c4nnn[n-]4)n3C)CC2)oc1C ZINC001345703123 843419501 /nfs/dbraw/zinc/41/95/01/843419501.db2.gz WZCMGSIDCFWITA-UHFFFAOYSA-N -1 1 344.383 -0.078 20 0 EBADMM Cc1nc(CN2CCN(c3nnc(-c4nn[n-]n4)n3C)CC2)oc1C ZINC001345703123 843419506 /nfs/dbraw/zinc/41/95/06/843419506.db2.gz WZCMGSIDCFWITA-UHFFFAOYSA-N -1 1 344.383 -0.078 20 0 EBADMM CC(=O)N1CCN(CCNC(=O)c2c(C)[nH]c(=O)[n-]c2=S)CC1 ZINC001346279802 843497247 /nfs/dbraw/zinc/49/72/47/843497247.db2.gz MMVCXJYWQCJIHF-UHFFFAOYSA-N -1 1 339.421 -0.327 20 0 EBADMM Cc1ccc(C(=O)NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)n1C ZINC001348461336 843700648 /nfs/dbraw/zinc/70/06/48/843700648.db2.gz YTWFZKTYMIQPFF-UHFFFAOYSA-N -1 1 343.347 -0.776 20 0 EBADMM O=C1CCCN1C[C@@H](O)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC001348562726 843709192 /nfs/dbraw/zinc/70/91/92/843709192.db2.gz NYOXWNXEINNWLM-QMMMGPOBSA-N -1 1 335.332 -0.379 20 0 EBADMM O=C(NCCNC(=O)C1C=CC=CC=C1)c1cc(=O)n2[n-]cnc2n1 ZINC001349141685 843825605 /nfs/dbraw/zinc/82/56/05/843825605.db2.gz AJHJPVYZVKLWHC-UHFFFAOYSA-N -1 1 340.343 -0.438 20 0 EBADMM Cn1ccc(C(=O)NCCCNC(=O)c2cc(=O)n3[n-]cnc3n2)c1 ZINC001349903178 843948681 /nfs/dbraw/zinc/94/86/81/843948681.db2.gz HECLWWWMTZNUBX-UHFFFAOYSA-N -1 1 343.347 -0.694 20 0 EBADMM CN1CCCC[C@H]1CN1CCN(CCS(=O)(=O)CC(=O)[O-])CC1 ZINC001610950914 970804693 /nfs/dbraw/zinc/80/46/93/970804693.db2.gz ZVPTXEJLVHOQPM-AWEZNQCLSA-N -1 1 347.481 -0.412 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)CCNc1nccnc1-c1nnn[n-]1 ZINC001573468568 945998458 /nfs/dbraw/zinc/99/84/58/945998458.db2.gz PLERNQIWEJYAPQ-MRVPVSSYSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)CCNc1nccnc1-c1nn[n-]n1 ZINC001573468568 945998470 /nfs/dbraw/zinc/99/84/70/945998470.db2.gz PLERNQIWEJYAPQ-MRVPVSSYSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)[C@@H](C)CNc1cncc(-c2nnn[n-]2)n1 ZINC001573469774 946011876 /nfs/dbraw/zinc/01/18/76/946011876.db2.gz XJGLRSZGNIMVDJ-IUCAKERBSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)[C@@H](C)CNc1cncc(-c2nn[n-]n2)n1 ZINC001573469774 946011886 /nfs/dbraw/zinc/01/18/86/946011886.db2.gz XJGLRSZGNIMVDJ-IUCAKERBSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@@H](C)CNc1nccnc1-c1nnn[n-]1 ZINC001573476136 946114556 /nfs/dbraw/zinc/11/45/56/946114556.db2.gz CTXZAYDZVCAAEG-IUCAKERBSA-N -1 1 347.383 -0.655 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@@H](C)CNc1nccnc1-c1nn[n-]n1 ZINC001573476136 946114561 /nfs/dbraw/zinc/11/45/61/946114561.db2.gz CTXZAYDZVCAAEG-IUCAKERBSA-N -1 1 347.383 -0.655 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@@H](C)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001573476848 946126360 /nfs/dbraw/zinc/12/63/60/946126360.db2.gz JUXFBJKDPONSTK-RKDXNWHRSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@H](C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001573478784 946152011 /nfs/dbraw/zinc/15/20/11/946152011.db2.gz YQYHGNPQBCLNBW-JGVFFNPUSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@H](C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001573478784 946152016 /nfs/dbraw/zinc/15/20/16/946152016.db2.gz YQYHGNPQBCLNBW-JGVFFNPUSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NCC[C@@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001573479604 946165525 /nfs/dbraw/zinc/16/55/25/946165525.db2.gz FXIKXROLGWWRIX-BDAKNGLRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NCC[C@@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001573479604 946165529 /nfs/dbraw/zinc/16/55/29/946165529.db2.gz FXIKXROLGWWRIX-BDAKNGLRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NCC[C@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001573482462 946196431 /nfs/dbraw/zinc/19/64/31/946196431.db2.gz WTCQDHHLVVYPMI-IUCAKERBSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NCC[C@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001573482462 946196438 /nfs/dbraw/zinc/19/64/38/946196438.db2.gz WTCQDHHLVVYPMI-IUCAKERBSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@H](C)CNc1cncc(-c2nnn[n-]2)n1 ZINC001573483334 946205425 /nfs/dbraw/zinc/20/54/25/946205425.db2.gz DMMUMLDTMPLHNC-HTQZYQBOSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@H](C)CNc1cncc(-c2nn[n-]n2)n1 ZINC001573483334 946205436 /nfs/dbraw/zinc/20/54/36/946205436.db2.gz DMMUMLDTMPLHNC-HTQZYQBOSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)NCC(=O)N1C[C@H](Nc2ccc(-c3nnn[n-]3)nn2)[C@@H](C)C1 ZINC001573502449 946387112 /nfs/dbraw/zinc/38/71/12/946387112.db2.gz WCEXTNKGJPWJKB-KWQFWETISA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)NCC(=O)N1C[C@H](Nc2ccc(-c3nn[n-]n3)nn2)[C@@H](C)C1 ZINC001573502449 946387121 /nfs/dbraw/zinc/38/71/21/946387121.db2.gz WCEXTNKGJPWJKB-KWQFWETISA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@H](CNc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001573504009 946404006 /nfs/dbraw/zinc/40/40/06/946404006.db2.gz DRGOTWRGYCOWPI-SNVBAGLBSA-N -1 1 345.367 -0.947 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@H](CNc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001573504009 946404009 /nfs/dbraw/zinc/40/40/09/946404009.db2.gz DRGOTWRGYCOWPI-SNVBAGLBSA-N -1 1 345.367 -0.947 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](CNc1ccc(-c2nnn[n-]2)nn1)C1CC1 ZINC001573511417 946485222 /nfs/dbraw/zinc/48/52/22/946485222.db2.gz POJAIALMURPJCL-NSHDSACASA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)C1CC1 ZINC001573511417 946485226 /nfs/dbraw/zinc/48/52/26/946485226.db2.gz POJAIALMURPJCL-NSHDSACASA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)C[C@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001573511428 946485556 /nfs/dbraw/zinc/48/55/56/946485556.db2.gz PWMHQRNKABDLIC-BDAKNGLRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)C[C@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001573511428 946485558 /nfs/dbraw/zinc/48/55/58/946485558.db2.gz PWMHQRNKABDLIC-BDAKNGLRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NCC(=O)NC[C@H](Nc1nccnc1-c1nnn[n-]1)C(C)C ZINC001573517001 946564286 /nfs/dbraw/zinc/56/42/86/946564286.db2.gz CDNHCFZGXNZYLJ-JTQLQIEISA-N -1 1 347.383 -0.655 20 0 EBADMM CC(=O)NCC(=O)NC[C@H](Nc1nccnc1-c1nn[n-]n1)C(C)C ZINC001573517001 946564293 /nfs/dbraw/zinc/56/42/93/946564293.db2.gz CDNHCFZGXNZYLJ-JTQLQIEISA-N -1 1 347.383 -0.655 20 0 EBADMM CC(=O)NCC(=O)NC[C@H](Nc1cnc(-c2nnn[n-]2)cn1)C1CC1 ZINC001573517245 946566801 /nfs/dbraw/zinc/56/68/01/946566801.db2.gz FPIZVNZMMZDGIR-JTQLQIEISA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)NC[C@H](Nc1cnc(-c2nn[n-]n2)cn1)C1CC1 ZINC001573517245 946566808 /nfs/dbraw/zinc/56/68/08/946566808.db2.gz FPIZVNZMMZDGIR-JTQLQIEISA-N -1 1 345.367 -0.901 20 0 EBADMM C=CS(=O)(=O)CCC(=O)Nc1nn(C)c(C)c1-c1nnn[n-]1 ZINC001573350856 947603948 /nfs/dbraw/zinc/60/39/48/947603948.db2.gz JPDYTZFZIHFYGU-UHFFFAOYSA-N -1 1 325.354 -0.204 20 0 EBADMM C=CS(=O)(=O)CCC(=O)Nc1nn(C)c(C)c1-c1nn[n-]n1 ZINC001573350856 947603955 /nfs/dbraw/zinc/60/39/55/947603955.db2.gz JPDYTZFZIHFYGU-UHFFFAOYSA-N -1 1 325.354 -0.204 20 0 EBADMM C[C@@H](C(=O)N1CC[C@H](n2cncn2)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573622569 947681668 /nfs/dbraw/zinc/68/16/68/947681668.db2.gz BAPDXGLYGHHSPK-IUCAKERBSA-N -1 1 329.328 -0.916 20 0 EBADMM C[C@H](C(=O)N1CC[C@@](O)(C(F)F)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573626952 947718091 /nfs/dbraw/zinc/71/80/91/947718091.db2.gz XUVFHEJLHRWYSD-KBUNVGBDSA-N -1 1 328.283 -0.752 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)[C@H](C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001573399207 947990590 /nfs/dbraw/zinc/99/05/90/947990590.db2.gz SXDRPESALCAYJI-DTWKUNHWSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)[C@H](C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001573399207 947990594 /nfs/dbraw/zinc/99/05/94/947990594.db2.gz SXDRPESALCAYJI-DTWKUNHWSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@@H](C)Nc1nc(C)cc(-c2nnn[n-]2)n1 ZINC001573404064 948016486 /nfs/dbraw/zinc/01/64/86/948016486.db2.gz PFXPKRBFLQHLIJ-SECBINFHSA-N -1 1 347.383 -0.640 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@@H](C)Nc1nc(C)cc(-c2nn[n-]n2)n1 ZINC001573404064 948016497 /nfs/dbraw/zinc/01/64/97/948016497.db2.gz PFXPKRBFLQHLIJ-SECBINFHSA-N -1 1 347.383 -0.640 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H]1C[C@@H](Nc2cncc(-c3nnn[n-]3)n2)C1 ZINC001573408157 948062106 /nfs/dbraw/zinc/06/21/06/948062106.db2.gz CLVRDPBXBPAZOY-AOOOYVTPSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H]1C[C@@H](Nc2cncc(-c3nn[n-]n3)n2)C1 ZINC001573408157 948062115 /nfs/dbraw/zinc/06/21/15/948062115.db2.gz CLVRDPBXBPAZOY-AOOOYVTPSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N1CC(C(=O)NC[C@H](C)Nc2cncc(-c3nnn[n-]3)n2)C1 ZINC001573419106 948121613 /nfs/dbraw/zinc/12/16/13/948121613.db2.gz JYPLTWRVWIOVFM-QMMMGPOBSA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)N1CC(C(=O)NC[C@H](C)Nc2cncc(-c3nn[n-]n3)n2)C1 ZINC001573419106 948121617 /nfs/dbraw/zinc/12/16/17/948121617.db2.gz JYPLTWRVWIOVFM-QMMMGPOBSA-N -1 1 345.367 -0.948 20 0 EBADMM C[C@@H](C(=O)NC[C@@H](CO)Cc1ccoc1)n1cnc(-c2nn[n-]n2)n1 ZINC001573680677 948185749 /nfs/dbraw/zinc/18/57/49/948185749.db2.gz REAOPVVDAKVDGL-ONGXEEELSA-N -1 1 346.351 -0.420 20 0 EBADMM CC(=O)N1CCC[C@@H](N(CCO)c2nc(C)cc(-c3nnn[n-]3)n2)C1 ZINC001573441974 948281213 /nfs/dbraw/zinc/28/12/13/948281213.db2.gz DQLUUGLGVBYOLC-GFCCVEGCSA-N -1 1 346.395 -0.225 20 0 EBADMM CC(=O)N1CCC[C@@H](N(CCO)c2nc(C)cc(-c3nn[n-]n3)n2)C1 ZINC001573441974 948281224 /nfs/dbraw/zinc/28/12/24/948281224.db2.gz DQLUUGLGVBYOLC-GFCCVEGCSA-N -1 1 346.395 -0.225 20 0 EBADMM CC(=O)NCCN(C(=O)CN1CCC(CO)CC1)[C@@H](C)C(=O)[O-] ZINC001602755960 971469166 /nfs/dbraw/zinc/46/91/66/971469166.db2.gz YONQNZMJVCJGEO-NSHDSACASA-N -1 1 329.397 -0.872 20 0 EBADMM C[C@@H](C(=O)NCCN(C)c1cncc(-c2nnn[n-]2)n1)n1cncn1 ZINC001573720149 948530134 /nfs/dbraw/zinc/53/01/34/948530134.db2.gz PFRHWRWTVXDNLA-VIFPVBQESA-N -1 1 343.355 -0.938 20 0 EBADMM C[C@@H](C(=O)NCCN(C)c1cncc(-c2nn[n-]n2)n1)n1cncn1 ZINC001573720149 948530142 /nfs/dbraw/zinc/53/01/42/948530142.db2.gz PFRHWRWTVXDNLA-VIFPVBQESA-N -1 1 343.355 -0.938 20 0 EBADMM C[C@H](C(=O)NCc1cnc(Cl)cn1)n1cnc(-c2nn[n-]n2)n1 ZINC001573729161 948567388 /nfs/dbraw/zinc/56/73/88/948567388.db2.gz HWMLQCPYKUCWAN-ZCFIWIBFSA-N -1 1 334.731 -0.221 20 0 EBADMM Cn1cc(NC(=O)Cc2ccc(-c3nnn[n-]3)nc2)cc1C(N)=O ZINC001570925173 948663606 /nfs/dbraw/zinc/66/36/06/948663606.db2.gz AIEXFVLHCDBHBN-UHFFFAOYSA-N -1 1 326.320 -0.120 20 0 EBADMM Cn1cc(NC(=O)Cc2ccc(-c3nn[n-]n3)nc2)cc1C(N)=O ZINC001570925173 948663610 /nfs/dbraw/zinc/66/36/10/948663610.db2.gz AIEXFVLHCDBHBN-UHFFFAOYSA-N -1 1 326.320 -0.120 20 0 EBADMM CS(=O)(=O)N[C@H]1CCN(C(=O)c2coc(-c3nnn[n-]3)c2)C1 ZINC001570925308 948667119 /nfs/dbraw/zinc/66/71/19/948667119.db2.gz FZXQAQQQPOLWAY-QMMMGPOBSA-N -1 1 326.338 -0.777 20 0 EBADMM CS(=O)(=O)N[C@H]1CCN(C(=O)c2coc(-c3nn[n-]n3)c2)C1 ZINC001570925308 948667123 /nfs/dbraw/zinc/66/71/23/948667123.db2.gz FZXQAQQQPOLWAY-QMMMGPOBSA-N -1 1 326.338 -0.777 20 0 EBADMM O=C(N[C@@H]1CCN(c2cnc(-c3nnn[n-]3)cn2)C1)c1ccon1 ZINC001570926670 948695679 /nfs/dbraw/zinc/69/56/79/948695679.db2.gz DFMAGHGSZCADKN-MRVPVSSYSA-N -1 1 327.308 -0.347 20 0 EBADMM O=C(N[C@@H]1CCN(c2cnc(-c3nn[n-]n3)cn2)C1)c1ccon1 ZINC001570926670 948695682 /nfs/dbraw/zinc/69/56/82/948695682.db2.gz DFMAGHGSZCADKN-MRVPVSSYSA-N -1 1 327.308 -0.347 20 0 EBADMM O=C(N[C@@H]1CCN(c2ccnc(-c3nn[n-]n3)n2)C1)c1ccon1 ZINC001570926792 948696990 /nfs/dbraw/zinc/69/69/90/948696990.db2.gz FHSHCRMTAKJMHU-MRVPVSSYSA-N -1 1 327.308 -0.347 20 0 EBADMM O=C(N[C@H]1C[C@@H](Nc2ccnc(-c3nn[n-]n3)n2)C1)[C@@H]1CCOC1 ZINC001570927383 948710911 /nfs/dbraw/zinc/71/09/11/948710911.db2.gz FBTBYAWZQGGNNL-BBBLOLIVSA-N -1 1 330.352 -0.826 20 0 EBADMM O=C1NC[C@H](O)CC12CCN(c1ccc(-c3nnn[n-]3)nn1)CC2 ZINC001570929126 948752551 /nfs/dbraw/zinc/75/25/51/948752551.db2.gz ZSFJZMXOKRQCDB-SECBINFHSA-N -1 1 330.352 -0.876 20 0 EBADMM O=C1NC[C@H](O)CC12CCN(c1ccc(-c3nn[n-]n3)nn1)CC2 ZINC001570929126 948752566 /nfs/dbraw/zinc/75/25/66/948752566.db2.gz ZSFJZMXOKRQCDB-SECBINFHSA-N -1 1 330.352 -0.876 20 0 EBADMM C[C@H](C(=O)Nc1n[nH]cc1C(=O)N(C)C)n1cnc(-c2nn[n-]n2)n1 ZINC001573755640 948775574 /nfs/dbraw/zinc/77/55/74/948775574.db2.gz HLLDZWRJBYTJDB-ZCFIWIBFSA-N -1 1 345.327 -0.917 20 0 EBADMM C[C@@H](CCNc1cnc(-c2nnn[n-]2)cn1)NC(=O)c1cn(C)nn1 ZINC001574679411 948782307 /nfs/dbraw/zinc/78/23/07/948782307.db2.gz UWUNWHGTAZFKSK-QMMMGPOBSA-N -1 1 343.355 -0.594 20 0 EBADMM C[C@@H](CCNc1cnc(-c2nn[n-]n2)cn1)NC(=O)c1cn(C)nn1 ZINC001574679411 948782312 /nfs/dbraw/zinc/78/23/12/948782312.db2.gz UWUNWHGTAZFKSK-QMMMGPOBSA-N -1 1 343.355 -0.594 20 0 EBADMM CN(C)S(=O)(=O)CC(=O)NCc1ccc(-c2nn[n-]n2)s1 ZINC001570930035 948798749 /nfs/dbraw/zinc/79/87/49/948798749.db2.gz ZHGHLIARHIKAEP-UHFFFAOYSA-N -1 1 330.395 -0.564 20 0 EBADMM COC(=O)[C@@H]1OCC[C@@H]1NC(=O)Nc1ccnc(-c2nnn[n-]2)c1 ZINC001570930577 948817543 /nfs/dbraw/zinc/81/75/43/948817543.db2.gz MOYHAHSWRFIFEG-WCBMZHEXSA-N -1 1 333.308 -0.286 20 0 EBADMM COC(=O)[C@@H]1OCC[C@@H]1NC(=O)Nc1ccnc(-c2nn[n-]n2)c1 ZINC001570930577 948817564 /nfs/dbraw/zinc/81/75/64/948817564.db2.gz MOYHAHSWRFIFEG-WCBMZHEXSA-N -1 1 333.308 -0.286 20 0 EBADMM C[C@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)[C@H]1C[C@H]1C(N)=O ZINC001574681374 948819850 /nfs/dbraw/zinc/81/98/50/948819850.db2.gz MHVTURZRDQPTON-HLTSFMKQSA-N -1 1 345.367 -0.915 20 0 EBADMM C[C@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)[C@H]1C[C@H]1C(N)=O ZINC001574681374 948819868 /nfs/dbraw/zinc/81/98/68/948819868.db2.gz MHVTURZRDQPTON-HLTSFMKQSA-N -1 1 345.367 -0.915 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)[C@H]1COCCO1 ZINC001574682208 948825851 /nfs/dbraw/zinc/82/58/51/948825851.db2.gz QBEXRJPUNIRQAX-GXSJLCMTSA-N -1 1 348.367 -0.621 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)[C@H]1COCCO1 ZINC001574682208 948825869 /nfs/dbraw/zinc/82/58/69/948825869.db2.gz QBEXRJPUNIRQAX-GXSJLCMTSA-N -1 1 348.367 -0.621 20 0 EBADMM CN(C[C@H]1CCCC[C@H]1O)c1[nH]c(=O)n(C)c(=O)c1-c1nn[n-]n1 ZINC001570933245 948882172 /nfs/dbraw/zinc/88/21/72/948882172.db2.gz KDIYLQXMOAMIAY-RKDXNWHRSA-N -1 1 335.368 -0.759 20 0 EBADMM CC[C@@H](C)NC(=O)[C@@H](C)Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[n-]n1 ZINC001570933658 948902863 /nfs/dbraw/zinc/90/28/63/948902863.db2.gz BQSMEFGYMYVYPC-RNFRBKRXSA-N -1 1 336.356 -0.619 20 0 EBADMM C[C@H](CC(N)=O)C(=O)N[C@@H](C)CCNc1nccnc1-c1nnn[n-]1 ZINC001574690727 949006097 /nfs/dbraw/zinc/00/60/97/949006097.db2.gz QQEUAHDPNAHXQC-BDAKNGLRSA-N -1 1 347.383 -0.525 20 0 EBADMM C[C@H](CC(N)=O)C(=O)N[C@@H](C)CCNc1nccnc1-c1nn[n-]n1 ZINC001574690727 949006115 /nfs/dbraw/zinc/00/61/15/949006115.db2.gz QQEUAHDPNAHXQC-BDAKNGLRSA-N -1 1 347.383 -0.525 20 0 EBADMM Cc1nnc([C@@H](C)[N-]S(=O)(=O)c2ccc(-c3nn[nH]n3)cn2)o1 ZINC001570936191 949020875 /nfs/dbraw/zinc/02/08/75/949020875.db2.gz XSVJSHSCHSYFPL-ZCFIWIBFSA-N -1 1 336.337 -0.007 20 0 EBADMM CC(C)C1(NC(=O)CCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)CC1 ZINC001574092505 949066172 /nfs/dbraw/zinc/06/61/72/949066172.db2.gz SMSYGWDHNBFGED-UHFFFAOYSA-N -1 1 333.352 -0.176 20 0 EBADMM C[C@@H](C(=O)N1CC[C@H](c2ncccn2)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001570937528 949079955 /nfs/dbraw/zinc/07/99/55/949079955.db2.gz FQTKSKFROKGWAG-UWVGGRQHSA-N -1 1 340.351 -0.175 20 0 EBADMM CC(C)c1noc(NC(=O)NCCn2cnc(-c3nn[n-]n3)n2)n1 ZINC001574442046 949092509 /nfs/dbraw/zinc/09/25/09/949092509.db2.gz KHHJJUYNAISGGW-UHFFFAOYSA-N -1 1 333.316 -0.214 20 0 EBADMM Cn1cc(C(=O)N2CC[C@@H](Nc3nccnc3-c3nnn[n-]3)C2)cn1 ZINC001570938328 949102148 /nfs/dbraw/zinc/10/21/48/949102148.db2.gz PUMWUEIXCJIIKM-SNVBAGLBSA-N -1 1 340.351 -0.283 20 0 EBADMM Cn1cc(C(=O)N2CC[C@@H](Nc3nccnc3-c3nn[n-]n3)C2)cn1 ZINC001570938328 949102155 /nfs/dbraw/zinc/10/21/55/949102155.db2.gz PUMWUEIXCJIIKM-SNVBAGLBSA-N -1 1 340.351 -0.283 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)Cn1cncn1 ZINC001574695598 949115189 /nfs/dbraw/zinc/11/51/89/949115189.db2.gz IRIOJKZERCUJNT-VIFPVBQESA-N -1 1 343.355 -0.745 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)Cn1cncn1 ZINC001574695598 949115198 /nfs/dbraw/zinc/11/51/98/949115198.db2.gz IRIOJKZERCUJNT-VIFPVBQESA-N -1 1 343.355 -0.745 20 0 EBADMM C[C@@H](CCNc1snc(Cl)c1-c1nnn[n-]1)NC(=O)C(N)=O ZINC001574696606 949135797 /nfs/dbraw/zinc/13/57/97/949135797.db2.gz SAYSVVNPLRZJSC-BYPYZUCNSA-N -1 1 344.788 -0.231 20 0 EBADMM C[C@@H](CCNc1snc(Cl)c1-c1nn[n-]n1)NC(=O)C(N)=O ZINC001574696606 949135807 /nfs/dbraw/zinc/13/58/07/949135807.db2.gz SAYSVVNPLRZJSC-BYPYZUCNSA-N -1 1 344.788 -0.231 20 0 EBADMM C[C@@H](c1ccccc1)[C@@H](CO)NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC001575514773 949177085 /nfs/dbraw/zinc/17/70/85/949177085.db2.gz YUGPWJBOOXBCBY-CMPLNLGQSA-N -1 1 342.363 -0.261 20 0 EBADMM CC(C)c1ocnc1Cn1c(=O)c(-c2nn[n-]n2)cn(CCO)c1=O ZINC001574448324 949182484 /nfs/dbraw/zinc/18/24/84/949182484.db2.gz INEPDYANUZHFOR-UHFFFAOYSA-N -1 1 347.335 -0.658 20 0 EBADMM O=C(c1cnco1)N1CCCN(c2ccnc(-c3nn[n-]n3)n2)CC1 ZINC001570940472 949182541 /nfs/dbraw/zinc/18/25/41/949182541.db2.gz LVZWWNZQHBQIJR-UHFFFAOYSA-N -1 1 341.335 -0.003 20 0 EBADMM CNC(=O)[C@@H]1CCN(S(=O)(=O)c2ccc(-c3nnn[n-]3)s2)C1 ZINC001570940750 949191595 /nfs/dbraw/zinc/19/15/95/949191595.db2.gz OWEATOSAKNKTBQ-SSDOTTSWSA-N -1 1 342.406 -0.315 20 0 EBADMM CNC(=O)[C@@H]1CCN(S(=O)(=O)c2ccc(-c3nn[n-]n3)s2)C1 ZINC001570940750 949191605 /nfs/dbraw/zinc/19/16/05/949191605.db2.gz OWEATOSAKNKTBQ-SSDOTTSWSA-N -1 1 342.406 -0.315 20 0 EBADMM Cc1nn[nH]c1C(=O)N[C@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C1 ZINC001570941375 949220828 /nfs/dbraw/zinc/22/08/28/949220828.db2.gz ULMVLUARAROIAP-QMMMGPOBSA-N -1 1 341.339 -0.908 20 0 EBADMM O=C(Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)Nc1ccc(CO)cc1 ZINC001570942114 949265970 /nfs/dbraw/zinc/26/59/70/949265970.db2.gz GRCPMJIMCWXUAW-UHFFFAOYSA-N -1 1 343.303 -0.740 20 0 EBADMM CO[C@@H]1CN(S(=O)(=O)c2ccc(F)cc2-c2nn[n-]n2)C[C@H]1O ZINC001570942313 949276945 /nfs/dbraw/zinc/27/69/45/949276945.db2.gz JXOIMKNEZKLSLN-NXEZZACHSA-N -1 1 343.340 -0.614 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)N[C@H](C)CS(C)(=O)=O ZINC001570942604 949300767 /nfs/dbraw/zinc/30/07/67/949300767.db2.gz RLRHGMJYABRCRP-SSDOTTSWSA-N -1 1 340.365 -0.561 20 0 EBADMM Cc1onc(CC(=O)NCc2nnc3n2CCOC3)c1-c1nnn[n-]1 ZINC001570944322 949413607 /nfs/dbraw/zinc/41/36/07/949413607.db2.gz NBTNPAKSXFXUOT-UHFFFAOYSA-N -1 1 345.323 -0.856 20 0 EBADMM Cc1onc(CC(=O)NCc2nnc3n2CCOC3)c1-c1nn[n-]n1 ZINC001570944322 949413613 /nfs/dbraw/zinc/41/36/13/949413613.db2.gz NBTNPAKSXFXUOT-UHFFFAOYSA-N -1 1 345.323 -0.856 20 0 EBADMM CC(C)CC(=O)N[C@@H]1CCN(c2nccnc2-c2nnn[n-]2)C[C@H]1O ZINC001574116994 949441538 /nfs/dbraw/zinc/44/15/38/949441538.db2.gz IWZKNBIRUUDPLX-GHMZBOCLSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)CC(=O)N[C@@H]1CCN(c2nccnc2-c2nn[n-]n2)C[C@H]1O ZINC001574116994 949441560 /nfs/dbraw/zinc/44/15/60/949441560.db2.gz IWZKNBIRUUDPLX-GHMZBOCLSA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@H](CNC(=O)Cn1ccnc1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574947434 949447128 /nfs/dbraw/zinc/44/71/28/949447128.db2.gz AXABJQGBQNQKOG-SECBINFHSA-N -1 1 328.340 -0.530 20 0 EBADMM C[C@H](CNC(=O)Cn1ccnc1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574947434 949447135 /nfs/dbraw/zinc/44/71/35/949447135.db2.gz AXABJQGBQNQKOG-SECBINFHSA-N -1 1 328.340 -0.530 20 0 EBADMM CC(C)CC(=O)N[C@@H]1CCN(c2cncc(-c3nnn[n-]3)n2)C[C@@H]1O ZINC001574117079 949447488 /nfs/dbraw/zinc/44/74/88/949447488.db2.gz KZXKDTOVFAXGCJ-PWSUYJOCSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)CC(=O)N[C@@H]1CCN(c2cncc(-c3nn[n-]n3)n2)C[C@@H]1O ZINC001574117079 949447500 /nfs/dbraw/zinc/44/75/00/949447500.db2.gz KZXKDTOVFAXGCJ-PWSUYJOCSA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@H](CNC(=O)Cn1cccn1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001574948862 949476378 /nfs/dbraw/zinc/47/63/78/949476378.db2.gz NYAXFFLYOZOSNJ-SNVBAGLBSA-N -1 1 342.367 -0.506 20 0 EBADMM C[C@H](CNC(=O)Cn1cccn1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001574948862 949476392 /nfs/dbraw/zinc/47/63/92/949476392.db2.gz NYAXFFLYOZOSNJ-SNVBAGLBSA-N -1 1 342.367 -0.506 20 0 EBADMM O=C(N[C@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C1)[C@H]1CCCCO1 ZINC001570945543 949489933 /nfs/dbraw/zinc/48/99/33/949489933.db2.gz DLQJFSIITVIVFT-CMPLNLGQSA-N -1 1 344.379 -0.079 20 0 EBADMM O=C(N[C@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C1)[C@H]1CCCCO1 ZINC001570945543 949489945 /nfs/dbraw/zinc/48/99/45/949489945.db2.gz DLQJFSIITVIVFT-CMPLNLGQSA-N -1 1 344.379 -0.079 20 0 EBADMM CC(C)(C)C(=O)N[C@@H]1CN(c2cnc(-c3nnn[n-]3)cn2)C[C@@H]1O ZINC001573806474 949490461 /nfs/dbraw/zinc/49/04/61/949490461.db2.gz PAWXZSKAVNKKRA-ZJUUUORDSA-N -1 1 332.368 -0.632 20 0 EBADMM CC(C)(C)C(=O)N[C@@H]1CN(c2cnc(-c3nn[n-]n3)cn2)C[C@@H]1O ZINC001573806474 949490478 /nfs/dbraw/zinc/49/04/78/949490478.db2.gz PAWXZSKAVNKKRA-ZJUUUORDSA-N -1 1 332.368 -0.632 20 0 EBADMM CC(C)CC(=O)NC[C@]1(O)CCN(c2nccnc2-c2nnn[n-]2)C1 ZINC001574119010 949496975 /nfs/dbraw/zinc/49/69/75/949496975.db2.gz HJBAAMNMAQGGMV-OAHLLOKOSA-N -1 1 346.395 -0.240 20 0 EBADMM CC(C)CC(=O)NC[C@]1(O)CCN(c2nccnc2-c2nn[n-]n2)C1 ZINC001574119010 949497001 /nfs/dbraw/zinc/49/70/01/949497001.db2.gz HJBAAMNMAQGGMV-OAHLLOKOSA-N -1 1 346.395 -0.240 20 0 EBADMM O=C(N[C@H]1CCCN(c2ccnc(-c3nn[n-]n3)n2)C1)[C@@H]1CCOC1 ZINC001570946234 949522307 /nfs/dbraw/zinc/52/23/07/949522307.db2.gz OJTFHVYRRNUVCY-MNOVXSKESA-N -1 1 344.379 -0.222 20 0 EBADMM O=C([C@H]1COCCO1)N1CC[C@H](Nc2cncc(-c3nnn[n-]3)n2)C1 ZINC001570946640 949541245 /nfs/dbraw/zinc/54/12/45/949541245.db2.gz OHVYXQRSIJGPJB-GXSJLCMTSA-N -1 1 346.351 -0.915 20 0 EBADMM O=C([C@H]1COCCO1)N1CC[C@H](Nc2cncc(-c3nn[n-]n3)n2)C1 ZINC001570946640 949541260 /nfs/dbraw/zinc/54/12/60/949541260.db2.gz OHVYXQRSIJGPJB-GXSJLCMTSA-N -1 1 346.351 -0.915 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1COCCO1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574711781 949554456 /nfs/dbraw/zinc/55/44/56/949554456.db2.gz MCDFUWYKJWJENT-GXSJLCMTSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1COCCO1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574711781 949554473 /nfs/dbraw/zinc/55/44/73/949554473.db2.gz MCDFUWYKJWJENT-GXSJLCMTSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NC[C@H]1COCCN1c1nccnc1-c1nnn[n-]1 ZINC001570946965 949556595 /nfs/dbraw/zinc/55/65/95/949556595.db2.gz URFACQRWHASQBL-DCAQKATOSA-N -1 1 344.379 -0.366 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NC[C@H]1COCCN1c1nccnc1-c1nn[n-]n1 ZINC001570946965 949556613 /nfs/dbraw/zinc/55/66/13/949556613.db2.gz URFACQRWHASQBL-DCAQKATOSA-N -1 1 344.379 -0.366 20 0 EBADMM O=C(N[C@H]1C[C@@H](Nc2ccnc(-c3nn[n-]n3)n2)C1)[C@H]1CCCOC1 ZINC001570947190 949561783 /nfs/dbraw/zinc/56/17/83/949561783.db2.gz VKBAVVBWIOMUBP-GARJFASQSA-N -1 1 344.379 -0.436 20 0 EBADMM CO[C@@H](C)C(=O)N1CC[C@H](CN(C)c2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570947467 949571450 /nfs/dbraw/zinc/57/14/50/949571450.db2.gz WCLOMRJQTXLWKO-WDEREUQCSA-N -1 1 346.395 -0.024 20 0 EBADMM C[C@H](C(=O)N[C@@H]1C[C@H]2OC(C)(C)O[C@H]2C1)n1cnc(-c2nn[n-]n2)n1 ZINC001570948449 949608269 /nfs/dbraw/zinc/60/82/69/949608269.db2.gz GDNIDUMCXSAQJY-KYXWUPHJSA-N -1 1 348.367 -0.182 20 0 EBADMM O=C(N1CC[C@H](Nc2ccc(-c3nnn[n-]3)nn2)C1)[C@]1(F)CCOC1 ZINC001570948578 949613131 /nfs/dbraw/zinc/61/31/31/949613131.db2.gz HKQPJZMWOFUFHA-XPTSAGLGSA-N -1 1 348.342 -0.202 20 0 EBADMM O=C(N1CC[C@H](Nc2ccc(-c3nn[n-]n3)nn2)C1)[C@]1(F)CCOC1 ZINC001570948578 949613146 /nfs/dbraw/zinc/61/31/46/949613146.db2.gz HKQPJZMWOFUFHA-XPTSAGLGSA-N -1 1 348.342 -0.202 20 0 EBADMM C[C@@H](CNC(=O)[C@]12C[C@H]1COC2)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574957991 949648462 /nfs/dbraw/zinc/64/84/62/949648462.db2.gz KNXSJEZFAANUDC-FZNYLWTLSA-N -1 1 330.352 -0.390 20 0 EBADMM C[C@@H](CNC(=O)[C@]12C[C@H]1COC2)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574957991 949648474 /nfs/dbraw/zinc/64/84/74/949648474.db2.gz KNXSJEZFAANUDC-FZNYLWTLSA-N -1 1 330.352 -0.390 20 0 EBADMM C[C@@H](CNC(=O)[C@]12C[C@H]1COC2)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001574958204 949654594 /nfs/dbraw/zinc/65/45/94/949654594.db2.gz NWVBQACWMMFWDV-YHAQOWFVSA-N -1 1 344.379 -0.366 20 0 EBADMM C[C@@H](CNC(=O)[C@]12C[C@H]1COC2)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001574958204 949654604 /nfs/dbraw/zinc/65/46/04/949654604.db2.gz NWVBQACWMMFWDV-YHAQOWFVSA-N -1 1 344.379 -0.366 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)CNc1nccnc1-c1nnn[n-]1 ZINC001574958166 949657331 /nfs/dbraw/zinc/65/73/31/949657331.db2.gz MUFGCFXKSWKTQH-ZNSHCXBVSA-N -1 1 344.379 -0.287 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)CNc1nccnc1-c1nn[n-]n1 ZINC001574958166 949657344 /nfs/dbraw/zinc/65/73/44/949657344.db2.gz MUFGCFXKSWKTQH-ZNSHCXBVSA-N -1 1 344.379 -0.287 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)CCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)CCO1 ZINC001570950521 949687824 /nfs/dbraw/zinc/68/78/24/949687824.db2.gz KHOPQMGUPRRBDV-RKDXNWHRSA-N -1 1 349.351 -0.797 20 0 EBADMM CC(C)(C)CC(=O)N(CCO)CCNc1ccnc(-c2nn[n-]n2)n1 ZINC001573826311 949904595 /nfs/dbraw/zinc/90/45/95/949904595.db2.gz GPMLKYKYTRKJRU-UHFFFAOYSA-N -1 1 348.411 -0.252 20 0 EBADMM C[C@]1(C(=O)N[C@H]2CCN(c3nccnc3-c3nnn[n-]3)C2)CCOC1 ZINC001575569162 949911635 /nfs/dbraw/zinc/91/16/35/949911635.db2.gz HBXOGYJGGGNJLD-BONVTDFDSA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@]1(C(=O)N[C@H]2CCN(c3nccnc3-c3nn[n-]n3)C2)CCOC1 ZINC001575569162 949911653 /nfs/dbraw/zinc/91/16/53/949911653.db2.gz HBXOGYJGGGNJLD-BONVTDFDSA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@@H](CN(C)C(=O)Cc1nnc[nH]1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574732152 950067139 /nfs/dbraw/zinc/06/71/39/950067139.db2.gz GGWPVJZDQMUIHN-QMMMGPOBSA-N -1 1 343.355 -0.724 20 0 EBADMM CC(C)(C)CC(=O)NC[C@H](O)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001573836747 950089742 /nfs/dbraw/zinc/08/97/42/950089742.db2.gz POKPJSPWVZUKCP-SECBINFHSA-N -1 1 334.384 -0.018 20 0 EBADMM CC(C)(C)CC(=O)NC[C@H](O)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001573836747 950089767 /nfs/dbraw/zinc/08/97/67/950089767.db2.gz POKPJSPWVZUKCP-SECBINFHSA-N -1 1 334.384 -0.018 20 0 EBADMM C[C@H](CN(C)C(=O)[C@]12C[C@H]1COC2)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574733399 950108627 /nfs/dbraw/zinc/10/86/27/950108627.db2.gz ABPXOMYWQOTQAP-FTGAXOIBSA-N -1 1 344.379 -0.048 20 0 EBADMM C[C@H](CN(C)C(=O)[C@]12C[C@H]1COC2)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574733399 950108641 /nfs/dbraw/zinc/10/86/41/950108641.db2.gz ABPXOMYWQOTQAP-FTGAXOIBSA-N -1 1 344.379 -0.048 20 0 EBADMM CCN(C(=O)NC1CCN(CC(=O)[O-])CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001589703373 950248425 /nfs/dbraw/zinc/24/84/25/950248425.db2.gz FUHDSKYFWSQZOA-GFCCVEGCSA-N -1 1 347.437 -0.246 20 0 EBADMM C[C@@H](CNC(=O)c1c[nH]c(=O)n1C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574984153 950260670 /nfs/dbraw/zinc/26/06/70/950260670.db2.gz VZPPKANBIPYULB-ZETCQYMHSA-N -1 1 344.339 -0.674 20 0 EBADMM C[C@@H](CNC(=O)c1c[nH]c(=O)n1C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574984153 950260688 /nfs/dbraw/zinc/26/06/88/950260688.db2.gz VZPPKANBIPYULB-ZETCQYMHSA-N -1 1 344.339 -0.674 20 0 EBADMM C[C@@]1(C(=O)NCCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)CCCOC1 ZINC001575595108 950348442 /nfs/dbraw/zinc/34/84/42/950348442.db2.gz XOCFOAYDUCHWMN-CQSZACIVSA-N -1 1 349.351 -0.938 20 0 EBADMM CC(C)(C)OC(=O)[C@H]1C[C@@H](NC(=O)Cn2cnc(-c3nn[n-]n3)n2)C1 ZINC001573863488 950481495 /nfs/dbraw/zinc/48/14/95/950481495.db2.gz JTFNWXRUIFALDN-DTORHVGOSA-N -1 1 348.367 -0.305 20 0 EBADMM C[C@H](CN(C)C(=O)c1ccnn1C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574760989 950688832 /nfs/dbraw/zinc/68/88/32/950688832.db2.gz PVKHNWWYMMFAGZ-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CN(C)C(=O)c1ccnn1C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574760989 950688843 /nfs/dbraw/zinc/68/88/43/950688843.db2.gz PVKHNWWYMMFAGZ-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CNC(=O)c1ccn[nH]1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575005643 950695329 /nfs/dbraw/zinc/69/53/29/950695329.db2.gz JUIJWERSQRMYGM-QMMMGPOBSA-N -1 1 328.340 -0.142 20 0 EBADMM C[C@H](CNC(=O)c1ccn[nH]1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575005643 950695346 /nfs/dbraw/zinc/69/53/46/950695346.db2.gz JUIJWERSQRMYGM-QMMMGPOBSA-N -1 1 328.340 -0.142 20 0 EBADMM CC1(C)CC(C(=O)NCCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)C1 ZINC001575632081 950786737 /nfs/dbraw/zinc/78/67/37/950786737.db2.gz ZMPGICMFAXKZGZ-UHFFFAOYSA-N -1 1 333.352 -0.319 20 0 EBADMM CC(C)CCCC(=O)NC[C@@H](O)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001574189307 950873466 /nfs/dbraw/zinc/87/34/66/950873466.db2.gz IEXAEYXYWXXIAQ-NSHDSACASA-N -1 1 348.411 -0.206 20 0 EBADMM C[C@@H]1[C@@H](Nc2nccnc2-c2nnn[n-]2)CCN1C(=O)c1cn[nH]n1 ZINC001575935751 950893346 /nfs/dbraw/zinc/89/33/46/950893346.db2.gz BBABFRDQQMWHGD-SFYZADRCSA-N -1 1 341.339 -0.510 20 0 EBADMM C[C@@H]1[C@@H](Nc2nccnc2-c2nn[n-]n2)CCN1C(=O)c1cn[nH]n1 ZINC001575935751 950893361 /nfs/dbraw/zinc/89/33/61/950893361.db2.gz BBABFRDQQMWHGD-SFYZADRCSA-N -1 1 341.339 -0.510 20 0 EBADMM CCOC(=O)CC1(S(=O)(=O)N(CCN(C)C)CC(=O)[O-])CC1 ZINC001590376657 950924216 /nfs/dbraw/zinc/92/42/16/950924216.db2.gz BOLFLWOIXUVPNH-UHFFFAOYSA-N -1 1 336.410 -0.250 20 0 EBADMM C[C@H](CNC(=O)c1ccc(=O)[nH]c1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001575019254 951034448 /nfs/dbraw/zinc/03/44/48/951034448.db2.gz SDLNXPVMQVVLEZ-MRVPVSSYSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@H](CNC(=O)c1ccc(=O)[nH]c1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575019254 951034463 /nfs/dbraw/zinc/03/44/63/951034463.db2.gz SDLNXPVMQVVLEZ-MRVPVSSYSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@H](CNC(=O)c1ccnn1C)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001575034305 951245159 /nfs/dbraw/zinc/24/51/59/951245159.db2.gz LORGTIMWPIBKEI-VIFPVBQESA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@H](CNC(=O)c1ccnn1C)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575034305 951245167 /nfs/dbraw/zinc/24/51/67/951245167.db2.gz LORGTIMWPIBKEI-VIFPVBQESA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@H](CNC(=O)c1ccncn1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001575034640 951255237 /nfs/dbraw/zinc/25/52/37/951255237.db2.gz PCYKOJCRAXGXSV-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@H](CNC(=O)c1ccncn1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575034640 951255252 /nfs/dbraw/zinc/25/52/52/951255252.db2.gz PCYKOJCRAXGXSV-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@H](CNC(=O)c1ccn(C)n1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001575035034 951264900 /nfs/dbraw/zinc/26/49/00/951264900.db2.gz FVAHNLAMCIDVAA-VIFPVBQESA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@H](CNC(=O)c1ccn(C)n1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575035034 951264907 /nfs/dbraw/zinc/26/49/07/951264907.db2.gz FVAHNLAMCIDVAA-VIFPVBQESA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@H](CNC(=O)c1ccnnc1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575035599 951278631 /nfs/dbraw/zinc/27/86/31/951278631.db2.gz YWMKCCKZQXRTGT-VIFPVBQESA-N -1 1 340.351 -0.653 20 0 EBADMM C[C@@H](CNC(=O)c1ccon1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575038498 951318437 /nfs/dbraw/zinc/31/84/37/951318437.db2.gz JMXAFFZGDRFBET-MRVPVSSYSA-N -1 1 329.324 -0.455 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)nn1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575042717 951368334 /nfs/dbraw/zinc/36/83/34/951368334.db2.gz MNHDZKSAYWSFLN-MRVPVSSYSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)nn1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575042717 951368348 /nfs/dbraw/zinc/36/83/48/951368348.db2.gz MNHDZKSAYWSFLN-MRVPVSSYSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)n1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575051883 951454169 /nfs/dbraw/zinc/45/41/69/951454169.db2.gz AHRTXSADKDXJSD-SSDOTTSWSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)n1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575051883 951454178 /nfs/dbraw/zinc/45/41/78/951454178.db2.gz AHRTXSADKDXJSD-SSDOTTSWSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)c1N)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575052576 951469206 /nfs/dbraw/zinc/46/92/06/951469206.db2.gz MFWYAOBANMBLAO-ZETCQYMHSA-N -1 1 343.355 -0.797 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)c1N)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575052576 951469211 /nfs/dbraw/zinc/46/92/11/951469211.db2.gz MFWYAOBANMBLAO-ZETCQYMHSA-N -1 1 343.355 -0.797 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])Nc1ccc(-c2nn[nH]n2)nn1 ZINC001575068040 951630058 /nfs/dbraw/zinc/63/00/58/951630058.db2.gz WCWPJWRAXUYZAR-MRVPVSSYSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@H](CNc1[nH]c(=O)n(C)c(=O)c1-c1nn[n-]n1)c1nncn1C ZINC001575117019 951975419 /nfs/dbraw/zinc/97/54/19/951975419.db2.gz KGCRIKMBEVACFL-ZCFIWIBFSA-N -1 1 332.328 -0.990 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)[C@@H]1CCCCO1 ZINC001575140748 952143578 /nfs/dbraw/zinc/14/35/78/952143578.db2.gz FVOPHWXIFYEWCZ-QWRGUYRKSA-N -1 1 346.395 -0.094 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)[C@H]1CCCCO1 ZINC001575140742 952144268 /nfs/dbraw/zinc/14/42/68/952144268.db2.gz FVOPHWXIFYEWCZ-GHMZBOCLSA-N -1 1 346.395 -0.094 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)[C@@]1(C)CCOC1 ZINC001575141485 952144750 /nfs/dbraw/zinc/14/47/50/952144750.db2.gz HLNUOPCSPPEZMS-BONVTDFDSA-N -1 1 346.395 -0.236 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1ccnnc1 ZINC001575144708 952171048 /nfs/dbraw/zinc/17/10/48/952171048.db2.gz KQILIOKZKLJPEW-SECBINFHSA-N -1 1 340.351 -0.559 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1ccncn1 ZINC001575145004 952174993 /nfs/dbraw/zinc/17/49/93/952174993.db2.gz OBDJMYYIOPCFRG-VIFPVBQESA-N -1 1 340.351 -0.559 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)Cn1ccnc1 ZINC001575144955 952175353 /nfs/dbraw/zinc/17/53/53/952175353.db2.gz ZORDPBYZDOFXSS-JTQLQIEISA-N -1 1 342.367 -0.766 20 0 EBADMM C[C@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001575154169 952215895 /nfs/dbraw/zinc/21/58/95/952215895.db2.gz PNBIYODFFSCIQP-DNJQJEMRSA-N -1 1 344.379 -0.192 20 0 EBADMM C[C@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001575154169 952215900 /nfs/dbraw/zinc/21/59/00/952215900.db2.gz PNBIYODFFSCIQP-DNJQJEMRSA-N -1 1 344.379 -0.192 20 0 EBADMM C[C@@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)[C@H]1COC(=O)N1 ZINC001575154713 952230405 /nfs/dbraw/zinc/23/04/05/952230405.db2.gz VDNAAVMGTFUXOD-IONNQARKSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)[C@H]1COC(=O)N1 ZINC001575154713 952230408 /nfs/dbraw/zinc/23/04/08/952230408.db2.gz VDNAAVMGTFUXOD-IONNQARKSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)c1ccn(C)n1 ZINC001575155011 952232798 /nfs/dbraw/zinc/23/27/98/952232798.db2.gz JJANNCFNWWLJFY-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)c1ccn(C)n1 ZINC001575155011 952232804 /nfs/dbraw/zinc/23/28/04/952232804.db2.gz JJANNCFNWWLJFY-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)c1ncn(C)n1 ZINC001575157631 952265821 /nfs/dbraw/zinc/26/58/21/952265821.db2.gz NVRIKGZSQJVEQB-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)c1ncn(C)n1 ZINC001575157631 952265830 /nfs/dbraw/zinc/26/58/30/952265830.db2.gz NVRIKGZSQJVEQB-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)CCCC(N)=O ZINC001575173932 952360107 /nfs/dbraw/zinc/36/01/07/952360107.db2.gz CZPBNHRRILXRCZ-SECBINFHSA-N -1 1 347.383 -0.429 20 0 EBADMM C[C@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)CCCC(N)=O ZINC001575173932 952360119 /nfs/dbraw/zinc/36/01/19/952360119.db2.gz CZPBNHRRILXRCZ-SECBINFHSA-N -1 1 347.383 -0.429 20 0 EBADMM C[C@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)[C@H]1COCCO1 ZINC001575174500 952373795 /nfs/dbraw/zinc/37/37/95/952373795.db2.gz PPSTVXXWQKYTAK-NXEZZACHSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)[C@H]1COCCO1 ZINC001575174500 952373800 /nfs/dbraw/zinc/37/38/00/952373800.db2.gz PPSTVXXWQKYTAK-NXEZZACHSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)[C@@H]1CCOC1 ZINC001575174776 952379911 /nfs/dbraw/zinc/37/99/11/952379911.db2.gz SNFPFPGPPPUAPZ-VHSXEESVSA-N -1 1 332.368 -0.048 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)[C@@H]1CCOC1 ZINC001575174776 952379918 /nfs/dbraw/zinc/37/99/18/952379918.db2.gz SNFPFPGPPPUAPZ-VHSXEESVSA-N -1 1 332.368 -0.048 20 0 EBADMM C[C@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)c1cn[nH]n1 ZINC001575176404 952392621 /nfs/dbraw/zinc/39/26/21/952392621.db2.gz DHSKNXDDFCOJPR-SSDOTTSWSA-N -1 1 329.328 -0.653 20 0 EBADMM C[C@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)c1cn[nH]n1 ZINC001575176404 952392628 /nfs/dbraw/zinc/39/26/28/952392628.db2.gz DHSKNXDDFCOJPR-SSDOTTSWSA-N -1 1 329.328 -0.653 20 0 EBADMM C[C@H](CO)C1CCN(c2[nH]c(=O)n(C)c(=O)c2-c2nn[n-]n2)CC1 ZINC001575180061 952414110 /nfs/dbraw/zinc/41/41/10/952414110.db2.gz XNNHZSBOTUCIEZ-MRVPVSSYSA-N -1 1 335.368 -0.902 20 0 EBADMM C[C@@](Cn1cccn1)(NC(=O)c1ccc(-c2nnn[n-]2)nc1)C(N)=O ZINC001575207940 952536915 /nfs/dbraw/zinc/53/69/15/952536915.db2.gz WPXBOXRISQVFLV-AWEZNQCLSA-N -1 1 341.335 -0.868 20 0 EBADMM C[C@@](Cn1cccn1)(NC(=O)c1ccc(-c2nn[n-]n2)nc1)C(N)=O ZINC001575207940 952536922 /nfs/dbraw/zinc/53/69/22/952536922.db2.gz WPXBOXRISQVFLV-AWEZNQCLSA-N -1 1 341.335 -0.868 20 0 EBADMM O=C(NC[C@H]1CCN1C1CCOCC1)C(=O)N[C@H]1C[C@@H](C(=O)[O-])C1 ZINC001594750798 953428155 /nfs/dbraw/zinc/42/81/55/953428155.db2.gz IFHCEZAIKYUBGU-NTZNESFSSA-N -1 1 339.392 -0.665 20 0 EBADMM CC(C)(O)CN1CCN(C(=O)c2cccn(CC(=O)[O-])c2=O)CC1 ZINC001602954375 971933145 /nfs/dbraw/zinc/93/31/45/971933145.db2.gz GVYCZQLANSDLLN-UHFFFAOYSA-N -1 1 337.376 -0.538 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)C(=O)N2CCO[C@@H](C(=O)[O-])C2)CN1C1CC1 ZINC001589288085 953580905 /nfs/dbraw/zinc/58/09/05/953580905.db2.gz AMVWKLNWIUQECS-JFGNBEQYSA-N -1 1 325.365 -0.960 20 0 EBADMM O=C([O-])c1cc(C(=O)Nc2ccn(CCN3CC[NH+]=C3[O-])n2)[nH]n1 ZINC001594814539 953844873 /nfs/dbraw/zinc/84/48/73/953844873.db2.gz KWMSTSZHOCQSDB-UHFFFAOYSA-N -1 1 333.308 -0.418 20 0 EBADMM C[C@@H]1CCN(CN2CC3(CCOCC3)[C@@H](O)C2=O)C[C@@H]1C(=O)[O-] ZINC001589354982 954119595 /nfs/dbraw/zinc/11/95/95/954119595.db2.gz KHNJQGMEVRPQPZ-AGIUHOORSA-N -1 1 326.393 -0.014 20 0 EBADMM O=C([O-])C1(C(=O)N2CCN([C@H]3CCC[C@@H]3O)CC2)CCOCC1 ZINC001594851449 954195205 /nfs/dbraw/zinc/19/52/05/954195205.db2.gz TXEQWSJOQOFEQN-STQMWFEESA-N -1 1 326.393 -0.075 20 0 EBADMM CN(CCN1CC2(C1)CCOCC2)C(=O)c1cn(CC(=O)[O-])nn1 ZINC001593751767 954422203 /nfs/dbraw/zinc/42/22/03/954422203.db2.gz KNLSGZVQEWWSJO-UHFFFAOYSA-N -1 1 337.380 -0.453 20 0 EBADMM CN1C[C@H](O)C[C@]1(C)C(=O)NC[C@H]1CCC2(CC(C(=O)[O-])C2)O1 ZINC001593773354 954560418 /nfs/dbraw/zinc/56/04/18/954560418.db2.gz CVVFUMSWJQNYBL-IHXRZORCSA-N -1 1 326.393 -0.030 20 0 EBADMM O=C([O-])C1CCN(S(=O)(=O)N[C@H]2CCCN(CCCO)C2)CC1 ZINC001594935313 954853525 /nfs/dbraw/zinc/85/35/25/954853525.db2.gz NFJIRVQQNCCJSP-ZDUSSCGKSA-N -1 1 349.453 -0.536 20 0 EBADMM O=C([O-])[C@H]1CN(CN2C[C@@]3(CN4CCC3CC4)OC2=O)CCO1 ZINC001594951543 954956455 /nfs/dbraw/zinc/95/64/55/954956455.db2.gz MAWIWHDLQBTWJK-IUODEOHRSA-N -1 1 325.365 -0.354 20 0 EBADMM COC(=O)C1CC2(C1)CN(C(=O)NC[C@H]1CCN1C)C[C@@H]2C(=O)[O-] ZINC001593820188 955027328 /nfs/dbraw/zinc/02/73/28/955027328.db2.gz AAUUGSWHEZFIEG-YZUGKSJVSA-N -1 1 339.392 -0.014 20 0 EBADMM COC(=O)CC[C@H](NC(=O)Cc1cc(=O)n(CC(C)C)[nH]1)C(=O)[O-] ZINC001593844521 955397567 /nfs/dbraw/zinc/39/75/67/955397567.db2.gz WYHHKZMBXUWUMU-NSHDSACASA-N -1 1 341.364 -0.103 20 0 EBADMM COCCN1CC[C@@H](NC(=O)C(=O)N2CCC[C@H](CC(=O)[O-])C2)C1 ZINC001594014996 957025692 /nfs/dbraw/zinc/02/56/92/957025692.db2.gz NHXSZXIUCRWKBJ-CHWSQXEVSA-N -1 1 341.408 -0.463 20 0 EBADMM O=C([O-])Cn1cccc(C(=O)N[C@@H]2CCC[N@H+](CCCO)C2)c1=O ZINC001574745110 957700709 /nfs/dbraw/zinc/70/07/09/957700709.db2.gz JRKMEJZHWMOIJY-GFCCVEGCSA-N -1 1 337.376 -0.491 20 0 EBADMM CS(=O)(=O)Nc1ccc(C(=O)N2CCO[C@@H](C(=O)[O-])C2)cn1 ZINC001604932107 972495132 /nfs/dbraw/zinc/49/51/32/972495132.db2.gz NABRAVLURXLSNT-SECBINFHSA-N -1 1 329.334 -0.621 20 0 EBADMM CS(=O)(=O)Nc1ccc(C(=O)N2CCO[C@H](C(=O)[O-])C2)cn1 ZINC001604932110 972495514 /nfs/dbraw/zinc/49/55/14/972495514.db2.gz NABRAVLURXLSNT-VIFPVBQESA-N -1 1 329.334 -0.621 20 0 EBADMM O=C([O-])[C@@H]1CC[C@@H]1C(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC001574556609 961990651 /nfs/dbraw/zinc/99/06/51/961990651.db2.gz QMWJJADOZPXWRA-HZSPNIEDSA-N -1 1 325.409 -0.380 20 0 EBADMM C[C@H](CN1CCN(C)CC1)NC(=O)N1C[C@@H](C)O[C@H](C(=O)[O-])C1 ZINC001571181526 962162173 /nfs/dbraw/zinc/16/21/73/962162173.db2.gz KTOYVWALEUAUFN-UPJWGTAASA-N -1 1 328.413 -0.494 20 0 EBADMM NS(=O)(=O)c1ccc(CN2CCC([C@H](O)C(=O)[O-])CC2)cc1 ZINC001571182122 962171766 /nfs/dbraw/zinc/17/17/66/962171766.db2.gz UDBLIRYLUFCGIB-ZDUSSCGKSA-N -1 1 328.390 -0.009 20 0 EBADMM C[C@H](NCc1ccc(S(N)(=O)=O)cc1)C(=O)N[C@@H](C)C(=O)[O-] ZINC001571186420 962211875 /nfs/dbraw/zinc/21/18/75/962211875.db2.gz ONSUUSJUTVKEBI-IUCAKERBSA-N -1 1 329.378 -0.599 20 0 EBADMM O=C([O-])c1nccc(NC[C@H]2CCCN2C(=O)Cc2ccn[nH]2)n1 ZINC001571191130 962255259 /nfs/dbraw/zinc/25/52/59/962255259.db2.gz QXGMQIGPNONHLV-LLVKDONJSA-N -1 1 330.348 -0.035 20 0 EBADMM Cc1nn[nH]c1C(=O)N1C[C@H](Nc2ccnc(C(=O)[O-])n2)C[C@H]1C ZINC001571195750 962304766 /nfs/dbraw/zinc/30/47/66/962304766.db2.gz DZMZARUITKSBKY-VXNVDRBHSA-N -1 1 331.336 -0.262 20 0 EBADMM O=C([O-])C1=NO[C@@H](C(=O)N2CCC[C@H](CN3CCOCC3)C2)C1 ZINC001574640698 962348284 /nfs/dbraw/zinc/34/82/84/962348284.db2.gz XQMHQFFVNCHWJC-DGCLKSJQSA-N -1 1 325.365 -0.213 20 0 EBADMM C[C@@H](C(=O)NC(=O)NCc1ccccc1)N1CC[C@](O)(C(=O)[O-])C1 ZINC001571223648 962563138 /nfs/dbraw/zinc/56/31/38/962563138.db2.gz JASAASBGLCXXEC-MEDUHNTESA-N -1 1 335.360 -0.078 20 0 EBADMM O=C([O-])CC[C@H]1C[C@H](C(=O)N2CCC(O)(c3nn[nH]n3)CC2)CO1 ZINC001574680688 962584448 /nfs/dbraw/zinc/58/44/48/962584448.db2.gz CXLSTIXNHFDEFL-UWVGGRQHSA-N -1 1 339.352 -0.720 20 0 EBADMM C[C@]1(C2CCN([C@@H]3CCN(CC(=O)[O-])C3=O)CC2)COC(=O)N1 ZINC001589240625 969931655 /nfs/dbraw/zinc/93/16/55/969931655.db2.gz YDYJEAAPPLXWNH-IAQYHMDHSA-N -1 1 325.365 -0.118 20 0 EBADMM CN1CCN(CCNS(=O)(=O)c2ccc(CC(=O)[O-])cc2)CC1 ZINC000320976441 970734095 /nfs/dbraw/zinc/73/40/95/970734095.db2.gz KUCPKTHWNFIOJG-UHFFFAOYSA-N -1 1 341.433 -0.161 20 0 EBADMM CN(CCCC(=O)[O-])C(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC001604155469 972565596 /nfs/dbraw/zinc/56/55/96/972565596.db2.gz RARGDWFMOXFONR-AWEZNQCLSA-N -1 1 342.440 -0.101 20 0 EBADMM CNC(=O)[C@H](Cc1cnc[nH]1)NC(=O)[C@H]1CO[C@H](CCC(=O)[O-])C1 ZINC001604239846 972752399 /nfs/dbraw/zinc/75/23/99/972752399.db2.gz ILMJCAVBXDZFJZ-JLLWLGSASA-N -1 1 338.364 -0.547 20 0 EBADMM C[C@H]1C[C@H](NC(=O)C2(C(=O)[O-])CCS(=O)(=O)CC2)CCN1C ZINC001603375452 972920722 /nfs/dbraw/zinc/92/07/22/972920722.db2.gz PSPUEOLIZZXZMJ-WDEREUQCSA-N -1 1 332.422 -0.135 20 0 EBADMM O=C([O-])[C@H]1CS(=O)(=O)CCN1C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC001606053745 973161243 /nfs/dbraw/zinc/16/12/43/973161243.db2.gz LMWXQRUAWDTTIG-LLVKDONJSA-N -1 1 339.329 -0.007 20 0 EBADMM C[C@@H]1CN(CCCNS(=O)(=O)N2CCC[C@H]2C(=O)[O-])CCCO1 ZINC001603461975 973309215 /nfs/dbraw/zinc/30/92/15/973309215.db2.gz VKBNOEVYUAYWDY-OLZOCXBDSA-N -1 1 349.453 -0.129 20 0 EBADMM O=C([O-])CN1CCC[C@@H](C(=O)NCCCCN2CCOCC2)C1=O ZINC001606252012 973560054 /nfs/dbraw/zinc/56/00/54/973560054.db2.gz VDYCBWPXYMNYSY-ZDUSSCGKSA-N -1 1 341.408 -0.462 20 0 EBADMM O=C([O-])CS(=O)(=O)CCN[C@@H]1CCCc2c1cnn2CCO ZINC001606296532 973702525 /nfs/dbraw/zinc/70/25/25/973702525.db2.gz IJQDZTUUFOZGSC-LLVKDONJSA-N -1 1 331.394 -0.658 20 0 EBADMM NS(=O)(=O)c1ccc(O)c(C(=O)N2CCC[C@@H](C(=O)[O-])C2)c1 ZINC001605648969 974018941 /nfs/dbraw/zinc/01/89/41/974018941.db2.gz MHQWLOZECCNEOV-MRVPVSSYSA-N -1 1 328.346 -0.024 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)COCc2nncn2C)CC1 ZINC001592410235 977999288 /nfs/dbraw/zinc/99/92/88/977999288.db2.gz CJWHQNFLOHPKJW-LLVKDONJSA-N -1 1 325.369 -0.661 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)CCCCCS(N)(=O)=O)CC1 ZINC001592410878 978007797 /nfs/dbraw/zinc/00/77/97/978007797.db2.gz WRNIGYHBTQBGDH-GFCCVEGCSA-N -1 1 349.453 -0.157 20 0 EBADMM C[C@@H](CCN1CCN(C)CC1)NS(=O)(=O)c1cc(C(=O)[O-])[nH]n1 ZINC001592513651 978348517 /nfs/dbraw/zinc/34/85/17/978348517.db2.gz IKACVUOOKNISOG-JTQLQIEISA-N -1 1 345.425 -0.588 20 0 EBADMM C[C@H](CNC(=O)CN1CCC[C@H](C(=O)[O-])C1=O)N1CCN(C)CC1 ZINC001592651362 978633077 /nfs/dbraw/zinc/63/30/77/978633077.db2.gz WLWHNYXBVOKHKW-OLZOCXBDSA-N -1 1 340.424 -0.938 20 0 EBADMM C[C@H](CNC(=O)NC[C@H]1CCO[C@H]1C(=O)[O-])N1CCN(C)CC1 ZINC001592672276 979075336 /nfs/dbraw/zinc/07/53/36/979075336.db2.gz BEZLVZFXICNGLO-JHJVBQTASA-N -1 1 328.413 -0.589 20 0 EBADMM C[C@@H](CNC(=O)C1=NC(=O)N(C)C1)N(C)c1ncc(C(=O)[O-])cn1 ZINC001592701455 979162636 /nfs/dbraw/zinc/16/26/36/979162636.db2.gz PWPYUSBFRFZROB-QMMMGPOBSA-N -1 1 334.336 -0.131 20 0 EBADMM C[C@H](NC(=O)N1CCC([C@@H](O)C(=O)[O-])CC1)[C@H]1CN(C)CCN1C ZINC001593053662 980360887 /nfs/dbraw/zinc/36/08/87/980360887.db2.gz KMMZRYBICDQQOO-IACUBPJLSA-N -1 1 342.440 -0.512 20 0 EBADMM C[C@H](NC(=O)N1CCC([C@H](O)C(=O)[O-])CC1)[C@H]1CN(C)CCN1C ZINC001593053664 980361003 /nfs/dbraw/zinc/36/10/03/980361003.db2.gz KMMZRYBICDQQOO-YUTCNCBUSA-N -1 1 342.440 -0.512 20 0 EBADMM CCC[C@@H]1CNCCN1C(=O)C(=O)N1C[C@@H](C)O[C@H](C(=O)[O-])C1 ZINC001595624282 981730611 /nfs/dbraw/zinc/73/06/11/981730611.db2.gz RNWNFDPUMFUABS-UTUOFQBUSA-N -1 1 327.381 -0.713 20 0 EBADMM CC[C@@H](C)[C@@H](NC(=O)CN1CCN(CC(=O)[O-])CC1)C(=O)OC ZINC001594894256 982317875 /nfs/dbraw/zinc/31/78/75/982317875.db2.gz NZYYJEOWLSKKMZ-BXUZGUMPSA-N -1 1 329.397 -0.608 20 0 EBADMM CCN1C[C@H](NC(=O)N2CCN(CCC(=O)[O-])C[C@@H]2C)CC1=O ZINC001596398597 983951969 /nfs/dbraw/zinc/95/19/69/983951969.db2.gz ZSRKQEOTYHLJOH-NWDGAFQWSA-N -1 1 326.397 -0.202 20 0 EBADMM CCN1CCN(C2CN(S(=O)(=O)c3cc(C(=O)[O-])co3)C2)CC1 ZINC001596430422 984095637 /nfs/dbraw/zinc/09/56/37/984095637.db2.gz IWKHWTQFGHTWOP-UHFFFAOYSA-N -1 1 343.405 -0.012 20 0 EBADMM CCOC(=O)[C@H](CC(=O)N[C@H](Cc1cnc[nH]1)C(=O)[O-])C(C)=O ZINC001596527292 984341550 /nfs/dbraw/zinc/34/15/50/984341550.db2.gz XWTWGORABQLYND-GHMZBOCLSA-N -1 1 325.321 -0.320 20 0 EBADMM CCOC(=O)c1c[nH]c(NC(=O)CN2CCC[C@H](C(=O)[O-])C2=O)n1 ZINC001596740116 985042509 /nfs/dbraw/zinc/04/25/09/985042509.db2.gz SNWCDSONTLYMSI-QMMMGPOBSA-N -1 1 338.320 -0.152 20 0 EBADMM C[C@H]1CN(C2CCOCC2)CCN1C(=O)C(=O)NCCCC(=O)[O-] ZINC001599926854 985214251 /nfs/dbraw/zinc/21/42/51/985214251.db2.gz IAQRVULBKBSHQH-LBPRGKRZSA-N -1 1 341.408 -0.321 20 0 EBADMM CC(=O)N1CCN(C(=O)CN[C@@H](C(=O)[O-])C2CCOCC2)CC1 ZINC001589079534 985238237 /nfs/dbraw/zinc/23/82/37/985238237.db2.gz GZTNDEFURZPQJN-CQSZACIVSA-N -1 1 327.381 -0.854 20 0 EBADMM C[C@H]1C[C@@H](C(=O)NC2(C(=O)[O-])CCS(=O)(=O)CC2)CCN1C ZINC001599933966 985362970 /nfs/dbraw/zinc/36/29/70/985362970.db2.gz HWVFOBARZBPDEN-QWRGUYRKSA-N -1 1 332.422 -0.135 20 0 EBADMM C[C@H]1C[N@H+](CC(C)(C)O)CCN1S(=O)(=O)c1n[n-]cc1C(=O)[O-] ZINC001594508557 985751505 /nfs/dbraw/zinc/75/15/05/985751505.db2.gz XLIAPGGXRXTSFK-VIFPVBQESA-N -1 1 346.409 -0.426 20 0 EBADMM C[C@H]1C[N@@H+](CC(C)(C)O)CCN1S(=O)(=O)c1n[n-]cc1C(=O)[O-] ZINC001594508557 985751513 /nfs/dbraw/zinc/75/15/13/985751513.db2.gz XLIAPGGXRXTSFK-VIFPVBQESA-N -1 1 346.409 -0.426 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NC[C@H]1CCCNC1=O ZINC001594516309 985897659 /nfs/dbraw/zinc/89/76/59/985897659.db2.gz NTDIPLBTIAXEHT-VXGBXAGGSA-N -1 1 326.397 -0.297 20 0 EBADMM C[C@H]1CN(CCCOC(=O)CN2CCC[C@@H](C(=O)[O-])C2=O)CCO1 ZINC001594527242 986069958 /nfs/dbraw/zinc/06/99/58/986069958.db2.gz HWZUAHGDUQYFRS-QWHCGFSZSA-N -1 1 342.392 -0.036 20 0 EBADMM C[C@@H](C(=O)N(CCN1CCN(C)CC1)CC(=O)[O-])[C@H]1CCC(=O)N1 ZINC001589342462 986269115 /nfs/dbraw/zinc/26/91/15/986269115.db2.gz MVPVPJVWJIINKG-CHWSQXEVSA-N -1 1 340.424 -0.938 20 0 EBADMM C[C@@H]1CN2CCN1C[C@H]2C(=O)N(C)[C@H](COC1CCC1)C(=O)[O-] ZINC001594582899 986446400 /nfs/dbraw/zinc/44/64/00/986446400.db2.gz BFSFSQXIMSYDMY-KWCYVHTRSA-N -1 1 325.409 -0.145 20 0 EBADMM C[C@@H](C(=O)NC1CCCC1)N1CCN(C[C@](C)(O)C(=O)[O-])CC1 ZINC001589398180 986547301 /nfs/dbraw/zinc/54/73/01/986547301.db2.gz JRNMBJHGBDLDOD-LRDDRELGSA-N -1 1 327.425 -0.113 20 0 EBADMM C[C@H]1OCCN(C(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)[C@H]1C ZINC001594648872 986858173 /nfs/dbraw/zinc/85/81/73/986858173.db2.gz OFSLGGZOPYIFFW-NWDGAFQWSA-N -1 1 341.408 -0.370 20 0 EBADMM CCS(=O)(=O)C[C@@H](C)NS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC001597210075 987129239 /nfs/dbraw/zinc/12/92/39/987129239.db2.gz MWCWORDIXFEUTG-ZCFIWIBFSA-N -1 1 326.352 -0.526 20 0 EBADMM CCS(=O)(=O)N[C@@H](C)C(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C ZINC001597223872 987158506 /nfs/dbraw/zinc/15/85/06/987158506.db2.gz BJYDNHWTDQJERU-QWRGUYRKSA-N -1 1 335.426 -0.678 20 0 EBADMM CC(C)(C(=O)[O-])N1CCN(C(=O)C(=O)NC[C@@H]2CCCO2)CC1 ZINC001589620360 987494481 /nfs/dbraw/zinc/49/44/81/987494481.db2.gz AYFPETYRCSHFEK-NSHDSACASA-N -1 1 327.381 -0.711 20 0 EBADMM CC(C)(C(=O)[O-])N1CCN(C(=O)C(=O)N2CCC[C@@H](F)C2)CC1 ZINC001589621142 987501784 /nfs/dbraw/zinc/50/17/84/987501784.db2.gz UCCFWVRKVSWPOR-LLVKDONJSA-N -1 1 329.372 -0.046 20 0 EBADMM CC(C)(C(=O)[O-])N1CCN(C(=O)C(=O)NCc2ncccn2)CC1 ZINC001589621521 987502949 /nfs/dbraw/zinc/50/29/49/987502949.db2.gz YOHLVIZMXUSVRK-UHFFFAOYSA-N -1 1 335.364 -0.900 20 0 EBADMM CCc1nc([C@@H]2C[C@@H](O)CN2C(=O)[C@H]2C[C@@H](C)[C@H](C(=O)[O-])O2)n[nH]1 ZINC001597689570 988860641 /nfs/dbraw/zinc/86/06/41/988860641.db2.gz MVNWFCKOZMXORR-WSOSLHDDSA-N -1 1 338.364 -0.120 20 0 EBADMM C[C@@H]1CN(C(=O)Cc2ncn[nH]2)C[C@H]1Nc1ccnc(C(=O)[O-])n1 ZINC001599855203 989076530 /nfs/dbraw/zinc/07/65/30/989076530.db2.gz KFSBWHBNZAVALA-RKDXNWHRSA-N -1 1 331.336 -0.784 20 0 EBADMM C[C@@H]1CNCCN1C(=O)C(=O)N1CC[C@@](C(=O)[O-])(C(F)(F)F)C1 ZINC001599872949 989378460 /nfs/dbraw/zinc/37/84/60/989378460.db2.gz PEABEDOFVKFENG-PRHODGIISA-N -1 1 337.298 -0.328 20 0 EBADMM CN(C(=O)[C@@H]1C[C@@H]1C(=O)[O-])C1CCN(C(=O)Cc2ncn[nH]2)CC1 ZINC001597998607 990126051 /nfs/dbraw/zinc/12/60/51/990126051.db2.gz QMIVMDKAISXRAK-MNOVXSKESA-N -1 1 335.364 -0.483 20 0 EBADMM CN(C(=O)NCCCN1CCC[C@H]1C(N)=O)[C@H]1C[C@@H](C(=O)[O-])C1 ZINC001598047445 990286849 /nfs/dbraw/zinc/28/68/49/990286849.db2.gz PXMXEISRIOJRSA-TUAOUCFPSA-N -1 1 326.397 -0.169 20 0 EBADMM CC(C)(NC(=O)C(F)(F)F)C(=O)N[C@H](CC1OCCO1)C(=O)[O-] ZINC001590840886 990709881 /nfs/dbraw/zinc/70/98/81/990709881.db2.gz VFIIBSCPQOINFH-ZCFIWIBFSA-N -1 1 342.270 -0.224 20 0 EBADMM CC(C)(O)CCNC(=O)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC001590898181 990839123 /nfs/dbraw/zinc/83/91/23/990839123.db2.gz IOIYYZSUQVFEKI-UHFFFAOYSA-N -1 1 329.397 -0.729 20 0 EBADMM CN(C)S(=O)(=O)n1ccnc1CNC1(CC(=O)[O-])CCOCC1 ZINC001598282062 991162496 /nfs/dbraw/zinc/16/24/96/991162496.db2.gz FABHXXZXDORNBS-UHFFFAOYSA-N -1 1 346.409 -0.349 20 0 EBADMM CN(CC(=O)N[C@@H](C(=O)[O-])c1ccn(C)n1)c1ncnc2[nH]cnc21 ZINC001598384908 991600197 /nfs/dbraw/zinc/60/01/97/991600197.db2.gz NSNDOGSJQLEVGS-SNVBAGLBSA-N -1 1 344.335 -0.535 20 0 EBADMM CN(CC(=O)NC[C@H]1CCO[C@H]1C(=O)[O-])c1ncnc2[nH]cnc21 ZINC001598391527 991630534 /nfs/dbraw/zinc/63/05/34/991630534.db2.gz JLNURGCNWGNNGN-LDYMZIIASA-N -1 1 334.336 -0.605 20 0 EBADMM CN(CC(=O)NC[C@H](C(=O)[O-])[C@@H]1CCOC1)c1ncnc2[nH]cnc21 ZINC001598391963 991639290 /nfs/dbraw/zinc/63/92/90/991639290.db2.gz GIBNUORZEZXSFZ-ZJUUUORDSA-N -1 1 348.363 -0.357 20 0 EBADMM CN(CC(=O)Nc1nc(CC(=O)[O-])cs1)Cc1n[nH]c(=O)o1 ZINC001598400285 991690272 /nfs/dbraw/zinc/69/02/72/991690272.db2.gz VARMJVIZHVUTMI-UHFFFAOYSA-N -1 1 327.322 -0.071 20 0 EBADMM CC(C)[C@H]1CNCCN1C(=O)C(=O)N1CCC([C@H](O)C(=O)[O-])CC1 ZINC001591096891 991695413 /nfs/dbraw/zinc/69/54/13/991695413.db2.gz XHGATXRAFRGHSO-OLZOCXBDSA-N -1 1 341.408 -0.873 20 0 EBADMM CS(=O)(=O)NC[C@@H]1CCCN(CCc2cn(CC(=O)[O-])nn2)C1 ZINC001599758830 992091386 /nfs/dbraw/zinc/09/13/86/992091386.db2.gz YJSYGEAAUQTYRM-NSHDSACASA-N -1 1 345.425 -0.834 20 0 EBADMM CN(CCCNC(=O)C1=NC(=O)N(C)C1)c1ncc(C(=O)[O-])cn1 ZINC001598481697 992162019 /nfs/dbraw/zinc/16/20/19/992162019.db2.gz ZPIYYVZFBXGHJG-UHFFFAOYSA-N -1 1 334.336 -0.130 20 0 EBADMM CN(CCOCCNC(=O)c1ncn[nH]1)c1ncc(C(=O)[O-])cn1 ZINC001598498155 992392158 /nfs/dbraw/zinc/39/21/58/992392158.db2.gz RYEYXCZGZRKOHC-UHFFFAOYSA-N -1 1 335.324 -0.824 20 0 EBADMM CN(CCOCCNC(=O)c1nc[nH]n1)c1ncc(C(=O)[O-])cn1 ZINC001598498155 992392169 /nfs/dbraw/zinc/39/21/69/992392169.db2.gz RYEYXCZGZRKOHC-UHFFFAOYSA-N -1 1 335.324 -0.824 20 0 EBADMM CN(c1ccnc(C(=O)[O-])n1)[C@H]1CCN(C(=O)Cc2ncn[nH]2)C1 ZINC001598546241 992948224 /nfs/dbraw/zinc/94/82/24/992948224.db2.gz DOUFEQVFACMCAM-VIFPVBQESA-N -1 1 331.336 -0.427 20 0 EBADMM CN1C[C@@H](C(=O)[O-])N(C(=O)CSc2n[nH]c(=S)s2)CC1=O ZINC001598565034 993132939 /nfs/dbraw/zinc/13/29/39/993132939.db2.gz PCYMQUAERXOOKV-YFKPBYRVSA-N -1 1 348.431 -0.327 20 0 EBADMM CN1C[C@@H](C(=O)[O-])N(C(=O)c2cc(Br)[nH]n2)CC1=O ZINC001598565669 993140502 /nfs/dbraw/zinc/14/05/02/993140502.db2.gz XXVJKCOOZCYWQU-LURJTMIESA-N -1 1 331.126 -0.460 20 0 EBADMM CN(CC1CN(C)C1)C(=O)C(=O)N1C[C@@H](C(=O)[O-])Oc2ccccc21 ZINC001598567052 993156950 /nfs/dbraw/zinc/15/69/50/993156950.db2.gz PQHIQBCWAROKSA-AWEZNQCLSA-N -1 1 347.371 -0.115 20 0 EBADMM C[C@H](NC(=O)N1C[C@@H](C)O[C@H](C(=O)[O-])C1)[C@H]1CN(C)CCN1C ZINC001593069494 993609475 /nfs/dbraw/zinc/60/94/75/993609475.db2.gz CMRIYOZKFIFRNZ-XQHKEYJVSA-N -1 1 328.413 -0.496 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)CCCn2cncn2)CC1 ZINC001598615514 993871513 /nfs/dbraw/zinc/87/15/13/993871513.db2.gz DTUGAXLLKZNWIT-UHFFFAOYSA-N -1 1 338.412 -0.781 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)c2cccc(=O)n2C)CC1 ZINC001598616285 993887038 /nfs/dbraw/zinc/88/70/38/993887038.db2.gz KRULWLXDABBGBI-UHFFFAOYSA-N -1 1 336.392 -0.841 20 0 EBADMM CN1CCN(CCCN2C(=O)CS/C2=C\C(=O)[O-])C[C@@H]1CO ZINC001598617870 993926352 /nfs/dbraw/zinc/92/63/52/993926352.db2.gz JOYZYHPPULPFST-NUBYWOLOSA-N -1 1 329.422 -0.514 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)C2=C[S@@](=O)CCC2)CC1 ZINC001598618051 993930198 /nfs/dbraw/zinc/93/01/98/993930198.db2.gz QNFANGYYYXVATA-QHCPKHFHSA-N -1 1 343.449 -0.427 20 0 EBADMM CN1CCN(CCCS(=O)(=O)NC[C@]2(C(=O)[O-])CCOC2)CC1 ZINC001598618222 993936178 /nfs/dbraw/zinc/93/61/78/993936178.db2.gz WXPWKVCXFZZFNM-CQSZACIVSA-N -1 1 349.453 -0.965 20 0 EBADMM CN1CCN(S(=O)(=O)C[C@@]23CC[N@H+](C2)CCC3)C[C@H](C(=O)[O-])C1 ZINC001598621217 994008455 /nfs/dbraw/zinc/00/84/55/994008455.db2.gz NPZAVESEXFDAON-HIFRSBDPSA-N -1 1 345.465 -0.250 20 0 EBADMM CN1CCN(S(=O)(=O)c2c[nH]c3ncncc23)C[C@@H](C(=O)[O-])C1 ZINC001598621667 994014261 /nfs/dbraw/zinc/01/42/61/994014261.db2.gz UTSNTBUWRMWXCZ-VIFPVBQESA-N -1 1 339.377 -0.454 20 0 EBADMM CN1CCN(S(=O)(=O)[C@H]2CC(=O)N(C3CC3)C2)C[C@@H](C(=O)[O-])C1 ZINC001598621717 994016272 /nfs/dbraw/zinc/01/62/72/994016272.db2.gz TWEORFFQMDEILQ-JQWIXIFHSA-N -1 1 345.421 -0.972 20 0 EBADMM COc1cccc([C@@](C)(CC(=O)[O-])NCC(=O)N2CCNC(=O)C2)c1 ZINC001599652435 994526226 /nfs/dbraw/zinc/52/62/26/994526226.db2.gz KYWRMGDXJLOAIC-QGZVFWFLSA-N -1 1 349.387 -0.067 20 0 EBADMM COC(=O)[C@@H](CC(F)F)NS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC001598699224 995194139 /nfs/dbraw/zinc/19/41/39/995194139.db2.gz UWISLBWPUMKNAU-RXMQYKEDSA-N -1 1 328.249 -0.152 20 0 EBADMM COC(=O)[C@@H]1CCN(C(=O)C2CN([C@H]3CCOC3)C2)[C@H](C(=O)[O-])C1 ZINC001598791161 996073918 /nfs/dbraw/zinc/07/39/18/996073918.db2.gz HRZVOGCJTLCYEZ-WXHSDQCUSA-N -1 1 340.376 -0.428 20 0 EBADMM COC(=O)[C@@H]1CN(C(=O)CCSc2nnc(C)[nH]2)C[C@H]1C(=O)[O-] ZINC001598808228 996207004 /nfs/dbraw/zinc/20/70/04/996207004.db2.gz DKCJECPODLAHIK-RKDXNWHRSA-N -1 1 342.377 -0.072 20 0 EBADMM COC(=O)[C@H]1CN(CC(=O)N2CCOC(C)(C)C2)C[C@H]1C(=O)[O-] ZINC001598820155 996316020 /nfs/dbraw/zinc/31/60/20/996316020.db2.gz GUYQAIHJDYPTNE-MNOVXSKESA-N -1 1 328.365 -0.571 20 0 EBADMM COC(=O)CC[C@@H](C(=O)[O-])N1CCN([C@@H](C)C(=O)NC2CC2)CC1 ZINC001598848594 996585447 /nfs/dbraw/zinc/58/54/47/996585447.db2.gz SLRWZYUTMDVCSV-AAEUAGOBSA-N -1 1 341.408 -0.323 20 0 EBADMM COC(=O)CCN(CN1C[C@@H](C(=O)[O-])CC1=O)C1CCOCC1 ZINC001598855081 996631750 /nfs/dbraw/zinc/63/17/50/996631750.db2.gz OWNRRXYAURYVGG-NSHDSACASA-N -1 1 328.365 -0.079 20 0 EBADMM CC1(C)CN([C@@H]2CCCN(CCC(=O)[O-])C2=O)CCN1CCO ZINC001593939913 996940698 /nfs/dbraw/zinc/94/06/98/996940698.db2.gz BVHJXBZNDLKGRW-CYBMUJFWSA-N -1 1 327.425 -0.159 20 0 EBADMM COC(=O)[C@@H](C)N(C)C(=O)c1cc(C(=O)N(C)[C@H](C)C(=O)[O-])[nH]n1 ZINC001598889479 996963706 /nfs/dbraw/zinc/96/37/06/996963706.db2.gz YJJXEVIGYHNOQC-HTQZYQBOSA-N -1 1 340.336 -0.412 20 0 EBADMM COc1ccc(C(=O)[O-])c(S(=O)(=O)NC[C@]2(O)CCN(C)C2)c1 ZINC001599494268 996995102 /nfs/dbraw/zinc/99/51/02/996995102.db2.gz JDDPFKLXTNLBOF-CQSZACIVSA-N -1 1 344.389 -0.262 20 0 EBADMM COC(=O)[C@@H]1O[C@@H](C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)C[C@H]1C ZINC001598901160 997172814 /nfs/dbraw/zinc/17/28/14/997172814.db2.gz CRHCENNPWWOMFO-IJLUTSLNSA-N -1 1 342.392 -0.040 20 0 EBADMM COCC1(S(=O)(=O)N(CCN2CCN(C)CC2)CC(=O)[O-])CC1 ZINC001599201611 997316182 /nfs/dbraw/zinc/31/61/82/997316182.db2.gz KZASKOSDKUPURJ-UHFFFAOYSA-N -1 1 349.453 -0.871 20 0 EBADMM CO[C@H]1CC[C@@H](NC(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)C1 ZINC001599102919 997420639 /nfs/dbraw/zinc/42/06/39/997420639.db2.gz SHWQNEPLMZTKNI-NEPJUHHUSA-N -1 1 341.408 -0.323 20 0 EBADMM COCC[C@@H]1CNCCN1C(=O)C(=O)N1C[C@@H](C)[C@H](CC(=O)[O-])C1 ZINC001599221372 997675508 /nfs/dbraw/zinc/67/55/08/997675508.db2.gz PFTSRTFAPMLUNE-JHJVBQTASA-N -1 1 341.408 -0.608 20 0 EBADMM COc1c(C(=O)[O-])ncnc1N1CCN(CCC(=O)N(C)C)CC1 ZINC001599332260 997767860 /nfs/dbraw/zinc/76/78/60/997767860.db2.gz XBVHIMGXHLMCIT-UHFFFAOYSA-N -1 1 337.380 -0.216 20 0 EBADMM COCC[N@@H+](C)CCNC(=O)C(=O)Nc1cc(C(=O)[O-])n(C)c1 ZINC001599230744 997845725 /nfs/dbraw/zinc/84/57/25/997845725.db2.gz FZYFFWFPJAMYRZ-UHFFFAOYSA-N -1 1 326.353 -0.644 20 0 EBADMM COCCN(C)CCNC(=O)C(=O)Nc1cc(C(=O)[O-])n(C)c1 ZINC001599230744 997845730 /nfs/dbraw/zinc/84/57/30/997845730.db2.gz FZYFFWFPJAMYRZ-UHFFFAOYSA-N -1 1 326.353 -0.644 20 0 EBADMM CO[C@@H]1CCn2cc(C(=O)N3CCN(C)C[C@@H](C(=O)[O-])C3)nc2C1 ZINC001599128211 997890821 /nfs/dbraw/zinc/89/08/21/997890821.db2.gz SVFQBHCHTPMLNP-VXGBXAGGSA-N -1 1 336.392 -0.067 20 0 EBADMM COCCN1CC[C@@H](NC(=O)C(=O)N2C[C@@H](C)[C@H](C(=O)[O-])C2)C1 ZINC001599239627 998013322 /nfs/dbraw/zinc/01/33/22/998013322.db2.gz HARKTJKSOJHSJP-IJLUTSLNSA-N -1 1 327.381 -0.998 20 0 EBADMM COCC(C)(C)N1CCN(C(=O)Cn2cc(C(=O)[O-])nn2)CC1 ZINC001599145180 998200565 /nfs/dbraw/zinc/20/05/65/998200565.db2.gz HOPGXFBDNKIDLO-UHFFFAOYSA-N -1 1 325.369 -0.455 20 0 EBADMM COC(=O)c1ncoc1COCC(=O)N1CCN(CC(=O)[O-])CC1 ZINC001599016730 998945040 /nfs/dbraw/zinc/94/50/40/998945040.db2.gz HKOYHNZDUWVPFG-UHFFFAOYSA-N -1 1 341.320 -0.793 20 0 EBADMM CO[C@@]1(C(=O)[O-])CCN(C(=O)NC[C@@H](C)N2CCN(C)CC2)C1 ZINC001599072269 999341365 /nfs/dbraw/zinc/34/13/65/999341365.db2.gz JMJGWKVZCLSSEB-DOMZBBRYSA-N -1 1 328.413 -0.493 20 0 EBADMM C[C@H]1CN(C(=O)[C@H]2CCCN2S(C)(=O)=O)CCN1CCC(=O)[O-] ZINC001594466331 999687774 /nfs/dbraw/zinc/68/77/74/999687774.db2.gz HAWUFILFQKQRIZ-NWDGAFQWSA-N -1 1 347.437 -0.582 20 0 EBADMM C[C@@H]1CN(C(=O)Cc2nnn(C(C)(C)C)n2)CCN1CCC(=O)[O-] ZINC001594471414 999704526 /nfs/dbraw/zinc/70/45/26/999704526.db2.gz WRKNZBRREQZOPP-LLVKDONJSA-N -1 1 338.412 -0.022 20 0 EBADMM COC[C@@H](CS(=O)(=O)[N-]C1(C(=O)OC)CCOCC1)OC ZINC001365399435 1132651888 /nfs/dbraw/zinc/65/18/88/1132651888.db2.gz SGSICAKNUWNDQN-JTQLQIEISA-N -1 1 325.383 -0.711 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)CCNC(=O)c1cccn1C ZINC001408523019 1125793621 /nfs/dbraw/zinc/79/36/21/1125793621.db2.gz HCKKTRGIINQGDL-UHFFFAOYSA-N -1 1 349.347 -0.263 20 0 EBADMM C[C@H](CC(=O)NCCN(C)Cc1nc(=O)n(C)[n-]1)c1cnn(C)c1 ZINC001480894895 1125840326 /nfs/dbraw/zinc/84/03/26/1125840326.db2.gz NNCFNHSKETXRKF-LLVKDONJSA-N -1 1 335.412 -0.416 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1cccc2c1oc(=O)n2C ZINC001691345629 1125852614 /nfs/dbraw/zinc/85/26/14/1125852614.db2.gz GAYLBPHQZYVNLM-UHFFFAOYSA-N -1 1 346.347 -0.183 20 0 EBADMM CN(CCNC(=O)[C@@H]1CCc2nncn2C1)C(=O)c1ncccc1[O-] ZINC001408593859 1125877883 /nfs/dbraw/zinc/87/78/83/1125877883.db2.gz VHAGVOFAUDJKNR-LLVKDONJSA-N -1 1 344.375 -0.171 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)NCCCN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001687372238 1125885841 /nfs/dbraw/zinc/88/58/41/1125885841.db2.gz QPJAQHNPSTUGGU-NXEZZACHSA-N -1 1 332.364 -0.348 20 0 EBADMM CO[C@@H](Cc1ccccc1)C(=O)NCCN(C)Cc1nc(=O)n(C)[n-]1 ZINC001480949563 1125889821 /nfs/dbraw/zinc/88/98/21/1125889821.db2.gz KUWQBZPBRZLBOB-AWEZNQCLSA-N -1 1 347.419 -0.086 20 0 EBADMM CCN(CCNC(=O)c1cnn(CCF)c1)Cc1nc(=O)n(C)[n-]1 ZINC001480986161 1125904083 /nfs/dbraw/zinc/90/40/83/1125904083.db2.gz WUUZPZHHKYWRQL-UHFFFAOYSA-N -1 1 339.375 -0.474 20 0 EBADMM CCN(CCNC(=O)c1ccnc(OC)n1)Cc1nc(=O)n(C)[n-]1 ZINC001480987358 1125904517 /nfs/dbraw/zinc/90/45/17/1125904517.db2.gz FAVZVUCATGAPHN-UHFFFAOYSA-N -1 1 335.368 -0.841 20 0 EBADMM CCN(CCNC(=O)c1cnn(C)c1N)C(=O)c1ncccc1[O-] ZINC001408734841 1125938864 /nfs/dbraw/zinc/93/88/64/1125938864.db2.gz LOOVGLDAAZQASP-UHFFFAOYSA-N -1 1 332.364 -0.005 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)Cn1c2ccccc2[nH]c1=O ZINC001691489978 1125967293 /nfs/dbraw/zinc/96/72/93/1125967293.db2.gz UXKJIRCIOFEMNO-UHFFFAOYSA-N -1 1 345.363 -0.186 20 0 EBADMM CCCN(C(=O)[C@]12C[C@H]1COC2)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001481399552 1125981910 /nfs/dbraw/zinc/98/19/10/1125981910.db2.gz LAHNMWPVEFJEPN-DCGLDWPTSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1cncc1CC(=O)NCC[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001481457846 1125993753 /nfs/dbraw/zinc/99/37/53/1125993753.db2.gz MQECISUYMKBSTK-NSHDSACASA-N -1 1 333.396 -0.185 20 0 EBADMM Cn1cc(C(=O)N(CCNC(=O)c2ncccc2[O-])C2CC2)nn1 ZINC001408910240 1126019687 /nfs/dbraw/zinc/01/96/87/1126019687.db2.gz PJBOMPDZPHOGFV-UHFFFAOYSA-N -1 1 330.348 -0.050 20 0 EBADMM CN(C(=O)c1n[nH]c(=O)[n-]c1=O)[C@@H]1CCN(CCOCC(F)F)C1 ZINC001481732546 1126047645 /nfs/dbraw/zinc/04/76/45/1126047645.db2.gz QQWYNMNWXCNJLB-MRVPVSSYSA-N -1 1 347.322 -0.289 20 0 EBADMM C[C@](CNCc1n[nH]c(=O)[n-]1)(NC(=O)[C@@H]1CCC(=O)NC1)C1CC1 ZINC001481933452 1126067616 /nfs/dbraw/zinc/06/76/16/1126067616.db2.gz JRYIWIZDGKZJGY-RFAUZJTJSA-N -1 1 336.396 -0.589 20 0 EBADMM COCCCC(=O)N1CCC2(CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001482239707 1126110676 /nfs/dbraw/zinc/11/06/76/1126110676.db2.gz FRBYVCWAQNQUOW-UHFFFAOYSA-N -1 1 337.424 -0.041 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCNC(=O)[C@H]2C(C)C)[n-]n1 ZINC001414212451 1126116103 /nfs/dbraw/zinc/11/61/03/1126116103.db2.gz NAOOVGNDAHTOBB-SNVBAGLBSA-N -1 1 330.366 -0.659 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCNC(=O)[C@H]2C(C)C)n[n-]1 ZINC001414212451 1126116104 /nfs/dbraw/zinc/11/61/04/1126116104.db2.gz NAOOVGNDAHTOBB-SNVBAGLBSA-N -1 1 330.366 -0.659 20 0 EBADMM CC(C)C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CCC(=O)N1C ZINC001482390950 1126143742 /nfs/dbraw/zinc/14/37/42/1126143742.db2.gz KCLFWPHHMRTXMA-WDEREUQCSA-N -1 1 338.412 -0.248 20 0 EBADMM Cc1nn(C)c(C)c1C(=O)N(C)CCN(C)Cc1nc(=O)n(C)[n-]1 ZINC001482617087 1126238786 /nfs/dbraw/zinc/23/87/86/1126238786.db2.gz SVYRESRFQMHUJR-UHFFFAOYSA-N -1 1 335.412 -0.337 20 0 EBADMM CC[C@H](CN1CCOCC1)[N-]S(=O)(=O)c1n[nH]cc1C(=O)OC ZINC001414344248 1126286774 /nfs/dbraw/zinc/28/67/74/1126286774.db2.gz QEIFNBMBHDKJGS-SNVBAGLBSA-N -1 1 346.409 -0.415 20 0 EBADMM C[C@H](CNC(=O)c1ccc(N(C)C)nc1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001409566413 1126377779 /nfs/dbraw/zinc/37/77/79/1126377779.db2.gz JJZLPRYCYQUSBF-LLVKDONJSA-N -1 1 347.423 -0.180 20 0 EBADMM C[C@@H](CNC(=O)c1cn2c(n1)COCC2)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001409579135 1126379037 /nfs/dbraw/zinc/37/90/37/1126379037.db2.gz FLYLFKOQAIUCMS-JTQLQIEISA-N -1 1 349.395 -0.915 20 0 EBADMM CCCC[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CCC(=O)NC1 ZINC001409813374 1126443438 /nfs/dbraw/zinc/44/34/38/1126443438.db2.gz FUDRDBBWXMSHGG-WDEREUQCSA-N -1 1 338.412 -0.199 20 0 EBADMM COC(=O)[C@]1(NS(=O)(=O)C[C@@]23CC[N@H+](C2)CCC3)CCOC1 ZINC000914240155 1126466632 /nfs/dbraw/zinc/46/66/32/1126466632.db2.gz ZTLQEDYADRCOFU-KBPBESRZSA-N -1 1 332.422 -0.276 20 0 EBADMM COC[C@@H]([N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F)C(=O)OC ZINC001365684067 1126469294 /nfs/dbraw/zinc/46/92/94/1126469294.db2.gz MIGOSEIPZHQVAG-RXMQYKEDSA-N -1 1 331.272 -0.105 20 0 EBADMM C[C@H](NC(=O)Cc1ccn(C)n1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001409899461 1126471434 /nfs/dbraw/zinc/47/14/34/1126471434.db2.gz LELCKUYNOXVJDZ-JTQLQIEISA-N -1 1 333.396 -0.979 20 0 EBADMM O=C(N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CCCC1)[C@H]1CCC(=O)N1 ZINC001483995349 1126496316 /nfs/dbraw/zinc/49/63/16/1126496316.db2.gz DXLOKOJZISJHRD-GHMZBOCLSA-N -1 1 336.396 -0.447 20 0 EBADMM O=C(NCC1(NCc2n[nH]c(=O)[n-]2)CCOCC1)c1ccccn1 ZINC001484178805 1126511913 /nfs/dbraw/zinc/51/19/13/1126511913.db2.gz RLWFUOIVFORLQI-UHFFFAOYSA-N -1 1 332.364 -0.026 20 0 EBADMM CO[C@@H](C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCOCC1)C1CC1 ZINC001484182238 1126512515 /nfs/dbraw/zinc/51/25/15/1126512515.db2.gz YAOMXCOFYBKGRP-GFCCVEGCSA-N -1 1 339.396 -0.310 20 0 EBADMM Cc1nccc(C(=O)NCC2(NCc3n[nH]c(=O)[n-]3)CCOCC2)n1 ZINC001484191033 1126512921 /nfs/dbraw/zinc/51/29/21/1126512921.db2.gz HTNHWDRUTSGYDR-UHFFFAOYSA-N -1 1 347.379 -0.322 20 0 EBADMM CC(C)[C@@H](C)C(=O)NC[C@@]1(O)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001484240077 1126537321 /nfs/dbraw/zinc/53/73/21/1126537321.db2.gz KXZYVSDRNPMKKF-ABAIWWIYSA-N -1 1 325.413 -0.547 20 0 EBADMM O=C(c1ncc(Cl)s1)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001484488501 1126592929 /nfs/dbraw/zinc/59/29/29/1126592929.db2.gz ILNJGIBUPQYAPA-UHFFFAOYSA-N -1 1 346.800 -0.156 20 0 EBADMM O=C([C@@H]1Cc2ccccc21)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001484492081 1126594407 /nfs/dbraw/zinc/59/44/07/1126594407.db2.gz FBCTZQWIRABBDC-CYBMUJFWSA-N -1 1 331.376 -0.239 20 0 EBADMM O=C(CCn1ccccc1=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCC1 ZINC001484637192 1126613576 /nfs/dbraw/zinc/61/35/76/1126613576.db2.gz UOZVOVKMRJSTCC-UHFFFAOYSA-N -1 1 346.391 -0.109 20 0 EBADMM CCS(=O)(=O)CC(=O)N(C)CC(C)(C)CNCc1n[nH]c(=O)[n-]1 ZINC001485265532 1126684156 /nfs/dbraw/zinc/68/41/56/1126684156.db2.gz DGOUGRXSGXCCHB-UHFFFAOYSA-N -1 1 347.441 -0.481 20 0 EBADMM C[C@H](CNC(=O)c1cnn2ccncc12)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001485313997 1126687812 /nfs/dbraw/zinc/68/78/12/1126687812.db2.gz QGIDSXISUDOKTF-SNVBAGLBSA-N -1 1 344.379 -0.599 20 0 EBADMM C[C@H](CNC(=O)CCc1ccn(C)n1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001485306842 1126689276 /nfs/dbraw/zinc/68/92/76/1126689276.db2.gz DKHCNESSXGPCPU-LLVKDONJSA-N -1 1 335.412 -0.589 20 0 EBADMM COCc1nocc1C(=O)NC[C@H](C)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001485373776 1126694138 /nfs/dbraw/zinc/69/41/38/1126694138.db2.gz WJVNRGUVRBEDRQ-VIFPVBQESA-N -1 1 338.368 -0.507 20 0 EBADMM C[C@H](CNC(=O)[C@@H](C)Oc1ccccn1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001485378176 1126694889 /nfs/dbraw/zinc/69/48/89/1126694889.db2.gz SXODHPBZEPAOEI-VXGBXAGGSA-N -1 1 348.407 -0.093 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CC12CCOCC2)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001485392582 1126696058 /nfs/dbraw/zinc/69/60/58/1126696058.db2.gz FXXZKIHGMVMMDC-NWDGAFQWSA-N -1 1 337.424 -0.138 20 0 EBADMM CCC[C@H](C)CC(=O)NC1(CCO)CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001485399768 1126700596 /nfs/dbraw/zinc/70/05/96/1126700596.db2.gz SPCHDYRQVUQUFP-LBPRGKRZSA-N -1 1 339.440 -0.012 20 0 EBADMM Cc1cncc(C(=O)NC2(CCO)CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001485401121 1126701068 /nfs/dbraw/zinc/70/10/68/1126701068.db2.gz FKAJGPWEEAKREL-UHFFFAOYSA-N -1 1 346.391 -0.821 20 0 EBADMM CO[C@@H](C(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1)C1CCC1 ZINC001485516989 1126740298 /nfs/dbraw/zinc/74/02/98/1126740298.db2.gz JBBYSXQENCONMW-QMTHXVAHSA-N -1 1 337.424 -0.140 20 0 EBADMM Cc1noc(CCC(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001485519455 1126741023 /nfs/dbraw/zinc/74/10/23/1126741023.db2.gz VBHMNDTVYFMUHB-VIFPVBQESA-N -1 1 349.395 -0.631 20 0 EBADMM CC(C)[C@@H](O)C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC001485567605 1126761238 /nfs/dbraw/zinc/76/12/38/1126761238.db2.gz NSKLYFGGDFAHCX-CMPLNLGQSA-N -1 1 325.413 -0.548 20 0 EBADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)[C@H]1CCCNC1=O ZINC001687444012 1126840235 /nfs/dbraw/zinc/84/02/35/1126840235.db2.gz BERWMQOTEWYNFC-NSHDSACASA-N -1 1 334.376 -0.108 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCCS(C)(=O)=O ZINC001486132465 1127044342 /nfs/dbraw/zinc/04/43/42/1127044342.db2.gz WLPHDOPLBNRLNN-ZJUUUORDSA-N -1 1 345.425 -0.586 20 0 EBADMM C[C@H]1[C@@H](NC(=O)CCn2cccn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001486164209 1127052550 /nfs/dbraw/zinc/05/25/50/1127052550.db2.gz QNHFXWKGLAVSNQ-STQMWFEESA-N -1 1 347.423 -0.136 20 0 EBADMM C[C@H]1[C@H](NC(=O)CCn2cccn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001486164208 1127052772 /nfs/dbraw/zinc/05/27/72/1127052772.db2.gz QNHFXWKGLAVSNQ-QWHCGFSZSA-N -1 1 347.423 -0.136 20 0 EBADMM CCc1cc(C(=O)N(C)C[C@@H](O)CNCc2n[nH]c(=O)[n-]2)c(C)nn1 ZINC001486290497 1127099705 /nfs/dbraw/zinc/09/97/05/1127099705.db2.gz FUBYJDYSWKBJJE-NSHDSACASA-N -1 1 349.395 -0.606 20 0 EBADMM CCn1cc(C(=O)N(C)C[C@@H](O)CNCc2n[nH]c(=O)[n-]2)c(C)n1 ZINC001486303234 1127107741 /nfs/dbraw/zinc/10/77/41/1127107741.db2.gz MELRQFRRFXNLAJ-JTQLQIEISA-N -1 1 337.384 -0.742 20 0 EBADMM CCn1cc(C(=O)N(C)C[C@H](O)CNCc2n[nH]c(=O)[n-]2)c(C)n1 ZINC001486303235 1127107998 /nfs/dbraw/zinc/10/79/98/1127107998.db2.gz MELRQFRRFXNLAJ-SNVBAGLBSA-N -1 1 337.384 -0.742 20 0 EBADMM Cc1cccnc1C(=O)N(C)C[C@H](O)CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001486332966 1127135135 /nfs/dbraw/zinc/13/51/35/1127135135.db2.gz XVISGLMPQJTRFK-GFCCVEGCSA-N -1 1 348.407 -0.623 20 0 EBADMM C[C@@H](C(=O)N(C)C[C@@H](O)CN(C)Cc1nc(=O)n(C)[n-]1)C(C)(F)F ZINC001486348117 1127159427 /nfs/dbraw/zinc/15/94/27/1127159427.db2.gz AIGQFIANLLOVTG-UWVGGRQHSA-N -1 1 349.382 -0.349 20 0 EBADMM CC(C)(C)[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C1CS(=O)(=O)C1 ZINC001486398924 1127165845 /nfs/dbraw/zinc/16/58/45/1127165845.db2.gz FARVRBBOMZMHOH-VIFPVBQESA-N -1 1 345.425 -0.825 20 0 EBADMM CC(C)(C)[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CN1CCOCC1 ZINC001486391732 1127167303 /nfs/dbraw/zinc/16/73/03/1127167303.db2.gz CRIYJJKWZHMNKT-NSHDSACASA-N -1 1 340.428 -0.537 20 0 EBADMM COCCN(CCNC(=O)c1ncccc1[O-])Cc1ncnn1C ZINC001486427598 1127170685 /nfs/dbraw/zinc/17/06/85/1127170685.db2.gz GJWWOTMBBPDOAB-UHFFFAOYSA-N -1 1 334.380 -0.206 20 0 EBADMM C[C@@H](CNC(=O)C1CC=CC1)N(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001486831798 1127269179 /nfs/dbraw/zinc/26/91/79/1127269179.db2.gz VZAJTLATIVWENQ-JTQLQIEISA-N -1 1 344.375 -0.040 20 0 EBADMM CN(CCN(C)C(=O)[C@H]1CC[C@@H]1C(N)=O)C(=O)c1ncccc1[O-] ZINC001409975963 1127291309 /nfs/dbraw/zinc/29/13/09/1127291309.db2.gz AAQNLGYHJSOSLU-QWRGUYRKSA-N -1 1 334.376 -0.171 20 0 EBADMM C[C@H](CNC(=O)CCn1cnnn1)N(C)C(=O)c1ncccc1[O-] ZINC001486973277 1127352462 /nfs/dbraw/zinc/35/24/62/1127352462.db2.gz XZRZBNDUEPVNDN-SNVBAGLBSA-N -1 1 333.352 -0.559 20 0 EBADMM CNC(=O)NCC(=O)N[C@@H]1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001487374336 1127484804 /nfs/dbraw/zinc/48/48/04/1127484804.db2.gz FEZCPSSBOXFXLG-MNOVXSKESA-N -1 1 349.391 -0.127 20 0 EBADMM Cc1ncc(C(=O)N(C)C[C@H](O)CNCc2n[nH]c(=O)[n-]2)s1 ZINC001410995992 1127966410 /nfs/dbraw/zinc/96/64/10/1127966410.db2.gz XNLDGMOAFMWTEV-MRVPVSSYSA-N -1 1 326.382 -0.502 20 0 EBADMM CN(C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)c1ncc(Cl)s1 ZINC001411053875 1127990605 /nfs/dbraw/zinc/99/06/05/1127990605.db2.gz SRYCCXLKEOHYKO-LURJTMIESA-N -1 1 346.800 -0.157 20 0 EBADMM CN(C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)c1cnn(C)c1Cl ZINC001411058782 1127994664 /nfs/dbraw/zinc/99/46/64/1127994664.db2.gz LPPGIVNPNIJDND-ZETCQYMHSA-N -1 1 343.775 -0.880 20 0 EBADMM C[C@@H](C(=O)N(C)C[C@H](O)CN(C)Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001411140025 1128034147 /nfs/dbraw/zinc/03/41/47/1128034147.db2.gz AALYCTUXIWBSGE-ZYHUDNBSSA-N -1 1 325.413 -0.594 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)c1coc(C(N)=O)c1 ZINC001092853085 1128282918 /nfs/dbraw/zinc/28/29/18/1128282918.db2.gz DOLQDSJVWVGZGK-PSASIEDQSA-N -1 1 348.363 -0.300 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)c1cc(C(N)=O)c[nH]1 ZINC001093085194 1128294609 /nfs/dbraw/zinc/29/46/09/1128294609.db2.gz SNBNPOYJOWGRCO-PSASIEDQSA-N -1 1 347.379 -0.565 20 0 EBADMM C/C=C(\C)C(=O)N1CC(n2cc(CNCc3nc(=O)n(C)[n-]3)nn2)C1 ZINC001093317813 1128328028 /nfs/dbraw/zinc/32/80/28/1128328028.db2.gz NPTFOOKJQQTJKM-ONNFQVAWSA-N -1 1 346.395 -0.661 20 0 EBADMM CCOCC(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H]1C ZINC001488115734 1128626463 /nfs/dbraw/zinc/62/64/63/1128626463.db2.gz SHDVNALIKVSEMV-VHSXEESVSA-N -1 1 348.363 -0.827 20 0 EBADMM COCCCC(=O)NCC1(NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001358649120 1131243231 /nfs/dbraw/zinc/24/32/31/1131243231.db2.gz UIKIRRNQVQKTHV-UHFFFAOYSA-N -1 1 348.363 -0.777 20 0 EBADMM NC(=O)NCC(=O)N1CC[C@@]2(NC(=O)c3ncccc3[O-])CCC[C@H]12 ZINC001488228886 1128658555 /nfs/dbraw/zinc/65/85/55/1128658555.db2.gz SEBOZFCLSYQNNU-ZBEGNZNMSA-N -1 1 347.375 -0.291 20 0 EBADMM CN(C[C@@H](O)CN(C)C(=O)c1ncccc1[O-])C(=O)c1cnco1 ZINC001488433154 1128770414 /nfs/dbraw/zinc/77/04/14/1128770414.db2.gz MOPJLBACSRXLLZ-SNVBAGLBSA-N -1 1 334.332 -0.020 20 0 EBADMM CNC(=O)NCC(=O)NCC1(CCNC(=O)c2ncccc2[O-])CC1 ZINC001489061758 1128902579 /nfs/dbraw/zinc/90/25/79/1128902579.db2.gz VKZXUOKXLJZLFA-UHFFFAOYSA-N -1 1 349.391 -0.268 20 0 EBADMM CNC(=O)NCCC(=O)N(C)C[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001489740047 1129042015 /nfs/dbraw/zinc/04/20/15/1129042015.db2.gz ZTOPRDOEOWSVOM-NSHDSACASA-N -1 1 349.391 -0.221 20 0 EBADMM CN(C[C@H]1CCN1C(=O)CCn1cc[n-]c(=O)c1=O)C(=O)C1(C)CC1 ZINC001489748549 1129045623 /nfs/dbraw/zinc/04/56/23/1129045623.db2.gz IQGUSTPFHVTNQF-GFCCVEGCSA-N -1 1 348.403 -0.214 20 0 EBADMM CCOCCC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001490176856 1129133654 /nfs/dbraw/zinc/13/36/54/1129133654.db2.gz ZCVNZBGSOIUEAM-YPMHNXCESA-N -1 1 337.376 -0.095 20 0 EBADMM CCOCCC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001490176854 1129133721 /nfs/dbraw/zinc/13/37/21/1129133721.db2.gz ZCVNZBGSOIUEAM-DGCLKSJQSA-N -1 1 337.376 -0.095 20 0 EBADMM C[C@@H](C(=O)N1CC(N(C)C(=O)CCn2cc[n-]c(=O)c2=O)C1)C1CC1 ZINC001358739768 1131272066 /nfs/dbraw/zinc/27/20/66/1131272066.db2.gz FHMYEVDCZPPPQL-LLVKDONJSA-N -1 1 348.403 -0.358 20 0 EBADMM C[C@]1(NC(=O)C(N)=O)CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001358805797 1131291751 /nfs/dbraw/zinc/29/17/51/1131291751.db2.gz WFNRVMAQJUWCKX-HNNXBMFYSA-N -1 1 333.348 -0.734 20 0 EBADMM Cn1[n-]c(CN2CCN(CCNC(=O)CCC(F)F)CC2)nc1=O ZINC001490498708 1129195026 /nfs/dbraw/zinc/19/50/26/1129195026.db2.gz WVBAIVKVIIZYHM-UHFFFAOYSA-N -1 1 346.382 -0.613 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CCN(Cc2cncs2)C1 ZINC001490567599 1129227737 /nfs/dbraw/zinc/22/77/37/1129227737.db2.gz WHGKUYXHOSBZRY-NSHDSACASA-N -1 1 349.416 -0.226 20 0 EBADMM COc1cc(C(=O)NC[C@@H](NCc2n[nH]c(=O)[n-]2)C2CC2)ncn1 ZINC001490665250 1129243092 /nfs/dbraw/zinc/24/30/92/1129243092.db2.gz PSHHPCXNOXHLTN-SNVBAGLBSA-N -1 1 333.352 -0.393 20 0 EBADMM C[C@@H](NC(=O)c1cnn2c1OCCC2)[C@H](C)NC(=O)c1cnn[nH]1 ZINC001411409935 1129289762 /nfs/dbraw/zinc/28/97/62/1129289762.db2.gz AZUOCYWSEGAHGH-BDAKNGLRSA-N -1 1 333.352 -0.280 20 0 EBADMM C[C@@H](NC(=O)c1cn2c(n1)COCC2)[C@@H](C)NC(=O)c1cnn[nH]1 ZINC001411409942 1129289773 /nfs/dbraw/zinc/28/97/73/1129289773.db2.gz BDIQUCRIEODTDL-RKDXNWHRSA-N -1 1 333.352 -0.532 20 0 EBADMM Cc1nc(CC(=O)NC[C@H](C)N(C)C(=O)c2cnc[nH]c2=O)n[nH]1 ZINC001411553653 1129316088 /nfs/dbraw/zinc/31/60/88/1129316088.db2.gz RBAZMMZSCCRHJJ-QMMMGPOBSA-N -1 1 333.352 -0.572 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H](C)N(C)C(=O)CSC ZINC001411617113 1129334264 /nfs/dbraw/zinc/33/42/64/1129334264.db2.gz ONWAZMJSINASPT-SSDOTTSWSA-N -1 1 344.393 -0.164 20 0 EBADMM CCc1occc1C(=O)N1CC(CCO)(NC(=O)c2cnn[nH]2)C1 ZINC001411791724 1129386861 /nfs/dbraw/zinc/38/68/61/1129386861.db2.gz WKPFBMZYHSRXOL-UHFFFAOYSA-N -1 1 333.348 -0.033 20 0 EBADMM C[C@H](NC(=O)Cn1cnnn1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001411924587 1129403788 /nfs/dbraw/zinc/40/37/88/1129403788.db2.gz VDDOSBNFAYPEBQ-VIFPVBQESA-N -1 1 331.336 -0.949 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC001412071856 1129440492 /nfs/dbraw/zinc/44/04/92/1129440492.db2.gz GAEJWSIKRRHCAD-ZJUUUORDSA-N -1 1 334.332 -0.526 20 0 EBADMM CCn1cc([C@H]2C[S@](=O)CCN2C(=O)CCc2nn[n-]n2)cn1 ZINC001412096130 1129445876 /nfs/dbraw/zinc/44/58/76/1129445876.db2.gz KIWCJDYZBNOSKT-QVQLBTGDSA-N -1 1 337.409 -0.319 20 0 EBADMM C[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)Cn1ncn(C)c1=O ZINC001412292698 1129497401 /nfs/dbraw/zinc/49/74/01/1129497401.db2.gz ONVKDQZPEBZLNB-IUCAKERBSA-N -1 1 349.313 -0.360 20 0 EBADMM CS(=O)(=O)c1ccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)cn1 ZINC001412426251 1129525844 /nfs/dbraw/zinc/52/58/44/1129525844.db2.gz JLNSSVLJGJUWIY-VIFPVBQESA-N -1 1 338.349 -0.788 20 0 EBADMM COC(=O)C[C@H]1C(=O)NCCN1C(=O)c1cnc(SC)[n-]c1=O ZINC001412425071 1129526025 /nfs/dbraw/zinc/52/60/25/1129526025.db2.gz CYNURMPKEUIMRC-QMMMGPOBSA-N -1 1 340.361 -0.592 20 0 EBADMM Cc1ccn(C[C@](C)(O)C(=O)N2CCC(c3nn[n-]n3)CC2)c(=O)c1 ZINC001412496125 1129542026 /nfs/dbraw/zinc/54/20/26/1129542026.db2.gz XMGFQKBORGOGML-INIZCTEOSA-N -1 1 346.391 -0.173 20 0 EBADMM C[C@H](CN1CCN(C)CC1)NC(=O)c1cccc(-n2[n-]nnc2=N)c1 ZINC001412530021 1129552179 /nfs/dbraw/zinc/55/21/79/1129552179.db2.gz RAZAYWAQRWQHKV-GFCCVEGCSA-N -1 1 344.423 -0.559 20 0 EBADMM CN(CC(C)(C)S(C)(=O)=O)C(=O)CNC(=O)c1ncccc1[O-] ZINC001412560531 1129561989 /nfs/dbraw/zinc/56/19/89/1129561989.db2.gz QADNXFWYGOLEFF-UHFFFAOYSA-N -1 1 343.405 -0.201 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1CC(=O)N(C)C1)NC(=O)c1ncccc1[O-] ZINC001412568322 1129564834 /nfs/dbraw/zinc/56/48/34/1129564834.db2.gz YJRYZVBDCPUPAK-MNOVXSKESA-N -1 1 334.376 -0.158 20 0 EBADMM COC[C@H](NC(=O)CNC(=O)OCC(F)(F)F)c1nn[n-]n1 ZINC001412600501 1129572080 /nfs/dbraw/zinc/57/20/80/1129572080.db2.gz DPSBVSOBTGNVJU-YFKPBYRVSA-N -1 1 326.235 -0.708 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC(S(C)(=O)=O)CC1 ZINC001412638736 1129582558 /nfs/dbraw/zinc/58/25/58/1129582558.db2.gz XGXICQWLPONDSU-UHFFFAOYSA-N -1 1 331.350 -0.454 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)CNC(=O)c2ncccc2[O-])CCS1(=O)=O ZINC001412674386 1129590173 /nfs/dbraw/zinc/59/01/73/1129590173.db2.gz CARPUSYGTJLNMB-ZJUUUORDSA-N -1 1 341.389 -0.401 20 0 EBADMM O=C(CCCCc1c[nH]nn1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001490833029 1129618505 /nfs/dbraw/zinc/61/85/05/1129618505.db2.gz PDMYEQZKKPDRIS-NSHDSACASA-N -1 1 334.384 -0.268 20 0 EBADMM CCC(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C2 ZINC001490833451 1129618936 /nfs/dbraw/zinc/61/89/36/1129618936.db2.gz HGICRCQMKOVUJE-UTUOFQBUSA-N -1 1 334.376 -0.415 20 0 EBADMM C[C@@H](CC(=O)N[C@@H](CO)CNC(=O)c1ncccc1[O-])n1cccn1 ZINC001412804746 1129662043 /nfs/dbraw/zinc/66/20/43/1129662043.db2.gz FWGWBZDXXWDPEL-NWDGAFQWSA-N -1 1 347.375 -0.158 20 0 EBADMM CCn1cc(C(=O)N[C@H](CO)CNC(=O)c2ncccc2[O-])c(C)n1 ZINC001412809849 1129666022 /nfs/dbraw/zinc/66/60/22/1129666022.db2.gz MJGUGIOOOSVONX-NSHDSACASA-N -1 1 347.375 -0.167 20 0 EBADMM O=C(CC[C@@H]1CCOC1)N[C@@H](CO)CNC(=O)c1ncccc1[O-] ZINC001412816270 1129669190 /nfs/dbraw/zinc/66/91/90/1129669190.db2.gz VUGBJWPSZYXERM-VXGBXAGGSA-N -1 1 337.376 -0.189 20 0 EBADMM CCOCCO[C@@H](C)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001490856544 1129686541 /nfs/dbraw/zinc/68/65/41/1129686541.db2.gz AVOMXXBNGPTAKT-QWRGUYRKSA-N -1 1 327.385 -0.358 20 0 EBADMM O=C(C[C@H]1CCS(=O)(=O)C1)N1CCSC[C@H]1c1nn[n-]n1 ZINC001412859236 1129738196 /nfs/dbraw/zinc/73/81/96/1129738196.db2.gz UUMRHEANFBOYMY-BDAKNGLRSA-N -1 1 331.423 -0.359 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCO[C@]2(CCO[C@H]2C)C1 ZINC001412914101 1129780226 /nfs/dbraw/zinc/78/02/26/1129780226.db2.gz GFCMBSXNVJURQO-RMLUDKJBSA-N -1 1 325.321 -0.084 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)CCNC(N)=O ZINC001413082627 1129924195 /nfs/dbraw/zinc/92/41/95/1129924195.db2.gz LGJCHGSTPCIXAF-UWVGGRQHSA-N -1 1 335.364 -0.435 20 0 EBADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)NC[C@H]1CNC(=O)C1 ZINC001413114126 1129933783 /nfs/dbraw/zinc/93/37/83/1129933783.db2.gz JPOFJERGMNVPFV-LLVKDONJSA-N -1 1 341.371 -0.065 20 0 EBADMM CN(C[C@@H](O)CN(C)C(=O)c1cnc[nH]c1=O)C(=O)c1ccn[nH]1 ZINC001413352820 1130039602 /nfs/dbraw/zinc/03/96/02/1130039602.db2.gz MWAVLGVRWUAKJE-VIFPVBQESA-N -1 1 334.336 -0.890 20 0 EBADMM COC(=O)CC1CC(NS(=O)(=O)c2cc(C(=O)OC)n[n-]2)C1 ZINC001413428025 1130085283 /nfs/dbraw/zinc/08/52/83/1130085283.db2.gz DCOYALCUGVHNRJ-UHFFFAOYSA-N -1 1 331.350 -0.184 20 0 EBADMM COC(=O)CC1CC(NS(=O)(=O)c2cc(C(=O)OC)[n-]n2)C1 ZINC001413428025 1130085289 /nfs/dbraw/zinc/08/52/89/1130085289.db2.gz DCOYALCUGVHNRJ-UHFFFAOYSA-N -1 1 331.350 -0.184 20 0 EBADMM CCN1CCC[C@@H]([N-]S(=O)(=O)c2n[nH]c(C)c2C(=O)OC)C1=O ZINC001413436792 1130089144 /nfs/dbraw/zinc/08/91/44/1130089144.db2.gz LHZALOKQCDJPEG-SECBINFHSA-N -1 1 344.393 -0.206 20 0 EBADMM CC[C@H](O)Cn1cc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)nn1 ZINC001413456614 1130094439 /nfs/dbraw/zinc/09/44/39/1130094439.db2.gz VEFZPWQDFQCRAO-VIFPVBQESA-N -1 1 348.363 -0.453 20 0 EBADMM O=C(C1=CN2CCS(=O)(=O)N=C2C=C1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001413468039 1130098595 /nfs/dbraw/zinc/09/85/95/1130098595.db2.gz KNSQNWXIGMDDET-VIFPVBQESA-N -1 1 349.376 -0.987 20 0 EBADMM CC(C)[C@@H](C(=O)N1CC[C@@H](c2nn[n-]n2)C1)N1CCCS1(=O)=O ZINC001413469063 1130099157 /nfs/dbraw/zinc/09/91/57/1130099157.db2.gz NPNAYNIKFCHVGI-MNOVXSKESA-N -1 1 342.425 -0.424 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)NCC(C)(C)CS(N)(=O)=O)ccnc1-2 ZINC001413564183 1130135534 /nfs/dbraw/zinc/13/55/34/1130135534.db2.gz XRGNGXUQPKSBRD-UHFFFAOYSA-N -1 1 340.409 -0.222 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)CNC(N)=O ZINC001413612566 1130189967 /nfs/dbraw/zinc/18/99/67/1130189967.db2.gz GOXKNSHCGAWUKU-ZJUUUORDSA-N -1 1 335.364 -0.435 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@H](O)CS(C)(=O)=O ZINC001413742294 1130251740 /nfs/dbraw/zinc/25/17/40/1130251740.db2.gz ZLVNXNIRSRYJHU-VIFPVBQESA-N -1 1 331.394 -0.251 20 0 EBADMM CC(=O)N1CC(C(=O)NCCNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001491254995 1130374954 /nfs/dbraw/zinc/37/49/54/1130374954.db2.gz HSKMUQFIBMTJFA-UHFFFAOYSA-N -1 1 347.375 -0.616 20 0 EBADMM CN(CCNC(=O)c1cncc2[nH]cnc21)Cc1nc(=O)n(C)[n-]1 ZINC001492257203 1130609982 /nfs/dbraw/zinc/60/99/82/1130609982.db2.gz GRZHCFHNQWKDIL-UHFFFAOYSA-N -1 1 330.352 -0.759 20 0 EBADMM CN(CCNC(=O)c1cccc2nsnc21)Cc1nc(=O)n(C)[n-]1 ZINC001492483961 1130687328 /nfs/dbraw/zinc/68/73/28/1130687328.db2.gz FKOXSAAWAMCURE-UHFFFAOYSA-N -1 1 347.404 -0.025 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1)C(=O)CC1CC1 ZINC001414090704 1130731085 /nfs/dbraw/zinc/73/10/85/1130731085.db2.gz FMFCTOHCXMVLHO-NSHDSACASA-N -1 1 344.375 -0.109 20 0 EBADMM CO[C@@H](C)CC(=O)NC[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001480247398 1130764704 /nfs/dbraw/zinc/76/47/04/1130764704.db2.gz UCPHDDKPSXOYJH-RYUDHWBXSA-N -1 1 325.413 -0.138 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CCc2ncncc2C1)NCc1n[nH]c(=O)[n-]1 ZINC001480474062 1130817932 /nfs/dbraw/zinc/81/79/32/1130817932.db2.gz GUVMTXDOATYZGM-ZJUUUORDSA-N -1 1 331.380 -0.300 20 0 EBADMM NC(=O)C1(C(=O)NCCN(C(=O)c2ncccc2[O-])C2CC2)CC1 ZINC001356641756 1130890861 /nfs/dbraw/zinc/89/08/61/1130890861.db2.gz UAZUTZBIVQBNAC-UHFFFAOYSA-N -1 1 332.360 -0.227 20 0 EBADMM CC(C)[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)C1CC1 ZINC001357106689 1131049848 /nfs/dbraw/zinc/04/98/48/1131049848.db2.gz VYIQIENALHGFKQ-LBPRGKRZSA-N -1 1 336.392 -0.406 20 0 EBADMM CC(C)[C@H](CNC(=O)[C@H]1CCNC1=O)NC(=O)c1ncccc1[O-] ZINC001357108672 1131051181 /nfs/dbraw/zinc/05/11/81/1131051181.db2.gz PEDAMGJKUATQQX-QWRGUYRKSA-N -1 1 334.376 -0.206 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)[C@@H](C)C1CC1 ZINC001358483331 1131188021 /nfs/dbraw/zinc/18/80/21/1131188021.db2.gz BRQZCFLAVIEGEQ-QWRGUYRKSA-N -1 1 336.392 -0.406 20 0 EBADMM C[C@@H](CNC(=O)C(=O)N1CC[C@@H](C)C1)NC(=O)c1ncccc1[O-] ZINC001358507541 1131189858 /nfs/dbraw/zinc/18/98/58/1131189858.db2.gz HBIVVFZEKGWLNR-MNOVXSKESA-N -1 1 334.376 -0.110 20 0 EBADMM CNS(=O)(=O)NCC(=O)Nc1ccc(-c2cc(=O)[nH][n-]2)cc1 ZINC001361637904 1131432912 /nfs/dbraw/zinc/43/29/12/1131432912.db2.gz VLUTZDXFLRPCQF-UHFFFAOYSA-N -1 1 325.350 -0.225 20 0 EBADMM O=C(CCc1nn[n-]n1)N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001361851622 1131466697 /nfs/dbraw/zinc/46/66/97/1131466697.db2.gz IOGMBHDRIOYPML-WDEREUQCSA-N -1 1 343.409 -0.673 20 0 EBADMM CS(=O)(=O)N1CCN(CCNC(=O)c2ccc([O-])cn2)CC1 ZINC001361857005 1131467624 /nfs/dbraw/zinc/46/76/24/1131467624.db2.gz TWXFJWCYQWNBCJ-UHFFFAOYSA-N -1 1 328.394 -0.906 20 0 EBADMM C[C@H](NC(=O)c1ccc([O-])cn1)C(=O)N1CCS(=O)(=O)CC1 ZINC001361909083 1131477262 /nfs/dbraw/zinc/47/72/62/1131477262.db2.gz VNVNGUXXRRMKKQ-VIFPVBQESA-N -1 1 327.362 -0.838 20 0 EBADMM CSc1ncc(C(=O)NCCC(=O)N2CCN(C)CC2)c(=O)[n-]1 ZINC001361924566 1131481078 /nfs/dbraw/zinc/48/10/78/1131481078.db2.gz NUJMSTGFSRCXEV-UHFFFAOYSA-N -1 1 339.421 -0.202 20 0 EBADMM CS(=O)(=O)c1ccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cn1 ZINC001362059616 1131507024 /nfs/dbraw/zinc/50/70/24/1131507024.db2.gz HVUXCUDGXAIWEE-SECBINFHSA-N -1 1 338.349 -0.788 20 0 EBADMM O=C(CNC(=O)c1cnc(C2CC2)[n-]c1=O)NCCN1CCOCC1 ZINC001362124134 1131520514 /nfs/dbraw/zinc/52/05/14/1131520514.db2.gz INSKTAAHEUDDCJ-UHFFFAOYSA-N -1 1 349.391 -0.762 20 0 EBADMM Cn1ccc(N2CCC[C@@H](NC(=O)CCCc3nn[n-]n3)C2=O)n1 ZINC001362171997 1131529451 /nfs/dbraw/zinc/52/94/51/1131529451.db2.gz DJJPDXFUCIGJFU-SNVBAGLBSA-N -1 1 332.368 -0.432 20 0 EBADMM O=C(Cn1cc(NC(=O)c2cnncc2[O-])cn1)N1CCOCC1 ZINC001362191068 1131533235 /nfs/dbraw/zinc/53/32/35/1131533235.db2.gz ZLWIEEOGGSNSIM-UHFFFAOYSA-N -1 1 332.320 -0.510 20 0 EBADMM O=C(CCCc1nn[n-]n1)Nc1ccn(CC(=O)N2CCOCC2)n1 ZINC001362284133 1131552051 /nfs/dbraw/zinc/55/20/51/1131552051.db2.gz MKZFMVGRGLALGT-UHFFFAOYSA-N -1 1 348.367 -0.784 20 0 EBADMM O=C(CNC(=O)Nc1ccccc1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362385250 1131572956 /nfs/dbraw/zinc/57/29/56/1131572956.db2.gz HACFNRLPKHGERY-UHFFFAOYSA-N -1 1 345.363 -0.169 20 0 EBADMM Cn1nc(C2CC2)nc1CC(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362439832 1131583612 /nfs/dbraw/zinc/58/36/12/1131583612.db2.gz YOBPARDPXMQMJE-UHFFFAOYSA-N -1 1 332.368 -0.742 20 0 EBADMM Cc1cc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)ncc1C(N)=O ZINC001362520879 1131600776 /nfs/dbraw/zinc/60/07/76/1131600776.db2.gz RJKCCJOLLRSRBF-SNVBAGLBSA-N -1 1 333.377 -0.068 20 0 EBADMM O=C(c1cnc2c(c1)NC(=O)CO2)N1CCSC[C@@H]1c1nn[n-]n1 ZINC001362522761 1131601490 /nfs/dbraw/zinc/60/14/90/1131601490.db2.gz XVLRMRBWHYNQGF-SECBINFHSA-N -1 1 347.360 -0.144 20 0 EBADMM Cc1ncc2n1C[C@@H](C(=O)N1CCC(O)(c3nn[n-]n3)CC1)CC2 ZINC001362561486 1131611243 /nfs/dbraw/zinc/61/12/43/1131611243.db2.gz NDENWYNHZMRYIT-NSHDSACASA-N -1 1 331.380 -0.223 20 0 EBADMM O=C(NCCc1nc(=O)o[n-]1)c1nc[nH]c(=O)c1Br ZINC001362592380 1131619032 /nfs/dbraw/zinc/61/90/32/1131619032.db2.gz QRLTYHMGJUXABB-UHFFFAOYSA-N -1 1 330.098 -0.407 20 0 EBADMM CC(C)(CO)N1CCN(C(=O)CCCn2c(=O)[n-][nH]c2=O)CC1 ZINC001362597258 1131621158 /nfs/dbraw/zinc/62/11/58/1131621158.db2.gz BBGLCYBQAHRNCJ-UHFFFAOYSA-N -1 1 327.385 -0.615 20 0 EBADMM CCOC(=O)c1nc([C@@H](C)NC(=O)C2CCS(=O)(=O)CC2)n[n-]1 ZINC001362661971 1131635539 /nfs/dbraw/zinc/63/55/39/1131635539.db2.gz UOIWVWFZBDBUGW-MRVPVSSYSA-N -1 1 344.393 -0.017 20 0 EBADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)C2CCS(=O)(=O)CC2)[n-]1 ZINC001362661971 1131635543 /nfs/dbraw/zinc/63/55/43/1131635543.db2.gz UOIWVWFZBDBUGW-MRVPVSSYSA-N -1 1 344.393 -0.017 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)C2CCS(=O)(=O)CC2)n1 ZINC001362661971 1131635545 /nfs/dbraw/zinc/63/55/45/1131635545.db2.gz UOIWVWFZBDBUGW-MRVPVSSYSA-N -1 1 344.393 -0.017 20 0 EBADMM CSCC[C@H](NC(N)=O)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362661090 1131635687 /nfs/dbraw/zinc/63/56/87/1131635687.db2.gz DTNRLKZINPULOJ-BDAKNGLRSA-N -1 1 327.414 -0.304 20 0 EBADMM NS(=O)(=O)c1sccc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362668474 1131637504 /nfs/dbraw/zinc/63/75/04/1131637504.db2.gz ZBMIVZVLJTWVHL-ZETCQYMHSA-N -1 1 342.406 -0.072 20 0 EBADMM CC(C)(C)OC(=O)N1CC(O)(CNC(=O)CCc2nn[n-]n2)C1 ZINC001362703696 1131646477 /nfs/dbraw/zinc/64/64/77/1131646477.db2.gz PDBOEIWWYKLVRK-UHFFFAOYSA-N -1 1 326.357 -0.770 20 0 EBADMM O=C(CCCc1nn[n-]n1)N[C@@H]1CCO[C@]2(CCS(=O)(=O)C2)C1 ZINC001362710639 1131648700 /nfs/dbraw/zinc/64/87/00/1131648700.db2.gz DHOMQQYBKFWOIR-ZWNOBZJWSA-N -1 1 343.409 -0.625 20 0 EBADMM COC1(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)CS(=O)(=O)C1 ZINC001362716964 1131650886 /nfs/dbraw/zinc/65/08/86/1131650886.db2.gz PPTBJMUXSSBEGQ-SNVBAGLBSA-N -1 1 337.361 -0.781 20 0 EBADMM CCO[C@H](C(=O)N1CCC(O)(c2nn[n-]n2)CC1)[C@@H]1CCOC1 ZINC001362725677 1131655330 /nfs/dbraw/zinc/65/53/30/1131655330.db2.gz PBWWTMYZKJAUSK-MNOVXSKESA-N -1 1 325.369 -0.549 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCNC(=O)CC2(C)C)co1 ZINC001362727373 1131655552 /nfs/dbraw/zinc/65/55/52/1131655552.db2.gz HIQYSAGFYOHCSF-UHFFFAOYSA-N -1 1 329.378 -0.072 20 0 EBADMM O=C(c1cnncc1[O-])N1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC001362744068 1131661041 /nfs/dbraw/zinc/66/10/41/1131661041.db2.gz RBJBZZAUDGLIIB-UHFFFAOYSA-N -1 1 340.405 -0.483 20 0 EBADMM O=C(CCCc1nn[n-]n1)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC001362803835 1131679913 /nfs/dbraw/zinc/67/99/13/1131679913.db2.gz CMBUWMIFTMZFSX-NSHDSACASA-N -1 1 342.425 -0.451 20 0 EBADMM O=C(CN1CCCC2(CC2)S1(=O)=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001362806004 1131680606 /nfs/dbraw/zinc/68/06/06/1131680606.db2.gz INVDWXOPSAZGOB-JTQLQIEISA-N -1 1 340.409 -0.526 20 0 EBADMM CSc1ncc(C(=O)NCC2(O)CCS(=O)(=O)CC2)c(=O)[n-]1 ZINC001362808733 1131681357 /nfs/dbraw/zinc/68/13/57/1131681357.db2.gz ANARHJAJSUCHAA-UHFFFAOYSA-N -1 1 347.418 -0.426 20 0 EBADMM COCCN(C[C@@H](O)C(F)(F)F)C(=O)CCCc1nn[n-]n1 ZINC001362875630 1131702719 /nfs/dbraw/zinc/70/27/19/1131702719.db2.gz XOMIBGQSWLSGOM-MRVPVSSYSA-N -1 1 325.291 -0.079 20 0 EBADMM CN(C)S(=O)(=O)C[C@@H](NC(=O)c1cnncc1[O-])C(F)(F)F ZINC001362889820 1131705726 /nfs/dbraw/zinc/70/57/26/1131705726.db2.gz BHPICRFNYAWBIS-MRVPVSSYSA-N -1 1 342.299 -0.266 20 0 EBADMM COC[C@H](NC(=O)CNC(=O)OCc1ccccc1)c1nn[n-]n1 ZINC001362907575 1131709665 /nfs/dbraw/zinc/70/96/65/1131709665.db2.gz HQFLIAYRNFCHCK-NSHDSACASA-N -1 1 334.336 -0.070 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCCn3nncc3C2)o1 ZINC001362919296 1131713191 /nfs/dbraw/zinc/71/31/91/1131713191.db2.gz TZJZUBIEUSLBLK-UHFFFAOYSA-N -1 1 325.350 -0.175 20 0 EBADMM O=C([C@@H]1CCCCS1(=O)=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC001362971935 1131729211 /nfs/dbraw/zinc/72/92/11/1131729211.db2.gz MKOZIVWQDLWTMH-IUCAKERBSA-N -1 1 331.423 -0.217 20 0 EBADMM CSc1ncc(C(=O)N2CC[C@H](CS(N)(=O)=O)C2)c(=O)[n-]1 ZINC001363024336 1131751159 /nfs/dbraw/zinc/75/11/59/1131751159.db2.gz WMJPUNUADRVDSP-ZETCQYMHSA-N -1 1 332.407 -0.345 20 0 EBADMM COc1cnc([C@@H]2CCCN2C(=O)Cn2ncn(C)c2=O)[n-]c1=O ZINC001363041626 1131759133 /nfs/dbraw/zinc/75/91/33/1131759133.db2.gz QNFIOKLHIQWXAB-VIFPVBQESA-N -1 1 334.336 -0.550 20 0 EBADMM CCOC(=O)c1nc([C@H](C)NC(=O)CN(C)C(=O)COC)n[n-]1 ZINC001363045793 1131760531 /nfs/dbraw/zinc/76/05/31/1131760531.db2.gz DVBVBMJKCUKTNJ-QMMMGPOBSA-N -1 1 327.341 -0.737 20 0 EBADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CN(C)C(=O)COC)[n-]1 ZINC001363045793 1131760535 /nfs/dbraw/zinc/76/05/35/1131760535.db2.gz DVBVBMJKCUKTNJ-QMMMGPOBSA-N -1 1 327.341 -0.737 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CN(C)C(=O)COC)n1 ZINC001363045793 1131760538 /nfs/dbraw/zinc/76/05/38/1131760538.db2.gz DVBVBMJKCUKTNJ-QMMMGPOBSA-N -1 1 327.341 -0.737 20 0 EBADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CNC(=O)N2CCCC2)n[n-]1 ZINC001363046415 1131761543 /nfs/dbraw/zinc/76/15/43/1131761543.db2.gz ODYHBUFFJAMGFR-SECBINFHSA-N -1 1 338.368 -0.036 20 0 EBADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CNC(=O)N2CCCC2)[n-]1 ZINC001363046415 1131761547 /nfs/dbraw/zinc/76/15/47/1131761547.db2.gz ODYHBUFFJAMGFR-SECBINFHSA-N -1 1 338.368 -0.036 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CNC(=O)N2CCCC2)n1 ZINC001363046415 1131761550 /nfs/dbraw/zinc/76/15/50/1131761550.db2.gz ODYHBUFFJAMGFR-SECBINFHSA-N -1 1 338.368 -0.036 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H](CO)[C@H]2CCCOC2)o1 ZINC001363047256 1131761619 /nfs/dbraw/zinc/76/16/19/1131761619.db2.gz KCGDMZNAMVOMCZ-VHSXEESVSA-N -1 1 332.378 -0.295 20 0 EBADMM COC(=O)[C@](C)(CCF)NC(=O)CNC(=O)c1ncccc1[O-] ZINC001363070768 1131771584 /nfs/dbraw/zinc/77/15/84/1131771584.db2.gz GJKOAPXHSZEYGB-AWEZNQCLSA-N -1 1 327.312 -0.076 20 0 EBADMM Cn1cc(O)c(=O)cc1CNC(=O)CNC(=O)c1ncccc1[O-] ZINC001363162731 1131809499 /nfs/dbraw/zinc/80/94/99/1131809499.db2.gz XMBWFVDETYZWKQ-UHFFFAOYSA-N -1 1 332.316 -0.762 20 0 EBADMM CCS(=O)(=O)N[C@@H](C)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001363164959 1131810689 /nfs/dbraw/zinc/81/06/89/1131810689.db2.gz LGWCYIWVUZSLFD-ONGXEEELSA-N -1 1 338.393 -0.267 20 0 EBADMM Cn1cc(C[C@@H](CO)CNC(=O)CNC(=O)c2ncccc2[O-])cn1 ZINC001363192694 1131825156 /nfs/dbraw/zinc/82/51/56/1131825156.db2.gz RQHVUTJQQJCUSB-GFCCVEGCSA-N -1 1 347.375 -0.782 20 0 EBADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CN2CCCS2(=O)=O)n[n-]1 ZINC001363233372 1131841178 /nfs/dbraw/zinc/84/11/78/1131841178.db2.gz WTTFUNKGXRZZCA-MRVPVSSYSA-N -1 1 345.381 -0.806 20 0 EBADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CN2CCCS2(=O)=O)[n-]1 ZINC001363233372 1131841186 /nfs/dbraw/zinc/84/11/86/1131841186.db2.gz WTTFUNKGXRZZCA-MRVPVSSYSA-N -1 1 345.381 -0.806 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CN2CCCS2(=O)=O)n1 ZINC001363233372 1131841191 /nfs/dbraw/zinc/84/11/91/1131841191.db2.gz WTTFUNKGXRZZCA-MRVPVSSYSA-N -1 1 345.381 -0.806 20 0 EBADMM CC(C)(C)OC(=O)NC[C@H](O)C(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001363316874 1131893386 /nfs/dbraw/zinc/89/33/86/1131893386.db2.gz JSGKSXBNBJVFQI-IUCAKERBSA-N -1 1 326.357 -0.599 20 0 EBADMM CN1CC(=O)Nc2cc(C(=O)N3CC[C@H](c4nn[n-]n4)C3)cnc21 ZINC001363324282 1131899606 /nfs/dbraw/zinc/89/96/06/1131899606.db2.gz VXPFPQBGSSZTDH-QMMMGPOBSA-N -1 1 328.336 -0.387 20 0 EBADMM Cn1cc([C@@H]2CS(=O)(=O)CCCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001363325675 1131900962 /nfs/dbraw/zinc/90/09/62/1131900962.db2.gz BKPYRPRFEZHWLF-NSHDSACASA-N -1 1 340.409 -0.796 20 0 EBADMM NS(=O)(=O)c1ccsc1C(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001363326668 1131901246 /nfs/dbraw/zinc/90/12/46/1131901246.db2.gz WUIKAYOJTDKNNS-LURJTMIESA-N -1 1 328.379 -0.462 20 0 EBADMM C[C@H](C(=O)NC1(c2nn[n-]n2)CCC1)S(=O)(=O)C1CCOCC1 ZINC001363375868 1131920052 /nfs/dbraw/zinc/92/00/52/1131920052.db2.gz HXAHOGSGIVVPFR-SECBINFHSA-N -1 1 343.409 -0.323 20 0 EBADMM O=C(CNC(=O)OCC(F)(F)F)N1CCOC[C@H]1c1nn[n-]n1 ZINC001363409313 1131938043 /nfs/dbraw/zinc/93/80/43/1131938043.db2.gz FMBFXBMQEDTTNW-LURJTMIESA-N -1 1 338.246 -0.612 20 0 EBADMM COCCn1cc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)c(=O)cc1C ZINC001363411830 1131938185 /nfs/dbraw/zinc/93/81/85/1131938185.db2.gz QCUIXLMWAMGUQR-GFCCVEGCSA-N -1 1 348.363 -0.470 20 0 EBADMM COC[C@H](NC(=O)CCn1c(C)c(C)sc1=O)c1nn[n-]n1 ZINC001363412250 1131939191 /nfs/dbraw/zinc/93/91/91/1131939191.db2.gz IJTIVEBHBKFYJC-VIFPVBQESA-N -1 1 326.382 -0.066 20 0 EBADMM COC[C@H](NC(=O)[C@@H]1CN(C)C(=O)c2ccccc21)c1nn[n-]n1 ZINC001363435189 1131948769 /nfs/dbraw/zinc/94/87/69/1131948769.db2.gz LZJJZYDEDXLUQW-NEPJUHHUSA-N -1 1 330.348 -0.127 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@H](C(=O)N(C)C)C2)o1 ZINC001363445577 1131953728 /nfs/dbraw/zinc/95/37/28/1131953728.db2.gz GEKMNSOQTDKMBM-VIFPVBQESA-N -1 1 329.378 -0.262 20 0 EBADMM C[C@H](C(=O)N1CC[C@H](c2nn[n-]n2)C1)S(=O)(=O)C1CCOCC1 ZINC001363525993 1131994289 /nfs/dbraw/zinc/99/42/89/1131994289.db2.gz QNCAIUZAYSFARM-ZJUUUORDSA-N -1 1 343.409 -0.502 20 0 EBADMM COc1cnc(C(C)(C)NC(=O)Cn2nc(C)n(C)c2=O)[n-]c1=O ZINC001363601000 1132029237 /nfs/dbraw/zinc/02/92/37/1132029237.db2.gz HIAGBQLQEMELRE-UHFFFAOYSA-N -1 1 336.352 -0.554 20 0 EBADMM CCS(=O)(=O)N(C)CC(=O)N(C)CCc1nc([O-])c(C)c(=O)[nH]1 ZINC001363657390 1132047284 /nfs/dbraw/zinc/04/72/84/1132047284.db2.gz UVXHFQBMXMXNHR-UHFFFAOYSA-N -1 1 346.409 -0.521 20 0 EBADMM Cn1cc(N2C[C@H](C(=O)N3CC[C@@H](c4nn[n-]n4)C3)CC2=O)cn1 ZINC001363715023 1132067281 /nfs/dbraw/zinc/06/72/81/1132067281.db2.gz BGDJVASSFZSHCN-NXEZZACHSA-N -1 1 330.352 -0.698 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCC(=O)NC(C)(C)C ZINC001363774538 1132083471 /nfs/dbraw/zinc/08/34/71/1132083471.db2.gz YNSFMILUNUKMLI-UHFFFAOYSA-N -1 1 332.382 -0.221 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H](CO)c2cccc(F)c2)c(=O)[nH]c1=O ZINC001363786471 1132088701 /nfs/dbraw/zinc/08/87/01/1132088701.db2.gz RKFSHYPTCIHANJ-JTQLQIEISA-N -1 1 343.336 -0.363 20 0 EBADMM O=C(CCCc1nn[n-]n1)NCC[C@]1(O)C(=O)Nc2ccccc21 ZINC001363805411 1132094722 /nfs/dbraw/zinc/09/47/22/1132094722.db2.gz DBBPDSLUMQNQMG-OAHLLOKOSA-N -1 1 330.348 -0.131 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC2(CF)CCOCC2)c(=O)[nH]c1=O ZINC001363921171 1132129421 /nfs/dbraw/zinc/12/94/21/1132129421.db2.gz VFJSILKWHQSAAP-UHFFFAOYSA-N -1 1 335.357 -0.470 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCC(C)(C)[C@]2(O)CCOC2)[n-]n1 ZINC001363945790 1132138368 /nfs/dbraw/zinc/13/83/68/1132138368.db2.gz MGXQLVFTUSDZKJ-ZDUSSCGKSA-N -1 1 347.393 -0.348 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCC(C)(C)[C@]2(O)CCOC2)n[n-]1 ZINC001363945790 1132138386 /nfs/dbraw/zinc/13/83/86/1132138386.db2.gz MGXQLVFTUSDZKJ-ZDUSSCGKSA-N -1 1 347.393 -0.348 20 0 EBADMM CCC[C@@H](C(=O)OCC)S(=O)(=O)[N-]C[C@@](C)(O)C(=O)OCC ZINC001363963834 1132145108 /nfs/dbraw/zinc/14/51/08/1132145108.db2.gz JSDZTPNGKJLPFX-GXFFZTMASA-N -1 1 339.410 -0.048 20 0 EBADMM CNC(=O)[C@H](CO)[N-]S(=O)(=O)[C@H]1CCc2ccccc2[C@@H]1OC ZINC001363970186 1132147801 /nfs/dbraw/zinc/14/78/01/1132147801.db2.gz RTLTXPLAIRTJFN-IHRRRGAJSA-N -1 1 342.417 -0.285 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@H](C)O[C@]3(CCOC3)C2)[n-]n1 ZINC001363971936 1132148956 /nfs/dbraw/zinc/14/89/56/1132148956.db2.gz RBTOFKZQLYFTJP-TVQRCGJNSA-N -1 1 345.377 -0.235 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@H](C)O[C@]3(CCOC3)C2)n[n-]1 ZINC001363971936 1132148961 /nfs/dbraw/zinc/14/89/61/1132148961.db2.gz RBTOFKZQLYFTJP-TVQRCGJNSA-N -1 1 345.377 -0.235 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)[C@@H](C)N1CCOCC1 ZINC001363974951 1132149995 /nfs/dbraw/zinc/14/99/95/1132149995.db2.gz PBCPTBYHRQTXKT-VHSXEESVSA-N -1 1 346.409 -0.416 20 0 EBADMM CCOC(=O)[C@H]([N-]S(=O)(=O)CCS(=O)(=O)N(C)C)C1CC1 ZINC001363982453 1132153027 /nfs/dbraw/zinc/15/30/27/1132153027.db2.gz ZFAFXCOOYGFJCC-SNVBAGLBSA-N -1 1 342.439 -0.861 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H](CO)C2CCOCC2)[n-]n1 ZINC001363986801 1132155047 /nfs/dbraw/zinc/15/50/47/1132155047.db2.gz QKCQLRGHVKJITA-SNVBAGLBSA-N -1 1 333.366 -0.738 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H](CO)C2CCOCC2)n[n-]1 ZINC001363986801 1132155049 /nfs/dbraw/zinc/15/50/49/1132155049.db2.gz QKCQLRGHVKJITA-SNVBAGLBSA-N -1 1 333.366 -0.738 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CCN(C(N)=O)C2)o1 ZINC001364000264 1132160610 /nfs/dbraw/zinc/16/06/10/1132160610.db2.gz NMMCMAIXVZDMMT-QMMMGPOBSA-N -1 1 331.350 -0.255 20 0 EBADMM Cn1cnnc1S(=O)(=O)NCc1n[nH]c(C2CCOCC2)n1 ZINC001364019292 1132167830 /nfs/dbraw/zinc/16/78/30/1132167830.db2.gz FDVVWMHBALPOKA-UHFFFAOYSA-N -1 1 327.370 -0.694 20 0 EBADMM COC(=O)C[C@H](O)C[N-]S(=O)(=O)c1nc[nH]c1Br ZINC001364022636 1132169327 /nfs/dbraw/zinc/16/93/27/1132169327.db2.gz MJIBMJJTVLWCRO-YFKPBYRVSA-N -1 1 342.171 -0.626 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@]2(CO)COCCN2C)c1 ZINC001364022352 1132169415 /nfs/dbraw/zinc/16/94/15/1132169415.db2.gz BWFNDWUVBMWZFV-CYBMUJFWSA-N -1 1 348.377 -0.962 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@]2(CO)COCCN2C)o1 ZINC001364037736 1132177699 /nfs/dbraw/zinc/17/76/99/1132177699.db2.gz VXZHYQPRHULHRX-CYBMUJFWSA-N -1 1 348.377 -0.962 20 0 EBADMM COC(=O)[C@H](Cc1cncs1)[N-]S(=O)(=O)[C@@H](C)C(=O)N(C)C ZINC001364039916 1132178557 /nfs/dbraw/zinc/17/85/57/1132178557.db2.gz IPGNMRRBOFUMPR-WPRPVWTQSA-N -1 1 349.434 -0.377 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2C[C@@H]3CCC[C@H]3O2)c(=O)[nH]c1=O ZINC001364041054 1132178763 /nfs/dbraw/zinc/17/87/63/1132178763.db2.gz NRXGAELLUXBQFQ-LPEHRKFASA-N -1 1 329.378 -0.278 20 0 EBADMM COC(=O)[C@@H](C)CS(=O)(=O)[N-][C@H](CC1OCCO1)C(=O)OC ZINC001364067208 1132193983 /nfs/dbraw/zinc/19/39/83/1132193983.db2.gz BSFDAWGEFCTWLZ-DTWKUNHWSA-N -1 1 339.366 -0.981 20 0 EBADMM COC(=O)c1c(NC(=O)[C@@H]2C[C@@]23CCOC3)n[n-]c1OCCO ZINC001364105471 1132207649 /nfs/dbraw/zinc/20/76/49/1132207649.db2.gz RVVRSRCHLRUWCW-RMLUDKJBSA-N -1 1 325.321 -0.068 20 0 EBADMM COC(=O)c1c(NC(=O)[C@@H]2C[C@@]23CCOC3)[n-]nc1OCCO ZINC001364105471 1132207655 /nfs/dbraw/zinc/20/76/55/1132207655.db2.gz RVVRSRCHLRUWCW-RMLUDKJBSA-N -1 1 325.321 -0.068 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2noc3c2COCC3)c(=O)[nH]c1=O ZINC001364111291 1132210301 /nfs/dbraw/zinc/21/03/01/1132210301.db2.gz XXCFROMUMILTET-UHFFFAOYSA-N -1 1 342.333 -0.975 20 0 EBADMM CCN1C[C@@H](NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CC1=O ZINC001364276769 1132259411 /nfs/dbraw/zinc/25/94/11/1132259411.db2.gz UYDLSZYXFSPQBX-VIFPVBQESA-N -1 1 335.364 -0.325 20 0 EBADMM CC(C)OC(=O)c1cc(NC(=O)Cn2ccc(=O)n(C)c2=O)n[nH]1 ZINC001364293013 1132265544 /nfs/dbraw/zinc/26/55/44/1132265544.db2.gz ZZLAYEUOLQTMBL-UHFFFAOYSA-N -1 1 335.320 -0.526 20 0 EBADMM COC[C@@](C)(O)CNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001364336327 1132281477 /nfs/dbraw/zinc/28/14/77/1132281477.db2.gz VZXVBKXUDJJPRS-AWEZNQCLSA-N -1 1 326.353 -0.549 20 0 EBADMM COc1cnc([C@H]2CCCN2C(=O)Cn2ccc(=O)[nH]c2=O)[n-]c1=O ZINC001364417291 1132309979 /nfs/dbraw/zinc/30/99/79/1132309979.db2.gz SWOPMWZYILDBED-SECBINFHSA-N -1 1 347.331 -0.183 20 0 EBADMM CC(C)(C)C(=O)N1CCO[C@@H](C(=O)NC2(c3nn[n-]n3)CCC2)C1 ZINC001364664555 1132387392 /nfs/dbraw/zinc/38/73/92/1132387392.db2.gz XHCOYCIEWWMDJT-SNVBAGLBSA-N -1 1 336.396 -0.031 20 0 EBADMM COC[C@@H]1COCCN1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001364723235 1132403936 /nfs/dbraw/zinc/40/39/36/1132403936.db2.gz JIVDNNQQRWZOPA-SNVBAGLBSA-N -1 1 338.364 -0.188 20 0 EBADMM CN(C)[C@H](C[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)c1ccco1 ZINC001364771425 1132421836 /nfs/dbraw/zinc/42/18/36/1132421836.db2.gz JILSRLKPXYOBBX-SECBINFHSA-N -1 1 342.377 -0.340 20 0 EBADMM CC(C)C[C@H](CCO)C[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001364783206 1132425340 /nfs/dbraw/zinc/42/53/40/1132425340.db2.gz DPUDYWMMJYANLJ-JTQLQIEISA-N -1 1 333.410 -0.191 20 0 EBADMM CC(C)(C)NS(=O)(=O)C1(CNC(=O)CCc2nn[n-]n2)CCC1 ZINC001364803201 1132432297 /nfs/dbraw/zinc/43/22/97/1132432297.db2.gz XLWJVHYSLMGJFP-UHFFFAOYSA-N -1 1 344.441 -0.111 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC[S@@](=O)C(C)(C)C)c(=O)[nH]c1=O ZINC001364814846 1132436243 /nfs/dbraw/zinc/43/62/43/1132436243.db2.gz VXFBLAXMQSUAHG-HXUWFJFHSA-N -1 1 337.423 -0.689 20 0 EBADMM COC[C@@H](NC(=O)CCCS(=O)(=O)N1CCCC1)c1nn[n-]n1 ZINC001364814037 1132436470 /nfs/dbraw/zinc/43/64/70/1132436470.db2.gz KWZXXZIWFPBCDS-SNVBAGLBSA-N -1 1 346.413 -0.791 20 0 EBADMM CO[C@@]1(C(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)CCS(=O)(=O)C1 ZINC001364817365 1132438065 /nfs/dbraw/zinc/43/80/65/1132438065.db2.gz AMVVORANVFPYSW-MFKMUULPSA-N -1 1 343.409 -0.816 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCO[C@]3(CCOC3)C2)[n-]n1 ZINC001364832173 1132446510 /nfs/dbraw/zinc/44/65/10/1132446510.db2.gz ZHAFUARAJWMLRU-TVQRCGJNSA-N -1 1 345.377 -0.187 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCO[C@]3(CCOC3)C2)n[n-]1 ZINC001364832173 1132446514 /nfs/dbraw/zinc/44/65/14/1132446514.db2.gz ZHAFUARAJWMLRU-TVQRCGJNSA-N -1 1 345.377 -0.187 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2CCN(C(C)(C)C)C2=O)c(=O)[nH]c1=O ZINC001364868757 1132460921 /nfs/dbraw/zinc/46/09/21/1132460921.db2.gz CGRBTHUGVFZPRI-QMMMGPOBSA-N -1 1 344.393 -0.836 20 0 EBADMM NC(=O)[C@@H]([N-]S(=O)(=O)c1cnn2c1OCCC2)c1ccccn1 ZINC001364875885 1132464456 /nfs/dbraw/zinc/46/44/56/1132464456.db2.gz OQHCCYRODJSJMN-NSHDSACASA-N -1 1 337.361 -0.435 20 0 EBADMM CC[C@@H](C)C[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)C(=O)OC ZINC001364888682 1132471309 /nfs/dbraw/zinc/47/13/09/1132471309.db2.gz YMSNNHFHDVUCPB-RKDXNWHRSA-N -1 1 347.393 -0.258 20 0 EBADMM COC(=O)[C@@H](C[C@H]1CCCO1)[N-]S(=O)(=O)C[C@@H](C)C(=O)OC ZINC001364961187 1132504155 /nfs/dbraw/zinc/50/41/55/1132504155.db2.gz VQUXWODKVWSJQO-GMTAPVOTSA-N -1 1 337.394 -0.174 20 0 EBADMM CNC(=O)C1([N-]S(=O)(=O)Cc2noc(C3CC3)n2)CCOCC1 ZINC001364963560 1132504844 /nfs/dbraw/zinc/50/48/44/1132504844.db2.gz ANAGHGZXTBTGBY-UHFFFAOYSA-N -1 1 344.393 -0.338 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCN2CCOC[C@@H]2C)[n-]n1 ZINC001365034029 1132524588 /nfs/dbraw/zinc/52/45/88/1132524588.db2.gz HSSYUKKFOWVNSZ-VIFPVBQESA-N -1 1 332.382 -0.805 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCN2CCOC[C@@H]2C)n[n-]1 ZINC001365034029 1132524596 /nfs/dbraw/zinc/52/45/96/1132524596.db2.gz HSSYUKKFOWVNSZ-VIFPVBQESA-N -1 1 332.382 -0.805 20 0 EBADMM COC[C@](C)(CC(=O)OC)NS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001365132548 1132554408 /nfs/dbraw/zinc/55/44/08/1132554408.db2.gz DUZBMVIMKNVBDA-LBPRGKRZSA-N -1 1 349.365 -0.557 20 0 EBADMM COC[C@](C)(CC(=O)OC)NS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001365132548 1132554410 /nfs/dbraw/zinc/55/44/10/1132554410.db2.gz DUZBMVIMKNVBDA-LBPRGKRZSA-N -1 1 349.365 -0.557 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)OC(C)(C)C)C[C@@H]2CO)nc1=O ZINC001365330439 1132624780 /nfs/dbraw/zinc/62/47/80/1132624780.db2.gz NIVGPFQSDCVJNJ-SNVBAGLBSA-N -1 1 327.385 -0.478 20 0 EBADMM CCOC(=O)C[C@@H](C)C[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001365391141 1132648852 /nfs/dbraw/zinc/64/88/52/1132648852.db2.gz VZKZNGWECSZSNT-MRVPVSSYSA-N -1 1 333.366 -0.647 20 0 EBADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-]C[C@H]1CN(C2CC2)C(=O)O1 ZINC001365429206 1132668326 /nfs/dbraw/zinc/66/83/26/1132668326.db2.gz UJDVNLNHWORYEK-GWNMQOMSSA-N -1 1 340.427 -0.622 20 0 EBADMM C[C@@H]([N-]S(=O)(=O)[C@@H]1COC[C@H]1O)C(=O)OCc1ccccc1 ZINC001365429232 1132668625 /nfs/dbraw/zinc/66/86/25/1132668625.db2.gz UWKHZFSPLUDWTE-RAIGVLPGSA-N -1 1 329.374 -0.203 20 0 EBADMM CC(=O)N[C@H]1CCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC001365665175 1132753390 /nfs/dbraw/zinc/75/33/90/1132753390.db2.gz ZSZFNRFKKJBMEC-JTQLQIEISA-N -1 1 335.364 -0.325 20 0 EBADMM CCOC1CC(O)(C[N-]S(=O)(=O)c2n[nH]cc2C(=O)OC)C1 ZINC001365702824 1132768888 /nfs/dbraw/zinc/76/88/88/1132768888.db2.gz FXTBOERBQQNMBB-UHFFFAOYSA-N -1 1 333.366 -0.595 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H](CO)OC(C)(C)C2)[n-]n1 ZINC001365716292 1132773166 /nfs/dbraw/zinc/77/31/66/1132773166.db2.gz XPEQVUDGQZSXPW-QMMMGPOBSA-N -1 1 333.366 -0.643 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H](CO)OC(C)(C)C2)n[n-]1 ZINC001365716292 1132773169 /nfs/dbraw/zinc/77/31/69/1132773169.db2.gz XPEQVUDGQZSXPW-QMMMGPOBSA-N -1 1 333.366 -0.643 20 0 EBADMM COc1nscc1S(=O)(=O)[N-][C@H](CO)Cc1cnn(C)c1 ZINC001365715938 1132773624 /nfs/dbraw/zinc/77/36/24/1132773624.db2.gz LBMJTOGBWCPTGI-VIFPVBQESA-N -1 1 332.407 -0.233 20 0 EBADMM CCN1C[C@H](C[N-]S(=O)(=O)c2n[nH]cc2C(=O)OC)CC1=O ZINC001365719914 1132775154 /nfs/dbraw/zinc/77/51/54/1132775154.db2.gz ODYJBRFSUDUKCS-QMMMGPOBSA-N -1 1 330.366 -0.657 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)c4csnn4)C3)C2)nc1=O ZINC001365733128 1132781260 /nfs/dbraw/zinc/78/12/60/1132781260.db2.gz QTMGLKPEAQICGA-UHFFFAOYSA-N -1 1 335.393 -0.692 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H]1C[C@H]1C(=O)OC ZINC001365735391 1132783363 /nfs/dbraw/zinc/78/33/63/1132783363.db2.gz VUJHFUXETLGSRV-HTQZYQBOSA-N -1 1 331.350 -0.326 20 0 EBADMM CNC(=O)[C@H](Cc1cnc[nH]1)[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC001365736607 1132784581 /nfs/dbraw/zinc/78/45/81/1132784581.db2.gz BLPCSWGSSKDQIZ-JTQLQIEISA-N -1 1 349.438 -0.837 20 0 EBADMM COc1cnc([C@@H]2CCCN2S(=O)(=O)c2cnnn2C)[n-]c1=O ZINC001365746843 1132789321 /nfs/dbraw/zinc/78/93/21/1132789321.db2.gz TVQATVUKDCAJFI-QMMMGPOBSA-N -1 1 340.365 -0.155 20 0 EBADMM Cc1noc(CCC(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001365947704 1132905931 /nfs/dbraw/zinc/90/59/31/1132905931.db2.gz UAZPUKVYCACLJL-NSHDSACASA-N -1 1 349.395 -0.487 20 0 EBADMM Cc1c(CC(=O)NC[C@@H](NCc2n[nH]c(=O)[n-]2)C2CC2)cnn1C ZINC001366040563 1132976766 /nfs/dbraw/zinc/97/67/66/1132976766.db2.gz WALSEUTXGWYQQM-GFCCVEGCSA-N -1 1 333.396 -0.221 20 0 EBADMM Cc1nn(C)c(=O)c(C(=O)NC[C@H](C)NCc2n[nH]c(=O)[n-]2)c1C ZINC001366310755 1133094903 /nfs/dbraw/zinc/09/49/03/1133094903.db2.gz IAISJJHMUQOVTE-ZETCQYMHSA-N -1 1 335.368 -0.871 20 0 EBADMM CCN(CCNC(=O)Cc1c(C)n[nH]c1C)Cc1nc(=O)n(C)[n-]1 ZINC001366645339 1133224624 /nfs/dbraw/zinc/22/46/24/1133224624.db2.gz UEACECSOHVYMFN-UHFFFAOYSA-N -1 1 335.412 -0.371 20 0 EBADMM Cn1cc(CC(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001366650680 1133227574 /nfs/dbraw/zinc/22/75/74/1133227574.db2.gz OWQYATXVMDJFEL-LBPRGKRZSA-N -1 1 333.396 -0.835 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)CCc3ccco3)C2)nc1=O ZINC001367301395 1133534833 /nfs/dbraw/zinc/53/48/33/1133534833.db2.gz VKXGWWXEAXMSCC-ZDUSSCGKSA-N -1 1 349.391 -0.349 20 0 EBADMM C[C@H](C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1)n1cncn1 ZINC001367318752 1133548904 /nfs/dbraw/zinc/54/89/04/1133548904.db2.gz OZXKLWIQGROQHZ-SNVBAGLBSA-N -1 1 334.384 -0.118 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)CS(=O)(=O)C(C)(C)C ZINC001367393993 1133576869 /nfs/dbraw/zinc/57/68/69/1133576869.db2.gz FAJWXAUUZNBVPO-SECBINFHSA-N -1 1 347.441 -0.340 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)Cc1nc(C2CC2)nn1C ZINC001367400567 1133578937 /nfs/dbraw/zinc/57/89/37/1133578937.db2.gz MEZCYPWFLQQIFZ-VIFPVBQESA-N -1 1 348.411 -0.305 20 0 EBADMM COc1cc(C(=O)N(C)CCN(C)Cc2nc(=O)n(C)[n-]2)ccn1 ZINC001367520489 1133612291 /nfs/dbraw/zinc/61/22/91/1133612291.db2.gz ZMGIJPWSBZAKAI-UHFFFAOYSA-N -1 1 334.380 -0.284 20 0 EBADMM Cc1noc(C)c1CC(=O)N(C)CCN(C)Cc1nc(=O)n(C)[n-]1 ZINC001367551148 1133624384 /nfs/dbraw/zinc/62/43/84/1133624384.db2.gz RLWRHHZQWAIUSL-UHFFFAOYSA-N -1 1 336.396 -0.154 20 0 EBADMM O=C(NC[C@H](O)CNCc1n[nH]c(=O)[n-]1)[C@H]1[C@@H]2c3ccccc3C[C@H]12 ZINC001367622324 1133707574 /nfs/dbraw/zinc/70/75/74/1133707574.db2.gz RNGBNZXZRTXPQL-DZGBDDFRSA-N -1 1 343.387 -0.337 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1CCc2cnn(C)c2C1 ZINC001367687234 1133746994 /nfs/dbraw/zinc/74/69/94/1133746994.db2.gz CVHNNVYRTWETFV-ZJUUUORDSA-N -1 1 333.396 -0.357 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1cc(Br)n[nH]1 ZINC001367735275 1133764825 /nfs/dbraw/zinc/76/48/25/1133764825.db2.gz WNHJRNWAZANUFY-YFKPBYRVSA-N -1 1 344.173 -0.096 20 0 EBADMM Cc1cc(C)n(CCC(=O)N[C@@H](C)CN(C)Cc2nc(=O)n(C)[n-]2)n1 ZINC001367821705 1133801120 /nfs/dbraw/zinc/80/11/20/1133801120.db2.gz OZBXRMSQBBCINU-LBPRGKRZSA-N -1 1 349.439 -0.051 20 0 EBADMM C[C@@H](C(=O)N[C@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1)n1cncn1 ZINC001368145066 1133957709 /nfs/dbraw/zinc/95/77/09/1133957709.db2.gz BNQWVUNAJWOKME-IINYFYTJSA-N -1 1 334.384 -0.958 20 0 EBADMM CCCC[C@@H](C)C(=O)NC[C@]1(O)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001368248486 1134023393 /nfs/dbraw/zinc/02/33/93/1134023393.db2.gz KDFJTVQVEJTMNO-MLGOLLRUSA-N -1 1 339.440 -0.012 20 0 EBADMM O=C(CCn1ccnn1)N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001368326941 1134150765 /nfs/dbraw/zinc/15/07/65/1134150765.db2.gz PIHHCVFIGDGLGN-SRVKXCTJSA-N -1 1 346.395 -0.339 20 0 EBADMM COCC1(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001368512053 1134264599 /nfs/dbraw/zinc/26/45/99/1134264599.db2.gz LZRIDVAHCYPXLV-LLVKDONJSA-N -1 1 339.396 -0.356 20 0 EBADMM CCn1cc(CC(=O)NC[C@@H](C)N(C)Cc2nc(=O)n(C)[n-]2)cn1 ZINC001369003215 1134514749 /nfs/dbraw/zinc/51/47/49/1134514749.db2.gz UCGBPUTVELEUJE-LLVKDONJSA-N -1 1 335.412 -0.496 20 0 EBADMM C[C@H](CN1CCOCC1)C(=O)NC[C@H](C)N(C)Cc1n[nH]c(=O)[n-]1 ZINC001369010224 1134518043 /nfs/dbraw/zinc/51/80/43/1134518043.db2.gz TYIIRQZVACFDNE-NEPJUHHUSA-N -1 1 340.428 -0.585 20 0 EBADMM Cn1[n-]c(CN2CC(CCO)(NC(=O)C3(CF)CCC3)C2)nc1=O ZINC001369029915 1134536281 /nfs/dbraw/zinc/53/62/81/1134536281.db2.gz GBTUNCZARNNJSL-UHFFFAOYSA-N -1 1 341.387 -0.699 20 0 EBADMM C[C@@H](C(=O)NC1(CCO)CN(Cc2nc(=O)n(C)[n-]2)C1)C(C)(F)F ZINC001369033366 1134539850 /nfs/dbraw/zinc/53/98/50/1134539850.db2.gz YIDQDIOHUPUHDL-VIFPVBQESA-N -1 1 347.366 -0.547 20 0 EBADMM C[C@H](C(=O)N(C)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)S(C)(=O)=O ZINC001369206729 1134616704 /nfs/dbraw/zinc/61/67/04/1134616704.db2.gz LXWFRDFCCGLVAF-PSASIEDQSA-N -1 1 345.425 -0.730 20 0 EBADMM CCS(=O)(=O)CC(=O)N(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001369224212 1134622820 /nfs/dbraw/zinc/62/28/20/1134622820.db2.gz QPCGUEWMHQNXLX-JTQLQIEISA-N -1 1 345.425 -0.728 20 0 EBADMM Cc1cc(C(=O)NC[C@@H](CO)NCc2nc(=O)n(C)[n-]2)ccc1F ZINC001369330599 1134672569 /nfs/dbraw/zinc/67/25/69/1134672569.db2.gz CAUXXPGSRMBBMU-NSHDSACASA-N -1 1 337.355 -0.564 20 0 EBADMM CC(C)c1cccc(C(=O)NC[C@H](CO)NCc2nc(=O)n(C)[n-]2)n1 ZINC001369344858 1134693005 /nfs/dbraw/zinc/69/30/05/1134693005.db2.gz RBRHARMXWQHJLF-LLVKDONJSA-N -1 1 348.407 -0.493 20 0 EBADMM CCCC1(C(=O)NC[C@H](CO)NCc2nc(=O)n(C)[n-]2)CCC1 ZINC001369352436 1134702735 /nfs/dbraw/zinc/70/27/35/1134702735.db2.gz QRERTPUEBZCSIG-LLVKDONJSA-N -1 1 325.413 -0.355 20 0 EBADMM Cc1cc(C(=O)NC[C@H](CO)NCc2nc(=O)n(C)[n-]2)sc1C ZINC001369373471 1134729783 /nfs/dbraw/zinc/72/97/83/1134729783.db2.gz RKGRGIKJRRQLEH-SNVBAGLBSA-N -1 1 339.421 -0.333 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCS(C)(=O)=O ZINC001369391969 1134752485 /nfs/dbraw/zinc/75/24/85/1134752485.db2.gz YQDXQNUTDZXEMD-DTWKUNHWSA-N -1 1 331.398 -0.976 20 0 EBADMM CC(C)N(CCN(C)Cc1nc(=O)n(C)[n-]1)C(=O)c1csnn1 ZINC001369529493 1134924305 /nfs/dbraw/zinc/92/43/05/1134924305.db2.gz QNIXERSRRZTETK-UHFFFAOYSA-N -1 1 339.425 -0.058 20 0 EBADMM CC(C)(C)[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CCNC(=O)C1 ZINC001369536037 1134926271 /nfs/dbraw/zinc/92/62/71/1134926271.db2.gz AINUDAJKJIZOSP-ZJUUUORDSA-N -1 1 338.412 -0.343 20 0 EBADMM COCCN(CCNC(=O)c1conc1C)Cc1nc(=O)n(C)[n-]1 ZINC001369554004 1134936718 /nfs/dbraw/zinc/93/67/18/1134936718.db2.gz AVDROGPIOFKLBN-UHFFFAOYSA-N -1 1 338.368 -0.717 20 0 EBADMM CN(C(=O)CCn1cccn1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001369752658 1135007092 /nfs/dbraw/zinc/00/70/92/1135007092.db2.gz GPMVTGZBCPUDPU-UHFFFAOYSA-N -1 1 347.423 -0.182 20 0 EBADMM C[C@H]1CO[C@@H](C(=O)NC2(CCO)CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001369994786 1135152768 /nfs/dbraw/zinc/15/27/68/1135152768.db2.gz FWWOZDKEYFNCQS-DGCLKSJQSA-N -1 1 349.387 -0.095 20 0 EBADMM CCC(=O)NCC(=O)N1C[C@@H]2[C@@H](CNC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001370251165 1135269952 /nfs/dbraw/zinc/26/99/52/1135269952.db2.gz XMPMBRFUIKAIRO-CNDDSTCGSA-N -1 1 346.387 -0.252 20 0 EBADMM Cc1noc(CCCC(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001370408897 1135340159 /nfs/dbraw/zinc/34/01/59/1135340159.db2.gz PGWAWVIQBSSZHV-JTQLQIEISA-N -1 1 335.368 -0.085 20 0 EBADMM Cn1ccnc1CCC(=O)N[C@@H](CO)CNC(=O)c1ncccc1[O-] ZINC001370673272 1135479389 /nfs/dbraw/zinc/47/93/89/1135479389.db2.gz GJGBIUKLXCVXTO-LLVKDONJSA-N -1 1 347.375 -0.640 20 0 EBADMM Cc1ncncc1C(=O)NC[C@H](CO)NC(=O)c1ncccc1[O-] ZINC001370673987 1135479928 /nfs/dbraw/zinc/47/99/28/1135479928.db2.gz ZHIKUTNYRUXJTK-SNVBAGLBSA-N -1 1 331.332 -0.594 20 0 EBADMM Cc1ncn(C)c1C(=O)N[C@@H](CO)CNC(=O)c1ncccc1[O-] ZINC001370674490 1135480814 /nfs/dbraw/zinc/48/08/14/1135480814.db2.gz HZUAVWWWYKYICU-SNVBAGLBSA-N -1 1 333.348 -0.650 20 0 EBADMM CO[C@H](C(=O)NC[C@@H](CO)NC(=O)c1ncccc1[O-])C1CCC1 ZINC001370753210 1135594655 /nfs/dbraw/zinc/59/46/55/1135594655.db2.gz JVKYZXOUGAEJAC-FZMZJTMJSA-N -1 1 337.376 -0.191 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@@H]1C ZINC001370814616 1135655514 /nfs/dbraw/zinc/65/55/14/1135655514.db2.gz DJQZAYJEOLLKEJ-ZYHUDNBSSA-N -1 1 334.376 -0.015 20 0 EBADMM CN(C[C@H](O)CNC(=O)c1ncccc1[O-])C(=O)c1n[nH]cc1F ZINC001371031480 1135797664 /nfs/dbraw/zinc/79/76/64/1135797664.db2.gz XSELASJSARDKJR-MRVPVSSYSA-N -1 1 337.311 -0.488 20 0 EBADMM CN(C[C@H](O)CN(C)C(=O)c1ncccc1[O-])C(=O)c1cn(C)cn1 ZINC001371049687 1135820671 /nfs/dbraw/zinc/82/06/71/1135820671.db2.gz VQJFSAAJPVTTCO-NSHDSACASA-N -1 1 347.375 -0.274 20 0 EBADMM C[C@H](CNC(=O)[C@@H](C)NC(=O)C1CCCC1)NCc1n[nH]c(=O)[n-]1 ZINC001371201870 1135863658 /nfs/dbraw/zinc/86/36/58/1135863658.db2.gz XCHOCVXQQSKYBA-NXEZZACHSA-N -1 1 338.412 -0.201 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001371548487 1135966862 /nfs/dbraw/zinc/96/68/62/1135966862.db2.gz HPKIVAPYKRMTAQ-VXGBXAGGSA-N -1 1 332.360 -0.404 20 0 EBADMM CO[C@@H](C)C(=O)N1CCN(CCNC(=O)c2ncccc2[O-])CC1 ZINC001372213709 1136139437 /nfs/dbraw/zinc/13/94/37/1136139437.db2.gz RKWKXCFIHBEUKU-LBPRGKRZSA-N -1 1 336.392 -0.304 20 0 EBADMM Cn1cc(CCC(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)nn1 ZINC001372276559 1136162327 /nfs/dbraw/zinc/16/23/27/1136162327.db2.gz JUKRQFNWYHTFLP-NSHDSACASA-N -1 1 344.375 -0.121 20 0 EBADMM CC(C)C(=O)NC[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C1CC1 ZINC001372353090 1136178057 /nfs/dbraw/zinc/17/80/57/1136178057.db2.gz RTFWNBZYLLFNNH-NSHDSACASA-N -1 1 332.364 -0.302 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001372354748 1136178771 /nfs/dbraw/zinc/17/87/71/1136178771.db2.gz HFZXSLSCDKUAAZ-GFCCVEGCSA-N -1 1 334.376 -0.110 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H]2CNC(=O)CCCn2cncn2)nc1=O ZINC001372552510 1136229700 /nfs/dbraw/zinc/22/97/00/1136229700.db2.gz JKWHXCINCSLXGV-GFCCVEGCSA-N -1 1 348.411 -0.739 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)COC(C)(C)C)C2)nc1=O ZINC001372608521 1136245220 /nfs/dbraw/zinc/24/52/20/1136245220.db2.gz HYRRDWMKFZMXGM-LLVKDONJSA-N -1 1 325.413 -0.138 20 0 EBADMM CC(=O)NCC(=O)N1CCC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001372658514 1136261258 /nfs/dbraw/zinc/26/12/58/1136261258.db2.gz PBGFWJBCNHWHQF-LBPRGKRZSA-N -1 1 334.376 -0.108 20 0 EBADMM C[C@@H](CNC(=O)C1CCCC1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001372757380 1136286140 /nfs/dbraw/zinc/28/61/40/1136286140.db2.gz YJEVIYOBNCYJPR-VIFPVBQESA-N -1 1 332.364 -0.158 20 0 EBADMM C[C@H](CNC(=O)C(C)(F)F)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001372764530 1136287161 /nfs/dbraw/zinc/28/71/61/1136287161.db2.gz VVWAYJCDHUQZIU-ZCFIWIBFSA-N -1 1 328.279 -0.693 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CCCS1(=O)=O ZINC001372837284 1136301011 /nfs/dbraw/zinc/30/10/11/1136301011.db2.gz UFPNHJMRMWYOBA-GXSJLCMTSA-N -1 1 341.389 -0.401 20 0 EBADMM C[C@H](CNC(=O)[C@@H](C)S(C)(=O)=O)NC(=O)c1ncccc1[O-] ZINC001372957892 1136338385 /nfs/dbraw/zinc/33/83/85/1136338385.db2.gz FOMQBFIGTAALAD-RKDXNWHRSA-N -1 1 329.378 -0.545 20 0 EBADMM CN(CCNC(=O)[C@H]1CC1(C)C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001373401177 1136445567 /nfs/dbraw/zinc/44/55/67/1136445567.db2.gz AUICWYBRZVSMCQ-SECBINFHSA-N -1 1 332.364 -0.348 20 0 EBADMM CCN(C(=O)CCc1cn[nH]c1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001373708142 1136543909 /nfs/dbraw/zinc/54/39/09/1136543909.db2.gz ADABFMQCCQFJJM-ZDUSSCGKSA-N -1 1 347.423 -0.113 20 0 EBADMM CCN(CCNC(=O)[C@@H](C)OC)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001373764054 1136555420 /nfs/dbraw/zinc/55/54/20/1136555420.db2.gz CSAGIDYMBGEMLW-SECBINFHSA-N -1 1 336.352 -0.969 20 0 EBADMM CCN(CCNC(=O)c1[nH]nc(C)c1[O-])C(=O)CCc1c[nH]nn1 ZINC001373794104 1136561651 /nfs/dbraw/zinc/56/16/51/1136561651.db2.gz HZRNYJDSHNFWCT-UHFFFAOYSA-N -1 1 335.368 -0.247 20 0 EBADMM C[C@H]1CCCC[C@]1(C[NH2+]Cc1nc(=O)n(C)[n-]1)NC(=O)c1cnon1 ZINC001373901949 1136583739 /nfs/dbraw/zinc/58/37/39/1136583739.db2.gz JPIAFJCNZMDGEP-ZUZCIYMTSA-N -1 1 349.395 -0.040 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)CNC(=O)C(C)(C)S(C)(=O)=O ZINC001374083219 1136619511 /nfs/dbraw/zinc/61/95/11/1136619511.db2.gz SDORCVFDIUDYNV-QMMMGPOBSA-N -1 1 333.414 -0.825 20 0 EBADMM C[C@H](CNCc1cnns1)N(C)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001374256689 1136660135 /nfs/dbraw/zinc/66/01/35/1136660135.db2.gz TZSOVAWTJYCTSK-ZCFIWIBFSA-N -1 1 325.354 -0.615 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)CC[C@@]1(C)CCC(=O)N1 ZINC001374336425 1136676326 /nfs/dbraw/zinc/67/63/26/1136676326.db2.gz HFJOUTMWKNDFTD-ZUZCIYMTSA-N -1 1 338.412 -0.104 20 0 EBADMM CC(C)(C)n1nnnc1CNC[C@@H](O)CNC(=O)c1ncccc1[O-] ZINC001374594842 1136734766 /nfs/dbraw/zinc/73/47/66/1136734766.db2.gz LRETZUADCKBLFB-SNVBAGLBSA-N -1 1 349.395 -0.591 20 0 EBADMM Cc1cc(C)cc(OCC(=O)NC[C@@H](O)CNCc2n[nH]c(=O)[n-]2)c1 ZINC001374674420 1136792739 /nfs/dbraw/zinc/79/27/39/1136792739.db2.gz ITUMOXVZZIMCLN-LBPRGKRZSA-N -1 1 349.391 -0.227 20 0 EBADMM C[C@]1(C(=O)NC[C@@H](O)CNCc2n[nH]c(=O)[n-]2)CCc2ccccc21 ZINC001374678808 1136796599 /nfs/dbraw/zinc/79/65/99/1136796599.db2.gz WRNSWWVRSURFNV-SJCJKPOMSA-N -1 1 345.403 -0.019 20 0 EBADMM CCOCC(=O)NC[C@@H](CC)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001374988565 1136890074 /nfs/dbraw/zinc/89/00/74/1136890074.db2.gz QLSOGNCVHVQSBF-SECBINFHSA-N -1 1 336.352 -0.921 20 0 EBADMM C[C@@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)Cn1cc(C2CC2)nn1 ZINC001375057814 1136902993 /nfs/dbraw/zinc/90/29/93/1136902993.db2.gz UJAWRTBOBPSMFK-JTQLQIEISA-N -1 1 348.411 -0.786 20 0 EBADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)CCn1cnnn1 ZINC001375062433 1136904685 /nfs/dbraw/zinc/90/46/85/1136904685.db2.gz ZDYSTFLIUZERAH-SNVBAGLBSA-N -1 1 333.352 -0.511 20 0 EBADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)C[C@H]1CCNC1=O ZINC001375062156 1136904751 /nfs/dbraw/zinc/90/47/51/1136904751.db2.gz XAJAZLHZFIKFCZ-MNOVXSKESA-N -1 1 334.376 -0.062 20 0 EBADMM C[C@H](CN(C)[C@@H]1CCCNC1=O)NC(=O)c1c[n-]n2c1nccc2=O ZINC001375123679 1136920595 /nfs/dbraw/zinc/92/05/95/1136920595.db2.gz XAQHUXATGWWFHS-ZYHUDNBSSA-N -1 1 346.391 -0.649 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-]C2CCN(CC(N)=O)CC2)c1Cl ZINC000037984308 213183371 /nfs/dbraw/zinc/18/33/71/213183371.db2.gz WYCCMBRHFKHJPL-UHFFFAOYSA-N -1 1 335.817 -0.699 20 0 EBADMM COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)c1cc(F)ccc1[O-] ZINC000275244990 284421779 /nfs/dbraw/zinc/42/17/79/284421779.db2.gz HJZDWXXYRLUXAD-SNVBAGLBSA-N -1 1 331.321 -0.057 20 0 EBADMM CNC(=O)[C@@H](CO)[N-]S(=O)(=O)C[C@H](OC)c1ccc(F)cc1 ZINC000625120188 375979676 /nfs/dbraw/zinc/97/96/76/375979676.db2.gz DHEQVRZFNHWVMF-NEPJUHHUSA-N -1 1 334.369 -0.461 20 0 EBADMM Cc1nc(C)c(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)o1 ZINC000625237815 376002028 /nfs/dbraw/zinc/00/20/28/376002028.db2.gz ZFQXXYSJVNSGST-UHFFFAOYSA-N -1 1 328.350 -0.833 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@H]1[N-]S(=O)(=O)[C@H]1COC(C)(C)C1 ZINC000625278098 376041254 /nfs/dbraw/zinc/04/12/54/376041254.db2.gz LLTJOUZSNCSBTI-OPRDCNLKSA-N -1 1 327.424 -0.715 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCCC[C@@H]2C(=O)NC)o1 ZINC000025301744 376043220 /nfs/dbraw/zinc/04/32/20/376043220.db2.gz XDEKRDJETQAWPF-SECBINFHSA-N -1 1 329.378 -0.072 20 0 EBADMM CCC[C@H](C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)OCC ZINC000625329695 376047028 /nfs/dbraw/zinc/04/70/28/376047028.db2.gz AQHNXUBLQGIXKR-SNVBAGLBSA-N -1 1 333.410 -0.433 20 0 EBADMM O=C(Cc1ccccc1)NCCC(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000363394578 376244741 /nfs/dbraw/zinc/24/47/41/376244741.db2.gz CBXYVMHQIZIKDB-CYBMUJFWSA-N -1 1 344.375 -0.151 20 0 EBADMM O=C(Cn1nnc(-c2ccccc2)n1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370853275 376590111 /nfs/dbraw/zinc/59/01/11/376590111.db2.gz RORDZCQFHMYDSD-LLVKDONJSA-N -1 1 341.335 -0.547 20 0 EBADMM O=C(CNC(=O)c1ccc(F)cc1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370862673 376591421 /nfs/dbraw/zinc/59/14/21/376591421.db2.gz RMJQOVBQCFGYQY-NSHDSACASA-N -1 1 334.311 -0.331 20 0 EBADMM CCC(=O)N1CSC[C@@H]1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370863790 376593169 /nfs/dbraw/zinc/59/31/69/376593169.db2.gz YHALUPAZNILXGZ-BDAKNGLRSA-N -1 1 326.382 -0.589 20 0 EBADMM CCOC(=O)N1CCC[C@@H](C(=O)N2CCO[C@H](c3nn[n-]n3)C2)C1 ZINC000370859330 376593715 /nfs/dbraw/zinc/59/37/15/376593715.db2.gz GGKRUXRLDYXVKH-MNOVXSKESA-N -1 1 338.368 -0.032 20 0 EBADMM C[C@@H](C(=O)N(C)C)S(=O)(=O)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000288853918 376656684 /nfs/dbraw/zinc/65/66/84/376656684.db2.gz FGIQEBIRDPNFCM-IUCAKERBSA-N -1 1 331.398 -0.916 20 0 EBADMM O=C(CCCc1nn[n-]n1)N1CC[C@H](OCCN2CCOCC2)C1 ZINC000635685990 377093120 /nfs/dbraw/zinc/09/31/20/377093120.db2.gz SYXPHMOQXKJIAI-ZDUSSCGKSA-N -1 1 338.412 -0.528 20 0 EBADMM COC(=O)[C@H](CN1CCOCC1)[N-]S(=O)(=O)CCC1CCC1 ZINC000569850483 377175985 /nfs/dbraw/zinc/17/59/85/377175985.db2.gz BZFYCOPCUBQUHH-ZDUSSCGKSA-N -1 1 334.438 -0.030 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC(=O)NCC(F)F ZINC000281023040 377251988 /nfs/dbraw/zinc/25/19/88/377251988.db2.gz PTNAJDIXNMKRGB-UHFFFAOYSA-N -1 1 340.308 -0.754 20 0 EBADMM C[C@H](CC(F)(F)F)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000362093429 377432136 /nfs/dbraw/zinc/43/21/36/377432136.db2.gz BXIDLOOMVRCBCE-ZCFIWIBFSA-N -1 1 329.300 -0.297 20 0 EBADMM CCN1C[C@H](S(=O)(=O)[N-][C@@]2(C(=O)OC)CCSC2)CC1=O ZINC000601380602 377553032 /nfs/dbraw/zinc/55/30/32/377553032.db2.gz XGAFBCHCMGNSIT-SKDRFNHKSA-N -1 1 336.435 -0.425 20 0 EBADMM COC(=O)[C@H](Cc1ccncc1)[N-]S(=O)(=O)[C@H](C)C(=O)N(C)C ZINC000590588726 377639307 /nfs/dbraw/zinc/63/93/07/377639307.db2.gz JIXLAICZBIRKKU-PWSUYJOCSA-N -1 1 343.405 -0.438 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)c1cn(C)c(C)n1)c1ccnn1C ZINC000592090573 377791649 /nfs/dbraw/zinc/79/16/49/377791649.db2.gz CJUCTXNUZTXQDL-LLVKDONJSA-N -1 1 327.366 -0.345 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-][C@@]2(C(N)=O)CCOC2)cc1C ZINC000595342073 378001533 /nfs/dbraw/zinc/00/15/33/378001533.db2.gz KNRVQMFTYPGDKT-LBPRGKRZSA-N -1 1 332.334 -0.703 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)[N-]CC2(O)CCN(C)CC2)on1 ZINC000599243255 378207657 /nfs/dbraw/zinc/20/76/57/378207657.db2.gz IBVROYPHJMFSHU-UHFFFAOYSA-N -1 1 333.366 -0.804 20 0 EBADMM Cn1cc(N2C[C@H](C(=O)N3CCC[C@H](c4nn[n-]n4)C3)CC2=O)cn1 ZINC000626237844 378304046 /nfs/dbraw/zinc/30/40/46/378304046.db2.gz JNFSNBHEKGZGOS-WDEREUQCSA-N -1 1 344.379 -0.308 20 0 EBADMM COC[C@H](CS(=O)(=O)[N-][C@]1(C(=O)OC)CCSC1)OC ZINC000603303244 378399100 /nfs/dbraw/zinc/39/91/00/378399100.db2.gz IBCBGLFRWVEEDS-MWLCHTKSSA-N -1 1 327.424 -0.384 20 0 EBADMM CNC(=O)CN1CCC([N-]S(=O)(=O)c2ncn(C)c2Cl)CC1 ZINC000026975658 378534114 /nfs/dbraw/zinc/53/41/14/378534114.db2.gz JVKGLDAWHULGLE-UHFFFAOYSA-N -1 1 349.844 -0.438 20 0 EBADMM CCNC(=O)N1CCN(C(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000337946006 378716961 /nfs/dbraw/zinc/71/69/61/378716961.db2.gz GGCBYGDUZTXMDO-UHFFFAOYSA-N -1 1 335.364 -0.609 20 0 EBADMM C[C@@H]1C[C@H](C)CN1C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000346336250 297363527 /nfs/dbraw/zinc/36/35/27/297363527.db2.gz LKFWIFPMQROEOT-DTWKUNHWSA-N -1 1 329.378 -0.086 20 0 EBADMM CC(C)(NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)C1CC1 ZINC000534294152 378990630 /nfs/dbraw/zinc/99/06/30/378990630.db2.gz QRZYMFJJAZEUOQ-UHFFFAOYSA-N -1 1 329.378 -0.038 20 0 EBADMM COc1cc[n-]c(=NNC(=O)N[C@@H]2CCCN(S(C)(=O)=O)C2)n1 ZINC000067626921 379061094 /nfs/dbraw/zinc/06/10/94/379061094.db2.gz VIFZZFYUXSIRJZ-SECBINFHSA-N -1 1 344.397 -0.465 20 0 EBADMM CC1(C)C[C@]1(C)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000620080006 379251379 /nfs/dbraw/zinc/25/13/79/379251379.db2.gz XOVOQXWTSOKLCG-ZDUSSCGKSA-N -1 1 329.378 -0.038 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CCCc3cn[nH]c32)c(=O)n(C)c1=O ZINC000268764003 379326450 /nfs/dbraw/zinc/32/64/50/379326450.db2.gz AVMGEPNZIBOFNP-SECBINFHSA-N -1 1 339.377 -0.837 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CC(=O)N(C3CC3)C2)co1 ZINC000270143888 379433713 /nfs/dbraw/zinc/43/37/13/379433713.db2.gz GMUDAOAGIVWYBI-VIFPVBQESA-N -1 1 327.362 -0.319 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@@H](CC(N)=O)C2)co1 ZINC000270156043 379437323 /nfs/dbraw/zinc/43/73/23/379437323.db2.gz IOIWCQNXCFWOBC-VIFPVBQESA-N -1 1 329.378 -0.085 20 0 EBADMM CS(=O)(=O)CCS(=O)(=O)[N-][C@@H](CO)c1c(F)cccc1F ZINC000269779464 379405565 /nfs/dbraw/zinc/40/55/65/379405565.db2.gz OMMKQHINABPMKP-JTQLQIEISA-N -1 1 343.373 -0.038 20 0 EBADMM COC[C@@H](CS(=O)(=O)[N-][C@@H]1CN(c2ccccc2)C1=O)OC ZINC000641438437 379421845 /nfs/dbraw/zinc/42/18/45/379421845.db2.gz JMJSZXLKTAASTB-QWHCGFSZSA-N -1 1 328.390 -0.017 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@]2(C(=O)OC)CCSC2)o1 ZINC000270706788 379467393 /nfs/dbraw/zinc/46/73/93/379467393.db2.gz WZNRVFYSXFSHLC-LBPRGKRZSA-N -1 1 348.402 -0.034 20 0 EBADMM NC(=O)CO[N-]C(=O)CCCNS(=O)(=O)c1ccc(F)cc1 ZINC000271410983 379523231 /nfs/dbraw/zinc/52/32/31/379523231.db2.gz OHYOMVBWIPCPEM-UHFFFAOYSA-N -1 1 333.341 -0.583 20 0 EBADMM CN(CC(=O)[N-]OCc1ccccc1)C[C@H](O)CN1CCOCC1 ZINC000271407213 379524913 /nfs/dbraw/zinc/52/49/13/379524913.db2.gz VICBSCXHFBLUQG-INIZCTEOSA-N -1 1 337.420 -0.141 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2CC(=O)c3ccccc32)c(=O)n(C)c1=O ZINC000272601686 379586952 /nfs/dbraw/zinc/58/69/52/379586952.db2.gz XTJIXPIRNMQVNC-NSHDSACASA-N -1 1 349.368 -0.310 20 0 EBADMM C[C@H](CN(C)C(=O)CN1C(=O)[C@@H]2CCCC[C@@H]2C1=O)c1nn[n-]n1 ZINC000273535337 379650579 /nfs/dbraw/zinc/65/05/79/379650579.db2.gz RWIVGRATJFYMMJ-MXWKQRLJSA-N -1 1 334.380 -0.063 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCN3C(=O)OC[C@H]3C2)o1 ZINC000493336395 379674598 /nfs/dbraw/zinc/67/45/98/379674598.db2.gz HTSCIJKHEKCBHU-MRVPVSSYSA-N -1 1 329.334 -0.536 20 0 EBADMM CC(C)(C)OC(=O)NC1CCN(CC(=O)[N-]OCC(N)=O)CC1 ZINC000495558885 379712523 /nfs/dbraw/zinc/71/25/23/379712523.db2.gz VFOAGRDLYJFSBZ-UHFFFAOYSA-N -1 1 330.385 -0.491 20 0 EBADMM CCC[C@@H](NC(=O)c1cc(N2CCNC(=O)C2)ccn1)c1nn[n-]n1 ZINC000276510405 379844413 /nfs/dbraw/zinc/84/44/13/379844413.db2.gz KORSMDFWTDXAER-LLVKDONJSA-N -1 1 344.379 -0.198 20 0 EBADMM CO[C@@H](CS(=O)(=O)[N-][C@H]1CS(=O)(=O)C1(C)C)[C@H]1CCOC1 ZINC000632495506 379870018 /nfs/dbraw/zinc/87/00/18/379870018.db2.gz WAOBJDGHINQIOJ-DCAQKATOSA-N -1 1 341.451 -0.467 20 0 EBADMM CN1CCO[C@H](CS(=O)(=O)[N-][C@@H]2CN(c3ccccc3)C2=O)C1 ZINC000632198530 379828285 /nfs/dbraw/zinc/82/82/85/379828285.db2.gz GSCGCQPGNQFBOK-UONOGXRCSA-N -1 1 339.417 -0.348 20 0 EBADMM Cc1cc(S(=O)(=O)[N-][C@@H]2CCN(S(C)(=O)=O)C2)sn1 ZINC000632509851 379872089 /nfs/dbraw/zinc/87/20/89/379872089.db2.gz PWFPMQMISSWCLG-MRVPVSSYSA-N -1 1 325.437 -0.236 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@@]1(O)CCO[C@H]1C ZINC000279422487 379950693 /nfs/dbraw/zinc/95/06/93/379950693.db2.gz ILQJIPXPUDUQEU-ZBEGNZNMSA-N -1 1 334.376 -0.162 20 0 EBADMM O=C(NC1CC1)C(=O)N1CCN(C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000280893732 379997977 /nfs/dbraw/zinc/99/79/77/379997977.db2.gz ZTCBAYLGEMRUNJ-UHFFFAOYSA-N -1 1 345.355 -0.476 20 0 EBADMM COC[C@H](C(N)=O)N(C)C(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000354610535 380065405 /nfs/dbraw/zinc/06/54/05/380065405.db2.gz QQCQMDCXYKKWBZ-GFCCVEGCSA-N -1 1 349.391 -0.057 20 0 EBADMM COC(=O)[C@@H](C)CS(=O)(=O)N[C@H](CN1CCCCC1)C(=O)[O-] ZINC000547561646 380145792 /nfs/dbraw/zinc/14/57/92/380145792.db2.gz BJSQSCJGSAXYLB-WDEREUQCSA-N -1 1 336.410 -0.346 20 0 EBADMM CCS(=O)(=O)N1CCC[C@H](C(=O)NC(C)(C)c2nn[n-]n2)C1 ZINC000354793183 380097408 /nfs/dbraw/zinc/09/74/08/380097408.db2.gz XLOSVNONESMZEU-VIFPVBQESA-N -1 1 330.414 -0.387 20 0 EBADMM Cc1cc(S(N)(=O)=O)sc1C(=O)NC(C)(C)c1nn[n-]n1 ZINC000354789037 380098740 /nfs/dbraw/zinc/09/87/40/380098740.db2.gz NCKWFWSTFVVJJA-UHFFFAOYSA-N -1 1 330.395 -0.118 20 0 EBADMM C[C@@](O)(Cn1ccccc1=O)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000547737062 380161320 /nfs/dbraw/zinc/16/13/20/380161320.db2.gz VKRFWHNZGYUZRQ-XHDPSFHLSA-N -1 1 332.364 -0.481 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CN(C)C(=O)N2)c1 ZINC000615055242 380162753 /nfs/dbraw/zinc/16/27/53/380162753.db2.gz XLEHPCNLGZFVAA-SECBINFHSA-N -1 1 328.350 -0.738 20 0 EBADMM CC(=O)N1CCN(C(=O)CN2CCC[C@@H](c3n[n-]c(=O)o3)C2)CC1 ZINC000283905628 380150094 /nfs/dbraw/zinc/15/00/94/380150094.db2.gz FWDWSBQIDYPBMK-GFCCVEGCSA-N -1 1 337.380 -0.767 20 0 EBADMM NC(=O)[C@H](NC(=O)CNC(=O)c1ncccc1[O-])c1ccc(F)cc1 ZINC000362042503 380367223 /nfs/dbraw/zinc/36/72/23/380367223.db2.gz DQAWEQDROIEMPR-CYBMUJFWSA-N -1 1 346.318 -0.001 20 0 EBADMM CCOCCOC1CN(C(=O)C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000287763576 380371423 /nfs/dbraw/zinc/37/14/23/380371423.db2.gz MEYHHCBDROPNBQ-UYRXBGFRSA-N -1 1 347.375 -0.456 20 0 EBADMM CS(=O)(=O)N1CC[C@H](CNC(=O)C(=O)c2ccc([O-])cc2)C1 ZINC000287836903 380376984 /nfs/dbraw/zinc/37/69/84/380376984.db2.gz WYINEEJZBRCAQP-SNVBAGLBSA-N -1 1 326.374 -0.027 20 0 EBADMM CCOC(=O)C(=O)N1CCN(C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000287846109 380377468 /nfs/dbraw/zinc/37/74/68/380377468.db2.gz DSRWTNGZNWXSJB-UHFFFAOYSA-N -1 1 334.328 -0.191 20 0 EBADMM COC(=O)C(C)(C)CCNC(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287931181 380383892 /nfs/dbraw/zinc/38/38/92/380383892.db2.gz RPAIZBUDTOESNG-JAIQZWGSSA-N -1 1 347.375 -0.014 20 0 EBADMM CCOC(=O)C[C@H](C)CNC(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287992727 380387462 /nfs/dbraw/zinc/38/74/62/380387462.db2.gz JZCWVMDXMADSPV-IRTGHPOMSA-N -1 1 347.375 -0.014 20 0 EBADMM CCCN1CCN(C(=O)C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CC1 ZINC000287457015 380353850 /nfs/dbraw/zinc/35/38/50/380353850.db2.gz MYYDIFODKCABAQ-UYRXBGFRSA-N -1 1 330.392 -0.166 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCCN(S(C)(=O)=O)C1 ZINC000289296759 380433791 /nfs/dbraw/zinc/43/37/91/380433791.db2.gz HTPCVASHSYLAEI-MRVPVSSYSA-N -1 1 338.411 -0.732 20 0 EBADMM CCC[C@@H](NC(=O)Cc1cc(S(N)(=O)=O)cn1C)c1nn[n-]n1 ZINC000362949594 380446257 /nfs/dbraw/zinc/44/62/57/380446257.db2.gz QLCAYNDEJHDHEY-SNVBAGLBSA-N -1 1 341.397 -0.614 20 0 EBADMM Cn1cnc2c1c(=O)n(CC(=O)Nc1ccc(F)cc1[O-])c(=O)n2C ZINC000340329891 380455632 /nfs/dbraw/zinc/45/56/32/380455632.db2.gz NCOCUSBCJXPHQU-UHFFFAOYSA-N -1 1 347.306 -0.083 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H](CO)CC(C)(C)C)c(=O)n(C)c1=O ZINC000269697559 380523241 /nfs/dbraw/zinc/52/32/41/380523241.db2.gz LJBAPWIMQHABPH-VIFPVBQESA-N -1 1 333.410 -0.841 20 0 EBADMM O=S(=O)([N-]C[C@]1(O)CCS(=O)(=O)C1)c1cc(F)ccc1F ZINC000573268087 380667405 /nfs/dbraw/zinc/66/74/05/380667405.db2.gz ZHBSHEDWDGLCSC-LLVKDONJSA-N -1 1 341.357 -0.207 20 0 EBADMM C[C@H](CO)N(C)S(=O)(=O)CCCS(=O)(=O)[N-]c1c[nH]cn1 ZINC000576292272 380765265 /nfs/dbraw/zinc/76/52/65/380765265.db2.gz JHKYPUAYAKYOCH-SECBINFHSA-N -1 1 340.427 -0.816 20 0 EBADMM CCOCCO[N-]C(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000373474113 380752220 /nfs/dbraw/zinc/75/22/20/380752220.db2.gz WNDYIJLYDNDCBH-ZDUSSCGKSA-N -1 1 329.397 -0.749 20 0 EBADMM CO[C@@](C)([C@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C1CC1 ZINC000416607568 380790174 /nfs/dbraw/zinc/79/01/74/380790174.db2.gz ZGZMVJGBLHFLHU-XPTSAGLGSA-N -1 1 345.421 -0.434 20 0 EBADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)CN1CCNCC1=O ZINC000577872352 380846996 /nfs/dbraw/zinc/84/69/96/380846996.db2.gz GLUUXINZMMEMNC-UHFFFAOYSA-N -1 1 344.368 -0.433 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@H]3C(=O)NC[C@H]32)co1 ZINC000366850688 381015575 /nfs/dbraw/zinc/01/55/75/381015575.db2.gz LWPLIPQFSODOIW-NXEZZACHSA-N -1 1 327.362 -0.462 20 0 EBADMM CN(C)c1cccc([N-]S(=O)(=O)CCCCS(N)(=O)=O)n1 ZINC000349604790 381152144 /nfs/dbraw/zinc/15/21/44/381152144.db2.gz VKAWASSHXSTKKK-UHFFFAOYSA-N -1 1 336.439 -0.042 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2cccc(F)c2)c(=O)n(C)c1=O ZINC000046814283 381217785 /nfs/dbraw/zinc/21/77/85/381217785.db2.gz GAERRGCRQJRKCQ-UHFFFAOYSA-N -1 1 327.337 -0.298 20 0 EBADMM NC(=O)C[C@@H](NS(=O)(=O)c1c(F)cc(F)cc1F)C(=O)[O-] ZINC000037908958 381189496 /nfs/dbraw/zinc/18/94/96/381189496.db2.gz XVWLUYRTYQXDDL-SSDOTTSWSA-N -1 1 326.252 -0.289 20 0 EBADMM CC(C)(NS(C)(=O)=O)C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000347580113 381237104 /nfs/dbraw/zinc/23/71/04/381237104.db2.gz ZNWNZZYPLBRTAT-MRVPVSSYSA-N -1 1 332.382 -0.191 20 0 EBADMM Cc1[nH]c(=O)sc1S(=O)(=O)[N-]CCNC(=O)c1ccnn1C ZINC000347715971 381250738 /nfs/dbraw/zinc/25/07/38/381250738.db2.gz VTBQMSIBABGUHP-UHFFFAOYSA-N -1 1 345.406 -0.401 20 0 EBADMM COCCN(Cc1ccnn1C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000347814567 381280098 /nfs/dbraw/zinc/28/00/98/381280098.db2.gz UTRZUTGFOQGFEY-UHFFFAOYSA-N -1 1 347.375 -0.074 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CC[C@H](C(N)=O)O2)o1 ZINC000347824674 381280988 /nfs/dbraw/zinc/28/09/88/381280988.db2.gz LQTQLJMNENQAOB-DTWKUNHWSA-N -1 1 346.361 -0.233 20 0 EBADMM O=C(CCCNC(=O)NC1CCCCC1)NN1CC(=O)[N-]C1=O ZINC000029019970 381510902 /nfs/dbraw/zinc/51/09/02/381510902.db2.gz JETBIMSDNSVHEP-UHFFFAOYSA-N -1 1 325.369 -0.019 20 0 EBADMM COc1ccc(C)cc1N1C[C@H](C(=O)NN2CC(=O)[N-]C2=O)CC1=O ZINC000029023463 381514571 /nfs/dbraw/zinc/51/45/71/381514571.db2.gz UQHSORFCSDOQDP-SNVBAGLBSA-N -1 1 346.343 -0.060 20 0 EBADMM Cc1nc(S(=O)(=O)[N-]C[C@H]2CS(=O)(=O)CCO2)sc1C ZINC000535783702 381533293 /nfs/dbraw/zinc/53/32/93/381533293.db2.gz ZNHHHLGHZKYRHV-VIFPVBQESA-N -1 1 340.448 -0.148 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]c2cc(C(N)=O)n[nH]2)cn1 ZINC000611497963 381671084 /nfs/dbraw/zinc/67/10/84/381671084.db2.gz SLSZPEVJBBEIQM-UHFFFAOYSA-N -1 1 325.306 -0.509 20 0 EBADMM COC(=O)c1ccc(Cl)cc1NC(=O)C(=O)NCc1nn[n-]n1 ZINC000611603609 381679193 /nfs/dbraw/zinc/67/91/93/381679193.db2.gz HOUGCJBZWHEJCC-UHFFFAOYSA-N -1 1 338.711 -0.105 20 0 EBADMM Cn1c(=O)ccn(CC(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)c1=O ZINC000332379889 381787567 /nfs/dbraw/zinc/78/75/67/381787567.db2.gz AQCBOABRKATDBT-SECBINFHSA-N -1 1 335.320 -0.958 20 0 EBADMM CCC[C@@H](NC(=O)CCn1cnc2c1ncnc2N)c1nn[n-]n1 ZINC000612059638 381777087 /nfs/dbraw/zinc/77/70/87/381777087.db2.gz CPWANGKLVWRLPF-MRVPVSSYSA-N -1 1 330.356 -0.031 20 0 EBADMM O=C(c1cnc2c(c1)NC(=O)CO2)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000537124272 381872748 /nfs/dbraw/zinc/87/27/48/381872748.db2.gz IUYJSKYMOIZEEN-MRVPVSSYSA-N -1 1 329.320 -0.055 20 0 EBADMM CN1CCN(c2ccc(NC(=O)c3cnc([O-])n(C)c3=O)cn2)CC1 ZINC000333180996 381894802 /nfs/dbraw/zinc/89/48/02/381894802.db2.gz SIXSAXZICZOKNN-UHFFFAOYSA-N -1 1 344.375 -0.115 20 0 EBADMM C[C@]1(c2ccc(C(=O)NC3(c4nn[n-]n4)CC3)cc2)NC(=O)NC1=O ZINC000352198662 381905976 /nfs/dbraw/zinc/90/59/76/381905976.db2.gz YLMKWIAFHYOZED-CQSZACIVSA-N -1 1 341.331 -0.327 20 0 EBADMM COc1cccc(COC[C@H](O)C[N@H+]2CCN3C(=O)NC(=O)[C@H]3C2)c1 ZINC000352772217 382030784 /nfs/dbraw/zinc/03/07/84/382030784.db2.gz QEVPXIJQZVTCMY-UKRRQHHQSA-N -1 1 349.387 -0.191 20 0 EBADMM CN(CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1)C(=O)c1cccs1 ZINC000352796397 382032531 /nfs/dbraw/zinc/03/25/31/382032531.db2.gz OZVGTNUAFPKWLY-UHFFFAOYSA-N -1 1 343.390 -0.020 20 0 EBADMM CN(CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1)C(=O)c1cccs1 ZINC000352796397 382032540 /nfs/dbraw/zinc/03/25/40/382032540.db2.gz OZVGTNUAFPKWLY-UHFFFAOYSA-N -1 1 343.390 -0.020 20 0 EBADMM CN1C(=O)c2ccc(C(=O)Nc3nc(S(C)(=O)=O)n[n-]3)cc2C1=O ZINC000352798431 382034757 /nfs/dbraw/zinc/03/47/57/382034757.db2.gz QKSOWKRFOYWYIG-UHFFFAOYSA-N -1 1 349.328 -0.314 20 0 EBADMM CN1C(=O)c2ccc(C(=O)Nc3nnc(S(C)(=O)=O)[n-]3)cc2C1=O ZINC000352798431 382034766 /nfs/dbraw/zinc/03/47/66/382034766.db2.gz QKSOWKRFOYWYIG-UHFFFAOYSA-N -1 1 349.328 -0.314 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCO[C@H](CC(N)=O)C1 ZINC000629400215 382118312 /nfs/dbraw/zinc/11/83/12/382118312.db2.gz QPKOFEDKUUFXGV-LLVKDONJSA-N -1 1 347.375 -0.303 20 0 EBADMM CCOC(=O)Cc1cccc(NC(=O)C(=O)NCc2nn[n-]n2)c1 ZINC000610029490 382121248 /nfs/dbraw/zinc/12/12/48/382121248.db2.gz MZTQENLCCBKRQQ-UHFFFAOYSA-N -1 1 332.320 -0.440 20 0 EBADMM COC(=O)C1(CS(=O)(=O)[N-][C@@]2(C(N)=O)CCOC2)CCCC1 ZINC000575002744 382185704 /nfs/dbraw/zinc/18/57/04/382185704.db2.gz WFBYNFZYYLHITA-ZDUSSCGKSA-N -1 1 334.394 -0.716 20 0 EBADMM C[C@]1(CNC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)CCCO1 ZINC000446524999 382181455 /nfs/dbraw/zinc/18/14/55/382181455.db2.gz WQKQPZCWYYJXCS-CYBMUJFWSA-N -1 1 345.377 -0.658 20 0 EBADMM CC(C)N1C(=O)CN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1(C)C ZINC000353705568 382167512 /nfs/dbraw/zinc/16/75/12/382167512.db2.gz HNMQTBNVWUWHPJ-UHFFFAOYSA-N -1 1 332.364 -0.111 20 0 EBADMM C[C@@H]1CCCC[C@H]1NC(=O)CN1Cc2n[nH]c(=O)n2C[C@@H]1C(=O)[O-] ZINC000333655386 296354469 /nfs/dbraw/zinc/35/44/69/296354469.db2.gz ZTTYNGLLKMYDFA-GMTAPVOTSA-N -1 1 337.380 -0.053 20 0 EBADMM COC(=O)C[C@H]([N-]S(=O)(=O)N1C[C@H](C)C[C@H](C)C1)C(=O)OC ZINC000330736059 155306038 /nfs/dbraw/zinc/30/60/38/155306038.db2.gz WQCPGAWUAFJEHH-AXFHLTTASA-N -1 1 336.410 -0.097 20 0 EBADMM COC(=O)[C@H]1CCC[C@H]1S(=O)(=O)[N-]Cc1nc(N(C)C)no1 ZINC000331103795 155339783 /nfs/dbraw/zinc/33/97/83/155339783.db2.gz PUUYXFBHFHKZHC-DTWKUNHWSA-N -1 1 332.382 -0.103 20 0 EBADMM CN1C(=O)C[C@H](C(=O)NCCCc2nc(=O)[n-][nH]2)[C@H]1c1cccnc1 ZINC000176269589 154111339 /nfs/dbraw/zinc/11/13/39/154111339.db2.gz WOJUSMYQIUTHOK-SMDDNHRTSA-N -1 1 344.375 -0.239 20 0 EBADMM C[C@@H](NC(=O)c1n[nH]c2ccccc21)C(=O)NC[C@@](C)(O)C(=O)[O-] ZINC000262363684 154226265 /nfs/dbraw/zinc/22/62/65/154226265.db2.gz HIPSUUCGQLKPEI-ANRSDYALSA-N -1 1 334.332 -0.367 20 0 EBADMM CC(C)CCC(=O)NCC(=O)N1CCO[C@H](C[N@@H+](C)CC(=O)[O-])C1 ZINC000262929412 154251685 /nfs/dbraw/zinc/25/16/85/154251685.db2.gz LXYAJTJPSKJJSK-CYBMUJFWSA-N -1 1 343.424 -0.217 20 0 EBADMM CN(C)CC(=O)Nc1cc(S(=O)(=O)N2CCOCC2)ccc1[O-] ZINC000263875683 154267206 /nfs/dbraw/zinc/26/72/06/154267206.db2.gz PICZCEZZPAPUOY-UHFFFAOYSA-N -1 1 343.405 -0.087 20 0 EBADMM CCSCC[C@@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000266327208 154343289 /nfs/dbraw/zinc/34/32/89/154343289.db2.gz JGJMFEFCWZFHBV-SECBINFHSA-N -1 1 335.451 -0.106 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCCC(F)(F)F)c(=O)n(C)c1=O ZINC000266429685 154345814 /nfs/dbraw/zinc/34/58/14/154345814.db2.gz IGIWUDYDUICOEU-UHFFFAOYSA-N -1 1 329.300 -0.295 20 0 EBADMM C[C@@H](C(=O)NN1CC(=O)[N-]C1=O)N1CCN(c2ccc(F)cc2)CC1 ZINC000266579685 154347796 /nfs/dbraw/zinc/34/77/96/154347796.db2.gz MKKKKULPRHGBCS-NSHDSACASA-N -1 1 349.366 -0.081 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CC(=O)N(C(C)C)C2=O)o1 ZINC000267228300 154369621 /nfs/dbraw/zinc/36/96/21/154369621.db2.gz KGPCFJDJVIGRGH-QMMMGPOBSA-N -1 1 343.361 -0.547 20 0 EBADMM O=C(CCNC(=O)c1cc(F)ccc1[O-])N[C@@H]1CCS(=O)(=O)C1 ZINC000267483045 154376731 /nfs/dbraw/zinc/37/67/31/154376731.db2.gz CTKTXQPBFWVVCI-SNVBAGLBSA-N -1 1 344.364 -0.046 20 0 EBADMM COC(=O)[C@]1(NC(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)CCOC1 ZINC000267970930 154395571 /nfs/dbraw/zinc/39/55/71/154395571.db2.gz CAIJMEZXANAMKO-INIZCTEOSA-N -1 1 348.359 -0.370 20 0 EBADMM CO[C@](C)(C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C1CC1 ZINC000291697100 155023020 /nfs/dbraw/zinc/02/30/20/155023020.db2.gz NGFQMMIMOWHJDI-CYBMUJFWSA-N -1 1 331.394 -0.823 20 0 EBADMM COCCN1C[C@H](C(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)CC1=O ZINC000294296934 155058675 /nfs/dbraw/zinc/05/86/75/155058675.db2.gz PSNFMXXLQVFPJM-GHMZBOCLSA-N -1 1 337.380 -0.701 20 0 EBADMM CCOC(=O)c1cn(CC[N-]S(=O)(=O)c2c(C)onc2N)cn1 ZINC000296194528 155076130 /nfs/dbraw/zinc/07/61/30/155076130.db2.gz VTSLGUKQPWFTJQ-UHFFFAOYSA-N -1 1 343.365 -0.083 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCCOCCOC ZINC000298110195 155101772 /nfs/dbraw/zinc/10/17/72/155101772.db2.gz MAGNJGOAPSIIMQ-UHFFFAOYSA-N -1 1 335.382 -0.082 20 0 EBADMM C[C@H]1CSC[C@H]1NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000342461319 156036302 /nfs/dbraw/zinc/03/63/02/156036302.db2.gz ORHHIVBRNBHMFM-JGVFFNPUSA-N -1 1 347.418 -0.476 20 0 EBADMM CC1(C)CN(C(=O)CNC(=O)c2ncccc2[O-])CCS1(=O)=O ZINC000343825910 156170529 /nfs/dbraw/zinc/17/05/29/156170529.db2.gz IBEMIPQGIJRSSR-UHFFFAOYSA-N -1 1 341.389 -0.447 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@]2(CNC(=O)C2)C1 ZINC000344093104 156201054 /nfs/dbraw/zinc/20/10/54/156201054.db2.gz GIMLEXCPLNSLFQ-INIZCTEOSA-N -1 1 332.360 -0.354 20 0 EBADMM CC1(C)COCCN1C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000344121815 156203759 /nfs/dbraw/zinc/20/37/59/156203759.db2.gz HUKUXHOCVHIZDC-UHFFFAOYSA-N -1 1 345.377 -0.706 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CC(=O)N(C)C2=O)o1 ZINC000344808427 156268271 /nfs/dbraw/zinc/26/82/71/156268271.db2.gz IFJUZWNYQNBSRF-ZETCQYMHSA-N -1 1 330.318 -0.508 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@H]1Cn1ccnn1 ZINC000344880501 156276689 /nfs/dbraw/zinc/27/66/89/156276689.db2.gz RJDMYPKKOXZBCB-NSHDSACASA-N -1 1 330.348 -0.200 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2cnc(C)[nH]c2=O)co1 ZINC000345110882 156302734 /nfs/dbraw/zinc/30/27/34/156302734.db2.gz PDQOYOJMCBDIJE-UHFFFAOYSA-N -1 1 326.334 -0.078 20 0 EBADMM Cc1[nH]c(=O)sc1S(=O)(=O)[N-]CCNC(=O)COC(C)C ZINC000345452542 156334744 /nfs/dbraw/zinc/33/47/44/156334744.db2.gz SFRNBYINJOQTRL-UHFFFAOYSA-N -1 1 337.423 -0.023 20 0 EBADMM CN1C[C@@H]2[C@@H](CCCN2C(=O)CNC(=O)c2ncccc2[O-])C1=O ZINC000345558941 156345534 /nfs/dbraw/zinc/34/55/34/156345534.db2.gz RHFVCAWMQRBIOR-GHMZBOCLSA-N -1 1 332.360 -0.404 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2nc(C(N)=O)cs2)o1 ZINC000345558096 156345940 /nfs/dbraw/zinc/34/59/40/156345940.db2.gz HUYSMKVAGAUFEC-UHFFFAOYSA-N -1 1 330.347 -0.005 20 0 EBADMM CC1(C)C[C@@H]1CNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000345634822 156351318 /nfs/dbraw/zinc/35/13/18/156351318.db2.gz HZXRKRRNMKUXMG-MRVPVSSYSA-N -1 1 329.378 -0.181 20 0 EBADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)CN2CCCS2(=O)=O)c1 ZINC000347712590 156526780 /nfs/dbraw/zinc/52/67/80/156526780.db2.gz BDSCXQBNFOSAQH-UHFFFAOYSA-N -1 1 349.390 -0.986 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H]1CC[C@@H](C(N)=O)O1 ZINC000356115779 157048460 /nfs/dbraw/zinc/04/84/60/157048460.db2.gz LDMIEXOOPNCCDZ-WDEREUQCSA-N -1 1 333.348 -0.299 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H]1CCO[C@]2(CCOC2)C1 ZINC000356892323 157098669 /nfs/dbraw/zinc/09/86/69/157098669.db2.gz JDDAOUKRKGRVJU-BDJLRTHQSA-N -1 1 335.360 -0.029 20 0 EBADMM COc1cc(NC(=O)Cn2cnnn2)ccc1[N-]S(C)(=O)=O ZINC000357863893 157183085 /nfs/dbraw/zinc/18/30/85/157183085.db2.gz FZVKRTKDOICWNK-UHFFFAOYSA-N -1 1 326.338 -0.308 20 0 EBADMM COCCNS(=O)(=O)c1c[nH]c(C(=O)Nc2ccncc2[O-])c1 ZINC000358321293 157230769 /nfs/dbraw/zinc/23/07/69/157230769.db2.gz BDSXMURJNMPFCP-UHFFFAOYSA-N -1 1 340.361 -0.286 20 0 EBADMM Cn1c2c(ccn2CC(=O)Nc2ccncc2[O-])c(=O)n(C)c1=O ZINC000358345083 157234462 /nfs/dbraw/zinc/23/44/62/157234462.db2.gz XYNGQBLXZXTDGK-UHFFFAOYSA-N -1 1 329.316 -0.800 20 0 EBADMM Cn1ncc2c1[n-]cc(C(=O)NC[C@H]1CS(=O)(=O)CCO1)c2=O ZINC000358339623 157234497 /nfs/dbraw/zinc/23/44/97/157234497.db2.gz OSSRRVCBIHFEDH-QMMMGPOBSA-N -1 1 340.361 -0.783 20 0 EBADMM COc1ccc(NC(=O)NC[C@@H](O)CO)cc1[N-]S(C)(=O)=O ZINC000358884084 157285424 /nfs/dbraw/zinc/28/54/24/157285424.db2.gz MOHIZBXRYXKPAJ-SECBINFHSA-N -1 1 333.366 -0.459 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CCC(=O)N2C)c1 ZINC000359680833 157336923 /nfs/dbraw/zinc/33/69/23/157336923.db2.gz OUGSQEOVCYZEKH-SNVBAGLBSA-N -1 1 327.362 -0.140 20 0 EBADMM CNC(=O)C[C@@H]1CS(=O)(=O)CCN1C(=O)c1ncc(C)cc1[O-] ZINC000644172018 419108611 /nfs/dbraw/zinc/10/86/11/419108611.db2.gz RDUVQQBLZJWVBC-SNVBAGLBSA-N -1 1 341.389 -0.529 20 0 EBADMM C[C@H](COCC1CC1)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000651886487 419226171 /nfs/dbraw/zinc/22/61/71/419226171.db2.gz ZREKICFFALDDEC-SECBINFHSA-N -1 1 331.394 -0.823 20 0 EBADMM CC(C)(NC(=O)CCNS(=O)(=O)c1cccs1)c1nn[n-]n1 ZINC000531335958 419228071 /nfs/dbraw/zinc/22/80/71/419228071.db2.gz FHBDNPMJZRJUPF-UHFFFAOYSA-N -1 1 344.422 -0.019 20 0 EBADMM O=C(Nc1nnn[n-]1)c1csc(S(=O)(=O)N2CCOCC2)c1 ZINC000342850795 419404513 /nfs/dbraw/zinc/40/45/13/419404513.db2.gz BRAOAKXWHNUGAZ-UHFFFAOYSA-N -1 1 344.378 -0.466 20 0 EBADMM O=C(Nc1nn[n-]n1)c1csc(S(=O)(=O)N2CCOCC2)c1 ZINC000342850795 419404524 /nfs/dbraw/zinc/40/45/24/419404524.db2.gz BRAOAKXWHNUGAZ-UHFFFAOYSA-N -1 1 344.378 -0.466 20 0 EBADMM CCN1C[C@@H](CNC(=O)c2coc(S(=O)(=O)[N-]C)c2)CC1=O ZINC000652834910 419465666 /nfs/dbraw/zinc/46/56/66/419465666.db2.gz ULXWPKSUIQECKH-SECBINFHSA-N -1 1 329.378 -0.214 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H](C)S(C)(=O)=O ZINC000533149916 419967239 /nfs/dbraw/zinc/96/72/39/419967239.db2.gz XHWSGSZBWTUYKD-SSDOTTSWSA-N -1 1 339.395 -0.702 20 0 EBADMM CC(C)(C)OC(=O)N1C[C@H](O)C[C@@H]1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000492255425 420572177 /nfs/dbraw/zinc/57/21/77/420572177.db2.gz BXEDWLREOPGATL-RKDXNWHRSA-N -1 1 338.368 -0.325 20 0 EBADMM CCN(CC)S(=O)(=O)CC[N-]S(=O)(=O)c1c(C)onc1N ZINC000349628846 420612006 /nfs/dbraw/zinc/61/20/06/420612006.db2.gz SICKKPZDOYMAOC-UHFFFAOYSA-N -1 1 340.427 -0.485 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCN(CC(F)(F)F)C1=O ZINC000349592285 420661337 /nfs/dbraw/zinc/66/13/37/420661337.db2.gz WIAMKTVJARFWDC-LURJTMIESA-N -1 1 342.299 0.007 20 0 EBADMM Cc1ccn2c(c1)ncc(C(=O)NCCCc1nc(=O)[n-][nH]1)c2=O ZINC000174583190 420683914 /nfs/dbraw/zinc/68/39/14/420683914.db2.gz VWAAILWDVAFZBT-UHFFFAOYSA-N -1 1 328.332 -0.223 20 0 EBADMM Cc1cc(C(=O)N(C)CCN2CC[NH2+]CC2)[n-]c2nc(=O)[n-]c(=O)c1-2 ZINC000649271308 420794811 /nfs/dbraw/zinc/79/48/11/420794811.db2.gz FMRZRPWZZZEYEJ-UHFFFAOYSA-N -1 1 346.391 -0.278 20 0 EBADMM C[C@H]1CCc2onc(C(=O)Nc3nc(S(C)(=O)=O)n[n-]3)c2C1 ZINC000434511201 421207926 /nfs/dbraw/zinc/20/79/26/421207926.db2.gz BYLMQYCTLOWODE-LURJTMIESA-N -1 1 325.350 0.573 20 0 EBADMM C[C@H]1CCc2onc(C(=O)Nc3n[n-]c(S(C)(=O)=O)n3)c2C1 ZINC000434511201 421207929 /nfs/dbraw/zinc/20/79/29/421207929.db2.gz BYLMQYCTLOWODE-LURJTMIESA-N -1 1 325.350 0.573 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]CC(=O)Nc2ccn(C)n2)o1 ZINC000439204790 421250209 /nfs/dbraw/zinc/25/02/09/421250209.db2.gz YVQLKHNMWBNRBB-UHFFFAOYSA-N -1 1 342.333 -0.283 20 0 EBADMM COC[C@H](C)n1ccc(NC(=O)CNC(=O)c2ncccc2[O-])n1 ZINC000428785335 421288970 /nfs/dbraw/zinc/28/89/70/421288970.db2.gz LPUBTZTZYOJPIV-JTQLQIEISA-N -1 1 333.348 0.560 20 0 EBADMM Cc1nc2c(cnn2C)c(N2Cc3n[nH]c(=O)n3C[C@H]2C(=O)[O-])n1 ZINC000424381135 265057628 /nfs/dbraw/zinc/05/76/28/265057628.db2.gz YGIMPFTWTCPDRZ-QMMMGPOBSA-N -1 1 330.308 -0.558 20 0 EBADMM Cc1nc2c(cnn2C)c(N2Cc3n[nH]c(=O)n3C[C@@H]2C(=O)[O-])n1 ZINC000424381132 265058595 /nfs/dbraw/zinc/05/85/95/265058595.db2.gz YGIMPFTWTCPDRZ-MRVPVSSYSA-N -1 1 330.308 -0.558 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2[C@@H]3CCC[C@@H]32)o1 ZINC000428098585 265117330 /nfs/dbraw/zinc/11/73/30/265117330.db2.gz VZJZWJXJNYTCFV-IJBDUVHLSA-N -1 1 327.362 -0.429 20 0 EBADMM C[C@@](O)(CN1Cc2ccccc2C1=O)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000430318713 265148495 /nfs/dbraw/zinc/14/84/95/265148495.db2.gz UKFUFCSAVTWFOC-OAHLLOKOSA-N -1 1 342.359 -0.288 20 0 EBADMM C[C@@H]1CC[S@@](=O)CCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000432470977 265176559 /nfs/dbraw/zinc/17/65/59/265176559.db2.gz WFQKVIZNZWYBTI-ZQJOYCHOSA-N -1 1 325.390 -0.114 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)COCCOC ZINC000443252128 265343598 /nfs/dbraw/zinc/34/35/98/265343598.db2.gz RQDQVYACHVOWFC-VIFPVBQESA-N -1 1 335.382 -0.084 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C(=O)OC)[C@@H](C)O)o1 ZINC000444204186 265361650 /nfs/dbraw/zinc/36/16/50/265361650.db2.gz YUYKLABCGJMLBB-GMSGAONNSA-N -1 1 335.334 -0.343 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@H](O)[C@@H]2C(=O)OC)co1 ZINC000444434919 265363136 /nfs/dbraw/zinc/36/31/36/265363136.db2.gz JELODLQTMVVULP-GXSJLCMTSA-N -1 1 346.361 -0.674 20 0 EBADMM CC(C)[C@H]([N-]S(=O)(=O)C1COC1)c1nc(-c2nc[nH]n2)no1 ZINC000493943424 265534814 /nfs/dbraw/zinc/53/48/14/265534814.db2.gz CVHYVZCAUDWQCL-QMMMGPOBSA-N -1 1 328.354 -0.130 20 0 EBADMM CC(C)[C@H]1CCN1C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000343293510 280389280 /nfs/dbraw/zinc/38/92/80/280389280.db2.gz WTXXGPHFVMQTLW-SECBINFHSA-N -1 1 329.378 -0.086 20 0 EBADMM C[C@@H]1CN(S(=O)(=O)c2c[nH]c3nc(=O)[n-]c(=O)c-3c2)[C@H](C)CN1 ZINC000353491309 297067794 /nfs/dbraw/zinc/06/77/94/297067794.db2.gz JQTRAZSYBPYPOV-HTQZYQBOSA-N -1 1 339.377 -0.193 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCCC(C)(F)F)c(=O)n(C)c1=O ZINC000297279984 294271208 /nfs/dbraw/zinc/27/12/08/294271208.db2.gz ZVVGHSBCNDUKMY-UHFFFAOYSA-N -1 1 325.337 -0.202 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CCCSC2)o1 ZINC000341014404 295048378 /nfs/dbraw/zinc/04/83/78/295048378.db2.gz CBCCYWQFSSZZTF-QMMMGPOBSA-N -1 1 347.418 -0.331 20 0 EBADMM C[C@@H]1CNCCN1C(=O)c1ccc2c(c1)S(=O)(=O)[N-]c1ncnn1-2 ZINC000262035196 297103394 /nfs/dbraw/zinc/10/33/94/297103394.db2.gz PQGSMNYLRYBAMZ-SECBINFHSA-N -1 1 348.388 -0.185 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CCCC[C@H]2O)o1 ZINC000339803325 303252098 /nfs/dbraw/zinc/25/20/98/303252098.db2.gz JTSXMAMYNMBZJA-DTWKUNHWSA-N -1 1 345.377 -0.924 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCCC[C@H]2O)o1 ZINC000339803659 303252151 /nfs/dbraw/zinc/25/21/51/303252151.db2.gz JTSXMAMYNMBZJA-RKDXNWHRSA-N -1 1 345.377 -0.924 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCC(=O)N1CCCC1 ZINC000104834290 527114570 /nfs/dbraw/zinc/11/45/70/527114570.db2.gz ZOWOWEQADAZAJS-UHFFFAOYSA-N -1 1 331.376 -0.079 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC(=O)C2CCCC2)c(=O)n(C)c1=O ZINC000625546146 545679623 /nfs/dbraw/zinc/67/96/23/545679623.db2.gz OAODOCAGQXPAON-UHFFFAOYSA-N -1 1 329.378 -0.878 20 0 EBADMM O=S(=O)(CCCS(=O)(=O)N1CC[C@@H](F)C1)[N-]CC(F)F ZINC000349513963 545936097 /nfs/dbraw/zinc/93/60/97/545936097.db2.gz YMRSFJMJIFVQDT-MRVPVSSYSA-N -1 1 338.373 -0.065 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCN(C3CC3)C(=O)C2)o1 ZINC000673960010 546016126 /nfs/dbraw/zinc/01/61/26/546016126.db2.gz YZBORFBYJPQTET-UHFFFAOYSA-N -1 1 327.362 -0.366 20 0 EBADMM Cn1c(=O)[nH]cc(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)c1=O ZINC000674743605 546173195 /nfs/dbraw/zinc/17/31/95/546173195.db2.gz JBMFMMKOQVJSQY-JTQLQIEISA-N -1 1 327.304 -0.482 20 0 EBADMM COc1ccc(NC(=O)N(CCO)CCO)cc1[N-]S(C)(=O)=O ZINC000678091179 546248508 /nfs/dbraw/zinc/24/85/08/546248508.db2.gz LFKYQMMZBMGIAF-UHFFFAOYSA-N -1 1 347.393 -0.115 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1CCCCN1S(C)(=O)=O)c1nn[n-]n1 ZINC000681353423 546303794 /nfs/dbraw/zinc/30/37/94/546303794.db2.gz LVCHFLASNTYTLZ-ZJUUUORDSA-N -1 1 330.414 -0.424 20 0 EBADMM CC[C@]1(NC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)CCOC1 ZINC000682976023 546343380 /nfs/dbraw/zinc/34/33/80/546343380.db2.gz CITGYHHMBKVTFE-ZDUSSCGKSA-N -1 1 345.377 -0.658 20 0 EBADMM CNC(=O)CC[C@@H]1CCCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000804740090 582534705 /nfs/dbraw/zinc/53/47/05/582534705.db2.gz DCCJRXMYEZDEIT-LBPRGKRZSA-N -1 1 336.392 -0.166 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCc1cccnc1-n1cccn1 ZINC000726865852 582623089 /nfs/dbraw/zinc/62/30/89/582623089.db2.gz QCZLMWVIWJOAGS-UHFFFAOYSA-N -1 1 340.343 -0.176 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(C(=O)c2ccco2)CC1 ZINC000727847825 582659797 /nfs/dbraw/zinc/65/97/97/582659797.db2.gz VFUCFUWMYKDDHX-UHFFFAOYSA-N -1 1 346.343 -0.496 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@H]1CCN(CC(F)(F)F)C1 ZINC000727852462 582661309 /nfs/dbraw/zinc/66/13/09/582661309.db2.gz WPWSINSVLCGUPO-SNVBAGLBSA-N -1 1 348.325 -0.073 20 0 EBADMM CC(C)(C)OC(=O)NCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC000727852736 582661370 /nfs/dbraw/zinc/66/13/70/582661370.db2.gz AMLRQBLTOCEYDT-UHFFFAOYSA-N -1 1 326.353 -0.432 20 0 EBADMM CCCNC(=O)[C@@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000727852998 582661475 /nfs/dbraw/zinc/66/14/75/582661475.db2.gz CXZNOHUFWIHYRU-GFCCVEGCSA-N -1 1 336.392 -0.309 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCCS(C)(=O)=O)o1 ZINC000728624250 582674845 /nfs/dbraw/zinc/67/48/45/582674845.db2.gz VFVAIEXWOAUDGK-UHFFFAOYSA-N -1 1 325.364 -0.221 20 0 EBADMM C[C@@H]([N-]S(=O)(=O)c1nc[nH]c1Br)C(=O)N(C)C ZINC000866926721 582677318 /nfs/dbraw/zinc/67/73/18/582677318.db2.gz HKRLZRYXSONEPU-RXMQYKEDSA-N -1 1 325.188 -0.073 20 0 EBADMM COCCN(CCC(=O)[O-])CC(=O)N1CCC[C@H](NC(C)=O)C1 ZINC000737794642 582684942 /nfs/dbraw/zinc/68/49/42/582684942.db2.gz QUFZCJGYTPNDAP-ZDUSSCGKSA-N -1 1 329.397 -0.463 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)O[C@@H](C)C(=O)NC(N)=O ZINC000729289135 582690268 /nfs/dbraw/zinc/69/02/68/582690268.db2.gz XNUQLVWZDXYQNF-QMMMGPOBSA-N -1 1 335.320 -0.279 20 0 EBADMM CN(CC1CC1)S(=O)(=O)[N-]c1ccn(CCN2CC[NH+]=C2[O-])n1 ZINC000901749808 582799099 /nfs/dbraw/zinc/79/90/99/582799099.db2.gz UTSFLTIQSKCHJN-UHFFFAOYSA-N -1 1 342.425 -0.093 20 0 EBADMM CN(C)CCN(C[C@@H]1CCCO1)C(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC000730547548 582829479 /nfs/dbraw/zinc/82/94/79/582829479.db2.gz VDZTXKYNJUTYLJ-LBPRGKRZSA-N -1 1 348.407 -0.360 20 0 EBADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OCCNS(C)(=O)=O ZINC000730603924 582830754 /nfs/dbraw/zinc/83/07/54/582830754.db2.gz KPQPNWXJUBSMEB-UHFFFAOYSA-N -1 1 342.420 -0.174 20 0 EBADMM O=C(C1CC1)N1CCC[C@H](C(=O)N2CCO[C@H](c3nn[n-]n3)C2)C1 ZINC000731853605 582841070 /nfs/dbraw/zinc/84/10/70/582841070.db2.gz MKYCMUZAMANUTB-RYUDHWBXSA-N -1 1 334.380 -0.252 20 0 EBADMM COc1cccc(C=C(C(=O)NCc2nn[n-]n2)n2nnnc2C)c1 ZINC000732157987 582845388 /nfs/dbraw/zinc/84/53/88/582845388.db2.gz OBMNYWXZNITVOO-KPKJPENVSA-N -1 1 341.335 -0.182 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1cccc(-n2cnnn2)c1 ZINC000733124800 582856004 /nfs/dbraw/zinc/85/60/04/582856004.db2.gz BXTLYCBUMVBLQK-UHFFFAOYSA-N -1 1 327.304 -0.459 20 0 EBADMM C[C@H]([C@@H](C)S(C)(=O)=O)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000733275124 582859043 /nfs/dbraw/zinc/85/90/43/582859043.db2.gz GFEIABDEHBRORP-NXEZZACHSA-N -1 1 331.394 -0.793 20 0 EBADMM CC(=O)N1CCN(S(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000735467643 582895759 /nfs/dbraw/zinc/89/57/59/582895759.db2.gz SNHCMYAUJCJCFU-UHFFFAOYSA-N -1 1 337.365 -0.886 20 0 EBADMM CC(=O)N1CCN(S(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)CC1 ZINC000735467643 582895760 /nfs/dbraw/zinc/89/57/60/582895760.db2.gz SNHCMYAUJCJCFU-UHFFFAOYSA-N -1 1 337.365 -0.886 20 0 EBADMM CC(C)NC(=O)CN(C)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735884032 582909234 /nfs/dbraw/zinc/90/92/34/582909234.db2.gz GETHNRASWNWMDA-UHFFFAOYSA-N -1 1 339.381 -0.593 20 0 EBADMM CC(C)NC(=O)CN(C)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735884032 582909236 /nfs/dbraw/zinc/90/92/36/582909236.db2.gz GETHNRASWNWMDA-UHFFFAOYSA-N -1 1 339.381 -0.593 20 0 EBADMM CC(C)CN1CCO[C@@H](CNC(=O)CN2CCO[C@@H](C(=O)[O-])C2)C1 ZINC000736443019 582934369 /nfs/dbraw/zinc/93/43/69/582934369.db2.gz KIEOQUDCPZIJNA-UONOGXRCSA-N -1 1 343.424 -0.755 20 0 EBADMM C[C@H](CN(C)CC(=O)Nc1ccc(S(N)(=O)=O)cc1)C(=O)[O-] ZINC000736572826 582943076 /nfs/dbraw/zinc/94/30/76/582943076.db2.gz SDKIFCZTOWCUNZ-SECBINFHSA-N -1 1 329.378 -0.075 20 0 EBADMM CCOC(=O)CN(C)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736597001 582945943 /nfs/dbraw/zinc/94/59/43/582945943.db2.gz XXEBXZGZGHCUJF-UHFFFAOYSA-N -1 1 326.338 -0.555 20 0 EBADMM CCOC(=O)CN(C)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736597001 582945945 /nfs/dbraw/zinc/94/59/45/582945945.db2.gz XXEBXZGZGHCUJF-UHFFFAOYSA-N -1 1 326.338 -0.555 20 0 EBADMM C[C@H](CNS(=O)(=O)N1CCC(C(=O)[O-])CC1)N1CCN(C)CC1 ZINC000736603888 582946428 /nfs/dbraw/zinc/94/64/28/582946428.db2.gz AUHYOXJWWBMRFW-GFCCVEGCSA-N -1 1 348.469 -0.747 20 0 EBADMM C[C@H](CNS(=O)(=O)c1ccc(C(=O)[O-])o1)N1CCN(C)CC1 ZINC000736607098 582946967 /nfs/dbraw/zinc/94/69/67/582946967.db2.gz PCDYAIWSAVXYAF-SNVBAGLBSA-N -1 1 331.394 -0.108 20 0 EBADMM CCOC(=O)c1cnn(C)c1NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000736605119 582947338 /nfs/dbraw/zinc/94/73/38/582947338.db2.gz CWDYFYIEPYNDLT-UHFFFAOYSA-N -1 1 346.311 -0.993 20 0 EBADMM CCn1cc(-c2nn[n-]n2)c(=O)n(CCOC[C@@H]2CCCO2)c1=O ZINC000736713644 582951226 /nfs/dbraw/zinc/95/12/26/582951226.db2.gz KCSCEOAZGAIGIB-JTQLQIEISA-N -1 1 336.352 -0.594 20 0 EBADMM CN(Cc1nccn1C)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736831480 582960189 /nfs/dbraw/zinc/96/01/89/582960189.db2.gz LBMPVRUNRVHUPV-UHFFFAOYSA-N -1 1 334.365 -0.184 20 0 EBADMM CN(CC(=O)N1CC[NH+](C)CC1)C(=O)c1ccc(-c2nn[nH]n2)cn1 ZINC000736855881 582963477 /nfs/dbraw/zinc/96/34/77/582963477.db2.gz GJBLIFHVGRFAKW-UHFFFAOYSA-N -1 1 344.379 -0.892 20 0 EBADMM COC(=O)C[C@H](NC(=O)c1ccc(-c2nnn[n-]2)nc1)C(=O)OC ZINC000736913247 582972121 /nfs/dbraw/zinc/97/21/21/582972121.db2.gz ZSJXDEOCRHBLGG-VIFPVBQESA-N -1 1 334.292 -0.904 20 0 EBADMM COC(=O)C[C@H](NC(=O)c1ccc(-c2nn[n-]n2)nc1)C(=O)OC ZINC000736913247 582972122 /nfs/dbraw/zinc/97/21/22/582972122.db2.gz ZSJXDEOCRHBLGG-VIFPVBQESA-N -1 1 334.292 -0.904 20 0 EBADMM COC(=O)CN(C(C)C)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736919609 582972509 /nfs/dbraw/zinc/97/25/09/582972509.db2.gz SXDIMQHPAYHXTP-UHFFFAOYSA-N -1 1 340.365 -0.166 20 0 EBADMM COC(=O)CN(C(C)C)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736919609 582972513 /nfs/dbraw/zinc/97/25/13/582972513.db2.gz SXDIMQHPAYHXTP-UHFFFAOYSA-N -1 1 340.365 -0.166 20 0 EBADMM COCCNC(=O)C1CCN(c2nccnc2-c2nnn[n-]2)CC1 ZINC000737007492 582983349 /nfs/dbraw/zinc/98/33/49/582983349.db2.gz SSBNYPXLNMHBLU-UHFFFAOYSA-N -1 1 332.368 -0.364 20 0 EBADMM COCCNC(=O)C1CCN(c2nccnc2-c2nn[n-]n2)CC1 ZINC000737007492 582983353 /nfs/dbraw/zinc/98/33/53/582983353.db2.gz SSBNYPXLNMHBLU-UHFFFAOYSA-N -1 1 332.368 -0.364 20 0 EBADMM CN(C)C(=O)CN1CCN(C(=O)CN2CCC(C(=O)[O-])CC2)CC1 ZINC000737350976 583005638 /nfs/dbraw/zinc/00/56/38/583005638.db2.gz ZLSVOVWXDDUBLN-UHFFFAOYSA-N -1 1 340.424 -0.985 20 0 EBADMM CN1CCN(C)[C@@H](CNC(=O)C(=O)Nc2cccc(C(=O)[O-])c2)C1 ZINC000737525954 583012179 /nfs/dbraw/zinc/01/21/79/583012179.db2.gz IQRQULPPHFNEIB-ZDUSSCGKSA-N -1 1 334.376 -0.315 20 0 EBADMM CN1CCN(C[C@H]2CN(C(=O)C(C)(C)NC(=O)[O-])CCO2)CC1 ZINC000737526843 583012197 /nfs/dbraw/zinc/01/21/97/583012197.db2.gz XNBFGCQYLFZBRB-LBPRGKRZSA-N -1 1 328.413 -0.493 20 0 EBADMM CN1CCN(C[C@@H]2CN(C(=O)NCCCCC(=O)[O-])CCO2)CC1 ZINC000737525952 583012438 /nfs/dbraw/zinc/01/24/38/583012438.db2.gz IOFIPXCUTMHZPP-CQSZACIVSA-N -1 1 342.440 -0.101 20 0 EBADMM CN1CCN(C[C@H]2CN(C(=O)CCCNC(=O)[O-])CCO2)CC1 ZINC000737526545 583012453 /nfs/dbraw/zinc/01/24/53/583012453.db2.gz SUABYKZMPCEMJQ-ZDUSSCGKSA-N -1 1 328.413 -0.491 20 0 EBADMM CN1CCN(c2cc(C(=O)N3CCO[C@H](C(=O)[O-])C3)ccn2)CC1 ZINC000737528768 583012805 /nfs/dbraw/zinc/01/28/05/583012805.db2.gz NWIJIJWOANAUCA-ZDUSSCGKSA-N -1 1 334.376 -0.241 20 0 EBADMM CN1CCN(c2cc(C(=O)N3CCO[C@@H](C(=O)[O-])C3)ccn2)CC1 ZINC000737528767 583012862 /nfs/dbraw/zinc/01/28/62/583012862.db2.gz NWIJIJWOANAUCA-CYBMUJFWSA-N -1 1 334.376 -0.241 20 0 EBADMM COC(=O)[C@H]1CN(CCCCN2CCO[C@@H](C(=O)[O-])C2)CCO1 ZINC000737586697 583017276 /nfs/dbraw/zinc/01/72/76/583017276.db2.gz DSOAXXCULJWRMG-CHWSQXEVSA-N -1 1 330.381 -0.574 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NCc2ccc(O)c(F)c2)n(C)c1=O ZINC000737597614 583018936 /nfs/dbraw/zinc/01/89/36/583018936.db2.gz SXBASSGZUBGUBY-UHFFFAOYSA-N -1 1 347.310 -0.279 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NC[C@H](O)C2CCCCC2)n(C)c1=O ZINC000737595099 583019064 /nfs/dbraw/zinc/01/90/64/583019064.db2.gz GGPGNWSALFVZGW-JTQLQIEISA-N -1 1 349.395 -0.383 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N[C@@H]2[C@@H]3CCO[C@H]3C2(C)C)n(C)c1=O ZINC000737597092 583019072 /nfs/dbraw/zinc/01/90/72/583019072.db2.gz PSPMCIDQEXSDLN-FXBDTBDDSA-N -1 1 347.379 -0.511 20 0 EBADMM COCCN(C(=O)CNC(C)(C)C(=O)[O-])[C@@H]1CCS(=O)(=O)C1 ZINC000737766230 583026752 /nfs/dbraw/zinc/02/67/52/583026752.db2.gz XGUURYMEXQJISN-SNVBAGLBSA-N -1 1 336.410 -0.899 20 0 EBADMM NS(=O)(=O)c1ccc(CCNc2cnc(-c3nnn[n-]3)cn2)cc1 ZINC000737764480 583026784 /nfs/dbraw/zinc/02/67/84/583026784.db2.gz HYNWDIZVUBXKSA-UHFFFAOYSA-N -1 1 346.376 -0.041 20 0 EBADMM NS(=O)(=O)c1ccc(CCNc2cnc(-c3nn[n-]n3)cn2)cc1 ZINC000737764480 583026787 /nfs/dbraw/zinc/02/67/87/583026787.db2.gz HYNWDIZVUBXKSA-UHFFFAOYSA-N -1 1 346.376 -0.041 20 0 EBADMM COc1ccc([C@H]2[C@H](C(=O)NN3CC(=O)[N-]C3=O)CC(=O)N2C)cc1 ZINC000743291462 583084568 /nfs/dbraw/zinc/08/45/68/583084568.db2.gz SFINQQRCXAPKBX-RISCZKNCSA-N -1 1 346.343 -0.202 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NN=c2nc(OC)cc[n-]2)c[nH]1 ZINC000743253195 583084670 /nfs/dbraw/zinc/08/46/70/583084670.db2.gz KTOKIJVOIJRKEI-UHFFFAOYSA-N -1 1 327.322 -0.095 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@H]2Cc3ccccc3C(=O)O2)n1 ZINC000747342002 583112209 /nfs/dbraw/zinc/11/22/09/583112209.db2.gz JLIYXAQICYFOIK-SECBINFHSA-N -1 1 336.329 -0.072 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)[C@H]2Cc3ccccc3C(=O)O2)[n-]1 ZINC000747342002 583112212 /nfs/dbraw/zinc/11/22/12/583112212.db2.gz JLIYXAQICYFOIK-SECBINFHSA-N -1 1 336.329 -0.072 20 0 EBADMM COc1cc[n-]c(=NNS(=O)(=O)c2ccc(-n3cnnn3)cc2)n1 ZINC000747406805 583113262 /nfs/dbraw/zinc/11/32/62/583113262.db2.gz JYKVGXJCIOOXQP-UHFFFAOYSA-N -1 1 348.348 -0.234 20 0 EBADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@H](C(N)=O)C(C)(C)C ZINC000751496495 583145849 /nfs/dbraw/zinc/14/58/49/583145849.db2.gz QJWUATOOGVSCDA-MRVPVSSYSA-N -1 1 332.382 -0.317 20 0 EBADMM CO[C@@H]1CC[C@@H]2OCCN(C(=O)CCn3cc[n-]c(=O)c3=O)[C@@H]2C1 ZINC000754881177 583171614 /nfs/dbraw/zinc/17/16/14/583171614.db2.gz USIBVHDPUXLLQP-UPJWGTAASA-N -1 1 337.376 -0.278 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NC2(C(=O)NC)CCCC2)co1 ZINC000755838791 583177625 /nfs/dbraw/zinc/17/76/25/583177625.db2.gz RGOBOUSPEJUHLQ-UHFFFAOYSA-N -1 1 329.378 -0.024 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCNc2ccccc2)c(=O)n(C)c1=O ZINC000759098303 583210254 /nfs/dbraw/zinc/21/02/54/583210254.db2.gz AOWISPMFVZJLGS-UHFFFAOYSA-N -1 1 338.389 -0.526 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CCN(C(=O)C2CCCC2)C1 ZINC000762246639 583245630 /nfs/dbraw/zinc/24/56/30/583245630.db2.gz ATBSAVCWBVVYOR-ZDUSSCGKSA-N -1 1 348.403 -0.166 20 0 EBADMM CC(=O)N1C[C@H](C(=O)OCc2nc(=O)n(C)[n-]2)Oc2ccccc21 ZINC000765409425 583281171 /nfs/dbraw/zinc/28/11/71/583281171.db2.gz QYMPROVZCZQPAL-GFCCVEGCSA-N -1 1 332.316 -0.034 20 0 EBADMM Cn1[n-]c(COC(=O)CNC(=O)c2ccc(F)cc2F)nc1=O ZINC000765413544 583281738 /nfs/dbraw/zinc/28/17/38/583281738.db2.gz JTLKVYRJQROHFB-UHFFFAOYSA-N -1 1 326.259 -0.140 20 0 EBADMM CCOC(=O)[C@@](C)(O)C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000767850220 583310145 /nfs/dbraw/zinc/31/01/45/583310145.db2.gz RQAMYHGTFRSJSA-JTQLQIEISA-N -1 1 325.774 -0.334 20 0 EBADMM Cn1c(=O)c2ccc(C(=O)NC[C@](C)(O)C(=O)[O-])cc2[nH]c1=S ZINC000769746021 583327184 /nfs/dbraw/zinc/32/71/84/583327184.db2.gz WNQVGQVKQDVTCP-AWEZNQCLSA-N -1 1 337.357 -0.212 20 0 EBADMM CNS(=O)(=O)c1ccc(C)c(NC(=O)NN2CC(=O)[N-]C2=O)c1 ZINC000770445300 583336882 /nfs/dbraw/zinc/33/68/82/583336882.db2.gz FCBHIEWXBPZPRW-UHFFFAOYSA-N -1 1 341.349 -0.509 20 0 EBADMM CCc1n[nH]c(=O)c(CNC(=O)CCn2cc[n-]c(=O)c2=O)c1CC ZINC000771654567 583349994 /nfs/dbraw/zinc/34/99/94/583349994.db2.gz MJMNQYMCBOEPDT-UHFFFAOYSA-N -1 1 347.375 -0.137 20 0 EBADMM CC(C)OC[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)CCO1 ZINC000771677778 583350383 /nfs/dbraw/zinc/35/03/83/583350383.db2.gz RAZDUACGLZIQSO-LBPRGKRZSA-N -1 1 325.365 -0.421 20 0 EBADMM CCCCCCn1cc(S(=O)(=O)[N-][C@@H](C)C(N)=O)c(=O)[nH]c1=O ZINC000772640387 583363412 /nfs/dbraw/zinc/36/34/12/583363412.db2.gz WALDNYICLHJWLT-VIFPVBQESA-N -1 1 346.409 -0.319 20 0 EBADMM C[C@@H](O)[C@H](NC(=O)C1CC1)C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774961221 583392909 /nfs/dbraw/zinc/39/29/09/583392909.db2.gz HZLZOWWBNQDGMO-APPZFPTMSA-N -1 1 340.298 -0.126 20 0 EBADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)CCN2CCOCC2)c1 ZINC000777446253 583413490 /nfs/dbraw/zinc/41/34/90/583413490.db2.gz ZRJYHWFAWFDGRW-UHFFFAOYSA-N -1 1 329.378 -0.300 20 0 EBADMM O=C(COC(=O)c1cn[n-]n1)N1CCN(C(=O)c2ccco2)CC1 ZINC000805606107 583421110 /nfs/dbraw/zinc/42/11/10/583421110.db2.gz SNDWBMKXQJXGFI-UHFFFAOYSA-N -1 1 333.304 -0.461 20 0 EBADMM COc1cccc(C[C@@H](O)C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c1 ZINC000785410761 583497223 /nfs/dbraw/zinc/49/72/23/583497223.db2.gz GGCWOXNDDLRIPI-CHWSQXEVSA-N -1 1 333.348 -0.288 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@H]2NC(=O)O[C@@H]2C)cc1 ZINC000786075680 583505490 /nfs/dbraw/zinc/50/54/90/583505490.db2.gz ZNQFKZGMLPTVFV-PELKAZGASA-N -1 1 336.300 -0.008 20 0 EBADMM CNC(=O)[C@H](O)C[N-]S(=O)(=O)c1c(Cl)ccnc1Cl ZINC000786902728 583514470 /nfs/dbraw/zinc/51/44/70/583514470.db2.gz YWNJOHKENLDVSR-ZCFIWIBFSA-N -1 1 328.177 -0.226 20 0 EBADMM Cn1ccc(NC(=O)C[N-]S(=O)(=O)c2ncn(C)c2Cl)n1 ZINC000787913118 583524593 /nfs/dbraw/zinc/52/45/93/583524593.db2.gz SVTNDOCZMIKPSO-UHFFFAOYSA-N -1 1 332.773 -0.276 20 0 EBADMM Cc1cccc(=O)n1C[C@](C)(O)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000792147413 583557052 /nfs/dbraw/zinc/55/70/52/583557052.db2.gz CAUNPEAWYLGBPJ-NHYWBVRUSA-N -1 1 348.363 -0.979 20 0 EBADMM Cc1cccc(=O)n1C[C@@](C)(O)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000792147412 583557074 /nfs/dbraw/zinc/55/70/74/583557074.db2.gz CAUNPEAWYLGBPJ-IAQYHMDHSA-N -1 1 348.363 -0.979 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H](CC(=O)OC)C(=O)OC)o1 ZINC000796923865 583597707 /nfs/dbraw/zinc/59/77/07/583597707.db2.gz BQLZHJWTXKGSDY-MRVPVSSYSA-N -1 1 349.317 -0.551 20 0 EBADMM COC(=O)[C@H]1CCC[C@@H](C(=O)N2CCC(O)(c3nn[n-]n3)CC2)C1 ZINC000798269993 583603675 /nfs/dbraw/zinc/60/36/75/583603675.db2.gz LFUDYWVMAJTFQL-MNOVXSKESA-N -1 1 337.380 -0.011 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@H](CO)[C@H](O)C1 ZINC000798999528 583609387 /nfs/dbraw/zinc/60/93/87/583609387.db2.gz NRVFJZRAMFISRB-DGCLKSJQSA-N -1 1 334.376 -0.204 20 0 EBADMM COCCO[C@@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000801106177 583618055 /nfs/dbraw/zinc/61/80/55/583618055.db2.gz ZVOIXTISQDZABN-GFCCVEGCSA-N -1 1 325.365 -0.419 20 0 EBADMM Cn1nccc1C1=CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000804200128 583643144 /nfs/dbraw/zinc/64/31/44/583643144.db2.gz WYCAQXXTCNTULG-UHFFFAOYSA-N -1 1 329.360 -0.024 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NC[C@@](C)(O)c3ccco3)ccnc1-2 ZINC000806915502 583655843 /nfs/dbraw/zinc/65/58/43/583655843.db2.gz BZDLCCVRSYXYMB-MRXNPFEDSA-N -1 1 343.343 -0.103 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N[C@H]3CCCC[C@@H]3C(N)=O)ccnc1-2 ZINC000807017124 583656670 /nfs/dbraw/zinc/65/66/70/583656670.db2.gz FFTKDOJENOXJQP-ONGXEEELSA-N -1 1 344.375 -0.559 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3C[C@@H](O)CC(F)(F)C3)ccnc1-2 ZINC000807030389 583657285 /nfs/dbraw/zinc/65/72/85/583657285.db2.gz LDGMNBYSAOIROZ-QMMMGPOBSA-N -1 1 339.302 -0.491 20 0 EBADMM CC(=O)N1C[C@@H]2CCC[C@H](NC(=O)CCn3cc[n-]c(=O)c3=O)[C@@H]2C1 ZINC000809196932 583675600 /nfs/dbraw/zinc/67/56/00/583675600.db2.gz ZWKUFUJVSTVTPP-MJBXVCDLSA-N -1 1 348.403 -0.310 20 0 EBADMM O=C([N-]OC/C=C/Cl)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000812399379 583695550 /nfs/dbraw/zinc/69/55/50/583695550.db2.gz NRDJRTSGSLIUMC-XTYQLPPYSA-N -1 1 336.797 -0.178 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCOCCNC(C)=O)co1 ZINC000813944251 583702164 /nfs/dbraw/zinc/70/21/64/583702164.db2.gz PUWOGPWUDPHVSQ-UHFFFAOYSA-N -1 1 334.350 -0.503 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1nn(CC)nc1C ZINC000819888264 583762583 /nfs/dbraw/zinc/76/25/83/583762583.db2.gz TUFFTCKYRONXMG-UHFFFAOYSA-N -1 1 342.381 -0.015 20 0 EBADMM C[C@@H](CCS(C)(=O)=O)NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820248823 583769257 /nfs/dbraw/zinc/76/92/57/583769257.db2.gz OBXKPSMYNMGBFK-ZETCQYMHSA-N -1 1 342.385 -0.455 20 0 EBADMM C[C@@H](CCS(C)(=O)=O)NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820248823 583769258 /nfs/dbraw/zinc/76/92/58/583769258.db2.gz OBXKPSMYNMGBFK-ZETCQYMHSA-N -1 1 342.385 -0.455 20 0 EBADMM C[C@H](CCc1cccn1C)NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000820254553 583769559 /nfs/dbraw/zinc/76/95/59/583769559.db2.gz REGKRSDVVBNEOA-SNVBAGLBSA-N -1 1 329.368 -0.066 20 0 EBADMM C[C@H](Cc1ccncc1)Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000820301434 583771139 /nfs/dbraw/zinc/77/11/39/583771139.db2.gz YMJXDDDMTSLABX-SECBINFHSA-N -1 1 342.363 -0.298 20 0 EBADMM C[C@H](Cc1cccc(O)c1)NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000820300636 583771244 /nfs/dbraw/zinc/77/12/44/583771244.db2.gz SJEKSEJRNOGLSH-SECBINFHSA-N -1 1 328.336 -0.089 20 0 EBADMM C[C@@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)[C@H](O)c1ccc(F)cc1 ZINC000820334731 583771835 /nfs/dbraw/zinc/77/18/35/583771835.db2.gz PGFNGVNKTKZXAP-PELKAZGASA-N -1 1 346.326 -0.164 20 0 EBADMM C[C@]12CCC(=O)N1[C@H](C(=O)Nc1n[nH]cc1-c1nnn[n-]1)CS2 ZINC000820634270 583776411 /nfs/dbraw/zinc/77/64/11/583776411.db2.gz GGBASENVNBUAHF-MADCSZMMSA-N -1 1 334.365 -0.018 20 0 EBADMM C[C@]12CCC(=O)N1[C@H](C(=O)Nc1n[nH]cc1-c1nn[n-]n1)CS2 ZINC000820634270 583776412 /nfs/dbraw/zinc/77/64/12/583776412.db2.gz GGBASENVNBUAHF-MADCSZMMSA-N -1 1 334.365 -0.018 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@H]2CCC[N@@H+]2CC(=O)[O-])o1 ZINC000820645213 583776857 /nfs/dbraw/zinc/77/68/57/583776857.db2.gz BCWYZQYOVPDQBL-VIFPVBQESA-N -1 1 346.361 -0.107 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@@H]2CCC[N@H+]2CC(=O)[O-])o1 ZINC000820645213 583776859 /nfs/dbraw/zinc/77/68/59/583776859.db2.gz BCWYZQYOVPDQBL-VIFPVBQESA-N -1 1 346.361 -0.107 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)N(CCN(C)C)CC(=O)[O-])cn1 ZINC000820685174 583777764 /nfs/dbraw/zinc/77/77/64/583777764.db2.gz MCQJEPLSJYFLMR-UHFFFAOYSA-N -1 1 345.377 -0.495 20 0 EBADMM C[C@H]1CN(c2nccnc2-c2nnn[n-]2)CCN1CC(=O)NC1CC1 ZINC000820789105 583779987 /nfs/dbraw/zinc/77/99/87/583779987.db2.gz VPUFLHDAFXOAON-JTQLQIEISA-N -1 1 343.395 -0.554 20 0 EBADMM C[C@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1CC(=O)NC1CC1 ZINC000820789105 583779990 /nfs/dbraw/zinc/77/99/90/583779990.db2.gz VPUFLHDAFXOAON-JTQLQIEISA-N -1 1 343.395 -0.554 20 0 EBADMM CC[C@H](Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C)C1CCOCC1 ZINC000820926194 583783050 /nfs/dbraw/zinc/78/30/50/583783050.db2.gz QMOLXHOOYLYAIJ-JTQLQIEISA-N -1 1 349.395 -0.119 20 0 EBADMM CC[C@@H](Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C)C1CCOCC1 ZINC000820926195 583783069 /nfs/dbraw/zinc/78/30/69/583783069.db2.gz QMOLXHOOYLYAIJ-SNVBAGLBSA-N -1 1 349.395 -0.119 20 0 EBADMM CCCCC[C@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)C(=O)OC ZINC000821049236 583784166 /nfs/dbraw/zinc/78/41/66/583784166.db2.gz JHWWVJSLSDTSEL-VIFPVBQESA-N -1 1 336.356 -0.304 20 0 EBADMM CCCNC(=O)[C@H]1CCCN(C(=O)Cn2cnc(-c3nn[n-]n3)n2)C1 ZINC000821114510 583785174 /nfs/dbraw/zinc/78/51/74/583785174.db2.gz VLMUGJHWCFPLCT-JTQLQIEISA-N -1 1 347.383 -0.777 20 0 EBADMM CCN(C)C(=O)CN1CCN(c2nccnc2-c2nnn[n-]2)C[C@@H]1C ZINC000821160738 583786277 /nfs/dbraw/zinc/78/62/77/583786277.db2.gz PIYUUBDOYRWKGC-NSHDSACASA-N -1 1 345.411 -0.355 20 0 EBADMM CCN(C)C(=O)CN1CCN(c2nccnc2-c2nn[n-]n2)C[C@@H]1C ZINC000821160738 583786280 /nfs/dbraw/zinc/78/62/80/583786280.db2.gz PIYUUBDOYRWKGC-NSHDSACASA-N -1 1 345.411 -0.355 20 0 EBADMM CCOC[C@@H]1CCN(c2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)C1 ZINC000821368651 583790090 /nfs/dbraw/zinc/79/00/90/583790090.db2.gz UPFMQSJPOYSIFK-SECBINFHSA-N -1 1 335.368 -0.873 20 0 EBADMM CCS(=O)(=O)CCNC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000821441842 583791475 /nfs/dbraw/zinc/79/14/75/583791475.db2.gz PROMXLQOJUZCDF-UHFFFAOYSA-N -1 1 328.358 -0.844 20 0 EBADMM CCS(=O)(=O)CCNC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000821441842 583791476 /nfs/dbraw/zinc/79/14/76/583791476.db2.gz PROMXLQOJUZCDF-UHFFFAOYSA-N -1 1 328.358 -0.844 20 0 EBADMM COC(=O)[C@@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)C1CCCCC1 ZINC000821640394 583798531 /nfs/dbraw/zinc/79/85/31/583798531.db2.gz HQPAOTYIDGIRFI-NSHDSACASA-N -1 1 348.367 -0.304 20 0 EBADMM COC(=O)C1CCC(NC(=O)Cn2cnc(-c3nn[n-]n3)n2)CC1 ZINC000821655011 583799157 /nfs/dbraw/zinc/79/91/57/583799157.db2.gz CEDRXQRIKADXGG-UHFFFAOYSA-N -1 1 334.340 -0.694 20 0 EBADMM COC(=O)CCCCCCNC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821660215 583799676 /nfs/dbraw/zinc/79/96/76/583799676.db2.gz JOWJJGRZGPXMNT-UHFFFAOYSA-N -1 1 336.356 -0.302 20 0 EBADMM CO[C@H](C)C(=O)N1CCN(c2nccnc2-c2nnn[n-]2)C[C@H]1C ZINC000821674028 583800351 /nfs/dbraw/zinc/80/03/51/583800351.db2.gz RPRUXMTWIQUCCU-NXEZZACHSA-N -1 1 332.368 -0.271 20 0 EBADMM CO[C@H](C)C(=O)N1CCN(c2nccnc2-c2nn[n-]n2)C[C@H]1C ZINC000821674028 583800352 /nfs/dbraw/zinc/80/03/52/583800352.db2.gz RPRUXMTWIQUCCU-NXEZZACHSA-N -1 1 332.368 -0.271 20 0 EBADMM CO[C@H](C)C(=O)N1CCN(c2nccnc2-c2nnn[n-]2)C[C@@H]1C ZINC000821674030 583800394 /nfs/dbraw/zinc/80/03/94/583800394.db2.gz RPRUXMTWIQUCCU-VHSXEESVSA-N -1 1 332.368 -0.271 20 0 EBADMM CO[C@H](C)C(=O)N1CCN(c2nccnc2-c2nn[n-]n2)C[C@@H]1C ZINC000821674030 583800395 /nfs/dbraw/zinc/80/03/95/583800395.db2.gz RPRUXMTWIQUCCU-VHSXEESVSA-N -1 1 332.368 -0.271 20 0 EBADMM COC(=O)c1cc(-c2nn[n-]n2)c(=O)n(CCC2OCCCO2)c1 ZINC000821667151 583800541 /nfs/dbraw/zinc/80/05/41/583800541.db2.gz XDMOFBKBATYDTN-UHFFFAOYSA-N -1 1 335.320 -0.032 20 0 EBADMM Cc1nc2n(n1)CCN(S(=O)(=O)c1ccc(-c3nnn[n-]3)nc1)C2 ZINC000822463578 583811309 /nfs/dbraw/zinc/81/13/09/583811309.db2.gz TZVFVMKGKGFULD-UHFFFAOYSA-N -1 1 347.364 -0.634 20 0 EBADMM Cc1nc2n(n1)CCN(S(=O)(=O)c1ccc(-c3nn[n-]n3)nc1)C2 ZINC000822463578 583811310 /nfs/dbraw/zinc/81/13/10/583811310.db2.gz TZVFVMKGKGFULD-UHFFFAOYSA-N -1 1 347.364 -0.634 20 0 EBADMM Cc1oc(NC(=O)[C@@H](C)N2C[C@@H](O)[C@@H](O)C2)c(-c2nn[n-]n2)c1C ZINC000822541654 583812140 /nfs/dbraw/zinc/81/21/40/583812140.db2.gz PEFMADNEFBDXMN-QNSHHTMESA-N -1 1 336.352 -0.559 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NCC2(CCO)CCCC2)n(C)c1=O ZINC000822599807 583813491 /nfs/dbraw/zinc/81/34/91/583813491.db2.gz HNVCMBGVRWDMAN-UHFFFAOYSA-N -1 1 349.395 -0.381 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NC[C@@]2(C)CCCC[C@H]2O)n(C)c1=O ZINC000822601382 583813834 /nfs/dbraw/zinc/81/38/34/583813834.db2.gz YJSTZCWDQCLXIF-RFAUZJTJSA-N -1 1 349.395 -0.383 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N[C@H]2CCC(F)(F)C2)n(C)c1=O ZINC000822601375 583813856 /nfs/dbraw/zinc/81/38/56/583813856.db2.gz YJFXBTWEKCUPIR-LURJTMIESA-N -1 1 327.295 -0.136 20 0 EBADMM Cn1cc([C@@H](O)CNC(=O)Nc2nn(C)cc2-c2nnn[n-]2)cn1 ZINC000822669810 583815947 /nfs/dbraw/zinc/81/59/47/583815947.db2.gz QNZGJAVNPBWMJU-VIFPVBQESA-N -1 1 332.328 -0.811 20 0 EBADMM Cn1cc([C@@H](O)CNC(=O)Nc2nn(C)cc2-c2nn[n-]n2)cn1 ZINC000822669810 583815948 /nfs/dbraw/zinc/81/59/48/583815948.db2.gz QNZGJAVNPBWMJU-VIFPVBQESA-N -1 1 332.328 -0.811 20 0 EBADMM NS(=O)(=O)[C@@H]1CC[N@H+](CCOc2ccc(-c3nn[nH]n3)cc2)C1 ZINC000822895186 583820835 /nfs/dbraw/zinc/82/08/35/583820835.db2.gz NBZWWFONZYUTNH-GFCCVEGCSA-N -1 1 338.393 -0.392 20 0 EBADMM NS(=O)(=O)[C@@H]1CC[N@@H+](CCOc2ccc(-c3nn[nH]n3)cc2)C1 ZINC000822895186 583820838 /nfs/dbraw/zinc/82/08/38/583820838.db2.gz NBZWWFONZYUTNH-GFCCVEGCSA-N -1 1 338.393 -0.392 20 0 EBADMM O=C(CCCN1C(=O)CNC1=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000822954723 583822008 /nfs/dbraw/zinc/82/20/08/583822008.db2.gz AHHSSMFLTDIBGR-UHFFFAOYSA-N -1 1 345.319 -0.363 20 0 EBADMM O=C(CCCN1C(=O)CNC1=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000822954723 583822009 /nfs/dbraw/zinc/82/20/09/583822009.db2.gz AHHSSMFLTDIBGR-UHFFFAOYSA-N -1 1 345.319 -0.363 20 0 EBADMM O=C(CN1C(=O)c2ccccc2C1=O)Nc1n[nH]cc1-c1nnn[n-]1 ZINC000822993407 583822154 /nfs/dbraw/zinc/82/21/54/583822154.db2.gz SMLRRZOJPMEMHS-UHFFFAOYSA-N -1 1 338.287 -0.176 20 0 EBADMM O=C(CN1C(=O)c2ccccc2C1=O)Nc1n[nH]cc1-c1nn[n-]n1 ZINC000822993407 583822155 /nfs/dbraw/zinc/82/21/55/583822155.db2.gz SMLRRZOJPMEMHS-UHFFFAOYSA-N -1 1 338.287 -0.176 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NC[C@H](O)c1ccc(F)cc1 ZINC000823127091 583825268 /nfs/dbraw/zinc/82/52/68/583825268.db2.gz SLNQKAPRWYQECJ-JTQLQIEISA-N -1 1 332.299 -0.553 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NC[C@H](O)c1ccc(Cl)cc1 ZINC000823127278 583825291 /nfs/dbraw/zinc/82/52/91/583825291.db2.gz YSEHWCCXUQWLPT-JTQLQIEISA-N -1 1 348.754 -0.039 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCCOc1ccc(Cl)cn1 ZINC000823131934 583825341 /nfs/dbraw/zinc/82/53/41/583825341.db2.gz RHSBDNXSPYTYCF-UHFFFAOYSA-N -1 1 349.742 -0.298 20 0 EBADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCN([C@@H]2CCOC2)CC1 ZINC000823478831 583829929 /nfs/dbraw/zinc/82/99/29/583829929.db2.gz UQYAOANQOSYXDE-GFCCVEGCSA-N -1 1 329.364 -0.192 20 0 EBADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCN([C@@H]2CCOC2)CC1 ZINC000823478831 583829931 /nfs/dbraw/zinc/82/99/31/583829931.db2.gz UQYAOANQOSYXDE-GFCCVEGCSA-N -1 1 329.364 -0.192 20 0 EBADMM O=C(c1ccc(-c2nnn[n-]2)s1)N(C1CC1)[C@@H]1CC(=O)NC1=O ZINC000823519190 583830877 /nfs/dbraw/zinc/83/08/77/583830877.db2.gz DIUZARJXXAYTJW-SSDOTTSWSA-N -1 1 332.345 -0.052 20 0 EBADMM O=C(c1ccc(-c2nn[n-]n2)s1)N(C1CC1)[C@@H]1CC(=O)NC1=O ZINC000823519190 583830878 /nfs/dbraw/zinc/83/08/78/583830878.db2.gz DIUZARJXXAYTJW-SSDOTTSWSA-N -1 1 332.345 -0.052 20 0 EBADMM O=C1CN(S(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)CCN1C1CC1 ZINC000823536143 583831547 /nfs/dbraw/zinc/83/15/47/583831547.db2.gz TYBCKTUQGUGMPC-UHFFFAOYSA-N -1 1 349.376 -0.743 20 0 EBADMM O=C1CN(S(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)CCN1C1CC1 ZINC000823536143 583831549 /nfs/dbraw/zinc/83/15/49/583831549.db2.gz TYBCKTUQGUGMPC-UHFFFAOYSA-N -1 1 349.376 -0.743 20 0 EBADMM O=S(=O)(NCCNc1nccnc1-c1nnn[n-]1)c1cccnc1 ZINC000823578935 583834343 /nfs/dbraw/zinc/83/43/43/583834343.db2.gz WVQMJUGRTIZQAV-UHFFFAOYSA-N -1 1 347.364 -0.558 20 0 EBADMM O=S(=O)(NCCNc1nccnc1-c1nn[n-]n1)c1cccnc1 ZINC000823578935 583834345 /nfs/dbraw/zinc/83/43/45/583834345.db2.gz WVQMJUGRTIZQAV-UHFFFAOYSA-N -1 1 347.364 -0.558 20 0 EBADMM C[C@@H](C(=O)N1CCC(C(N)=O)CC1)N1CC[C@H](N(C)C(=O)[O-])C1 ZINC000823664499 583835318 /nfs/dbraw/zinc/83/53/18/583835318.db2.gz XNGQQUTXMDGIHV-JQWIXIFHSA-N -1 1 326.397 -0.217 20 0 EBADMM C[C@@H](C(N)=O)N(C)S(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000824058894 583840929 /nfs/dbraw/zinc/84/09/29/583840929.db2.gz HRPKNELMSHSRPG-LURJTMIESA-N -1 1 328.329 -0.500 20 0 EBADMM CC(C)[C@H](CCO)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000824191873 583842176 /nfs/dbraw/zinc/84/21/76/583842176.db2.gz HILBKKIUUZSVGZ-JTQLQIEISA-N -1 1 326.382 -0.053 20 0 EBADMM CC(C)[C@H](CCO)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000824191873 583842179 /nfs/dbraw/zinc/84/21/79/583842179.db2.gz HILBKKIUUZSVGZ-JTQLQIEISA-N -1 1 326.382 -0.053 20 0 EBADMM CC(C)[C@@H](O)C1(CNc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)CC1 ZINC000824198372 583842383 /nfs/dbraw/zinc/84/23/83/583842383.db2.gz BRIRAWNWFUTASC-SNVBAGLBSA-N -1 1 349.395 -0.527 20 0 EBADMM CC(C)CN1CCO[C@@H](CNC(=O)COC2CN(C(=O)[O-])C2)C1 ZINC000824257065 583842891 /nfs/dbraw/zinc/84/28/91/583842891.db2.gz KWDHMEWYTFPLHA-LBPRGKRZSA-N -1 1 329.397 -0.162 20 0 EBADMM C[C@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)[C@@H](O)Cc1ccccc1 ZINC000824491630 583846716 /nfs/dbraw/zinc/84/67/16/583846716.db2.gz WAWRMMWPMHIYAQ-JQWIXIFHSA-N -1 1 342.363 -0.434 20 0 EBADMM C[C@H](NC(=O)Cn1ccnc1-c1nnn[n-]1)C(=O)N1CCCCC1 ZINC000824478451 583846755 /nfs/dbraw/zinc/84/67/55/583846755.db2.gz GWPCEXVZLOEEIL-JTQLQIEISA-N -1 1 332.368 -0.420 20 0 EBADMM C[C@H](NC(=O)Cn1ccnc1-c1nn[n-]n1)C(=O)N1CCCCC1 ZINC000824478451 583846757 /nfs/dbraw/zinc/84/67/57/583846757.db2.gz GWPCEXVZLOEEIL-JTQLQIEISA-N -1 1 332.368 -0.420 20 0 EBADMM C[C@@H](CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)C[C@H](C)O ZINC000824691306 583848951 /nfs/dbraw/zinc/84/89/51/583848951.db2.gz ZNUFZLYRIYJDHP-BDAKNGLRSA-N -1 1 326.382 -0.053 20 0 EBADMM C[C@@H](CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)C[C@H](C)O ZINC000824691306 583848952 /nfs/dbraw/zinc/84/89/52/583848952.db2.gz ZNUFZLYRIYJDHP-BDAKNGLRSA-N -1 1 326.382 -0.053 20 0 EBADMM C[C@H](O)C[C@H]1CCCN1c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000824698659 583848966 /nfs/dbraw/zinc/84/89/66/583848966.db2.gz MURYGNMSQVEJFY-DTWKUNHWSA-N -1 1 335.368 -0.996 20 0 EBADMM CC1(C)NC(=O)N(CCCn2cccc(-c3nn[n-]n3)c2=O)C1=O ZINC000824812291 583850381 /nfs/dbraw/zinc/85/03/81/583850381.db2.gz MQJKEEHHIDVMMY-UHFFFAOYSA-N -1 1 331.336 -0.251 20 0 EBADMM C[C@H]1CC(Nc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)C[C@H](C)O1 ZINC000824891901 583851920 /nfs/dbraw/zinc/85/19/20/583851920.db2.gz CBVQDPMWCPHIGT-YUMQZZPRSA-N -1 1 335.368 -0.368 20 0 EBADMM CC[C@@H](C)[C@@H](O)CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000825059650 583854648 /nfs/dbraw/zinc/85/46/48/583854648.db2.gz IGJSXLCFSYMZQJ-KCJUWKMLSA-N -1 1 326.382 -0.053 20 0 EBADMM CC[C@@H](C)[C@@H](O)CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000825059650 583854649 /nfs/dbraw/zinc/85/46/49/583854649.db2.gz IGJSXLCFSYMZQJ-KCJUWKMLSA-N -1 1 326.382 -0.053 20 0 EBADMM CCN(CC)C(=O)CN1CCN(c2ccc(-c3nnn[n-]3)nn2)CC1 ZINC000825406102 583860383 /nfs/dbraw/zinc/86/03/83/583860383.db2.gz JQSIRPOCLIQKBD-UHFFFAOYSA-N -1 1 345.411 -0.353 20 0 EBADMM CCN(CC)C(=O)CN1CCN(c2ccc(-c3nn[n-]n3)nn2)CC1 ZINC000825406102 583860385 /nfs/dbraw/zinc/86/03/85/583860385.db2.gz JQSIRPOCLIQKBD-UHFFFAOYSA-N -1 1 345.411 -0.353 20 0 EBADMM CCNC(=O)N1CCN(C(=O)Cc2noc(C)c2-c2nnn[n-]2)CC1 ZINC000825471383 583861386 /nfs/dbraw/zinc/86/13/86/583861386.db2.gz SYRWZVNAKYVYGI-UHFFFAOYSA-N -1 1 348.367 -0.421 20 0 EBADMM CCNC(=O)N1CCN(C(=O)Cc2noc(C)c2-c2nn[n-]n2)CC1 ZINC000825471383 583861387 /nfs/dbraw/zinc/86/13/87/583861387.db2.gz SYRWZVNAKYVYGI-UHFFFAOYSA-N -1 1 348.367 -0.421 20 0 EBADMM C[C@@H](O)CN1CCN(C(=O)CN2CCC(NC(=O)[O-])CC2)C[C@H]1C ZINC000825528699 583862894 /nfs/dbraw/zinc/86/28/94/583862894.db2.gz RQGCYSZPAYFJHM-CHWSQXEVSA-N -1 1 342.440 -0.368 20 0 EBADMM CCS(=O)(=O)CCN(C)C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000825659615 583865219 /nfs/dbraw/zinc/86/52/19/583865219.db2.gz WWGJRKNYXXAFSS-UHFFFAOYSA-N -1 1 342.385 -0.501 20 0 EBADMM CCS(=O)(=O)CCN(C)C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000825659615 583865220 /nfs/dbraw/zinc/86/52/20/583865220.db2.gz WWGJRKNYXXAFSS-UHFFFAOYSA-N -1 1 342.385 -0.501 20 0 EBADMM CCS(=O)(=O)C[C@H](C)NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825658171 583865235 /nfs/dbraw/zinc/86/52/35/583865235.db2.gz BFBBRTYPRQFLCN-ZETCQYMHSA-N -1 1 342.381 -0.355 20 0 EBADMM CCS(=O)(=O)C[C@H](C)NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825658171 583865237 /nfs/dbraw/zinc/86/52/37/583865237.db2.gz BFBBRTYPRQFLCN-ZETCQYMHSA-N -1 1 342.381 -0.355 20 0 EBADMM CN(C)C(=O)CNS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000825838591 583867844 /nfs/dbraw/zinc/86/78/44/583867844.db2.gz AKAFMBYATJDSQN-UHFFFAOYSA-N -1 1 328.329 -0.628 20 0 EBADMM CN(C)C(=O)CNS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000825838591 583867846 /nfs/dbraw/zinc/86/78/46/583867846.db2.gz AKAFMBYATJDSQN-UHFFFAOYSA-N -1 1 328.329 -0.628 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nnn[n-]1)C(=O)[C@H]1CCCOC1 ZINC000825923063 583870928 /nfs/dbraw/zinc/87/09/28/583870928.db2.gz AMLGMMBZFRBCQT-VIFPVBQESA-N -1 1 334.384 -0.010 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nn[n-]n1)C(=O)[C@H]1CCCOC1 ZINC000825923063 583870930 /nfs/dbraw/zinc/87/09/30/583870930.db2.gz AMLGMMBZFRBCQT-VIFPVBQESA-N -1 1 334.384 -0.010 20 0 EBADMM CN(CCN1CCCC1=O)C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000825937943 583871411 /nfs/dbraw/zinc/87/14/11/583871411.db2.gz KKQUOYOGUGQYLQ-UHFFFAOYSA-N -1 1 333.356 -0.314 20 0 EBADMM CN(CCN1CCCC1=O)C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000825937943 583871414 /nfs/dbraw/zinc/87/14/14/583871414.db2.gz KKQUOYOGUGQYLQ-UHFFFAOYSA-N -1 1 333.356 -0.314 20 0 EBADMM C[C@@H](C(=O)N1CCC(C(N)=O)CC1)N1CCSC[C@@H]1CC(=O)[O-] ZINC000825982356 583872518 /nfs/dbraw/zinc/87/25/18/583872518.db2.gz BYCIDJKGOMXVJB-JQWIXIFHSA-N -1 1 343.449 -0.009 20 0 EBADMM COc1cccc(OCCn2c(=O)c(-c3nn[n-]n3)cn(C)c2=O)c1 ZINC000826217296 583888009 /nfs/dbraw/zinc/88/80/09/583888009.db2.gz GXSRHVYNUQMKCX-UHFFFAOYSA-N -1 1 344.331 -0.185 20 0 EBADMM C[C@@H](NS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1)C(=O)N(C)C ZINC000826231831 583889059 /nfs/dbraw/zinc/88/90/59/583889059.db2.gz DEJZWPSPUVLXBJ-SSDOTTSWSA-N -1 1 342.356 -0.239 20 0 EBADMM C[C@@H](NS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1)C(=O)N(C)C ZINC000826231831 583889062 /nfs/dbraw/zinc/88/90/62/583889062.db2.gz DEJZWPSPUVLXBJ-SSDOTTSWSA-N -1 1 342.356 -0.239 20 0 EBADMM Cc1[nH]nc2ncc(NC(=O)Cn3cnc(-c4nn[n-]n4)n3)cc12 ZINC000826322216 583891361 /nfs/dbraw/zinc/89/13/61/583891361.db2.gz CVMKZGFXYVTOIQ-UHFFFAOYSA-N -1 1 325.296 -0.323 20 0 EBADMM Cc1nc2c(-c3nnn[n-]3)cnn2c(C)c1CCC(=O)NC[C@@H](C)O ZINC000826328133 583891642 /nfs/dbraw/zinc/89/16/42/583891642.db2.gz HCOGFCBSUIIDRQ-MRVPVSSYSA-N -1 1 344.379 -0.044 20 0 EBADMM Cc1nc2c(-c3nn[n-]n3)cnn2c(C)c1CCC(=O)NC[C@@H](C)O ZINC000826328133 583891644 /nfs/dbraw/zinc/89/16/44/583891644.db2.gz HCOGFCBSUIIDRQ-MRVPVSSYSA-N -1 1 344.379 -0.044 20 0 EBADMM Cc1nnc2n1C[C@H](NC(=O)Nc1nn(C)cc1-c1nnn[n-]1)CC2 ZINC000826337742 583891723 /nfs/dbraw/zinc/89/17/23/583891723.db2.gz ZLNXAJLKSJLWTR-MRVPVSSYSA-N -1 1 343.355 -0.363 20 0 EBADMM Cc1nnc2n1C[C@H](NC(=O)Nc1nn(C)cc1-c1nn[n-]n1)CC2 ZINC000826337742 583891725 /nfs/dbraw/zinc/89/17/25/583891725.db2.gz ZLNXAJLKSJLWTR-MRVPVSSYSA-N -1 1 343.355 -0.363 20 0 EBADMM Cc1onc(CC(=O)N[C@@H](C)[C@H](C)N2CCOCC2)c1-c1nn[n-]n1 ZINC000826345413 583892603 /nfs/dbraw/zinc/89/26/03/583892603.db2.gz KPGINHASYIXDBR-UWVGGRQHSA-N -1 1 349.395 -0.069 20 0 EBADMM Cc1onc(CC(=O)N2CCS(=O)(=O)C[C@H]2C)c1-c1nnn[n-]1 ZINC000826345634 583892688 /nfs/dbraw/zinc/89/26/88/583892688.db2.gz PSICTBSCLWFYIZ-SSDOTTSWSA-N -1 1 340.365 -0.649 20 0 EBADMM Cc1onc(CC(=O)N2CCS(=O)(=O)C[C@H]2C)c1-c1nn[n-]n1 ZINC000826345634 583892689 /nfs/dbraw/zinc/89/26/89/583892689.db2.gz PSICTBSCLWFYIZ-SSDOTTSWSA-N -1 1 340.365 -0.649 20 0 EBADMM Cc1onc(CC(=O)N2CCN3C(=O)OC[C@H]3C2)c1-c1nnn[n-]1 ZINC000826344557 583892711 /nfs/dbraw/zinc/89/27/11/583892711.db2.gz KZTKCADEERBXHL-MRVPVSSYSA-N -1 1 333.308 -0.631 20 0 EBADMM Cc1onc(CC(=O)N2CCN3C(=O)OC[C@H]3C2)c1-c1nn[n-]n1 ZINC000826344557 583892712 /nfs/dbraw/zinc/89/27/12/583892712.db2.gz KZTKCADEERBXHL-MRVPVSSYSA-N -1 1 333.308 -0.631 20 0 EBADMM Cc1onc(CC(=O)NCC(=O)NC2CCCC2)c1-c1nnn[n-]1 ZINC000826346014 583892714 /nfs/dbraw/zinc/89/27/14/583892714.db2.gz AJLYJHZTVGMWDV-UHFFFAOYSA-N -1 1 333.352 -0.119 20 0 EBADMM Cc1onc(CC(=O)NCC(=O)NC2CCCC2)c1-c1nn[n-]n1 ZINC000826346014 583892715 /nfs/dbraw/zinc/89/27/15/583892715.db2.gz AJLYJHZTVGMWDV-UHFFFAOYSA-N -1 1 333.352 -0.119 20 0 EBADMM Cc1onc(CC(=O)NC[C@@H](C)N2CCOCC2)c1-c1nn[n-]n1 ZINC000826346511 583892852 /nfs/dbraw/zinc/89/28/52/583892852.db2.gz OBWBMWNEOIOSPW-SECBINFHSA-N -1 1 335.368 -0.457 20 0 EBADMM Cc1onc(CC(=O)N[C@H]2CC(=O)N(C(C)C)C2=O)c1-c1nnn[n-]1 ZINC000826346423 583892947 /nfs/dbraw/zinc/89/29/47/583892947.db2.gz LVLGTIKXIBVFSW-VIFPVBQESA-N -1 1 347.335 -0.642 20 0 EBADMM Cc1onc(CC(=O)N[C@H]2CC(=O)N(C(C)C)C2=O)c1-c1nn[n-]n1 ZINC000826346423 583892949 /nfs/dbraw/zinc/89/29/49/583892949.db2.gz LVLGTIKXIBVFSW-VIFPVBQESA-N -1 1 347.335 -0.642 20 0 EBADMM Cc1onc(CC(=O)NCCN2CCOCC2(C)C)c1-c1nn[n-]n1 ZINC000826347070 583893077 /nfs/dbraw/zinc/89/30/77/583893077.db2.gz DIPKPXWZFQMKIO-UHFFFAOYSA-N -1 1 349.395 -0.067 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NC[C@@H]2CC(=O)N(C3CC3)C2)n1 ZINC000826357045 583893719 /nfs/dbraw/zinc/89/37/19/583893719.db2.gz OYABNEIEYASRPW-QMMMGPOBSA-N -1 1 345.367 -0.267 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NC[C@@H]2CC(=O)N(C3CC3)C2)n1 ZINC000826357045 583893721 /nfs/dbraw/zinc/89/37/21/583893721.db2.gz OYABNEIEYASRPW-QMMMGPOBSA-N -1 1 345.367 -0.267 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(=O)n(Cc2cccc3nsnc32)c1=O ZINC000826356662 583893838 /nfs/dbraw/zinc/89/38/38/583893838.db2.gz AEGNLWXZTPZACH-UHFFFAOYSA-N -1 1 342.344 -0.220 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CCCC3(C2)OCCO3)n1 ZINC000826357092 583893869 /nfs/dbraw/zinc/89/38/69/583893869.db2.gz QPFDTJLYQRPHIV-UHFFFAOYSA-N -1 1 334.340 -0.029 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CCCC3(C2)OCCO3)n1 ZINC000826357092 583893873 /nfs/dbraw/zinc/89/38/73/583893873.db2.gz QPFDTJLYQRPHIV-UHFFFAOYSA-N -1 1 334.340 -0.029 20 0 EBADMM NS(=O)(=O)[C@H]1CCN(c2snc(Cl)c2-c2nnn[n-]2)C1 ZINC000826394243 583898323 /nfs/dbraw/zinc/89/83/23/583898323.db2.gz CLYILTUHPDXXTI-BYPYZUCNSA-N -1 1 335.802 -0.156 20 0 EBADMM NS(=O)(=O)[C@H]1CCN(c2snc(Cl)c2-c2nn[n-]n2)C1 ZINC000826394243 583898325 /nfs/dbraw/zinc/89/83/25/583898325.db2.gz CLYILTUHPDXXTI-BYPYZUCNSA-N -1 1 335.802 -0.156 20 0 EBADMM O=C(Cn1ccnc1-c1nnn[n-]1)NCCCN1CCCCCC1=O ZINC000826424843 583900773 /nfs/dbraw/zinc/90/07/73/583900773.db2.gz GHNONCPLTWAQDW-UHFFFAOYSA-N -1 1 346.395 -0.028 20 0 EBADMM O=C(Cn1ccnc1-c1nn[n-]n1)NCCCN1CCCCCC1=O ZINC000826424843 583900774 /nfs/dbraw/zinc/90/07/74/583900774.db2.gz GHNONCPLTWAQDW-UHFFFAOYSA-N -1 1 346.395 -0.028 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@@H]1[C@@H]2CCO[C@@H]2C12CCCC2 ZINC000826425564 583901082 /nfs/dbraw/zinc/90/10/82/583901082.db2.gz JUHWAWKXWSILCB-WCQGTBRESA-N -1 1 344.379 -0.078 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@@H]1[C@@H]2CCO[C@H]2C12CCCC2 ZINC000826425563 583901087 /nfs/dbraw/zinc/90/10/87/583901087.db2.gz JUHWAWKXWSILCB-MVWJERBFSA-N -1 1 344.379 -0.078 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@@H](CCO)C1CCCCC1 ZINC000826425309 583901116 /nfs/dbraw/zinc/90/11/16/583901116.db2.gz XTDCWFSVRJAXTJ-NSHDSACASA-N -1 1 334.384 -0.094 20 0 EBADMM O=C1NC(=O)[C@]2(CCC[C@@H]2CNc2ccc(-c3nnn[n-]3)nn2)N1 ZINC000826482035 583904213 /nfs/dbraw/zinc/90/42/13/583904213.db2.gz PVXQYRQCWAZFMW-FUXBKTLASA-N -1 1 329.324 -0.553 20 0 EBADMM O=C1NC(=O)[C@]2(CCC[C@@H]2CNc2ccc(-c3nn[n-]n3)nn2)N1 ZINC000826482035 583904214 /nfs/dbraw/zinc/90/42/14/583904214.db2.gz PVXQYRQCWAZFMW-FUXBKTLASA-N -1 1 329.324 -0.553 20 0 EBADMM O=C1N[C@@H](c2cnc3c(-c4nnn[n-]4)cnn3c2)N2CCSC[C@@H]12 ZINC000826482157 583904688 /nfs/dbraw/zinc/90/46/88/583904688.db2.gz UFZDABCBCCUVLW-GXSJLCMTSA-N -1 1 343.376 -0.545 20 0 EBADMM O=C1N[C@@H](c2cnc3c(-c4nn[n-]n4)cnn3c2)N2CCSC[C@@H]12 ZINC000826482157 583904689 /nfs/dbraw/zinc/90/46/89/583904689.db2.gz UFZDABCBCCUVLW-GXSJLCMTSA-N -1 1 343.376 -0.545 20 0 EBADMM O=S(=O)(NCC1(CO)COC1)c1ccc(F)cc1-c1nn[n-]n1 ZINC000826490265 583905509 /nfs/dbraw/zinc/90/55/09/583905509.db2.gz OPUODZMNJSOCKX-UHFFFAOYSA-N -1 1 343.340 -0.707 20 0 EBADMM CC[C@H]1CN(C(=O)CN(CCOC)C(=O)[O-])CCN1C[C@H](C)O ZINC000826682944 583909576 /nfs/dbraw/zinc/90/95/76/583909576.db2.gz QMHWNCGGHYMNLA-STQMWFEESA-N -1 1 331.413 -0.084 20 0 EBADMM CC[C@@H]1CN(C(=O)[C@@H]2C[C@H](O)CN2C(=O)[O-])CCN1C[C@@H](C)O ZINC000826683925 583909632 /nfs/dbraw/zinc/90/96/32/583909632.db2.gz YVWNXPVFFGUTJL-NDBYEHHHSA-N -1 1 329.397 -0.597 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@H](C)N2C(=O)CCC2=O)CC1 ZINC000827356343 583919727 /nfs/dbraw/zinc/91/97/27/583919727.db2.gz QOKJOQPDARQKAD-MNOVXSKESA-N -1 1 325.365 -0.469 20 0 EBADMM C[C@H](CN1CCN(C)CC1)NS(=O)(=O)c1c[nH]c(C(=O)[O-])c1 ZINC000827461036 583921579 /nfs/dbraw/zinc/92/15/79/583921579.db2.gz KOKDBPSQXDFDAN-SNVBAGLBSA-N -1 1 330.410 -0.373 20 0 EBADMM CN(C)CC(=O)N1CCN(C(=O)CN(CC2CC2)C(=O)[O-])CC1 ZINC000828008442 583931250 /nfs/dbraw/zinc/93/12/50/583931250.db2.gz GDTOZUDLJUCVSF-UHFFFAOYSA-N -1 1 326.397 -0.391 20 0 EBADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@H]1CCCOC1)c1ccnn1C ZINC000828127062 583933945 /nfs/dbraw/zinc/93/39/45/583933945.db2.gz AIJGEDDULJTICM-JQWIXIFHSA-N -1 1 331.394 -0.020 20 0 EBADMM C[C@@H]1CCCN(CCNS(=O)(=O)c2cnn(CC(=O)[O-])c2)C1 ZINC000828266288 583936361 /nfs/dbraw/zinc/93/63/61/583936361.db2.gz RYGWHHPCLYKMBY-LLVKDONJSA-N -1 1 330.410 -0.022 20 0 EBADMM CCOCCO[N-]C(=O)[C@H]1CC(=O)N(c2cnn(CCOC)c2)C1 ZINC000828821981 583947424 /nfs/dbraw/zinc/94/74/24/583947424.db2.gz AIJILJDRALTDNT-LBPRGKRZSA-N -1 1 340.380 -0.033 20 0 EBADMM COC(=O)C[C@@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)C(=O)OC ZINC000829871990 583959393 /nfs/dbraw/zinc/95/93/93/583959393.db2.gz GSCGAZATJLQXDG-RXMQYKEDSA-N -1 1 325.730 -0.554 20 0 EBADMM COC[C@@H]([N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F)C(=O)OC ZINC000867066270 583965149 /nfs/dbraw/zinc/96/51/49/583965149.db2.gz JYOBWLNKWBELOB-ZCFIWIBFSA-N -1 1 345.299 -0.095 20 0 EBADMM CCc1nnc([C@@H]2CN(S(=O)(=O)C[C@H](C)C(=O)[O-])CCO2)[nH]1 ZINC000830304545 583965793 /nfs/dbraw/zinc/96/57/93/583965793.db2.gz POTLWRVJMYNGIF-IUCAKERBSA-N -1 1 332.382 -0.209 20 0 EBADMM CN1CCN(c2ncccc2CNC(=O)NC2CN(C(=O)[O-])C2)CC1 ZINC000830960581 583972693 /nfs/dbraw/zinc/97/26/93/583972693.db2.gz SGHIXMMIEICBFP-UHFFFAOYSA-N -1 1 348.407 -0.005 20 0 EBADMM CN1CCO[C@@H]2CN(c3ccc(S(N)(=O)=O)cc3C(=O)[O-])C[C@@H]21 ZINC000830964228 583973002 /nfs/dbraw/zinc/97/30/02/583973002.db2.gz IJADRROVICBOIO-QWHCGFSZSA-N -1 1 341.389 -0.449 20 0 EBADMM C[C@@H](NS(C)(=O)=O)C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831144036 583977111 /nfs/dbraw/zinc/97/71/11/583977111.db2.gz CAEBSTMXMMKJHS-ZCFIWIBFSA-N -1 1 331.316 -0.939 20 0 EBADMM O=C([O-])N1C[C@@H](O)C[C@H]1C(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000831275047 583981198 /nfs/dbraw/zinc/98/11/98/583981198.db2.gz YYPRNAKWWDRQMS-AGIUHOORSA-N -1 1 327.381 -0.720 20 0 EBADMM CN(C)[C@@H](CCNC(=O)CCn1cc[n-]c(=O)c1=O)C(F)(F)F ZINC000831674009 583989378 /nfs/dbraw/zinc/98/93/78/583989378.db2.gz UCFWWUURKVEKDN-VIFPVBQESA-N -1 1 336.314 -0.075 20 0 EBADMM COc1cc(C(=O)[O-])ccc1OC[C@@H](O)CN1C[C@H](O)C[C@H](O)C1 ZINC000831919272 583993547 /nfs/dbraw/zinc/99/35/47/583993547.db2.gz SVLYQAOENVZCAK-XQQFMLRXSA-N -1 1 341.360 -0.439 20 0 EBADMM O=C([O-])N1CCO[C@H](CC(=O)N2CCN([C@H]3CCC[C@@H]3O)CC2)C1 ZINC000832060286 583995538 /nfs/dbraw/zinc/99/55/38/583995538.db2.gz ISDGCGBSXUNEHM-RDBSUJKOSA-N -1 1 341.408 -0.187 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CC[C@@H]4OCC[C@@H]4C3)ccnc1-2 ZINC000832726235 584001461 /nfs/dbraw/zinc/00/14/61/584001461.db2.gz HZSTWOSUYMWKPC-MFKMUULPSA-N -1 1 329.360 -0.082 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)CN[C@@]2(C(=O)[O-])CCOC2)C1 ZINC000833488089 584010958 /nfs/dbraw/zinc/01/09/58/584010958.db2.gz PBCRVBFUNJWYIA-CJNGLKHVSA-N -1 1 343.424 -0.707 20 0 EBADMM CC(C)NC(=O)CNC(=S)N1CCN(CCC(=O)[O-])C[C@H]1C ZINC000833501638 584011268 /nfs/dbraw/zinc/01/12/68/584011268.db2.gz FIRLBUONLWOKKV-LLVKDONJSA-N -1 1 330.454 -0.134 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@@H]1CCCN(C(N)=O)C1 ZINC000833618967 584012264 /nfs/dbraw/zinc/01/22/64/584012264.db2.gz HQWDHJXJYHCPLO-NWDGAFQWSA-N -1 1 326.397 -0.216 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NCc1ccc(C(N)=O)nc1 ZINC000833623409 584012568 /nfs/dbraw/zinc/01/25/68/584012568.db2.gz HKAGMJWNDRUMMA-NSHDSACASA-N -1 1 349.391 -0.129 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NCCN1CCOC[C@@H]1C ZINC000833631933 584012781 /nfs/dbraw/zinc/01/27/81/584012781.db2.gz RGJXXYXTMPAUKP-KGLIPLIRSA-N -1 1 342.440 -0.103 20 0 EBADMM C[C@H]1C[C@@H](CNC(=O)[O-])CN1CCNS(=O)(=O)c1cnn(C)c1 ZINC000833835423 584017213 /nfs/dbraw/zinc/01/72/13/584017213.db2.gz ZAVOHBLODITATK-QWRGUYRKSA-N -1 1 345.425 -0.324 20 0 EBADMM CS(=O)(=O)CC[C@@H](NC(=O)[O-])C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000833893867 584019205 /nfs/dbraw/zinc/01/92/05/584019205.db2.gz FRCHZQYUFWXSOR-VHSXEESVSA-N -1 1 344.393 -0.026 20 0 EBADMM Cn1c(=O)cc(C[N@H+]2C[C@@H]3CCC[C@H](NC(=O)[O-])[C@@H]3C2)n(C)c1=O ZINC000833941455 584020105 /nfs/dbraw/zinc/02/01/05/584020105.db2.gz MFWWOFILGZHIPF-UHTWSYAYSA-N -1 1 336.392 -0.048 20 0 EBADMM O=C([O-])NCC(=O)NCC(=O)NC1CCN(Cc2cccnc2)CC1 ZINC000834195262 584028165 /nfs/dbraw/zinc/02/81/65/584028165.db2.gz BQQJRDOBEIXOHG-UHFFFAOYSA-N -1 1 349.391 -0.454 20 0 EBADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)CCCCNC(N)=O)CCC1 ZINC000843017435 584069738 /nfs/dbraw/zinc/06/97/38/584069738.db2.gz FCIAVOQNUASCQJ-UHFFFAOYSA-N -1 1 334.442 -0.245 20 0 EBADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)c2cc(C(N)=O)cn2C)CCC1 ZINC000843015978 584069744 /nfs/dbraw/zinc/06/97/44/584069744.db2.gz KPIYTGXMGYGHJE-UHFFFAOYSA-N -1 1 342.421 -0.332 20 0 EBADMM O=C(Cn1nnnc1-c1ccsc1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000843791305 584082954 /nfs/dbraw/zinc/08/29/54/584082954.db2.gz KQDBOQIVIZDXNC-SECBINFHSA-N -1 1 347.364 -0.485 20 0 EBADMM O=C(COC(=O)[C@H]1CCS(=O)(=O)N1)[N-]C(=O)c1ccccc1 ZINC000845369759 584105443 /nfs/dbraw/zinc/10/54/43/584105443.db2.gz UMAIOTWBIPIUNN-SNVBAGLBSA-N -1 1 326.330 -0.822 20 0 EBADMM CS(=O)(=O)N1CC[C@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000846406276 584125529 /nfs/dbraw/zinc/12/55/29/584125529.db2.gz PSVBFTXAURUOES-VIFPVBQESA-N -1 1 341.418 -0.218 20 0 EBADMM O=S(=O)(CCCS(=O)(=O)NCCO)[N-]c1cccc(F)n1 ZINC000848967432 584165270 /nfs/dbraw/zinc/16/52/70/584165270.db2.gz CCYVJODNKGGLHO-UHFFFAOYSA-N -1 1 341.386 -0.736 20 0 EBADMM COC[C@H]([N-]S(=O)(=O)c1ncccc1Br)C(N)=O ZINC000849382043 584168596 /nfs/dbraw/zinc/16/85/96/584168596.db2.gz DBZHMEYPXQTBEN-ZETCQYMHSA-N -1 1 338.183 -0.377 20 0 EBADMM O=S(=O)([N-]C[C@]1(OCCO)CCOC1)c1c[nH]nc1Cl ZINC000849427220 584170506 /nfs/dbraw/zinc/17/05/06/584170506.db2.gz KGWAURLJLSRSIW-SNVBAGLBSA-N -1 1 325.774 -0.491 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)C2(n3cnnn3)CC2)cc1 ZINC000849995363 584175984 /nfs/dbraw/zinc/17/59/84/584175984.db2.gz SPJBDLBREAIGMW-UHFFFAOYSA-N -1 1 345.315 -0.329 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3C[C@H]4COC[C@@]4(C)C3)ccnc1-2 ZINC000850659626 584184535 /nfs/dbraw/zinc/18/45/35/584184535.db2.gz ZOBYZHGPWIFIRU-MGPLVRAMSA-N -1 1 329.360 -0.225 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NC[C@H](CO)CC3CC3)ccnc1-2 ZINC000850668946 584184998 /nfs/dbraw/zinc/18/49/98/584184998.db2.gz RIPJFVMAAGCKOT-LLVKDONJSA-N -1 1 331.376 -0.195 20 0 EBADMM Cn1cc(C(=O)N2CCC[C@@H](NC(=O)C(F)(F)F)[C@H]2C(=O)[O-])nn1 ZINC000851704074 584200892 /nfs/dbraw/zinc/20/08/92/584200892.db2.gz SARDXDGBDKLBFX-SVRRBLITSA-N -1 1 349.269 -0.449 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C[C@@H]2CCC(=O)N2)c1 ZINC000854530794 584242225 /nfs/dbraw/zinc/24/22/25/584242225.db2.gz GRYPZPRSKAAVCW-QMMMGPOBSA-N -1 1 327.362 -0.093 20 0 EBADMM COC[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C1CCOCC1 ZINC000855289110 584257535 /nfs/dbraw/zinc/25/75/35/584257535.db2.gz IZQPKRBRQGMMRV-GFCCVEGCSA-N -1 1 325.365 -0.516 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCN1C[C@H]2CCCCN2C1=O ZINC000856143827 584266996 /nfs/dbraw/zinc/26/69/96/584266996.db2.gz OIBREKDOMLHFNX-GFCCVEGCSA-N -1 1 349.391 -0.667 20 0 EBADMM NC(=O)CC1(CNC(=O)CCn2cc[n-]c(=O)c2=O)CCCCC1 ZINC000856302561 584267920 /nfs/dbraw/zinc/26/79/20/584267920.db2.gz QPQZAIOAUZTGLS-UHFFFAOYSA-N -1 1 336.392 -0.131 20 0 EBADMM COc1ccc(COCC(=O)N2CCO[C@H](c3nn[n-]n3)C2)cn1 ZINC000859279051 584299009 /nfs/dbraw/zinc/29/90/09/584299009.db2.gz XPZCNWKPUYFKED-NSHDSACASA-N -1 1 334.336 -0.280 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N[C@]34C[C@H]3COC4(C)C)ccnc1-2 ZINC000862014293 584345322 /nfs/dbraw/zinc/34/53/22/584345322.db2.gz QWQAWFJVRKVIQY-XXFAHNHDSA-N -1 1 329.360 -0.036 20 0 EBADMM CN1C(=O)c2ccccc2[C@@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC000863006445 584364052 /nfs/dbraw/zinc/36/40/52/584364052.db2.gz LXEMIWHAYRJBDV-ZDUSSCGKSA-N -1 1 342.355 -0.130 20 0 EBADMM CNC(=O)CN1CCC([N-]S(=O)(=O)c2ccc(F)nc2F)CC1 ZINC000866480728 584401660 /nfs/dbraw/zinc/40/16/60/584401660.db2.gz KKWKPERGVQMYJW-UHFFFAOYSA-N -1 1 348.375 -0.152 20 0 EBADMM O=C(CC[N-]C(=O)C(F)(F)F)COC(=O)CN1CCOCC1 ZINC000869468078 584439770 /nfs/dbraw/zinc/43/97/70/584439770.db2.gz KCSVALLKFBWMHR-UHFFFAOYSA-N -1 1 326.271 -0.501 20 0 EBADMM CC[C@]1(C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)CCNC1=O ZINC000870447772 584447139 /nfs/dbraw/zinc/44/71/39/584447139.db2.gz XXRBCJNLOKPQEE-AWEZNQCLSA-N -1 1 331.438 -0.167 20 0 EBADMM O=C(NC[C@]12COC(=O)N1CCOC2)c1ccc2n[n-]c(=S)n2c1 ZINC000871407043 584453047 /nfs/dbraw/zinc/45/30/47/584453047.db2.gz GHDBJKQFKIUXJT-AWEZNQCLSA-N -1 1 349.372 -0.031 20 0 EBADMM CN1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)Cc2cccnc21 ZINC000872305424 584461610 /nfs/dbraw/zinc/46/16/10/584461610.db2.gz WBXKAWZRGCYBBG-UHFFFAOYSA-N -1 1 329.360 -0.200 20 0 EBADMM CN1CC[C@@](O)(C[N-]S(=O)(=O)N=[S@@](C)(=O)C(C)(C)C)C1 ZINC000872473811 584462105 /nfs/dbraw/zinc/46/21/05/584462105.db2.gz URBUUBMOPVBPMT-WYRIXSBYSA-N -1 1 327.472 -0.216 20 0 EBADMM CO[C@@H]1COC[C@@H]1NC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875664303 584504287 /nfs/dbraw/zinc/50/42/87/584504287.db2.gz LNTBUZNWIYTHDF-DTWKUNHWSA-N -1 1 325.287 -0.280 20 0 EBADMM CCO[C@@H]1C[C@H]([N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C)[C@H]1OC ZINC000882068403 584583237 /nfs/dbraw/zinc/58/32/37/584583237.db2.gz VYHLRZIHZQBGLK-VKTIEPJSSA-N -1 1 329.444 -0.412 20 0 EBADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-][C@@H]1C[C@H]2CCCCN2C1=O ZINC000882245339 584586699 /nfs/dbraw/zinc/58/66/99/584586699.db2.gz HRGFDENYFPVPKK-ODXZIHIVSA-N -1 1 338.455 -0.451 20 0 EBADMM CN(C)[S@@](C)(=O)=NS(=O)(=O)[N-][C@@H]1CCN(C2CCC2)C1=O ZINC000882466446 584589718 /nfs/dbraw/zinc/58/97/18/584589718.db2.gz QYWSMEOZFUEFTA-SBKAZYGRSA-N -1 1 338.455 -0.451 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CCCN(CCN3CCOCC3)C2)c1[O-] ZINC000882634031 584592787 /nfs/dbraw/zinc/59/27/87/584592787.db2.gz ICGUGZGDCFHUJL-ZDUSSCGKSA-N -1 1 337.424 -0.050 20 0 EBADMM CS(=O)(=O)N1CCC([N-]S(=O)(=O)c2ccns2)CC1 ZINC000884918022 584640781 /nfs/dbraw/zinc/64/07/81/584640781.db2.gz UEZAVXITASGONN-UHFFFAOYSA-N -1 1 325.437 -0.155 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC[C@H]2CC(C)(C)CO2)c(=O)n(C)c1=O ZINC000885676778 584651133 /nfs/dbraw/zinc/65/11/33/584651133.db2.gz LCIRNSIACJYSPJ-JTQLQIEISA-N -1 1 345.421 -0.433 20 0 EBADMM O=C(Cc1ccc([O-])c(Cl)c1)N1CCN2[C@H](CNS2(=O)=O)C1 ZINC000886177559 584657177 /nfs/dbraw/zinc/65/71/77/584657177.db2.gz FLUJFTIKIFABRP-SNVBAGLBSA-N -1 1 345.808 -0.051 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCOC[C@H]1C[C@@H]1CCCO1 ZINC000887837722 584683073 /nfs/dbraw/zinc/68/30/73/584683073.db2.gz MMNIKTSUDTVVRS-OLZOCXBDSA-N -1 1 337.376 -0.277 20 0 EBADMM O=C([O-])CNC(=O)CCN1CCN(CC(=O)Nc2ccccc2)CC1 ZINC000739807341 600160293 /nfs/dbraw/zinc/16/02/93/600160293.db2.gz QMMXTXKOIWBDJY-UHFFFAOYSA-N -1 1 348.403 -0.166 20 0 EBADMM O=C([O-])CCCNC(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC000738576548 600185308 /nfs/dbraw/zinc/18/53/08/600185308.db2.gz BGMQEIQAATUEKS-CYBMUJFWSA-N -1 1 328.413 -0.443 20 0 EBADMM O=C([O-])CNC(=O)CCN1CCN(C[C@H](O)c2ccccc2)CC1 ZINC000739807781 600302535 /nfs/dbraw/zinc/30/25/35/600302535.db2.gz WIIHBUJDGDDVPX-HNNXBMFYSA-N -1 1 335.404 -0.072 20 0 EBADMM O=C([O-])CCCOc1ccc(NC(=O)C(=O)NCc2nn[nH]n2)cc1 ZINC000739682782 600341504 /nfs/dbraw/zinc/34/15/04/600341504.db2.gz LGFCJCSFOPWBKK-UHFFFAOYSA-N -1 1 348.319 -0.302 20 0 EBADMM Cc1ccc(C(=O)[O-])cc1NC(=O)C(=O)NC[C@@H]1CN(C)CCN1C ZINC000738517321 600419761 /nfs/dbraw/zinc/41/97/61/600419761.db2.gz CGJKLTGNTQEMFC-CYBMUJFWSA-N -1 1 348.403 -0.006 20 0 EBADMM O=C([O-])c1cc(S(=O)(=O)NC[C@H]2CN3CCC[C@H]3CO2)c[nH]1 ZINC000317833874 600729444 /nfs/dbraw/zinc/72/94/44/600729444.db2.gz WRCIRBQEUHYQSU-UWVGGRQHSA-N -1 1 329.378 -0.146 20 0 EBADMM CCN1CCCC[C@@H]1C(=O)NCC(=O)N1CCN(C(=O)[O-])CC1 ZINC000739453765 602463261 /nfs/dbraw/zinc/46/32/61/602463261.db2.gz HWAIGRPZFUTDDM-GFCCVEGCSA-N -1 1 326.397 -0.201 20 0 EBADMM CN1CCN(C)[C@@H](CNC(=O)NC[C@H]2CCCN(C(=O)[O-])C2)C1 ZINC000739733522 602588011 /nfs/dbraw/zinc/58/80/11/602588011.db2.gz DKANWEKYYGEJIP-OLZOCXBDSA-N -1 1 327.429 -0.079 20 0 EBADMM O=C([O-])NCCOCC(=O)N1CCCN(C[C@H]2CCCO2)CC1 ZINC000740663043 602663501 /nfs/dbraw/zinc/66/35/01/602663501.db2.gz BTLMUWSXKFVDCY-CYBMUJFWSA-N -1 1 329.397 -0.016 20 0 EBADMM C[C@@H]1OC(C)(C)N(C(=O)[O-])[C@@H]1C(=O)NCCN1CCN(C)CC1 ZINC000739203698 603132219 /nfs/dbraw/zinc/13/22/19/603132219.db2.gz SRIXERYRKYRBME-RYUDHWBXSA-N -1 1 328.413 -0.147 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H](C)C(=O)NC(=O)NC)o1 ZINC000042264049 649941346 /nfs/dbraw/zinc/94/13/46/649941346.db2.gz MXZZHHJJSQZBRL-LURJTMIESA-N -1 1 333.322 -0.811 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H](C)C(=O)NCC(=O)OC)o1 ZINC000046315295 649946674 /nfs/dbraw/zinc/94/66/74/649946674.db2.gz GIOJOOZKUGEWIZ-ZETCQYMHSA-N -1 1 348.333 -0.978 20 0 EBADMM Cn1c(=O)ccn(CC(=O)OCC(=O)[N-]C(=O)c2ccccc2)c1=O ZINC000046271886 649946693 /nfs/dbraw/zinc/94/66/93/649946693.db2.gz MMDRSTITMYKBPX-UHFFFAOYSA-N -1 1 345.311 -0.953 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]C1CCN(S(C)(=O)=O)CC1 ZINC000579764794 650015353 /nfs/dbraw/zinc/01/53/53/650015353.db2.gz MLWRVJUUJYNCCU-UHFFFAOYSA-N -1 1 338.411 -0.732 20 0 EBADMM CS(=O)(=O)CCS(=O)(=O)[N-][C@H]1CCCCC12OCCO2 ZINC000715982299 650036341 /nfs/dbraw/zinc/03/63/41/650036341.db2.gz DVYHOGLNBROAHS-JTQLQIEISA-N -1 1 327.424 -0.364 20 0 EBADMM CN(CC(=O)N1CCN(C)CC1)C(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000905153628 650061980 /nfs/dbraw/zinc/06/19/80/650061980.db2.gz QUJPQPVLRZSAAB-UHFFFAOYSA-N -1 1 343.387 -0.231 20 0 EBADMM C[C@H]1CC2(CC([N-]S(=O)(=O)c3cn(C)c(=O)n(C)c3=O)C2)CO1 ZINC000907724015 650089103 /nfs/dbraw/zinc/08/91/03/650089103.db2.gz PHYGKYBZMKFKMV-IPWFMCSPSA-N -1 1 343.405 -0.680 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@]2(C)CCNC2=O)c(C(F)(F)F)n1 ZINC000907810064 650090790 /nfs/dbraw/zinc/09/07/90/650090790.db2.gz CDIYGFXMPCJPRN-SECBINFHSA-N -1 1 326.300 -0.004 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2CCCC(C)(C)O2)c(=O)n(C)c1=O ZINC000908106043 650096302 /nfs/dbraw/zinc/09/63/02/650096302.db2.gz KAQYTXQLAMLTTM-JTQLQIEISA-N -1 1 345.421 -0.290 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C(N)=O)c1ccccc1 ZINC000912531056 650206644 /nfs/dbraw/zinc/20/66/44/650206644.db2.gz SRGHCQQFMCUAMD-JTQLQIEISA-N -1 1 338.345 -0.299 20 0 EBADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@H](C)c1nccc(N)n1 ZINC000912553115 650208442 /nfs/dbraw/zinc/20/84/42/650208442.db2.gz IYAQGLWHKSBTHE-SSDOTTSWSA-N -1 1 340.365 -0.084 20 0 EBADMM C[C@@H]1C(=O)N([N-]C(=O)c2cccc(N3CCN(C)CC3)n2)C(=O)N1C ZINC000912822870 650214317 /nfs/dbraw/zinc/21/43/17/650214317.db2.gz BZWKAPRCRKZXHN-LLVKDONJSA-N -1 1 346.391 -0.239 20 0 EBADMM CSCC[C@H](NC(=O)C1CN([C@H]2CCOC2)C1)c1nnn[n-]1 ZINC000912863467 650215415 /nfs/dbraw/zinc/21/54/15/650215415.db2.gz YVXHELAMZLDJSN-QWRGUYRKSA-N -1 1 326.426 -0.169 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC(=O)NCCCOC)o1 ZINC000915240922 650266709 /nfs/dbraw/zinc/26/67/09/650266709.db2.gz ZTABTDGPJWCGTJ-UHFFFAOYSA-N -1 1 334.350 -0.503 20 0 EBADMM C[C@H](OC(=O)c1sccc1[N-]S(C)(=O)=O)C(=O)NC(N)=O ZINC000915876248 650271750 /nfs/dbraw/zinc/27/17/50/650271750.db2.gz NOVGMQRNKRGRLL-YFKPBYRVSA-N -1 1 335.363 -0.140 20 0 EBADMM Cc1cc(S(=O)(=O)[N-]CC23COCCN2CCOC3)sn1 ZINC000918379122 650289046 /nfs/dbraw/zinc/28/90/46/650289046.db2.gz RZSKSHUZKSISMY-UHFFFAOYSA-N -1 1 333.435 -0.169 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC[C@@H]2CC[C@H]3C[C@H]32)c(=O)n(C)c1=O ZINC000920885928 650314962 /nfs/dbraw/zinc/31/49/62/650314962.db2.gz XQIYRZARYOSYIA-DCAQKATOSA-N -1 1 327.406 -0.202 20 0 EBADMM CCOC(=O)[C@H](CSC)[N-]S(=O)(=O)[C@H](C)C(=O)N(C)C ZINC000921377931 650318662 /nfs/dbraw/zinc/31/86/62/650318662.db2.gz KHOVDKUNVVGDAK-BDAKNGLRSA-N -1 1 326.440 -0.323 20 0 EBADMM CN1C[C@@H](O)C[C@H]1CNC(=O)c1cc(F)ccc1[N-]S(C)(=O)=O ZINC000924985426 650370600 /nfs/dbraw/zinc/37/06/00/650370600.db2.gz AIDWGXVMEIBALU-QWRGUYRKSA-N -1 1 345.396 -0.008 20 0 EBADMM CO[N-]C(=O)CNC(=O)N1CC[C@@H](C)[C@@H](NC(=O)C(F)(F)F)C1 ZINC000927217252 651500349 /nfs/dbraw/zinc/50/03/49/651500349.db2.gz ZMDKUGJMDNBIEE-SFYZADRCSA-N -1 1 340.302 -0.238 20 0 EBADMM CN(C(=O)[C@H]1CN(C)CCO1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937211790 651679815 /nfs/dbraw/zinc/67/98/15/651679815.db2.gz PBLWEFCUWJOFAE-TZMCWYRMSA-N -1 1 348.403 -0.209 20 0 EBADMM CN(C(=O)[C@@H]1COCCN1C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937212435 651679930 /nfs/dbraw/zinc/67/99/30/651679930.db2.gz WABUFZYWPRXCNR-STQMWFEESA-N -1 1 348.403 -0.209 20 0 EBADMM CN(C(=O)[C@]1(C)CNC(=O)C1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216560 651684511 /nfs/dbraw/zinc/68/45/11/651684511.db2.gz GUHQWRVLPOXJMM-DIFFPNOSSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)C[C@H]1CCNC1=O)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937217874 651686026 /nfs/dbraw/zinc/68/60/26/651686026.db2.gz YTVAILXNJGLQIW-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)C[C@@H]1CCNC1=O)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937217872 651686104 /nfs/dbraw/zinc/68/61/04/651686104.db2.gz YTVAILXNJGLQIW-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)c1cnn(C)n1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937221129 651687028 /nfs/dbraw/zinc/68/70/28/651687028.db2.gz YLMCSHNDNXLDAZ-SNVBAGLBSA-N -1 1 330.348 -0.098 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1CC[C@H](CN2CCOCC2)O1)c1nn[n-]n1 ZINC000935365432 651735258 /nfs/dbraw/zinc/73/52/58/651735258.db2.gz HKZAKNXHBPZPJP-XQQFMLRXSA-N -1 1 338.412 -0.359 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)[C@@H]1CCN(C(=O)C2CCC2)C1 ZINC000936330291 651767185 /nfs/dbraw/zinc/76/71/85/651767185.db2.gz PUYDTOCAUYUVFN-LLVKDONJSA-N -1 1 344.375 -0.109 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H](NC(=O)C(C)(C)F)C1 ZINC000937600341 651853324 /nfs/dbraw/zinc/85/33/24/651853324.db2.gz ZMERCZBYQKAROL-ZETCQYMHSA-N -1 1 342.327 -0.025 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC=CC1 ZINC000937767141 651915057 /nfs/dbraw/zinc/91/50/57/651915057.db2.gz HVXVSOIOSIIDJK-NSHDSACASA-N -1 1 342.359 -0.286 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1CCNC(=O)C1 ZINC000937821864 651933468 /nfs/dbraw/zinc/93/34/68/651933468.db2.gz JAIPVEUOMOHHFX-QWRGUYRKSA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(CN1CCCC1=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937860081 651956906 /nfs/dbraw/zinc/95/69/06/651956906.db2.gz BTIDSQZQCMEZGX-NSHDSACASA-N -1 1 332.360 -0.260 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1CCCC(=O)N1 ZINC000938122182 652095389 /nfs/dbraw/zinc/09/53/89/652095389.db2.gz BVFQNVDHPRFLHQ-WDEREUQCSA-N -1 1 332.360 -0.213 20 0 EBADMM Cn1cc(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)n(C)c1=O ZINC000938137486 652103598 /nfs/dbraw/zinc/10/35/98/652103598.db2.gz ADUYISRLCQXPOT-JTQLQIEISA-N -1 1 345.359 -0.531 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCN(C(=O)C(C)(C)F)C1 ZINC000938312643 652190903 /nfs/dbraw/zinc/19/09/03/652190903.db2.gz HHAHLGROZDFTOG-ZETCQYMHSA-N -1 1 342.327 -0.025 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)NC[C@H]1CCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000944138960 652692630 /nfs/dbraw/zinc/69/26/30/652692630.db2.gz FVJYDFIQQWATRX-JHJVBQTASA-N -1 1 348.403 -0.310 20 0 EBADMM O=C(NC[C@H]1CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1)C1CC1 ZINC000944145852 652694505 /nfs/dbraw/zinc/69/45/05/652694505.db2.gz NYZBDCIDLILKEX-SNVBAGLBSA-N -1 1 330.348 -0.452 20 0 EBADMM CO[C@H](C)CN1CC(N2CCN(C(=O)c3n[nH]c(C)c3[O-])CC2)C1 ZINC000941380173 652704426 /nfs/dbraw/zinc/70/44/26/652704426.db2.gz CTJVXWMRWFEESF-LLVKDONJSA-N -1 1 337.424 -0.099 20 0 EBADMM Cn1nc(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)ccc1=O ZINC000938872942 652751776 /nfs/dbraw/zinc/75/17/76/652751776.db2.gz RCNPDKPKUJBJKX-JTQLQIEISA-N -1 1 343.343 -0.475 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1NC(=O)c1[nH]nc(C)c1[O-] ZINC000941542933 652794178 /nfs/dbraw/zinc/79/41/78/652794178.db2.gz QHZKRZYWUWCPBN-NXEZZACHSA-N -1 1 334.336 -0.584 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1)c1ccn[nH]1 ZINC000944490840 652794578 /nfs/dbraw/zinc/79/45/78/652794578.db2.gz DRVADOWHYLCQRU-SNVBAGLBSA-N -1 1 331.332 -0.219 20 0 EBADMM CC(C)(F)C(=O)N[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000939067493 652823224 /nfs/dbraw/zinc/82/32/24/652823224.db2.gz OGISHXULGQIYPG-SNVBAGLBSA-N -1 1 340.355 -0.608 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2csnn2)CCO1)c1ncccc1[O-] ZINC000944559278 652858036 /nfs/dbraw/zinc/85/80/36/652858036.db2.gz ASYNEIGMBQDSID-VIFPVBQESA-N -1 1 349.372 -0.090 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2cnccn2)CCO1)c1ncccc1[O-] ZINC000944559806 652858718 /nfs/dbraw/zinc/85/87/18/652858718.db2.gz DXUNJKPESYQBGP-LLVKDONJSA-N -1 1 343.343 -0.152 20 0 EBADMM O=C(Cn1nccn1)N1CCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944565242 652864070 /nfs/dbraw/zinc/86/40/70/652864070.db2.gz QDHYGVUPTFBJPP-LLVKDONJSA-N -1 1 346.347 -0.964 20 0 EBADMM O=C(Cn1cncn1)N1CCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944565569 652864851 /nfs/dbraw/zinc/86/48/51/652864851.db2.gz WERUNPWDVDDMTQ-NSHDSACASA-N -1 1 346.347 -0.964 20 0 EBADMM Cn1ccc(C(=O)N2CCO[C@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC000944565703 652865069 /nfs/dbraw/zinc/86/50/69/652865069.db2.gz ZLTLVHUCPMEGKF-LLVKDONJSA-N -1 1 345.359 -0.208 20 0 EBADMM CC[C@@H](C)C(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000941663827 652866014 /nfs/dbraw/zinc/86/60/14/652866014.db2.gz GLXSULSOVCCGHW-NXEZZACHSA-N -1 1 332.364 -0.206 20 0 EBADMM C/C=C(\C)C(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000941664532 652866694 /nfs/dbraw/zinc/86/66/94/652866694.db2.gz WUNFVYYASLEUGO-OTVCUZDGSA-N -1 1 330.348 -0.286 20 0 EBADMM C[C@@H]1CN(C(=O)COc2cccnc2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939320469 652892510 /nfs/dbraw/zinc/89/25/10/652892510.db2.gz URXLQGQPSYJXGJ-ZYHUDNBSSA-N -1 1 332.364 -0.079 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@H]2CNC(=O)N2)C1 ZINC000939349236 652906880 /nfs/dbraw/zinc/90/68/80/652906880.db2.gz KKTNMVOVYMVIAD-NXEZZACHSA-N -1 1 333.348 -0.859 20 0 EBADMM Cc1ncncc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1C ZINC000941857006 652931971 /nfs/dbraw/zinc/93/19/71/652931971.db2.gz FTSQSLCSLCSWMU-GXFFZTMASA-N -1 1 345.407 -0.153 20 0 EBADMM Cn1cncc1C(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC000944658146 652942184 /nfs/dbraw/zinc/94/21/84/652942184.db2.gz NFCCGPXYLWGAOP-LLVKDONJSA-N -1 1 345.359 -0.208 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1cn(C)cn1 ZINC000941950770 653005876 /nfs/dbraw/zinc/00/58/76/653005876.db2.gz OZIYJHHIVBKOFG-MNOVXSKESA-N -1 1 333.396 -0.518 20 0 EBADMM Cc1nc(CC(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C)n[nH]1 ZINC000942033853 653024587 /nfs/dbraw/zinc/02/45/87/653024587.db2.gz QEGMUHBLQKTXKC-SCZZXKLOSA-N -1 1 334.384 -0.494 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccc(C(N)=O)nc2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939861493 653058131 /nfs/dbraw/zinc/05/81/31/653058131.db2.gz PSCTVYHKKXGCRU-LDYMZIIASA-N -1 1 345.363 -0.746 20 0 EBADMM O=C(C[C@@H]1CC(=O)NC1=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940130683 653084491 /nfs/dbraw/zinc/08/44/91/653084491.db2.gz SKVKZTINTNYDCT-VHSXEESVSA-N -1 1 346.343 -0.829 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)[C@@H]2CCC(=O)NC2)C1)c1ncccc1[O-] ZINC000940130479 653084612 /nfs/dbraw/zinc/08/46/12/653084612.db2.gz OCJKWJWCBOROFX-GHMZBOCLSA-N -1 1 332.360 -0.356 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000946535554 653144697 /nfs/dbraw/zinc/14/46/97/653144697.db2.gz BPTOJFKNKDTSDO-MNOVXSKESA-N -1 1 332.360 -0.371 20 0 EBADMM Cc1cnn(C)c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1C ZINC000942567049 653150455 /nfs/dbraw/zinc/15/04/55/653150455.db2.gz DIGCYMANBDRAND-RYUDHWBXSA-N -1 1 347.423 -0.209 20 0 EBADMM CCN(C(=O)[C@@H]1CNC(=O)N1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000942950911 653272857 /nfs/dbraw/zinc/27/28/57/653272857.db2.gz SSRLQQKRLFWRDA-MNOVXSKESA-N -1 1 347.375 -0.468 20 0 EBADMM CCC(=O)N[C@@H]1CCCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000943007605 653279280 /nfs/dbraw/zinc/27/92/80/653279280.db2.gz ULNJJBQGORDMSF-SNVBAGLBSA-N -1 1 332.364 -0.062 20 0 EBADMM O=C(c1ncccc1[O-])N1CCCN(C(=O)[C@@H]2CCNC2=O)CC1 ZINC000940832161 653298534 /nfs/dbraw/zinc/29/85/34/653298534.db2.gz YTSPAKPIVPONPD-LLVKDONJSA-N -1 1 332.360 -0.402 20 0 EBADMM O=C(c1ncccc1[O-])N1CCCN(C(=O)[C@H]2COC(=O)N2)CC1 ZINC000940833246 653298611 /nfs/dbraw/zinc/29/86/11/653298611.db2.gz ZTXBSTRHGNPFRV-SNVBAGLBSA-N -1 1 334.332 -0.430 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940832647 653298626 /nfs/dbraw/zinc/29/86/26/653298626.db2.gz KEGIEMOVJQZIJK-MNOVXSKESA-N -1 1 332.360 -0.417 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2cnsn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947080384 653306668 /nfs/dbraw/zinc/30/66/68/653306668.db2.gz JPYNADYKYBKWKC-BDAKNGLRSA-N -1 1 337.409 -0.257 20 0 EBADMM COCC(=O)N1C[C@@H](C)[C@H](NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC000947118967 653318188 /nfs/dbraw/zinc/31/81/88/653318188.db2.gz PVRBDUAQRQJQHL-HTQZYQBOSA-N -1 1 340.336 -0.881 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)Cc2ccon2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947134106 653323956 /nfs/dbraw/zinc/32/39/56/653323956.db2.gz DWANSABZZKEVQZ-QWRGUYRKSA-N -1 1 334.380 -0.192 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2nccnc2N)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947144962 653326786 /nfs/dbraw/zinc/32/67/86/653326786.db2.gz YFEPMPNCFYEXBO-ZJUUUORDSA-N -1 1 346.395 -0.737 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H](C)C2)ncn1 ZINC000947210818 653335586 /nfs/dbraw/zinc/33/55/86/653335586.db2.gz JURJWIXTMAULNJ-NWDGAFQWSA-N -1 1 345.407 -0.010 20 0 EBADMM CN1C[C@@H](C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC000940939295 653336646 /nfs/dbraw/zinc/33/66/46/653336646.db2.gz CSDHGOUYFHZGFS-WDEREUQCSA-N -1 1 332.360 -0.404 20 0 EBADMM CCC(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@H](C)C1 ZINC000945079455 653341367 /nfs/dbraw/zinc/34/13/67/653341367.db2.gz RWTUAIVVBHBMSO-NXEZZACHSA-N -1 1 332.364 -0.206 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@H](C)C1 ZINC000947420618 653397033 /nfs/dbraw/zinc/39/70/33/653397033.db2.gz FQXABMOOXSHGKX-SCZZXKLOSA-N -1 1 349.395 -0.372 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@H](C)C1 ZINC000947420617 653397222 /nfs/dbraw/zinc/39/72/22/653397222.db2.gz FQXABMOOXSHGKX-PSASIEDQSA-N -1 1 349.395 -0.372 20 0 EBADMM CNC(=O)CN1CC[C@H](NC(=O)c2c[n-]n3c2nccc3=O)[C@H](C)C1 ZINC000943464202 653398032 /nfs/dbraw/zinc/39/80/32/653398032.db2.gz DGJLDIPNWPKQMW-PWSUYJOCSA-N -1 1 346.391 -0.791 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC(NC(=O)C(F)F)CC1 ZINC000947493967 653414378 /nfs/dbraw/zinc/41/43/78/653414378.db2.gz CSZVRRAYXCFISV-UHFFFAOYSA-N -1 1 346.290 -0.118 20 0 EBADMM O=C(NC1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1)C1CCC1 ZINC000947498338 653415413 /nfs/dbraw/zinc/41/54/13/653415413.db2.gz YHCZRYBVPYLOSL-UHFFFAOYSA-N -1 1 344.375 -0.062 20 0 EBADMM Cc1nc(CN2CC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C[C@@H]2C)n[nH]1 ZINC000947506336 653419127 /nfs/dbraw/zinc/41/91/27/653419127.db2.gz NZZIWGRIIYBNJD-CBAPKCEASA-N -1 1 348.367 -0.508 20 0 EBADMM CCCC(=O)N1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@H](OC)C1 ZINC000943537468 653419235 /nfs/dbraw/zinc/41/92/35/653419235.db2.gz LAUMTSJLQFPCGI-GHMZBOCLSA-N -1 1 348.363 -0.827 20 0 EBADMM Cc1ncc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H](C)C2)n1C ZINC000947652311 653448973 /nfs/dbraw/zinc/44/89/73/653448973.db2.gz AKNDJUZIJVLAJJ-ZYHUDNBSSA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)N1CCN(C2CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC000941292646 653486823 /nfs/dbraw/zinc/48/68/23/653486823.db2.gz KCTXIBAMCDNCTI-YPMHNXCESA-N -1 1 334.424 -0.907 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2ncccn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947845406 653492154 /nfs/dbraw/zinc/49/21/54/653492154.db2.gz JZKRMBHMTOBIBB-MNOVXSKESA-N -1 1 331.380 -0.319 20 0 EBADMM Cn1cc(C(=O)N2CCC[C@@H]2CNC(=O)c2ncccc2[O-])nn1 ZINC000943998213 653505324 /nfs/dbraw/zinc/50/53/24/653505324.db2.gz PVDACLUQSPWYQD-SNVBAGLBSA-N -1 1 330.348 -0.050 20 0 EBADMM CC(=O)N1CC[C@@H]2[C@H](CCN2C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000945819516 653509683 /nfs/dbraw/zinc/50/96/83/653509683.db2.gz GKLHNWACQWZHHF-CHWSQXEVSA-N -1 1 334.376 -0.604 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2ccn(C)n2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000948035517 653514752 /nfs/dbraw/zinc/51/47/52/653514752.db2.gz GSSRRUSDKRSPHV-MNOVXSKESA-N -1 1 333.396 -0.375 20 0 EBADMM Cc1nc[nH]c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H](C)C1 ZINC000948099926 653522719 /nfs/dbraw/zinc/52/27/19/653522719.db2.gz MPNZPKOPJPUQLJ-ONGXEEELSA-N -1 1 333.396 -0.077 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CN(C(=O)CCc3nc[nH]n3)C[C@H]2C)c1[O-] ZINC000948224843 653529447 /nfs/dbraw/zinc/52/94/47/653529447.db2.gz OAQQUUNFNYCPDY-PSASIEDQSA-N -1 1 347.379 -0.249 20 0 EBADMM C/C=C(\C)C(=O)N1C[C@@H](C)[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000948242610 653530524 /nfs/dbraw/zinc/53/05/24/653530524.db2.gz SLTAOFFJNKCPND-RFEIPGMPSA-N -1 1 344.375 -0.040 20 0 EBADMM NC(=O)C(=O)N[C@H]1CCC[C@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000946302222 653563481 /nfs/dbraw/zinc/56/34/81/653563481.db2.gz DJLIGKYCSWRGGV-ONGXEEELSA-N -1 1 347.375 -0.440 20 0 EBADMM CC(C)[C@H]1OCC[C@H]1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000948693442 653566961 /nfs/dbraw/zinc/56/69/61/653566961.db2.gz HEZOAAPLETUFOD-TZMCWYRMSA-N -1 1 337.424 -0.186 20 0 EBADMM Cn1ncc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c1Cl ZINC000948888878 653579685 /nfs/dbraw/zinc/57/96/85/653579685.db2.gz PDNCTQKRGOTTDX-UHFFFAOYSA-N -1 1 339.787 -0.547 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)[C@@H]3CCn4ccnc4C3)CC2)nc1=O ZINC000948948437 653584288 /nfs/dbraw/zinc/58/42/88/653584288.db2.gz INHGQEGCUJVIKC-GFCCVEGCSA-N -1 1 345.407 -0.788 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2CCN2C(=O)c2n[nH]c(=O)[n-]c2=O)c1C ZINC000949175453 653822052 /nfs/dbraw/zinc/82/20/52/653822052.db2.gz ISVYGBUOTMYFST-MRVPVSSYSA-N -1 1 347.335 -0.733 20 0 EBADMM O=C(C[C@@H]1CCC(=O)N1)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949534675 653922033 /nfs/dbraw/zinc/92/20/33/653922033.db2.gz CPRKADMZUDPLMM-QWRGUYRKSA-N -1 1 332.360 -0.213 20 0 EBADMM NC(=O)N1CCC[C@H]1C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949541276 653923785 /nfs/dbraw/zinc/92/37/85/653923785.db2.gz DLKLCGMQZZTZOM-MNOVXSKESA-N -1 1 347.375 -0.339 20 0 EBADMM Cn1nc(C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])ccc1=O ZINC000949538571 653923835 /nfs/dbraw/zinc/92/38/35/653923835.db2.gz MIFHRGNAIWVQTR-SNVBAGLBSA-N -1 1 343.343 -0.475 20 0 EBADMM C[C@H]1CCN(C(=O)C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])C1 ZINC000949538914 653923862 /nfs/dbraw/zinc/92/38/62/653923862.db2.gz UBLZYMGNGTXFFK-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1cc(CCC(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])nn1 ZINC000949541310 653923981 /nfs/dbraw/zinc/92/39/81/653923981.db2.gz FAIRQGOOJZLKSA-GFCCVEGCSA-N -1 1 344.375 -0.121 20 0 EBADMM C[C@]1(C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])CCNC1=O ZINC000949539038 653924042 /nfs/dbraw/zinc/92/40/42/653924042.db2.gz WSHOCJHSVUKPIH-QFYYESIMSA-N -1 1 332.360 -0.356 20 0 EBADMM CN1C[C@H](C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])NC1=O ZINC000949541652 653924070 /nfs/dbraw/zinc/92/40/70/653924070.db2.gz MQGWYNUKZZSUHR-VHSXEESVSA-N -1 1 333.348 -0.859 20 0 EBADMM C[C@]1(C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])CNC(=O)C1 ZINC000949541825 653924413 /nfs/dbraw/zinc/92/44/13/653924413.db2.gz QBVGVVYFVVLXHQ-QLJPJBMISA-N -1 1 332.360 -0.356 20 0 EBADMM COCC(=O)N1CCC[C@@H](NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC000949698739 653953366 /nfs/dbraw/zinc/95/33/66/653953366.db2.gz IAADLIMLIFIFMJ-MRVPVSSYSA-N -1 1 340.336 -0.736 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(CCS(C)(=O)=O)C1 ZINC000949948769 654007897 /nfs/dbraw/zinc/00/78/97/654007897.db2.gz ZFGLQERIHNQRAK-UHFFFAOYSA-N -1 1 327.406 -0.022 20 0 EBADMM CCN(C(=O)[C@@H]1CCCOCC1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000949985856 654012994 /nfs/dbraw/zinc/01/29/94/654012994.db2.gz MTEZDZGNZOSMEI-GFCCVEGCSA-N -1 1 337.424 -0.042 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3ccc4nonc4c3)CC2)nc1=O ZINC000949989255 654014004 /nfs/dbraw/zinc/01/40/04/654014004.db2.gz BDYJPPRGOHHGAX-UHFFFAOYSA-N -1 1 343.347 -0.397 20 0 EBADMM CCN(C(=O)C1CCC(O)CC1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000949988324 654014171 /nfs/dbraw/zinc/01/41/71/654014171.db2.gz FDYPJJWJWKWYQM-UHFFFAOYSA-N -1 1 337.424 -0.308 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1cn[nH]n1)c1cnc(C2CC2)[n-]c1=O ZINC000950033291 654022158 /nfs/dbraw/zinc/02/21/58/654022158.db2.gz KUHAGDWYRDYATF-SECBINFHSA-N -1 1 343.347 -0.178 20 0 EBADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCN([C@H]2CCNC2=O)CC1 ZINC000951372517 654106306 /nfs/dbraw/zinc/10/63/06/654106306.db2.gz OUMOUCIRVFQQIO-LBPRGKRZSA-N -1 1 331.376 -0.294 20 0 EBADMM CCN(C(=O)c1cc(C)nnc1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950419379 654127214 /nfs/dbraw/zinc/12/72/14/654127214.db2.gz CEFNXIOQJIDCJI-UHFFFAOYSA-N -1 1 345.407 -0.138 20 0 EBADMM O=C(N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1)[C@@H]1COCCO1 ZINC000950539472 654172011 /nfs/dbraw/zinc/17/20/11/654172011.db2.gz QMVPSRLGRAXJKV-YPMHNXCESA-N -1 1 335.360 -0.077 20 0 EBADMM O=C(N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCC(=O)N1 ZINC000950543347 654173506 /nfs/dbraw/zinc/17/35/06/654173506.db2.gz XNHIDAVRTBHXJI-QWRGUYRKSA-N -1 1 332.360 -0.213 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950543204 654173781 /nfs/dbraw/zinc/17/37/81/654173781.db2.gz TXLRNTFOYJXEIG-AXFHLTTASA-N -1 1 332.360 -0.371 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3nccn4ccnc34)CC2)nc1=O ZINC000950567871 654181217 /nfs/dbraw/zinc/18/12/17/654181217.db2.gz BCMNBINYTMCDKK-UHFFFAOYSA-N -1 1 342.363 -0.891 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NC[C@@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951602106 654208804 /nfs/dbraw/zinc/20/88/04/654208804.db2.gz ISQQFUQAGAMDHE-GUBZILKMSA-N -1 1 330.348 -0.596 20 0 EBADMM CCN(C(=O)[C@@H]1CCC[C@H]1OC)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950667420 654216029 /nfs/dbraw/zinc/21/60/29/654216029.db2.gz NTPMHSTWZXPABK-CHWSQXEVSA-N -1 1 337.424 -0.044 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CCC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000951661656 654236346 /nfs/dbraw/zinc/23/63/46/654236346.db2.gz RNYIHRBDZWXIBE-AXFHLTTASA-N -1 1 344.375 -0.206 20 0 EBADMM Cc1cnc(CN2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)CC2)o1 ZINC000951777410 654278860 /nfs/dbraw/zinc/27/88/60/654278860.db2.gz MGRUNKNEDRFSTA-UHFFFAOYSA-N -1 1 347.375 -0.432 20 0 EBADMM CCN1CCOC[C@@H]1C(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC000950867946 654292569 /nfs/dbraw/zinc/29/25/69/654292569.db2.gz LVENDVKQWFEXIL-CHWSQXEVSA-N -1 1 348.403 -0.161 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1ncccc1[O-])c1c[nH]c(=O)cn1 ZINC000951855480 654316908 /nfs/dbraw/zinc/31/69/08/654316908.db2.gz VUDGWLLZBGGMGP-SECBINFHSA-N -1 1 329.316 -0.485 20 0 EBADMM Cn1cc(C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])[nH]c1=O ZINC000951959029 654356852 /nfs/dbraw/zinc/35/68/52/654356852.db2.gz PQPRDQXIFVVMNE-VIFPVBQESA-N -1 1 331.332 -0.129 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)CCC3CCOCC3)CC2)nc1=O ZINC000951183008 654411186 /nfs/dbraw/zinc/41/11/86/654411186.db2.gz KHXUNCDSXODNNU-UHFFFAOYSA-N -1 1 337.424 -0.041 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)C1CC=CC1)c1cc(=O)n2[n-]cnc2n1 ZINC000951222059 654423208 /nfs/dbraw/zinc/42/32/08/654423208.db2.gz DYUARBZAQDLPJW-LLVKDONJSA-N -1 1 342.359 -0.286 20 0 EBADMM CC[C@H](F)C(=O)N1CC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951224757 654425323 /nfs/dbraw/zinc/42/53/23/654425323.db2.gz NBYPLLJTBHBFLQ-IUCAKERBSA-N -1 1 336.327 -0.504 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)N1CC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951224804 654426301 /nfs/dbraw/zinc/42/63/01/654426301.db2.gz NXPMCKRXAJNXNN-OUAUKWLOSA-N -1 1 344.375 -0.206 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cnn4ccccc34)CC2)nc1=O ZINC000952296818 654435946 /nfs/dbraw/zinc/43/59/46/654435946.db2.gz WBOCZFTTZPBDCL-UHFFFAOYSA-N -1 1 341.375 -0.286 20 0 EBADMM Cn1nnc(C(=O)NCC2CCN(C(=O)c3ncccc3[O-])CC2)n1 ZINC000952441496 654459526 /nfs/dbraw/zinc/45/95/26/654459526.db2.gz ILVFDZLPCGHPEO-UHFFFAOYSA-N -1 1 345.363 -0.407 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)NCC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000952480689 654465595 /nfs/dbraw/zinc/46/55/95/654465595.db2.gz AVNGZFGXOFETNT-NEPJUHHUSA-N -1 1 346.387 -0.123 20 0 EBADMM CC(=O)N1CC[C@@]2(C1)CCCN(C(=O)CCn1cc[n-]c(=O)c1=O)C2 ZINC000952817173 654505145 /nfs/dbraw/zinc/50/51/45/654505145.db2.gz IXMMLCYDYQODIS-QGZVFWFLSA-N -1 1 348.403 -0.212 20 0 EBADMM CC(=O)N1CC[C@@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)[C@@H]2C1 ZINC000953216115 654544703 /nfs/dbraw/zinc/54/47/03/654544703.db2.gz BKNWMENCTDBQHZ-ZYHUDNBSSA-N -1 1 330.348 -0.500 20 0 EBADMM CC(=O)N1CC[C@@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)[C@H]2C1 ZINC000953215219 654544892 /nfs/dbraw/zinc/54/48/92/654544892.db2.gz AJTDXDKETPICDO-OLZOCXBDSA-N -1 1 334.376 -0.604 20 0 EBADMM CC(=O)N1CCCC[C@H]1[C@H](C)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000953494531 654591963 /nfs/dbraw/zinc/59/19/63/654591963.db2.gz FFWWUMMYFDEMPM-CABZTGNLSA-N -1 1 332.364 -0.063 20 0 EBADMM CN(C(=O)CC1CC1)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000953497054 654592193 /nfs/dbraw/zinc/59/21/93/654592193.db2.gz LJXMSIDEHIJDIZ-UHFFFAOYSA-N -1 1 330.348 -0.500 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(N(C)C(=O)[C@H]2C[C@@H]2C)C1 ZINC000953625610 654599973 /nfs/dbraw/zinc/59/99/73/654599973.db2.gz ZIMZSRNVXCMKFL-CBAPKCEASA-N -1 1 336.348 -0.165 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CCN(C(=O)[C@H]2C[C@H]2C(N)=O)CC1 ZINC000953887769 654648288 /nfs/dbraw/zinc/64/82/88/654648288.db2.gz DATHXYCEZFASNT-NEPJUHHUSA-N -1 1 346.387 -0.028 20 0 EBADMM C[C@@H](C(=O)N(C)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC000953980706 654666773 /nfs/dbraw/zinc/66/67/73/654666773.db2.gz GJIYPYNIVULFCI-SECBINFHSA-N -1 1 344.375 -0.254 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1COC2(CN(C(C)=O)C2)C1 ZINC000965755495 724480208 /nfs/dbraw/zinc/48/02/08/724480208.db2.gz MTOALRKQSXSYQO-QMMMGPOBSA-N -1 1 338.320 -0.984 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)Cc1cc[nH]n1 ZINC000965762019 724484542 /nfs/dbraw/zinc/48/45/42/724484542.db2.gz KISVJGMVFZZFDK-JQWIXIFHSA-N -1 1 333.396 -0.599 20 0 EBADMM Cc1nc(CC(=O)N[C@H]2CN(Cc3n[nH]c(=O)[n-]3)CC[C@@H]2C)n[nH]1 ZINC000966045577 724561667 /nfs/dbraw/zinc/56/16/67/724561667.db2.gz IJNUZODTRBVPCZ-WPRPVWTQSA-N -1 1 334.384 -0.494 20 0 EBADMM Cn1cc(S(=O)(=O)NC[C@H]2CN3CCC[C@@H]3CO2)cc1C(=O)[O-] ZINC000390115391 719414045 /nfs/dbraw/zinc/41/40/45/719414045.db2.gz VSPPRVLKJGFCMY-MNOVXSKESA-N -1 1 343.405 -0.135 20 0 EBADMM CC(=O)[N-]S(=O)(=O)c1ccc(N2C[C@H]3OCCN(C)[C@@H]3C2)nc1 ZINC001164669425 719464025 /nfs/dbraw/zinc/46/40/25/719464025.db2.gz URJAZZNMXLNJNW-CHWSQXEVSA-N -1 1 340.405 -0.574 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CCNC(=O)C2)C1 ZINC000954123997 719569298 /nfs/dbraw/zinc/56/92/98/719569298.db2.gz HICUOFXOZMWXRO-SNVBAGLBSA-N -1 1 332.360 -0.404 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2COCCN2C)C1 ZINC000954124376 719569374 /nfs/dbraw/zinc/56/93/74/719569374.db2.gz XMUGPSUWUCQIJB-GFCCVEGCSA-N -1 1 334.376 -0.599 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2COCCN2C)C1 ZINC000954124377 719569505 /nfs/dbraw/zinc/56/95/05/719569505.db2.gz XMUGPSUWUCQIJB-LBPRGKRZSA-N -1 1 334.376 -0.599 20 0 EBADMM C[C@H](C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1)[C@H]1CCC(=O)N1 ZINC000954127746 719570769 /nfs/dbraw/zinc/57/07/69/719570769.db2.gz FHZXLCKSAGLXRB-CMPLNLGQSA-N -1 1 346.387 -0.015 20 0 EBADMM C[C@@H](C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1)[C@@H]1CCC(=O)N1 ZINC000954127748 719571097 /nfs/dbraw/zinc/57/10/97/719571097.db2.gz FHZXLCKSAGLXRB-PWSUYJOCSA-N -1 1 346.387 -0.015 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@]2(C)CCNC2=O)C1 ZINC000954128000 719571288 /nfs/dbraw/zinc/57/12/88/719571288.db2.gz OBCCVVUFDPWTJK-MRXNPFEDSA-N -1 1 332.360 -0.404 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)c1nnn(C)n1 ZINC000966324683 724612801 /nfs/dbraw/zinc/61/28/01/724612801.db2.gz BEBLWXQYTSOZDH-IUCAKERBSA-N -1 1 331.336 -0.799 20 0 EBADMM CN(C(=O)C[C@H]1CCC(=O)NC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954455885 719726458 /nfs/dbraw/zinc/72/64/58/719726458.db2.gz KPWBGGVXWBBIOM-LLVKDONJSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)[C@H]1CCC(=O)N(C)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954505326 719752761 /nfs/dbraw/zinc/75/27/61/719752761.db2.gz HUCHUEMNJBRRMT-NSHDSACASA-N -1 1 346.387 -0.062 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)Cn1ncnn1 ZINC000966344814 724618465 /nfs/dbraw/zinc/61/84/65/724618465.db2.gz GBEGWHQRHMZLBF-VHSXEESVSA-N -1 1 331.336 -0.949 20 0 EBADMM C[C@H]1CN(C(=O)c2cnn(C)c2N)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966349255 724619642 /nfs/dbraw/zinc/61/96/42/724619642.db2.gz MSPSBSWZMYCCAT-GXSJLCMTSA-N -1 1 344.375 -0.007 20 0 EBADMM C[C@@H]1OCCO[C@@H]1C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954632591 719804643 /nfs/dbraw/zinc/80/46/43/719804643.db2.gz FPPFTZLEKSHXLA-HZMBPMFUSA-N -1 1 335.360 -0.126 20 0 EBADMM CN(C(=O)[C@]1(C)CCNC(=O)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954648133 719818249 /nfs/dbraw/zinc/81/82/49/719818249.db2.gz PFIYQWQBMXLPML-QGZVFWFLSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@H]1CN(C(=O)c2cc(=O)n(C)o2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966367141 724625516 /nfs/dbraw/zinc/62/55/16/724625516.db2.gz BLMSSXYCVWZVML-UWVGGRQHSA-N -1 1 346.343 -0.031 20 0 EBADMM CN(C(=O)[C@@H]1CCN(C)C(=O)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954716699 719858056 /nfs/dbraw/zinc/85/80/56/719858056.db2.gz MJVJIOSIPWLDRZ-LLVKDONJSA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C(=O)[C@H]1C[C@H]1C(N)=O)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000954720930 719863815 /nfs/dbraw/zinc/86/38/15/719863815.db2.gz PRZQORJYUMTPFU-NEPJUHHUSA-N -1 1 346.387 -0.028 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C1CN(C(=O)c2ccc[nH]2)C1 ZINC000954829021 719924534 /nfs/dbraw/zinc/92/45/34/719924534.db2.gz UHINSNKBNAVUSW-UHFFFAOYSA-N -1 1 347.331 -0.179 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)C(C)(C)C)C1 ZINC000954830404 719925081 /nfs/dbraw/zinc/92/50/81/719925081.db2.gz GJXVOFAYYCNSAF-UHFFFAOYSA-N -1 1 332.364 -0.254 20 0 EBADMM CC(C)=C(F)C(=O)N1CC(N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000954833180 719926993 /nfs/dbraw/zinc/92/69/93/719926993.db2.gz VJOPZVBMFZGLEI-UHFFFAOYSA-N -1 1 348.338 -0.036 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)C2(CF)CC2)C1 ZINC000954833256 719927294 /nfs/dbraw/zinc/92/72/94/719927294.db2.gz WKUAXSIQELNLKY-UHFFFAOYSA-N -1 1 348.338 -0.550 20 0 EBADMM O=C(CN1CCOCC1)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000955973064 720311203 /nfs/dbraw/zinc/31/12/03/720311203.db2.gz QUXHSZVTAOEWND-ZDUSSCGKSA-N -1 1 348.403 -0.160 20 0 EBADMM O=C(NC[C@@H]1CCCN1C(=O)c1ncccc1[O-])[C@@H]1COCCO1 ZINC000955980017 720315540 /nfs/dbraw/zinc/31/55/40/720315540.db2.gz WKJJWVDMIIQTRO-AAEUAGOBSA-N -1 1 335.360 -0.077 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnn(C)n2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966599149 724694164 /nfs/dbraw/zinc/69/41/64/724694164.db2.gz ZXPJXCIYQAAHRY-KOLCDFICSA-N -1 1 330.348 -0.194 20 0 EBADMM C[C@H]1CN(C(=O)Cn2cncn2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966851237 724764577 /nfs/dbraw/zinc/76/45/77/724764577.db2.gz JVKXAGWYTAAYGY-WDEREUQCSA-N -1 1 330.348 -0.344 20 0 EBADMM CC(=O)N[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1(C)C ZINC000966913126 724780965 /nfs/dbraw/zinc/78/09/65/724780965.db2.gz LCLYXMQHCMYFIU-GFCCVEGCSA-N -1 1 336.392 -0.310 20 0 EBADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)Cn2cncn2)C1 ZINC000956850122 722119494 /nfs/dbraw/zinc/11/94/94/722119494.db2.gz FWPIQQOLMOMQAN-HNNXBMFYSA-N -1 1 330.348 -0.200 20 0 EBADMM C[C@]1(NC(=O)c2cnc(C3CC3)[n-]c2=O)CCN(C(=O)C(N)=O)C1 ZINC000956927832 722135651 /nfs/dbraw/zinc/13/56/51/722135651.db2.gz SWJTWPLOPKMELG-HNNXBMFYSA-N -1 1 333.348 -0.734 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@@H](C)[C@H](NC(=O)C(F)F)C1 ZINC000957048893 722147343 /nfs/dbraw/zinc/14/73/43/722147343.db2.gz ORQZZEQZIKLEEZ-PHDIDXHHSA-N -1 1 346.290 -0.262 20 0 EBADMM CCN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN([C@@H](C)COC)C1 ZINC000957134411 722162067 /nfs/dbraw/zinc/16/20/67/722162067.db2.gz LOXQZCPUPAXIAW-JTQLQIEISA-N -1 1 334.380 -0.401 20 0 EBADMM C[C@]1(NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN(C(=O)CC2CC2)C1 ZINC000957219754 722176250 /nfs/dbraw/zinc/17/62/50/722176250.db2.gz LUTASHPIKUGFHG-INIZCTEOSA-N -1 1 344.375 -0.062 20 0 EBADMM CO[C@H](C)C(=O)N1CC[C@@](C)(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000957219380 722176272 /nfs/dbraw/zinc/17/62/72/722176272.db2.gz CZAXCOCDFGWJFE-RFAUZJTJSA-N -1 1 348.363 -0.827 20 0 EBADMM C[C@@]1(NC(=O)[C@@H]2CCC(=O)N2)CCN(C(=O)c2ncccc2[O-])C1 ZINC000957253052 722179696 /nfs/dbraw/zinc/17/96/96/722179696.db2.gz PXCBADOBUXAQOS-MGPLVRAMSA-N -1 1 332.360 -0.213 20 0 EBADMM C[C@]1(NC(=O)[C@H]2CCNC2=O)CCN(C(=O)c2ncccc2[O-])C1 ZINC000957269602 722182067 /nfs/dbraw/zinc/18/20/67/722182067.db2.gz JKFRTFATZLKIOP-QFYYESIMSA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCN(Cc2cnns2)CC1 ZINC000957319231 722195561 /nfs/dbraw/zinc/19/55/61/722195561.db2.gz CWONHVGIGQQZRB-UHFFFAOYSA-N -1 1 346.376 -0.773 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)C(C)(C)c3cnc[nH]3)CC2)nc1=O ZINC000957335052 722197625 /nfs/dbraw/zinc/19/76/25/722197625.db2.gz GQNBKRBIKZOERF-UHFFFAOYSA-N -1 1 333.396 -0.547 20 0 EBADMM C[C@@H](C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1)c1cccnc1 ZINC000957365774 722202832 /nfs/dbraw/zinc/20/28/32/722202832.db2.gz WCPLIAHFUBLZCF-GFCCVEGCSA-N -1 1 330.392 -0.049 20 0 EBADMM CC(C)c1nn(C)cc1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000957641870 722230497 /nfs/dbraw/zinc/23/04/97/722230497.db2.gz BMEDDNBHESRZMJ-UHFFFAOYSA-N -1 1 347.423 -0.077 20 0 EBADMM C[C@H](CN1CCOCC1)C(=O)N1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC000957667476 722232068 /nfs/dbraw/zinc/23/20/68/722232068.db2.gz DATMUBTVNNAHOA-GFCCVEGCSA-N -1 1 338.412 -0.877 20 0 EBADMM O=C(NC[C@H]1CN(Cc2cc(=O)n3[n-]ccc3n2)C[C@H]1O)C1CC1 ZINC000957783183 722241120 /nfs/dbraw/zinc/24/11/20/722241120.db2.gz DAFDWVIVURJELB-WCQYABFASA-N -1 1 331.376 -0.659 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1C[C@@H](C)[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000957815469 722244812 /nfs/dbraw/zinc/24/48/12/722244812.db2.gz JEKAJPFQEXIHGS-MIZYBKAJSA-N -1 1 344.375 -0.350 20 0 EBADMM CCC(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(C(=O)c1cc(=O)n3[n-]cnc3n1)C2 ZINC000957823023 722245663 /nfs/dbraw/zinc/24/56/63/722245663.db2.gz WMNKLAGMDDKBTQ-DCAQKATOSA-N -1 1 344.375 -0.063 20 0 EBADMM Cc1ccccc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC000957833477 722246561 /nfs/dbraw/zinc/24/65/61/722246561.db2.gz BQPZINQYSMEMEE-JSGCOSHPSA-N -1 1 345.403 -0.360 20 0 EBADMM Cc1cc(C)c(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)o1 ZINC000957946669 722274835 /nfs/dbraw/zinc/27/48/35/722274835.db2.gz AIOJIXUJDNIYTE-NWDGAFQWSA-N -1 1 349.391 -0.459 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)[C@H]3CC34CCOCC4)CC2)nc1=O ZINC000957954524 722276469 /nfs/dbraw/zinc/27/64/69/722276469.db2.gz OVIROYWHEURWEG-GFCCVEGCSA-N -1 1 335.408 -0.431 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)oc1C ZINC000957978776 722280814 /nfs/dbraw/zinc/28/08/14/722280814.db2.gz IPHANNOQJSWYFY-VXGBXAGGSA-N -1 1 349.391 -0.459 20 0 EBADMM C[C@@H](C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1)c1cnn(C)c1 ZINC000957983545 722282007 /nfs/dbraw/zinc/28/20/07/722282007.db2.gz OEYCTQBYSFWGHF-LLVKDONJSA-N -1 1 333.396 -0.710 20 0 EBADMM CCn1ncnc1CN1C[C@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957990241 722283794 /nfs/dbraw/zinc/28/37/94/722283794.db2.gz LOOSOPCFNNECJY-AAEUAGOBSA-N -1 1 346.391 -0.379 20 0 EBADMM Cn1nccc1C[N@@H+]1C[C@H](O)[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957992319 722284316 /nfs/dbraw/zinc/28/43/16/722284316.db2.gz WRCJCHUIAUUJEJ-RISCZKNCSA-N -1 1 331.376 -0.257 20 0 EBADMM Cn1cnnc1CN1C[C@@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957992615 722284606 /nfs/dbraw/zinc/28/46/06/722284606.db2.gz YQIYKULRIXJPPD-CMPLNLGQSA-N -1 1 332.364 -0.862 20 0 EBADMM Cn1cc(CN2C[C@@H](O)[C@@H](CNC(=O)c3ncccc3[O-])C2)nn1 ZINC000957994553 722284858 /nfs/dbraw/zinc/28/48/58/722284858.db2.gz DKOPXAWRSSHLCD-GXFFZTMASA-N -1 1 332.364 -0.862 20 0 EBADMM O=C(NC[C@@H]1C[N@@H+](Cc2cncc(F)c2)C[C@H]1O)c1cnc[nH]c1=O ZINC000958167828 722317295 /nfs/dbraw/zinc/31/72/95/722317295.db2.gz QMZBDYGOXUJVMM-BXUZGUMPSA-N -1 1 347.350 -0.061 20 0 EBADMM Cc1cc(C[N@@H+]2C[C@@H](O)[C@@H](CNC(=O)c3cnc[nH]c3=O)C2)ncn1 ZINC000958167994 722317425 /nfs/dbraw/zinc/31/74/25/722317425.db2.gz RSRJZEWYKAYNIJ-SMDDNHRTSA-N -1 1 344.375 -0.497 20 0 EBADMM Cc1cc(C)c(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)[nH]1 ZINC000958187774 722321400 /nfs/dbraw/zinc/32/14/00/722321400.db2.gz WETBKZFRJKFSOD-VXGBXAGGSA-N -1 1 348.407 -0.724 20 0 EBADMM Cc1cncc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)c1 ZINC000958470438 722371982 /nfs/dbraw/zinc/37/19/82/722371982.db2.gz UZRODNITWHJERZ-IMRBUKKESA-N -1 1 328.376 -0.328 20 0 EBADMM Cc1nscc1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000958617882 722403016 /nfs/dbraw/zinc/40/30/16/722403016.db2.gz MECQDQAJIKXDJF-GDGBQDQQSA-N -1 1 334.405 -0.266 20 0 EBADMM CCn1cccc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC000958685619 722417374 /nfs/dbraw/zinc/41/73/74/722417374.db2.gz TVCVIHKQXBHOMG-DGCLKSJQSA-N -1 1 348.407 -0.848 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)c2csnn2)C[C@@H]1O ZINC000958830290 722604175 /nfs/dbraw/zinc/60/41/75/722604175.db2.gz OLXAYPUJHIFKMH-ONGXEEELSA-N -1 1 349.372 -0.404 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)c2cnn(C)c2)C[C@@H]1O ZINC000958830261 722604191 /nfs/dbraw/zinc/60/41/91/722604191.db2.gz NARYPUBRUBQUEP-AAEUAGOBSA-N -1 1 345.359 -0.522 20 0 EBADMM Cc1c[nH]nc1C(=O)N(C)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958842432 722612766 /nfs/dbraw/zinc/61/27/66/722612766.db2.gz BSBROZKPRQPSRY-JQWIXIFHSA-N -1 1 345.359 -0.224 20 0 EBADMM CC(=O)Nc1cccnc1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001018947661 728752495 /nfs/dbraw/zinc/75/24/95/728752495.db2.gz RQAOZYYEAUOEKX-JTQLQIEISA-N -1 1 345.363 -0.132 20 0 EBADMM Cc1nc[nH]c1C(=O)N(C)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958888576 722639956 /nfs/dbraw/zinc/63/99/56/722639956.db2.gz VLPYVNREVPVFLW-JQWIXIFHSA-N -1 1 345.359 -0.224 20 0 EBADMM CN(C(=O)c1ncccn1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958935636 722663021 /nfs/dbraw/zinc/66/30/21/722663021.db2.gz IJOJNKAGJVCPFE-JQWIXIFHSA-N -1 1 343.343 -0.465 20 0 EBADMM C[C@@H]1CC[C@H](C(=O)N(C)[C@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)O1 ZINC000958972104 722678511 /nfs/dbraw/zinc/67/85/11/722678511.db2.gz JOVFIYYYKRLJFH-UVLXDEKHSA-N -1 1 349.387 -0.002 20 0 EBADMM O=C(c1cnn2ncccc12)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001018975676 728760406 /nfs/dbraw/zinc/76/04/06/728760406.db2.gz GTCFCVAJPHUGIJ-VIFPVBQESA-N -1 1 328.336 -0.443 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)Cn2ncnn2)C1 ZINC000959168440 722694311 /nfs/dbraw/zinc/69/43/11/722694311.db2.gz VRKGVIZSQJMBBM-WDEREUQCSA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@@H](NC(=O)C1CC1)[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000959208745 722698732 /nfs/dbraw/zinc/69/87/32/722698732.db2.gz RBGIUFNKBGDIOR-DGCLKSJQSA-N -1 1 348.403 -0.310 20 0 EBADMM Cn1ncc(C(=O)N2CCC3(CN(C(=O)c4ncccc4[O-])C3)C2)n1 ZINC001018962108 728756536 /nfs/dbraw/zinc/75/65/36/728756536.db2.gz GJSCHCBAOPIZQP-UHFFFAOYSA-N -1 1 342.359 -0.096 20 0 EBADMM C[C@H]1CN(C(=O)Cn2cnnn2)C[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000959369769 722713645 /nfs/dbraw/zinc/71/36/45/722713645.db2.gz OLDPKDIJEFEVLY-QWRGUYRKSA-N -1 1 345.363 -0.702 20 0 EBADMM C[C@@H]1CN(C(=O)[C@]2(C)CCNC2=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000959645010 722753288 /nfs/dbraw/zinc/75/32/88/722753288.db2.gz DZMMUEZNHZCUOG-CZIZLABSSA-N -1 1 346.387 -0.110 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1C[C@@H]2CN(C(=O)C3CC3)C[C@@H]2C1 ZINC000959777892 722760036 /nfs/dbraw/zinc/76/00/36/722760036.db2.gz VDXLSBJBVZNOCR-BETUJISGSA-N -1 1 346.387 -0.747 20 0 EBADMM Cc1[nH]nc(C(=O)N2CCO[C@@H]3CN(C(=O)c4ccn[nH]4)C[C@@H]32)c1[O-] ZINC000960247262 722810133 /nfs/dbraw/zinc/81/01/33/722810133.db2.gz SDLJNLCUWYNIMS-WDEREUQCSA-N -1 1 346.347 -0.488 20 0 EBADMM O=C(c1ncccc1[O-])N1CCO[C@@H]2CN(C(=O)[C@H]3CCOC3)C[C@@H]21 ZINC000960278082 722813196 /nfs/dbraw/zinc/81/31/96/722813196.db2.gz VIKIVZVNLGEBGN-SGMGOOAPSA-N -1 1 347.371 -0.125 20 0 EBADMM Cc1c(C(=O)N2CCC[C@@H]2CN(C)Cc2nc(=O)n(C)[n-]2)nnn1C ZINC000960507669 722835003 /nfs/dbraw/zinc/83/50/03/722835003.db2.gz KNOPKKVLONAORS-LLVKDONJSA-N -1 1 348.411 -0.718 20 0 EBADMM CCn1ncc(C(=O)N2CCC[C@H]2CN(C)Cc2nc(=O)n(C)[n-]2)n1 ZINC000960622511 722845794 /nfs/dbraw/zinc/84/57/94/722845794.db2.gz HAZHQZQLWLMYJE-NSHDSACASA-N -1 1 348.411 -0.544 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(CCC(F)(F)F)C[C@H]21)c1n[nH]c(=O)[n-]c1=O ZINC000960808774 722867290 /nfs/dbraw/zinc/86/72/90/722867290.db2.gz KUXPCUNJXCWTCB-ZMONIFLSSA-N -1 1 333.270 -0.105 20 0 EBADMM Cc1cc(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2n[nH]c(=O)[n-]c2=O)sn1 ZINC000960811836 722867600 /nfs/dbraw/zinc/86/76/00/722867600.db2.gz WKPMOZWYVRXPJI-MYJAWHEDSA-N -1 1 348.388 -0.092 20 0 EBADMM Cc1oncc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC000960812770 722867746 /nfs/dbraw/zinc/86/77/46/722867746.db2.gz ZJYBPTABJQKXOF-MYJAWHEDSA-N -1 1 332.320 -0.561 20 0 EBADMM Cn1cnc(C(=O)N2CC3(C2)CCN(C(=O)c2ncccc2[O-])C3)n1 ZINC001018996246 728769119 /nfs/dbraw/zinc/76/91/19/728769119.db2.gz KBGQJCPHZZSBRH-UHFFFAOYSA-N -1 1 342.359 -0.096 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ocnc2C2CC2)nc1=O ZINC000961757426 723073134 /nfs/dbraw/zinc/07/31/34/723073134.db2.gz RUWKBXLGXPBGJX-IWIIMEHWSA-N -1 1 344.375 -0.166 20 0 EBADMM Cc1ncccc1CC(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000961770350 723077320 /nfs/dbraw/zinc/07/73/20/723077320.db2.gz KXLJCACGBNKMRI-VIKVFOODSA-N -1 1 342.403 -0.399 20 0 EBADMM COc1ncccc1CN[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001019094667 728794672 /nfs/dbraw/zinc/79/46/72/728794672.db2.gz GQWOLNFDYZFTRK-JTQLQIEISA-N -1 1 346.347 -0.309 20 0 EBADMM Cc1cnc([C@@H](C)N[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)cn1 ZINC001019094987 728795157 /nfs/dbraw/zinc/79/51/57/728795157.db2.gz WDPVFBASBSSFBO-ZJUUUORDSA-N -1 1 345.363 -0.053 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C(C)(C)c2c[nH]cn2)nc1=O ZINC000962319563 723226123 /nfs/dbraw/zinc/22/61/23/723226123.db2.gz XNRVTFZHBIOKOD-IWIIMEHWSA-N -1 1 345.407 -0.644 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)[C@H]2C[C@@H]2C(F)F)C[C@@H]1O)c1ncccc1[O-] ZINC000962434104 723251490 /nfs/dbraw/zinc/25/14/90/723251490.db2.gz DFSICURPCQQORV-CKEKPRIKSA-N -1 1 341.314 -0.010 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1ncccc1O ZINC000962433962 723251496 /nfs/dbraw/zinc/25/14/96/723251496.db2.gz BLCHHIJABCXSNX-SKDRFNHKSA-N -1 1 344.327 -0.497 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2O)C[C@@H]1O)c1ncccc1[O-] ZINC000962433962 723251498 /nfs/dbraw/zinc/25/14/98/723251498.db2.gz BLCHHIJABCXSNX-SKDRFNHKSA-N -1 1 344.327 -0.497 20 0 EBADMM O=C(CCn1cccc1)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962435292 723251941 /nfs/dbraw/zinc/25/19/41/723251941.db2.gz ONSJMXHEAHXZGB-OCCSQVGLSA-N -1 1 344.371 -0.020 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2csnn2)C[C@@H]1O)c1ncccc1[O-] ZINC000962441964 723254277 /nfs/dbraw/zinc/25/42/77/723254277.db2.gz UQPZGQSDLDXSQS-XCBNKYQSSA-N -1 1 335.345 -0.746 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2cc(F)c[nH]2)C[C@@H]1O)c1ncccc1[O-] ZINC000962441967 723254569 /nfs/dbraw/zinc/25/45/69/723254569.db2.gz UTGQDDHQNTXDOP-PWSUYJOCSA-N -1 1 334.307 -0.130 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ccc(=O)[nH]c2)nc1=O ZINC000962462203 723258593 /nfs/dbraw/zinc/25/85/93/723258593.db2.gz QAHVNCCKYKIBGV-IWIIMEHWSA-N -1 1 330.348 -0.931 20 0 EBADMM Cc1nc(CC(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)cs1 ZINC000962492047 723266174 /nfs/dbraw/zinc/26/61/74/723266174.db2.gz YZDUUYLAJYTINK-YABSGUDNSA-N -1 1 348.432 -0.338 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)NC1CC(CNCc2ncccn2)C1 ZINC000962604001 723293767 /nfs/dbraw/zinc/29/37/67/723293767.db2.gz YPPJGFXYNQPUBN-UHFFFAOYSA-N -1 1 333.352 -0.836 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1ncccc1[O-])c1cnsn1 ZINC000964091345 723796193 /nfs/dbraw/zinc/79/61/93/723796193.db2.gz DYZCRCKHUKLNLR-VIFPVBQESA-N -1 1 349.372 -0.090 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1ncccc1[O-])[C@@H]1CCOC1 ZINC000964107499 723807738 /nfs/dbraw/zinc/80/77/38/723807738.db2.gz VQSYHJGTOOZBDR-NEPJUHHUSA-N -1 1 335.360 -0.219 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1ncccc1[O-])c1cn[nH]c1 ZINC000964117934 723816474 /nfs/dbraw/zinc/81/64/74/723816474.db2.gz JCMWKUGGOWMXBH-NSHDSACASA-N -1 1 331.332 -0.219 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)[C@@H]1CCC(=O)N1)c1ncccc1[O-] ZINC000964151675 723838659 /nfs/dbraw/zinc/83/86/59/723838659.db2.gz BTWDVRBAXRPKNN-QWRGUYRKSA-N -1 1 348.359 -0.977 20 0 EBADMM Cc1nonc1C(=O)N1CCOC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000964155891 723841794 /nfs/dbraw/zinc/84/17/94/723841794.db2.gz SLTZQYNCGPFJNJ-SNVBAGLBSA-N -1 1 347.331 -0.250 20 0 EBADMM Cc1nonc1C(=O)N1CCOC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000964155890 723841806 /nfs/dbraw/zinc/84/18/06/723841806.db2.gz SLTZQYNCGPFJNJ-JTQLQIEISA-N -1 1 347.331 -0.250 20 0 EBADMM Cn1ncc2c1C[C@H](C(=O)N1CC[C@H](NCc3n[nH]c(=O)[n-]3)C1)CC2 ZINC001019290625 728849097 /nfs/dbraw/zinc/84/90/97/728849097.db2.gz CFAPZPZDEFRGDG-PWSUYJOCSA-N -1 1 345.407 -0.261 20 0 EBADMM Cn1cc(C(=O)NC[C@H]2COCCN2C(=O)c2ncccc2[O-])nn1 ZINC000964189178 723870157 /nfs/dbraw/zinc/87/01/57/723870157.db2.gz PMRRUHBTDBJAOD-JTQLQIEISA-N -1 1 346.347 -0.813 20 0 EBADMM O=C(NC[C@H]1CCCCN1C(=O)c1cc(=O)n2[n-]cnc2n1)C1CC1 ZINC000964539340 724026268 /nfs/dbraw/zinc/02/62/68/724026268.db2.gz UQMILVDEEOVSJN-LLVKDONJSA-N -1 1 344.375 -0.062 20 0 EBADMM CCC(=O)N[C@@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000964644502 724046762 /nfs/dbraw/zinc/04/67/62/724046762.db2.gz OCAFXURTINJDFR-SNVBAGLBSA-N -1 1 332.364 -0.062 20 0 EBADMM O=C(Cn1cnnn1)N[C@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000964732065 724052266 /nfs/dbraw/zinc/05/22/66/724052266.db2.gz LBJQQPYVMGNDEC-NSHDSACASA-N -1 1 345.363 -0.415 20 0 EBADMM O=C(Cn1ncnn1)N1CCC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC000964857791 724067441 /nfs/dbraw/zinc/06/74/41/724067441.db2.gz VLQGJXWDMULMHF-NSHDSACASA-N -1 1 345.363 -0.415 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1ccc(=O)[nH]n1 ZINC000964899989 724075854 /nfs/dbraw/zinc/07/58/54/724075854.db2.gz DFIDQIGPEMRSAO-ONGXEEELSA-N -1 1 347.379 -0.756 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cnn(C)c1 ZINC000965004670 724097844 /nfs/dbraw/zinc/09/78/44/724097844.db2.gz YYJQPGMNXBIUAB-CMPLNLGQSA-N -1 1 333.396 -0.518 20 0 EBADMM Cc1c(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)cnn1C ZINC000965145836 724135841 /nfs/dbraw/zinc/13/58/41/724135841.db2.gz AYCUAIPYIXOWST-GXFFZTMASA-N -1 1 347.423 -0.209 20 0 EBADMM Cn1cncc1[C@@H]1C[C@H]1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019406715 728879835 /nfs/dbraw/zinc/87/98/35/728879835.db2.gz RQZBGKHANQENQU-HBNTYKKESA-N -1 1 331.380 -0.262 20 0 EBADMM O=C(c1cn[nH]n1)N1CC2(C1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC000965361656 724198144 /nfs/dbraw/zinc/19/81/44/724198144.db2.gz OFHAUCMQWAHVPA-UHFFFAOYSA-N -1 1 344.331 -0.728 20 0 EBADMM CO[C@H](C)C(=O)N1CC2(C1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC000965365262 724200251 /nfs/dbraw/zinc/20/02/51/724200251.db2.gz XFDIYRYEJVWBGW-LLVKDONJSA-N -1 1 335.360 -0.125 20 0 EBADMM CC(C)[C@@H](O)C(=O)N1CCOC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000965370498 724204092 /nfs/dbraw/zinc/20/40/92/724204092.db2.gz UYTHUPPKBMUPRZ-CQSZACIVSA-N -1 1 349.387 -0.143 20 0 EBADMM CCCN1C[C@H](C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CC1=O ZINC001019446568 728886847 /nfs/dbraw/zinc/88/68/47/728886847.db2.gz VJTSPLQTFOPONJ-MNOVXSKESA-N -1 1 336.396 -0.541 20 0 EBADMM Cc1cnn(CC(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)c1 ZINC000965476326 724265528 /nfs/dbraw/zinc/26/55/28/724265528.db2.gz LJUJBDINKZRYGZ-OLZOCXBDSA-N -1 1 347.423 -0.360 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ccnn1C ZINC000965525660 724284406 /nfs/dbraw/zinc/28/44/06/724284406.db2.gz LMSQXRXHJNREDY-GHMZBOCLSA-N -1 1 333.396 -0.518 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)Cc1cnn(C)c1 ZINC000965527654 724285193 /nfs/dbraw/zinc/28/51/93/724285193.db2.gz OTFATXNKIJVQFE-AAEUAGOBSA-N -1 1 347.423 -0.589 20 0 EBADMM Cc1ncc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)[nH]1 ZINC000965627916 724326390 /nfs/dbraw/zinc/32/63/90/724326390.db2.gz TUHYGROBWFOAIB-BXKDBHETSA-N -1 1 333.396 -0.220 20 0 EBADMM Cc1nnccc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1C ZINC000967257940 724857113 /nfs/dbraw/zinc/85/71/13/724857113.db2.gz OBAUUGCSGNZQAM-GXFFZTMASA-N -1 1 345.407 -0.153 20 0 EBADMM CC(=O)N(C)[C@@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000967427635 724875120 /nfs/dbraw/zinc/87/51/20/724875120.db2.gz IAYQVQSESUGWFV-LLVKDONJSA-N -1 1 332.364 -0.109 20 0 EBADMM NC(=O)C(=O)N1CCOC2(CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC000967697477 724896344 /nfs/dbraw/zinc/89/63/44/724896344.db2.gz YXHPLQGHYANXQD-UHFFFAOYSA-N -1 1 348.359 -0.894 20 0 EBADMM CCN(C(=O)[C@H]1C[C@@H]1C)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000967756321 724903016 /nfs/dbraw/zinc/90/30/16/724903016.db2.gz OGDDNPOVSKPSNM-ONGXEEELSA-N -1 1 344.375 -0.254 20 0 EBADMM CCN(C(=O)c1ccn[nH]1)C1CN(C(=O)c2cnc([O-])n(C)c2=O)C1 ZINC000967795515 724907024 /nfs/dbraw/zinc/90/70/24/724907024.db2.gz FHTAFZCXLZYZRA-UHFFFAOYSA-N -1 1 346.347 -0.804 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2COCCN2C)C1 ZINC000967898880 724927591 /nfs/dbraw/zinc/92/75/91/724927591.db2.gz MDVJMHCTHHNGHV-CYBMUJFWSA-N -1 1 348.403 -0.209 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CC[C@H]2C(N)=O)C1 ZINC000967909675 724929532 /nfs/dbraw/zinc/92/95/32/724929532.db2.gz ZDKPQDADTFUIOF-NEPJUHHUSA-N -1 1 346.387 -0.028 20 0 EBADMM Cc1cnc(CN[C@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)o1 ZINC001019523018 728907871 /nfs/dbraw/zinc/90/78/71/728907871.db2.gz OXARMHOTIYCMLU-LBPRGKRZSA-N -1 1 347.375 -0.386 20 0 EBADMM CCN(C(=O)[C@@H]1CC[C@@H]1C(N)=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000968204875 724978356 /nfs/dbraw/zinc/97/83/56/724978356.db2.gz IALOXPVXCXVHDR-NWDGAFQWSA-N -1 1 346.387 -0.028 20 0 EBADMM CCN(C(=O)c1c[nH]c(=O)cn1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000968283133 725045384 /nfs/dbraw/zinc/04/53/84/725045384.db2.gz AZLYKCZQPGXYBW-UHFFFAOYSA-N -1 1 343.343 -0.143 20 0 EBADMM Cc1ccnn1CC(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1C ZINC000968369559 725056224 /nfs/dbraw/zinc/05/62/24/725056224.db2.gz MPBVBWWBKPRGGA-AAEUAGOBSA-N -1 1 347.423 -0.360 20 0 EBADMM COCCN1CC[C@@H](C)[C@@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC000968432091 725063236 /nfs/dbraw/zinc/06/32/36/725063236.db2.gz VIHVOXPASGRWIB-YPMHNXCESA-N -1 1 348.407 -0.456 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ccn(C)n1 ZINC000968646657 725095519 /nfs/dbraw/zinc/09/55/19/725095519.db2.gz FWOAPZMPCAUPJC-CMPLNLGQSA-N -1 1 333.396 -0.518 20 0 EBADMM Cc1nonc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1C ZINC000968706151 725106478 /nfs/dbraw/zinc/10/64/78/725106478.db2.gz LGHCFSMUINZMAE-WCBMZHEXSA-N -1 1 335.368 -0.560 20 0 EBADMM O=C(Cn1cncn1)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC000968886668 725125623 /nfs/dbraw/zinc/12/56/23/725125623.db2.gz VBXUCFXQGOWRSV-TXEJJXNPSA-N -1 1 342.359 -0.106 20 0 EBADMM O=C([C@H]1CCn2ccnc2C1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969135238 725154583 /nfs/dbraw/zinc/15/45/83/725154583.db2.gz FAZZZEXKPJHZMX-WDEREUQCSA-N -1 1 331.380 -0.340 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CC[C@@H](NCc2cc3n(n2)CCC3)C1 ZINC000969210170 725161814 /nfs/dbraw/zinc/16/18/14/725161814.db2.gz LSBGPLQLEVGREX-SECBINFHSA-N -1 1 345.363 -0.570 20 0 EBADMM Cc1cnc(CN[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)cn1 ZINC000969209596 725161818 /nfs/dbraw/zinc/16/18/18/725161818.db2.gz AMAMOLVTNFILKD-SECBINFHSA-N -1 1 331.336 -0.614 20 0 EBADMM Cn1c(Cl)ncc1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969330597 725171109 /nfs/dbraw/zinc/17/11/09/725171109.db2.gz DJDFFBWYRGNNTM-SSDOTTSWSA-N -1 1 325.760 -0.099 20 0 EBADMM Cc1ncncc1C(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969343556 725172162 /nfs/dbraw/zinc/17/21/62/725172162.db2.gz YXBQXASJAVMZOS-VIFPVBQESA-N -1 1 331.380 -0.543 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H](C)C2CN(CCN3C(=O)CCC3=O)C2)c1[O-] ZINC000969500570 725185799 /nfs/dbraw/zinc/18/57/99/725185799.db2.gz RJJSYWYFNUGKMM-SECBINFHSA-N -1 1 349.391 -0.377 20 0 EBADMM Cc1nc([C@@H](C)N[C@@H]2CCN(C(=O)c3cnc([O-])n(C)c3=O)C2)n[nH]1 ZINC000969553386 725192388 /nfs/dbraw/zinc/19/23/88/725192388.db2.gz SYLUVKGMTLLKOC-PSASIEDQSA-N -1 1 347.379 -0.522 20 0 EBADMM CCn1nnc(C)c1C(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969819343 725220337 /nfs/dbraw/zinc/22/03/37/725220337.db2.gz TWKVTMOYYYNCNR-VIFPVBQESA-N -1 1 348.411 -0.721 20 0 EBADMM Cc1noc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC000969922595 725228558 /nfs/dbraw/zinc/22/85/58/725228558.db2.gz NDKRJVFRGVWDMO-SNVBAGLBSA-N -1 1 334.380 -0.036 20 0 EBADMM C[C@@]1(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)CCOC1 ZINC000970098683 725250538 /nfs/dbraw/zinc/25/05/38/725250538.db2.gz CXTUWRCPFHSATN-RSAASHCRSA-N -1 1 335.360 -0.485 20 0 EBADMM CN(C)c1cc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)ccn1 ZINC000970148194 725256429 /nfs/dbraw/zinc/25/64/29/725256429.db2.gz ITRUZSIOYLIJAD-LLVKDONJSA-N -1 1 331.380 -0.024 20 0 EBADMM CC[C@@H]1OCC[C@H]1C(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970181772 725259350 /nfs/dbraw/zinc/25/93/50/725259350.db2.gz GSCHTKQAOJWFKZ-RTXFEEFZSA-N -1 1 337.424 -0.140 20 0 EBADMM C[C@H](NC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970180254 725259514 /nfs/dbraw/zinc/25/95/14/725259514.db2.gz TXIAMNRPXRWYJT-FIWWZFGMSA-N -1 1 347.419 -0.532 20 0 EBADMM Cc1cn2ccnc(C(=O)N3CC[C@@H](NCc4n[nH]c(=O)[n-]4)C3)c2n1 ZINC000970430170 725290338 /nfs/dbraw/zinc/29/03/38/725290338.db2.gz QJUZQPKQXKXDQY-SNVBAGLBSA-N -1 1 342.363 -0.134 20 0 EBADMM Cc1nc(CN[C@@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)co1 ZINC000970475024 725294811 /nfs/dbraw/zinc/29/48/11/725294811.db2.gz KXFYDZAAKAIEJD-SNVBAGLBSA-N -1 1 343.347 -0.282 20 0 EBADMM Cc1cc(CN[C@@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)no1 ZINC000970475699 725294955 /nfs/dbraw/zinc/29/49/55/725294955.db2.gz VQZCEZWGDSSAJA-SNVBAGLBSA-N -1 1 343.347 -0.282 20 0 EBADMM CN(C)c1ccc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)nn1 ZINC000970502604 725296244 /nfs/dbraw/zinc/29/62/44/725296244.db2.gz AQOPRDIGMJHHAS-SECBINFHSA-N -1 1 332.368 -0.629 20 0 EBADMM Cc1nc([C@H](C)N[C@@H]2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)n[nH]1 ZINC000970581998 725301956 /nfs/dbraw/zinc/30/19/56/725301956.db2.gz REBOIQBGOYMJTK-IONNQARKSA-N -1 1 336.356 -0.932 20 0 EBADMM C[C@@H]1CCO[C@@H]1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000970691599 725317925 /nfs/dbraw/zinc/31/79/25/725317925.db2.gz YRZSTEFKRAADRN-KLTVKPSUSA-N -1 1 335.360 -0.486 20 0 EBADMM CNC(=O)c1cccc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC000970757525 725325115 /nfs/dbraw/zinc/32/51/15/725325115.db2.gz ZRJROUNCIHQFLJ-GFCCVEGCSA-N -1 1 344.375 -0.126 20 0 EBADMM COc1cccnc1C(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970797820 725329298 /nfs/dbraw/zinc/32/92/98/725329298.db2.gz MJXXRRNATYCSRB-SNVBAGLBSA-N -1 1 346.391 -0.238 20 0 EBADMM Cc1cc(CC(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC000971325498 725359168 /nfs/dbraw/zinc/35/91/68/725359168.db2.gz HHDAXNIVGMUSAN-LBPRGKRZSA-N -1 1 333.396 -0.585 20 0 EBADMM O=C(c1cnn2c1CCC2)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051646807 735287104 /nfs/dbraw/zinc/28/71/04/735287104.db2.gz CUQBACXIYXXOLA-JTQLQIEISA-N -1 1 347.379 -0.716 20 0 EBADMM O=C(Cn1ncnn1)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000971900465 725446970 /nfs/dbraw/zinc/44/69/70/725446970.db2.gz WEKSCQCSPLZDOJ-GHMZBOCLSA-N -1 1 343.347 -0.853 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@@H]3CN(C(=O)c4ncccc4[O-])[C@@H]3C2)n1 ZINC000971900402 725446974 /nfs/dbraw/zinc/44/69/74/725446974.db2.gz RYKOBEBBVFWBCS-GHMZBOCLSA-N -1 1 342.359 -0.098 20 0 EBADMM Cc1cc(CC(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC000971932323 725449208 /nfs/dbraw/zinc/44/92/08/725449208.db2.gz XWBOKTWNVUACEA-LBPRGKRZSA-N -1 1 347.423 -0.574 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)CCc2ccon2)C1 ZINC000971942080 725449928 /nfs/dbraw/zinc/44/99/28/725449928.db2.gz CQYAQHPNLMHSTQ-GFCCVEGCSA-N -1 1 334.380 -0.238 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC000971976086 725452113 /nfs/dbraw/zinc/45/21/13/725452113.db2.gz UNDGUGLFWABTER-VPLWTHEFSA-N -1 1 347.419 -0.436 20 0 EBADMM C[C@H](C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1)c1cncnc1 ZINC000972164111 725473180 /nfs/dbraw/zinc/47/31/80/725473180.db2.gz DWBRRGKMHXXKRB-AAEUAGOBSA-N -1 1 345.407 -0.265 20 0 EBADMM CO[C@@H](C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1)C(C)C ZINC000972240940 725482695 /nfs/dbraw/zinc/48/26/95/725482695.db2.gz HLWYMCOQECOKJB-WCQYABFASA-N -1 1 325.413 -0.188 20 0 EBADMM Cc1ccn(CC(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000972361251 725506666 /nfs/dbraw/zinc/50/66/66/725506666.db2.gz DHKMQBSMKYOUCY-LBPRGKRZSA-N -1 1 333.396 -0.654 20 0 EBADMM Cn1[n-]c(CN2CCO[C@]3(CCN(C(=O)c4cnco4)C3)C2)nc1=O ZINC000972488878 725533789 /nfs/dbraw/zinc/53/37/89/725533789.db2.gz ILNFNAGYUGNJHK-OAHLLOKOSA-N -1 1 348.363 -0.787 20 0 EBADMM CCCN1CCO[C@@]2(CCN(C(=O)c3cnc([O-])n(C)c3=O)C2)C1 ZINC000972491790 725534339 /nfs/dbraw/zinc/53/43/39/725534339.db2.gz BFAFSJVCBMNANH-INIZCTEOSA-N -1 1 336.392 -0.187 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)[C@H]1[C@@H]2COC[C@@H]21 ZINC000991685828 725541606 /nfs/dbraw/zinc/54/16/06/725541606.db2.gz ZSHWRMMDIDIFLY-QXJJFIIZSA-N -1 1 347.371 -0.629 20 0 EBADMM O=C(Cc1cnoc1)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000991689503 725545085 /nfs/dbraw/zinc/54/50/85/725545085.db2.gz RVAMLTXELKLNBN-YPMHNXCESA-N -1 1 346.343 -0.291 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)c2cccn(C)c2=O)C1 ZINC000972751813 725590430 /nfs/dbraw/zinc/59/04/30/725590430.db2.gz LDMICGQQCYEZOH-LLVKDONJSA-N -1 1 346.391 -0.846 20 0 EBADMM Cc1ncncc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000972836815 725597052 /nfs/dbraw/zinc/59/70/52/725597052.db2.gz HGKIPQAVBZWJIA-GFCCVEGCSA-N -1 1 345.407 -0.153 20 0 EBADMM O=C(N[C@H]1C[C@H](NC(=O)c2cnc[nH]c2=O)C1)c1ncccc1[O-] ZINC000973197545 725667340 /nfs/dbraw/zinc/66/73/40/725667340.db2.gz MTEZYGKCZLIKCA-KYZUINATSA-N -1 1 329.316 -0.026 20 0 EBADMM C[C@@]1(C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)CCC(=O)N1 ZINC000973198157 725667781 /nfs/dbraw/zinc/66/77/81/725667781.db2.gz WBVHKMFYNUAOCG-PUTJDCORSA-N -1 1 332.360 -0.167 20 0 EBADMM Cn1nc(C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)ccc1=O ZINC000973198519 725668073 /nfs/dbraw/zinc/66/80/73/725668073.db2.gz XXFLYVPICQDKDF-MGCOHNPYSA-N -1 1 343.343 -0.428 20 0 EBADMM CN1C[C@H](C(=O)NC2CC(CNC(=O)c3ncccc3[O-])C2)CC1=O ZINC000992052753 725710532 /nfs/dbraw/zinc/71/05/32/725710532.db2.gz JTMQZPNWQOHACW-MOENNCHZSA-N -1 1 346.387 -0.110 20 0 EBADMM O=C(NC1CC(CNC(=O)[C@H]2CCCNC2=O)C1)c1ncccc1[O-] ZINC000992187705 725743019 /nfs/dbraw/zinc/74/30/19/725743019.db2.gz PZTFKXUEDWXERI-MCIGGMRASA-N -1 1 346.387 -0.062 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)c4ccncn4)CCC[C@H]23)nc1=O ZINC000992341850 725783052 /nfs/dbraw/zinc/78/30/52/725783052.db2.gz GFELXYFRRXJSRH-BLLLJJGKSA-N -1 1 343.391 -0.175 20 0 EBADMM CC(=O)N1CCC[C@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC[C@H]21 ZINC000973692352 725790707 /nfs/dbraw/zinc/79/07/07/725790707.db2.gz HJSIKQJUHMHEPQ-WCQYABFASA-N -1 1 344.375 -0.109 20 0 EBADMM CC(=O)N1CCC[C@@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)CC[C@@H]21 ZINC000973705642 725791400 /nfs/dbraw/zinc/79/14/00/725791400.db2.gz ZDXNNJYCWYBJKR-KGLIPLIRSA-N -1 1 348.403 -0.214 20 0 EBADMM NC(=O)C(=O)N1CCC[C@@H]2CN(C(=O)c3ncccc3[O-])CC[C@H]21 ZINC000973752119 725798179 /nfs/dbraw/zinc/79/81/79/725798179.db2.gz FYXKAKXOMDROJG-GHMZBOCLSA-N -1 1 332.360 -0.274 20 0 EBADMM O=C(CC1CC1)N[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000973783662 725803225 /nfs/dbraw/zinc/80/32/25/725803225.db2.gz ATJGFOMLCPIODH-AOOOYVTPSA-N -1 1 330.348 -0.405 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(NC(=O)c2ccns2)C1 ZINC000992894503 725844514 /nfs/dbraw/zinc/84/45/14/725844514.db2.gz NQVQRWSVAQXGKF-UHFFFAOYSA-N -1 1 349.372 -0.976 20 0 EBADMM CN1CCO[C@@H](C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000973999853 725844727 /nfs/dbraw/zinc/84/47/27/725844727.db2.gz OMUKLFLFNIWOIC-NTZNESFSSA-N -1 1 334.376 -0.505 20 0 EBADMM C[C@H]1[C@H](NC(=O)C2=COCCO2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993002517 725849606 /nfs/dbraw/zinc/84/96/06/725849606.db2.gz AEKBTNHCWKRTCV-WDEREUQCSA-N -1 1 337.380 -0.534 20 0 EBADMM CN1CCOC[C@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974043483 725852893 /nfs/dbraw/zinc/85/28/93/725852893.db2.gz IOEXXBUGOHDXCN-TUAOUCFPSA-N -1 1 334.376 -0.505 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2ccnn2C)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993116850 725856232 /nfs/dbraw/zinc/85/62/32/725856232.db2.gz YBNLUJYLPMIOGX-GHMZBOCLSA-N -1 1 333.396 -0.375 20 0 EBADMM O=C(N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)[C@H]1CCCC(=O)N1 ZINC000974057275 725859532 /nfs/dbraw/zinc/85/95/32/725859532.db2.gz NPOZPYTTWCAVNC-OUAUKWLOSA-N -1 1 332.360 -0.167 20 0 EBADMM O=C(N[C@H]1C[C@@H](NC(=O)c2cnc[nH]c2=O)C1)c1ncccc1[O-] ZINC000974057219 725859601 /nfs/dbraw/zinc/85/96/01/725859601.db2.gz MTEZYGKCZLIKCA-DTORHVGOSA-N -1 1 329.316 -0.026 20 0 EBADMM Cc1ccnc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)n1 ZINC000993286880 725869347 /nfs/dbraw/zinc/86/93/47/725869347.db2.gz ROXUNRFQIZESKK-RYUDHWBXSA-N -1 1 345.407 -0.010 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2cncn2C)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993536044 725899972 /nfs/dbraw/zinc/89/99/72/725899972.db2.gz KTONJVYYPJQFPY-QWRGUYRKSA-N -1 1 333.396 -0.375 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2CCC(=O)N2)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000993928941 725963759 /nfs/dbraw/zinc/96/37/59/725963759.db2.gz KRLLYUAKWUTQCI-UTUOFQBUSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2ncccn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000994073315 725977009 /nfs/dbraw/zinc/97/70/09/725977009.db2.gz TUACORBEBUZAEI-WDEREUQCSA-N -1 1 331.380 -0.319 20 0 EBADMM CCn1cc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)nn1 ZINC000994105527 725979391 /nfs/dbraw/zinc/97/93/91/725979391.db2.gz KHIVWALHBBTQCA-WDEREUQCSA-N -1 1 348.411 -0.497 20 0 EBADMM CCn1nncc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000974570693 726039679 /nfs/dbraw/zinc/03/96/79/726039679.db2.gz IPHPHFFXSLHPKB-LLVKDONJSA-N -1 1 348.411 -0.640 20 0 EBADMM Cc1cnn(C)c1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000974936764 726083990 /nfs/dbraw/zinc/08/39/90/726083990.db2.gz QPKKOPAJWXLTNW-LLVKDONJSA-N -1 1 347.423 -0.209 20 0 EBADMM Cn1nnc(C(=O)N2CCC[C@@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC000975251390 726122070 /nfs/dbraw/zinc/12/20/70/726122070.db2.gz FRQKRZXCAOYFFM-JTQLQIEISA-N -1 1 345.363 -0.407 20 0 EBADMM NC(=O)N1CCC[C@H]1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000994940184 726123400 /nfs/dbraw/zinc/12/34/00/726123400.db2.gz RZSXNRFPLDQBAO-JTQLQIEISA-N -1 1 333.348 -0.729 20 0 EBADMM Cn1ncnc1CNC[C@H]1CC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000995008811 726142103 /nfs/dbraw/zinc/14/21/03/726142103.db2.gz SKXZKCAJQZGRFP-IUCAKERBSA-N -1 1 348.367 -0.900 20 0 EBADMM O=C(N[C@@H]1CC[C@@H](CNCc2cnon2)C1)c1n[nH]c(=O)[n-]c1=O ZINC000995011022 726142804 /nfs/dbraw/zinc/14/28/04/726142804.db2.gz YFVUADPMCJYELL-HTQZYQBOSA-N -1 1 335.324 -0.646 20 0 EBADMM O=C(N[C@H]1CC[C@@H](CNCc2ncccn2)C1)c1n[nH]c(=O)[n-]c1=O ZINC000995010384 726142818 /nfs/dbraw/zinc/14/28/18/726142818.db2.gz XHAIYZQOJUNKRC-ZJUUUORDSA-N -1 1 345.363 -0.239 20 0 EBADMM O=C(NC[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1)[C@H]1CCNC1=O ZINC000975433212 726150945 /nfs/dbraw/zinc/15/09/45/726150945.db2.gz BESBRCNNZKJVRP-RYUDHWBXSA-N -1 1 346.387 -0.108 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC1CC1 ZINC000976040214 726195469 /nfs/dbraw/zinc/19/54/69/726195469.db2.gz OVUBPTBAYJQHGJ-JZYVYDRUSA-N -1 1 348.359 -0.117 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)N[C@@H]1[C@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]21 ZINC000976100120 726201311 /nfs/dbraw/zinc/20/13/11/726201311.db2.gz RIMHKYSGNBRWSP-DUYQIWHPSA-N -1 1 342.359 -0.740 20 0 EBADMM CN1CCO[C@H](C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)C1 ZINC000995596390 726278147 /nfs/dbraw/zinc/27/81/47/726278147.db2.gz HCBFAFBZKNJDCS-QWRGUYRKSA-N -1 1 338.412 -0.833 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)[C@H]3CCCNC3=O)C[C@H]21)c1ncccc1[O-] ZINC000976392572 726289912 /nfs/dbraw/zinc/28/99/12/726289912.db2.gz ADNFOWNRFLZOMJ-MEWQQHAOSA-N -1 1 344.371 -0.500 20 0 EBADMM NC(=O)[C@H]1CC[C@@H]1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976395165 726291153 /nfs/dbraw/zinc/29/11/53/726291153.db2.gz SUOIMOARFWSJFE-HKLXJQGRSA-N -1 1 344.371 -0.515 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)[C@@H]3COC(=O)N3)C[C@H]21)c1ncccc1[O-] ZINC000976396853 726291916 /nfs/dbraw/zinc/29/19/16/726291916.db2.gz IRRXEPVHXOAKOR-QCBRBAQYSA-N -1 1 332.316 -0.918 20 0 EBADMM CCn1ncc(C(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ncccc2[O-])n1 ZINC000976398114 726292407 /nfs/dbraw/zinc/29/24/07/726292407.db2.gz MGDVSTCYSDCASK-IWIIMEHWSA-N -1 1 342.359 -0.101 20 0 EBADMM Cn1cnc(C(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ncccc2[O-])n1 ZINC000976401144 726293633 /nfs/dbraw/zinc/29/36/33/726293633.db2.gz MWTNAFLXLSJFNZ-JZYVYDRUSA-N -1 1 328.332 -0.584 20 0 EBADMM CN1CCO[C@@H](C(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ncccc2[O-])C1 ZINC000976407386 726295096 /nfs/dbraw/zinc/29/50/96/726295096.db2.gz YDRCMWZVUFIKHY-WVWOOGAGSA-N -1 1 346.387 -0.696 20 0 EBADMM Cn1cc(C(=O)N[C@@H]2[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)nn1 ZINC000976447638 726311305 /nfs/dbraw/zinc/31/13/05/726311305.db2.gz YGVIPSNLZOVECP-GDGBQDQQSA-N -1 1 328.332 -0.584 20 0 EBADMM CC1(C)CN(C(=O)[C@@H]2CCCNC2=O)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995922541 726313807 /nfs/dbraw/zinc/31/38/07/726313807.db2.gz FFILHGJSHNKDBT-ZJUUUORDSA-N -1 1 336.396 -0.637 20 0 EBADMM C[C@@H](C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1)S(C)(=O)=O ZINC000995972101 726319656 /nfs/dbraw/zinc/31/96/56/726319656.db2.gz XJZMZOIXUXPBLC-DTWKUNHWSA-N -1 1 345.425 -0.730 20 0 EBADMM Cn1nnc(C(=O)N2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2ncccc2[O-])C3)n1 ZINC000996143287 726338193 /nfs/dbraw/zinc/33/81/93/726338193.db2.gz SZXLOLXRVZUGAC-UTLUCORTSA-N -1 1 343.347 -0.514 20 0 EBADMM NC(=O)C1(C(=O)N2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2ncccc2[O-])C3)CC1 ZINC000996147611 726338833 /nfs/dbraw/zinc/33/88/33/726338833.db2.gz QFVJPTQULLLEOO-VWYCJHECSA-N -1 1 344.371 -0.086 20 0 EBADMM O=C(N[C@@H]1CCCC[C@H]1NC(=O)[C@@H]1CNC(=O)N1)c1ncccc1[O-] ZINC000976570308 726352842 /nfs/dbraw/zinc/35/28/42/726352842.db2.gz ZETKAXRTZJNOBJ-MXWKQRLJSA-N -1 1 347.375 -0.374 20 0 EBADMM COc1cncc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)n1 ZINC000996379762 726376599 /nfs/dbraw/zinc/37/65/99/726376599.db2.gz ONLCWFNYBGROGH-SNVBAGLBSA-N -1 1 347.379 -0.051 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)[C@H](C)C2CC2)C1 ZINC000996397607 726386086 /nfs/dbraw/zinc/38/60/86/726386086.db2.gz OWXUCBNGNALJLY-SSDOTTSWSA-N -1 1 336.348 -0.117 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)[C@H]2[C@@H]3CCC[C@@H]32)C1 ZINC000996398997 726387373 /nfs/dbraw/zinc/38/73/73/726387373.db2.gz YXRPLTDQBGRBDX-MYJAWHEDSA-N -1 1 348.359 -0.117 20 0 EBADMM C[C@H]1CC[C@@H](C(=O)N2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)C1 ZINC000996403943 726388182 /nfs/dbraw/zinc/38/81/82/726388182.db2.gz DFZJUCMQYJEUKZ-VHSXEESVSA-N -1 1 344.375 -0.206 20 0 EBADMM CC(C)[C@@H]1C[C@@H]1C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996404456 726388203 /nfs/dbraw/zinc/38/82/03/726388203.db2.gz FVVGUKRNZSMAAR-QWRGUYRKSA-N -1 1 344.375 -0.350 20 0 EBADMM Cc1[nH]ccc1C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996405489 726388364 /nfs/dbraw/zinc/38/83/64/726388364.db2.gz IOFSPBYVUKIAPL-UHFFFAOYSA-N -1 1 341.331 -0.691 20 0 EBADMM C[C@H](C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC000996405841 726388533 /nfs/dbraw/zinc/38/85/33/726388533.db2.gz LBLQSSDCCJPPEA-QMMMGPOBSA-N -1 1 330.348 -0.596 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(C3CC3)[nH]n2)C1)c1n[nH]c(=O)[n-]c1=O ZINC000996746261 726425274 /nfs/dbraw/zinc/42/52/74/726425274.db2.gz SRZIRRWOZFQAHE-UHFFFAOYSA-N -1 1 345.319 -0.862 20 0 EBADMM Cn1ccc(=O)c(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)c1 ZINC000996873537 726431083 /nfs/dbraw/zinc/43/10/83/726431083.db2.gz XZSUMOSIBHEYCO-UHFFFAOYSA-N -1 1 328.328 -0.260 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC1CN(C(=O)c2cn[nH]n2)C1 ZINC000997328136 726446494 /nfs/dbraw/zinc/44/64/94/726446494.db2.gz FXLYPSBGQKFXAT-UHFFFAOYSA-N -1 1 345.363 -0.013 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)Cc2ccco2)C1 ZINC000998241496 726481893 /nfs/dbraw/zinc/48/18/93/726481893.db2.gz DPVSKWPZRQGKED-UHFFFAOYSA-N -1 1 348.315 -0.327 20 0 EBADMM O=C(Cc1ncc[nH]1)NC1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000998385718 726485938 /nfs/dbraw/zinc/48/59/38/726485938.db2.gz BZUTZRVBCKQNCP-UHFFFAOYSA-N -1 1 342.359 -0.034 20 0 EBADMM CC(C)(C)n1nnc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000999205297 726511106 /nfs/dbraw/zinc/51/11/06/726511106.db2.gz AMROJTAKUSNPCR-VIFPVBQESA-N -1 1 349.399 -0.354 20 0 EBADMM Cc1nnc(CNC2CC(N(C)C(=O)c3cnc([O-])n(C)c3=O)C2)[nH]1 ZINC000999217256 726511499 /nfs/dbraw/zinc/51/14/99/726511499.db2.gz HTFNXEMAJJZKHL-UHFFFAOYSA-N -1 1 347.379 -0.695 20 0 EBADMM CC[C@]1(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CCNC1=O ZINC000999404408 726518714 /nfs/dbraw/zinc/51/87/14/726518714.db2.gz ZZDCPBPTDDWFSO-BONVTDFDSA-N -1 1 336.396 -0.493 20 0 EBADMM O=C(CC1CS(=O)(=O)C1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999541562 726522262 /nfs/dbraw/zinc/52/22/62/726522262.db2.gz KFCYXHFSMRMHNC-SNVBAGLBSA-N -1 1 343.409 -0.974 20 0 EBADMM Cc1oncc1CN[C@H]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000999609291 726524699 /nfs/dbraw/zinc/52/46/99/726524699.db2.gz KUUVWANLRGYBNE-JTQLQIEISA-N -1 1 334.336 -0.026 20 0 EBADMM Cc1nocc1CN[C@@H]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000999610335 726524755 /nfs/dbraw/zinc/52/47/55/726524755.db2.gz OEFCMFNEIGAPRU-SNVBAGLBSA-N -1 1 334.336 -0.026 20 0 EBADMM Cc1cc(=O)c(C(=O)N(C)C2CC(NCc3n[nH]c(=O)[n-]3)C2)c[nH]1 ZINC000999636801 726525496 /nfs/dbraw/zinc/52/54/96/726525496.db2.gz IFNOAYKTAJBYJX-UHFFFAOYSA-N -1 1 332.364 -0.100 20 0 EBADMM O=C(c1cc2n(n1)CCCO2)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999676280 726527190 /nfs/dbraw/zinc/52/71/90/726527190.db2.gz WFJOKYHSAYXFPB-JTQLQIEISA-N -1 1 347.379 -0.116 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC(NC(=O)[C@@H]3CCc4[nH]cnc4C3)C2)c1[O-] ZINC000999693889 726528139 /nfs/dbraw/zinc/52/81/39/726528139.db2.gz BQSDYXJKOZAELS-SECBINFHSA-N -1 1 344.375 -0.107 20 0 EBADMM O=C(Cc1ccc(=O)[nH]c1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999724649 726530084 /nfs/dbraw/zinc/53/00/84/726530084.db2.gz CVRFMZNWCSWTLT-LLVKDONJSA-N -1 1 332.364 -0.066 20 0 EBADMM COc1nn(C)cc1C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999873053 726537259 /nfs/dbraw/zinc/53/72/59/726537259.db2.gz QUOBYZNHIVLHJO-VIFPVBQESA-N -1 1 335.368 -0.353 20 0 EBADMM O=C([C@@H]1CCCCC(=O)N1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000168890 726557217 /nfs/dbraw/zinc/55/72/17/726557217.db2.gz OHBQXADTEUVDIJ-QWRGUYRKSA-N -1 1 336.396 -0.350 20 0 EBADMM NC(=O)[C@@H]1CC[C@H](C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001000205724 726559608 /nfs/dbraw/zinc/55/96/08/726559608.db2.gz NNLDVHPKTDHVOF-VWYCJHECSA-N -1 1 336.396 -0.508 20 0 EBADMM C[C@H](C(=O)NC1CN(C(=O)c2ncccc2[O-])C1)[C@H]1CCC(=O)N1 ZINC001000348670 726564746 /nfs/dbraw/zinc/56/47/46/726564746.db2.gz VJUDZIHLODQUPP-GXSJLCMTSA-N -1 1 332.360 -0.358 20 0 EBADMM Cn1cc(C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)cn1 ZINC001000419919 726566578 /nfs/dbraw/zinc/56/65/78/726566578.db2.gz RYIPQFWDDLHIKF-UHFFFAOYSA-N -1 1 331.380 -0.596 20 0 EBADMM Cc1nnsc1C(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001000429371 726566860 /nfs/dbraw/zinc/56/68/60/726566860.db2.gz KPROLOVGZQFVRA-UHFFFAOYSA-N -1 1 349.420 -0.170 20 0 EBADMM O=C(Cc1cnc[nH]1)NC1CN(C(=O)c2ccc3oc(=O)nc-3[n-]2)C1 ZINC001000561348 726570907 /nfs/dbraw/zinc/57/09/07/726570907.db2.gz FXBYZUKKVLWQAK-UHFFFAOYSA-N -1 1 342.315 -0.165 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(CNC(=O)c2ccco2)C1 ZINC001000663190 726574605 /nfs/dbraw/zinc/57/46/05/726574605.db2.gz QEJLMXURYYGOMM-UHFFFAOYSA-N -1 1 348.315 -0.009 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCC[C@@H](NCc2cnon2)C1 ZINC001000695346 726575528 /nfs/dbraw/zinc/57/55/28/726575528.db2.gz QLBMGSMZGCEXFV-SECBINFHSA-N -1 1 344.335 -0.805 20 0 EBADMM CN(C)c1ccc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)nn1 ZINC001000717422 726575842 /nfs/dbraw/zinc/57/58/42/726575842.db2.gz USYXNHAWZXNOMV-JTQLQIEISA-N -1 1 346.395 -0.239 20 0 EBADMM CC(=O)N1CCC[C@H]1C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000739478 726576516 /nfs/dbraw/zinc/57/65/16/726576516.db2.gz SCVHKOCILJTYDJ-NEPJUHHUSA-N -1 1 336.396 -0.398 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(CNC(=O)[C@H]2C[C@@H]2C)C1 ZINC001000768926 726577100 /nfs/dbraw/zinc/57/71/00/726577100.db2.gz CKZVJADBYVIOGM-CBAPKCEASA-N -1 1 336.348 -0.259 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)NCC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001000773356 726577291 /nfs/dbraw/zinc/57/72/91/726577291.db2.gz MZAFCOJPMVZZQA-PSASIEDQSA-N -1 1 330.348 -0.738 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N1CCC[C@@H](NCc2cnns2)C1 ZINC001000799615 726578411 /nfs/dbraw/zinc/57/84/11/726578411.db2.gz DDNGCZJCRPZBTQ-MRVPVSSYSA-N -1 1 339.381 -0.678 20 0 EBADMM Cc1ncn(C)c1C(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001000800275 726578478 /nfs/dbraw/zinc/57/84/78/726578478.db2.gz JNVVDWNKRWXPOI-UHFFFAOYSA-N -1 1 345.407 -0.288 20 0 EBADMM CC[C@H](C(N)=O)N1CC=C(CNC(=O)c2cnc([O-])n(C)c2=O)CC1 ZINC001001037616 726588955 /nfs/dbraw/zinc/58/89/55/726588955.db2.gz RBNLOUHTNCWIBX-GFCCVEGCSA-N -1 1 349.391 -0.888 20 0 EBADMM Cc1nocc1C(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001001110307 726592813 /nfs/dbraw/zinc/59/28/13/726592813.db2.gz OQRVJPRHSVQEKA-UHFFFAOYSA-N -1 1 332.364 -0.033 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)c3cnns3)CC2)nc1=O ZINC001001226766 726597764 /nfs/dbraw/zinc/59/77/64/726597764.db2.gz SGNZAVNBAFJUJH-UHFFFAOYSA-N -1 1 337.409 -0.398 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)[C@H]3CCCOC3)CC2)nc1=O ZINC001001248467 726598646 /nfs/dbraw/zinc/59/86/46/726598646.db2.gz WXCCIPFILVVMLF-ZDUSSCGKSA-N -1 1 337.424 -0.137 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)c3ccc(=O)[nH]c3)CC2)nc1=O ZINC001001426720 726605796 /nfs/dbraw/zinc/60/57/96/726605796.db2.gz IEQNYIBGOSAMRR-UHFFFAOYSA-N -1 1 344.375 -0.229 20 0 EBADMM Cn1cc(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)ccc1=O ZINC001001540388 726611154 /nfs/dbraw/zinc/61/11/54/726611154.db2.gz BLFUXRULRYUGBG-UHFFFAOYSA-N -1 1 342.355 -0.012 20 0 EBADMM C[C@@H](C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1)n1cncn1 ZINC001001541827 726611231 /nfs/dbraw/zinc/61/12/31/726611231.db2.gz PFKUOYMGUUZPPQ-JTQLQIEISA-N -1 1 330.348 -0.172 20 0 EBADMM O=C(C[C@@H]1CCC(=O)NC1)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001541196 726611284 /nfs/dbraw/zinc/61/12/84/726611284.db2.gz IJUGOYIMPCSYTL-NSHDSACASA-N -1 1 346.387 -0.108 20 0 EBADMM NC(=O)[C@H]1CC[C@@H]1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001543661 726611358 /nfs/dbraw/zinc/61/13/58/726611358.db2.gz HSZKTLAFPDEODI-QWRGUYRKSA-N -1 1 332.360 -0.513 20 0 EBADMM CC[C@]1(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)CCNC1=O ZINC001001551043 726611579 /nfs/dbraw/zinc/61/15/79/726611579.db2.gz BNNTYMNAMOOXQZ-KRWDZBQOSA-N -1 1 346.387 -0.108 20 0 EBADMM CN1CC[C@H](C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)C1=O ZINC001001553617 726611682 /nfs/dbraw/zinc/61/16/82/726611682.db2.gz YPKNFEBUOFAMKV-NSHDSACASA-N -1 1 332.360 -0.546 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)c3ncccn3)CC2)nc1=O ZINC001001961265 726628537 /nfs/dbraw/zinc/62/85/37/726628537.db2.gz KKIOVAYUCMDRGX-UHFFFAOYSA-N -1 1 331.380 -0.460 20 0 EBADMM NC(=O)c1cc(C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)c[nH]1 ZINC001002015033 726630152 /nfs/dbraw/zinc/63/01/52/726630152.db2.gz KIVVBYYUZATBFZ-UHFFFAOYSA-N -1 1 343.343 -0.284 20 0 EBADMM Cc1cnn(CC(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c1 ZINC001002406105 726640280 /nfs/dbraw/zinc/64/02/80/726640280.db2.gz DADBDNZKPKUHPB-UHFFFAOYSA-N -1 1 333.396 -0.606 20 0 EBADMM Cc1cc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)ncn1 ZINC001002619302 726643919 /nfs/dbraw/zinc/64/39/19/726643919.db2.gz GRCCFGHUROVDND-UHFFFAOYSA-N -1 1 331.380 -0.399 20 0 EBADMM CC(=O)N1CCC[C@@H]1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001002646006 726644485 /nfs/dbraw/zinc/64/44/85/726644485.db2.gz KYBVTMOKJQWRIR-CYBMUJFWSA-N -1 1 346.387 -0.014 20 0 EBADMM NC(=O)C(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnc(C3CC3)[n-]c1=O)C2 ZINC001002741532 726645837 /nfs/dbraw/zinc/64/58/37/726645837.db2.gz WJWMVSSIDGVNOS-IEBDPFPHSA-N -1 1 345.359 -0.593 20 0 EBADMM CN(C[C@H]1CCCN1C(=O)C(N)=O)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001002923139 726654511 /nfs/dbraw/zinc/65/45/11/726654511.db2.gz MDXUFYYMTBUUBO-SNVBAGLBSA-N -1 1 347.375 -0.392 20 0 EBADMM O=C(NCC1CN(C(=O)[C@@H]2CC23CC3)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001003320800 726669711 /nfs/dbraw/zinc/66/97/11/726669711.db2.gz ZORZIRHPEYNGDQ-JTQLQIEISA-N -1 1 342.359 -0.594 20 0 EBADMM CC1(C)C[C@@H]1C(=O)N1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001003319905 726669731 /nfs/dbraw/zinc/66/97/31/726669731.db2.gz QQUUCUHZDFVNMS-SNVBAGLBSA-N -1 1 344.375 -0.348 20 0 EBADMM O=C(NCC1CN(C(=O)C2=CCCC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001003320593 726669755 /nfs/dbraw/zinc/66/97/55/726669755.db2.gz WYPOGTDKMNPNDR-UHFFFAOYSA-N -1 1 342.359 -0.284 20 0 EBADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)[C@H]1CCNC(=O)CC1 ZINC001003349302 726670809 /nfs/dbraw/zinc/67/08/09/726670809.db2.gz LYHASDCSQFPMMH-GFCCVEGCSA-N -1 1 346.387 -0.108 20 0 EBADMM CC(=O)N1CCC[C@H]1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001003477257 726676289 /nfs/dbraw/zinc/67/62/89/726676289.db2.gz KYBVTMOKJQWRIR-ZDUSSCGKSA-N -1 1 346.387 -0.014 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCC1CN(C(=O)CC(N)=O)C1 ZINC001003526638 726677934 /nfs/dbraw/zinc/67/79/34/726677934.db2.gz ONWKUAYNXLOOAN-UHFFFAOYSA-N -1 1 349.391 -0.322 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)Cn1cnnn1 ZINC001004718321 726714052 /nfs/dbraw/zinc/71/40/52/726714052.db2.gz OWRSNACBQLOZGQ-ZJUUUORDSA-N -1 1 335.372 -0.944 20 0 EBADMM C[C@@H]1CCN(C(=O)c2nnn(C)n2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001004763460 726715484 /nfs/dbraw/zinc/71/54/84/726715484.db2.gz ZIQREZDWPOHSQA-ZJUUUORDSA-N -1 1 345.363 -0.409 20 0 EBADMM C[C@H]1CCN(C(=O)C2CC2)C[C@@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001005053822 726723887 /nfs/dbraw/zinc/72/38/87/726723887.db2.gz FQRJBKIHAVKVIG-CABZTGNLSA-N -1 1 344.375 -0.206 20 0 EBADMM CCO[C@@H]1C[C@@H]1C(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005144980 726727221 /nfs/dbraw/zinc/72/72/21/726727221.db2.gz GJJBUIHSCVRHQP-QWHCGFSZSA-N -1 1 337.424 -0.044 20 0 EBADMM CCO[C@H]1C[C@H]1C(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005144976 726727243 /nfs/dbraw/zinc/72/72/43/726727243.db2.gz GJJBUIHSCVRHQP-OLZOCXBDSA-N -1 1 337.424 -0.044 20 0 EBADMM CN(C(=O)c1nccnc1N)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005192796 726728535 /nfs/dbraw/zinc/72/85/35/726728535.db2.gz HGDQWBVRPUNUFH-UHFFFAOYSA-N -1 1 346.395 -0.783 20 0 EBADMM C[C@@H](C(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1)n1cncn1 ZINC001005279267 726731212 /nfs/dbraw/zinc/73/12/12/726731212.db2.gz NYLGHJJMPUYAFZ-NSHDSACASA-N -1 1 348.411 -0.616 20 0 EBADMM Cc1ccnc(C(=O)N(C)C2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC001005331548 726732220 /nfs/dbraw/zinc/73/22/20/726732220.db2.gz QCUALKNADDZCGG-UHFFFAOYSA-N -1 1 345.407 -0.057 20 0 EBADMM CN(C(=O)Cc1cnoc1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005869737 726746275 /nfs/dbraw/zinc/74/62/75/726746275.db2.gz DXNDERJUUFUCIJ-UHFFFAOYSA-N -1 1 334.380 -0.238 20 0 EBADMM O=C(Cn1cncn1)N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-] ZINC001006448785 726769513 /nfs/dbraw/zinc/76/95/13/726769513.db2.gz WWUUQMCNYYASMB-UTUOFQBUSA-N -1 1 342.359 -0.059 20 0 EBADMM C/C=C(/C)C(=O)N1CC([C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001006461718 726770120 /nfs/dbraw/zinc/77/01/20/726770120.db2.gz FJAATZSBKBFFFW-ZUYFITGHSA-N -1 1 344.375 -0.040 20 0 EBADMM CC(C)C(=O)N1CC([C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001006468866 726770360 /nfs/dbraw/zinc/77/03/60/726770360.db2.gz RENBQIDWMFMVCY-VIFPVBQESA-N -1 1 332.364 -0.350 20 0 EBADMM Cc1ncc(C(=O)N2CC([C@@H](C)NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC001006490228 726771167 /nfs/dbraw/zinc/77/11/67/726771167.db2.gz CONJQMIONBDDDM-ZCFIWIBFSA-N -1 1 347.335 -0.795 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)ncn1 ZINC001007142369 726789531 /nfs/dbraw/zinc/78/95/31/726789531.db2.gz YYUVKXFCZJLGPC-NSHDSACASA-N -1 1 331.380 -0.399 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)C3(F)CCOCC3)C2)nc1=O ZINC001007478426 726794371 /nfs/dbraw/zinc/79/43/71/726794371.db2.gz VFJVPPNXXSUHDC-LLVKDONJSA-N -1 1 341.387 -0.292 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)Cc3cscn3)C2)nc1=O ZINC001008767177 726802264 /nfs/dbraw/zinc/80/22/64/726802264.db2.gz RAJAUMNRTFRPEG-SNVBAGLBSA-N -1 1 336.421 -0.112 20 0 EBADMM CCc1cc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001008977808 726837928 /nfs/dbraw/zinc/83/79/28/726837928.db2.gz ZBOAJQPBBBTAKX-LBPRGKRZSA-N -1 1 347.423 -0.201 20 0 EBADMM CC(C)(C(N)=O)C(=O)N1C[C@@H]2[C@@H](CNC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001008988965 726839304 /nfs/dbraw/zinc/83/93/04/726839304.db2.gz GICZKPIGGZJTED-RTCCRHLQSA-N -1 1 346.387 -0.267 20 0 EBADMM COc1ncc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001009070768 726852955 /nfs/dbraw/zinc/85/29/55/726852955.db2.gz BZRCOIFUSOQTIA-LLVKDONJSA-N -1 1 347.379 -0.699 20 0 EBADMM COc1c(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001009352423 726896202 /nfs/dbraw/zinc/89/62/02/726896202.db2.gz KNEUMCRPCAIVJQ-JTQLQIEISA-N -1 1 349.395 -0.755 20 0 EBADMM Cc1cnn(C)c1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051775302 735386724 /nfs/dbraw/zinc/38/67/24/735386724.db2.gz CBUNEUOLCLGGNR-JTQLQIEISA-N -1 1 335.368 -0.817 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)Cn3ccccc3=O)C2)nc1=O ZINC001009470859 726921928 /nfs/dbraw/zinc/92/19/28/726921928.db2.gz NJBMUJCRQYMGBL-LBPRGKRZSA-N -1 1 346.391 -0.949 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)[C@H]1CN(C(C)=O)CCO1 ZINC001009583192 726934556 /nfs/dbraw/zinc/93/45/56/726934556.db2.gz HJJKEMBIFBRUKX-IONNQARKSA-N -1 1 340.336 -0.738 20 0 EBADMM CCC(=O)N1CCO[C@@H]([C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001009588447 726935382 /nfs/dbraw/zinc/93/53/82/726935382.db2.gz SJDWXTMROUNOMI-MWLCHTKSSA-N -1 1 348.363 -0.827 20 0 EBADMM C[C@@H](C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)c1cncnc1 ZINC001051786104 735392177 /nfs/dbraw/zinc/39/21/77/735392177.db2.gz PQBPISMDYCHVJL-PWSUYJOCSA-N -1 1 347.379 -0.579 20 0 EBADMM CCn1nc(C)c(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001009761826 726998252 /nfs/dbraw/zinc/99/82/52/726998252.db2.gz ZGMIKURXTWDNOP-NSHDSACASA-N -1 1 348.411 -0.577 20 0 EBADMM C[C@@H]1C[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)CN1C(=O)C1CC1 ZINC001009905284 727012615 /nfs/dbraw/zinc/01/26/15/727012615.db2.gz JPCMYBXFGGZOPJ-ZJUUUORDSA-N -1 1 344.375 -0.206 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(C(=O)[C@@H]2C[C@@H]2C)CC1 ZINC001010048317 727022715 /nfs/dbraw/zinc/02/27/15/727022715.db2.gz NPKQTLISPQOUNW-DTWKUNHWSA-N -1 1 336.348 -0.163 20 0 EBADMM C[C@@H]1C[C@@H](CNC(=O)c2nnn(C)n2)CN1C(=O)c1ncccc1[O-] ZINC001010122076 727032738 /nfs/dbraw/zinc/03/27/38/727032738.db2.gz KUUUNHJYIUXUOF-ZJUUUORDSA-N -1 1 345.363 -0.409 20 0 EBADMM C[C@H](C(=O)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1)C1CC1 ZINC001010913187 727165898 /nfs/dbraw/zinc/16/58/98/727165898.db2.gz IMCWJDDBWJFYLC-JTQLQIEISA-N -1 1 344.375 -0.252 20 0 EBADMM C[C@@]12CN(C(=O)C(N)=O)C[C@]1(C)CN(C(=O)c1ncccc1[O-])C2 ZINC001010972724 727170197 /nfs/dbraw/zinc/17/01/97/727170197.db2.gz BQFSNMXRZMZGCL-IYBDPMFKSA-N -1 1 332.360 -0.417 20 0 EBADMM O=C(c1ncccc1[O-])N1CCN(C(=O)[C@@H]2CCNC(=O)C2)CC1 ZINC001010987409 727171684 /nfs/dbraw/zinc/17/16/84/727171684.db2.gz UUMHFTXLGOLDDI-LLVKDONJSA-N -1 1 332.360 -0.402 20 0 EBADMM O=C(c1cc(C2CC2)n[nH]1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051840818 735423405 /nfs/dbraw/zinc/42/34/05/735423405.db2.gz BVRKKKFAUNJVDX-JTQLQIEISA-N -1 1 347.379 -0.258 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(C(=O)c2cc[nH]c2)CC1 ZINC001011244927 727498485 /nfs/dbraw/zinc/49/84/85/727498485.db2.gz FHUOZPLGZZKVQJ-UHFFFAOYSA-N -1 1 345.359 -0.761 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN1C(=O)C1CCC1 ZINC001011367213 727519463 /nfs/dbraw/zinc/51/94/63/727519463.db2.gz XFHVRWHNIFNUFC-ONGXEEELSA-N -1 1 344.375 -0.063 20 0 EBADMM O=C(c1ncccc1F)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051883148 735444342 /nfs/dbraw/zinc/44/43/42/735444342.db2.gz YOXHRYIRCVLLOR-VIFPVBQESA-N -1 1 336.327 -0.325 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CS(C)(=O)=O ZINC001011545720 727539746 /nfs/dbraw/zinc/53/97/46/727539746.db2.gz KUJDYHMYAXMPPB-UWVGGRQHSA-N -1 1 341.389 -0.449 20 0 EBADMM C[C@@H]1C[C@@H]1CC(=O)N1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001011557133 727541935 /nfs/dbraw/zinc/54/19/35/727541935.db2.gz ILJKJHPZKVDHKX-CHWSQXEVSA-N -1 1 348.403 -0.356 20 0 EBADMM C[C@@H]1C[C@@H]1CC(=O)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001011637141 727551937 /nfs/dbraw/zinc/55/19/37/727551937.db2.gz BTUROWBPLQFTBL-GHMZBOCLSA-N -1 1 344.375 -0.252 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1cnnn1C ZINC001011710703 727563753 /nfs/dbraw/zinc/56/37/53/727563753.db2.gz IALWYXNUQKFOJT-NXEZZACHSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)Cn1ncnn1 ZINC001011782001 727575769 /nfs/dbraw/zinc/57/57/69/727575769.db2.gz KFFHTBITGITTNR-NXEZZACHSA-N -1 1 331.336 -0.807 20 0 EBADMM C[C@@H]1[C@H](NC(=O)C2(C)CC2)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001011914605 727602689 /nfs/dbraw/zinc/60/26/89/727602689.db2.gz UBXJELLLJGLSQA-NXEZZACHSA-N -1 1 344.375 -0.063 20 0 EBADMM CCn1cc(CC(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001051897753 735452973 /nfs/dbraw/zinc/45/29/73/735452973.db2.gz JQXLAEIKPWUZFA-LBPRGKRZSA-N -1 1 349.395 -0.714 20 0 EBADMM CCCC(=O)N1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1C ZINC001012192816 727642876 /nfs/dbraw/zinc/64/28/76/727642876.db2.gz IDDAQJJUOVOVIM-UWVGGRQHSA-N -1 1 332.364 -0.063 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CN1C(=O)CC(N)=O ZINC001012414369 727664229 /nfs/dbraw/zinc/66/42/29/727664229.db2.gz VYNLNFKXXPIMBF-PSASIEDQSA-N -1 1 347.375 -0.346 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1cn(C)nn1 ZINC001012562262 727683332 /nfs/dbraw/zinc/68/33/32/727683332.db2.gz FSDAVWKROQTHSA-UWVGGRQHSA-N -1 1 330.348 -0.051 20 0 EBADMM O=C(c1cnn2cc[nH]c12)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051917195 735460353 /nfs/dbraw/zinc/46/03/53/735460353.db2.gz UTKCDHWWFAEQIN-VIFPVBQESA-N -1 1 346.351 -0.883 20 0 EBADMM C[C@H]1C[C@H](NC(=O)CC2CC2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001013325090 727793833 /nfs/dbraw/zinc/79/38/33/727793833.db2.gz IKELOQSHSSEIEP-ONGXEEELSA-N -1 1 344.375 -0.063 20 0 EBADMM Cc1nc(C(=O)N[C@@H]2C[C@H](C)N(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)c[nH]1 ZINC001013493582 727811236 /nfs/dbraw/zinc/81/12/36/727811236.db2.gz VMERDJPAUNXXLH-IONNQARKSA-N -1 1 349.351 -0.860 20 0 EBADMM Cc1nc(C(=O)N[C@H]2C[C@@H](C)N(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)c[nH]1 ZINC001013493580 727811290 /nfs/dbraw/zinc/81/12/90/727811290.db2.gz VMERDJPAUNXXLH-APPZFPTMSA-N -1 1 349.351 -0.860 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)CCn1cnnn1 ZINC001013907607 727842266 /nfs/dbraw/zinc/84/22/66/727842266.db2.gz WIGOCIKCHFSYHB-WDEREUQCSA-N -1 1 345.363 -0.417 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1c[nH]c(=O)cn1 ZINC001013949271 727851796 /nfs/dbraw/zinc/85/17/96/727851796.db2.gz KHPOGHVDLJSVCL-UWVGGRQHSA-N -1 1 343.343 -0.097 20 0 EBADMM CC(=O)N1C[C@@H]2CCC[C@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)[C@H]2C1 ZINC001014518695 727965254 /nfs/dbraw/zinc/96/52/54/727965254.db2.gz PWVKULGUBZHQIB-SRVKXCTJSA-N -1 1 344.375 -0.206 20 0 EBADMM CCC(=O)N[C@@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)[C@H]1C ZINC001014657525 727988544 /nfs/dbraw/zinc/98/85/44/727988544.db2.gz ZYTXNGAIAOTCLT-VHSXEESVSA-N -1 1 332.364 -0.063 20 0 EBADMM C[C@H]1[C@@H](NC(=O)C2CC2)CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001014676940 727990694 /nfs/dbraw/zinc/99/06/94/727990694.db2.gz CXSGSVBOBWRVGX-ONGXEEELSA-N -1 1 344.375 -0.063 20 0 EBADMM Cn1ncc(C2CC2)c1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001014677532 727990732 /nfs/dbraw/zinc/99/07/32/727990732.db2.gz NWYGHQDHUQALFR-LLVKDONJSA-N -1 1 345.407 -0.276 20 0 EBADMM Cn1[n-]c(CN2CCN([C@H]3CCN(C(=O)C4CC4)C3)CC2)nc1=O ZINC001051967777 735486132 /nfs/dbraw/zinc/48/61/32/735486132.db2.gz WAZYAGCSJFLYEY-ZDUSSCGKSA-N -1 1 334.424 -0.763 20 0 EBADMM CCOc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC001014885447 728026622 /nfs/dbraw/zinc/02/66/22/728026622.db2.gz PNWUJDVDIQNHLW-VIFPVBQESA-N -1 1 335.368 -0.766 20 0 EBADMM C[C@@H]1[C@H](NC(=O)Cn2ncnn2)CCCN1C(=O)c1ncccc1[O-] ZINC001014962229 728042322 /nfs/dbraw/zinc/04/23/22/728042322.db2.gz LSDBGTCLBYGENQ-GHMZBOCLSA-N -1 1 345.363 -0.417 20 0 EBADMM CCCc1n[nH]cc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001015046564 728062758 /nfs/dbraw/zinc/06/27/58/728062758.db2.gz BWAAGEXTLZSCNY-SNVBAGLBSA-N -1 1 333.396 -0.212 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CCCN1C(=O)c1ccn[nH]1 ZINC001015103913 728079571 /nfs/dbraw/zinc/07/95/71/728079571.db2.gz XLBGEXWTEMVUKP-HTQZYQBOSA-N -1 1 347.335 -0.571 20 0 EBADMM CC(C)c1nc(CN2CC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001015108379 728079986 /nfs/dbraw/zinc/07/99/86/728079986.db2.gz BPPQTLPTNPKDOT-MRVPVSSYSA-N -1 1 348.367 -0.471 20 0 EBADMM CCc1nc([C@@H](C)N2CC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001015117748 728084017 /nfs/dbraw/zinc/08/40/17/728084017.db2.gz FRVXHFAJPLNDDN-SFYZADRCSA-N -1 1 348.367 -0.471 20 0 EBADMM Cc1cc(=O)oc(C)c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001015188989 728108985 /nfs/dbraw/zinc/10/89/85/728108985.db2.gz NUSISPDSANTMDN-NSHDSACASA-N -1 1 347.375 -0.317 20 0 EBADMM C[C@@H]1[C@H](NC(=O)C(N)=O)CCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001015189947 728109457 /nfs/dbraw/zinc/10/94/57/728109457.db2.gz MWUXDUWZZIUGMY-LDYMZIIASA-N -1 1 347.375 -0.346 20 0 EBADMM COc1ccnc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001015482590 728416883 /nfs/dbraw/zinc/41/68/83/728416883.db2.gz GFQSEVWJGJPKMN-SNVBAGLBSA-N -1 1 332.364 -0.484 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3csc4cncn43)C2)nc1=O ZINC001015789603 728432336 /nfs/dbraw/zinc/43/23/36/728432336.db2.gz RTSAYJNUMXZRKB-VIFPVBQESA-N -1 1 347.404 -0.178 20 0 EBADMM CC(C)n1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001015915310 728438708 /nfs/dbraw/zinc/43/87/08/728438708.db2.gz NUHPXGLMNLEIDK-SNVBAGLBSA-N -1 1 334.384 -0.715 20 0 EBADMM CCn1cc(CC(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001015975942 728440069 /nfs/dbraw/zinc/44/00/69/728440069.db2.gz YCZXNJFHDAOCCM-GFCCVEGCSA-N -1 1 333.396 -0.742 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCN(Cc2ccnn2C)C1 ZINC001015988709 728440580 /nfs/dbraw/zinc/44/05/80/728440580.db2.gz FKMYJTXKTYTYEP-VIFPVBQESA-N -1 1 348.363 -0.366 20 0 EBADMM Cc1nn(C)c(F)c1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001016130726 728441797 /nfs/dbraw/zinc/44/17/97/728441797.db2.gz LAVVSCWSDSQESV-SECBINFHSA-N -1 1 337.359 -0.706 20 0 EBADMM CN(C(=O)CN1CCOCC1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016413430 728469197 /nfs/dbraw/zinc/46/91/97/728469197.db2.gz SFXRKMJFLSQUCF-UHFFFAOYSA-N -1 1 348.403 -0.161 20 0 EBADMM CCn1nc(C)c(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001016424375 728471371 /nfs/dbraw/zinc/47/13/71/728471371.db2.gz MEMGOQPLYGDYCN-JTQLQIEISA-N -1 1 334.384 -0.967 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)[C@@H]2CN(C)CCO2)C1 ZINC001016428468 728471998 /nfs/dbraw/zinc/47/19/98/728471998.db2.gz APGVNXFSRVLFAZ-YIZWMMSDSA-N -1 1 348.403 -0.163 20 0 EBADMM NC(=O)CN1CCC2(C[C@@H]2NC(=O)c2ccc3oc(=O)nc-3[n-]2)CC1 ZINC001016927382 728527078 /nfs/dbraw/zinc/52/70/78/728527078.db2.gz VYLYYGUWUUQTOM-NSHDSACASA-N -1 1 345.359 -0.002 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CC[C@@H](N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)C1 ZINC001052067254 735530222 /nfs/dbraw/zinc/53/02/22/735530222.db2.gz HBAXBGMVLFLYSO-MJBXVCDLSA-N -1 1 348.451 -0.517 20 0 EBADMM CN(C(=O)Cc1nnc[nH]1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001017067928 728543868 /nfs/dbraw/zinc/54/38/68/728543868.db2.gz FSPVMQDXCSHHEW-UHFFFAOYSA-N -1 1 330.348 -0.133 20 0 EBADMM Cn1nncc1C(=O)N1CCC(C2(NC(=O)c3cnn[nH]3)CC2)CC1 ZINC001017175602 728552070 /nfs/dbraw/zinc/55/20/70/728552070.db2.gz FZCLHRDLYPOCDH-UHFFFAOYSA-N -1 1 344.379 -0.252 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)c2nnn(C)n2)C1 ZINC001017182970 728553100 /nfs/dbraw/zinc/55/31/00/728553100.db2.gz AEMHHGBHHDEENK-SECBINFHSA-N -1 1 335.372 -0.982 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC001017214121 728556137 /nfs/dbraw/zinc/55/61/37/728556137.db2.gz VECGIGAVUJVYSR-AXFHLTTASA-N -1 1 336.396 -0.698 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)c2cccnc2)nc1=O ZINC001017304065 728562140 /nfs/dbraw/zinc/56/21/40/728562140.db2.gz RZGLRXFIZGCCKX-BETUJISGSA-N -1 1 328.376 -0.008 20 0 EBADMM O=C(NCC1=CCN(C(=O)[C@@H]2CCNC2=O)CC1)c1ncccc1[O-] ZINC001017400643 728571839 /nfs/dbraw/zinc/57/18/39/728571839.db2.gz RODBOFBFLBVHIX-GFCCVEGCSA-N -1 1 344.371 -0.188 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)c2cc[nH]c(=O)c2)nc1=O ZINC001017470082 728579544 /nfs/dbraw/zinc/57/95/44/728579544.db2.gz XMFWYPQUUFKYFZ-TXEJJXNPSA-N -1 1 344.375 -0.302 20 0 EBADMM O=C(c1ncccc1[O-])N1[C@@H]2CC[C@H]1CN([C@H]1CCC(=O)NC1=O)C2 ZINC001017499060 728581588 /nfs/dbraw/zinc/58/15/88/728581588.db2.gz BUHVFDYWISTPLS-TUAOUCFPSA-N -1 1 344.371 -0.119 20 0 EBADMM C[C@@H](O)CN1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1n[nH]c(=O)[n-]c1=O ZINC001017696626 728605284 /nfs/dbraw/zinc/60/52/84/728605284.db2.gz NSRCCQICHAUTGN-MXWKQRLJSA-N -1 1 337.380 -0.729 20 0 EBADMM COC[C@@H](C)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc([O-])n(C)c1=O ZINC001017789321 728610239 /nfs/dbraw/zinc/61/02/39/728610239.db2.gz LZDCXCAIAIWZGB-UTUOFQBUSA-N -1 1 336.392 -0.190 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)[C@H]2[C@@H]3COC[C@@H]32)nc1=O ZINC001017821170 728613474 /nfs/dbraw/zinc/61/34/74/728613474.db2.gz OFPWDVSJYOJWEI-UZPTXHBLSA-N -1 1 333.392 -0.824 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@]2(CCN(C(=O)C(N)=O)C2)O1 ZINC001017833880 728615641 /nfs/dbraw/zinc/61/56/41/728615641.db2.gz ZKXRMGDDBCYQCS-QLJPJBMISA-N -1 1 348.359 -0.896 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@]2(CCN(C(=O)C(N)=O)C2)O1 ZINC001017833876 728615690 /nfs/dbraw/zinc/61/56/90/728615690.db2.gz ZKXRMGDDBCYQCS-MGPLVRAMSA-N -1 1 348.359 -0.896 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1nnn(C)n1 ZINC001018104781 728633665 /nfs/dbraw/zinc/63/36/65/728633665.db2.gz OALMXSDALQNTJA-VHSXEESVSA-N -1 1 345.363 -0.266 20 0 EBADMM CCn1nncc1C(=O)N1C[C@@H](NC(=O)c2cnn[nH]2)CC[C@H]1C ZINC001018251812 728650399 /nfs/dbraw/zinc/65/03/99/728650399.db2.gz SHKUNDWWDZQBQG-ZJUUUORDSA-N -1 1 332.368 -0.161 20 0 EBADMM CC(C)N1C[C@H](C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CC1=O ZINC001018933276 728703130 /nfs/dbraw/zinc/70/31/30/728703130.db2.gz YSBIMGKHULPIIQ-MNOVXSKESA-N -1 1 336.396 -0.542 20 0 EBADMM CC(=O)N1CCCC[C@@H]1CN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001019691417 728974977 /nfs/dbraw/zinc/97/49/77/728974977.db2.gz AKMSHHILCJPZIP-LLVKDONJSA-N -1 1 332.364 -0.109 20 0 EBADMM O=C(C[C@H]1CCS(=O)(=O)C1)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001020366652 729147862 /nfs/dbraw/zinc/14/78/62/729147862.db2.gz UNOYSAOMYFLZGP-OPRDCNLKSA-N -1 1 343.409 -0.928 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H]1C ZINC001020456957 729174789 /nfs/dbraw/zinc/17/47/89/729174789.db2.gz WZKRIEIFPJCSNX-YTWAJWBKSA-N -1 1 344.375 -0.207 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@H]1C[C@H]1C(N)=O ZINC001020588376 729205602 /nfs/dbraw/zinc/20/56/02/729205602.db2.gz YRMNRJITJJBROG-VLEAKVRGSA-N -1 1 332.360 -0.372 20 0 EBADMM NC(=O)NCC(=O)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001060979768 738419906 /nfs/dbraw/zinc/41/99/06/738419906.db2.gz MUHUHBZUYDKCER-UHFFFAOYSA-N -1 1 347.375 -0.480 20 0 EBADMM CCCC(=O)N1CC[C@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]21 ZINC001020934441 729258305 /nfs/dbraw/zinc/25/83/05/729258305.db2.gz WTMKNTOWIXAGDW-CMPLNLGQSA-N -1 1 344.375 -0.109 20 0 EBADMM Cn1cc(C(=O)N2CC[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)nn1 ZINC001021533741 729320500 /nfs/dbraw/zinc/32/05/00/729320500.db2.gz XSIZSBXJKZYTIU-CMPLNLGQSA-N -1 1 342.359 -0.098 20 0 EBADMM O=C(C[C@@H]1CCS(=O)(=O)C1)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001022386048 729487847 /nfs/dbraw/zinc/48/78/47/729487847.db2.gz UNOYSAOMYFLZGP-LPEHRKFASA-N -1 1 343.409 -0.928 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@H]1O)C1CC1 ZINC001022868500 729544357 /nfs/dbraw/zinc/54/43/57/729544357.db2.gz LAOHXIPEVYHIRD-VXGBXAGGSA-N -1 1 332.360 -0.229 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)n1cccn1 ZINC001023105468 729605571 /nfs/dbraw/zinc/60/55/71/729605571.db2.gz XCAQSCPBSOAJGR-DMDPSCGWSA-N -1 1 345.359 -0.454 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001023257204 729650600 /nfs/dbraw/zinc/65/06/00/729650600.db2.gz HBDCSCNXHSXUHS-GFCCVEGCSA-N -1 1 347.423 -0.208 20 0 EBADMM Cc1ccnc(C(=O)NC[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001023333882 729665373 /nfs/dbraw/zinc/66/53/73/729665373.db2.gz AUBZAHLPAWTPBF-GFCCVEGCSA-N -1 1 345.407 -0.151 20 0 EBADMM Cc1cn(C)nc1C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023488346 729702130 /nfs/dbraw/zinc/70/21/30/729702130.db2.gz DHSTXBMNSGKHDW-ZYHUDNBSSA-N -1 1 345.359 -0.556 20 0 EBADMM Cc1conc1C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023491157 729703692 /nfs/dbraw/zinc/70/36/92/729703692.db2.gz XSQIGTZIYNKFSU-MWLCHTKSSA-N -1 1 332.316 -0.301 20 0 EBADMM Cc1nonc1C(=O)NC[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001023773539 729795799 /nfs/dbraw/zinc/79/57/99/729795799.db2.gz UCSHBJCRWKGLKT-SNVBAGLBSA-N -1 1 335.368 -0.558 20 0 EBADMM Cn1ncc(CNC[C@@H]2CCCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001024052129 729834968 /nfs/dbraw/zinc/83/49/68/729834968.db2.gz NLCPVKWIIYIMLC-VIFPVBQESA-N -1 1 348.367 -0.947 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)ncn1 ZINC001024396902 729881557 /nfs/dbraw/zinc/88/15/57/729881557.db2.gz WEJFZOGKGVOXSP-LBPRGKRZSA-N -1 1 345.407 -0.009 20 0 EBADMM Cn1ncc(C(=O)NC[C@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)c1N ZINC001024406445 729882613 /nfs/dbraw/zinc/88/26/13/729882613.db2.gz UKXZPZBDSSLPCK-SNVBAGLBSA-N -1 1 348.411 -0.791 20 0 EBADMM Cn1cncc1C(=O)NC[C@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001024538330 729900471 /nfs/dbraw/zinc/90/04/71/729900471.db2.gz OTLFYEUFNYMXFF-LLVKDONJSA-N -1 1 333.396 -0.374 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H]2CNC(=O)c2c[nH]c(=O)n2C)nc1=O ZINC001024598919 729910250 /nfs/dbraw/zinc/91/02/50/729910250.db2.gz IHMNMWFHRFDVDA-JTQLQIEISA-N -1 1 349.395 -0.668 20 0 EBADMM Cn1cncc1CC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001024776743 729927548 /nfs/dbraw/zinc/92/75/48/729927548.db2.gz LHZIXSNULJZYIJ-DGCLKSJQSA-N -1 1 345.359 -0.935 20 0 EBADMM O=C(c1ccc(=O)[nH]n1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024858090 729936628 /nfs/dbraw/zinc/93/66/28/729936628.db2.gz UGUQDMPIQPJQSH-SECBINFHSA-N -1 1 333.352 -0.210 20 0 EBADMM CCc1cc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)no1 ZINC001024923167 729942263 /nfs/dbraw/zinc/94/22/63/729942263.db2.gz QEDBEOPOYLIOGP-DGCLKSJQSA-N -1 1 346.343 -0.047 20 0 EBADMM C[C@@]1(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CCC(=O)N1 ZINC001025073980 729993151 /nfs/dbraw/zinc/99/31/51/729993151.db2.gz YXPDTQBHPAUXRN-BMIGLBTASA-N -1 1 336.396 -0.350 20 0 EBADMM C[C@]1(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CCNC1=O ZINC001025082407 729994304 /nfs/dbraw/zinc/99/43/04/729994304.db2.gz GCUOBBQFTVCMCC-BMIGLBTASA-N -1 1 336.396 -0.493 20 0 EBADMM Cn1cc(CCC(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)nn1 ZINC001025175093 730010617 /nfs/dbraw/zinc/01/06/17/730010617.db2.gz BMFXMRPUMUCLLR-GFCCVEGCSA-N -1 1 348.411 -0.258 20 0 EBADMM NC(=O)CC(=O)N1CCCC[C@@H]1[C@H]1CCCN1Cc1n[nH]c(=O)[n-]1 ZINC001025212880 730015290 /nfs/dbraw/zinc/01/52/90/730015290.db2.gz IQJPCCPQDAKUMO-GHMZBOCLSA-N -1 1 336.396 -0.269 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)c1ccncn1 ZINC001025220945 730016499 /nfs/dbraw/zinc/01/64/99/730016499.db2.gz IIELPOHDOUWKOP-ZYHUDNBSSA-N -1 1 329.316 -0.808 20 0 EBADMM CC[C@H]1CC[C@@H](C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)O1 ZINC001025807027 730093065 /nfs/dbraw/zinc/09/30/65/730093065.db2.gz BCBYSKHAMXJEJH-BYNIDDHOSA-N -1 1 335.408 -0.388 20 0 EBADMM C[C@H]1CC[C@H](CC(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)O1 ZINC001026003940 730115814 /nfs/dbraw/zinc/11/58/14/730115814.db2.gz HXFDPAOBMQYAPZ-VINAVUOLSA-N -1 1 335.408 -0.388 20 0 EBADMM C[C@H]1C[C@H](C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)CCO1 ZINC001026125534 730122557 /nfs/dbraw/zinc/12/25/57/730122557.db2.gz DPHOOXZFNHTRND-SVPLCASGSA-N -1 1 335.408 -0.530 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CC[C@@H](CNCc2nncs2)C1 ZINC001026940262 730173564 /nfs/dbraw/zinc/17/35/64/730173564.db2.gz DRXXRKQGRPHDQZ-ZETCQYMHSA-N -1 1 337.365 -0.614 20 0 EBADMM CCc1noc(CNC[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001026940219 730173599 /nfs/dbraw/zinc/17/35/99/730173599.db2.gz CIDZQGPOBOQKAO-MRVPVSSYSA-N -1 1 349.351 -0.520 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CC[C@H](CNCc2csnn2)C1 ZINC001026941249 730173957 /nfs/dbraw/zinc/17/39/57/730173957.db2.gz CPXWZYHLLIJOLC-SSDOTTSWSA-N -1 1 337.365 -0.614 20 0 EBADMM Cc1ccnc(CNC[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001026942054 730174062 /nfs/dbraw/zinc/17/40/62/730174062.db2.gz ZZUONVVYBTYEQJ-SNVBAGLBSA-N -1 1 345.363 -0.367 20 0 EBADMM Cc1cnc(CNC[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC001026941948 730174098 /nfs/dbraw/zinc/17/40/98/730174098.db2.gz WPKDEGPXUHSFPK-VIFPVBQESA-N -1 1 334.336 -0.169 20 0 EBADMM Cc1nonc1CNC[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001026942041 730174126 /nfs/dbraw/zinc/17/41/26/730174126.db2.gz ZUFWHDAJTMTAOP-MRVPVSSYSA-N -1 1 335.324 -0.774 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)[C@H]1CCCN(C(=O)CCc2nc[nH]n2)C1 ZINC001027357989 730209320 /nfs/dbraw/zinc/20/93/20/730209320.db2.gz GHGSHKFYGDNMPZ-JTQLQIEISA-N -1 1 334.384 -0.316 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)n(C)n1 ZINC001027778456 730238634 /nfs/dbraw/zinc/23/86/34/730238634.db2.gz QTMFOIQAPPQAMI-LLVKDONJSA-N -1 1 333.396 -0.455 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCCN2CCN2CCNC2=O)c1[O-] ZINC001027834098 730241531 /nfs/dbraw/zinc/24/15/31/730241531.db2.gz XPSIDQLIACUBCX-NSHDSACASA-N -1 1 336.396 -0.357 20 0 EBADMM CCn1nnc(C)c1C(=O)NC[C@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001027929220 730248865 /nfs/dbraw/zinc/24/88/65/730248865.db2.gz HQIRPTVFRQTCJM-LLVKDONJSA-N -1 1 348.411 -0.577 20 0 EBADMM Cn1[nH]c(CN2CCC[C@H]2CNC(=O)[C@@H]2CCCC[N@H+]2C)nc1=O ZINC001027972223 730252925 /nfs/dbraw/zinc/25/29/25/730252925.db2.gz RYKBDBILBTVLRR-STQMWFEESA-N -1 1 336.440 -0.327 20 0 EBADMM CCc1n[nH]cc1C(=O)NC[C@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001027989752 730254687 /nfs/dbraw/zinc/25/46/87/730254687.db2.gz OQWKLWOGVVDTMP-SNVBAGLBSA-N -1 1 333.396 -0.212 20 0 EBADMM COc1cc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)nn1C ZINC001028015803 730257832 /nfs/dbraw/zinc/25/78/32/730257832.db2.gz KDMHLLTVYATLLA-SNVBAGLBSA-N -1 1 349.395 -0.755 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H]2CNC(=O)c2cn(C)ccc2=O)nc1=O ZINC001028017601 730258258 /nfs/dbraw/zinc/25/82/58/730258258.db2.gz VPAGKMXJHRLONW-LLVKDONJSA-N -1 1 346.391 -0.799 20 0 EBADMM Cc1ncoc1CN1CC[C@@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001028661414 730333945 /nfs/dbraw/zinc/33/39/45/730333945.db2.gz KPNBZZWRMJIBJD-VIFPVBQESA-N -1 1 334.336 -0.169 20 0 EBADMM Cn1nccc1CN1CC[C@@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001028661144 730334217 /nfs/dbraw/zinc/33/42/17/730334217.db2.gz GEBRXFAVODELEV-VIFPVBQESA-N -1 1 333.352 -0.732 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)c3cc(C(N)=O)co3)C2)nc1=O ZINC001028795857 730357597 /nfs/dbraw/zinc/35/75/97/730357597.db2.gz KQHTUSBEVHJJFZ-SECBINFHSA-N -1 1 348.363 -0.948 20 0 EBADMM CCCn1cc(C(=O)NC[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001028895604 730368838 /nfs/dbraw/zinc/36/88/38/730368838.db2.gz WCIJXRWAMZPDRL-NSHDSACASA-N -1 1 348.411 -0.638 20 0 EBADMM O=C(NC[C@H]1CCN(Cc2ccon2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001028928521 730371686 /nfs/dbraw/zinc/37/16/86/730371686.db2.gz KNXJZTAAVWOFNT-SNVBAGLBSA-N -1 1 343.347 -0.343 20 0 EBADMM O=C(NC[C@@H]1CCN(Cc2ccon2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001028928520 730371941 /nfs/dbraw/zinc/37/19/41/730371941.db2.gz KNXJZTAAVWOFNT-JTQLQIEISA-N -1 1 343.347 -0.343 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)c3cnn4cc[nH]c34)C2)nc1=O ZINC001028954606 730374071 /nfs/dbraw/zinc/37/40/71/730374071.db2.gz CZUIPJFADWRROE-SNVBAGLBSA-N -1 1 344.379 -0.664 20 0 EBADMM Cn1cc(CC(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)cn1 ZINC001029234173 730393926 /nfs/dbraw/zinc/39/39/26/730393926.db2.gz BNFSDYPVDMWGQO-BETUJISGSA-N -1 1 345.407 -0.740 20 0 EBADMM C[C@H](C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1)n1cncn1 ZINC001029278310 730396113 /nfs/dbraw/zinc/39/61/13/730396113.db2.gz DWAKIARDPKYQLN-UTUOFQBUSA-N -1 1 346.395 -0.864 20 0 EBADMM C[C@H]1COCC[C@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029463545 730406392 /nfs/dbraw/zinc/40/63/92/730406392.db2.gz XAHREUVBMJHGAS-IGQOVBAYSA-N -1 1 349.435 -0.044 20 0 EBADMM CN(Cc1nnnn1C)C[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001029713717 730486955 /nfs/dbraw/zinc/48/69/55/730486955.db2.gz PRFRNOIMEUBLLW-LLVKDONJSA-N -1 1 331.380 -0.095 20 0 EBADMM COc1cc(C(=O)N2CC[C@@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)ncn1 ZINC001029740074 730492700 /nfs/dbraw/zinc/49/27/00/730492700.db2.gz WRIOABUDQXTIBD-JTQLQIEISA-N -1 1 347.379 -0.097 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)[C@@H]2CCC(=O)N2C)C1 ZINC001029807323 730506118 /nfs/dbraw/zinc/50/61/18/730506118.db2.gz DGDHBIHGFHOCCG-MNOVXSKESA-N -1 1 336.396 -0.589 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)c2cccc(=O)n2C)C1 ZINC001029835563 730510532 /nfs/dbraw/zinc/51/05/32/730510532.db2.gz SZRWQVOGUYZTBM-NSHDSACASA-N -1 1 346.391 -0.197 20 0 EBADMM COc1cncc(C(=O)N2CC[C@@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001029861289 730514111 /nfs/dbraw/zinc/51/41/11/730514111.db2.gz MJHRBQQYJFPORU-JTQLQIEISA-N -1 1 347.379 -0.097 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)c2cn(C)nn2)CC1 ZINC001029962333 730528958 /nfs/dbraw/zinc/52/89/58/730528958.db2.gz IRMCTWQTRLTKJJ-UHFFFAOYSA-N -1 1 334.384 -0.377 20 0 EBADMM CC(C)Cn1nccc1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030384378 730576699 /nfs/dbraw/zinc/57/66/99/730576699.db2.gz GSFBOGQXOGABOH-UHFFFAOYSA-N -1 1 333.396 -0.425 20 0 EBADMM CCc1[nH]nc(Cl)c1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030428975 730584037 /nfs/dbraw/zinc/58/40/37/730584037.db2.gz OPOBXDWJUOPZHQ-UHFFFAOYSA-N -1 1 339.787 -0.339 20 0 EBADMM COCc1cncc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001030461851 730590453 /nfs/dbraw/zinc/59/04/53/730590453.db2.gz BAIRKCRQXUVQRX-UHFFFAOYSA-N -1 1 332.364 -0.736 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)Cc3cn4ccccc4n3)C2)nc1=O ZINC001030535316 730600720 /nfs/dbraw/zinc/60/07/20/730600720.db2.gz OPPSVCGBOOPIBP-UHFFFAOYSA-N -1 1 341.375 -0.701 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cccn4nccc34)C2)nc1=O ZINC001030610047 730613031 /nfs/dbraw/zinc/61/30/31/730613031.db2.gz CHBOAYYNROCIEO-UHFFFAOYSA-N -1 1 327.348 -0.630 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ccnc(C4CC4)c3)C2)nc1=O ZINC001030619188 730615013 /nfs/dbraw/zinc/61/50/13/730615013.db2.gz NEUWZJDNEHXDCL-UHFFFAOYSA-N -1 1 328.376 -0.005 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3nccc4[nH]ccc43)C2)nc1=O ZINC001030973840 730661642 /nfs/dbraw/zinc/66/16/42/730661642.db2.gz JXBLEBLSGIFALB-UHFFFAOYSA-N -1 1 327.348 -0.401 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@@H]3[C@@H]4Cc5ccccc5[C@@H]43)C2)nc1=O ZINC001031063317 730670119 /nfs/dbraw/zinc/67/01/19/730670119.db2.gz QHDZNECJRBKKRK-VNQPRFMTSA-N -1 1 339.399 -0.005 20 0 EBADMM CC(C)c1cc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001031188978 730684741 /nfs/dbraw/zinc/68/47/41/730684741.db2.gz KWIUBSBAEOEAFL-UHFFFAOYSA-N -1 1 333.396 -0.421 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ccc4nccn4c3)C2)nc1=O ZINC001031238532 730691274 /nfs/dbraw/zinc/69/12/74/730691274.db2.gz VWRQKEDREYPWSO-UHFFFAOYSA-N -1 1 327.348 -0.630 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(Cc2cnns2)C1 ZINC001031250053 730693628 /nfs/dbraw/zinc/69/36/28/730693628.db2.gz RHGDYMMXVAIDLA-UHFFFAOYSA-N -1 1 338.349 -0.638 20 0 EBADMM Cc1cncc(CN2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)c1 ZINC001031249998 730693671 /nfs/dbraw/zinc/69/36/71/730693671.db2.gz OLKKLOYEZHODDA-UHFFFAOYSA-N -1 1 339.359 -0.265 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(Cc2nocc2C)C1 ZINC001031250083 730693903 /nfs/dbraw/zinc/69/39/03/730693903.db2.gz SGGXCUBAUOBJDV-UHFFFAOYSA-N -1 1 335.320 -0.193 20 0 EBADMM O=C(NC1CN(CCC[C@H]2CCOC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001031253427 730695528 /nfs/dbraw/zinc/69/55/28/730695528.db2.gz ODTCHPWADMZNNG-NSHDSACASA-N -1 1 346.391 -0.352 20 0 EBADMM Cn1nc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c2ccccc21 ZINC001031279557 730698732 /nfs/dbraw/zinc/69/87/32/730698732.db2.gz IUQOOTFYCONJAM-UHFFFAOYSA-N -1 1 341.375 -0.391 20 0 EBADMM CNC(=O)c1cccc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001031410353 730718291 /nfs/dbraw/zinc/71/82/91/730718291.db2.gz DJZLPRGKVNXDNF-UHFFFAOYSA-N -1 1 344.375 -0.918 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@@H]3CCO[C@H]3C3CC3)C2)nc1=O ZINC001031865104 730781954 /nfs/dbraw/zinc/78/19/54/730781954.db2.gz CPRLSCMKTORBBN-OCCSQVGLSA-N -1 1 335.408 -0.529 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cnc(C4CC4)nc3)C2)nc1=O ZINC001032031106 730805177 /nfs/dbraw/zinc/80/51/77/730805177.db2.gz SEIXYOJPHBQJNB-UHFFFAOYSA-N -1 1 343.391 -0.362 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3ccc4cccnn43)C2)nc1=O ZINC001032033091 730805266 /nfs/dbraw/zinc/80/52/66/730805266.db2.gz OCHYVVZZEDTOFL-UHFFFAOYSA-N -1 1 341.375 -0.382 20 0 EBADMM Cc1ncc(CN2CC(CNC(=O)c3cc(=O)n4[n-]cnc4n3)C2)o1 ZINC001032128283 730816855 /nfs/dbraw/zinc/81/68/55/730816855.db2.gz YLZBSWPWNVSDRT-UHFFFAOYSA-N -1 1 343.347 -0.424 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3c[nH]c4cccnc34)C2)nc1=O ZINC001032130761 730816918 /nfs/dbraw/zinc/81/69/18/730816918.db2.gz FBOXIHWVFSBURF-UHFFFAOYSA-N -1 1 341.375 -0.154 20 0 EBADMM Cc1cc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)c(C)n1C ZINC001032176749 730822197 /nfs/dbraw/zinc/82/21/97/730822197.db2.gz RWMFSVWJQCSFAX-UHFFFAOYSA-N -1 1 332.408 -0.074 20 0 EBADMM CN(C)c1ncccc1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032184928 730823718 /nfs/dbraw/zinc/82/37/18/730823718.db2.gz POSQCTVRPSQKGP-UHFFFAOYSA-N -1 1 345.407 -0.569 20 0 EBADMM Cc1cccc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)n1 ZINC001032280135 730836996 /nfs/dbraw/zinc/83/69/96/730836996.db2.gz SWQBOWKTYPLMOL-RYUDHWBXSA-N -1 1 328.376 -0.089 20 0 EBADMM Cc1ncsc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032292787 730838050 /nfs/dbraw/zinc/83/80/50/730838050.db2.gz NSECSXVIQZETLI-UWVGGRQHSA-N -1 1 334.405 -0.028 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)c2cncc(F)c2)nc1=O ZINC001032350902 730843805 /nfs/dbraw/zinc/84/38/05/730843805.db2.gz SVMXKUIYSGQTHM-RYUDHWBXSA-N -1 1 332.339 -0.259 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)C2CC(F)(F)C2)nc1=O ZINC001032347300 730844032 /nfs/dbraw/zinc/84/40/32/730844032.db2.gz QPMSBFUFDRLATO-UWVGGRQHSA-N -1 1 327.335 -0.061 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)CCc2ccncn2)nc1=O ZINC001032428805 730857616 /nfs/dbraw/zinc/85/76/16/730857616.db2.gz NJACQVYYNCFFRX-STQMWFEESA-N -1 1 343.391 -0.684 20 0 EBADMM CC[C@H](CN1C[C@@H]2C[C@H]1CN2C(=O)c1cnc([O-])n(C)c1=O)OC ZINC001032557761 730888792 /nfs/dbraw/zinc/88/87/92/730888792.db2.gz YFDVGVMPURORED-SDDRHHMPSA-N -1 1 336.392 -0.190 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)CCc2cncs2)nc1=O ZINC001032629870 730902173 /nfs/dbraw/zinc/90/21/73/730902173.db2.gz OAJSDVRJIDGROL-QWRGUYRKSA-N -1 1 348.432 -0.017 20 0 EBADMM O=C([C@@H]1CCc2[nH]nnc2C1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[n-]1 ZINC001032730808 730920495 /nfs/dbraw/zinc/92/04/95/730920495.db2.gz QNKLUPRHBKBOLU-UTLUCORTSA-N -1 1 344.379 -0.781 20 0 EBADMM O=C([C@@H]1CCc2nn[nH]c2C1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[n-]1 ZINC001032730808 730920497 /nfs/dbraw/zinc/92/04/97/730920497.db2.gz QNKLUPRHBKBOLU-UTLUCORTSA-N -1 1 344.379 -0.781 20 0 EBADMM Cc1cc(CC(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC001032998451 730955649 /nfs/dbraw/zinc/95/56/49/730955649.db2.gz DDFWEQJTDCPPTA-GFCCVEGCSA-N -1 1 333.396 -0.585 20 0 EBADMM CN(C(=O)c1cc2n(n1)CCC2)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033129457 730974191 /nfs/dbraw/zinc/97/41/91/730974191.db2.gz ZTJNCIFQGQSBJE-GFCCVEGCSA-N -1 1 345.407 -0.402 20 0 EBADMM Cc1c(CC(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001033141470 730975960 /nfs/dbraw/zinc/97/59/60/730975960.db2.gz FSUVBUHNTDDMQR-ZDUSSCGKSA-N -1 1 347.423 -0.574 20 0 EBADMM CCc1c(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001033227568 730991022 /nfs/dbraw/zinc/99/10/22/730991022.db2.gz GCFBBCSTNWNBNX-LLVKDONJSA-N -1 1 347.423 -0.249 20 0 EBADMM COc1ccc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001033253918 730996546 /nfs/dbraw/zinc/99/65/46/730996546.db2.gz PFGVGQXERYMQFC-GFCCVEGCSA-N -1 1 346.391 -0.142 20 0 EBADMM COc1cnc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nc1 ZINC001033254811 730996859 /nfs/dbraw/zinc/99/68/59/730996859.db2.gz RFMCCIRJTAIZQQ-JTQLQIEISA-N -1 1 347.379 -0.747 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033345902 731012347 /nfs/dbraw/zinc/01/23/47/731012347.db2.gz CDFOKRUWNCDSDN-SNVBAGLBSA-N -1 1 347.379 -0.741 20 0 EBADMM CC[C@@H](C(N)=O)N1CC[C@H](N(C)C(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001033486411 731028546 /nfs/dbraw/zinc/02/85/46/731028546.db2.gz VUJGORDVQUPLTO-JQWIXIFHSA-N -1 1 346.391 -0.567 20 0 EBADMM CN(C(=O)c1ncccc1F)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033531117 731036467 /nfs/dbraw/zinc/03/64/67/731036467.db2.gz JFSFUDMBNBDEKM-SNVBAGLBSA-N -1 1 334.355 -0.011 20 0 EBADMM COc1ncc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001033554705 731038531 /nfs/dbraw/zinc/03/85/31/731038531.db2.gz OLCPLABUMJBBIR-NSHDSACASA-N -1 1 347.379 -0.747 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)[C@@H]1CCN(Cc2cnon2)C1 ZINC001033582478 731043566 /nfs/dbraw/zinc/04/35/66/731043566.db2.gz MYWYQSQPHYEXRC-SNVBAGLBSA-N -1 1 344.335 -0.853 20 0 EBADMM CN(C(=O)C[C@@H]1CCCOC1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033667860 731058392 /nfs/dbraw/zinc/05/83/92/731058392.db2.gz OUFTZHSXCYHNNW-QWHCGFSZSA-N -1 1 337.424 -0.042 20 0 EBADMM CCN(C(=O)[C@H]1CCCOC1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033773178 731072367 /nfs/dbraw/zinc/07/23/67/731072367.db2.gz OLEGXLXWDUCSAA-STQMWFEESA-N -1 1 337.424 -0.042 20 0 EBADMM CCN(C(=O)c1cc(C)n(C)n1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033768699 731072585 /nfs/dbraw/zinc/07/25/85/731072585.db2.gz LMTGYEOOJUIGOB-GFCCVEGCSA-N -1 1 347.423 -0.113 20 0 EBADMM CCN(C(=O)c1cn[nH]c(=O)c1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033863540 731080887 /nfs/dbraw/zinc/08/08/87/731080887.db2.gz HAGLJMLXAFLYSZ-LLVKDONJSA-N -1 1 347.379 -0.659 20 0 EBADMM CCN(C(=O)c1csnn1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033912274 731086640 /nfs/dbraw/zinc/08/66/40/731086640.db2.gz IKIKSSGRZBMVQU-SECBINFHSA-N -1 1 337.409 -0.304 20 0 EBADMM CCN(C(=O)c1n[nH]cc1F)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033948951 731093249 /nfs/dbraw/zinc/09/32/49/731093249.db2.gz CYIWCUKCHGDUAC-SECBINFHSA-N -1 1 337.359 -0.293 20 0 EBADMM Cn1cc(C(=O)N[C@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001034095300 731103259 /nfs/dbraw/zinc/10/32/59/731103259.db2.gz SQWKSLHDQVSNIY-LBPRGKRZSA-N -1 1 333.396 -0.374 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H](NC(=O)Cc3cc[nH]n3)C2)nc1=O ZINC001034141454 731105215 /nfs/dbraw/zinc/10/52/15/731105215.db2.gz GPNPVPRSJQEPMY-LBPRGKRZSA-N -1 1 333.396 -0.455 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)ncn1 ZINC001034168901 731107078 /nfs/dbraw/zinc/10/70/78/731107078.db2.gz YBYONBQWJYCVIX-LBPRGKRZSA-N -1 1 345.407 -0.009 20 0 EBADMM Cn1ncc(C(=O)N[C@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)c1N ZINC001034173142 731107297 /nfs/dbraw/zinc/10/72/97/731107297.db2.gz HJWWIRCIUQFPMA-JTQLQIEISA-N -1 1 348.411 -0.791 20 0 EBADMM Cc1nn[nH]c1C(=O)N[C@@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034338139 731121892 /nfs/dbraw/zinc/12/18/92/731121892.db2.gz APXBNKXQUBGDNZ-SNVBAGLBSA-N -1 1 334.384 -0.681 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H](NC(=O)Cc3cnoc3)C2)nc1=O ZINC001034359600 731125417 /nfs/dbraw/zinc/12/54/17/731125417.db2.gz FWLIGSXVIUDNSJ-LBPRGKRZSA-N -1 1 334.380 -0.190 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)N[C@@H]1CCCCN(CCO)C1 ZINC001034442982 731131692 /nfs/dbraw/zinc/13/16/92/731131692.db2.gz AQJNCVUFXCUJRC-LLVKDONJSA-N -1 1 334.380 -0.966 20 0 EBADMM Cc1nonc1C(=O)N[C@@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034550343 731141000 /nfs/dbraw/zinc/14/10/00/731141000.db2.gz UMFNUOICRNUDGN-SNVBAGLBSA-N -1 1 335.368 -0.416 20 0 EBADMM O=C(N[C@H]1CC[C@H](NCc2cnon2)CC1)c1n[nH]c(=O)[n-]c1=O ZINC001034683288 731150577 /nfs/dbraw/zinc/15/05/77/731150577.db2.gz FEVZSDXKFJFZRJ-ZKCHVHJHSA-N -1 1 335.324 -0.503 20 0 EBADMM O=C(CN1CCCNC1=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034981566 731172071 /nfs/dbraw/zinc/17/20/71/731172071.db2.gz DAVHZRCQKBBFCV-SNVBAGLBSA-N -1 1 337.384 -0.994 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCC[C@@H]1CNCc1cnns1 ZINC001034985418 731172939 /nfs/dbraw/zinc/17/29/39/731172939.db2.gz VDOBMZFBWIRFJX-SSDOTTSWSA-N -1 1 337.365 -0.471 20 0 EBADMM Cn1cc(CN2CCO[C@H](CNC(=O)c3ncccc3[O-])C2)nn1 ZINC001035344456 731222348 /nfs/dbraw/zinc/22/23/48/731222348.db2.gz RHKPMACCNQYZDT-GFCCVEGCSA-N -1 1 332.364 -0.454 20 0 EBADMM Cn1nncc1CN1CCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001035344426 731222505 /nfs/dbraw/zinc/22/25/05/731222505.db2.gz RCZHUWVOQRGLQS-GFCCVEGCSA-N -1 1 332.364 -0.454 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)c3ccsn3)C2)nc1=O ZINC001035409580 731245870 /nfs/dbraw/zinc/24/58/70/731245870.db2.gz QKOPZRWZCFQNRQ-VIFPVBQESA-N -1 1 338.393 -0.804 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)[C@@H]3CCC3(F)F)C2)nc1=O ZINC001035417445 731248527 /nfs/dbraw/zinc/24/85/27/731248527.db2.gz VFRPUYGYELNVNG-UWVGGRQHSA-N -1 1 345.350 -0.529 20 0 EBADMM CCc1n[nH]cc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035427871 731252252 /nfs/dbraw/zinc/25/22/52/731252252.db2.gz SZRUSQXCMSERQC-JTQLQIEISA-N -1 1 349.395 -0.975 20 0 EBADMM C[C@H]1CCCN(C(=O)c2cnn(C)c2N)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036540131 731261969 /nfs/dbraw/zinc/26/19/69/731261969.db2.gz QVACUEJSAANSGJ-GXSJLCMTSA-N -1 1 348.411 -0.144 20 0 EBADMM C[C@H]1CCCN(C(=O)Cn2nccn2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036553542 731262163 /nfs/dbraw/zinc/26/21/63/731262163.db2.gz RDSUXOJVCAAGKM-WDEREUQCSA-N -1 1 334.384 -0.481 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cnn3ncccc23)nc1=O ZINC001038348132 731311007 /nfs/dbraw/zinc/31/10/07/731311007.db2.gz CBFLXENZTNHXLI-SNVBAGLBSA-N -1 1 342.363 -0.845 20 0 EBADMM Cc1nc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)c(=O)[nH]c1C ZINC001038394537 731318289 /nfs/dbraw/zinc/31/82/89/731318289.db2.gz SZPXFKPWLHLVRT-SNVBAGLBSA-N -1 1 347.379 -0.775 20 0 EBADMM CCOc1cc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)[nH]n1 ZINC001038397433 731318705 /nfs/dbraw/zinc/31/87/05/731318705.db2.gz HKMNXUCHLIQUEC-VIFPVBQESA-N -1 1 335.368 -0.766 20 0 EBADMM Cn1ncc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)c1Cl ZINC001038406151 731319559 /nfs/dbraw/zinc/31/95/59/731319559.db2.gz ARMLBUAHKKDNKX-QMMMGPOBSA-N -1 1 339.787 -0.500 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@H]2CCn3cncc3C2)nc1=O ZINC001038418988 731321594 /nfs/dbraw/zinc/32/15/94/731321594.db2.gz RVDGUSJITWIYSJ-RYUDHWBXSA-N -1 1 345.407 -0.742 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2n[nH]c3c2CCCC3)nc1=O ZINC001038444575 731326070 /nfs/dbraw/zinc/32/60/70/731326070.db2.gz DZEOIPPBLGMHSE-JTQLQIEISA-N -1 1 345.407 -0.285 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2n[nH]c3c2CCCC3)nc1=O ZINC001038444577 731326110 /nfs/dbraw/zinc/32/61/10/731326110.db2.gz DZEOIPPBLGMHSE-SNVBAGLBSA-N -1 1 345.407 -0.285 20 0 EBADMM CC1(C)CO[C@H](CN2CC[C@@H]2CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001038460462 731329480 /nfs/dbraw/zinc/32/94/80/731329480.db2.gz WJUNKTCWDLXSFA-ZJUUUORDSA-N -1 1 337.380 -0.098 20 0 EBADMM CCc1nc([C@H](C)N2CC[C@H]2CNC(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC001038459228 731329496 /nfs/dbraw/zinc/32/94/96/731329496.db2.gz NYYHIVNYTXBQMF-YUMQZZPRSA-N -1 1 348.367 -0.471 20 0 EBADMM Cn1nccc1[C@@H]1C[C@H]1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038536098 731341141 /nfs/dbraw/zinc/34/11/41/731341141.db2.gz DOBGSWJAQARXNB-QJPTWQEYSA-N -1 1 345.407 -0.664 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)nc1=O ZINC001038540730 731341710 /nfs/dbraw/zinc/34/17/10/731341710.db2.gz AOGZJYNQLSARTE-SSLNLQFTSA-N -1 1 333.392 -0.778 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@@H]2CCc3cccnc32)nc1=O ZINC001038639684 731358403 /nfs/dbraw/zinc/35/84/03/731358403.db2.gz UBSKUFFCMMZIQP-CHWSQXEVSA-N -1 1 342.403 -0.076 20 0 EBADMM Cn1cncc1[C@@H]1C[C@H]1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038714968 731368941 /nfs/dbraw/zinc/36/89/41/731368941.db2.gz ZCESULPJRNJAAL-QJPTWQEYSA-N -1 1 345.407 -0.664 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cnn3ccccc23)nc1=O ZINC001038821115 731381726 /nfs/dbraw/zinc/38/17/26/731381726.db2.gz XNOMOYPJMVQFAC-LLVKDONJSA-N -1 1 341.375 -0.240 20 0 EBADMM CC(C)n1cc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)nn1 ZINC001038864627 731386389 /nfs/dbraw/zinc/38/63/89/731386389.db2.gz ILQQIZANGGNYNK-SNVBAGLBSA-N -1 1 334.384 -0.715 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCN1Cc1cn(C)nn1 ZINC001038917907 731392166 /nfs/dbraw/zinc/39/21/66/731392166.db2.gz TZVYCXBADGVZEU-VIFPVBQESA-N -1 1 349.351 -0.971 20 0 EBADMM Cc1noc(CN2CC[C@H]2CNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001038921197 731393204 /nfs/dbraw/zinc/39/32/04/731393204.db2.gz KTZXVHLIHJRKJQ-VIFPVBQESA-N -1 1 344.335 -0.887 20 0 EBADMM COc1cccnc1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038949914 731396436 /nfs/dbraw/zinc/39/64/36/731396436.db2.gz KLJWXRGFEDJDMC-JTQLQIEISA-N -1 1 332.364 -0.484 20 0 EBADMM CCOc1cccnc1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001039105393 731418038 /nfs/dbraw/zinc/41/80/38/731418038.db2.gz RDFVDBGOSTZILG-LLVKDONJSA-N -1 1 346.391 -0.094 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@H]2CC23CCOCC3)nc1=O ZINC001039105772 731418556 /nfs/dbraw/zinc/41/85/56/731418556.db2.gz TXANFVFRJZHFGR-VXGBXAGGSA-N -1 1 335.408 -0.384 20 0 EBADMM Cn1cnc(C(=O)N2C[C@H]3CCC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)n1 ZINC001039577268 731449288 /nfs/dbraw/zinc/44/92/88/731449288.db2.gz KZAVQHADMPIHKZ-PHIMTYICSA-N -1 1 346.395 -0.884 20 0 EBADMM O=C(CCc1c[nH]nn1)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001039921796 731562792 /nfs/dbraw/zinc/56/27/92/731562792.db2.gz LQYLOSMMMLDGOL-TXEJJXNPSA-N -1 1 346.395 -0.174 20 0 EBADMM O=C(CCc1cnn[nH]1)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001039921796 731562795 /nfs/dbraw/zinc/56/27/95/731562795.db2.gz LQYLOSMMMLDGOL-TXEJJXNPSA-N -1 1 346.395 -0.174 20 0 EBADMM O=C(c1c[n-]n2c1nccc2=O)N1C[C@H]2CCC[C@@H](C1)N2CCO ZINC001039940612 731564476 /nfs/dbraw/zinc/56/44/76/731564476.db2.gz ACMNOKKNADCGJK-TXEJJXNPSA-N -1 1 331.376 -0.306 20 0 EBADMM O=C(NC[C@]1(O)CCN(C(=O)c2ncccc2[O-])C1)c1csnn1 ZINC001040375883 731720169 /nfs/dbraw/zinc/72/01/69/731720169.db2.gz YTUMJZNEUOJKBE-CQSZACIVSA-N -1 1 349.372 -0.354 20 0 EBADMM Cc1n[nH]cc1C(=O)NC[C@@]1(O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001040463786 731744789 /nfs/dbraw/zinc/74/47/89/731744789.db2.gz KNXXDPPZWIGMOA-INIZCTEOSA-N -1 1 345.359 -0.174 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CN(c3ncnc4[nH]cnc43)C[C@H]2O)c1[O-] ZINC001040974519 731949482 /nfs/dbraw/zinc/94/94/82/731949482.db2.gz CAEJUTTYBIAJIF-HTQZYQBOSA-N -1 1 344.335 -0.930 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(CCN(C(=O)c4cocn4)C3)C2)nc1=O ZINC001041137120 732002940 /nfs/dbraw/zinc/00/29/40/732002940.db2.gz QOSGORYNLKLJQY-OAHLLOKOSA-N -1 1 332.364 -0.165 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(CCN(C(=O)c4cocn4)C3)C2)nc1=O ZINC001041137116 732002962 /nfs/dbraw/zinc/00/29/62/732002962.db2.gz QOSGORYNLKLJQY-HNNXBMFYSA-N -1 1 332.364 -0.165 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(CCN(C(=O)[C@]45C[C@H]4COC5)C3)C2)nc1=O ZINC001041305766 732075451 /nfs/dbraw/zinc/07/54/51/732075451.db2.gz VCLRBIPTQBXHOI-VUCTXSBTSA-N -1 1 347.419 -0.431 20 0 EBADMM O=C(N[C@@H]1CN(c2ncccn2)C[C@H]1O)c1cnc(C2CC2)[n-]c1=O ZINC001041377242 732107768 /nfs/dbraw/zinc/10/77/68/732107768.db2.gz LCMMTUWZBJQADT-VXGBXAGGSA-N -1 1 342.359 -0.171 20 0 EBADMM Cc1cc(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)on1 ZINC001041431723 732124525 /nfs/dbraw/zinc/12/45/25/732124525.db2.gz AXBMPXKNLYEOAF-UHFFFAOYSA-N -1 1 332.316 -0.299 20 0 EBADMM Cc1nonc1C(=O)N1CC[C@@]2(CCN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001041856345 732239926 /nfs/dbraw/zinc/23/99/26/732239926.db2.gz DWPUUUJDVKZQHN-OAHLLOKOSA-N -1 1 347.379 -0.462 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)C4CCOCC4)C[C@H]32)nc1=O ZINC001041890091 732248767 /nfs/dbraw/zinc/24/87/67/732248767.db2.gz CFPSHOHEYZEWGX-TZMCWYRMSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)c4cncnc4)C[C@@H]32)nc1=O ZINC001042023746 732280002 /nfs/dbraw/zinc/28/00/02/732280002.db2.gz SNNHCCPWELHWJS-AAEUAGOBSA-N -1 1 343.391 -0.365 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)c4ncc[nH]4)C[C@@H]32)nc1=O ZINC001042051684 732288007 /nfs/dbraw/zinc/28/80/07/732288007.db2.gz PGZMXWADYHOOIQ-QWRGUYRKSA-N -1 1 331.380 -0.432 20 0 EBADMM Cc1c[nH]nc1C(=O)N1CC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C1 ZINC001042053529 732289276 /nfs/dbraw/zinc/28/92/76/732289276.db2.gz ANNDWNCSPQVZIK-VXGBXAGGSA-N -1 1 345.407 -0.123 20 0 EBADMM CC(C)(O)CC(=O)NC[C@H]1COCCN1C(=O)c1ncccc1[O-] ZINC001061545921 738599138 /nfs/dbraw/zinc/59/91/38/738599138.db2.gz IGFDSZINBLAIEW-NSHDSACASA-N -1 1 337.376 -0.095 20 0 EBADMM O=C(C[C@@H]1CCOC1)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001042244848 732373511 /nfs/dbraw/zinc/37/35/11/732373511.db2.gz JEXSESKVQYHDLS-NSHDSACASA-N -1 1 335.360 -0.483 20 0 EBADMM Cc1c[nH]c(C(=O)N2CC[C@@H]3CCN(Cc4nc(=O)n(C)[n-]4)[C@@H]3C2)n1 ZINC001042267867 732382752 /nfs/dbraw/zinc/38/27/52/732382752.db2.gz XLTVIMXVJNGUBH-NWDGAFQWSA-N -1 1 345.407 -0.123 20 0 EBADMM Cc1nonc1C(=O)N1CC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C1 ZINC001042378831 732421403 /nfs/dbraw/zinc/42/14/03/732421403.db2.gz BAEYKQMJTRAZAK-GHMZBOCLSA-N -1 1 347.379 -0.464 20 0 EBADMM Cc1nc[nH]c1C(=O)N1CC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C1 ZINC001042383297 732422733 /nfs/dbraw/zinc/42/27/33/732422733.db2.gz PSELCKOTXNPGIK-VXGBXAGGSA-N -1 1 345.407 -0.123 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)c1cncc(F)c1 ZINC001042497160 732455868 /nfs/dbraw/zinc/45/58/68/732455868.db2.gz CGWGJTRAGUTHTI-UHFFFAOYSA-N -1 1 346.318 -0.062 20 0 EBADMM CCc1cc(C(=O)N2CC(O)(CNC(=O)c3[nH]nc(C)c3[O-])C2)n[nH]1 ZINC001042572919 732483812 /nfs/dbraw/zinc/48/38/12/732483812.db2.gz SWFKAMHJYQJCSR-UHFFFAOYSA-N -1 1 348.363 -0.674 20 0 EBADMM C[C@@](O)(CC(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC001042627768 732503147 /nfs/dbraw/zinc/50/31/47/732503147.db2.gz PZAGPFJLHZXFIM-MRXNPFEDSA-N -1 1 349.387 -0.359 20 0 EBADMM C[C@H]1CN(C(=O)CN2CC(N(C)C(=O)c3ncccc3[O-])C2)CCO1 ZINC001042646623 732512928 /nfs/dbraw/zinc/51/29/28/732512928.db2.gz URRZFBQZCMNRGB-LBPRGKRZSA-N -1 1 348.403 -0.209 20 0 EBADMM O=C(Cc1cc[nH]n1)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042713118 732546773 /nfs/dbraw/zinc/54/67/73/732546773.db2.gz OPCRZXOYVGKZOJ-UHFFFAOYSA-N -1 1 331.332 -0.944 20 0 EBADMM O=C(Cc1ccn[nH]1)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042713118 732546777 /nfs/dbraw/zinc/54/67/77/732546777.db2.gz OPCRZXOYVGKZOJ-UHFFFAOYSA-N -1 1 331.332 -0.944 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2cnns2)C1)c1ncccc1[O-] ZINC001042713339 732547218 /nfs/dbraw/zinc/54/72/18/732547218.db2.gz TYQRQLVESNSJQU-UHFFFAOYSA-N -1 1 335.345 -0.744 20 0 EBADMM C[C@@H](OCC1CC1)C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042713311 732547441 /nfs/dbraw/zinc/54/74/41/732547441.db2.gz SJMNAKORYDVAJX-LLVKDONJSA-N -1 1 349.387 -0.095 20 0 EBADMM C[C@H]1CO[C@H](C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)C1 ZINC001042715780 732547782 /nfs/dbraw/zinc/54/77/82/732547782.db2.gz AEJODURYCQGIGU-PWSUYJOCSA-N -1 1 335.360 -0.485 20 0 EBADMM C[C@@]1(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)CCCOC1 ZINC001042715981 732547822 /nfs/dbraw/zinc/54/78/22/732547822.db2.gz FFVDGQDIHIRLMQ-MRXNPFEDSA-N -1 1 349.387 -0.093 20 0 EBADMM CCO[C@H](C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1)C1CC1 ZINC001042716179 732548239 /nfs/dbraw/zinc/54/82/39/732548239.db2.gz IEFRJMPDFHPWQU-AWEZNQCLSA-N -1 1 349.387 -0.095 20 0 EBADMM C[C@@H]1C[C@H](C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)CO1 ZINC001042719452 732550178 /nfs/dbraw/zinc/55/01/78/732550178.db2.gz DJDBLLDDLJLSJF-MNOVXSKESA-N -1 1 335.360 -0.485 20 0 EBADMM Cc1ncn(C)c1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042719883 732550583 /nfs/dbraw/zinc/55/05/83/732550583.db2.gz MTJPJBVMGGIILL-UHFFFAOYSA-N -1 1 345.359 -0.554 20 0 EBADMM COCC[C@H](C)C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042720094 732551000 /nfs/dbraw/zinc/55/10/00/732551000.db2.gz QCTAZNQIIZTRAY-NSHDSACASA-N -1 1 337.376 -0.237 20 0 EBADMM Cc1cnccc1CC(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042725900 732553239 /nfs/dbraw/zinc/55/32/39/732553239.db2.gz JTVZJRQCPUYDEF-UHFFFAOYSA-N -1 1 330.392 -0.303 20 0 EBADMM Cn1nccc1CC(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001042814588 732601275 /nfs/dbraw/zinc/60/12/75/732601275.db2.gz NEQMLNMTCIFEAU-UHFFFAOYSA-N -1 1 345.359 -0.934 20 0 EBADMM CC(C)[C@H]1OCC[C@H]1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042881476 732641627 /nfs/dbraw/zinc/64/16/27/732641627.db2.gz JCPCOEZHLMLMJI-TZMCWYRMSA-N -1 1 337.424 -0.188 20 0 EBADMM COCC1(CC(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001042987447 732700230 /nfs/dbraw/zinc/70/02/30/732700230.db2.gz HLZZLCXUOQXQLO-UHFFFAOYSA-N -1 1 349.387 -0.093 20 0 EBADMM Cc1nc2c([nH]1)CC[C@H](C(=O)N(C)C1CN(Cc3n[nH]c(=O)[n-]3)C1)C2 ZINC001043143311 732786491 /nfs/dbraw/zinc/78/64/91/732786491.db2.gz LICZBZVVXQRALD-JTQLQIEISA-N -1 1 345.407 -0.010 20 0 EBADMM COc1ccnc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001043507816 732977222 /nfs/dbraw/zinc/97/72/22/732977222.db2.gz GCZHOXIDQZHZIS-UHFFFAOYSA-N -1 1 332.364 -0.532 20 0 EBADMM CN(C(=O)[C@H]1CCCc2nc[nH]c21)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043839780 733143918 /nfs/dbraw/zinc/14/39/18/733143918.db2.gz RUPWLEGAOOXDOD-NSHDSACASA-N -1 1 345.407 -0.406 20 0 EBADMM CN(C(=O)c1nccc2[nH]ccc21)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043909252 733192161 /nfs/dbraw/zinc/19/21/61/733192161.db2.gz XQBHYILEPJYNNP-UHFFFAOYSA-N -1 1 341.375 -0.059 20 0 EBADMM CN(C(=O)c1cnc(C2CC2)[n-]c1=O)C1CN([C@H]2CCNC2=O)C1 ZINC001044014221 733246865 /nfs/dbraw/zinc/24/68/65/733246865.db2.gz OEGOCWBHSMTREN-LBPRGKRZSA-N -1 1 331.376 -0.296 20 0 EBADMM COc1nc(C)ccc1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044014693 733247549 /nfs/dbraw/zinc/24/75/49/733247549.db2.gz BQCLBCPLUORUPO-UHFFFAOYSA-N -1 1 346.391 -0.223 20 0 EBADMM CCn1cnc(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)c1 ZINC001044032371 733254096 /nfs/dbraw/zinc/25/40/96/733254096.db2.gz LHAQNFLRNYJVLT-UHFFFAOYSA-N -1 1 345.359 -0.380 20 0 EBADMM CCCn1cc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c(C)n1 ZINC001044088902 733280969 /nfs/dbraw/zinc/28/09/69/733280969.db2.gz YWCMSGNQUABEER-UHFFFAOYSA-N -1 1 347.423 -0.020 20 0 EBADMM C[C@@H]1CC[C@@H](CN2CC(N(C)C(=O)c3cc(=O)n4[n-]cnc4n3)C2)O1 ZINC001044182006 733321190 /nfs/dbraw/zinc/32/11/90/733321190.db2.gz MTGKWKVHLWMPHK-PWSUYJOCSA-N -1 1 346.391 -0.259 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(Cc2cnsn2)C1 ZINC001044181554 733321331 /nfs/dbraw/zinc/32/13/31/733321331.db2.gz ASQOIDOBWMCASB-UHFFFAOYSA-N -1 1 346.376 -0.775 20 0 EBADMM Cc1cc(C)n([C@H](C)C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001044361427 733398096 /nfs/dbraw/zinc/39/80/96/733398096.db2.gz ILZDPKBOIYHKFF-GFCCVEGCSA-N -1 1 347.423 -0.174 20 0 EBADMM C[C@@H]1C[C@@H](NCc2cn(C)nn2)CCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001044663296 733474680 /nfs/dbraw/zinc/47/46/80/733474680.db2.gz JSTGCSKKPMCZBX-BDAKNGLRSA-N -1 1 348.367 -0.806 20 0 EBADMM Cn1ccc(CCC(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)n1 ZINC001045056098 733568612 /nfs/dbraw/zinc/56/86/12/733568612.db2.gz KBHFDAAPVSDTRM-LBPRGKRZSA-N -1 1 333.396 -0.043 20 0 EBADMM CN1CC[C@H](C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CC1=O ZINC001045096547 733578839 /nfs/dbraw/zinc/57/88/39/733578839.db2.gz XMDPFLDJCRDEAB-QWRGUYRKSA-N -1 1 336.396 -0.541 20 0 EBADMM CCn1ccc(CNC[C@@H]2CCCN2C(=O)c2n[nH]c(=O)[n-]c2=O)n1 ZINC001045106130 733583667 /nfs/dbraw/zinc/58/36/67/733583667.db2.gz BXOKEFISEPFCPW-NSHDSACASA-N -1 1 347.379 -0.106 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCC[C@H]1CNCc1cnns1 ZINC001045106675 733583786 /nfs/dbraw/zinc/58/37/86/733583786.db2.gz VDOBMZFBWIRFJX-ZETCQYMHSA-N -1 1 337.365 -0.471 20 0 EBADMM O=C(c1cnn2c1OCCC2)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045107534 733584413 /nfs/dbraw/zinc/58/44/13/733584413.db2.gz ZGIQINLXXHAGHH-JTQLQIEISA-N -1 1 347.379 -0.116 20 0 EBADMM O=C(c1ccn2nnnc2c1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045146793 733600635 /nfs/dbraw/zinc/60/06/35/733600635.db2.gz FNRNTIPLKBOHDW-JTQLQIEISA-N -1 1 343.351 -0.658 20 0 EBADMM O=C([C@H]1CCCCC(=O)N1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045196334 733618774 /nfs/dbraw/zinc/61/87/74/733618774.db2.gz IJKURZMSXMLTGV-WDEREUQCSA-N -1 1 336.396 -0.350 20 0 EBADMM C[C@H]1C[C@H](C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)CCO1 ZINC001045312417 733666108 /nfs/dbraw/zinc/66/61/08/733666108.db2.gz ZTTUFXZMSWQJNI-NWDGAFQWSA-N -1 1 349.387 -0.095 20 0 EBADMM Cn1cc(CCC(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)cn1 ZINC001045321471 733668186 /nfs/dbraw/zinc/66/81/86/733668186.db2.gz RTIRDNICPVFDKB-LBPRGKRZSA-N -1 1 333.396 -0.043 20 0 EBADMM Cn1[n-]c(CN2CCC(C)(NC(=O)c3cn[nH]c(=O)c3)CC2)nc1=O ZINC001045469418 733700544 /nfs/dbraw/zinc/70/05/44/733700544.db2.gz WBDSEPZLRJLDNX-UHFFFAOYSA-N -1 1 347.379 -0.612 20 0 EBADMM Cc1c[nH]c(C(=O)NC2(C)CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC001045582206 733731857 /nfs/dbraw/zinc/73/18/57/733731857.db2.gz FEUVXMAMPPCHEC-UHFFFAOYSA-N -1 1 333.396 -0.076 20 0 EBADMM C/C=C(/C)C(=O)N1CCN([C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001046021065 733807392 /nfs/dbraw/zinc/80/73/92/733807392.db2.gz ZQRYVMPFSOAYSS-YLIUPVCFSA-N -1 1 348.451 -0.207 20 0 EBADMM CCn1ncc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001046298875 733904396 /nfs/dbraw/zinc/90/43/96/733904396.db2.gz WLWDODHTHMZYBU-AWEZNQCLSA-N -1 1 334.384 -0.886 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](C)(NC(=O)c3cc4n(n3)CCO4)C2)nc1=O ZINC001046381953 733922346 /nfs/dbraw/zinc/92/23/46/733922346.db2.gz JSIKEKJGTIVCRQ-OAHLLOKOSA-N -1 1 347.379 -0.908 20 0 EBADMM Cc1nnccc1C(=O)N[C@@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001046454047 733939446 /nfs/dbraw/zinc/93/94/46/733939446.db2.gz KWCXMKKDYUPGNJ-HNNXBMFYSA-N -1 1 331.380 -0.399 20 0 EBADMM Cn1ccc(CC(=O)N[C@H]2C[C@H]3C[C@@H](C2)N(Cc2n[nH]c(=O)[n-]2)C3)n1 ZINC001047070728 734089549 /nfs/dbraw/zinc/08/95/49/734089549.db2.gz DTFNJUBKAAJHJS-DRZSPHRISA-N -1 1 345.407 -0.044 20 0 EBADMM CCn1cc(C(=O)N[C@H]2C[C@H]3C[C@@H](C2)N(Cc2n[nH]c(=O)[n-]2)C3)nn1 ZINC001047173014 734106022 /nfs/dbraw/zinc/10/60/22/734106022.db2.gz PCIRZEDSWGHRNP-DCAQKATOSA-N -1 1 346.395 -0.095 20 0 EBADMM O=C(Cn1cncn1)N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047196523 734110100 /nfs/dbraw/zinc/11/01/00/734110100.db2.gz WVOJMKPQEBZXOH-DCAQKATOSA-N -1 1 332.368 -0.729 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN([C@H]2CCN(C)C2=O)C[C@@H]1O ZINC001047359377 734152611 /nfs/dbraw/zinc/15/26/11/734152611.db2.gz ULUZAHNWTLFLAA-GVXVVHGQSA-N -1 1 334.376 -0.865 20 0 EBADMM CN(C(=O)[C@H]1CCC1(F)F)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047423222 734187368 /nfs/dbraw/zinc/18/73/68/734187368.db2.gz KPJXDMZNAJERPV-UTLUCORTSA-N -1 1 345.350 -0.843 20 0 EBADMM CCC[C@@H]1C[C@H]1C(=O)N(C)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047521788 734237074 /nfs/dbraw/zinc/23/70/74/734237074.db2.gz WOZAWBBGEQUSLS-NDBYEHHHSA-N -1 1 337.424 -0.452 20 0 EBADMM Cc1nonc1CN[C@H](C)[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001047893723 734318527 /nfs/dbraw/zinc/31/85/27/734318527.db2.gz GSQBGAMEPUVXJJ-APPZFPTMSA-N -1 1 349.351 -0.385 20 0 EBADMM C[C@@H](NCc1ncnn1C)[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001047895105 734319141 /nfs/dbraw/zinc/31/91/41/734319141.db2.gz OITZDOQLJUHGCK-BDAKNGLRSA-N -1 1 348.367 -0.948 20 0 EBADMM Cc1nnc(CN[C@@H](C)[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC001047894549 734319207 /nfs/dbraw/zinc/31/92/07/734319207.db2.gz KPJOAWWHFZXZIU-IONNQARKSA-N -1 1 349.351 -0.385 20 0 EBADMM C[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@H]1CNCc1ncccn1 ZINC001048303764 734386021 /nfs/dbraw/zinc/38/60/21/734386021.db2.gz JUZZPDDZSNNQGS-UWVGGRQHSA-N -1 1 345.363 -0.429 20 0 EBADMM CCCOCC(=O)N(C)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001048492243 734428899 /nfs/dbraw/zinc/42/88/99/734428899.db2.gz NGFMQAMXLQRQOP-AAEUAGOBSA-N -1 1 337.376 -0.143 20 0 EBADMM CO[C@@H](C)CC(=O)N(C)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001048519263 734434492 /nfs/dbraw/zinc/43/44/92/734434492.db2.gz TWMLJSGDUFSEGG-GVXVVHGQSA-N -1 1 337.376 -0.144 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4ccnc(F)c4)C[C@@H]3C2)nc1=O ZINC001048715761 734479980 /nfs/dbraw/zinc/47/99/80/734479980.db2.gz LMUOQWRTDRBGOT-TXEJJXNPSA-N -1 1 346.366 -0.154 20 0 EBADMM CO[C@H](C)CC(=O)N1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001048721934 734482447 /nfs/dbraw/zinc/48/24/47/734482447.db2.gz BQNUMPDICZLHKN-MDZLAQPJSA-N -1 1 337.376 -0.144 20 0 EBADMM CO[C@@H](C(=O)N(C)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)C1CC1 ZINC001048765580 734498887 /nfs/dbraw/zinc/49/88/87/734498887.db2.gz LJOHKDCKCSWZAR-CORIIIEPSA-N -1 1 349.387 -0.144 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4ccnnc4)C[C@@H]3C2)nc1=O ZINC001049077053 734638597 /nfs/dbraw/zinc/63/85/97/734638597.db2.gz CZQTUMLRMDVFFW-TXEJJXNPSA-N -1 1 329.364 -0.898 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@@H]2CCCN3C(=O)Cn2cccn2)nc1=O ZINC001049323693 734709801 /nfs/dbraw/zinc/70/98/01/734709801.db2.gz WDXPJNQKOBFEJS-QWHCGFSZSA-N -1 1 345.407 -0.430 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@@H]2CCCN3C(=O)c2cnsn2)nc1=O ZINC001049341852 734712097 /nfs/dbraw/zinc/71/20/97/734712097.db2.gz PLAQFENBNWOKDH-QWRGUYRKSA-N -1 1 349.420 -0.161 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@H]2CCCN3C(=O)[C@H]2CCOC2)nc1=O ZINC001049359376 734714731 /nfs/dbraw/zinc/71/47/31/734714731.db2.gz JEQUIOCXDMGMKN-YNEHKIRRSA-N -1 1 335.408 -0.290 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@H]2CCCN3C(=O)Cc2ncc[nH]2)nc1=O ZINC001049806085 734792427 /nfs/dbraw/zinc/79/24/27/734792427.db2.gz MAUIJHGASWQQSO-NEPJUHHUSA-N -1 1 345.407 -0.361 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@H]2CCCN3C(=O)c2cccnn2)nc1=O ZINC001049806708 734792718 /nfs/dbraw/zinc/79/27/18/734792718.db2.gz KJKQQSYXSAWRHZ-CHWSQXEVSA-N -1 1 343.391 -0.223 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCCN(C(=O)c4ncccn4)[C@@H]3C2)nc1=O ZINC001050169007 734859205 /nfs/dbraw/zinc/85/92/05/734859205.db2.gz USHZIPAWSGLWKA-NWDGAFQWSA-N -1 1 343.391 -0.365 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050530404 734919316 /nfs/dbraw/zinc/91/93/16/734919316.db2.gz MYWSXIKRJIABJT-PWSUYJOCSA-N -1 1 334.376 -0.110 20 0 EBADMM CNC(=O)CC(=O)N1CC[C@H]([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001050538486 734921480 /nfs/dbraw/zinc/92/14/80/734921480.db2.gz SUQXPCWQGXOLIL-QWRGUYRKSA-N -1 1 334.376 -0.110 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)c2ccccc2F)nc1=O ZINC001050787167 734959852 /nfs/dbraw/zinc/95/98/52/734959852.db2.gz KBBLVVUULUAVQE-LLVKDONJSA-N -1 1 349.366 -0.122 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)C2=COCCC2)nc1=O ZINC001050843437 734973605 /nfs/dbraw/zinc/97/36/05/734973605.db2.gz GKRXBACKZASIQE-GFCCVEGCSA-N -1 1 337.380 -0.880 20 0 EBADMM Cc1cccnc1C(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001050863112 734982040 /nfs/dbraw/zinc/98/20/40/734982040.db2.gz BHJSRVJOZRPIIJ-GFCCVEGCSA-N -1 1 346.391 -0.557 20 0 EBADMM CS(=O)(=O)CCN1CCOC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001050890188 734990529 /nfs/dbraw/zinc/99/05/29/734990529.db2.gz CRDZAIIOTGGXEK-LLVKDONJSA-N -1 1 343.405 -0.738 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)[C@@H]2CCC2(F)F)nc1=O ZINC001050976848 735022997 /nfs/dbraw/zinc/02/29/97/735022997.db2.gz ZLRORQZKPXSZDJ-UWVGGRQHSA-N -1 1 345.350 -0.529 20 0 EBADMM O=C(NC[C@H]1COCCN1Cc1n[nH]c(=O)[n-]1)c1[nH]nc2c1CCC2 ZINC001050998769 735031671 /nfs/dbraw/zinc/03/16/71/735031671.db2.gz RHHOTBZQEQUMIA-VIFPVBQESA-N -1 1 347.379 -0.647 20 0 EBADMM CNC(=O)CN1CCOC[C@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001051153651 735079385 /nfs/dbraw/zinc/07/93/85/735079385.db2.gz QETNVOIQNAQFIG-LLVKDONJSA-N -1 1 349.391 -0.764 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1COCCN1CC1CC1 ZINC001051209780 735099996 /nfs/dbraw/zinc/09/99/96/735099996.db2.gz NBOHVSXNELKBEE-SNVBAGLBSA-N -1 1 338.364 -0.263 20 0 EBADMM O=C(c1ccnc(F)c1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051485332 735171537 /nfs/dbraw/zinc/17/15/37/735171537.db2.gz RDEUODJQSUVJHB-SNVBAGLBSA-N -1 1 336.327 -0.325 20 0 EBADMM O=C([C@H]1CCCOC1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051488948 735171949 /nfs/dbraw/zinc/17/19/49/735171949.db2.gz WVOIOUNRPLNRAH-QWRGUYRKSA-N -1 1 325.369 -0.746 20 0 EBADMM CC(C)(C)C(=O)C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051496919 735198717 /nfs/dbraw/zinc/19/87/17/735198717.db2.gz GYYRHKTYKKMYDG-SECBINFHSA-N -1 1 325.369 -0.558 20 0 EBADMM O=C(CCc1ncc[nH]1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051581779 735247817 /nfs/dbraw/zinc/24/78/17/735247817.db2.gz NMVBDPMYRCVRQM-JTQLQIEISA-N -1 1 335.368 -0.817 20 0 EBADMM CNC(=O)CC(=O)N1C[C@@H](C)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001052426516 735612285 /nfs/dbraw/zinc/61/22/85/735612285.db2.gz CCQSYSQGBRYCPV-MNOVXSKESA-N -1 1 334.376 -0.252 20 0 EBADMM C[C@H](C(N)=O)N1CCC[C@H](NC(=O)c2c[n-]n3c2nccc3=O)CC1 ZINC001052774860 735687595 /nfs/dbraw/zinc/68/75/95/735687595.db2.gz LUGJJKJPYSMGSZ-MNOVXSKESA-N -1 1 346.391 -0.519 20 0 EBADMM CCC(=O)NC[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1C ZINC001052978673 735734152 /nfs/dbraw/zinc/73/41/52/735734152.db2.gz AMUCJTICWXRZDD-NEPJUHHUSA-N -1 1 336.392 -0.453 20 0 EBADMM Cc1cnoc1C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053491392 735901082 /nfs/dbraw/zinc/90/10/82/735901082.db2.gz HFRVURKWDZAQJJ-UHFFFAOYSA-N -1 1 348.363 -0.868 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CC[C@H](CNC(=O)c2cnco2)O3)nc1=O ZINC001053633875 735950744 /nfs/dbraw/zinc/95/07/44/735950744.db2.gz CYIKKFNOBOYUPR-SNVBAGLBSA-N -1 1 348.363 -0.740 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)C(C)(F)F)CO3)nc1=O ZINC001053719190 735978538 /nfs/dbraw/zinc/97/85/38/735978538.db2.gz YCFXQNPJCUVUIM-MRVPVSSYSA-N -1 1 331.323 -0.777 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)c2ccccc2)CO3)nc1=O ZINC001053726035 735980300 /nfs/dbraw/zinc/98/03/00/735980300.db2.gz ULEQHJQRUIJKSF-ZDUSSCGKSA-N -1 1 343.387 -0.118 20 0 EBADMM Cn1cc(CN2CC3(C2)C[C@@H](NC(=O)c2ncccc2[O-])CO3)nn1 ZINC001053783967 736014013 /nfs/dbraw/zinc/01/40/13/736014013.db2.gz CLGWNMXBHIRCTR-LLVKDONJSA-N -1 1 344.375 -0.311 20 0 EBADMM Cc1cocc1C(=O)N[C@@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053893880 736082841 /nfs/dbraw/zinc/08/28/41/736082841.db2.gz ATKUWGLHEKPCEB-NSHDSACASA-N -1 1 347.375 -0.217 20 0 EBADMM CC[C@@H](C)CN1CC2(C1)C[C@@H](NC(=O)Cn1c(=O)[n-][nH]c1=O)CO2 ZINC001053993214 736138175 /nfs/dbraw/zinc/13/81/75/736138175.db2.gz HVJLDMOWAGVBMY-GHMZBOCLSA-N -1 1 339.396 -0.305 20 0 EBADMM NC(=O)C(=O)NC[C@H]1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncccc1[O-] ZINC001054503707 736204071 /nfs/dbraw/zinc/20/40/71/736204071.db2.gz DJSWTIPCSKBSGF-JGPRNRPPSA-N -1 1 332.360 -0.228 20 0 EBADMM Cc1cccn(CC(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H](C)C2)c1=O ZINC001054645973 736223066 /nfs/dbraw/zinc/22/30/66/736223066.db2.gz AGEHAMWPUQHKDT-RYUDHWBXSA-N -1 1 346.391 -0.383 20 0 EBADMM C[C@H]1CN(C(=O)c2cnc(N(C)C)cn2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054657979 736227614 /nfs/dbraw/zinc/22/76/14/736227614.db2.gz OMEKVKHUNQOAGE-ONGXEEELSA-N -1 1 346.395 -0.383 20 0 EBADMM C[C@H]1CN(C(=O)c2cc3n(n2)CCC3)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054713056 736234540 /nfs/dbraw/zinc/23/45/40/736234540.db2.gz BDHMVSCACXVGIH-JOYOIKCWSA-N -1 1 331.380 -0.097 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnc3n[nH]nc3c2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054755896 736241368 /nfs/dbraw/zinc/24/13/68/736241368.db2.gz XWRHEQBLJJPZFP-XCBNKYQSSA-N -1 1 343.351 -0.573 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnc3n[nH]nc3c2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054755894 736241518 /nfs/dbraw/zinc/24/15/18/736241518.db2.gz XWRHEQBLJJPZFP-GMSGAONNSA-N -1 1 343.351 -0.573 20 0 EBADMM C[C@H]1CN(C(=O)c2cccc(C(N)=O)c2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054812515 736248674 /nfs/dbraw/zinc/24/86/74/736248674.db2.gz KYEATAMZEDTXTF-JOYOIKCWSA-N -1 1 344.375 -0.141 20 0 EBADMM Cc1cc(CN[C@H]2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@@H]2C)nn1C ZINC001054858837 736256940 /nfs/dbraw/zinc/25/69/40/736256940.db2.gz BYUOPLVIOBGZDX-KWQFWETISA-N -1 1 347.379 -0.425 20 0 EBADMM Cc1nccnc1CN[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1C ZINC001054860896 736257576 /nfs/dbraw/zinc/25/75/76/736257576.db2.gz SHVFEQRMSJNGHX-KCJUWKMLSA-N -1 1 345.363 -0.368 20 0 EBADMM CC(=O)NCC(=O)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC001055132548 736291479 /nfs/dbraw/zinc/29/14/79/736291479.db2.gz NBSYFSSDGFXEAT-TXEJJXNPSA-N -1 1 332.360 -0.546 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCN(c2cnc(F)cn2)CC1 ZINC001055857325 736524041 /nfs/dbraw/zinc/52/40/41/736524041.db2.gz YFNKSSNSYUXXRZ-UHFFFAOYSA-N -1 1 344.310 -0.691 20 0 EBADMM CO[C@H](C)C(=O)N1CCC[C@@](CO)(NC(=O)c2ncccc2[O-])C1 ZINC001055901106 736541942 /nfs/dbraw/zinc/54/19/42/736541942.db2.gz ZQLJLDNIHTVKDJ-BDJLRTHQSA-N -1 1 337.376 -0.095 20 0 EBADMM NC(=O)NCC(=O)N1CC[C@H]2[C@H]1CCCN2C(=O)c1ncccc1[O-] ZINC001056358082 736700407 /nfs/dbraw/zinc/70/04/07/736700407.db2.gz XCRRLFZUKYDTEN-MNOVXSKESA-N -1 1 347.375 -0.339 20 0 EBADMM CC(=O)NCC(=O)N1C[C@@H]2CCCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001056647457 736759980 /nfs/dbraw/zinc/75/99/80/736759980.db2.gz FTCJPVYQUTWAPH-QWHCGFSZSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N1CC[C@@H](Nc2ncnc3[nH]cnc32)C1 ZINC001056681076 736768900 /nfs/dbraw/zinc/76/89/00/736768900.db2.gz UJJGUJNBWSYOMB-SSDOTTSWSA-N -1 1 345.323 -0.931 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ccc(=O)[nH]n1)c1ncccc1[O-] ZINC001056887821 736855885 /nfs/dbraw/zinc/85/58/85/736855885.db2.gz YYGGWBAYEMUYEI-UHFFFAOYSA-N -1 1 347.331 -0.853 20 0 EBADMM CN(c1nccn2nnnc12)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001056900751 736865049 /nfs/dbraw/zinc/86/50/49/736865049.db2.gz NJHNKXRKJJEFJW-SNVBAGLBSA-N -1 1 340.347 -0.029 20 0 EBADMM Cc1cnn(CC(=O)N(CCO)CCNC(=O)c2ncccc2[O-])c1 ZINC001057115868 737004307 /nfs/dbraw/zinc/00/43/07/737004307.db2.gz KMAUTHHJIAYDBZ-UHFFFAOYSA-N -1 1 347.375 -0.457 20 0 EBADMM Cc1cc(C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])n(C)n1 ZINC001057410042 737229315 /nfs/dbraw/zinc/22/93/15/737229315.db2.gz AFGZAAQUZWOGNZ-UHFFFAOYSA-N -1 1 347.375 -0.306 20 0 EBADMM O=C(NCCN(CCO)C(=O)C1=CCOCC1)c1ncccc1[O-] ZINC001057409254 737229394 /nfs/dbraw/zinc/22/93/94/737229394.db2.gz XJBCEUWQGMSEAB-UHFFFAOYSA-N -1 1 335.360 -0.315 20 0 EBADMM O=C(C[C@@H]1CCOC1)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410335 737230808 /nfs/dbraw/zinc/23/08/08/737230808.db2.gz MMOKQTNEMHPGKI-LBPRGKRZSA-N -1 1 337.376 -0.236 20 0 EBADMM O=C(CCc1ncc[nH]1)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410821 737231419 /nfs/dbraw/zinc/23/14/19/737231419.db2.gz XSHZMDMROVSBHI-UHFFFAOYSA-N -1 1 347.375 -0.306 20 0 EBADMM Cc1ncoc1C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410771 737231443 /nfs/dbraw/zinc/23/14/43/737231443.db2.gz WJWPRPIVWFFETA-UHFFFAOYSA-N -1 1 334.332 -0.052 20 0 EBADMM Cc1nccc(C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])n1 ZINC001057413195 737233407 /nfs/dbraw/zinc/23/34/07/737233407.db2.gz LIKRVNSSRLYTAM-UHFFFAOYSA-N -1 1 345.359 -0.250 20 0 EBADMM Cn1ccc(C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])n1 ZINC001057412960 737233520 /nfs/dbraw/zinc/23/35/20/737233520.db2.gz BVZFALTUIOPSIN-UHFFFAOYSA-N -1 1 333.348 -0.615 20 0 EBADMM O=C(NCCN(CCO)C(=O)[C@H]1CC1(F)F)c1ncccc1[O-] ZINC001057504179 737301148 /nfs/dbraw/zinc/30/11/48/737301148.db2.gz SJMQXOZPSPGLSV-SECBINFHSA-N -1 1 329.303 -0.007 20 0 EBADMM O=C(CC1(O)CCC1)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057527114 737312358 /nfs/dbraw/zinc/31/23/58/737312358.db2.gz XOWIBMLVLXRGQY-UHFFFAOYSA-N -1 1 337.376 -0.357 20 0 EBADMM O=C(CCc1c[nH]cn1)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057901109 737519987 /nfs/dbraw/zinc/51/99/87/737519987.db2.gz GWOVFLODKCNSFG-UHFFFAOYSA-N -1 1 347.375 -0.306 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1cccnn1)c1ncccc1[O-] ZINC001058529842 737882438 /nfs/dbraw/zinc/88/24/38/737882438.db2.gz XTDXHMPYHAZUPA-UHFFFAOYSA-N -1 1 331.332 -0.558 20 0 EBADMM Cc1cnoc1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001058696027 737955814 /nfs/dbraw/zinc/95/58/14/737955814.db2.gz YMQFUGHDWLSQRD-UHFFFAOYSA-N -1 1 334.332 -0.052 20 0 EBADMM NC(=O)NCC(=O)N1CC[C@@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059117202 738114590 /nfs/dbraw/zinc/11/45/90/738114590.db2.gz NUAWCVYHTCYFKW-SNVBAGLBSA-N -1 1 335.364 -0.576 20 0 EBADMM NC(=O)NCC(=O)NCC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059514403 738186944 /nfs/dbraw/zinc/18/69/44/738186944.db2.gz CDUBYXIGYXNUQR-JTQLQIEISA-N -1 1 335.364 -0.576 20 0 EBADMM NC(=O)NCCC(=O)NCC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059516409 738187910 /nfs/dbraw/zinc/18/79/10/738187910.db2.gz LSCVAVBRMOUKEL-NSHDSACASA-N -1 1 349.391 -0.186 20 0 EBADMM CNC(=O)CC(=O)N1CC[C@@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059523497 738189443 /nfs/dbraw/zinc/18/94/43/738189443.db2.gz OMGIYQOLKCDECF-LLVKDONJSA-N -1 1 334.376 -0.108 20 0 EBADMM NC(=O)C(=O)N1CC[C@H](CCNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001060279146 738341538 /nfs/dbraw/zinc/34/15/38/738341538.db2.gz KCETWXODXRERPT-VIFPVBQESA-N -1 1 347.375 -0.487 20 0 EBADMM Cc1n[nH]c(C(=O)NCC2(NC(=O)CCc3c[nH]nn3)CCC2)c1[O-] ZINC001062807941 738990366 /nfs/dbraw/zinc/99/03/66/738990366.db2.gz WTXGBJICMZGXOE-UHFFFAOYSA-N -1 1 347.379 -0.057 20 0 EBADMM CN1C[C@H](C(=O)NC2(CNC(=O)c3ncccc3[O-])CCC2)NC1=O ZINC001062840138 739002579 /nfs/dbraw/zinc/00/25/79/739002579.db2.gz SCTGHEYOQSHTJT-SNVBAGLBSA-N -1 1 347.375 -0.421 20 0 EBADMM O=C(Cc1cnc[nH]1)NC1(CNC(=O)c2n[nH]c(=O)[n-]c2=O)CCC1 ZINC001063792524 739195641 /nfs/dbraw/zinc/19/56/41/739195641.db2.gz XDGZKLUPLRVXSQ-UHFFFAOYSA-N -1 1 347.335 -0.983 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCC[C@H]1CNc1ncccn1 ZINC001064933319 739428176 /nfs/dbraw/zinc/42/81/76/739428176.db2.gz ZBDJTCOUOFQOJX-JTQLQIEISA-N -1 1 340.347 -0.076 20 0 EBADMM O=C(Cn1ccnc1)NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001065072947 739510291 /nfs/dbraw/zinc/51/02/91/739510291.db2.gz ICQDFTZNIKSDPG-GFCCVEGCSA-N -1 1 345.359 -0.359 20 0 EBADMM C[C@]1(NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN(c2ncccn2)C1 ZINC001065268283 739623247 /nfs/dbraw/zinc/62/32/47/739623247.db2.gz WPQNRTVUAFQJEF-HNNXBMFYSA-N -1 1 340.347 -0.394 20 0 EBADMM CC(C)C(=O)NC[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)CCO1 ZINC001065370796 739679330 /nfs/dbraw/zinc/67/93/30/739679330.db2.gz XBNZSEKYXWCSDJ-JTQLQIEISA-N -1 1 348.363 -0.969 20 0 EBADMM O=C(NC[C@H]1CN(c2cc(F)ncn2)C[C@H]1O)c1ncccc1[O-] ZINC001067666145 740179343 /nfs/dbraw/zinc/17/93/43/740179343.db2.gz YHEUUYHYBHLJTQ-GXSJLCMTSA-N -1 1 333.323 -0.057 20 0 EBADMM CC(C)[C@@H](O)C(=O)N[C@@H]1COC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001068844239 740464889 /nfs/dbraw/zinc/46/48/89/740464889.db2.gz INENFXQGSNPDPD-SMDDNHRTSA-N -1 1 349.387 -0.096 20 0 EBADMM CC(=O)NCc1cccc(N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001069837381 740585318 /nfs/dbraw/zinc/58/53/18/740585318.db2.gz QRNHMNCCAQHDEJ-GFCCVEGCSA-N -1 1 331.380 -0.090 20 0 EBADMM CCC(=O)NC1(CNC(=O)c2cc(=O)n3[n-]cnc3n2)CCOCC1 ZINC001069912454 740615379 /nfs/dbraw/zinc/61/53/79/740615379.db2.gz DUDXTUUUAOLSQZ-UHFFFAOYSA-N -1 1 348.363 -0.777 20 0 EBADMM Cn1[n-]c(CN[C@@H]2CN(C(=O)C3(C)CC3)C[C@@H]2n2ccnn2)nc1=O ZINC001070200360 740746131 /nfs/dbraw/zinc/74/61/31/740746131.db2.gz JYKRVJJRRCNQEQ-MNOVXSKESA-N -1 1 346.395 -0.958 20 0 EBADMM O=C(NCc1nnc([C@@H]2COCC[N@H+]2CC2CC2)[n-]1)c1nnc[n-]1 ZINC001070591550 740888304 /nfs/dbraw/zinc/88/83/04/740888304.db2.gz KGBQGERWDCEQQU-JTQLQIEISA-N -1 1 332.368 -0.364 20 0 EBADMM NC(=O)NCCC(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648764 740908833 /nfs/dbraw/zinc/90/88/33/740908833.db2.gz WOOKSRPWKJFRLP-SNVBAGLBSA-N -1 1 335.364 -0.434 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2cnccn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071322882 741120954 /nfs/dbraw/zinc/12/09/54/741120954.db2.gz YUUIOHVONMMSJN-WDEREUQCSA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)Cc2cnn(C)c2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071384086 741130895 /nfs/dbraw/zinc/13/08/95/741130895.db2.gz RQOBNPZGWHBPOB-WCQYABFASA-N -1 1 347.423 -0.446 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)Cc2cnn(C)c2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071384087 741131045 /nfs/dbraw/zinc/13/10/45/741131045.db2.gz RQOBNPZGWHBPOB-YPMHNXCESA-N -1 1 347.423 -0.446 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2cc[nH]c(=O)c2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071410621 741133719 /nfs/dbraw/zinc/13/37/19/741133719.db2.gz FDWVDVZIMKGZDO-PWSUYJOCSA-N -1 1 346.391 -0.008 20 0 EBADMM COCCC(=O)N1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H](C)C1 ZINC001071435902 741135916 /nfs/dbraw/zinc/13/59/16/741135916.db2.gz DGIOWINTNOXZFT-ONGXEEELSA-N -1 1 348.363 -0.969 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)ncn1 ZINC001071436956 741135954 /nfs/dbraw/zinc/13/59/54/741135954.db2.gz AFBJDIMZKFSNDU-RYUDHWBXSA-N -1 1 345.407 -0.010 20 0 EBADMM C[C@H]1CN(C(=O)CC2CC2)C[C@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001071448137 741138736 /nfs/dbraw/zinc/13/87/36/741138736.db2.gz DIDFRVMOLBSGNZ-WCQYABFASA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2cnn(C)c2N)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071448643 741138845 /nfs/dbraw/zinc/13/88/45/741138845.db2.gz XZYXPOCTMSHHOE-VHSXEESVSA-N -1 1 348.411 -0.793 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2cn[nH]c(=O)c2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071594679 741174262 /nfs/dbraw/zinc/17/42/62/741174262.db2.gz WKIWLGPXQHJACD-GXSJLCMTSA-N -1 1 347.379 -0.613 20 0 EBADMM CC(C)C(=O)N1C[C@@H](C)[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001071620179 741178475 /nfs/dbraw/zinc/17/84/75/741178475.db2.gz AYRJKYXOKFNUMQ-NEPJUHHUSA-N -1 1 336.392 -0.454 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CN1CCn1cncn1 ZINC001071651444 741182128 /nfs/dbraw/zinc/18/21/28/741182128.db2.gz INXGFKJPTLEAMB-UWVGGRQHSA-N -1 1 348.367 -0.843 20 0 EBADMM C[C@H]1CN(C(=O)CNC(=O)C2CC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001071688660 741193953 /nfs/dbraw/zinc/19/39/53/741193953.db2.gz YSVBLKYLMJFPOH-JQWIXIFHSA-N -1 1 346.387 -0.110 20 0 EBADMM Cc1ccnn1CC(=O)N[C@@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071866168 741235927 /nfs/dbraw/zinc/23/59/27/741235927.db2.gz SMUTVYBZMGWTMB-DGCLKSJQSA-N -1 1 347.423 -0.217 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2ccncn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071905222 741241674 /nfs/dbraw/zinc/24/16/74/741241674.db2.gz WHVVVGQOBALBKT-WDEREUQCSA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)CN1CCCO ZINC001071908210 741242792 /nfs/dbraw/zinc/24/27/92/741242792.db2.gz OYSLEMDZZKDXGL-VXGBXAGGSA-N -1 1 348.407 -0.577 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2ncccn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071919648 741245608 /nfs/dbraw/zinc/24/56/08/741245608.db2.gz FRZKQVUBUUGBDO-MNOVXSKESA-N -1 1 331.380 -0.319 20 0 EBADMM CCCC(=O)N[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H]1C ZINC001072393123 741388295 /nfs/dbraw/zinc/38/82/95/741388295.db2.gz KFOJPMPGRDETDM-RYUDHWBXSA-N -1 1 336.392 -0.310 20 0 EBADMM CC(C)=CC(=O)N[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1C ZINC001072427339 741396021 /nfs/dbraw/zinc/39/60/21/741396021.db2.gz TYDQJFZPPHZVLV-JQWIXIFHSA-N -1 1 344.375 -0.040 20 0 EBADMM Cc1nnc(CC(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)o1 ZINC001072700013 741463284 /nfs/dbraw/zinc/46/32/84/741463284.db2.gz XCWHOBCCSAWFFG-UHFFFAOYSA-N -1 1 347.379 -0.923 20 0 EBADMM CCn1nncc1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072707967 741464325 /nfs/dbraw/zinc/46/43/25/741464325.db2.gz AVWFCYDFMFQPGE-UHFFFAOYSA-N -1 1 346.395 -0.932 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)Cc4cncs4)C3)C2)nc1=O ZINC001072834383 741498580 /nfs/dbraw/zinc/49/85/80/741498580.db2.gz XDOUNBVLEXNNCP-UHFFFAOYSA-N -1 1 348.432 -0.158 20 0 EBADMM CO[C@H]1CCC[C@@H]1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072872544 741509571 /nfs/dbraw/zinc/50/95/71/741509571.db2.gz ZYVAGTKPWRCJHA-STQMWFEESA-N -1 1 349.435 -0.042 20 0 EBADMM C[C@@H]1OCC[C@@H]1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001073081033 741555100 /nfs/dbraw/zinc/55/51/00/741555100.db2.gz UGBOFHWELJGGLN-RYUDHWBXSA-N -1 1 335.408 -0.432 20 0 EBADMM CCOCC(=O)N1CCN(C2CN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001073531416 741635532 /nfs/dbraw/zinc/63/55/32/741635532.db2.gz XHFQJHDGORLSPE-UHFFFAOYSA-N -1 1 348.403 -0.208 20 0 EBADMM CC[C@@H](C(N)=O)N1CCCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001073583655 741668589 /nfs/dbraw/zinc/66/85/89/741668589.db2.gz BHUQKWYQLSNFFB-RYUDHWBXSA-N -1 1 336.392 -0.128 20 0 EBADMM CN(C)C(=O)CN1CCCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001073584005 741668629 /nfs/dbraw/zinc/66/86/29/741668629.db2.gz KPIJOGIQFAYCEJ-GFCCVEGCSA-N -1 1 336.392 -0.304 20 0 EBADMM CC(C)[C@@H](O)C(=O)N1CCCC[C@@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001074009068 741804978 /nfs/dbraw/zinc/80/49/78/741804978.db2.gz CYLBQWRZOPXKAX-TZMCWYRMSA-N -1 1 339.440 -0.062 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H]3CCN(C(=O)C4CCC4)C[C@H]32)nc1=O ZINC001074133581 741837783 /nfs/dbraw/zinc/83/77/83/741837783.db2.gz NAHPLBCXHBVXFE-CHWSQXEVSA-N -1 1 335.408 -0.290 20 0 EBADMM CC(C)=CC(=O)N1CC[C@@H]2OCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C1 ZINC001074151825 741842649 /nfs/dbraw/zinc/84/26/49/741842649.db2.gz UIIHKCDHYFPNQJ-OLZOCXBDSA-N -1 1 335.408 -0.124 20 0 EBADMM CNC(=O)CN1CCO[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001074218922 741862500 /nfs/dbraw/zinc/86/25/00/741862500.db2.gz HIIQXTGEJFKIKF-YPMHNXCESA-N -1 1 334.376 -0.552 20 0 EBADMM CN(C)C(=O)CN1CCO[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC001074219412 741862966 /nfs/dbraw/zinc/86/29/66/741862966.db2.gz OXJJKKWVEMQKIS-JSGCOSHPSA-N -1 1 348.403 -0.209 20 0 EBADMM CCN1CCO[C@@H]2CCN(C(=O)c3[nH]c(=O)[n-]c(=O)c3OC)C[C@H]21 ZINC001074382511 741921597 /nfs/dbraw/zinc/92/15/97/741921597.db2.gz MKHIDGHPIOGBCL-NXEZZACHSA-N -1 1 338.364 -0.168 20 0 EBADMM C[C@H]1CCN(C(=O)C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)C1 ZINC001075028431 742161973 /nfs/dbraw/zinc/16/19/73/742161973.db2.gz OSDVLGCZCSROLN-DCAQKATOSA-N -1 1 336.396 -0.542 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)CCN1C(=O)C1CC1 ZINC001075067153 742172917 /nfs/dbraw/zinc/17/29/17/742172917.db2.gz MDJXZNBQMXLYBV-AAEUAGOBSA-N -1 1 348.403 -0.168 20 0 EBADMM CC(=O)N1CC[C@@H](C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)C1 ZINC001075073424 742173742 /nfs/dbraw/zinc/17/37/42/742173742.db2.gz OADXZEFDONJUFU-WCQGTBRESA-N -1 1 336.396 -0.542 20 0 EBADMM CCNCc1cn([C@H]2CCCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)nn1 ZINC001075376615 742219986 /nfs/dbraw/zinc/21/99/86/742219986.db2.gz XOPSYAYYZBPGMJ-JTQLQIEISA-N -1 1 348.367 -0.539 20 0 EBADMM Cc1cc(C(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)no1 ZINC001075524167 742241539 /nfs/dbraw/zinc/24/15/39/742241539.db2.gz RCLDNMCGYSNWTQ-CMPLNLGQSA-N -1 1 332.364 -0.249 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)c4cncs4)[C@@H]3C2)nc1=O ZINC001075589972 742257797 /nfs/dbraw/zinc/25/77/97/742257797.db2.gz WZQQPVGBNGKCIX-VHSXEESVSA-N -1 1 334.405 -0.089 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)Cc4cc[nH]n4)[C@@H]3C2)nc1=O ZINC001075639732 742265726 /nfs/dbraw/zinc/26/57/26/742265726.db2.gz BSPSIYMTHNUVAL-CMPLNLGQSA-N -1 1 331.380 -0.893 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)CCc4ccon4)[C@@H]3C2)nc1=O ZINC001076040574 742368552 /nfs/dbraw/zinc/36/85/52/742368552.db2.gz RGBBYHPJECWUPQ-WCQYABFASA-N -1 1 346.391 -0.238 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@]1(C)CCNC1=O ZINC001076245123 742419130 /nfs/dbraw/zinc/41/91/30/742419130.db2.gz PLYMRIFODUNUSY-MGPLVRAMSA-N -1 1 334.376 -0.062 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@@H](C)CCNC(=O)c2ncccc2[O-])C1 ZINC001076245348 742419145 /nfs/dbraw/zinc/41/91/45/742419145.db2.gz YAXQXVVDUDJCSN-JTQLQIEISA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CCN(C)C1=O ZINC001076246038 742419205 /nfs/dbraw/zinc/41/92/05/742419205.db2.gz BJDCTBCDHPPOCV-QWRGUYRKSA-N -1 1 334.376 -0.110 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N1CC[C@H]2CN(C/C=C/Cl)C[C@H]21 ZINC001076500590 742482007 /nfs/dbraw/zinc/48/20/07/742482007.db2.gz GHVJEECCXVTMQY-ZNRHVGLYSA-N -1 1 327.772 -0.026 20 0 EBADMM O=C(N[C@@H]1CN(CCO[C@H]2CC2(F)F)C[C@H]1O)c1ncccc1[O-] ZINC001076916990 742642128 /nfs/dbraw/zinc/64/21/28/742642128.db2.gz MAKXBCWXODVBAE-JLLWLGSASA-N -1 1 343.330 -0.014 20 0 EBADMM CCn1nnc(C)c1CN1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001076917175 742642811 /nfs/dbraw/zinc/64/28/11/742642811.db2.gz UWPNPOOSUHCXFI-BXUZGUMPSA-N -1 1 346.391 -0.318 20 0 EBADMM COc1ccccc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001078050774 743439021 /nfs/dbraw/zinc/43/90/21/743439021.db2.gz DDOZHHYUXVGMHD-VXGBXAGGSA-N -1 1 347.375 -0.908 20 0 EBADMM CCc1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)cc1 ZINC001078114565 743480467 /nfs/dbraw/zinc/48/04/67/743480467.db2.gz NTVWRTMSIPSFLV-ZIAGYGMSSA-N -1 1 345.403 -0.354 20 0 EBADMM O=C(c1cc[n+]([O-])cc1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078325445 743584856 /nfs/dbraw/zinc/58/48/56/743584856.db2.gz FTLOLLSDDQMCNM-GFCCVEGCSA-N -1 1 348.363 -0.835 20 0 EBADMM C[C@H](C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)n1cccn1 ZINC001078341716 743592138 /nfs/dbraw/zinc/59/21/38/743592138.db2.gz LAJCIQQZLSDWBH-NEPJUHHUSA-N -1 1 349.395 -0.325 20 0 EBADMM O=C(c1cnsn1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078345554 743594392 /nfs/dbraw/zinc/59/43/92/743594392.db2.gz AOFKLYUWLHDODH-QMMMGPOBSA-N -1 1 339.381 -0.617 20 0 EBADMM O=C(c1cnns1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078347698 743595353 /nfs/dbraw/zinc/59/53/53/743595353.db2.gz LYFRERJMFBLWED-QMMMGPOBSA-N -1 1 339.381 -0.617 20 0 EBADMM O=C(c1cnns1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078347697 743595996 /nfs/dbraw/zinc/59/59/96/743595996.db2.gz LYFRERJMFBLWED-MRVPVSSYSA-N -1 1 339.381 -0.617 20 0 EBADMM O=C(c1cncs1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078351895 743600143 /nfs/dbraw/zinc/60/01/43/743600143.db2.gz WXQKCJLVSGQCJB-SECBINFHSA-N -1 1 338.393 -0.012 20 0 EBADMM O=C([C@H]1CCOC1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078352216 743600253 /nfs/dbraw/zinc/60/02/53/743600253.db2.gz ANFLUZZDBWAFIV-WDEREUQCSA-N -1 1 325.369 -0.746 20 0 EBADMM CC[C@@H](OC)C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078354986 743601311 /nfs/dbraw/zinc/60/13/11/743601311.db2.gz QAKGLINKXPJHTR-GHMZBOCLSA-N -1 1 327.385 -0.358 20 0 EBADMM CC(C)(C)C(=O)C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078359809 743606093 /nfs/dbraw/zinc/60/60/93/743606093.db2.gz FJVNLCGGTUTQTP-JTQLQIEISA-N -1 1 339.396 -0.167 20 0 EBADMM O=C(N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1)[C@]12C[C@H]1COC2 ZINC001078404361 743633501 /nfs/dbraw/zinc/63/35/01/743633501.db2.gz ZCTSRSUWVLRQFJ-RWSFTLGLSA-N -1 1 337.380 -0.746 20 0 EBADMM COC1CC(C(=O)N2CCCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001078416062 743639981 /nfs/dbraw/zinc/63/99/81/743639981.db2.gz QGKPBDBWOHLJMM-MCIGGMRASA-N -1 1 339.396 -0.358 20 0 EBADMM CCc1n[nH]cc1C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078424619 743643382 /nfs/dbraw/zinc/64/33/82/743643382.db2.gz ZDPOFKAEGQWYMV-JTQLQIEISA-N -1 1 349.395 -0.183 20 0 EBADMM Cc1n[nH]cc1C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078439826 743649122 /nfs/dbraw/zinc/64/91/22/743649122.db2.gz ZZVPRVFJXDLPBZ-JTQLQIEISA-N -1 1 335.368 -0.437 20 0 EBADMM Cc1c[nH]c(C(=O)N2CCCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001078484282 743669049 /nfs/dbraw/zinc/66/90/49/743669049.db2.gz FJQXYGRLIMVVLU-SNVBAGLBSA-N -1 1 335.368 -0.437 20 0 EBADMM Cc1c[nH]c(C(=O)N2CCCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001078484281 743669229 /nfs/dbraw/zinc/66/92/29/743669229.db2.gz FJQXYGRLIMVVLU-JTQLQIEISA-N -1 1 335.368 -0.437 20 0 EBADMM O=C(c1ncccn1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078490364 743673642 /nfs/dbraw/zinc/67/36/42/743673642.db2.gz IYJNODWNAOLFQO-JTQLQIEISA-N -1 1 333.352 -0.679 20 0 EBADMM Cc1nccc(C(=O)N2CCCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001078509760 743685556 /nfs/dbraw/zinc/68/55/56/743685556.db2.gz IJMMLSOUNSCPOO-NSHDSACASA-N -1 1 347.379 -0.370 20 0 EBADMM O=C(Cc1ccc[nH]1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078509699 743685796 /nfs/dbraw/zinc/68/57/96/743685796.db2.gz GYNYUUWRDRRWQH-GFCCVEGCSA-N -1 1 334.380 -0.212 20 0 EBADMM O=C(N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2)c1cc[n+]([O-])cc1 ZINC001078546230 743690587 /nfs/dbraw/zinc/69/05/87/743690587.db2.gz VFUDPXMFWOVXLS-VXGBXAGGSA-N -1 1 344.375 -0.026 20 0 EBADMM O=C(N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2)c1cc[n+]([O-])cc1 ZINC001078546227 743690717 /nfs/dbraw/zinc/69/07/17/743690717.db2.gz VFUDPXMFWOVXLS-NEPJUHHUSA-N -1 1 344.375 -0.026 20 0 EBADMM O=C(N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2)c1ccc(=O)[nH]n1 ZINC001078546411 743690821 /nfs/dbraw/zinc/69/08/21/743690821.db2.gz WPEOZAFQDRQBRL-NXEZZACHSA-N -1 1 345.363 -0.163 20 0 EBADMM Cn1cnc(C(=O)N[C@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)n1 ZINC001078620120 743709327 /nfs/dbraw/zinc/70/93/27/743709327.db2.gz SZSLWZKEMFBHTR-IUCAKERBSA-N -1 1 332.368 -0.530 20 0 EBADMM O=C(N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2)c1cnc[nH]c1=O ZINC001078655206 743716466 /nfs/dbraw/zinc/71/64/66/743716466.db2.gz ZCKWKHXXNIEQGH-UWVGGRQHSA-N -1 1 345.363 -0.163 20 0 EBADMM O=C(N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2)[C@H]1[C@@H]2COC[C@@H]21 ZINC001078700325 743721158 /nfs/dbraw/zinc/72/11/58/743721158.db2.gz KDTJFDZHQBEASB-VLLVSFFDSA-N -1 1 333.392 -0.080 20 0 EBADMM Cc1nn[nH]c1C(=O)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078698962 743721623 /nfs/dbraw/zinc/72/16/23/743721623.db2.gz YJIARUVZUDRYLX-DTWKUNHWSA-N -1 1 332.368 -0.232 20 0 EBADMM O=C(Cn1ccnc1)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078830430 743740688 /nfs/dbraw/zinc/74/06/88/743740688.db2.gz YZNNIGHLTCZZCS-MNOVXSKESA-N -1 1 331.380 -0.076 20 0 EBADMM NC(=O)[C@@H]1CC[C@H]1C(=O)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000980115010 805746344 /nfs/dbraw/zinc/74/63/44/805746344.db2.gz MWQYEMWGSUURHC-DDHJBXDOSA-N -1 1 346.387 -0.077 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)c2ccc(=O)[nH]c2)C1 ZINC000980311960 805839144 /nfs/dbraw/zinc/83/91/44/805839144.db2.gz DEZSESKIIIQWDP-NSHDSACASA-N -1 1 332.364 -0.445 20 0 EBADMM Cc1noc(C)c1CC(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000980370495 805873470 /nfs/dbraw/zinc/87/34/70/805873470.db2.gz UTCWWFZSXRHIEH-LBPRGKRZSA-N -1 1 348.407 -0.011 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)[C@@H]2CC23CCOCC3)C1 ZINC000980565100 805944974 /nfs/dbraw/zinc/94/49/74/805944974.db2.gz VLXZPMVULBBHAW-STQMWFEESA-N -1 1 349.435 -0.042 20 0 EBADMM C[C@H]1C[C@@H](CNCc2cnn(C)n2)CN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000980642763 805972962 /nfs/dbraw/zinc/97/29/62/805972962.db2.gz UAKGCBCKNOKQFZ-IUCAKERBSA-N -1 1 348.367 -0.948 20 0 EBADMM Cc1nn(C)cc1C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000980834921 806027650 /nfs/dbraw/zinc/02/76/50/806027650.db2.gz XMVBFWIZTLKTDI-UHFFFAOYSA-N -1 1 333.396 -0.502 20 0 EBADMM O=C(c1ncccc1[O-])N1CCCN([C@H]2CCC(=O)NC2=O)CC1 ZINC000981026654 806061472 /nfs/dbraw/zinc/06/14/72/806061472.db2.gz HWIUCQBUNSRELA-NSHDSACASA-N -1 1 332.360 -0.260 20 0 EBADMM COc1cc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)ncn1 ZINC000981176436 806101263 /nfs/dbraw/zinc/10/12/63/806101263.db2.gz ZVVRMHBYXPSLRH-UHFFFAOYSA-N -1 1 347.379 -0.745 20 0 EBADMM O=C(Cn1ncnn1)N[C@@H]1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000981209270 806109754 /nfs/dbraw/zinc/10/97/54/806109754.db2.gz UTAYUEUATQDXSP-WDEREUQCSA-N -1 1 345.363 -0.511 20 0 EBADMM O=C(N[C@@H]1CC[C@H](CNC(=O)[C@@H]2COC(=O)N2)C1)c1ncccc1[O-] ZINC000981256869 806120630 /nfs/dbraw/zinc/12/06/30/806120630.db2.gz HCDXRYIDGYNMKO-AXFHLTTASA-N -1 1 348.359 -0.090 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCCN(CCn2cccn2)CC1 ZINC000981555308 806201426 /nfs/dbraw/zinc/20/14/26/806201426.db2.gz METQRNZVMVTWES-UHFFFAOYSA-N -1 1 333.352 -0.673 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)c3ccc(C(N)=O)o3)CC2)nc1=O ZINC000981587321 806208027 /nfs/dbraw/zinc/20/80/27/806208027.db2.gz AAWHNPMUCZWCCL-UHFFFAOYSA-N -1 1 348.363 -0.852 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)Cc3ccc(=O)[nH]c3)CC2)nc1=O ZINC000981631450 806220054 /nfs/dbraw/zinc/22/00/54/806220054.db2.gz HSMXAGUKBLTKQV-UHFFFAOYSA-N -1 1 346.391 -0.514 20 0 EBADMM Cc1noc(CCC(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC000981722422 806248524 /nfs/dbraw/zinc/24/85/24/806248524.db2.gz YBDMSDMEXJDEDO-UHFFFAOYSA-N -1 1 349.395 -0.533 20 0 EBADMM C[C@@H]1COCC[C@H]1C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000981904673 806322108 /nfs/dbraw/zinc/32/21/08/806322108.db2.gz GMAOGIAAZHONJA-CHWSQXEVSA-N -1 1 337.424 -0.185 20 0 EBADMM CCn1cc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)c(C)n1 ZINC000982051434 806384996 /nfs/dbraw/zinc/38/49/96/806384996.db2.gz MZANBVRGWMOKMI-UHFFFAOYSA-N -1 1 347.423 -0.019 20 0 EBADMM CO[C@H](C)CN1CCCN(C(=O)Cn2[n-]cc3c(=O)ncnc2-3)CC1 ZINC000982251059 806435522 /nfs/dbraw/zinc/43/55/22/806435522.db2.gz BZFQHRJYLVEHSI-GFCCVEGCSA-N -1 1 348.407 -0.360 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)C(F)F)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000982322796 806459880 /nfs/dbraw/zinc/45/98/80/806459880.db2.gz QDLJKMOKPNXOEX-ZETCQYMHSA-N -1 1 340.290 -0.739 20 0 EBADMM O=C(CC1CC1)N1CC[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000982350633 806468330 /nfs/dbraw/zinc/46/83/30/806468330.db2.gz ZPHUOCSTFFJIRQ-NSHDSACASA-N -1 1 344.375 -0.204 20 0 EBADMM Cc1ncc(C(=O)N2CC[C@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC000982554093 806558745 /nfs/dbraw/zinc/55/87/45/806558745.db2.gz NFZNAHBHOXHVRN-MRVPVSSYSA-N -1 1 347.335 -0.794 20 0 EBADMM Cn1[n-]c(CN2C[C@]3(C)CN(C(=O)c4nc[nH]n4)C[C@]3(C)C2)nc1=O ZINC000982656323 806608385 /nfs/dbraw/zinc/60/83/85/806608385.db2.gz YOVZIKAWBKQLBC-GASCZTMLSA-N -1 1 346.395 -0.789 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000982674192 806629517 /nfs/dbraw/zinc/62/95/17/806629517.db2.gz UMGXTVNCGGUDNO-AXFHLTTASA-N -1 1 332.360 -0.513 20 0 EBADMM COCC(=O)N1CCC2(CO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC000982864395 806743468 /nfs/dbraw/zinc/74/34/68/806743468.db2.gz TYBQNOUPMPNIRH-LLVKDONJSA-N -1 1 339.396 -0.356 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N[C@H]3CC[C@@H](CO)CC3)ccnc1-2 ZINC001259995875 812821393 /nfs/dbraw/zinc/82/13/93/812821393.db2.gz WUNUFSGMTDMZJU-PHIMTYICSA-N -1 1 331.376 -0.052 20 0 EBADMM CNS(=O)(=O)c1ccc(CCC(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000029018851 803742131 /nfs/dbraw/zinc/74/21/31/803742131.db2.gz DLOMSJSPHWFPHS-UHFFFAOYSA-N -1 1 340.361 -0.890 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1[C@H]2CN(C(=O)[C@@H]3C[C@@H]3C)C[C@H]21 ZINC000976863631 803916272 /nfs/dbraw/zinc/91/62/72/803916272.db2.gz ULVXLDLWMYGEDX-SQXHDICFSA-N -1 1 348.359 -0.261 20 0 EBADMM CN1CC[C@@H](C(=O)N[C@@H]2[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)C1=O ZINC000977000703 804047691 /nfs/dbraw/zinc/04/76/91/804047691.db2.gz JESICINTABGINZ-MEWQQHAOSA-N -1 1 344.371 -0.548 20 0 EBADMM CC(C)(C)C(=O)N[C@@H]1[C@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]21 ZINC000977165500 804183696 /nfs/dbraw/zinc/18/36/96/804183696.db2.gz LRSHAYSIKPLVIA-GDGBQDQQSA-N -1 1 344.375 -0.350 20 0 EBADMM Cc1cc(C(=O)N(C)C[C@H]2CCN2C(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC000977417150 804317790 /nfs/dbraw/zinc/31/77/90/804317790.db2.gz FVWMKAFTCQZDRG-MRVPVSSYSA-N -1 1 347.335 -0.699 20 0 EBADMM CN(C[C@H]1CCN1C(=O)C[C@@H]1CCNC1=O)C(=O)c1ncccc1[O-] ZINC000977598480 804406996 /nfs/dbraw/zinc/40/69/96/804406996.db2.gz ISFSIDRYFBAATG-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1CCCNC1=O ZINC000977863592 804532203 /nfs/dbraw/zinc/53/22/03/804532203.db2.gz LXPBWNWOOIDQBQ-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@@H]1CNC(=O)N1 ZINC000978309204 804785384 /nfs/dbraw/zinc/78/53/84/804785384.db2.gz WHWHGNWRJDKBBR-ZJUUUORDSA-N -1 1 333.348 -0.859 20 0 EBADMM O=C(NC[C@@H]1C[C@H](NC(=O)[C@H]2CCC(=O)N2)C1)c1ncccc1[O-] ZINC000978740355 804952922 /nfs/dbraw/zinc/95/29/22/804952922.db2.gz BLLWQMZGRAESJG-OUAUKWLOSA-N -1 1 332.360 -0.310 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2cnccn2)CCO1 ZINC000979168041 805186128 /nfs/dbraw/zinc/18/61/28/805186128.db2.gz AIZZALVHAFGVRD-KOLCDFICSA-N -1 1 333.352 -0.680 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2cccnc2)CCO1 ZINC000979173183 805188319 /nfs/dbraw/zinc/18/83/19/805188319.db2.gz CTAVBQOJFTYTPT-PWSUYJOCSA-N -1 1 332.364 -0.075 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2cnn(C)c2)CCO1 ZINC000979190778 805198180 /nfs/dbraw/zinc/19/81/80/805198180.db2.gz JQKYMOHNIWCELK-ONGXEEELSA-N -1 1 335.368 -0.737 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2cnns2)CCO1 ZINC000979204174 805207621 /nfs/dbraw/zinc/20/76/21/805207621.db2.gz BXMPLHQEOYELRA-JGVFFNPUSA-N -1 1 339.381 -0.619 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)on1 ZINC000979221095 805217106 /nfs/dbraw/zinc/21/71/06/805217106.db2.gz WGNORIGKRLCAHJ-MWLCHTKSSA-N -1 1 336.352 -0.174 20 0 EBADMM Cc1ncncc1C(=O)N1CCO[C@@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979235053 805226960 /nfs/dbraw/zinc/22/69/60/805226960.db2.gz QPFPPJQSHTYSOJ-CMPLNLGQSA-N -1 1 347.379 -0.372 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)Cc2cc[nH]n2)CCO1 ZINC000979237064 805228146 /nfs/dbraw/zinc/22/81/46/805228146.db2.gz MVFAJTGOUSLODE-GXSJLCMTSA-N -1 1 335.368 -0.818 20 0 EBADMM Cc1nc(C(=O)N2CCO[C@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)c[nH]1 ZINC000979247479 805237711 /nfs/dbraw/zinc/23/77/11/805237711.db2.gz VIRTXFICCBEJDC-KWQFWETISA-N -1 1 335.368 -0.439 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)CCn2cccn2)CCO1 ZINC000979259037 805244892 /nfs/dbraw/zinc/24/48/92/805244892.db2.gz RWBHUVHRBOFDOJ-NWDGAFQWSA-N -1 1 349.395 -0.497 20 0 EBADMM CCn1nccc1C(=O)N1CCO[C@@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979258572 805245445 /nfs/dbraw/zinc/24/54/45/805245445.db2.gz NNNGUZCPZSDTNI-CMPLNLGQSA-N -1 1 349.395 -0.254 20 0 EBADMM Cc1ncoc1C(=O)N1CCO[C@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979266856 805249214 /nfs/dbraw/zinc/24/92/14/805249214.db2.gz ITJOUFFZLIOYRM-WPRPVWTQSA-N -1 1 336.352 -0.174 20 0 EBADMM C[C@@H](NCc1cnn(C)n1)[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC000979279939 805259064 /nfs/dbraw/zinc/25/90/64/805259064.db2.gz SPXLGENJNWKHOO-RISCZKNCSA-N -1 1 346.391 -0.065 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)ncn1 ZINC000979290695 805266863 /nfs/dbraw/zinc/26/68/63/805266863.db2.gz JLLOFHNSPIKKFL-ZYHUDNBSSA-N -1 1 347.379 -0.372 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)Cc2cncnc2)CCO1 ZINC000979300107 805276560 /nfs/dbraw/zinc/27/65/60/805276560.db2.gz KVMAHNUTGABFFC-JQWIXIFHSA-N -1 1 347.379 -0.752 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2cncn2C)CCO1 ZINC000979344263 805308242 /nfs/dbraw/zinc/30/82/42/805308242.db2.gz NIMBBVUTVIDKAF-GXSJLCMTSA-N -1 1 335.368 -0.737 20 0 EBADMM Cc1n[nH]cc1C(=O)N1CCO[C@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979362128 805325893 /nfs/dbraw/zinc/32/58/93/805325893.db2.gz NBGFGCWMRXHSOE-ONGXEEELSA-N -1 1 335.368 -0.439 20 0 EBADMM Cc1nonc1C(=O)N1CCO[C@@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979458116 805391114 /nfs/dbraw/zinc/39/11/14/805391114.db2.gz FELVRDIEYYGKPQ-VXNVDRBHSA-N -1 1 337.340 -0.779 20 0 EBADMM Cc1nnc([C@H](C)NC[C@H]2C[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC000984216893 807220142 /nfs/dbraw/zinc/22/01/42/807220142.db2.gz UKDYWDWLVDXLSJ-XVYDVKMFSA-N -1 1 348.367 -0.431 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)Cn1cnnn1 ZINC000984801702 807391272 /nfs/dbraw/zinc/39/12/72/807391272.db2.gz VRNMUOLUWPXZHY-WDEREUQCSA-N -1 1 345.363 -0.417 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)[C@@H]2CCCNC2=O)CC1 ZINC000985481818 807518139 /nfs/dbraw/zinc/51/81/39/807518139.db2.gz OZVVLRBVXJXWIU-LLVKDONJSA-N -1 1 336.396 -0.541 20 0 EBADMM Cc1nc(CN(C)C2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)CC2)n[nH]1 ZINC000985522053 807528043 /nfs/dbraw/zinc/52/80/43/807528043.db2.gz XLYZIYWNJXPVKL-UHFFFAOYSA-N -1 1 348.367 -0.554 20 0 EBADMM Cc1nonc1CC(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC000985723549 807570408 /nfs/dbraw/zinc/57/04/08/807570408.db2.gz FRJRUISEXKILQJ-UHFFFAOYSA-N -1 1 335.368 -0.133 20 0 EBADMM Cc1c(CC(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)cnn1C ZINC000986343935 807681267 /nfs/dbraw/zinc/68/12/67/807681267.db2.gz UGUDDOOBGXXSIU-PWSUYJOCSA-N -1 1 333.396 -0.126 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cc2n(n1)CCO2 ZINC000986356714 807683124 /nfs/dbraw/zinc/68/31/24/807683124.db2.gz IKBIARUUWCYGAU-IUCAKERBSA-N -1 1 333.352 -0.508 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnc2n1CCOC2 ZINC000986383522 807693338 /nfs/dbraw/zinc/69/33/38/807693338.db2.gz SMFLVKWQAANPBI-VHSXEESVSA-N -1 1 347.379 -0.370 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CC1CS(=O)(=O)C1 ZINC000986448679 807706298 /nfs/dbraw/zinc/70/62/98/807706298.db2.gz PSCBQILEJXCFSU-WPRPVWTQSA-N -1 1 343.409 -0.976 20 0 EBADMM CCn1ccc(CN[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)[C@H]2C)n1 ZINC000986487988 807718468 /nfs/dbraw/zinc/71/84/68/807718468.db2.gz NFVJHBFNEWDKCR-GXSJLCMTSA-N -1 1 347.379 -0.108 20 0 EBADMM COc1cnc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)nc1 ZINC000986532818 807728960 /nfs/dbraw/zinc/72/89/60/807728960.db2.gz KMKKYGUIJOZXDE-PSASIEDQSA-N -1 1 333.352 -0.298 20 0 EBADMM COc1nccc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)n1 ZINC000986548096 807731375 /nfs/dbraw/zinc/73/13/75/807731375.db2.gz IAIMIYCMVFOIGY-DTWKUNHWSA-N -1 1 333.352 -0.298 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cc2n(n1)CCCO2 ZINC000986551259 807732767 /nfs/dbraw/zinc/73/27/67/807732767.db2.gz VPVKEOXAJGMHBZ-UWVGGRQHSA-N -1 1 347.379 -0.118 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)Cc1ccc(=O)[nH]c1 ZINC000986580143 807740035 /nfs/dbraw/zinc/74/00/35/807740035.db2.gz IJMJUPZWQLKSOW-KOLCDFICSA-N -1 1 332.364 -0.067 20 0 EBADMM C[C@H]1[C@@H](NCc2cnnn2C)CCN1C(=O)c1cnc([O-])n(C)c1=O ZINC000986653681 807757186 /nfs/dbraw/zinc/75/71/86/807757186.db2.gz ZKIPWWNVCLMCBA-CABZTGNLSA-N -1 1 347.379 -0.993 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccn2nnnc2c1 ZINC000986663951 807759751 /nfs/dbraw/zinc/75/97/51/807759751.db2.gz NGXJUUPGAHJYCI-WCBMZHEXSA-N -1 1 343.351 -0.659 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccoc1CC(N)=O ZINC000986753775 807773371 /nfs/dbraw/zinc/77/33/71/807773371.db2.gz HQFSKLTWYPJIBX-SCZZXKLOSA-N -1 1 348.363 -0.476 20 0 EBADMM CC(=O)N[C@H]1CCCCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000987078871 807835547 /nfs/dbraw/zinc/83/55/47/807835547.db2.gz JPZBKHFJFHLGRV-ZDUSSCGKSA-N -1 1 336.392 -0.166 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cc(C(N)=O)c[nH]1 ZINC000987275826 807881381 /nfs/dbraw/zinc/88/13/81/807881381.db2.gz SRZAOYRYOMOCRC-APPZFPTMSA-N -1 1 333.352 -0.670 20 0 EBADMM C[C@H]1[C@@H](NCc2cnon2)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000987355935 807900255 /nfs/dbraw/zinc/90/02/55/807900255.db2.gz UCHOMFLUHNGBOV-WPRPVWTQSA-N -1 1 344.335 -0.807 20 0 EBADMM COc1c(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)cnn1C ZINC000987420760 807914151 /nfs/dbraw/zinc/91/41/51/807914151.db2.gz JECMYOLBXNCNLV-WCBMZHEXSA-N -1 1 335.368 -0.355 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCc1cncn1C ZINC000987433699 807918757 /nfs/dbraw/zinc/91/87/57/807918757.db2.gz NOUNOSAYWDOYOS-ZYHUDNBSSA-N -1 1 333.396 -0.044 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCN1CCCC1=O ZINC000987553152 807955805 /nfs/dbraw/zinc/95/58/05/807955805.db2.gz XSBTXTAVHJJLEA-WDEREUQCSA-N -1 1 336.396 -0.398 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc(C(N)=O)cn1 ZINC000987606576 807970392 /nfs/dbraw/zinc/97/03/92/807970392.db2.gz VOQKEUXSOWTXBL-PSASIEDQSA-N -1 1 345.363 -0.603 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cc(C(N)=O)ccn1 ZINC000987630286 807974488 /nfs/dbraw/zinc/97/44/88/807974488.db2.gz CCGVYUQYLVMUFS-PSASIEDQSA-N -1 1 345.363 -0.603 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@@H]1CN(C)CCO1 ZINC000987669979 807986842 /nfs/dbraw/zinc/98/68/42/807986842.db2.gz DOPDOEITIUCJQC-DYEKYZERSA-N -1 1 348.403 -0.163 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@H]1COCCO1 ZINC000987671228 807987164 /nfs/dbraw/zinc/98/71/64/807987164.db2.gz IFAVJZCUSOXMIV-NTZNESFSSA-N -1 1 335.360 -0.078 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@H]1CC(=O)N(C)C1 ZINC000987686792 807991482 /nfs/dbraw/zinc/99/14/82/807991482.db2.gz DZEKMTREBIMXAM-WOPDTQHZSA-N -1 1 346.387 -0.015 20 0 EBADMM CN(C[C@H]1CCN(C(=O)[C@@H]2CCC(=O)N2)C1)C(=O)c1ncccc1[O-] ZINC000988173024 808135145 /nfs/dbraw/zinc/13/51/45/808135145.db2.gz WUWDRHMYLMZLDF-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)C(N)=O)C1)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000988290535 808190170 /nfs/dbraw/zinc/19/01/70/808190170.db2.gz GLJZLVMZVRNTGW-VIFPVBQESA-N -1 1 347.375 -0.535 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cccn2nnnc12 ZINC000988893066 808320181 /nfs/dbraw/zinc/32/01/81/808320181.db2.gz UVGKSYOGQJOHER-RKDXNWHRSA-N -1 1 343.351 -0.659 20 0 EBADMM Cc1nn(C)cc1CC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC000988975411 808340199 /nfs/dbraw/zinc/34/01/99/808340199.db2.gz VGZOWVIDZCRQLP-JOYOIKCWSA-N -1 1 333.396 -0.126 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CCN1C(=O)c1ccn[nH]1 ZINC000989114938 808380141 /nfs/dbraw/zinc/38/01/41/808380141.db2.gz XCGBKNYKNYHYGB-RQJHMYQMSA-N -1 1 333.308 -0.961 20 0 EBADMM CCn1ccnc1CN[C@@H]1C[C@@H](C)N(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000989118031 808380674 /nfs/dbraw/zinc/38/06/74/808380674.db2.gz DXZXLGJLGYIFLW-NXEZZACHSA-N -1 1 347.379 -0.108 20 0 EBADMM COCCn1ccc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)n1 ZINC000989146141 808387091 /nfs/dbraw/zinc/38/70/91/808387091.db2.gz AYOTWKKOYLEXJI-QWRGUYRKSA-N -1 1 349.395 -0.254 20 0 EBADMM COc1cnc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)nc1 ZINC000989164979 808392420 /nfs/dbraw/zinc/39/24/20/808392420.db2.gz XLIRZLANUAIVLC-IUCAKERBSA-N -1 1 333.352 -0.298 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc2nnnn2c1 ZINC000989187004 808398194 /nfs/dbraw/zinc/39/81/94/808398194.db2.gz XXQMVONVOKPXGI-SCZZXKLOSA-N -1 1 343.351 -0.659 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H]1CCN(C(=O)C(N)=O)[C@@H]1C ZINC000989211306 808402316 /nfs/dbraw/zinc/40/23/16/808402316.db2.gz MZECCTWVWJCKDY-ZJUUUORDSA-N -1 1 349.391 -0.181 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)COc1cnn(C)c1 ZINC000989359182 808456727 /nfs/dbraw/zinc/45/67/27/808456727.db2.gz XHUVODYWKGKZJU-UWVGGRQHSA-N -1 1 335.368 -0.598 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)COC1CCOCC1 ZINC000989510461 808492608 /nfs/dbraw/zinc/49/26/08/808492608.db2.gz GRFSYZBLBIWFNL-QWRGUYRKSA-N -1 1 339.396 -0.215 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)c3ccc(=O)[nH]c3)CC2)nc1=O ZINC000989598904 808527183 /nfs/dbraw/zinc/52/71/83/808527183.db2.gz AKAYFAYMXKPAHJ-UHFFFAOYSA-N -1 1 332.364 -0.443 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cc(C(N)=O)c[nH]1 ZINC000989614306 808532835 /nfs/dbraw/zinc/53/28/35/808532835.db2.gz GAVVKGINQMBDSU-APPZFPTMSA-N -1 1 333.352 -0.670 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cn(CC2CC2)nn1 ZINC000989623396 808536760 /nfs/dbraw/zinc/53/67/60/808536760.db2.gz VRRRCNLUGLQMPI-ONGXEEELSA-N -1 1 346.395 -0.095 20 0 EBADMM COc1c(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)cnn1C ZINC000989733888 808573119 /nfs/dbraw/zinc/57/31/19/808573119.db2.gz NETSGBDEJQQPQF-RKDXNWHRSA-N -1 1 335.368 -0.355 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)c2ccccn2)C1 ZINC000990055827 808645405 /nfs/dbraw/zinc/64/54/05/808645405.db2.gz APBYWYFFSKKQRM-UHFFFAOYSA-N -1 1 345.315 -0.454 20 0 EBADMM O=C(NC1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1)[C@H]1COCCO1 ZINC000990302326 808739906 /nfs/dbraw/zinc/73/99/06/808739906.db2.gz QTPRIOWCGWHQDQ-GFCCVEGCSA-N -1 1 348.359 -0.584 20 0 EBADMM Cc1[nH]ccc1C(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000990730617 808897617 /nfs/dbraw/zinc/89/76/17/808897617.db2.gz ANVNWKQNLIAKRL-UHFFFAOYSA-N -1 1 341.331 -0.691 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)C2CC(C)C2)C1 ZINC000990749466 808901694 /nfs/dbraw/zinc/90/16/94/808901694.db2.gz RBHPUUJRHZORDR-UHFFFAOYSA-N -1 1 336.348 -0.117 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)[C@]45C[C@H]4COC5)CCC[C@H]23)nc1=O ZINC000990818035 808934465 /nfs/dbraw/zinc/93/44/65/808934465.db2.gz RLPMXZOLUDKJHZ-SYWGBEHUSA-N -1 1 347.419 -0.242 20 0 EBADMM C[C@@]1(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)CCC(=O)NC1 ZINC000990974312 809021291 /nfs/dbraw/zinc/02/12/91/809021291.db2.gz HIXTUBXRLPGPQB-MRXNPFEDSA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(CCN1CCCC1=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990975758 809021713 /nfs/dbraw/zinc/02/17/13/809021713.db2.gz BOHUKVRNOUUYBO-UHFFFAOYSA-N -1 1 332.360 -0.260 20 0 EBADMM Cn1cnc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)cc1=O ZINC000990976229 809023513 /nfs/dbraw/zinc/02/35/13/809023513.db2.gz ZAMQPSDVYUZLBN-UHFFFAOYSA-N -1 1 329.316 -0.865 20 0 EBADMM NC(=O)c1cccc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)n1 ZINC000990978957 809026804 /nfs/dbraw/zinc/02/68/04/809026804.db2.gz QXZMQXKDOKDVJQ-UHFFFAOYSA-N -1 1 341.327 -0.465 20 0 EBADMM C[C@H](C(=O)N1CC(NC(=O)c2ncccc2[O-])C1)[C@H]1CCC(=O)N1 ZINC000990980278 809027132 /nfs/dbraw/zinc/02/71/32/809027132.db2.gz ZKLSCNQXROFYPP-GXSJLCMTSA-N -1 1 332.360 -0.358 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)Cc2ccoc2)C1 ZINC000990985554 809031448 /nfs/dbraw/zinc/03/14/48/809031448.db2.gz UXOBAFBWGWYMDK-UHFFFAOYSA-N -1 1 348.315 -0.327 20 0 EBADMM Cn1cnc(C(=O)NC2CN(C(=O)c3cnc(C4CC4)[n-]c3=O)C2)n1 ZINC000991187595 809136143 /nfs/dbraw/zinc/13/61/43/809136143.db2.gz SBUAKIIGPAWXMF-UHFFFAOYSA-N -1 1 343.347 -0.558 20 0 EBADMM Cn1cc(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]2O)cn1 ZINC000991262937 809210531 /nfs/dbraw/zinc/21/05/31/809210531.db2.gz ZWYJXLCAPORMFK-WCQYABFASA-N -1 1 345.359 -0.474 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1cn[nH]c1 ZINC000991299611 809255736 /nfs/dbraw/zinc/25/57/36/809255736.db2.gz NOCMURQTDUBYTF-PWSUYJOCSA-N -1 1 331.332 -0.484 20 0 EBADMM Cc1ncc(C(=O)N2CC[C@H](NC(=O)c3[nH]nc(C)c3[O-])[C@@H](O)C2)[nH]1 ZINC000991396640 809407136 /nfs/dbraw/zinc/40/71/36/809407136.db2.gz WMRFQQHCTLFRDX-ONGXEEELSA-N -1 1 348.363 -0.539 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cnsn2)C[C@H]1O)c1ncccc1[O-] ZINC000991407822 809425978 /nfs/dbraw/zinc/42/59/78/809425978.db2.gz KISZRSIGKUYTPV-GZMMTYOYSA-N -1 1 349.372 -0.356 20 0 EBADMM Cn1cc(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])[C@H](O)C2)cn1 ZINC000991413556 809431882 /nfs/dbraw/zinc/43/18/82/809431882.db2.gz ZHJGOQPJTCMPCC-DGCLKSJQSA-N -1 1 345.359 -0.474 20 0 EBADMM O=C(Cc1ccon1)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991413976 809432699 /nfs/dbraw/zinc/43/26/99/809432699.db2.gz GQBVSNBERNBGER-YPMHNXCESA-N -1 1 346.343 -0.291 20 0 EBADMM O=C(Cc1cnc[nH]1)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991423586 809446490 /nfs/dbraw/zinc/44/64/90/809446490.db2.gz JMNHYPCMWLYFJA-YPMHNXCESA-N -1 1 345.359 -0.555 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cocn2)C[C@@H]1O)c1ncccc1[O-] ZINC000991425391 809449472 /nfs/dbraw/zinc/44/94/72/809449472.db2.gz LKHQGULDQVCJAN-SKDRFNHKSA-N -1 1 332.316 -0.219 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)c1 ZINC000991430737 809460448 /nfs/dbraw/zinc/46/04/48/809460448.db2.gz UWBISJJVRYLQNW-MFKMUULPSA-N -1 1 345.359 -0.474 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)c1 ZINC000991430733 809460642 /nfs/dbraw/zinc/46/06/42/809460642.db2.gz UWBISJJVRYLQNW-GWCFXTLKSA-N -1 1 345.359 -0.474 20 0 EBADMM O=C(Cn1ccnc1)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991431778 809463440 /nfs/dbraw/zinc/46/34/40/809463440.db2.gz VQRDPGBTQKOANU-WCQYABFASA-N -1 1 345.359 -0.625 20 0 EBADMM CCC(=O)N1CCC[C@H]1CN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001001974687 809682367 /nfs/dbraw/zinc/68/23/67/809682367.db2.gz AQLQSNLTCZVKKV-JTQLQIEISA-N -1 1 332.364 -0.109 20 0 EBADMM CN(C[C@@H]1CCCN1C(=O)[C@@H]1COC(=O)N1)C(=O)c1ncccc1[O-] ZINC001002456188 809716236 /nfs/dbraw/zinc/71/62/36/809716236.db2.gz LTNFEEVCQRRSDS-QWRGUYRKSA-N -1 1 348.359 -0.041 20 0 EBADMM O=C(NCC1CN(C(=O)c2ccc3oc(=O)nc-3[n-]2)C1)c1cnn[nH]1 ZINC001003114059 809786805 /nfs/dbraw/zinc/78/68/05/809786805.db2.gz LZZWIQMNXRJDNU-UHFFFAOYSA-N -1 1 343.303 -0.452 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)c1c[nH]c(=O)cn1 ZINC001004919685 809834482 /nfs/dbraw/zinc/83/44/82/809834482.db2.gz PTGRDGJBLRKMAD-ONGXEEELSA-N -1 1 347.379 -0.233 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CN(C)C(=O)N2)C1 ZINC001005961059 809872940 /nfs/dbraw/zinc/87/29/40/809872940.db2.gz XNACLBJWJFXWCR-GXSJLCMTSA-N -1 1 347.375 -0.613 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)c3cc4n(n3)CCO4)C2)nc1=O ZINC001007506195 809942520 /nfs/dbraw/zinc/94/25/20/809942520.db2.gz LVFWLPSXYWBFTB-JTQLQIEISA-N -1 1 347.379 -0.908 20 0 EBADMM COCC(=O)N1CCO[C@@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC001007638539 809959247 /nfs/dbraw/zinc/95/92/47/809959247.db2.gz GRXJJSSAUUOTFN-INIZCTEOSA-N -1 1 335.360 -0.123 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)Cc3ccc(=O)[nH]c3)C2)nc1=O ZINC001007971518 810053412 /nfs/dbraw/zinc/05/34/12/810053412.db2.gz ZMXAJSGJAWSKNA-GFCCVEGCSA-N -1 1 346.391 -0.468 20 0 EBADMM CC1(C)CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)[C@H]1COC(=O)N1 ZINC001008095993 810077001 /nfs/dbraw/zinc/07/70/01/810077001.db2.gz DKMWFWSDTHQGAI-KOLCDFICSA-N -1 1 348.359 -0.138 20 0 EBADMM Cc1nc(CN2CCC[C@H](NC(=O)c3cnc([O-])n(C)c3=O)C2)n[nH]1 ZINC001008159025 810091490 /nfs/dbraw/zinc/09/14/90/810091490.db2.gz QYOHHEFKAHSNCB-JTQLQIEISA-N -1 1 347.379 -0.693 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)Cc3ncccc3F)C2)nc1=O ZINC001008315162 810133274 /nfs/dbraw/zinc/13/32/74/810133274.db2.gz MMNYQDVZBASASI-NSHDSACASA-N -1 1 348.382 -0.034 20 0 EBADMM Cc1nccc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001009564347 810246735 /nfs/dbraw/zinc/24/67/35/810246735.db2.gz LOHXTBWCQLVFAV-LLVKDONJSA-N -1 1 331.380 -0.399 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCO[C@H]([C@H](C)NC(C)=O)C1 ZINC001009714854 810255692 /nfs/dbraw/zinc/25/56/92/810255692.db2.gz ODZKQPQPSRNZGY-CBAPKCEASA-N -1 1 340.336 -0.738 20 0 EBADMM CC(F)(F)C(=O)N1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001009791812 810264377 /nfs/dbraw/zinc/26/43/77/810264377.db2.gz IGFCEXMGTNHJJM-UHFFFAOYSA-N -1 1 344.318 -0.747 20 0 EBADMM CC(C)C[C@H](C(N)=O)C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001217104898 810403502 /nfs/dbraw/zinc/40/35/02/810403502.db2.gz DLNOJAIPPOUILH-GMTAPVOTSA-N -1 1 338.412 -0.406 20 0 EBADMM CCC[C@@H](C)C(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21 ZINC001217578903 810441880 /nfs/dbraw/zinc/44/18/80/810441880.db2.gz BAHKWUBQDBJLCQ-FRRDWIJNSA-N -1 1 337.424 -0.044 20 0 EBADMM Cc1nc([C@H](C)N2CC[C@@H](NC(=O)c3cnc([O-])n(C)c3=O)C2)n[nH]1 ZINC001015487261 810490368 /nfs/dbraw/zinc/49/03/68/810490368.db2.gz KNEYEOBXINXKQK-WCBMZHEXSA-N -1 1 347.379 -0.522 20 0 EBADMM CSc1nc(NC(=O)[C@@H](C)[C@H]2NC(=O)[C@@H]2[C@@H](C)O)cc(=O)[n-]1 ZINC001218582962 810506772 /nfs/dbraw/zinc/50/67/72/810506772.db2.gz FDJRGMUMJOOGCI-NAXOPYRSSA-N -1 1 326.378 -0.026 20 0 EBADMM CSc1nc(NC(=O)[C@H](C)[C@H]2NC(=O)[C@@H]2[C@@H](C)O)cc(=O)[n-]1 ZINC001218582963 810506854 /nfs/dbraw/zinc/50/68/54/810506854.db2.gz FDJRGMUMJOOGCI-SXILTZBTSA-N -1 1 326.378 -0.026 20 0 EBADMM C[C@@H](O)[C@H]1C(=O)N[C@@H]1[C@@H](C)C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001218671920 810519503 /nfs/dbraw/zinc/51/95/03/810519503.db2.gz SLZCFRVTOMSRCO-KVTDHHQDSA-N -1 1 325.281 -0.644 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)c1cncnc1 ZINC001015606736 810536915 /nfs/dbraw/zinc/53/69/15/810536915.db2.gz FOVOFHNZRIMRGT-ZYHUDNBSSA-N -1 1 331.380 -0.607 20 0 EBADMM Cc1cc(=O)[nH]cc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001015681662 810558907 /nfs/dbraw/zinc/55/89/07/810558907.db2.gz WICQXGVSZPPMDO-SNVBAGLBSA-N -1 1 332.364 -0.478 20 0 EBADMM Cc1ncccc1CC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001015698669 810565148 /nfs/dbraw/zinc/56/51/48/810565148.db2.gz NPYSHQYGTYRAGS-CYBMUJFWSA-N -1 1 330.392 -0.255 20 0 EBADMM CCn1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c(C)n1 ZINC001015766895 810575626 /nfs/dbraw/zinc/57/56/26/810575626.db2.gz CSFCFPRZCDSCDD-NSHDSACASA-N -1 1 333.396 -0.362 20 0 EBADMM Cn1nnnc1CN1CC[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001015804406 810586165 /nfs/dbraw/zinc/58/61/65/810586165.db2.gz VLGVMFDZYVNFQN-JTQLQIEISA-N -1 1 344.379 -0.413 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ccc4cccnn43)C2)nc1=O ZINC001015807543 810587460 /nfs/dbraw/zinc/58/74/60/810587460.db2.gz DSONRVFTWJGWEE-LLVKDONJSA-N -1 1 341.375 -0.240 20 0 EBADMM CO[C@H](C)CN1CC[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001015865335 810605966 /nfs/dbraw/zinc/60/59/66/810605966.db2.gz WMMNLVNUQGMPAF-MNOVXSKESA-N -1 1 334.380 -0.703 20 0 EBADMM O=C(N[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CCc2[nH]cnc2C1 ZINC001015956398 810625395 /nfs/dbraw/zinc/62/53/95/810625395.db2.gz BAFRJEIUQBDKSS-UWVGGRQHSA-N -1 1 331.380 -0.271 20 0 EBADMM CC(C)n1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001015980383 810637516 /nfs/dbraw/zinc/63/75/16/810637516.db2.gz DBQKGSYMDMOEGO-GFCCVEGCSA-N -1 1 333.396 -0.110 20 0 EBADMM CC(C)n1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001015980384 810637549 /nfs/dbraw/zinc/63/75/49/810637549.db2.gz DBQKGSYMDMOEGO-LBPRGKRZSA-N -1 1 333.396 -0.110 20 0 EBADMM O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CCc2[nH]nnc2C1 ZINC001015991452 810643948 /nfs/dbraw/zinc/64/39/48/810643948.db2.gz BGWYTEOTFVHARH-DTWKUNHWSA-N -1 1 332.368 -0.876 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCN(Cc2cnnn2C)C1 ZINC001015989349 810644171 /nfs/dbraw/zinc/64/41/71/810644171.db2.gz PBTIULLTJCUXDU-MRVPVSSYSA-N -1 1 349.351 -0.971 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ccc(C(N)=O)cc3)C2)nc1=O ZINC001016231002 810710703 /nfs/dbraw/zinc/71/07/03/810710703.db2.gz WZXUOCSRZDHJHV-GFCCVEGCSA-N -1 1 344.375 -0.788 20 0 EBADMM CN(C(=O)[C@@H]1COCCO1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016268887 810717148 /nfs/dbraw/zinc/71/71/48/810717148.db2.gz ISHGIQBDLIRIFM-XIVSLSHWSA-N -1 1 335.360 -0.078 20 0 EBADMM CCN(CC)C(=O)CN1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001219495823 810721527 /nfs/dbraw/zinc/72/15/27/810721527.db2.gz PEZGHZLRPKBWFO-YPMHNXCESA-N -1 1 336.392 -0.570 20 0 EBADMM CC[C@H](C)NC(=O)CN1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001219495686 810721658 /nfs/dbraw/zinc/72/16/58/810721658.db2.gz LTLCURTYPXEPMU-LOWVWBTDSA-N -1 1 336.392 -0.523 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)CN2CCOCC2)C1 ZINC001016432504 810726296 /nfs/dbraw/zinc/72/62/96/810726296.db2.gz XHAZGBOQGKHYCA-UHFFFAOYSA-N -1 1 348.403 -0.161 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CC23CCN([C@@H]2CCNC2=O)CC3)c1[O-] ZINC001016571116 810753822 /nfs/dbraw/zinc/75/38/22/810753822.db2.gz CGOJNTWYIFOGLI-MNOVXSKESA-N -1 1 333.392 -0.103 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CC23CCN([C@@H]2CCNC2=O)CC3)c1[O-] ZINC001016571115 810754278 /nfs/dbraw/zinc/75/42/78/810754278.db2.gz CGOJNTWYIFOGLI-GHMZBOCLSA-N -1 1 333.392 -0.103 20 0 EBADMM C[C@@H](C(N)=O)N1CCO[C@@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001035536847 810883533 /nfs/dbraw/zinc/88/35/33/810883533.db2.gz YMKACIWUHXOSGP-ONGXEEELSA-N -1 1 349.391 -0.636 20 0 EBADMM C[C@@H](CC(F)F)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001220030020 810954662 /nfs/dbraw/zinc/95/46/62/810954662.db2.gz SWXMYEJZOSACHU-YIZRAAEISA-N -1 1 333.339 -0.939 20 0 EBADMM C[C@H]1C[C@@H](C(=O)N2CCC3(CN(Cc4nc(=O)n(C)[n-]4)C3)CC2)CO1 ZINC001035737136 811007739 /nfs/dbraw/zinc/00/77/39/811007739.db2.gz FIKQNNISXGGXON-QWHCGFSZSA-N -1 1 349.435 -0.042 20 0 EBADMM CCCC1(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)CCC1 ZINC001220182845 811008964 /nfs/dbraw/zinc/00/89/64/811008964.db2.gz SDKUOBFSLSRXOT-NEPJUHHUSA-N -1 1 337.424 -0.260 20 0 EBADMM C[C@@H]1CO[C@@H](C(=O)N2CCC3(CN(Cc4nc(=O)n(C)[n-]4)C3)CC2)C1 ZINC001035757099 811011878 /nfs/dbraw/zinc/01/18/78/811011878.db2.gz GAQNWSKSOZXLMX-QWHCGFSZSA-N -1 1 349.435 -0.042 20 0 EBADMM C[C@H]1OCC[C@H]1C(=O)N1CCC2(CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001035826804 811035171 /nfs/dbraw/zinc/03/51/71/811035171.db2.gz UYPJCKXRVPBGON-CHWSQXEVSA-N -1 1 349.435 -0.042 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1NCc1nnn(C)n1 ZINC001036004841 811068070 /nfs/dbraw/zinc/06/80/70/811068070.db2.gz YQZYTGQHFVDHBA-GHMZBOCLSA-N -1 1 331.380 -0.049 20 0 EBADMM Cc1noc(CN[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@@H]2C)n1 ZINC001036096457 811097234 /nfs/dbraw/zinc/09/72/34/811097234.db2.gz RWBGEWPNHROSOW-IONNQARKSA-N -1 1 349.351 -0.385 20 0 EBADMM Cc1nnc(CC(=O)N2CCC[C@H](C)[C@H]2CNCc2n[nH]c(=O)[n-]2)[nH]1 ZINC001036551439 811176114 /nfs/dbraw/zinc/17/61/14/811176114.db2.gz KYVKJKDLAYUVKC-GXSJLCMTSA-N -1 1 348.411 -0.104 20 0 EBADMM C[C@@H]1CCCN(C(=O)c2c[nH]c(=O)n2C)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036569683 811178683 /nfs/dbraw/zinc/17/86/83/811178683.db2.gz RKOPHJSXENMBPL-NXEZZACHSA-N -1 1 349.395 -0.020 20 0 EBADMM C[C@@H]1CCCN(C(=O)c2cnn(C)n2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036582977 811181344 /nfs/dbraw/zinc/18/13/44/811181344.db2.gz NJACUCIPSGDDPF-MWLCHTKSSA-N -1 1 334.384 -0.331 20 0 EBADMM C[C@H]1CCCN(C(=O)CCn2cnnn2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036588661 811183338 /nfs/dbraw/zinc/18/33/38/811183338.db2.gz ZUSZNYIGZRGORE-QWRGUYRKSA-N -1 1 349.399 -0.696 20 0 EBADMM O=C(c1cc[n+]([O-])cc1)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036635211 811188533 /nfs/dbraw/zinc/18/85/33/811188533.db2.gz GRDUMIRBEXBZAN-CHWSQXEVSA-N -1 1 344.375 -0.120 20 0 EBADMM O=C(c1cc[n+]([O-])cc1)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036635213 811188814 /nfs/dbraw/zinc/18/88/14/811188814.db2.gz GRDUMIRBEXBZAN-QWHCGFSZSA-N -1 1 344.375 -0.120 20 0 EBADMM O=C(CCn1cncn1)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036644515 811194888 /nfs/dbraw/zinc/19/48/88/811194888.db2.gz XGGJMYHFNSELFQ-NEPJUHHUSA-N -1 1 346.395 -0.385 20 0 EBADMM Cn1oc(C(=O)N2CC[C@@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC001036700579 811213273 /nfs/dbraw/zinc/21/32/73/811213273.db2.gz IIDDRSWBIGUEEB-NXEZZACHSA-N -1 1 348.363 -0.461 20 0 EBADMM Cn1nccc1CC(=O)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036700808 811213649 /nfs/dbraw/zinc/21/36/49/811213649.db2.gz LWVNCNCMFGHUBV-DGCLKSJQSA-N -1 1 345.407 -0.091 20 0 EBADMM O=C(Cc1cncnc1)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036703170 811215286 /nfs/dbraw/zinc/21/52/86/811215286.db2.gz XWSDMKBMZIDOAX-STQMWFEESA-N -1 1 343.391 -0.034 20 0 EBADMM Cn1nncc1C(=O)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036703997 811217225 /nfs/dbraw/zinc/21/72/25/811217225.db2.gz LYLIVSMNHLRKHM-VHSXEESVSA-N -1 1 332.368 -0.625 20 0 EBADMM Cc1nnc(CC(=O)N2CC[C@@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC001036715691 811224018 /nfs/dbraw/zinc/22/40/18/811224018.db2.gz RUZJDDMCEFIXRU-GHMZBOCLSA-N -1 1 346.395 -0.398 20 0 EBADMM Cc1nnc(CC(=O)N2CC[C@@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC001036715694 811224381 /nfs/dbraw/zinc/22/43/81/811224381.db2.gz RUZJDDMCEFIXRU-WDEREUQCSA-N -1 1 346.395 -0.398 20 0 EBADMM CCOCCN1C[C@@H](NC(=O)c2ccc3oc(=O)nc-3[n-]2)[C@@H](O)C1 ZINC001220752802 811227379 /nfs/dbraw/zinc/22/73/79/811227379.db2.gz PWBJDZIWAPUKJB-MNOVXSKESA-N -1 1 336.348 -0.260 20 0 EBADMM CC(C)(C(N)=O)C(=O)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036740765 811238109 /nfs/dbraw/zinc/23/81/09/811238109.db2.gz ADVVTJAYZOVDEO-VHSXEESVSA-N -1 1 336.396 -0.555 20 0 EBADMM O=C(c1cn[nH]c(=O)c1)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036744720 811240192 /nfs/dbraw/zinc/24/01/92/811240192.db2.gz NWSOMDXIJXPRJD-KOLCDFICSA-N -1 1 345.363 -0.258 20 0 EBADMM O=C(c1c[nH]c(=O)cn1)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036802829 811262514 /nfs/dbraw/zinc/26/25/14/811262514.db2.gz JPHUZLKDGGLVDD-MWLCHTKSSA-N -1 1 345.363 -0.670 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C(C)(C)C(C)(F)F)[C@@H](O)C2)nc1=O ZINC001220864333 811273320 /nfs/dbraw/zinc/27/33/20/811273320.db2.gz KTHJMIBICCLTFN-BDAKNGLRSA-N -1 1 347.366 -0.549 20 0 EBADMM NC(=O)CN1CC[C@@H]2CN(C(=O)c3c[n-]n4c3nccc4=O)CC[C@H]21 ZINC001036837718 811280477 /nfs/dbraw/zinc/28/04/77/811280477.db2.gz NECDTQJOZIGKOS-ZYHUDNBSSA-N -1 1 344.375 -0.956 20 0 EBADMM Cn1ncc(C(=O)N2CC[C@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001036840422 811281878 /nfs/dbraw/zinc/28/18/78/811281878.db2.gz GXMSASNJVYQTFC-ONGXEEELSA-N -1 1 332.368 -0.625 20 0 EBADMM O=C(N[C@@H]1CCC[C@H]1CNCc1cnon1)c1n[nH]c(=O)[n-]c1=O ZINC001037038777 811347286 /nfs/dbraw/zinc/34/72/86/811347286.db2.gz UIUZRCGLOSGZEV-IONNQARKSA-N -1 1 335.324 -0.646 20 0 EBADMM Cc1noc(CNC[C@@H]2CCC[C@@H]2NC(=O)c2n[nH]c(=O)[n-]c2=O)n1 ZINC001037038921 811347998 /nfs/dbraw/zinc/34/79/98/811347998.db2.gz WNPFWBXSDSBOOT-IUCAKERBSA-N -1 1 349.351 -0.337 20 0 EBADMM O=C(N[C@H]1CCC[C@H]1CNCc1ccon1)c1n[nH]c(=O)[n-]c1=O ZINC001037038898 811348051 /nfs/dbraw/zinc/34/80/51/811348051.db2.gz WAHMTIRQVKCFOB-WPRPVWTQSA-N -1 1 334.336 -0.041 20 0 EBADMM CCN(C(=O)COC)C1CN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC001079208777 811446537 /nfs/dbraw/zinc/44/65/37/811446537.db2.gz OKWUTPPNMLHLQQ-UHFFFAOYSA-N -1 1 340.336 -0.784 20 0 EBADMM CCC[C@H](OC)C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001221733749 811526213 /nfs/dbraw/zinc/52/62/13/811526213.db2.gz ZTUIYUZQWAWYNN-UPJWGTAASA-N -1 1 337.424 -0.044 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)nn1C ZINC001079468633 811552745 /nfs/dbraw/zinc/55/27/45/811552745.db2.gz IJKNDYWVMYOPSK-BXKDBHETSA-N -1 1 333.396 -0.599 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CC1CCOCC1 ZINC001079507830 811568394 /nfs/dbraw/zinc/56/83/94/811568394.db2.gz BMMYWAOOSKIHJD-DGCLKSJQSA-N -1 1 337.424 -0.138 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)Cc3cncnc3)CC2)nc1=O ZINC001223019838 811678098 /nfs/dbraw/zinc/67/80/98/811678098.db2.gz FDMYLFYPOCGVNS-UHFFFAOYSA-N -1 1 345.407 -0.531 20 0 EBADMM CC[C@@H]1CCO[C@@H]1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001079886147 811772107 /nfs/dbraw/zinc/77/21/07/811772107.db2.gz GTVJKKKJOJAYMA-BYNQJWBRSA-N -1 1 337.424 -0.140 20 0 EBADMM CCc1c[nH]c(CC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)n1 ZINC001079883465 811772506 /nfs/dbraw/zinc/77/25/06/811772506.db2.gz COVQRTOFICYANE-ZYHUDNBSSA-N -1 1 347.423 -0.427 20 0 EBADMM CNC(=O)NCCC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001226042217 811845072 /nfs/dbraw/zinc/84/50/72/811845072.db2.gz GMDIIGFTMMLXMG-NXEZZACHSA-N -1 1 339.400 -0.654 20 0 EBADMM COC(=O)C[C@H](Oc1[n-]c(=O)nc2c(=O)[nH]c(=O)[nH]c21)C(=O)OC ZINC001226887374 811889329 /nfs/dbraw/zinc/88/93/29/811889329.db2.gz FUGGWBGZENYRMR-BYPYZUCNSA-N -1 1 340.248 -0.980 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)CCc3cncnc3)CC2)nc1=O ZINC001228308087 811974836 /nfs/dbraw/zinc/97/48/36/811974836.db2.gz VSUSRGXLHKRLCD-UHFFFAOYSA-N -1 1 345.407 -0.388 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H]1CCCc2n[nH]nc21 ZINC001080345967 811990889 /nfs/dbraw/zinc/99/08/89/811990889.db2.gz HCSLDZSZTRKTSU-WCABBAIRSA-N -1 1 346.395 -0.315 20 0 EBADMM CCN(C(=O)C(N)=O)C1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001080407412 812018907 /nfs/dbraw/zinc/01/89/07/812018907.db2.gz ZUDAWFCSXGGCKD-UHFFFAOYSA-N -1 1 333.348 -0.782 20 0 EBADMM CNC(=O)[C@@H](C)N1C[C@@H](C)[C@H](NC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001080576417 812070230 /nfs/dbraw/zinc/07/02/30/812070230.db2.gz MHIOXWIJQQQILK-CKYFFXLPSA-N -1 1 346.391 -0.793 20 0 EBADMM CO[C@@H](C)C(=O)N1CCC(CO)(NC(=O)c2ncccc2[O-])CC1 ZINC001080826146 812260861 /nfs/dbraw/zinc/26/08/61/812260861.db2.gz DSQFHTVZPNZOSV-NSHDSACASA-N -1 1 337.376 -0.095 20 0 EBADMM CCOCC(=O)N1CCC(CO)(NC(=O)c2ncccc2[O-])CC1 ZINC001080826519 812261791 /nfs/dbraw/zinc/26/17/91/812261791.db2.gz OMYBRYNBDQRYJP-UHFFFAOYSA-N -1 1 337.376 -0.093 20 0 EBADMM O=C(NC1(CO)CCN(C(=O)c2ncc[nH]2)CC1)c1ncccc1[O-] ZINC001080826741 812262326 /nfs/dbraw/zinc/26/23/26/812262326.db2.gz UOFKIEMRNPNFPZ-UHFFFAOYSA-N -1 1 345.359 -0.093 20 0 EBADMM O=C(NC1(CO)CCN(C(=O)c2ncccc2[O-])CC1)c1ncc[nH]1 ZINC001080848670 812282442 /nfs/dbraw/zinc/28/24/42/812282442.db2.gz VAVXQZOQBOKFPU-UHFFFAOYSA-N -1 1 345.359 -0.093 20 0 EBADMM O=c1ccc(CN2CCN(CCCCS(=O)(=O)[O-])CC2)n[nH]1 ZINC001232029263 812310704 /nfs/dbraw/zinc/31/07/04/812310704.db2.gz WUPBHPWXDLXOIP-UHFFFAOYSA-N -1 1 330.410 -0.032 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)CCc1ccn(C)n1 ZINC001234594592 812442723 /nfs/dbraw/zinc/44/27/23/812442723.db2.gz MTEMZEMBCRELMM-CYBMUJFWSA-N -1 1 347.423 -0.493 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@H]1CC12CCOCC2 ZINC001081060245 812459740 /nfs/dbraw/zinc/45/97/40/812459740.db2.gz YPSSBCKVSKFBHH-JHJVBQTASA-N -1 1 349.435 -0.138 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C)c1cnn(C)c1 ZINC001081075837 812463983 /nfs/dbraw/zinc/46/39/83/812463983.db2.gz IJYFJRSBKSFLPL-NQBHXWOUSA-N -1 1 347.423 -0.418 20 0 EBADMM COc1ncc(-c2cn(C)c(=O)n(C)c2=O)cc1[N-]S(C)(=O)=O ZINC001244793336 812555356 /nfs/dbraw/zinc/55/53/56/812555356.db2.gz GLVZBVHWUABJBJ-UHFFFAOYSA-N -1 1 340.361 -0.474 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ccncc1 ZINC001081280274 812653794 /nfs/dbraw/zinc/65/37/94/812653794.db2.gz KPHZPMQHRVNKLF-VXGBXAGGSA-N -1 1 332.364 -0.867 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cccs1 ZINC001081309082 812709758 /nfs/dbraw/zinc/70/97/58/812709758.db2.gz UBYDQOPKIHABTQ-NXEZZACHSA-N -1 1 337.405 -0.201 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cccc(F)c1 ZINC001081310956 812712398 /nfs/dbraw/zinc/71/23/98/812712398.db2.gz SXNFAQMOCABTRB-CHWSQXEVSA-N -1 1 349.366 -0.123 20 0 EBADMM COC(=O)[C@H]1C[C@H](N[C@@H]2CO[N-]C2=O)CN1C(=O)OC(C)(C)C ZINC001256251526 812727722 /nfs/dbraw/zinc/72/77/22/812727722.db2.gz NGXWHWFROPFCTP-IVZWLZJFSA-N -1 1 329.353 -0.443 20 0 EBADMM CCOCCC(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001081341841 812765782 /nfs/dbraw/zinc/76/57/82/812765782.db2.gz VGOPTJCUHOPHBH-WCQYABFASA-N -1 1 337.376 -0.237 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H](C(F)(F)F)[C@@H](CO)C1 ZINC001258040051 812777691 /nfs/dbraw/zinc/77/76/91/812777691.db2.gz FWSKLSMQEAKNQV-ZJUUUORDSA-N -1 1 349.309 -0.054 20 0 EBADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@H]1C=CS(=O)(=O)C1 ZINC001258452667 812793622 /nfs/dbraw/zinc/79/36/22/812793622.db2.gz MCBTZSDFBPRCSU-ZETCQYMHSA-N -1 1 325.799 -0.029 20 0 EBADMM NC(=O)CNC(=O)C[N-]S(=O)(=O)c1cc(F)c(F)cc1Cl ZINC001260291066 812831180 /nfs/dbraw/zinc/83/11/80/812831180.db2.gz FPVADWKGXFRMDM-UHFFFAOYSA-N -1 1 341.723 -0.502 20 0 EBADMM CS(=O)(=O)CS(=O)(=O)[N-]CC(=O)N1CCc2ccccc21 ZINC001260597071 812842826 /nfs/dbraw/zinc/84/28/26/812842826.db2.gz QUCCZYSKPYFNDU-UHFFFAOYSA-N -1 1 332.403 -0.503 20 0 EBADMM CCOCCC(=O)N1C[C@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001081426049 812917397 /nfs/dbraw/zinc/91/73/97/812917397.db2.gz ZMDSWNJREYKLLL-AAEUAGOBSA-N -1 1 337.376 -0.237 20 0 EBADMM O=C(Cc1ccc[nH]1)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001081525684 813125786 /nfs/dbraw/zinc/12/57/86/813125786.db2.gz KSBCOWDVHKJCHG-BXUZGUMPSA-N -1 1 344.371 -0.093 20 0 EBADMM CO[C@@H]1CN([C@H](C)C(=O)N(C)C)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001081578945 813227059 /nfs/dbraw/zinc/22/70/59/813227059.db2.gz DWYYLNYCYDSFCX-NQBHXWOUSA-N -1 1 336.392 -0.307 20 0 EBADMM CCN(CCCNC(=O)[C@@H]1CN(C)CCO1)Cc1n[nH]c(=O)[n-]1 ZINC001265106804 813240956 /nfs/dbraw/zinc/24/09/56/813240956.db2.gz SBSGXYHQGDHGPU-NSHDSACASA-N -1 1 326.401 -0.831 20 0 EBADMM CCN(CCCNC(=O)[C@@]1(C)CCNC(=O)C1)Cc1n[nH]c(=O)[n-]1 ZINC001265119782 813241947 /nfs/dbraw/zinc/24/19/47/813241947.db2.gz QECSWZGRUCAXSZ-HNNXBMFYSA-N -1 1 338.412 -0.245 20 0 EBADMM O=C(Cc1ncc[nH]1)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001081692120 813413512 /nfs/dbraw/zinc/41/35/12/813413512.db2.gz BUXVTNCBKVSBAH-ZYHUDNBSSA-N -1 1 345.359 -0.698 20 0 EBADMM CN(CCCNC(=O)CCn1cc[n-]c(=O)c1=O)Cc1ncccn1 ZINC001265939144 813420280 /nfs/dbraw/zinc/42/02/80/813420280.db2.gz YRVZSQRKPIFOIY-UHFFFAOYSA-N -1 1 346.391 -0.645 20 0 EBADMM O=C(NCCCN1CCN(Cc2n[nH]c(=O)[n-]2)CC1)[C@@H]1CCCO1 ZINC001266257133 813493932 /nfs/dbraw/zinc/49/39/32/813493932.db2.gz VUNMKODWGFDEDT-LBPRGKRZSA-N -1 1 338.412 -0.687 20 0 EBADMM CN(CCNC(=O)c1ccc2oc(=O)[nH]c2c1)Cc1nc(=O)n(C)[n-]1 ZINC001266284488 813512900 /nfs/dbraw/zinc/51/29/00/813512900.db2.gz CXKHVQXYZPMKRZ-UHFFFAOYSA-N -1 1 346.347 -0.183 20 0 EBADMM CCN(CCNC(=O)c1cccc2ncnn21)Cc1nc(=O)n(C)[n-]1 ZINC001266400348 813559242 /nfs/dbraw/zinc/55/92/42/813559242.db2.gz JCUTZDZVBAGRLC-UHFFFAOYSA-N -1 1 344.379 -0.597 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@H]1CCC1(F)F ZINC001081838129 813633667 /nfs/dbraw/zinc/63/36/67/813633667.db2.gz JXGGGXUBLDVHJQ-OPRDCNLKSA-N -1 1 345.350 -0.531 20 0 EBADMM CCc1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2OC)o1 ZINC001081862573 813644212 /nfs/dbraw/zinc/64/42/12/813644212.db2.gz HEOUQMNJEFSFSB-DGCLKSJQSA-N -1 1 349.391 -0.107 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C1(F)CCCC1 ZINC001081876702 813654597 /nfs/dbraw/zinc/65/45/97/813654597.db2.gz IUMXHCJLABUSAQ-GHMZBOCLSA-N -1 1 341.387 -0.294 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@@]1(C)C=CCC1 ZINC001082033932 813728320 /nfs/dbraw/zinc/72/83/20/813728320.db2.gz ZKVBSPFLYZCPPP-HSMVNMDESA-N -1 1 335.408 -0.220 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@H]1CCC1(C)C ZINC001082043982 813734461 /nfs/dbraw/zinc/73/44/61/813734461.db2.gz VRMVWTWVNYOQPX-IJLUTSLNSA-N -1 1 337.424 -0.140 20 0 EBADMM CCN(CCNC(=O)c1ccnc2ccnn21)Cc1nc(=O)n(C)[n-]1 ZINC001267242526 813799230 /nfs/dbraw/zinc/79/92/30/813799230.db2.gz PDDYTKNILYXSPB-UHFFFAOYSA-N -1 1 344.379 -0.597 20 0 EBADMM CCC(=O)NC[C@@H]1CCCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001082167598 813800235 /nfs/dbraw/zinc/80/02/35/813800235.db2.gz IGPZDGKXMNIOGK-LBPRGKRZSA-N -1 1 336.392 -0.166 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@H]1CC12CCC2 ZINC001082183401 813808407 /nfs/dbraw/zinc/80/84/07/813808407.db2.gz DIESDNCQBAXXAP-IJLUTSLNSA-N -1 1 335.408 -0.386 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1COc2ccccc2O1 ZINC001267464713 813903506 /nfs/dbraw/zinc/90/35/06/813903506.db2.gz ZVQAXQPOKRSTPT-LBPRGKRZSA-N -1 1 333.348 -0.102 20 0 EBADMM CCN1C[C@H](C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)CC1=O ZINC001082543356 813964618 /nfs/dbraw/zinc/96/46/18/813964618.db2.gz QTOSBBCPBYDACJ-GMTAPVOTSA-N -1 1 336.396 -0.685 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)CC(C)(C)C)[C@H]3C2)nc1=O ZINC001083001761 814050949 /nfs/dbraw/zinc/05/09/49/814050949.db2.gz CUMSQNCYIZQATR-NWDGAFQWSA-N -1 1 337.424 -0.044 20 0 EBADMM Cn1nnc(C(=O)N2CCC[C@H](CCNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001267891294 814063674 /nfs/dbraw/zinc/06/36/74/814063674.db2.gz MOYOZYXGIWCQTI-SECBINFHSA-N -1 1 335.372 -0.934 20 0 EBADMM CN1CCC[C@H]1C(=O)N1CCO[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@@H]21 ZINC001083024785 814071259 /nfs/dbraw/zinc/07/12/59/814071259.db2.gz ZHFFQYLKMCPWJH-SDDRHHMPSA-N -1 1 336.396 -0.984 20 0 EBADMM C[C@@H](C(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21)C1CCC1 ZINC001083118347 814141135 /nfs/dbraw/zinc/14/11/35/814141135.db2.gz CPEQVAQFBSFTOM-KWCYVHTRSA-N -1 1 349.435 -0.044 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)[C@@H]4CC45CC5)[C@H]3C2)nc1=O ZINC001083205312 814200852 /nfs/dbraw/zinc/20/08/52/814200852.db2.gz WRJJKOOBYZZQBM-SDDRHHMPSA-N -1 1 333.392 -0.680 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CCc2nnc(C)n2C1 ZINC001268498948 814266341 /nfs/dbraw/zinc/26/63/41/814266341.db2.gz AVGAEKQPNCDUKJ-NSHDSACASA-N -1 1 348.411 -0.389 20 0 EBADMM Cn1cc(CNC/C=C\CNC(=O)CCc2n[nH]c(=O)[n-]c2=O)cn1 ZINC001268560593 814293571 /nfs/dbraw/zinc/29/35/71/814293571.db2.gz MEMFZBZSSKSVGT-IHWYPQMZSA-N -1 1 347.379 -0.589 20 0 EBADMM CCc1nc([C@H](C)N2C[C@@H](NC(=O)c3[nH]nc(C)c3[O-])[C@@H](O)C2)n[nH]1 ZINC001083410194 814384049 /nfs/dbraw/zinc/38/40/49/814384049.db2.gz WTLSLRXFBPHJED-AEJSXWLSSA-N -1 1 349.395 -0.360 20 0 EBADMM Cc1cc(CN2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)nn1C ZINC001083423033 814403257 /nfs/dbraw/zinc/40/32/57/814403257.db2.gz ARANCWBCELIDOE-OCCSQVGLSA-N -1 1 331.376 -0.196 20 0 EBADMM CCn1nnc(C)c1CN1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001083423414 814404437 /nfs/dbraw/zinc/40/44/37/814404437.db2.gz UWPNPOOSUHCXFI-RISCZKNCSA-N -1 1 346.391 -0.318 20 0 EBADMM COc1nccc(CN2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1 ZINC001083423489 814404650 /nfs/dbraw/zinc/40/46/50/814404650.db2.gz YDSFHTNTNMOLJF-YPMHNXCESA-N -1 1 345.359 -0.439 20 0 EBADMM O=C(N[C@@H]1CN(Cc2cc3n(n2)CCC3)C[C@@H]1O)c1ncccc1[O-] ZINC001083423420 814404710 /nfs/dbraw/zinc/40/47/10/814404710.db2.gz VGGYKANFLXZITN-HIFRSBDPSA-N -1 1 343.387 -0.095 20 0 EBADMM CCNC(=O)CN1CC[C@@]2(CCN(C(=O)c3ncccc3[O-])C2)C1=O ZINC001268843065 814410532 /nfs/dbraw/zinc/41/05/32/814410532.db2.gz RXZHCCIICGHWKE-KRWDZBQOSA-N -1 1 346.387 -0.012 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1CN(Cc2nccs2)C1 ZINC001268888431 814430305 /nfs/dbraw/zinc/43/03/05/814430305.db2.gz SQBIUKUMZOBRND-UHFFFAOYSA-N -1 1 349.416 -0.369 20 0 EBADMM Cc1c(F)cccc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001083478341 814473683 /nfs/dbraw/zinc/47/36/83/814473683.db2.gz IPCXEHAMDBLYGT-OLZOCXBDSA-N -1 1 349.366 -0.469 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)CCOCC(F)F)C2)nc1=O ZINC001269129902 814543250 /nfs/dbraw/zinc/54/32/50/814543250.db2.gz SWCQUPHXVAWWCR-SECBINFHSA-N -1 1 333.339 -0.529 20 0 EBADMM O=C(N[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@@H]1O)c1ccn2cncc2c1 ZINC001083607198 814617086 /nfs/dbraw/zinc/61/70/86/814617086.db2.gz LKDHRTJWCPJBEP-NEPJUHHUSA-N -1 1 343.347 -0.867 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCCC[C@]12CC(=O)N(Cc1cnoc1)C2 ZINC001269577881 814735539 /nfs/dbraw/zinc/73/55/39/814735539.db2.gz PJZVWDYEHCPEKK-OAHLLOKOSA-N -1 1 345.363 -0.086 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@@]2(CCN(Cc3ccncc3)C2=O)C1 ZINC001269578137 814735724 /nfs/dbraw/zinc/73/57/24/814735724.db2.gz UASCBCMNGODMCO-INIZCTEOSA-N -1 1 341.375 -0.212 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@@]2(CCN(CCn3ccnc3)C2=O)C1 ZINC001269578196 814735847 /nfs/dbraw/zinc/73/58/47/814735847.db2.gz VXEUHOQHKJGAEV-HNNXBMFYSA-N -1 1 344.379 -0.910 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC[C@]12CCN(Cc1ncccn1)C2=O ZINC001269578228 814736165 /nfs/dbraw/zinc/73/61/65/814736165.db2.gz XCWUUECVCFNSJW-OAHLLOKOSA-N -1 1 342.363 -0.674 20 0 EBADMM NC(=O)C1(C(=O)N2CCC[C@@H](CCNCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001269760732 815593739 /nfs/dbraw/zinc/59/37/39/815593739.db2.gz RNNWLBQOADJCKS-JTQLQIEISA-N -1 1 336.396 -0.506 20 0 EBADMM O=C(NCC1COC1)C1=NO[C@@H]2CN(C(=O)c3ccc([O-])cn3)C[C@H]12 ZINC001270139715 815699292 /nfs/dbraw/zinc/69/92/92/815699292.db2.gz ZHPLDXOGOOPXQD-WCQYABFASA-N -1 1 346.343 -0.623 20 0 EBADMM O=C(Cc1nnn[n-]1)N1CC[C@H]2[C@@H]1CCN2Cc1cccc(=O)[nH]1 ZINC001270183541 815714378 /nfs/dbraw/zinc/71/43/78/815714378.db2.gz YRFDZFBWPHLRQT-RYUDHWBXSA-N -1 1 329.364 -0.282 20 0 EBADMM Cc1ncsc1CN1CCO[C@H]2CN(C(=O)Cc3nn[n-]n3)C[C@H]21 ZINC001270183462 815714416 /nfs/dbraw/zinc/71/44/16/815714416.db2.gz XADIKYPZCODWOM-MNOVXSKESA-N -1 1 349.420 -0.381 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C3(C)CC(F)(F)C3)[C@@H](O)C2)nc1=O ZINC001083941068 815726145 /nfs/dbraw/zinc/72/61/45/815726145.db2.gz QSJTVNOPWDJBPA-BDAKNGLRSA-N -1 1 345.350 -0.795 20 0 EBADMM COc1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)cc1 ZINC001083985629 815756918 /nfs/dbraw/zinc/75/69/18/815756918.db2.gz HEEZIMGXKHXYPW-OLZOCXBDSA-N -1 1 347.375 -0.908 20 0 EBADMM CS[C@H](C)C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001084196775 815864311 /nfs/dbraw/zinc/86/43/11/815864311.db2.gz VRISORBGPKBBNK-GMTAPVOTSA-N -1 1 325.438 -0.107 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4ccc(F)nc4)[C@@H]3C2)nc1=O ZINC001084236874 815871453 /nfs/dbraw/zinc/87/14/53/815871453.db2.gz SIUPTHGWMKGMIP-VXGBXAGGSA-N -1 1 346.366 -0.011 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4cccc(=O)[nH]4)[C@@H]3C2)nc1=O ZINC001084255234 815877948 /nfs/dbraw/zinc/87/79/48/815877948.db2.gz BDHZJZVWSJVFON-ZYHUDNBSSA-N -1 1 344.375 -0.445 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4cncc(F)c4)[C@@H]3C2)nc1=O ZINC001084273820 815878129 /nfs/dbraw/zinc/87/81/29/815878129.db2.gz SFYLDFUZXAEJMA-ZWNOBZJWSA-N -1 1 346.366 -0.011 20 0 EBADMM Cn1ccnc1NC(=O)[C@H]1[C@H]2CC[C@@H]1CN(C(=O)Cc1nn[n-]n1)C2 ZINC001270784412 815887913 /nfs/dbraw/zinc/88/79/13/815887913.db2.gz IMHMOYUUOGDICP-DDFAGTSDSA-N -1 1 344.379 -0.401 20 0 EBADMM O=C(Cc1nn[n-]n1)N1C[C@H]2C[C@@]2(C(=O)Nc2ccc(O)cc2O)C1 ZINC001270785893 815888655 /nfs/dbraw/zinc/88/86/55/815888655.db2.gz XYANGRGLELBLPB-ANRSDYALSA-N -1 1 344.331 -0.359 20 0 EBADMM CO[C@@H](C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)C1CC1 ZINC001084315653 815890459 /nfs/dbraw/zinc/89/04/59/815890459.db2.gz DSVYGMFKBKRLQM-YRGRVCCFSA-N -1 1 335.408 -0.434 20 0 EBADMM CN(Cc1ncccn1)C(=O)C12CC(NC(=O)Cc3nn[n-]n3)(C1)C2 ZINC001270789148 815891540 /nfs/dbraw/zinc/89/15/40/815891540.db2.gz NBWHJUQHLKWCAL-UHFFFAOYSA-N -1 1 342.363 -0.770 20 0 EBADMM COCCN(C)C(=O)c1noc2c1CN(C(=O)Cc1nn[n-]n1)CC2 ZINC001270789192 815891894 /nfs/dbraw/zinc/89/18/94/815891894.db2.gz OQSKFHOFBAELQG-UHFFFAOYSA-N -1 1 349.351 -0.967 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC2(C[C@H]2C(=O)N2CCCCO2)CC1 ZINC001270788997 815892003 /nfs/dbraw/zinc/89/20/03/815892003.db2.gz LJFHLFQJUZYWBE-NSHDSACASA-N -1 1 334.380 -0.075 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@]2(CCC[C@H]2C(=O)NCC2COC2)C1 ZINC001270788977 815892615 /nfs/dbraw/zinc/89/26/15/815892615.db2.gz KOVZDSIIZXTEQG-BLLLJJGKSA-N -1 1 348.407 -0.476 20 0 EBADMM CN(Cc1ncccn1)C(=O)[C@@]12C[C@@H]1CCN2C(=O)Cc1nn[n-]n1 ZINC001270789654 815893009 /nfs/dbraw/zinc/89/30/09/815893009.db2.gz SWHCTQXPDOVODO-ZUZCIYMTSA-N -1 1 342.363 -0.818 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)[C@@]4(C)CCOC4)[C@@H]3C2)nc1=O ZINC001084741668 815973475 /nfs/dbraw/zinc/97/34/75/815973475.db2.gz MRGKJNBOBONTTO-HSMVNMDESA-N -1 1 335.408 -0.432 20 0 EBADMM Cc1nccc(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)n1 ZINC001084838754 815993200 /nfs/dbraw/zinc/99/32/00/815993200.db2.gz YULXBVRNFHVOJD-DGCLKSJQSA-N -1 1 343.391 -0.447 20 0 EBADMM O=C(N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)[C@@H]1CCNC(=O)C1 ZINC001085167809 816025408 /nfs/dbraw/zinc/02/54/08/816025408.db2.gz MEHJKJNMTKPMMZ-GMTAPVOTSA-N -1 1 336.396 -0.447 20 0 EBADMM CN1CCOC[C@@H]1C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001085176002 816026355 /nfs/dbraw/zinc/02/63/55/816026355.db2.gz LOEFHZHGAAKIJV-IJLUTSLNSA-N -1 1 338.412 -0.642 20 0 EBADMM Cn1[n-]c(CN2CC(O)(CNC(=O)[C@@]3(C)CC=CCC3)C2)nc1=O ZINC001271289212 816064024 /nfs/dbraw/zinc/06/40/24/816064024.db2.gz INIZXYDHTXQLEU-HNNXBMFYSA-N -1 1 335.408 -0.482 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1cncc(F)c1 ZINC001085541980 816066249 /nfs/dbraw/zinc/06/62/49/816066249.db2.gz NAXDPBZSHLHJFI-GFCCVEGCSA-N -1 1 334.355 -0.011 20 0 EBADMM CN(C[C@@H]1CCN1[C@@H]1CCC(=O)NC1=O)C(=O)c1ncccc1[O-] ZINC001085562601 816072949 /nfs/dbraw/zinc/07/29/49/816072949.db2.gz PAXNFCWJPBPEPX-WDEREUQCSA-N -1 1 332.360 -0.261 20 0 EBADMM NC(=O)C1(C(=O)N[C@@H]2C[C@H]3C[C@@H](C2)N(Cc2n[nH]c(=O)[n-]2)C3)CC1 ZINC001271422171 816102413 /nfs/dbraw/zinc/10/24/13/816102413.db2.gz MVTNUPSUIYFMNW-AEJSXWLSSA-N -1 1 334.380 -0.755 20 0 EBADMM CCOc1cc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)[nH]n1 ZINC001085666685 816104286 /nfs/dbraw/zinc/10/42/86/816104286.db2.gz NJSTWRJHMBCASF-SNVBAGLBSA-N -1 1 349.395 -0.423 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1n[nH]c2c1CCC2 ZINC001085691628 816112318 /nfs/dbraw/zinc/11/23/18/816112318.db2.gz LRGDBMQPZUJMAG-SNVBAGLBSA-N -1 1 345.407 -0.333 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1n[nH]c2c1CCC2 ZINC001085691627 816112423 /nfs/dbraw/zinc/11/24/23/816112423.db2.gz LRGDBMQPZUJMAG-JTQLQIEISA-N -1 1 345.407 -0.333 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1cn(C)ccc1=O ZINC001085716666 816123391 /nfs/dbraw/zinc/12/33/91/816123391.db2.gz QVTRBFBLNKHNLA-NSHDSACASA-N -1 1 346.391 -0.846 20 0 EBADMM Cc1cc(=O)c(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)c[nH]1 ZINC001085907843 816175543 /nfs/dbraw/zinc/17/55/43/816175543.db2.gz PJHSGSOLCYASBD-LLVKDONJSA-N -1 1 346.391 -0.548 20 0 EBADMM CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCc2[nH]nnc2C1 ZINC001085924200 816179740 /nfs/dbraw/zinc/17/97/40/816179740.db2.gz QIMKPYVHJFQUAX-ZJUUUORDSA-N -1 1 346.395 -0.534 20 0 EBADMM CN(C[C@@H]1CCN1Cc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCc2nn[nH]c2C1 ZINC001085924200 816179745 /nfs/dbraw/zinc/17/97/45/816179745.db2.gz QIMKPYVHJFQUAX-ZJUUUORDSA-N -1 1 346.395 -0.534 20 0 EBADMM Cc1nccc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001085977716 816196800 /nfs/dbraw/zinc/19/68/00/816196800.db2.gz BCRHGJADACXEEW-LLVKDONJSA-N -1 1 331.380 -0.447 20 0 EBADMM Cn1ccc(CN[C@H]2C[C@@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001086138274 816244251 /nfs/dbraw/zinc/24/42/51/816244251.db2.gz AUOGBSCSXSKTHB-WAAGHKOSSA-N -1 1 333.352 -0.686 20 0 EBADMM CCn1ccnc1CN[C@H]1C[C@@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001086138522 816244717 /nfs/dbraw/zinc/24/47/17/816244717.db2.gz NEQIZJHTKYIAFD-AOOOYVTPSA-N -1 1 347.379 -0.203 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2C[C@H](N[C@@H](C)c3nnnn3C)C2)c1[O-] ZINC001086342562 816302324 /nfs/dbraw/zinc/30/23/24/816302324.db2.gz UOPLBLQPJUNBDR-GUBZILKMSA-N -1 1 334.384 -0.194 20 0 EBADMM CCn1ccnc1CN[C@H]1C[C@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001086418357 816327938 /nfs/dbraw/zinc/32/79/38/816327938.db2.gz NEQIZJHTKYIAFD-MGCOHNPYSA-N -1 1 347.379 -0.203 20 0 EBADMM Cn1ccnc1CN[C@H]1C[C@H](CNC(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001086445595 816336807 /nfs/dbraw/zinc/33/68/07/816336807.db2.gz DENBMPKQEUXLOJ-XYPYZODXSA-N -1 1 346.391 -0.482 20 0 EBADMM Cc1ccc([O-])c(C(=O)N2CC3(C2)CN(C2COC2)C(=O)CO3)n1 ZINC001272387174 816416809 /nfs/dbraw/zinc/41/68/09/816416809.db2.gz XGAJHTSYFSKLRX-UHFFFAOYSA-N -1 1 333.344 -0.452 20 0 EBADMM C[C@H](C(=O)N1CC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1)n1cncn1 ZINC001272409839 816424949 /nfs/dbraw/zinc/42/49/49/816424949.db2.gz OWVVAZWUKPDFAL-MNOVXSKESA-N -1 1 334.384 -0.309 20 0 EBADMM COCCOCN1CC2(CN(Cc3ccncc3[O-])C2)OCC1=O ZINC001273081633 816583246 /nfs/dbraw/zinc/58/32/46/816583246.db2.gz VKWLEKWWTYWHFG-UHFFFAOYSA-N -1 1 337.376 -0.179 20 0 EBADMM CCn1ncc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)n1 ZINC001088554791 816703598 /nfs/dbraw/zinc/70/35/98/816703598.db2.gz CWGXGOUMKHDNER-ZJUUUORDSA-N -1 1 334.384 -0.887 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001088642021 816719176 /nfs/dbraw/zinc/71/91/76/816719176.db2.gz JFEHQQMBTGHWCI-BDAKNGLRSA-N -1 1 335.368 -0.762 20 0 EBADMM CCOc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)[nH]n1 ZINC001088652824 816719910 /nfs/dbraw/zinc/71/99/10/816719910.db2.gz QNLYOZFHDJHPEZ-ZJUUUORDSA-N -1 1 349.395 -0.377 20 0 EBADMM Cc1cc(C)n(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)n1 ZINC001088723590 816731575 /nfs/dbraw/zinc/73/15/75/816731575.db2.gz KQJUHPCDQVDHML-OLZOCXBDSA-N -1 1 347.423 -0.299 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cc(C(N)=O)co2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088850467 816753664 /nfs/dbraw/zinc/75/36/64/816753664.db2.gz TYEALANZZRZISU-SCZZXKLOSA-N -1 1 348.363 -0.807 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCCN1C(=O)[C@H]1CCOC1 ZINC001089132759 816807177 /nfs/dbraw/zinc/80/71/77/816807177.db2.gz IZYQQXDUYPWMHV-STQMWFEESA-N -1 1 337.424 -0.042 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ccc(F)cc3)[C@@H](O)C2)nc1=O ZINC001090015933 816969816 /nfs/dbraw/zinc/96/98/16/816969816.db2.gz DMTACBARKYUQNJ-OLZOCXBDSA-N -1 1 349.366 -0.387 20 0 EBADMM Cc1cc(C)c(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)o1 ZINC001090163738 817083544 /nfs/dbraw/zinc/08/35/44/817083544.db2.gz QJLMPWANUHMSMY-RYUDHWBXSA-N -1 1 349.391 -0.317 20 0 EBADMM Cc1cccnc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001090167090 817084361 /nfs/dbraw/zinc/08/43/61/817084361.db2.gz UMJSOBXQXOIGLT-RYUDHWBXSA-N -1 1 346.391 -0.823 20 0 EBADMM Cc1[nH]ccc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001090173863 817090291 /nfs/dbraw/zinc/09/02/91/817090291.db2.gz GMOWMJXWFAGRCF-VXGBXAGGSA-N -1 1 334.380 -0.890 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CCN(CCn3cccn3)C[C@H]2O)c1[O-] ZINC001090201825 817116601 /nfs/dbraw/zinc/11/66/01/817116601.db2.gz SZGPATHKNQBVNF-NWDGAFQWSA-N -1 1 334.380 -0.515 20 0 EBADMM Cc1noc(CN2CC[C@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1 ZINC001090215208 817132189 /nfs/dbraw/zinc/13/21/89/817132189.db2.gz CZFUMQIDRNFSLS-JQWIXIFHSA-N -1 1 333.348 -0.156 20 0 EBADMM Cn1cnnc1CN1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001090216382 817135391 /nfs/dbraw/zinc/13/53/91/817135391.db2.gz MLPSRKPEQNGCNI-JQWIXIFHSA-N -1 1 332.364 -0.719 20 0 EBADMM Cn1ncnc1CN1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001090217917 817137490 /nfs/dbraw/zinc/13/74/90/817137490.db2.gz TVYUMDHBBOFDMC-CMPLNLGQSA-N -1 1 332.364 -0.719 20 0 EBADMM NC(=O)[C@@H]1CCN(C(=O)c2c[nH]c(=S)[n-]c2=O)Cc2ccnn21 ZINC001275247718 817176921 /nfs/dbraw/zinc/17/69/21/817176921.db2.gz ZDTTVEYOEUTKGA-VIFPVBQESA-N -1 1 334.361 -0.260 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C3(C4CC4)CC3)[C@H](O)C2)nc1=O ZINC001090347139 817289543 /nfs/dbraw/zinc/28/95/43/817289543.db2.gz MUPNADSVONTBAN-NWDGAFQWSA-N -1 1 335.408 -0.650 20 0 EBADMM Cc1cc(C)c(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)[nH]1 ZINC001090362350 817305806 /nfs/dbraw/zinc/30/58/06/817305806.db2.gz WMUVYRZBHCJIGV-NEPJUHHUSA-N -1 1 348.407 -0.581 20 0 EBADMM C[C@H]1Cc2nnc(C(N)=O)n2CCN1C(=O)c1ccc(F)c(=O)[n-]1 ZINC001276303733 817383091 /nfs/dbraw/zinc/38/30/91/817383091.db2.gz PRHCWFSHFKVGCU-ZETCQYMHSA-N -1 1 334.311 -0.296 20 0 EBADMM CSc1ncc(C(=O)N2CCn3c(C(N)=O)cnc3C2)c(=O)[n-]1 ZINC001276544448 817420663 /nfs/dbraw/zinc/42/06/63/817420663.db2.gz IBDPKSWIKKCTJE-UHFFFAOYSA-N -1 1 334.361 -0.145 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C(C)(C)C3CC3)[C@H](O)C2)nc1=O ZINC001090505848 817490790 /nfs/dbraw/zinc/49/07/90/817490790.db2.gz GDEMCJSLILGJAY-VXGBXAGGSA-N -1 1 337.424 -0.404 20 0 EBADMM CN(C(=O)C(=O)NCC(F)F)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001277663045 817619091 /nfs/dbraw/zinc/61/90/91/817619091.db2.gz RQNJGRMYCYBSBW-MRVPVSSYSA-N -1 1 346.338 -0.782 20 0 EBADMM C[C@@H]1Cc2nnc(C(=O)N=c3nn[n-]n3C)n2CCN1CC(C)(C)C ZINC001278104389 817698496 /nfs/dbraw/zinc/69/84/96/817698496.db2.gz NBFQVRKYVKGBFA-SNVBAGLBSA-N -1 1 347.427 -0.232 20 0 EBADMM CC(C)CN1CCn2c(nnc2C(=O)N=c2nn[n-]n2C)C[C@@H]1C ZINC001278114162 817701619 /nfs/dbraw/zinc/70/16/19/817701619.db2.gz PLBYUIRLSFUPDZ-JTQLQIEISA-N -1 1 333.400 -0.622 20 0 EBADMM CCn1cccc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001090818800 817775244 /nfs/dbraw/zinc/77/52/44/817775244.db2.gz RMZINKILBGYRGU-DGCLKSJQSA-N -1 1 348.407 -0.705 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C3CCC=CCC3)[C@@H](O)C2)nc1=O ZINC001090821147 817778554 /nfs/dbraw/zinc/77/85/54/817778554.db2.gz YPJHSIINHOWIRL-KBPBESRZSA-N -1 1 349.435 -0.094 20 0 EBADMM Cc1nnc([C@H](C)NC2CC(CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC001091121826 817893129 /nfs/dbraw/zinc/89/31/29/817893129.db2.gz UUSSTBNTSJQQKU-GVWIPJJGSA-N -1 1 348.367 -0.431 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@](CO)(NC(=O)[C@H]3CC=CCC3)C2)nc1=O ZINC001279462167 817936043 /nfs/dbraw/zinc/93/60/43/817936043.db2.gz OQAOLAANBJIZNO-SUMWQHHRSA-N -1 1 349.435 -0.092 20 0 EBADMM O=C(c1cc2c([nH]1)CCC2)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001279878559 817999209 /nfs/dbraw/zinc/99/92/09/817999209.db2.gz HRKWYBOZMLCAFQ-UHFFFAOYSA-N -1 1 334.380 -0.449 20 0 EBADMM C[C@@H](C(=O)N1CC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1)S(C)(=O)=O ZINC001280094192 818019025 /nfs/dbraw/zinc/01/90/25/818019025.db2.gz JOIMHQFMZYVHIV-UWVGGRQHSA-N -1 1 345.425 -0.728 20 0 EBADMM C[C@H]1C[C@H]1C(=O)N1CCC(OC2CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001093503209 818035647 /nfs/dbraw/zinc/03/56/47/818035647.db2.gz VQUYCHGJDXHXNW-SMDDNHRTSA-N -1 1 349.435 -0.044 20 0 EBADMM C[C@@H]1[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)CCN1C(=O)C1CCC1 ZINC001281119849 818041013 /nfs/dbraw/zinc/04/10/13/818041013.db2.gz CGFJQVJQEPYMGW-DGCLKSJQSA-N -1 1 348.403 -0.168 20 0 EBADMM CC/C=C(/C)C(=O)NC1(CO)CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001281799332 818279806 /nfs/dbraw/zinc/27/98/06/818279806.db2.gz RLACKHZDYLSIPI-XGICHPGQSA-N -1 1 337.424 -0.092 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H](C)NC(=O)c1cccc2ncnn21 ZINC001282161121 818370690 /nfs/dbraw/zinc/37/06/90/818370690.db2.gz ONWFYQOTRVAIIG-RKDXNWHRSA-N -1 1 330.352 -0.150 20 0 EBADMM Cc1cc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)no1 ZINC001095148218 818569459 /nfs/dbraw/zinc/56/94/59/818569459.db2.gz XPELNONRXPWVSX-SCVCMEIPSA-N -1 1 332.364 -0.060 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)Cc2cc[nH]n2)C3)nc1=O ZINC001095256202 818592444 /nfs/dbraw/zinc/59/24/44/818592444.db2.gz NAFVXWIOOBQEMA-WOPDTQHZSA-N -1 1 331.380 -0.704 20 0 EBADMM Cn1cnc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)c1 ZINC001095311505 818599269 /nfs/dbraw/zinc/59/92/69/818599269.db2.gz IJFRQPHQXPWIRQ-SCVCMEIPSA-N -1 1 331.380 -0.623 20 0 EBADMM Cn1[nH]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2ncccc2[O-])C3)nc1=O ZINC001095345238 818607583 /nfs/dbraw/zinc/60/75/83/818607583.db2.gz LOCBMIWQDACKSF-VWYCJHECSA-N -1 1 344.375 -0.256 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNC(=O)[C@@H]1CCCC12CC2 ZINC001283085408 818635757 /nfs/dbraw/zinc/63/57/57/818635757.db2.gz DUSNQOHTTIZCCV-LBPRGKRZSA-N -1 1 348.403 -0.261 20 0 EBADMM Cc1ncn(C)c1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001095573223 818649689 /nfs/dbraw/zinc/64/96/89/818649689.db2.gz ZWWDBWIBVQCDKX-WOPDTQHZSA-N -1 1 345.407 -0.314 20 0 EBADMM Cc1ccnc(N(C)CCCNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001095589649 818653194 /nfs/dbraw/zinc/65/31/94/818653194.db2.gz KLSXVXOLKPIQOW-UHFFFAOYSA-N -1 1 346.391 -0.332 20 0 EBADMM CN(CCCNC(=O)c1cc(=O)n2[n-]cnc2n1)c1ncc(F)cn1 ZINC001095592831 818654674 /nfs/dbraw/zinc/65/46/74/818654674.db2.gz OWYYARUWZGBDKO-UHFFFAOYSA-N -1 1 346.326 -0.397 20 0 EBADMM Cc1ccn(CC(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)n1 ZINC001096031793 818723565 /nfs/dbraw/zinc/72/35/65/818723565.db2.gz OQUXFMQNWUWXQE-AGIUHOORSA-N -1 1 345.407 -0.465 20 0 EBADMM CN(C(=O)CCn1cc[n-]c(=O)c1=O)[C@@H]1CCN(C(=O)C2(C)CC2)C1 ZINC001283383401 818787031 /nfs/dbraw/zinc/78/70/31/818787031.db2.gz AVCVKMDREKEBLX-GFCCVEGCSA-N -1 1 348.403 -0.214 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)no1 ZINC001096769081 818883033 /nfs/dbraw/zinc/88/30/33/818883033.db2.gz XPELNONRXPWVSX-FOGDFJRCSA-N -1 1 332.364 -0.060 20 0 EBADMM Cc1cc(CN[C@@H](C)CN(C)C(=O)CCn2cc[n-]c(=O)c2=O)no1 ZINC001283690257 818907193 /nfs/dbraw/zinc/90/71/93/818907193.db2.gz GULHHFBBSGJIPN-NSHDSACASA-N -1 1 349.391 -0.140 20 0 EBADMM C[C@H](C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1)n1cncn1 ZINC001096977998 818917041 /nfs/dbraw/zinc/91/70/41/818917041.db2.gz HDAOWXCKJMAUJD-KKOKHZNYSA-N -1 1 346.395 -0.817 20 0 EBADMM CCCC(=O)NC[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C1CC1 ZINC001283915792 819028265 /nfs/dbraw/zinc/02/82/65/819028265.db2.gz CWTUYOMFORZDBK-GFCCVEGCSA-N -1 1 336.392 -0.262 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)Nc1cnc(F)cn1 ZINC001097940306 819059461 /nfs/dbraw/zinc/05/94/61/819059461.db2.gz YHCWIZXFKGOEIF-SECBINFHSA-N -1 1 336.327 -0.527 20 0 EBADMM CSCCC(=O)N[C@@H](C)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001284284693 819167258 /nfs/dbraw/zinc/16/72/58/819167258.db2.gz UPBOXNROWYKVLR-JTQLQIEISA-N -1 1 342.421 -0.699 20 0 EBADMM O=C(c1ncccn1)N1CCC(N(CCO)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001098509350 819223939 /nfs/dbraw/zinc/22/39/39/819223939.db2.gz CZIKLLXGXHYXMH-UHFFFAOYSA-N -1 1 347.379 -0.601 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC[C@H](n3cc(CNC[C@@H](C)O)nn3)C2)c1[O-] ZINC001098656066 819299802 /nfs/dbraw/zinc/29/98/02/819299802.db2.gz LLOTZHJNCWNAOH-SKDRFNHKSA-N -1 1 349.395 -0.427 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC[C@@H](n3cc(CNC[C@@H](C)O)nn3)C2)c1[O-] ZINC001098656060 819299975 /nfs/dbraw/zinc/29/99/75/819299975.db2.gz LLOTZHJNCWNAOH-BXKDBHETSA-N -1 1 349.395 -0.427 20 0 EBADMM CCN(CCNC(=O)C(N)=O)C(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001284645039 819314813 /nfs/dbraw/zinc/31/48/13/819314813.db2.gz FZSYAVTYWYXJLZ-UHFFFAOYSA-N -1 1 337.380 -0.322 20 0 EBADMM CC(C)(C)/C=C/C(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001284892636 819392918 /nfs/dbraw/zinc/39/29/18/819392918.db2.gz KHKAAGRCXRVFCX-SNAWJCMRSA-N -1 1 344.375 -0.040 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC(NC(=O)CC(N)=O)C1 ZINC001284894263 819393509 /nfs/dbraw/zinc/39/35/09/819393509.db2.gz HUXSWCOLKXUGFB-UHFFFAOYSA-N -1 1 335.364 -0.570 20 0 EBADMM Cn1[n-]c(CN2CC(C)(C)CC[C@H]2CNC(=O)CC(N)=O)nc1=O ZINC001098993676 819402293 /nfs/dbraw/zinc/40/22/93/819402293.db2.gz QQNKYRAGLZIPRR-JTQLQIEISA-N -1 1 338.412 -0.909 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCC[C@]3(NC(=O)c3cnon3)C2)nc1=O ZINC001099087149 819415938 /nfs/dbraw/zinc/41/59/38/819415938.db2.gz APCJJMKCFLSKIT-XPTSAGLGSA-N -1 1 333.352 -0.724 20 0 EBADMM C[C@@H]1C[C@@H]1CC(=O)N1CC(CNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001284979014 819441159 /nfs/dbraw/zinc/44/11/59/819441159.db2.gz GDQRYNVLMOJMMD-DGCLKSJQSA-N -1 1 348.403 -0.453 20 0 EBADMM CC[C@H](C)C(=O)NC[C@H](CC)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285036598 819461478 /nfs/dbraw/zinc/46/14/78/819461478.db2.gz FYHIZOKNBROADK-RYUDHWBXSA-N -1 1 338.408 -0.016 20 0 EBADMM C[C@H](CNC(=O)c1ccoc1)CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001285210141 819511843 /nfs/dbraw/zinc/51/18/43/819511843.db2.gz UXTVUEFDKLUVTI-SECBINFHSA-N -1 1 344.331 -0.194 20 0 EBADMM C[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)[C@H](C)NC(=O)C(F)F ZINC001285270337 819531811 /nfs/dbraw/zinc/53/18/11/819531811.db2.gz TVBMEQAVTVFXQK-YUMQZZPRSA-N -1 1 332.307 -0.799 20 0 EBADMM O=C(NC[C@H](O)CNC(=O)c1ncccc1[O-])c1ccnc(F)c1 ZINC001285424383 819583798 /nfs/dbraw/zinc/58/37/98/819583798.db2.gz VAYVQYGCZPOLHK-JTQLQIEISA-N -1 1 334.307 -0.158 20 0 EBADMM CCC(CC)C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001099695673 819586052 /nfs/dbraw/zinc/58/60/52/819586052.db2.gz MICVATKAXXXBOX-NEPJUHHUSA-N -1 1 325.413 -0.404 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)NC/C=C/CNC(=O)[C@H]1C[N@H+](C)CCO1 ZINC001285511402 819635354 /nfs/dbraw/zinc/63/53/54/819635354.db2.gz KWYZMOURECSTDQ-AAOUONPWSA-N -1 1 349.391 -0.777 20 0 EBADMM CC1CC(C(=O)NC/C=C\CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001285516699 819638886 /nfs/dbraw/zinc/63/88/86/819638886.db2.gz BAKVILQHKORCCR-IHWYPQMZSA-N -1 1 344.375 -0.134 20 0 EBADMM CC1(C)C[C@@H]1C(=O)NC/C=C\CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001285527413 819644142 /nfs/dbraw/zinc/64/41/42/819644142.db2.gz FOLKGRKBJQRDMG-UMBAGQNISA-N -1 1 344.375 -0.134 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)/C=C\C(C)(C)C)[C@H](O)C2)nc1=O ZINC001099750713 819655623 /nfs/dbraw/zinc/65/56/23/819655623.db2.gz SEYSPPMTQSGEIF-ZADDAZQUSA-N -1 1 337.424 -0.238 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CCN(CCOCC(F)F)C[C@@H]2O)c1[O-] ZINC001099772415 819683055 /nfs/dbraw/zinc/68/30/55/819683055.db2.gz LHZPEJMIMWDXRE-ZJUUUORDSA-N -1 1 348.350 -0.130 20 0 EBADMM COCCOCCN1CC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])[C@H](O)C1 ZINC001099773425 819684843 /nfs/dbraw/zinc/68/48/43/819684843.db2.gz SWDLEPVGXUGBAP-VXGBXAGGSA-N -1 1 342.396 -0.748 20 0 EBADMM C[C@H](CNC(=O)/C=C\C1CC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285669903 819717546 /nfs/dbraw/zinc/71/75/46/819717546.db2.gz BIVFIEZNJASMMA-DOGVGXBMSA-N -1 1 334.376 -0.486 20 0 EBADMM C[C@@H](CNC(=O)[C@@H]1CC12CC2)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001285901907 819814915 /nfs/dbraw/zinc/81/49/15/819814915.db2.gz PBWXFKQBTYKAQN-RYUDHWBXSA-N -1 1 348.403 -0.310 20 0 EBADMM CCC(C)(C)C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001099981790 819988085 /nfs/dbraw/zinc/98/80/85/819988085.db2.gz SVNAYIMQYBNUCD-QWRGUYRKSA-N -1 1 325.413 -0.404 20 0 EBADMM C[C@H](CN(C)C(=O)C(C)(C)F)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001287550688 820073745 /nfs/dbraw/zinc/07/37/45/820073745.db2.gz SENLHTFEWGOWQY-SNVBAGLBSA-N -1 1 342.371 -0.362 20 0 EBADMM C[C@H](CN(C)C(=O)c1cocn1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001287579896 820075539 /nfs/dbraw/zinc/07/55/39/820075539.db2.gz YLEQEDKRPYNVGD-MRVPVSSYSA-N -1 1 345.319 -0.704 20 0 EBADMM C[C@@H]1[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)CCN1C(=O)CC1CC1 ZINC001287851741 820178479 /nfs/dbraw/zinc/17/84/79/820178479.db2.gz HRWPJXSCADIDON-DGCLKSJQSA-N -1 1 348.403 -0.168 20 0 EBADMM CCN(CCNC(=O)c1cc(=O)n2[n-]cnc2n1)c1ccc(C)nn1 ZINC001100567382 820476273 /nfs/dbraw/zinc/47/62/73/820476273.db2.gz JMCDKRQMGXWKDF-UHFFFAOYSA-N -1 1 342.363 -0.228 20 0 EBADMM CC(F)(F)C(=O)NCCCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001293098088 820705002 /nfs/dbraw/zinc/70/50/02/820705002.db2.gz FDIFWUWJZZKWRP-UHFFFAOYSA-N -1 1 328.279 -0.691 20 0 EBADMM O=C(NCCCNC(=O)c1cc(=O)n2[n-]cnc2n1)c1ccc[nH]1 ZINC001293139025 820710332 /nfs/dbraw/zinc/71/03/32/820710332.db2.gz UZHBYDGAJLZZMD-UHFFFAOYSA-N -1 1 329.320 -0.704 20 0 EBADMM CCNC(=O)CC(=O)N1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001293647347 820800569 /nfs/dbraw/zinc/80/05/69/820800569.db2.gz BSVREPSNSZJNGY-NSHDSACASA-N -1 1 334.376 -0.014 20 0 EBADMM CN(CCNc1cnc(F)cn1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001101699180 820884196 /nfs/dbraw/zinc/88/41/96/820884196.db2.gz NJMMNKUTHKDLRX-UHFFFAOYSA-N -1 1 332.299 -0.469 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N(C)CCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001294190503 820894458 /nfs/dbraw/zinc/89/44/58/820894458.db2.gz JRHXUXJXQYPCFO-RYUDHWBXSA-N -1 1 336.392 -0.453 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)COc1cnn(C)c1 ZINC001102285176 821058696 /nfs/dbraw/zinc/05/86/96/821058696.db2.gz DMWROXQCZPSJHR-GHMZBOCLSA-N -1 1 349.395 -0.493 20 0 EBADMM CC[C@H](NC(C)=O)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102394107 821088586 /nfs/dbraw/zinc/08/85/86/821088586.db2.gz AAWOULDJOALZHT-JLLWLGSASA-N -1 1 338.412 -0.391 20 0 EBADMM COCCOCC(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102788128 821213122 /nfs/dbraw/zinc/21/31/22/821213122.db2.gz YEIVXFYFZKNXJO-VXGBXAGGSA-N -1 1 341.412 -0.253 20 0 EBADMM CN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)C=C1CCC1 ZINC001296153229 821217732 /nfs/dbraw/zinc/21/77/32/821217732.db2.gz MMZUODNHWFMSBA-UHFFFAOYSA-N -1 1 334.376 -0.388 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)c1ncn(C)n1 ZINC001102947357 821237580 /nfs/dbraw/zinc/23/75/80/821237580.db2.gz RANCSYWCPMKPNW-NXEZZACHSA-N -1 1 334.384 -0.473 20 0 EBADMM CN(C)C(=O)C1(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)CC1 ZINC001297039395 821305623 /nfs/dbraw/zinc/30/56/23/821305623.db2.gz WJHJZLJUBBLTBD-UHFFFAOYSA-N -1 1 332.360 -0.404 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)CCNC(N)=O ZINC001103705717 821342147 /nfs/dbraw/zinc/34/21/47/821342147.db2.gz ITVBNHYEUQTBRR-NXEZZACHSA-N -1 1 339.400 -0.857 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)CCCC(N)=O ZINC001103777483 821352894 /nfs/dbraw/zinc/35/28/94/821352894.db2.gz DSEFGUUBAXTZPA-GHMZBOCLSA-N -1 1 338.412 -0.260 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)CNC(=O)[C@@H]1CC12CC2 ZINC001297773293 821415735 /nfs/dbraw/zinc/41/57/35/821415735.db2.gz XAVKQFQRYZNXHV-RYUDHWBXSA-N -1 1 348.403 -0.405 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC/C=C\CNC(=O)c1cocn1 ZINC001298306976 821505318 /nfs/dbraw/zinc/50/53/18/821505318.db2.gz BUBHSZGISNEKPW-UPHRSURJSA-N -1 1 347.331 -0.983 20 0 EBADMM C[C@H](CNc1ncccn1)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001104618704 821523004 /nfs/dbraw/zinc/52/30/04/821523004.db2.gz FYJFMMBXZTZEPX-LLVKDONJSA-N -1 1 332.364 -0.324 20 0 EBADMM C[C@@H](CNc1ncccn1)N(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001104616383 821523160 /nfs/dbraw/zinc/52/31/60/821523160.db2.gz AZPZGMWLGWYWSN-VIFPVBQESA-N -1 1 328.336 -0.220 20 0 EBADMM C[C@H](CNC(=O)C(=O)N1CC[C@H](C)C1)NC(=O)c1ncccc1[O-] ZINC001298578050 821569870 /nfs/dbraw/zinc/56/98/70/821569870.db2.gz HBIVVFZEKGWLNR-WDEREUQCSA-N -1 1 334.376 -0.110 20 0 EBADMM Cc1conc1C(=O)NC[C@@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001298650623 821581772 /nfs/dbraw/zinc/58/17/72/821581772.db2.gz IZBXXMZZJWLCND-SNVBAGLBSA-N -1 1 349.347 -0.842 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)[C@H]1CC12CC2 ZINC001298720891 821590689 /nfs/dbraw/zinc/59/06/89/821590689.db2.gz HOBIGUNUXHATIU-GHMZBOCLSA-N -1 1 334.376 -0.652 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)[C@@H]1CC=CCC1 ZINC001298735424 821591663 /nfs/dbraw/zinc/59/16/63/821591663.db2.gz ZWPRXTBTOFOXHU-QWHCGFSZSA-N -1 1 348.403 -0.096 20 0 EBADMM Cc1ocnc1C(=O)N[C@@H](C)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001298746627 821592455 /nfs/dbraw/zinc/59/24/55/821592455.db2.gz OBZXFPHHZGCHOV-VIFPVBQESA-N -1 1 349.347 -0.842 20 0 EBADMM CC[C@@H](C)CC(=O)N[C@@H](C)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001298763907 821594254 /nfs/dbraw/zinc/59/42/54/821594254.db2.gz XVBHYAKIWMVUNH-NEPJUHHUSA-N -1 1 338.408 -0.016 20 0 EBADMM C[C@]1(NC(=O)CC2CC2)CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001299501259 821699871 /nfs/dbraw/zinc/69/98/71/821699871.db2.gz OESYIBAHJPVEFL-KRWDZBQOSA-N -1 1 348.403 -0.166 20 0 EBADMM CSc1nc(CNC(=O)CC[C@@H]2NC(=O)NC2=O)cc(=O)[n-]1 ZINC001299994141 821741157 /nfs/dbraw/zinc/74/11/57/821741157.db2.gz UMSKWEUORPKDLD-ZETCQYMHSA-N -1 1 325.350 -0.492 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCN(Cc2nc[nH]n2)CC1 ZINC001300326166 821743936 /nfs/dbraw/zinc/74/39/36/821743936.db2.gz IDNRMLJVFRBWCY-UHFFFAOYSA-N -1 1 335.393 -0.145 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCc1nnc(C2CCOCC2)[nH]1 ZINC001302222573 821750463 /nfs/dbraw/zinc/75/04/63/821750463.db2.gz BDFVKPAOAIQRIR-UHFFFAOYSA-N -1 1 348.363 -0.745 20 0 EBADMM Cc1[nH]c2ccnn2c(=O)c1CC(=O)NC1(c2nn[n-]n2)CCC1 ZINC001303222221 821756124 /nfs/dbraw/zinc/75/61/24/821756124.db2.gz OFHNVTSUPMPCCY-UHFFFAOYSA-N -1 1 328.336 -0.418 20 0 EBADMM Cn1nnc2c1ncnc2NC[C@@H](O)CNC(=O)c1ncccc1[O-] ZINC001105802246 821874181 /nfs/dbraw/zinc/87/41/81/821874181.db2.gz JFMHOJDTZWRJHV-MRVPVSSYSA-N -1 1 344.335 -0.938 20 0 EBADMM Cc1cnc(CN(C)CCCNC(=O)Cn2c(=O)[n-][nH]c2=O)cn1 ZINC001316865794 821898448 /nfs/dbraw/zinc/89/84/48/821898448.db2.gz YEZFJGVHECBSCC-UHFFFAOYSA-N -1 1 335.368 -0.574 20 0 EBADMM NC(=O)C1(C(=O)NC2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[n-]2)CC1 ZINC001317098593 822002330 /nfs/dbraw/zinc/00/23/30/822002330.db2.gz WKNQBUMHNQHKEA-PBINXNQUSA-N -1 1 334.380 -0.613 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NCc1cnsn1 ZINC001317275796 822057540 /nfs/dbraw/zinc/05/75/40/822057540.db2.gz JQKNHNIDHYHTRU-SECBINFHSA-N -1 1 338.393 -0.927 20 0 EBADMM C[C@](CNCc1n[nH]c(=O)[n-]1)(NC(=O)[C@@H]1CCCNC1=O)C1CC1 ZINC001318701555 822353702 /nfs/dbraw/zinc/35/37/02/822353702.db2.gz KZAXCIRGPJJOSK-MEBBXXQBSA-N -1 1 336.396 -0.589 20 0 EBADMM Cn1nc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)cc1C(F)(F)F ZINC001128174348 828424170 /nfs/dbraw/zinc/42/41/70/828424170.db2.gz MSRWUUGOQGDSPH-UHFFFAOYSA-N -1 1 333.274 -0.218 20 0 EBADMM Cc1cc(C(F)F)n(CC(=O)NCCNCc2n[nH]c(=O)[n-]2)n1 ZINC001128184432 828424675 /nfs/dbraw/zinc/42/46/75/828424675.db2.gz KOGNKCWVROJSNF-UHFFFAOYSA-N -1 1 329.311 -0.141 20 0 EBADMM C/C=C(/C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1n1ccnn1 ZINC001128913317 828547660 /nfs/dbraw/zinc/54/76/60/828547660.db2.gz FDWYQIHXCTWNFD-JUTKWBCOSA-N -1 1 346.395 -0.792 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC/C=C\CNc1cnc(F)cn1 ZINC001107257503 823830431 /nfs/dbraw/zinc/83/04/31/823830431.db2.gz MLOJHLDFOABSFX-UPHRSURJSA-N -1 1 348.338 -0.360 20 0 EBADMM O=C(NC/C=C/CNc1ncccn1)c1cc(=O)n2[n-]cnc2n1 ZINC001107263323 823831702 /nfs/dbraw/zinc/83/17/02/823831702.db2.gz YFDYGNLGUHJNMP-OWOJBTEDSA-N -1 1 326.320 -0.394 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@](C)(CNC(=O)c3ccccn3)C2)nc1=O ZINC001107686406 823904765 /nfs/dbraw/zinc/90/47/65/823904765.db2.gz ZOEMTCKURSAOQB-INIZCTEOSA-N -1 1 346.391 -0.476 20 0 EBADMM CC[C@H](OC)C(=O)NC[C@@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107798039 823969217 /nfs/dbraw/zinc/96/92/17/823969217.db2.gz KLLMQAUBPVEYBD-NHYWBVRUSA-N -1 1 341.412 -0.760 20 0 EBADMM CN1CCC[C@@H]1C(=O)NC[C@]1(C)CN(Cc2n[nH]c(=O)[n-]2)CCO1 ZINC001107816642 823978427 /nfs/dbraw/zinc/97/84/27/823978427.db2.gz GSZZMLSGWFCQSO-IAQYHMDHSA-N -1 1 338.412 -0.688 20 0 EBADMM C[C@@H](C(=O)NC[C@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1)C1CC1 ZINC001107845767 823997101 /nfs/dbraw/zinc/99/71/01/823997101.db2.gz KSKUVXNITDYLOS-BDJLRTHQSA-N -1 1 337.424 -0.138 20 0 EBADMM CC[C@@H](C(N)=O)N1CCO[C@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001107890356 824021103 /nfs/dbraw/zinc/02/11/03/824021103.db2.gz LUXZOEOULZRIRQ-MEDUHNTESA-N -1 1 336.392 -0.128 20 0 EBADMM C[C@]1(CNC(=O)c2ncccc2[O-])CN([C@@H]2CCNC2=O)CCO1 ZINC001107890519 824021524 /nfs/dbraw/zinc/02/15/24/824021524.db2.gz ORUHPUVHAYPVGO-BZNIZROVSA-N -1 1 334.376 -0.504 20 0 EBADMM C[C@@]1(CNC(=O)c2ncccc2[O-])CN(CC(=O)N2CCC2)CCO1 ZINC001107890839 824022009 /nfs/dbraw/zinc/02/20/09/824022009.db2.gz XJTHAOBBSRDAJH-QGZVFWFLSA-N -1 1 348.403 -0.160 20 0 EBADMM Cn1cc(CN2CCO[C@@](C)(CNC(=O)c3ncccc3[O-])C2)nn1 ZINC001107890840 824022105 /nfs/dbraw/zinc/02/21/05/824022105.db2.gz XLOSQNPQOJFLLO-INIZCTEOSA-N -1 1 346.391 -0.063 20 0 EBADMM Cn1[n-]c(CN2CCO[C@](C)(CNC(=O)C3(CF)CC3)C2)nc1=O ZINC001108204860 824165225 /nfs/dbraw/zinc/16/52/25/824165225.db2.gz ATAXMJJNZLOSRY-CQSZACIVSA-N -1 1 341.387 -0.435 20 0 EBADMM C[C@@]1(CNC(=O)CCn2cc[n-]c(=O)c2=O)CN(CCF)CCO1 ZINC001108281603 824193963 /nfs/dbraw/zinc/19/39/63/824193963.db2.gz HYSQWWNBRHZEBE-OAHLLOKOSA-N -1 1 342.371 -0.897 20 0 EBADMM Cc1nsc(NC[C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001108461356 824295909 /nfs/dbraw/zinc/29/59/09/824295909.db2.gz LPWOJVKPDRWEQS-LURJTMIESA-N -1 1 334.365 -0.192 20 0 EBADMM C[C@@H](CN(C)c1ccc2nnnn2n1)NC(=O)c1ncccc1[O-] ZINC001108946169 824458703 /nfs/dbraw/zinc/45/87/03/824458703.db2.gz BXAFAAHKHLVOON-VIFPVBQESA-N -1 1 328.336 -0.125 20 0 EBADMM Cc1cc(N(C)C[C@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)ncn1 ZINC001109020186 824472863 /nfs/dbraw/zinc/47/28/63/824472863.db2.gz KNWXCIJQOKUDOJ-LBPRGKRZSA-N -1 1 346.391 -0.334 20 0 EBADMM Cc1ccc(N(C)C[C@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)nn1 ZINC001109019070 824473111 /nfs/dbraw/zinc/47/31/11/824473111.db2.gz BQZDMEJQFMEROR-LBPRGKRZSA-N -1 1 346.391 -0.334 20 0 EBADMM C[C@@H](CN(C)c1ncccn1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001109021066 824473163 /nfs/dbraw/zinc/47/31/63/824473163.db2.gz VPICPDXGVZEZDX-NSHDSACASA-N -1 1 332.364 -0.642 20 0 EBADMM CN(CCCNc1nccnc1F)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001109482744 824549635 /nfs/dbraw/zinc/54/96/35/824549635.db2.gz OUTCDBSDBMURKN-UHFFFAOYSA-N -1 1 346.326 -0.079 20 0 EBADMM CN(CCCNc1ncccn1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001109482382 824549851 /nfs/dbraw/zinc/54/98/51/824549851.db2.gz AVXIWPLRUOWIPQ-UHFFFAOYSA-N -1 1 328.336 -0.218 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)CCn2ccnc2)C3)nc1=O ZINC001109580636 824564632 /nfs/dbraw/zinc/56/46/32/824564632.db2.gz QTTOVXHMHPYQTR-AGIUHOORSA-N -1 1 345.407 -0.383 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H](CNc1ncccn1)C1CC1 ZINC001109873895 824618273 /nfs/dbraw/zinc/61/82/73/824618273.db2.gz RHMQUKQWRRDCQF-LBPRGKRZSA-N -1 1 344.375 -0.276 20 0 EBADMM Cc1nccc(NC2(CNC(=O)CCn3cc[n-]c(=O)c3=O)CC2)n1 ZINC001110180367 824672597 /nfs/dbraw/zinc/67/25/97/824672597.db2.gz GNGLQYONZBPKIL-UHFFFAOYSA-N -1 1 344.375 -0.214 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)NCC1(Nc2ncnc3[nH]cnc32)CC1 ZINC001110195573 824675308 /nfs/dbraw/zinc/67/53/08/824675308.db2.gz PAOCDOZCMHUPPD-UHFFFAOYSA-N -1 1 345.323 -0.884 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)[C@@]1(C2CCCC2)CCNC1=O ZINC001129875563 828685634 /nfs/dbraw/zinc/68/56/34/828685634.db2.gz TTZYTLIKZFJFMU-OAHLLOKOSA-N -1 1 336.396 -0.587 20 0 EBADMM O=C(c1ncccc1[O-])N(CCO)CCNc1ccc2nnnn2n1 ZINC001111465937 825144367 /nfs/dbraw/zinc/14/43/67/825144367.db2.gz YZRHYIWDWFVCEB-UHFFFAOYSA-N -1 1 344.335 -0.834 20 0 EBADMM O=C(NCC1(Nc2ncccn2)CCC1)c1cc(=O)n2[n-]cnc2n1 ZINC001111828031 825323011 /nfs/dbraw/zinc/32/30/11/825323011.db2.gz NASIZVBFXZYSPP-UHFFFAOYSA-N -1 1 340.347 -0.028 20 0 EBADMM CS(=O)(=O)CCCN1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001112782467 825637006 /nfs/dbraw/zinc/63/70/06/825637006.db2.gz VHKXDVLLKRQWEA-UHFFFAOYSA-N -1 1 327.406 -0.020 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1CC(CNc2ncccn2)C1 ZINC001112848042 825662666 /nfs/dbraw/zinc/66/26/66/825662666.db2.gz CLUSFYKYAHZQFE-UHFFFAOYSA-N -1 1 344.375 -0.276 20 0 EBADMM C[C@@H](NC(=O)Cn1c(=O)[n-][nH]c1=O)[C@@H](C)Nc1ncnc2[nH]cnc21 ZINC001113110513 825760379 /nfs/dbraw/zinc/76/03/79/825760379.db2.gz SRDGXJSNKMIHOB-RNFRBKRXSA-N -1 1 347.339 -0.639 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)CCCCc3c[nH]nn3)CC2)nc1=O ZINC001113151556 825775408 /nfs/dbraw/zinc/77/54/08/825775408.db2.gz FMOYQGGPAWVKFE-UHFFFAOYSA-N -1 1 348.411 -0.716 20 0 EBADMM Cc1cc(N(C)[C@H](C)CNC(=O)c2cc(=O)n3[n-]cnc3n2)ncn1 ZINC001113657312 825968171 /nfs/dbraw/zinc/96/81/71/825968171.db2.gz KSOUXKHCIVKIJS-SNVBAGLBSA-N -1 1 342.363 -0.229 20 0 EBADMM CO[C@H](C)C(=O)N[C@@H]1[C@H]2CN(Cc3cc(=O)n4[n-]ccc4n3)C[C@H]21 ZINC001113960597 826096320 /nfs/dbraw/zinc/09/63/20/826096320.db2.gz VQOOKEBQEHOJRX-NYMYIZQJSA-N -1 1 331.376 -0.396 20 0 EBADMM CCCOCC(=O)N[C@@H]1[C@H]2CN(Cc3cc(=O)n4[n-]ccc4n3)C[C@H]21 ZINC001113990739 826107184 /nfs/dbraw/zinc/10/71/84/826107184.db2.gz UYWBFQIGMGBYKS-LAQFHYBYSA-N -1 1 345.403 -0.004 20 0 EBADMM C[C@H](OCC1CC1)C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001113992903 826107654 /nfs/dbraw/zinc/10/76/54/826107654.db2.gz PIJGREONYUPKCL-PQFRYHKHSA-N -1 1 335.408 -0.530 20 0 EBADMM CCCCO[C@@H](C)C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001114000169 826109759 /nfs/dbraw/zinc/10/97/59/826109759.db2.gz ZOGFLPKQODVBDU-CIQGVGRVSA-N -1 1 337.424 -0.140 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CC[C@H]2CCOC2)nc1=O ZINC001114134699 826144310 /nfs/dbraw/zinc/14/43/10/826144310.db2.gz ONCFBDMMVSFGNN-UUIJZJDISA-N -1 1 335.408 -0.529 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CCc2cccnc2)nc1=O ZINC001114531587 826278729 /nfs/dbraw/zinc/27/87/29/826278729.db2.gz GQYBTTLAIXMFPD-VIKVFOODSA-N -1 1 342.403 -0.317 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C(C)(C)C(F)F)nc1=O ZINC001114817571 826346331 /nfs/dbraw/zinc/34/63/31/826346331.db2.gz YKISORCDQFLGDQ-MBTKJCJQSA-N -1 1 329.351 -0.054 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cc(=O)n2[n-]cnc2n1)Nc1nccnc1F ZINC001115777824 826583933 /nfs/dbraw/zinc/58/39/33/826583933.db2.gz JZFBMYSAHCJJRJ-QMMMGPOBSA-N -1 1 346.326 -0.081 20 0 EBADMM Cc1ccnc(N[C@H](C)CN(C)C(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001115783875 826585018 /nfs/dbraw/zinc/58/50/18/826585018.db2.gz GYDIQJKSRVPVDI-GFCCVEGCSA-N -1 1 346.391 -0.016 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC(Cn2cncn2)CC1 ZINC001116695165 826634145 /nfs/dbraw/zinc/63/41/45/826634145.db2.gz TYHYZFAVNZEZSW-UHFFFAOYSA-N -1 1 332.364 -0.543 20 0 EBADMM O=C([N-]OC[C@@H]1CCOC1)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001116754109 826640350 /nfs/dbraw/zinc/64/03/50/826640350.db2.gz LGQLQQMKLBNZPK-UTUOFQBUSA-N -1 1 346.405 -0.894 20 0 EBADMM O=C([N-]OC[C@@H]1CCOC1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001116754107 826640362 /nfs/dbraw/zinc/64/03/62/826640362.db2.gz LGQLQQMKLBNZPK-GRYCIOLGSA-N -1 1 346.405 -0.894 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCN1C(=S)N=NC1C ZINC001116759680 826641145 /nfs/dbraw/zinc/64/11/45/826641145.db2.gz KFNRPGYTWFZRBP-UHFFFAOYSA-N -1 1 326.338 -0.485 20 0 EBADMM O=C(CCC(=O)N1CCOCC1)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001180499129 833086039 /nfs/dbraw/zinc/08/60/39/833086039.db2.gz SFNJQZDQAULBEE-UHFFFAOYSA-N -1 1 336.308 -0.707 20 0 EBADMM COCCN1C[C@@H](C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)CC1=O ZINC001180889200 833118358 /nfs/dbraw/zinc/11/83/58/833118358.db2.gz BPEYXKFZFDDNER-LURJTMIESA-N -1 1 325.281 -0.281 20 0 EBADMM CCc1cc(=O)n2[n-]c(NC(=O)CCCN3C(=O)CCC3=O)nc2n1 ZINC001118788265 826999401 /nfs/dbraw/zinc/99/94/01/826999401.db2.gz MLXSGEYHJLFNJS-UHFFFAOYSA-N -1 1 346.347 -0.152 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCCN1CCNC1=S ZINC001182043738 833197592 /nfs/dbraw/zinc/19/75/92/833197592.db2.gz MQHXJJAQPKCCDK-UHFFFAOYSA-N -1 1 348.432 -0.099 20 0 EBADMM O=C([O-])[C@]1(C(=O)NCCCN2CCc3ccccc32)CNCCO1 ZINC001121983641 827495360 /nfs/dbraw/zinc/49/53/60/827495360.db2.gz OYSBENNJDXMLKP-QGZVFWFLSA-N -1 1 333.388 -0.001 20 0 EBADMM Cc1csc(N2CCN(C(=O)[C@]3(C(=O)[O-])CNCCO3)CC2)n1 ZINC001121990838 827496762 /nfs/dbraw/zinc/49/67/62/827496762.db2.gz IZGUCJYRYJBZED-AWEZNQCLSA-N -1 1 340.405 -0.457 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2CCS[C@H](C(F)(F)F)C2)CNCCO1 ZINC001122165728 827533512 /nfs/dbraw/zinc/53/35/12/827533512.db2.gz CBEFRAVPQIBIBG-OIBJUYFYSA-N -1 1 328.312 -0.064 20 0 EBADMM CN(C)C1(C(=O)NCCC(=O)N[C@@H](Cc2c[nH]cn2)C(=O)[O-])CC1 ZINC001182602681 833247375 /nfs/dbraw/zinc/24/73/75/833247375.db2.gz JKBVWSLRFLDOSW-NSHDSACASA-N -1 1 337.380 -0.878 20 0 EBADMM O=C(NCCNCc1cn(C[C@@H]2CCOC2)nn1)c1ncccc1[O-] ZINC001126125473 828156207 /nfs/dbraw/zinc/15/62/07/828156207.db2.gz YYYXEGLGKDGFKT-LBPRGKRZSA-N -1 1 346.391 -0.065 20 0 EBADMM NC(=O)NCCCCC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001184049586 833317951 /nfs/dbraw/zinc/31/79/51/833317951.db2.gz LVFRNWLDCLZXJH-SECBINFHSA-N -1 1 325.373 -0.961 20 0 EBADMM O=C(CCc1n[nH]c(=O)[n-]c1=O)NCCNCc1n[nH]c(C2CC2)n1 ZINC001130756953 828930045 /nfs/dbraw/zinc/93/00/45/828930045.db2.gz JHFZJKNZKKYFFQ-UHFFFAOYSA-N -1 1 348.367 -0.883 20 0 EBADMM CC(C)C(=O)N1CCC(C(=O)NCCNCc2n[nH]c(=O)[n-]2)CC1 ZINC001132168701 829366320 /nfs/dbraw/zinc/36/63/20/829366320.db2.gz OMYPITHXLVMJLF-UHFFFAOYSA-N -1 1 338.412 -0.389 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)CC(=O)N(C)C)CN1Cc1nc(=O)n(C)[n-]1 ZINC001132342809 829418978 /nfs/dbraw/zinc/41/89/78/829418978.db2.gz DWQZODULBWPBEN-GHMZBOCLSA-N -1 1 338.412 -0.944 20 0 EBADMM CCOC(=O)[C@@]1(C(C)=O)CCC(=O)[C@@H](C(=O)NCc2nn[n-]n2)C1 ZINC001186803923 833429499 /nfs/dbraw/zinc/42/94/99/833429499.db2.gz GEQYOWNLYBTKSW-XPTSAGLGSA-N -1 1 337.336 -0.676 20 0 EBADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1cccc(-n2cnnn2)c1 ZINC001186981741 833446297 /nfs/dbraw/zinc/44/62/97/833446297.db2.gz BPCPNGWJNLSJBV-UHFFFAOYSA-N -1 1 339.275 -0.056 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)C1(N2CCOCC2)CCCC1 ZINC001134614477 829805949 /nfs/dbraw/zinc/80/59/49/829805949.db2.gz YQMTTZIVOPOHNH-UHFFFAOYSA-N -1 1 338.412 -0.639 20 0 EBADMM C[C@@H](C[C@H](C)NC(=O)c1n[nH]c(=O)[n-]c1=O)NCc1ncnn1C ZINC001134864285 829861242 /nfs/dbraw/zinc/86/12/42/829861242.db2.gz UOTYMIIHQIAKHN-YUMQZZPRSA-N -1 1 336.356 -0.902 20 0 EBADMM Cc1nnc(CN[C@H](C)C[C@H](C)NC(=O)c2cnc([O-])n(C)c2=O)[nH]1 ZINC001135164991 829912061 /nfs/dbraw/zinc/91/20/61/829912061.db2.gz BKUWVWORTNXKTI-BDAKNGLRSA-N -1 1 349.395 -0.401 20 0 EBADMM C[C@@H](C[C@H](C)NC(=O)c1cnc([O-])n(C)c1=O)NCc1cnon1 ZINC001135165518 829912384 /nfs/dbraw/zinc/91/23/84/829912384.db2.gz GUFRHWQMKIMEIB-IUCAKERBSA-N -1 1 336.352 -0.444 20 0 EBADMM C[C@@H](C[C@H](C)NC(=O)c1cnc([O-])n(C)c1=O)NCc1cnn(C)n1 ZINC001135165869 829912746 /nfs/dbraw/zinc/91/27/46/829912746.db2.gz IRVCNRHJOOVLRH-UWVGGRQHSA-N -1 1 349.395 -0.699 20 0 EBADMM C[C@H](NCCNC(=O)CCn1cc[n-]c(=O)c1=O)c1csnn1 ZINC001135390794 829960960 /nfs/dbraw/zinc/96/09/60/829960960.db2.gz OYUSSQUPUFNCAB-VIFPVBQESA-N -1 1 338.393 -0.755 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC[C@]2(C1)NC(=O)NC2=O ZINC001139760181 830103684 /nfs/dbraw/zinc/10/36/84/830103684.db2.gz XLWOBZWZSFKTGP-CYBMUJFWSA-N -1 1 337.361 -0.406 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)CC1(O)CCC1 ZINC001142623539 830133446 /nfs/dbraw/zinc/13/34/46/830133446.db2.gz IHLLNYADDFWYSN-NSHDSACASA-N -1 1 338.364 -0.667 20 0 EBADMM C[C@@H](CS(C)(=O)=O)C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001211302234 837424334 /nfs/dbraw/zinc/42/43/34/837424334.db2.gz VSHANIHTCWXBGK-VHSXEESVSA-N -1 1 345.425 -0.728 20 0 EBADMM O=C(CN1Cc2c(cnn2-c2ccccc2)C1=O)NCc1nn[n-]n1 ZINC001143974270 830157655 /nfs/dbraw/zinc/15/76/55/830157655.db2.gz HJLSGZBMFAHOCA-UHFFFAOYSA-N -1 1 338.331 -0.342 20 0 EBADMM CC(C)C[C@@H](O)C(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001144184038 830160703 /nfs/dbraw/zinc/16/07/03/830160703.db2.gz PYFNLQWVRKPXNL-NWDGAFQWSA-N -1 1 340.380 -0.565 20 0 EBADMM CNC(=O)CCCCC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001211360623 837431387 /nfs/dbraw/zinc/43/13/87/837431387.db2.gz NWZQPHOQHNZEEA-NSHDSACASA-N -1 1 338.412 -0.103 20 0 EBADMM CN(C)C(=O)C1CCC(C(=O)NCCNCc2n[nH]c(=O)[n-]2)CC1 ZINC001147568894 830364485 /nfs/dbraw/zinc/36/44/85/830364485.db2.gz UMIHMVSDOMGOGP-UHFFFAOYSA-N -1 1 338.412 -0.389 20 0 EBADMM CC(C)c1noc(CNCCNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001147712908 830401330 /nfs/dbraw/zinc/40/13/30/830401330.db2.gz ZZQXQBRUISNVBP-UHFFFAOYSA-N -1 1 346.351 -0.556 20 0 EBADMM NC(=O)C1=NO[C@@H](C[N-]S(=O)(=O)c2ccc(Cl)nc2F)C1 ZINC001211548657 837448855 /nfs/dbraw/zinc/44/88/55/837448855.db2.gz CJMZSBAXLVAIBW-RXMQYKEDSA-N -1 1 336.732 -0.217 20 0 EBADMM O=C(CCCn1c(=O)[n-][nH]c1=O)N1CC2(C1)CCN(CCF)C2 ZINC001147829596 830418167 /nfs/dbraw/zinc/41/81/67/830418167.db2.gz LXQKKSWDJOJTPV-UHFFFAOYSA-N -1 1 327.360 -0.027 20 0 EBADMM O=C(c1cc(=O)c2cccc(O)c2[n-]1)N1CC(N2CCNC(=O)C2)C1 ZINC001152673021 831182085 /nfs/dbraw/zinc/18/20/85/831182085.db2.gz UTEGWENCYPMWNV-UHFFFAOYSA-N -1 1 342.355 -0.098 20 0 EBADMM C[C@@H](OC[C@H]1CCCCO1)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001153179380 831214828 /nfs/dbraw/zinc/21/48/28/831214828.db2.gz WRWQVUWFPFLQEE-GHMZBOCLSA-N -1 1 327.385 -0.310 20 0 EBADMM O=C(CNC(=O)CC1CCCCC1)NCCNCc1n[nH]c(=O)[n-]1 ZINC001153632389 831252621 /nfs/dbraw/zinc/25/26/21/831252621.db2.gz JNBDTTCWIUEALS-UHFFFAOYSA-N -1 1 338.412 -0.197 20 0 EBADMM CNC(=O)Cc1noc(C[NH2+]CCCNC(=O)c2ccccc2O)n1 ZINC001154772919 831362135 /nfs/dbraw/zinc/36/21/35/831362135.db2.gz PSAROAPXMQYDQG-UHFFFAOYSA-N -1 1 347.375 -0.027 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCOCC2CCCC2)c(=O)[nH]c1=O ZINC001212080147 837540737 /nfs/dbraw/zinc/54/07/37/837540737.db2.gz OIFAZWCVDOOVOJ-UHFFFAOYSA-N -1 1 331.394 -0.029 20 0 EBADMM COC(=O)[C@@H]1CN(c2nc(C)cc3c2C(=O)[N-]C3=O)CCN1C(C)=O ZINC001158023314 831620015 /nfs/dbraw/zinc/62/00/15/831620015.db2.gz DRFMAASWMOVWPY-NSHDSACASA-N -1 1 346.343 -0.516 20 0 EBADMM CCOC(=O)c1cn(CC)nc1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001158767063 831683661 /nfs/dbraw/zinc/68/36/61/831683661.db2.gz DWDRYCKBDBDDKP-UHFFFAOYSA-N -1 1 349.347 -0.042 20 0 EBADMM Cn1[n-]c(CN2CC=C(CCNC(=O)[C@H]3CCCO3)CC2)nc1=O ZINC001159177543 831713744 /nfs/dbraw/zinc/71/37/44/831713744.db2.gz PJPSWLIJDMPOIE-CYBMUJFWSA-N -1 1 335.408 -0.074 20 0 EBADMM Cn1[n-]c(CN2CC=C(CCNC(=O)c3ccn[nH]3)CC2)nc1=O ZINC001159345762 831742288 /nfs/dbraw/zinc/74/22/88/831742288.db2.gz KJZKQFGWWALOSU-UHFFFAOYSA-N -1 1 331.380 -0.216 20 0 EBADMM Cn1[n-]c(CN2CC=C(CCNC(=O)c3ncc[nH]3)CC2)nc1=O ZINC001160048042 831810287 /nfs/dbraw/zinc/81/02/87/831810287.db2.gz CZYCYTMBGFMIIB-UHFFFAOYSA-N -1 1 331.380 -0.216 20 0 EBADMM C[C@@H](C(N)=O)N1CC=C(CCNC(=O)c2cnc([O-])n(C)c2=O)CC1 ZINC001160845580 831894622 /nfs/dbraw/zinc/89/46/22/831894622.db2.gz MOFDMKSRXYJZRU-JTQLQIEISA-N -1 1 349.391 -0.888 20 0 EBADMM COC(OC)c1c(CO)ccnc1Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001160853444 831895781 /nfs/dbraw/zinc/89/57/81/831895781.db2.gz NPKWZEOOJOAJKM-QMMMGPOBSA-N -1 1 340.361 -0.187 20 0 EBADMM COC(=O)CSc1cncc(Nc2c(O)[nH]c(=O)[n-]c2=S)n1 ZINC001160858555 831897790 /nfs/dbraw/zinc/89/77/90/831897790.db2.gz TZTYUJVYPTYLGP-QMMMGPOBSA-N -1 1 341.374 -0.311 20 0 EBADMM Cc1cc(CNCCCNC(=O)CCc2n[nH]c(=O)[n-]c2=O)no1 ZINC001161715507 831986000 /nfs/dbraw/zinc/98/60/00/831986000.db2.gz MXZDQWGQAHUKDF-UHFFFAOYSA-N -1 1 336.352 -0.192 20 0 EBADMM C[C@@H](NCCCNC(=O)c1cnc([O-])n(C)c1=O)c1ncccn1 ZINC001163781799 832138440 /nfs/dbraw/zinc/13/84/40/832138440.db2.gz RWAGIWKDPKZJLI-SNVBAGLBSA-N -1 1 332.364 -0.253 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NCCCOc1ncccn1 ZINC001163787935 832140091 /nfs/dbraw/zinc/14/00/91/832140091.db2.gz IVVCTESCIYHOQY-UHFFFAOYSA-N -1 1 331.332 -0.108 20 0 EBADMM Cn1ncc(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)c1N ZINC001164235323 832177255 /nfs/dbraw/zinc/17/72/55/832177255.db2.gz ARMBNHZUOKAOLC-UHFFFAOYSA-N -1 1 334.384 -0.484 20 0 EBADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CCN2C(=O)CCC2=O)n[n-]1 ZINC001166127968 832249792 /nfs/dbraw/zinc/24/97/92/832249792.db2.gz VTNKGXLPMBRPAS-MRVPVSSYSA-N -1 1 337.336 -0.302 20 0 EBADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CCN2C(=O)CCC2=O)[n-]1 ZINC001166127968 832249800 /nfs/dbraw/zinc/24/98/00/832249800.db2.gz VTNKGXLPMBRPAS-MRVPVSSYSA-N -1 1 337.336 -0.302 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CCN2C(=O)CCC2=O)n1 ZINC001166127968 832249806 /nfs/dbraw/zinc/24/98/06/832249806.db2.gz VTNKGXLPMBRPAS-MRVPVSSYSA-N -1 1 337.336 -0.302 20 0 EBADMM CCOC(=O)C[C@H]1C(=O)NCCN1c1nc(C)cc2c1C(=O)[N-]C2=O ZINC001166548121 832265759 /nfs/dbraw/zinc/26/57/59/832265759.db2.gz PCZPMHZYNSZRSY-JTQLQIEISA-N -1 1 346.343 -0.468 20 0 EBADMM CCN(CCCNC(=O)[C@H](C)NC(N)=O)C(=O)c1ncccc1[O-] ZINC001352125821 832307537 /nfs/dbraw/zinc/30/75/37/832307537.db2.gz BDPVDDHURYIJJC-JTQLQIEISA-N -1 1 337.380 -0.188 20 0 EBADMM CCOC(=O)[C@H]1CC[C@@H](N[C@@H]2C(=O)N(S(=O)(=O)[O-])[C@H]2C)CO1 ZINC001169320199 832458625 /nfs/dbraw/zinc/45/86/25/832458625.db2.gz JBXPEVFOJCMNRA-JLIMGVALSA-N -1 1 336.366 -0.911 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C(=O)N1CC[C@H](C)C1 ZINC001354345818 832479533 /nfs/dbraw/zinc/47/95/33/832479533.db2.gz ITDTVOJWBFXERW-WDEREUQCSA-N -1 1 334.376 -0.110 20 0 EBADMM CC[C@H](C)C(=O)N[C@H](C)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001355214826 832552735 /nfs/dbraw/zinc/55/27/35/832552735.db2.gz ASOGGHYKYNXPNJ-NWDGAFQWSA-N -1 1 338.408 -0.016 20 0 EBADMM O=C(NC[C@@]12COCCN1C(=O)COC2)C(=O)c1ccc([O-])cc1 ZINC001171794084 832575742 /nfs/dbraw/zinc/57/57/42/832575742.db2.gz PYLFTSFAPIEVOL-INIZCTEOSA-N -1 1 334.328 -0.681 20 0 EBADMM NC(=O)[C@@H](C1CC1)N(CC1CC1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001171945017 832580132 /nfs/dbraw/zinc/58/01/32/832580132.db2.gz QRAWVEAPOQVLAG-CYBMUJFWSA-N -1 1 334.376 -0.571 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@H]2O[C@H](C(=O)N3CCCC3)CC[C@H]21 ZINC001176840902 832694405 /nfs/dbraw/zinc/69/44/05/832694405.db2.gz ORUCOOXSDIVTOH-UTUOFQBUSA-N -1 1 334.380 -0.487 20 0 EBADMM CN(C)C(=O)N[C@@H]1CCO[C@]2(CCN(C(=O)Cc3nn[n-]n3)C2)C1 ZINC001176840661 832694503 /nfs/dbraw/zinc/69/45/03/832694503.db2.gz MAKHKGAWRTYIDX-QMTHXVAHSA-N -1 1 337.384 -0.837 20 0 EBADMM CO[C@@H]1CN(C(=O)Cc2nn[n-]n2)C[C@@H]1NC(=O)OC(C)(C)C ZINC001176842909 832694580 /nfs/dbraw/zinc/69/45/80/832694580.db2.gz UXFSWYGXTCLNFN-DTWKUNHWSA-N -1 1 326.357 -0.507 20 0 EBADMM CCN(CC)c1ccnc([C@@H]2COCCN2C(=O)Cc2nn[n-]n2)n1 ZINC001176840021 832694855 /nfs/dbraw/zinc/69/48/55/832694855.db2.gz FXYJTMWSCMSUMQ-NSHDSACASA-N -1 1 346.395 -0.022 20 0 EBADMM CC[C@H](C)OCC(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001189716274 834182449 /nfs/dbraw/zinc/18/24/49/834182449.db2.gz IEPGXXHDHNJSTA-RYUDHWBXSA-N -1 1 325.413 -0.044 20 0 EBADMM COCC[C@@H](C)C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001190271655 834251324 /nfs/dbraw/zinc/25/13/24/834251324.db2.gz KUCJYUQYMPQYMV-FRRDWIJNSA-N -1 1 337.424 -0.186 20 0 EBADMM CCC(C)(C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1OC ZINC001213358432 837754562 /nfs/dbraw/zinc/75/45/62/837754562.db2.gz BDHJKWJFRJTSAY-GHMZBOCLSA-N -1 1 325.413 -0.140 20 0 EBADMM Cn1c(=O)[nH]cc1C(=O)NCC[N-]C(=O)C(F)(F)Br ZINC001191002269 834395331 /nfs/dbraw/zinc/39/53/31/834395331.db2.gz NRVZPOGNJAWATA-UHFFFAOYSA-N -1 1 341.112 -0.041 20 0 EBADMM COc1nscc1S(=O)(=O)[N-]CCCN(C)S(C)(=O)=O ZINC001192460904 834670860 /nfs/dbraw/zinc/67/08/60/834670860.db2.gz WHYLZCYRJVKBMS-UHFFFAOYSA-N -1 1 343.452 -0.289 20 0 EBADMM CC(=O)NC(C)(C)C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001192887872 834754438 /nfs/dbraw/zinc/75/44/38/834754438.db2.gz HZRRKBZIVWXJSX-NSHDSACASA-N -1 1 338.412 -0.944 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)CCCn2cncn2)C1 ZINC001193319363 834834146 /nfs/dbraw/zinc/83/41/46/834834146.db2.gz JMMQFWIZXIGLLT-LBPRGKRZSA-N -1 1 348.411 -0.787 20 0 EBADMM O=S(=O)([N-]C(CO)(CO)CO)c1ncccc1C(F)(F)F ZINC001194578341 835126817 /nfs/dbraw/zinc/12/68/17/835126817.db2.gz MHZYJLBAAUHULD-UHFFFAOYSA-N -1 1 330.284 -0.906 20 0 EBADMM NC(=O)C[C@H]([N-]S(=O)(=O)c1ncccc1C(F)(F)F)C(N)=O ZINC001194597231 835129110 /nfs/dbraw/zinc/12/91/10/835129110.db2.gz HYUNIPDSABTNQZ-LURJTMIESA-N -1 1 340.283 -0.892 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2c[nH]c(=O)c(C)n2)c1 ZINC001196520483 835427482 /nfs/dbraw/zinc/42/74/82/835427482.db2.gz MUAHKDZGGINBMX-UHFFFAOYSA-N -1 1 338.345 -0.056 20 0 EBADMM CCOC(=O)[C@H]([N-]S(=O)(=O)CCCCC(=O)OC)[C@@H](C)O ZINC001196974037 835489676 /nfs/dbraw/zinc/48/96/76/835489676.db2.gz NAWKWOWVMGBWSP-MWLCHTKSSA-N -1 1 325.383 -0.438 20 0 EBADMM CC(=O)NC(C)(C)C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001197468012 835559523 /nfs/dbraw/zinc/55/95/23/835559523.db2.gz WMJGOIGXEPOQLD-UHFFFAOYSA-N -1 1 338.412 -0.943 20 0 EBADMM CCCOCC(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001200038806 835898971 /nfs/dbraw/zinc/89/89/71/835898971.db2.gz BQYVYQKVBOQNHZ-NSHDSACASA-N -1 1 327.385 -0.356 20 0 EBADMM CCOCCCC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001206191430 836628171 /nfs/dbraw/zinc/62/81/71/836628171.db2.gz RBUBZYIPVRHJHI-VXGBXAGGSA-N -1 1 325.413 -0.138 20 0 EBADMM CCO[C@H](CC)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001206378685 836644178 /nfs/dbraw/zinc/64/41/78/836644178.db2.gz NWKPHHFGYPOZFQ-IJLUTSLNSA-N -1 1 325.413 -0.140 20 0 EBADMM O=C(CC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)NCC1CC1 ZINC001210576820 837300754 /nfs/dbraw/zinc/30/07/54/837300754.db2.gz VBBYWJHKDVTJLV-LLVKDONJSA-N -1 1 336.396 -0.493 20 0 EBADMM CC(C)(CNS(C)(=O)=O)[N-]S(=O)(=O)c1ccc(F)nc1F ZINC001213800262 837870123 /nfs/dbraw/zinc/87/01/23/837870123.db2.gz YNBPQKGLUIWHHX-UHFFFAOYSA-N -1 1 343.377 -0.034 20 0 EBADMM Cn1c(C(=O)NC[C@@H](O)CNCc2n[nH]c(=O)[n-]2)cc2ccccc21 ZINC001320262396 838734684 /nfs/dbraw/zinc/73/46/84/838734684.db2.gz IECDTVSHHIYMFO-NSHDSACASA-N -1 1 344.375 -0.118 20 0 EBADMM O=C(CC(F)(F)C(F)F)NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001320276380 838739982 /nfs/dbraw/zinc/73/99/82/838739982.db2.gz ZOSKZLSRGVVGKN-YFKPBYRVSA-N -1 1 329.254 -0.633 20 0 EBADMM Cn1ncc2cc(S(=O)(=O)[N-]C(=O)CCc3nc[nH]n3)cnc21 ZINC001320443400 838770717 /nfs/dbraw/zinc/77/07/17/838770717.db2.gz DPCZZEDOFVJOLU-UHFFFAOYSA-N -1 1 335.349 -0.476 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1cn2c(n1)COCC2 ZINC001320767747 838834081 /nfs/dbraw/zinc/83/40/81/838834081.db2.gz VBUHOSUPFQERBL-UHFFFAOYSA-N -1 1 335.368 -0.511 20 0 EBADMM O=C(c1ccccc1-n1ccnn1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001321618413 839034701 /nfs/dbraw/zinc/03/47/01/839034701.db2.gz GYYGQXDZKKWMAQ-UHFFFAOYSA-N -1 1 340.347 -0.096 20 0 EBADMM Cc1cnc(CN(C)C[C@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)o1 ZINC001322269551 839165029 /nfs/dbraw/zinc/16/50/29/839165029.db2.gz ONOZMSWSXWOOIU-NSHDSACASA-N -1 1 349.391 -0.140 20 0 EBADMM C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1nnc2ccccn21 ZINC001322780645 839259667 /nfs/dbraw/zinc/25/96/67/839259667.db2.gz SLZDMMQUJDRYRB-SNVBAGLBSA-N -1 1 328.332 -0.153 20 0 EBADMM CN(Cc1cnn(C)c1)C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001322900267 839295119 /nfs/dbraw/zinc/29/51/19/839295119.db2.gz XNNLDHGHSQQRBE-UHFFFAOYSA-N -1 1 327.348 -0.328 20 0 EBADMM CC(C)CNC(=O)CNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001322907046 839295419 /nfs/dbraw/zinc/29/54/19/839295419.db2.gz ITBVIWRUALDIMS-UHFFFAOYSA-N -1 1 332.364 -0.831 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCC4(C3)OCCO4)ccnc1-2 ZINC001323676196 839498334 /nfs/dbraw/zinc/49/83/34/839498334.db2.gz WSBCDOGRUUMTGY-UHFFFAOYSA-N -1 1 331.332 -0.744 20 0 EBADMM CC(C)OCCS(=O)(=O)NNc1nc(=O)c2cnn(C)c2[n-]1 ZINC001324115164 839592656 /nfs/dbraw/zinc/59/26/56/839592656.db2.gz OOTWJTBGMCPBNW-UHFFFAOYSA-N -1 1 330.370 -0.260 20 0 EBADMM CC(C)(C)n1cc(C(=O)C(=O)Nc2n[n-]c(S(C)(=O)=O)n2)cn1 ZINC001325468109 839872341 /nfs/dbraw/zinc/87/23/41/839872341.db2.gz QGEHEWBBULEGOG-UHFFFAOYSA-N -1 1 340.365 -0.019 20 0 EBADMM CC(C)(C)n1cc(C(=O)C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)cn1 ZINC001325468109 839872351 /nfs/dbraw/zinc/87/23/51/839872351.db2.gz QGEHEWBBULEGOG-UHFFFAOYSA-N -1 1 340.365 -0.019 20 0 EBADMM CC/C(C)=C\C(=O)N[C@@]1(CO)CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001325837709 839952952 /nfs/dbraw/zinc/95/29/52/839952952.db2.gz RFVHNBBKZAFONX-LJEUOOAZSA-N -1 1 337.424 -0.092 20 0 EBADMM CC/C(C)=C\C(=O)N[C@]1(CO)CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001325837707 839952970 /nfs/dbraw/zinc/95/29/70/839952970.db2.gz RFVHNBBKZAFONX-IYCSXQJISA-N -1 1 337.424 -0.092 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCc1nnc2n1CCCC2 ZINC001326071021 840022821 /nfs/dbraw/zinc/02/28/21/840022821.db2.gz KUUOQMAYTXQGJS-UHFFFAOYSA-N -1 1 332.364 -0.787 20 0 EBADMM CNC(=O)C(C)(C)CNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001326776788 840196577 /nfs/dbraw/zinc/19/65/77/840196577.db2.gz OVSZBEPQXXNUHI-UHFFFAOYSA-N -1 1 332.364 -0.831 20 0 EBADMM O=C(NCC1(NCc2n[nH]c(=O)[n-]2)CCC1)c1cnc2nccn2c1 ZINC001326856659 840220564 /nfs/dbraw/zinc/22/05/64/840220564.db2.gz UEBHWYWPGBQYIJ-UHFFFAOYSA-N -1 1 342.363 -0.005 20 0 EBADMM CCC(CC)(CNC(=O)C(=O)N[C@@H]1CCN(CCOC)C1)C(=O)[O-] ZINC001327962489 840559143 /nfs/dbraw/zinc/55/91/43/840559143.db2.gz WBDYZZBXIBHMNM-GFCCVEGCSA-N -1 1 343.424 -0.170 20 0 EBADMM C[C@@H](CCNC(=O)c1c[n-]n2c1nccc2=O)NCc1nncn1C ZINC001328426956 840675030 /nfs/dbraw/zinc/67/50/30/840675030.db2.gz JVNMINSTEQIVTD-JTQLQIEISA-N -1 1 344.379 -0.551 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCCc2ncn(C)n2)co1 ZINC001328591373 840712028 /nfs/dbraw/zinc/71/20/28/840712028.db2.gz JMDPYFCEDIRHEC-UHFFFAOYSA-N -1 1 327.366 -0.321 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC(C2N=NC(=O)O2)CC1 ZINC001328621413 840717473 /nfs/dbraw/zinc/71/74/73/840717473.db2.gz LBUSOUFBUNPUKY-UHFFFAOYSA-N -1 1 335.320 -0.579 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C2(S(C)(=O)=O)CC2)c1 ZINC001330190399 841138209 /nfs/dbraw/zinc/13/82/09/841138209.db2.gz HGRYPNLMCKGMPR-UHFFFAOYSA-N -1 1 348.402 -0.184 20 0 EBADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)[C@@H]3CCNC3=O)CC2)n1 ZINC001330796959 841275292 /nfs/dbraw/zinc/27/52/92/841275292.db2.gz FACODEOGQPOGGR-SNVBAGLBSA-N -1 1 335.364 -0.177 20 0 EBADMM CC1CC(C(=O)NCCOCCN(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001331112451 841339243 /nfs/dbraw/zinc/33/92/43/841339243.db2.gz YSNAASJRFLRZBP-UHFFFAOYSA-N -1 1 325.413 -0.281 20 0 EBADMM CC(C)[C@H](C(=O)[O-])C(=O)N[C@H]1CC[N@@H+](CCN2CCOCC2)C1 ZINC001332113911 841575981 /nfs/dbraw/zinc/57/59/81/841575981.db2.gz NWCFMMYLEIPOOC-KBPBESRZSA-N -1 1 327.425 -0.134 20 0 EBADMM CC(C)[C@H](C(=O)[O-])C(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC001332113911 841575991 /nfs/dbraw/zinc/57/59/91/841575991.db2.gz NWCFMMYLEIPOOC-KBPBESRZSA-N -1 1 327.425 -0.134 20 0 EBADMM CO[C@@H](CNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@H]1CCOC1 ZINC001333324335 841850848 /nfs/dbraw/zinc/85/08/48/841850848.db2.gz BGCVPDDMPFKOFR-GWCFXTLKSA-N -1 1 347.375 -0.552 20 0 EBADMM CNC(=O)CC(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC001335236961 842236184 /nfs/dbraw/zinc/23/61/84/842236184.db2.gz HOKKXFVGKGAYGS-LLVKDONJSA-N -1 1 334.376 -0.110 20 0 EBADMM CNC(=O)CC(=O)NC[C@@H](C1CC1)N(C)C(=O)c1ncccc1[O-] ZINC001336896253 842557246 /nfs/dbraw/zinc/55/72/46/842557246.db2.gz BRCPTYJKJPOEFV-NSHDSACASA-N -1 1 334.376 -0.110 20 0 EBADMM CNC(=O)[C@H](CO)[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001339679442 842933229 /nfs/dbraw/zinc/93/32/29/842933229.db2.gz DCDIKUSGTNGKAD-LURJTMIESA-N -1 1 328.177 -0.226 20 0 EBADMM COc1cc[n-]c(=NNS(=O)(=O)c2cnn([C@@H]3CCOC3)c2)n1 ZINC001340987848 843047628 /nfs/dbraw/zinc/04/76/28/843047628.db2.gz NMJJPTPZVQDQJB-SECBINFHSA-N -1 1 340.365 -0.052 20 0 EBADMM CN(CC(=O)N(C)C1CCCCC1)C(=O)[C@@]1(C(=O)[O-])CNCCO1 ZINC001342107487 843140933 /nfs/dbraw/zinc/14/09/33/843140933.db2.gz OTLFZGXASKENET-MRXNPFEDSA-N -1 1 341.408 -0.321 20 0 EBADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)Cn1cc(C2CC2)nn1 ZINC001344028690 843269891 /nfs/dbraw/zinc/26/98/91/843269891.db2.gz BNXRJHFWZHETQF-UHFFFAOYSA-N -1 1 343.347 -0.019 20 0 EBADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)c1cccn(C)c1=O ZINC001344028831 843270675 /nfs/dbraw/zinc/27/06/75/843270675.db2.gz CUUYQGKAROUSSE-UHFFFAOYSA-N -1 1 329.316 -0.176 20 0 EBADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C(=O)N2CC=C[C@@H]2CO)C1 ZINC001345773495 843437446 /nfs/dbraw/zinc/43/74/46/843437446.db2.gz SXGPDFJEFSVBGW-NOZJJQNGSA-N -1 1 349.309 -0.585 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCCC(=O)N1CCN(C)CC1 ZINC001346494257 843523489 /nfs/dbraw/zinc/52/34/89/843523489.db2.gz FFZVOYWKWRKYGB-UHFFFAOYSA-N -1 1 339.421 -0.327 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCN4C(=O)CC[C@@H]4C3)ccnc1-2 ZINC001346519723 843525168 /nfs/dbraw/zinc/52/51/68/843525168.db2.gz XNNZUCVRZQYKCZ-SNVBAGLBSA-N -1 1 342.359 -0.887 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CN2C(=O)CCC2=O)c1 ZINC001346849065 843560374 /nfs/dbraw/zinc/56/03/74/843560374.db2.gz MVLNTECICZNRFH-UHFFFAOYSA-N -1 1 341.345 -0.612 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CC(OCC(=O)N(C)C)C1 ZINC001347981202 843651136 /nfs/dbraw/zinc/65/11/36/843651136.db2.gz QXUJXKZETNRVBK-UHFFFAOYSA-N -1 1 326.378 -0.291 20 0 EBADMM Cn1nccc1[C@H]1COCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001349645220 843924148 /nfs/dbraw/zinc/92/41/48/843924148.db2.gz WBCABQFDEUPRPL-GFCCVEGCSA-N -1 1 333.348 -0.740 20 0 EBADMM O=C(NC[C@@]1(CO)COC[C@@H]2CCCN21)N[C@H]1C[C@@H](C(=O)[O-])C1 ZINC001601520730 971010732 /nfs/dbraw/zinc/01/07/32/971010732.db2.gz OZUJIDPIIIYZOE-OZTPJHRESA-N -1 1 327.381 -0.626 20 0 EBADMM Cc1cc(C)n2nc(C(=O)N[C@@H](Cc3cnc[nH]3)C(=O)[O-])nc2n1 ZINC000323847534 971045914 /nfs/dbraw/zinc/04/59/14/971045914.db2.gz BKIVZCGVDLOFOJ-JTQLQIEISA-N -1 1 329.320 -0.110 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)[C@H](C)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001573467766 945984794 /nfs/dbraw/zinc/98/47/94/945984794.db2.gz HJMDBUHYDKNYTJ-RKDXNWHRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)[C@H](C)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001573467766 945984799 /nfs/dbraw/zinc/98/47/99/945984799.db2.gz HJMDBUHYDKNYTJ-RKDXNWHRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@@H](C)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001573477107 946130351 /nfs/dbraw/zinc/13/03/51/946130351.db2.gz MTOHFJWETBGUEN-IUCAKERBSA-N -1 1 347.383 -0.655 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@@H](C)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001573477107 946130357 /nfs/dbraw/zinc/13/03/57/946130357.db2.gz MTOHFJWETBGUEN-IUCAKERBSA-N -1 1 347.383 -0.655 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@@H](C)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001573477894 946142452 /nfs/dbraw/zinc/14/24/52/946142452.db2.gz VADSJPSAMRHPRZ-RKDXNWHRSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@@H](C)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001573477894 946142458 /nfs/dbraw/zinc/14/24/58/946142458.db2.gz VADSJPSAMRHPRZ-RKDXNWHRSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@H](C)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001573478472 946147407 /nfs/dbraw/zinc/14/74/07/946147407.db2.gz WZLXJLUIGSITFS-DTWKUNHWSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@H](C)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001573478472 946147412 /nfs/dbraw/zinc/14/74/12/946147412.db2.gz WZLXJLUIGSITFS-DTWKUNHWSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NCC1(Nc2cncc(-c3nnn[n-]3)n2)CC1 ZINC001573479958 946168044 /nfs/dbraw/zinc/16/80/44/946168044.db2.gz INSVLTDIWRFVBT-MRVPVSSYSA-N -1 1 345.367 -0.758 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NCC1(Nc2cncc(-c3nn[n-]n3)n2)CC1 ZINC001573479958 946168052 /nfs/dbraw/zinc/16/80/52/946168052.db2.gz INSVLTDIWRFVBT-MRVPVSSYSA-N -1 1 345.367 -0.758 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@@H](C)CNc1nc(C)cc(-c2nnn[n-]2)n1 ZINC001573485370 946224905 /nfs/dbraw/zinc/22/49/05/946224905.db2.gz RJPRMPWDVVGVGG-IUCAKERBSA-N -1 1 347.383 -0.594 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@@H](C)CNc1nc(C)cc(-c2nn[n-]n2)n1 ZINC001573485370 946224913 /nfs/dbraw/zinc/22/49/13/946224913.db2.gz RJPRMPWDVVGVGG-IUCAKERBSA-N -1 1 347.383 -0.594 20 0 EBADMM CC(=O)NC1CCC(C(=O)NCCn2cnc(-c3nn[n-]n3)n2)CC1 ZINC001573493473 946297413 /nfs/dbraw/zinc/29/74/13/946297413.db2.gz IGXULOPHAKOFMU-UHFFFAOYSA-N -1 1 347.383 -0.731 20 0 EBADMM CC(=O)NCC(=O)N1C[C@H](Nc2cncc(-c3nnn[n-]3)n2)C[C@H]1C ZINC001573502474 946388203 /nfs/dbraw/zinc/38/82/03/946388203.db2.gz WLFKGCGSHJBSTI-PSASIEDQSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N1C[C@H](Nc2cncc(-c3nn[n-]n3)n2)C[C@H]1C ZINC001573502474 946388215 /nfs/dbraw/zinc/38/82/15/946388215.db2.gz WLFKGCGSHJBSTI-PSASIEDQSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N(C)C[C@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001573502844 946391411 /nfs/dbraw/zinc/39/14/11/946391411.db2.gz ZTZYJDSNQHKSQT-QMMMGPOBSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)NCC(=O)N(C)C[C@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001573502844 946391418 /nfs/dbraw/zinc/39/14/18/946391418.db2.gz ZTZYJDSNQHKSQT-QMMMGPOBSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)CCNc1cnc(-c2nnn[n-]2)cn1 ZINC001573512539 946501090 /nfs/dbraw/zinc/50/10/90/946501090.db2.gz YERNXDZOSMYGIN-MRVPVSSYSA-N -1 1 333.356 -0.901 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)CCNc1cnc(-c2nn[n-]n2)cn1 ZINC001573512539 946501094 /nfs/dbraw/zinc/50/10/94/946501094.db2.gz YERNXDZOSMYGIN-MRVPVSSYSA-N -1 1 333.356 -0.901 20 0 EBADMM CC(=O)NCC(=O)NC[C@@H](Nc1nccnc1-c1nnn[n-]1)C(C)C ZINC001573517002 946565298 /nfs/dbraw/zinc/56/52/98/946565298.db2.gz CDNHCFZGXNZYLJ-SNVBAGLBSA-N -1 1 347.383 -0.655 20 0 EBADMM CC(=O)NCC(=O)NC[C@@H](Nc1nccnc1-c1nn[n-]n1)C(C)C ZINC001573517002 946565304 /nfs/dbraw/zinc/56/53/04/946565304.db2.gz CDNHCFZGXNZYLJ-SNVBAGLBSA-N -1 1 347.383 -0.655 20 0 EBADMM C[C@H](C(=O)N(C)C[C@@H](O)c1cccnc1)n1cnc(-c2nn[n-]n2)n1 ZINC001573595059 947414620 /nfs/dbraw/zinc/41/46/20/947414620.db2.gz FJIPDRXFETUSGK-MWLCHTKSSA-N -1 1 343.351 -0.394 20 0 EBADMM C[C@@H](C(=O)N(C)C[C@@H](O)CNc1ccnc(-c2nn[n-]n2)n1)C1CC1 ZINC001573596919 947433676 /nfs/dbraw/zinc/43/36/76/947433676.db2.gz MADPULSVSZLDIO-KOLCDFICSA-N -1 1 346.395 -0.644 20 0 EBADMM C[C@@H](C(=O)N1CC[C@@H](n2cncn2)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573622568 947680382 /nfs/dbraw/zinc/68/03/82/947680382.db2.gz BAPDXGLYGHHSPK-DTWKUNHWSA-N -1 1 329.328 -0.916 20 0 EBADMM C[C@@H](C(=O)N1CC[C@](O)(C(F)F)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573626955 947717195 /nfs/dbraw/zinc/71/71/95/947717195.db2.gz XUVFHEJLHRWYSD-UPONEAKYSA-N -1 1 328.283 -0.752 20 0 EBADMM C[C@@H](C(=O)N1CCNC(=O)CC1(C)C)n1cnc(-c2nn[n-]n2)n1 ZINC001573644232 947868857 /nfs/dbraw/zinc/86/88/57/947868857.db2.gz ZSJNCVHDZRWVHN-QMMMGPOBSA-N -1 1 333.356 -0.854 20 0 EBADMM CC(=O)CCCC(=O)N[C@H](C)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001573383882 947897584 /nfs/dbraw/zinc/89/75/84/947897584.db2.gz FOAXUCHILYPJEY-SECBINFHSA-N -1 1 332.368 -0.246 20 0 EBADMM C[C@H](C(=O)N1CCc2nccnc2C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573647837 947903542 /nfs/dbraw/zinc/90/35/42/947903542.db2.gz UJAWCTHMKJFEPT-MRVPVSSYSA-N -1 1 326.324 -0.606 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)C[C@@H](C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001573387589 947910208 /nfs/dbraw/zinc/91/02/08/947910208.db2.gz CNNNIHPACMALPA-SECBINFHSA-N -1 1 347.383 -0.606 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)C[C@@H](C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001573387589 947910217 /nfs/dbraw/zinc/91/02/17/947910217.db2.gz CNNNIHPACMALPA-SECBINFHSA-N -1 1 347.383 -0.606 20 0 EBADMM C[C@@H](C(=O)NC(C)(C)[C@@H](O)C(F)(F)F)n1cnc(-c2nn[n-]n2)n1 ZINC001573650465 947910667 /nfs/dbraw/zinc/91/06/67/947910667.db2.gz CCXFGOMTAXWMDM-SSDLBLMSSA-N -1 1 348.289 -0.163 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)[C@H](C)CNc1cncc(-c2nnn[n-]2)n1 ZINC001573387812 947912595 /nfs/dbraw/zinc/91/25/95/947912595.db2.gz DPJJTVLHTXABNG-SECBINFHSA-N -1 1 347.383 -0.606 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)[C@H](C)CNc1cncc(-c2nn[n-]n2)n1 ZINC001573387812 947912602 /nfs/dbraw/zinc/91/26/02/947912602.db2.gz DPJJTVLHTXABNG-SECBINFHSA-N -1 1 347.383 -0.606 20 0 EBADMM C[C@H](C(=O)N[C@@H]([C@@H](CO)C1CC1)C1CC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573652050 947927646 /nfs/dbraw/zinc/92/76/46/947927646.db2.gz ACSGKUKXVYEEPS-JFUSQASVSA-N -1 1 346.395 -0.068 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)[C@H](C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001573398085 947975873 /nfs/dbraw/zinc/97/58/73/947975873.db2.gz GNRAPZTYDJQUIB-IUCAKERBSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)[C@H](C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001573398085 947975875 /nfs/dbraw/zinc/97/58/75/947975875.db2.gz GNRAPZTYDJQUIB-IUCAKERBSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)CN(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001573397824 947976892 /nfs/dbraw/zinc/97/68/92/947976892.db2.gz DPXMPELHQOCGBM-VIFPVBQESA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)CN(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001573397824 947976896 /nfs/dbraw/zinc/97/68/96/947976896.db2.gz DPXMPELHQOCGBM-VIFPVBQESA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@@H](C)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001573404347 948022955 /nfs/dbraw/zinc/02/29/55/948022955.db2.gz SGPZJNDVKCOXQQ-SECBINFHSA-N -1 1 347.383 -0.924 20 0 EBADMM C[C@H](C(=O)N[C@@H]1C[C@H]2CCCCN2C1=O)n1cnc(-c2nn[n-]n2)n1 ZINC001573665298 948071913 /nfs/dbraw/zinc/07/19/13/948071913.db2.gz KKIGNIYHDDFACK-OPRDCNLKSA-N -1 1 345.367 -0.711 20 0 EBADMM CC(=O)N1CCC(CNC(=O)[C@H](C)n2cnc(-c3nn[n-]n3)n2)CC1 ZINC001573432721 948191554 /nfs/dbraw/zinc/19/15/54/948191554.db2.gz FQUIGXPZQBSGCJ-VIFPVBQESA-N -1 1 347.383 -0.606 20 0 EBADMM CC(=O)N1CCC2(CC1)CN(c1cncc(-c3nnn[n-]3)n1)CCO2 ZINC001573439262 948245265 /nfs/dbraw/zinc/24/52/65/948245265.db2.gz KHCGRHWEGQFCMY-UHFFFAOYSA-N -1 1 344.379 -0.126 20 0 EBADMM CC(=O)N1CCC2(CC1)CN(c1cncc(-c3nn[n-]n3)n1)CCO2 ZINC001573439262 948245267 /nfs/dbraw/zinc/24/52/67/948245267.db2.gz KHCGRHWEGQFCMY-UHFFFAOYSA-N -1 1 344.379 -0.126 20 0 EBADMM C[C@H](C(=O)NC[C@@H](O)CNc1ccnc(-c2nn[n-]n2)n1)C1CCC1 ZINC001573695045 948299367 /nfs/dbraw/zinc/29/93/67/948299367.db2.gz WQTLGRQPSIDBHJ-ONGXEEELSA-N -1 1 346.395 -0.596 20 0 EBADMM C[C@H](C(=O)NC[C@@H]1CCN(C)C(=O)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573708548 948453578 /nfs/dbraw/zinc/45/35/78/948453578.db2.gz VLKONZDLPWHENF-RKDXNWHRSA-N -1 1 333.356 -0.996 20 0 EBADMM C[C@H](C(=O)NCCN(C)c1nccnc1-c1nnn[n-]1)n1cncn1 ZINC001573718719 948519544 /nfs/dbraw/zinc/51/95/44/948519544.db2.gz JMOIPCCELYCQIS-SECBINFHSA-N -1 1 343.355 -0.938 20 0 EBADMM C[C@H](C(=O)NCCN(C)c1nccnc1-c1nn[n-]n1)n1cncn1 ZINC001573718719 948519551 /nfs/dbraw/zinc/51/95/51/948519551.db2.gz JMOIPCCELYCQIS-SECBINFHSA-N -1 1 343.355 -0.938 20 0 EBADMM CC(C)n1cnnc1CNC(=O)[C@H](C)n1cnc(-c2nn[n-]n2)n1 ZINC001573728404 948561289 /nfs/dbraw/zinc/56/12/89/948561289.db2.gz DZWUYYJNYKGIAX-QMMMGPOBSA-N -1 1 331.344 -0.492 20 0 EBADMM C[C@@H](C(=O)NCc1nccnc1Cl)n1cnc(-c2nn[n-]n2)n1 ZINC001573729657 948570228 /nfs/dbraw/zinc/57/02/28/948570228.db2.gz HZIFVQAYEXXJSW-LURJTMIESA-N -1 1 334.731 -0.221 20 0 EBADMM C[C@@H](C(=O)NCc1nnc(C(C)(C)C)[nH]1)n1cnc(-c2nn[n-]n2)n1 ZINC001573730309 948579158 /nfs/dbraw/zinc/57/91/58/948579158.db2.gz NRTLEDZNJCYAJH-ZETCQYMHSA-N -1 1 345.371 -0.249 20 0 EBADMM C[C@H](C(=O)N[C@@H](C)CNc1ccc(-c2nnn[n-]2)nn1)n1cncn1 ZINC001573732395 948602173 /nfs/dbraw/zinc/60/21/73/948602173.db2.gz OHHXEXBWJPDQND-DTWKUNHWSA-N -1 1 343.355 -0.574 20 0 EBADMM C[C@H](C(=O)N[C@@H](C)CNc1ccc(-c2nn[n-]n2)nn1)n1cncn1 ZINC001573732395 948602178 /nfs/dbraw/zinc/60/21/78/948602178.db2.gz OHHXEXBWJPDQND-DTWKUNHWSA-N -1 1 343.355 -0.574 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CN(c2nccnc2-c2nnn[n-]2)C[C@@H]1O)C1CC1 ZINC001573739435 948619356 /nfs/dbraw/zinc/61/93/56/948619356.db2.gz CNJSJRHQOIBUKF-GDPRMGEGSA-N -1 1 344.379 -0.632 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CN(c2nccnc2-c2nn[n-]n2)C[C@@H]1O)C1CC1 ZINC001573739435 948619358 /nfs/dbraw/zinc/61/93/58/948619358.db2.gz CNJSJRHQOIBUKF-GDPRMGEGSA-N -1 1 344.379 -0.632 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CN(c2cnc(-c3nnn[n-]3)cn2)C[C@@H]1O)C1CC1 ZINC001573740554 948638115 /nfs/dbraw/zinc/63/81/15/948638115.db2.gz LNUKAKBTRSADMP-FXAINCCUSA-N -1 1 344.379 -0.632 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CN(c2cnc(-c3nn[n-]n3)cn2)C[C@@H]1O)C1CC1 ZINC001573740554 948638120 /nfs/dbraw/zinc/63/81/20/948638120.db2.gz LNUKAKBTRSADMP-FXAINCCUSA-N -1 1 344.379 -0.632 20 0 EBADMM COC(=O)C[C@@H](C)NS(=O)(=O)c1cccnc1-c1nn[n-]n1 ZINC001570925227 948665875 /nfs/dbraw/zinc/66/58/75/948665875.db2.gz CBZYWJGIVIGDIZ-SSDOTTSWSA-N -1 1 326.338 -0.508 20 0 EBADMM COc1cccc(CC(=O)NCCn2cnc(-c3nn[n-]n3)n2)n1 ZINC001570925625 948673335 /nfs/dbraw/zinc/67/33/35/948673335.db2.gz FUNANEMFWZCTHG-UHFFFAOYSA-N -1 1 329.324 -0.779 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@@H]1CO[C@H]1c1ccccc1 ZINC001570925967 948680778 /nfs/dbraw/zinc/68/07/78/948680778.db2.gz UKCNOPDCZNIUCI-PWSUYJOCSA-N -1 1 326.320 -0.286 20 0 EBADMM CN(CCS(N)(=O)=O)C(=O)Nc1cccc(-c2nnn[n-]2)n1 ZINC001570927006 948702016 /nfs/dbraw/zinc/70/20/16/948702016.db2.gz IZNWPCREFXJBDE-UHFFFAOYSA-N -1 1 326.342 -0.986 20 0 EBADMM CN(CCS(N)(=O)=O)C(=O)Nc1cccc(-c2nn[n-]n2)n1 ZINC001570927006 948702019 /nfs/dbraw/zinc/70/20/19/948702019.db2.gz IZNWPCREFXJBDE-UHFFFAOYSA-N -1 1 326.342 -0.986 20 0 EBADMM CNC(=O)c1ccc(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)cn1 ZINC001570927134 948703961 /nfs/dbraw/zinc/70/39/61/948703961.db2.gz LERFLXBOVZLTOI-UHFFFAOYSA-N -1 1 327.308 -0.393 20 0 EBADMM CNC(=O)c1ccc(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)cn1 ZINC001570927134 948703963 /nfs/dbraw/zinc/70/39/63/948703963.db2.gz LERFLXBOVZLTOI-UHFFFAOYSA-N -1 1 327.308 -0.393 20 0 EBADMM C[C@]1(CC(=O)Nc2c[nH]nc2-c2nn[n-]n2)CCS(=O)(=O)N1 ZINC001570927366 948709860 /nfs/dbraw/zinc/70/98/60/948709860.db2.gz OGTOHCIDJBZUIQ-SNVBAGLBSA-N -1 1 326.342 -1.000 20 0 EBADMM O=C(c1[nH]c2ccccc2c1-c1nn[n-]n1)N1C[C@H](O)C[C@H]1CO ZINC001570927568 948715067 /nfs/dbraw/zinc/71/50/67/948715067.db2.gz SSKPRVGWOAVZGA-DTWKUNHWSA-N -1 1 328.332 -0.084 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)N[C@@H]1CCN(C(N)=O)C1 ZINC001570928371 948731913 /nfs/dbraw/zinc/73/19/13/948731913.db2.gz GHJGFTFGJNBBCL-SSDOTTSWSA-N -1 1 332.324 -0.841 20 0 EBADMM CC(C)(CNC(=O)Cn1cnc(-c2nn[n-]n2)n1)c1cccnc1 ZINC001570928490 948733246 /nfs/dbraw/zinc/73/32/46/948733246.db2.gz ZAVBHMYFHLGESS-UHFFFAOYSA-N -1 1 327.352 -0.053 20 0 EBADMM O=C([C@@H]1CCOC1)N1CC[C@@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570928993 948750532 /nfs/dbraw/zinc/75/05/32/948750532.db2.gz YHUOXSOEZRUEDD-NXEZZACHSA-N -1 1 330.352 -0.872 20 0 EBADMM CCN(C(=O)c1[nH]c(=O)c(-c2nn[n-]n2)cc1C)[C@@H]1CCNC1=O ZINC001570929051 948751023 /nfs/dbraw/zinc/75/10/23/948751023.db2.gz FYGNZXKVIVDCIJ-SECBINFHSA-N -1 1 331.336 -0.374 20 0 EBADMM C[C@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)[C@H]1CCNC1=O ZINC001574678972 948761991 /nfs/dbraw/zinc/76/19/91/948761991.db2.gz LFTMDRKQJMAKGG-BDAKNGLRSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)[C@H]1CCNC1=O ZINC001574678972 948761999 /nfs/dbraw/zinc/76/19/99/948761999.db2.gz LFTMDRKQJMAKGG-BDAKNGLRSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@H](C(N)=O)[N@H+](CCNC(=O)c1c[nH]c(-c2nn[nH]n2)c1)C1CC1 ZINC001573755861 948786506 /nfs/dbraw/zinc/78/65/06/948786506.db2.gz UERDSLSIYDJLOB-MRVPVSSYSA-N -1 1 332.368 -0.737 20 0 EBADMM CCc1nc(-c2nnn[n-]2)cc(N2CC[C@@H](NC(C)=O)[C@@H](O)C2)n1 ZINC001570930064 948797249 /nfs/dbraw/zinc/79/72/49/948797249.db2.gz AEYRBHPQDHOUHF-KOLCDFICSA-N -1 1 332.368 -0.705 20 0 EBADMM CCc1nc(-c2nn[n-]n2)cc(N2CC[C@@H](NC(C)=O)[C@@H](O)C2)n1 ZINC001570930064 948797265 /nfs/dbraw/zinc/79/72/65/948797265.db2.gz AEYRBHPQDHOUHF-KOLCDFICSA-N -1 1 332.368 -0.705 20 0 EBADMM C[C@H]1CCCC[C@H]1NC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570930097 948799174 /nfs/dbraw/zinc/79/91/74/948799174.db2.gz BPUWVOUKNDJVCV-WCBMZHEXSA-N -1 1 333.352 -0.176 20 0 EBADMM CC1(C)C[C@@H]1CNC(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570930308 948809383 /nfs/dbraw/zinc/80/93/83/948809383.db2.gz GSIYQWRXVKZUET-MRVPVSSYSA-N -1 1 333.352 -0.319 20 0 EBADMM C[C@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)[C@]12C[C@H]1COC2 ZINC001574682206 948827218 /nfs/dbraw/zinc/82/72/18/948827218.db2.gz PWEVHZGZQMMCKZ-FTGAXOIBSA-N -1 1 344.379 0.000 20 0 EBADMM C[C@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)[C@]12C[C@H]1COC2 ZINC001574682206 948827232 /nfs/dbraw/zinc/82/72/32/948827232.db2.gz PWEVHZGZQMMCKZ-FTGAXOIBSA-N -1 1 344.379 0.000 20 0 EBADMM CC(C)C(=O)N[C@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C[C@H]1O ZINC001570930975 948831708 /nfs/dbraw/zinc/83/17/08/948831708.db2.gz RGRCBJMZVABAPU-GXSJLCMTSA-N -1 1 332.368 -0.632 20 0 EBADMM CC(C)C(=O)N[C@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C[C@H]1O ZINC001570930975 948831730 /nfs/dbraw/zinc/83/17/30/948831730.db2.gz RGRCBJMZVABAPU-GXSJLCMTSA-N -1 1 332.368 -0.632 20 0 EBADMM CC(C)C(=O)N[C@@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C[C@@H]1O ZINC001570930976 948833364 /nfs/dbraw/zinc/83/33/64/948833364.db2.gz RGRCBJMZVABAPU-KOLCDFICSA-N -1 1 332.368 -0.632 20 0 EBADMM CC(C)C(=O)N[C@@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C[C@@H]1O ZINC001570930976 948833389 /nfs/dbraw/zinc/83/33/89/948833389.db2.gz RGRCBJMZVABAPU-KOLCDFICSA-N -1 1 332.368 -0.632 20 0 EBADMM CC(=O)NC1CCN(C(=O)[C@@H](C)n2cnc(-c3nn[n-]n3)n2)CC1 ZINC001570931173 948834495 /nfs/dbraw/zinc/83/44/95/948834495.db2.gz TXWQPTSOKDGFHJ-MRVPVSSYSA-N -1 1 333.356 -0.854 20 0 EBADMM CC(C)[C@@H](O)C(=O)N[C@H](C)CCNc1cncc(-c2nnn[n-]2)n1 ZINC001574683195 948843706 /nfs/dbraw/zinc/84/37/06/948843706.db2.gz VPJVCBQLHOSERG-BXKDBHETSA-N -1 1 334.384 -0.020 20 0 EBADMM CC(C)[C@@H](O)C(=O)N[C@H](C)CCNc1cncc(-c2nn[n-]n2)n1 ZINC001574683195 948843722 /nfs/dbraw/zinc/84/37/22/948843722.db2.gz VPJVCBQLHOSERG-BXKDBHETSA-N -1 1 334.384 -0.020 20 0 EBADMM Cn1c(=O)[nH]c(N2CC(C)(C)CC[C@H]2CO)c(-c2nn[n-]n2)c1=O ZINC001570933260 948881801 /nfs/dbraw/zinc/88/18/01/948881801.db2.gz KWUWQPDLKDUQOX-QMMMGPOBSA-N -1 1 335.368 -0.759 20 0 EBADMM CC[C@H](C)NC(=O)[C@H](C)Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[n-]n1 ZINC001570933654 948903104 /nfs/dbraw/zinc/90/31/04/948903104.db2.gz BQSMEFGYMYVYPC-BQBZGAKWSA-N -1 1 336.356 -0.619 20 0 EBADMM CC[C@@]1(O)CCCN(C(=O)NCCn2cnc(-c3nn[n-]n3)n2)C1 ZINC001570933866 948914433 /nfs/dbraw/zinc/91/44/33/948914433.db2.gz VHSSPFYQHNUNJJ-CYBMUJFWSA-N -1 1 335.372 -0.595 20 0 EBADMM CCOC[C@H](C)NC(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570933931 948919517 /nfs/dbraw/zinc/91/95/17/948919517.db2.gz FUDFBFUMPJRFMB-QMMMGPOBSA-N -1 1 337.340 -0.940 20 0 EBADMM C[C@@H](CNC(=O)CCn1cncn1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574923044 948930909 /nfs/dbraw/zinc/93/09/09/948930909.db2.gz BWHBKMAHXRFEFL-VIFPVBQESA-N -1 1 343.355 -0.745 20 0 EBADMM C[C@@H](CNC(=O)CCn1cncn1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574923044 948930924 /nfs/dbraw/zinc/93/09/24/948930924.db2.gz BWHBKMAHXRFEFL-VIFPVBQESA-N -1 1 343.355 -0.745 20 0 EBADMM C[C@H](CNC(=O)CCn1cccn1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574923432 948948988 /nfs/dbraw/zinc/94/89/88/948948988.db2.gz MIOHNAHEAGZAJN-SNVBAGLBSA-N -1 1 342.367 -0.140 20 0 EBADMM C[C@H](CNC(=O)CCn1cccn1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574923432 948949001 /nfs/dbraw/zinc/94/90/01/948949001.db2.gz MIOHNAHEAGZAJN-SNVBAGLBSA-N -1 1 342.367 -0.140 20 0 EBADMM C[C@@H](CNC(=O)CCn1cccn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574923939 948961752 /nfs/dbraw/zinc/96/17/52/948961752.db2.gz UOGKWGQTWIFBGX-JTQLQIEISA-N -1 1 342.367 -0.718 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)N1CCO[C@@H]([C@@H](C)O)C1 ZINC001570935098 948966148 /nfs/dbraw/zinc/96/61/48/948966148.db2.gz PXMRDOWTQSOLAC-PSASIEDQSA-N -1 1 334.336 -0.502 20 0 EBADMM CC(F)(F)CN1CCN(C(=O)Cn2cnc(-c3nn[n-]n3)n2)CC1 ZINC001575242107 948982522 /nfs/dbraw/zinc/98/25/22/948982522.db2.gz GVXUKNSMHUEIFU-UHFFFAOYSA-N -1 1 341.326 -0.742 20 0 EBADMM CC(F)(F)CCS(=O)(=O)NCCn1cnc(-c2nn[n-]n2)n1 ZINC001575242128 948983348 /nfs/dbraw/zinc/98/33/48/948983348.db2.gz HBGUJPNXNHNOOE-UHFFFAOYSA-N -1 1 336.328 -0.577 20 0 EBADMM O=C(N1CCCc2nc(-c3nn[n-]n3)ccc21)C1(n2cnnn2)CC1 ZINC001570935961 949006331 /nfs/dbraw/zinc/00/63/31/949006331.db2.gz SDYQKSAYOVFXCV-UHFFFAOYSA-N -1 1 338.335 -0.283 20 0 EBADMM CCOC(=O)[C@H]1CCN(C(=O)[C@H](C)n2cnc(-c3nn[n-]n3)n2)C1 ZINC001570935953 949006522 /nfs/dbraw/zinc/00/65/22/949006522.db2.gz WQPXTJJNYDLTFK-IUCAKERBSA-N -1 1 334.340 -0.569 20 0 EBADMM O=C(c1cn[nH]c1)N1C[C@@H]2C[C@H]1CN2c1ccnc(-c2nn[n-]n2)n1 ZINC001570936242 949022789 /nfs/dbraw/zinc/02/27/89/949022789.db2.gz UUOCSLSHXMHVIN-UWVGGRQHSA-N -1 1 338.335 -0.517 20 0 EBADMM Cc1nsc(NC(=O)N2C[C@@H](CO)[C@H](CO)C2)c1-c1nnn[n-]1 ZINC001570936921 949059926 /nfs/dbraw/zinc/05/99/26/949059926.db2.gz SVSSBHCJHZFXGS-YUMQZZPRSA-N -1 1 339.381 -0.304 20 0 EBADMM Cc1nsc(NC(=O)N2C[C@@H](CO)[C@H](CO)C2)c1-c1nn[n-]n1 ZINC001570936921 949059944 /nfs/dbraw/zinc/05/99/44/949059944.db2.gz SVSSBHCJHZFXGS-YUMQZZPRSA-N -1 1 339.381 -0.304 20 0 EBADMM Cn1ccc(C(=O)N2CC[C@@H](Nc3cncc(-c4nnn[n-]4)n3)C2)n1 ZINC001570937409 949079217 /nfs/dbraw/zinc/07/92/17/949079217.db2.gz FBRUGIQJXPFITF-SECBINFHSA-N -1 1 340.351 -0.283 20 0 EBADMM Cn1ccc(C(=O)N2CC[C@@H](Nc3cncc(-c4nn[n-]n4)n3)C2)n1 ZINC001570937409 949079235 /nfs/dbraw/zinc/07/92/35/949079235.db2.gz FBRUGIQJXPFITF-SECBINFHSA-N -1 1 340.351 -0.283 20 0 EBADMM C[C@H](CNC(=O)CO[C@@H]1CCOC1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574929297 949085783 /nfs/dbraw/zinc/08/57/83/949085783.db2.gz CLZWCEVMGBSQTR-NXEZZACHSA-N -1 1 348.367 -0.621 20 0 EBADMM C[C@H](CNC(=O)CO[C@@H]1CCOC1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574929297 949085802 /nfs/dbraw/zinc/08/58/02/949085802.db2.gz CLZWCEVMGBSQTR-NXEZZACHSA-N -1 1 348.367 -0.621 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)Cn1cccn1 ZINC001574696212 949125266 /nfs/dbraw/zinc/12/52/66/949125266.db2.gz NHGKEKIKJOKTSJ-JTQLQIEISA-N -1 1 342.367 -0.140 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)Cn1cccn1 ZINC001574696212 949125283 /nfs/dbraw/zinc/12/52/83/949125283.db2.gz NHGKEKIKJOKTSJ-JTQLQIEISA-N -1 1 342.367 -0.140 20 0 EBADMM C[C@H](CNC(=O)COCC1CC1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574932087 949131061 /nfs/dbraw/zinc/13/10/61/949131061.db2.gz UWMJQXJRGKCBDR-SECBINFHSA-N -1 1 332.368 0.000 20 0 EBADMM C[C@H](CNC(=O)COCC1CC1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574932087 949131077 /nfs/dbraw/zinc/13/10/77/949131077.db2.gz UWMJQXJRGKCBDR-SECBINFHSA-N -1 1 332.368 0.000 20 0 EBADMM O=C(Cc1ccon1)N[C@H]1CCN(c2cncc(-c3nnn[n-]3)n2)C1 ZINC001570939906 949158112 /nfs/dbraw/zinc/15/81/12/949158112.db2.gz IDLRPKUTDPDKFH-JTQLQIEISA-N -1 1 341.335 -0.418 20 0 EBADMM O=C(Cc1ccon1)N[C@H]1CCN(c2cncc(-c3nn[n-]n3)n2)C1 ZINC001570939906 949158122 /nfs/dbraw/zinc/15/81/22/949158122.db2.gz IDLRPKUTDPDKFH-JTQLQIEISA-N -1 1 341.335 -0.418 20 0 EBADMM C[C@H](NC(=O)[C@H](C)n1cnc(-c2nn[n-]n2)n1)c1nnc2n1CCC2 ZINC001575252356 949162306 /nfs/dbraw/zinc/16/23/06/949162306.db2.gz QDQHMQVLIFKMGI-YUMQZZPRSA-N -1 1 343.355 -0.566 20 0 EBADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@@H](O)c1ccc(-c2nn[nH]n2)cc1 ZINC001570940822 949194457 /nfs/dbraw/zinc/19/44/57/949194457.db2.gz NYSQVRHIPUNDBZ-JTQLQIEISA-N -1 1 341.335 -0.391 20 0 EBADMM CN(C)C(=O)c1cccc(NC(=O)Cn2cc(-c3nn[n-]n3)nn2)c1 ZINC001570941131 949210593 /nfs/dbraw/zinc/21/05/93/949210593.db2.gz ARXXTNWMHWZGFS-UHFFFAOYSA-N -1 1 341.335 -0.201 20 0 EBADMM CC(C)(C(N)=O)N1CCN(C(=O)c2csc(-c3nn[n-]n3)c2)CC1 ZINC001573788816 949214431 /nfs/dbraw/zinc/21/44/31/949214431.db2.gz YRQUIBGUVMGOGK-UHFFFAOYSA-N -1 1 349.420 -0.050 20 0 EBADMM C[C@@]1(O)CCC[N@H+](Cc2cc(=O)n3[n-]cc(-c4nn[n-]n4)c3n2)C1 ZINC001575857845 949275289 /nfs/dbraw/zinc/27/52/89/949275289.db2.gz WQWNBUUFVJHFFV-CQSZACIVSA-N -1 1 330.352 -0.038 20 0 EBADMM C[C@@]1(O)CCC[N@@H+](Cc2cc(=O)n3[n-]cc(-c4nn[n-]n4)c3n2)C1 ZINC001575857845 949275314 /nfs/dbraw/zinc/27/53/14/949275314.db2.gz WQWNBUUFVJHFFV-CQSZACIVSA-N -1 1 330.352 -0.038 20 0 EBADMM C[C@@H](NC(=O)C1=COCCO1)[C@H](C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575259127 949316243 /nfs/dbraw/zinc/31/62/43/949316243.db2.gz MEZIEEQPFKAGQH-DTWKUNHWSA-N -1 1 346.351 -0.150 20 0 EBADMM C[C@@H](NC(=O)C1=COCCO1)[C@H](C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575259127 949316265 /nfs/dbraw/zinc/31/62/65/949316265.db2.gz MEZIEEQPFKAGQH-DTWKUNHWSA-N -1 1 346.351 -0.150 20 0 EBADMM O=S(=O)(N[C@@H]1COCC[C@H]1O)c1ccc(F)cc1-c1nn[n-]n1 ZINC001570943100 949330672 /nfs/dbraw/zinc/33/06/72/949330672.db2.gz VQXIXZIAQNHYJI-NXEZZACHSA-N -1 1 343.340 -0.566 20 0 EBADMM C[C@@]12CCC(=O)N1[C@@H](C(=O)Nc1c[nH]nc1-c1nn[n-]n1)CS2 ZINC001575862110 949336496 /nfs/dbraw/zinc/33/64/96/949336496.db2.gz XMEHYGLEQDEBAO-JMCQJSRRSA-N -1 1 334.365 -0.018 20 0 EBADMM CC(C)n1ccc(Cn2c(=O)c(-c3nn[n-]n3)cn(CCO)c2=O)n1 ZINC001574459857 949368615 /nfs/dbraw/zinc/36/86/15/949368615.db2.gz MOBGQWZZSJAFGA-UHFFFAOYSA-N -1 1 346.351 -0.992 20 0 EBADMM O=C(NCc1nc(-c2nnn[n-]2)cs1)N1CCS(=O)(=O)CC1 ZINC001570943710 949377040 /nfs/dbraw/zinc/37/70/40/949377040.db2.gz SOEMKPNJAPKXKL-UHFFFAOYSA-N -1 1 343.394 -0.737 20 0 EBADMM O=C(NCc1nc(-c2nn[n-]n2)cs1)N1CCS(=O)(=O)CC1 ZINC001570943710 949377062 /nfs/dbraw/zinc/37/70/62/949377062.db2.gz SOEMKPNJAPKXKL-UHFFFAOYSA-N -1 1 343.394 -0.737 20 0 EBADMM Cc1ccc(CNC(=O)CCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)o1 ZINC001570943901 949389410 /nfs/dbraw/zinc/38/94/10/949389410.db2.gz AFDYDXQNQIYOSU-UHFFFAOYSA-N -1 1 345.319 -0.263 20 0 EBADMM CC(C)(C)C(=O)N[C@H]1CCN(c2ccnc(-c3nn[n-]n3)n2)C[C@@H]1O ZINC001573800603 949400826 /nfs/dbraw/zinc/40/08/26/949400826.db2.gz ZJDFFMUBCMWGQS-UWVGGRQHSA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@@H](CNC(=O)Cc1cncs1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574947085 949444865 /nfs/dbraw/zinc/44/48/65/949444865.db2.gz ZCHJLMJNFAOZCJ-QMMMGPOBSA-N -1 1 345.392 -0.306 20 0 EBADMM C[C@@H](NC(=O)C1CCOCC1)[C@@H](C)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575266251 949473682 /nfs/dbraw/zinc/47/36/82/949473682.db2.gz YBRIUQXTLFHXOR-NXEZZACHSA-N -1 1 346.395 -0.190 20 0 EBADMM C[C@@H](CNC(=O)Cn1cccn1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001574949284 949485832 /nfs/dbraw/zinc/48/58/32/949485832.db2.gz SGGXXOSUIMNZIR-JTQLQIEISA-N -1 1 342.367 -0.506 20 0 EBADMM C[C@@H](CNC(=O)Cn1cccn1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001574949284 949485842 /nfs/dbraw/zinc/48/58/42/949485842.db2.gz SGGXXOSUIMNZIR-JTQLQIEISA-N -1 1 342.367 -0.506 20 0 EBADMM CC(C)(C)C(=O)N[C@@H]1CN(c2cncc(-c3nnn[n-]3)n2)C[C@H]1O ZINC001573806154 949486383 /nfs/dbraw/zinc/48/63/83/949486383.db2.gz ICCDAISPOFANEI-NXEZZACHSA-N -1 1 332.368 -0.632 20 0 EBADMM CC(C)(C)C(=O)N[C@@H]1CN(c2cncc(-c3nn[n-]n3)n2)C[C@H]1O ZINC001573806154 949486396 /nfs/dbraw/zinc/48/63/96/949486396.db2.gz ICCDAISPOFANEI-NXEZZACHSA-N -1 1 332.368 -0.632 20 0 EBADMM O=C(N[C@@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C1)[C@@H]1CCCCO1 ZINC001570945545 949488783 /nfs/dbraw/zinc/48/87/83/949488783.db2.gz DLQJFSIITVIVFT-PWSUYJOCSA-N -1 1 344.379 -0.079 20 0 EBADMM O=C(N[C@@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C1)[C@@H]1CCCCO1 ZINC001570945545 949488798 /nfs/dbraw/zinc/48/87/98/949488798.db2.gz DLQJFSIITVIVFT-PWSUYJOCSA-N -1 1 344.379 -0.079 20 0 EBADMM C[C@H](CNC(=O)Cn1ccnc1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001574949336 949489321 /nfs/dbraw/zinc/48/93/21/949489321.db2.gz UHEXRSLGVXUAPL-JTQLQIEISA-N -1 1 342.367 -0.861 20 0 EBADMM CN(c1ccc(-c2nnn[n-]2)nn1)[C@H]1CCN(C(=O)[C@@H]2CCCO2)C1 ZINC001570945786 949502219 /nfs/dbraw/zinc/50/22/19/949502219.db2.gz INESKBMMLBKSLN-JQWIXIFHSA-N -1 1 344.379 -0.127 20 0 EBADMM CN(c1ccc(-c2nn[n-]n2)nn1)[C@H]1CCN(C(=O)[C@@H]2CCCO2)C1 ZINC001570945786 949502233 /nfs/dbraw/zinc/50/22/33/949502233.db2.gz INESKBMMLBKSLN-JQWIXIFHSA-N -1 1 344.379 -0.127 20 0 EBADMM C[C@@H]1CN(c2cncc(-c3nnn[n-]3)n2)C[C@H]1NC(=O)[C@@H]1CCOC1 ZINC001570945931 949509748 /nfs/dbraw/zinc/50/97/48/949509748.db2.gz LHSUXZZLPMXHLE-CKYFFXLPSA-N -1 1 344.379 -0.366 20 0 EBADMM C[C@@H]1CN(c2cncc(-c3nn[n-]n3)n2)C[C@H]1NC(=O)[C@@H]1CCOC1 ZINC001570945931 949509761 /nfs/dbraw/zinc/50/97/61/949509761.db2.gz LHSUXZZLPMXHLE-CKYFFXLPSA-N -1 1 344.379 -0.366 20 0 EBADMM C[C@H](CNC(=O)[C@@H]1CCC(=O)N1)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001574949783 949512023 /nfs/dbraw/zinc/51/20/23/949512023.db2.gz DBTDASJWOVDDEK-IUCAKERBSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@H](CNC(=O)[C@@H]1CCC(=O)N1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001574949783 949512039 /nfs/dbraw/zinc/51/20/39/949512039.db2.gz DBTDASJWOVDDEK-IUCAKERBSA-N -1 1 345.367 -0.901 20 0 EBADMM O=C([C@@H]1COCCO1)N1CC[C@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001570945953 949514087 /nfs/dbraw/zinc/51/40/87/949514087.db2.gz GGAPKBYICFNOEC-UWVGGRQHSA-N -1 1 346.351 -0.915 20 0 EBADMM O=C([C@@H]1COCCO1)N1CC[C@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001570945953 949514110 /nfs/dbraw/zinc/51/41/10/949514110.db2.gz GGAPKBYICFNOEC-UWVGGRQHSA-N -1 1 346.351 -0.915 20 0 EBADMM C[C@H](CNC(=O)NCc1nc(-c2nnn[n-]2)cs1)S(C)(=O)=O ZINC001574949953 949520427 /nfs/dbraw/zinc/52/04/27/949520427.db2.gz HZRCAVBNTVESJL-ZCFIWIBFSA-N -1 1 345.410 -0.445 20 0 EBADMM C[C@H](CNC(=O)NCc1nc(-c2nn[n-]n2)cs1)S(C)(=O)=O ZINC001574949953 949520443 /nfs/dbraw/zinc/52/04/43/949520443.db2.gz HZRCAVBNTVESJL-ZCFIWIBFSA-N -1 1 345.410 -0.445 20 0 EBADMM CCCC(=O)N1CCO[C@H]([C@@H](C)Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570946338 949528459 /nfs/dbraw/zinc/52/84/59/949528459.db2.gz LVKMVRRTMFOEBZ-MNOVXSKESA-N -1 1 346.395 -0.094 20 0 EBADMM COCC(=O)N1CCC(CN(C)c2ccc(-c3nnn[n-]3)nn2)CC1 ZINC001570946638 949542495 /nfs/dbraw/zinc/54/24/95/949542495.db2.gz OHBANBXWJSUMRL-UHFFFAOYSA-N -1 1 346.395 -0.022 20 0 EBADMM COCC(=O)N1CCC(CN(C)c2ccc(-c3nn[n-]n3)nn2)CC1 ZINC001570946638 949542512 /nfs/dbraw/zinc/54/25/12/949542512.db2.gz OHBANBXWJSUMRL-UHFFFAOYSA-N -1 1 346.395 -0.022 20 0 EBADMM COc1cn(C)nc1[C@@H](C)NC(=O)[C@@H](C)n1cnc(-c2nn[n-]n2)n1 ZINC001570947071 949556651 /nfs/dbraw/zinc/55/66/51/949556651.db2.gz RTESNKMTQCCETK-HTQZYQBOSA-N -1 1 346.355 -0.361 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1COC(=O)N1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574711839 949559923 /nfs/dbraw/zinc/55/99/23/949559923.db2.gz MYVHHOHUOHNGIK-IONNQARKSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1COC(=O)N1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574711839 949559932 /nfs/dbraw/zinc/55/99/32/949559932.db2.gz MYVHHOHUOHNGIK-IONNQARKSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@@H](NC(=O)CC1(O)CCC1)[C@@H](C)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575269763 949560546 /nfs/dbraw/zinc/56/05/46/949560546.db2.gz WTBRPKUIAVYDGB-NXEZZACHSA-N -1 1 346.395 -0.311 20 0 EBADMM O=C(N[C@H]1C[C@H](Nc2cncc(-c3nnn[n-]3)n2)C1)[C@]1(F)CCOC1 ZINC001570947964 949593261 /nfs/dbraw/zinc/59/32/61/949593261.db2.gz AACSPMMDHMOUDC-FZNYLWTLSA-N -1 1 348.342 -0.156 20 0 EBADMM O=C(N[C@H]1C[C@H](Nc2cncc(-c3nn[n-]n3)n2)C1)[C@]1(F)CCOC1 ZINC001570947964 949593276 /nfs/dbraw/zinc/59/32/76/949593276.db2.gz AACSPMMDHMOUDC-FZNYLWTLSA-N -1 1 348.342 -0.156 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CC[C@H]3COC(=O)N[C@@H]3C2)n1 ZINC001570948504 949606050 /nfs/dbraw/zinc/60/60/50/949606050.db2.gz KXZFVTIFWLPRQJ-IONNQARKSA-N -1 1 347.339 -0.438 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CC[C@H]3COC(=O)N[C@@H]3C2)n1 ZINC001570948504 949606064 /nfs/dbraw/zinc/60/60/64/949606064.db2.gz KXZFVTIFWLPRQJ-IONNQARKSA-N -1 1 347.339 -0.438 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)CC(N)=O)CCCN1c1cnc(-c2nnn[n-]2)cn1 ZINC001575875098 949621505 /nfs/dbraw/zinc/62/15/05/949621505.db2.gz UVSVSFPTWNCOBL-BDAKNGLRSA-N -1 1 345.367 -0.994 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)CC(N)=O)CCCN1c1cnc(-c2nn[n-]n2)cn1 ZINC001575875098 949621515 /nfs/dbraw/zinc/62/15/15/949621515.db2.gz UVSVSFPTWNCOBL-BDAKNGLRSA-N -1 1 345.367 -0.994 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574958161 949655617 /nfs/dbraw/zinc/65/56/17/949655617.db2.gz MSDNJEZURSIYRT-APOZVJGGSA-N -1 1 330.352 -0.534 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574958161 949655631 /nfs/dbraw/zinc/65/56/31/949655631.db2.gz MSDNJEZURSIYRT-APOZVJGGSA-N -1 1 330.352 -0.534 20 0 EBADMM C[C@H](C(=O)Nc1nc(CC(N)=O)cs1)n1cnc(-c2nn[n-]n2)n1 ZINC001570950147 949671188 /nfs/dbraw/zinc/67/11/88/949671188.db2.gz WFPKTHXPPFEODK-RXMQYKEDSA-N -1 1 348.352 -0.858 20 0 EBADMM COC1CCN(C(=O)CCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)CC1 ZINC001570950237 949675351 /nfs/dbraw/zinc/67/53/51/949675351.db2.gz GEOIFUURLBLCBZ-UHFFFAOYSA-N -1 1 349.351 -0.844 20 0 EBADMM C[C@H](CNC(=O)[C@]12C[C@H]1COC2)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001574958766 949691196 /nfs/dbraw/zinc/69/11/96/949691196.db2.gz WFRPYSUWQHOCHW-FTGAXOIBSA-N -1 1 344.379 -0.366 20 0 EBADMM C[C@H](CNC(=O)[C@]12C[C@H]1COC2)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001574958766 949691207 /nfs/dbraw/zinc/69/12/07/949691207.db2.gz WFRPYSUWQHOCHW-FTGAXOIBSA-N -1 1 344.379 -0.366 20 0 EBADMM C[C@@H]1C[C@H](C(=O)NCCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)[C@H](C)O1 ZINC001570950667 949695399 /nfs/dbraw/zinc/69/53/99/949695399.db2.gz PYHBRGSLUQEUSQ-VGMNWLOBSA-N -1 1 349.351 -0.941 20 0 EBADMM CCO[C@H]1CCN(C(=O)CCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)C1 ZINC001570950887 949709166 /nfs/dbraw/zinc/70/91/66/949709166.db2.gz YKYVBMGMIOOOHY-VIFPVBQESA-N -1 1 349.351 -0.844 20 0 EBADMM O=c1c(-c2nn[n-]n2)cn(CCO)c(=O)n1Cc1ncccc1Cl ZINC001570951652 949759093 /nfs/dbraw/zinc/75/90/93/949759093.db2.gz ZHPZWLGYJGFEQK-UHFFFAOYSA-N -1 1 349.738 -0.721 20 0 EBADMM C[C@]1(C(=O)N[C@H]2CCN(c3ccnc(-c4nn[n-]n4)n3)C2)CCOC1 ZINC001575568901 949902319 /nfs/dbraw/zinc/90/23/19/949902319.db2.gz CXUXVFNYSMPFST-BONVTDFDSA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@]1(C(=O)N[C@H]2CCN(c3cncc(-c4nnn[n-]4)n3)C2)CCOC1 ZINC001575570897 949947939 /nfs/dbraw/zinc/94/79/39/949947939.db2.gz WEUMSJVUHOVIJB-BONVTDFDSA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@]1(C(=O)N[C@H]2CCN(c3cncc(-c4nn[n-]n4)n3)C2)CCOC1 ZINC001575570897 949947955 /nfs/dbraw/zinc/94/79/55/949947955.db2.gz WEUMSJVUHOVIJB-BONVTDFDSA-N -1 1 344.379 -0.222 20 0 EBADMM O=C([O-])C1=NO[C@H](C(=O)N2CCN([C@H]3CCNC(=O)CC3)CC2)C1 ZINC001595285256 950010087 /nfs/dbraw/zinc/01/00/87/950010087.db2.gz IUGUINKOAXZDSC-PWSUYJOCSA-N -1 1 338.364 -0.971 20 0 EBADMM CC(C)(C)CC(=O)NC[C@@H](CO)Nc1cncc(-c2nnn[n-]2)n1 ZINC001573836060 950074539 /nfs/dbraw/zinc/07/45/39/950074539.db2.gz FKOJNFBJAJWBGR-VIFPVBQESA-N -1 1 334.384 -0.018 20 0 EBADMM CC(C)(C)CC(=O)NC[C@@H](CO)Nc1cncc(-c2nn[n-]n2)n1 ZINC001573836060 950074555 /nfs/dbraw/zinc/07/45/55/950074555.db2.gz FKOJNFBJAJWBGR-VIFPVBQESA-N -1 1 334.384 -0.018 20 0 EBADMM CC(C)(C)CC(=O)NC[C@H](O)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001573836260 950078568 /nfs/dbraw/zinc/07/85/68/950078568.db2.gz INXIBXCOINQRKJ-SECBINFHSA-N -1 1 334.384 -0.018 20 0 EBADMM CC(C)(C)CC(=O)NC[C@H](O)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001573836260 950078588 /nfs/dbraw/zinc/07/85/88/950078588.db2.gz INXIBXCOINQRKJ-SECBINFHSA-N -1 1 334.384 -0.018 20 0 EBADMM CC1(C(=O)NC[C@@H](O)CNc2ccnc(-c3nn[n-]n3)n2)CCC1 ZINC001575577486 950084490 /nfs/dbraw/zinc/08/44/90/950084490.db2.gz VVMJUACJFJITMV-VIFPVBQESA-N -1 1 332.368 -0.842 20 0 EBADMM C[C@H](CN(C)C(=O)[C@]12C[C@H]1COC2)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574733638 950118658 /nfs/dbraw/zinc/11/86/58/950118658.db2.gz FARDOBJECCBFCF-FTGAXOIBSA-N -1 1 344.379 -0.048 20 0 EBADMM C[C@H](CN(C)C(=O)[C@]12C[C@H]1COC2)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574733638 950118671 /nfs/dbraw/zinc/11/86/71/950118671.db2.gz FARDOBJECCBFCF-FTGAXOIBSA-N -1 1 344.379 -0.048 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1C[C@H]1C(N)=O)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574742290 950276719 /nfs/dbraw/zinc/27/67/19/950276719.db2.gz NRASZMRIXUJPGE-HLTSFMKQSA-N -1 1 345.367 -0.963 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1C[C@H]1C(N)=O)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574742290 950276735 /nfs/dbraw/zinc/27/67/35/950276735.db2.gz NRASZMRIXUJPGE-HLTSFMKQSA-N -1 1 345.367 -0.963 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cc(-c2nn[nH]n2)c[nH]1)NCc1n[nH]c(=O)[n-]1 ZINC001574747194 950404992 /nfs/dbraw/zinc/40/49/92/950404992.db2.gz SCQANOIDNVKZPJ-ZETCQYMHSA-N -1 1 346.355 -0.731 20 0 EBADMM CC(C)C[C@]1(C(=O)NCCn2cnc(-c3nn[n-]n3)n2)CCNC1=O ZINC001574166608 950471795 /nfs/dbraw/zinc/47/17/95/950471795.db2.gz UBIGJCFWHJCMTK-CQSZACIVSA-N -1 1 347.383 -0.873 20 0 EBADMM CCN1CCC(NC(=O)C2(C(=O)[O-])CCS(=O)(=O)CC2)CC1 ZINC001589741323 950595584 /nfs/dbraw/zinc/59/55/84/950595584.db2.gz JVZKXIROUCWYML-UHFFFAOYSA-N -1 1 332.422 -0.134 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ccn(C)n1)Nc1nccnc1-c1nnn[n-]1 ZINC001574760025 950654461 /nfs/dbraw/zinc/65/44/61/950654461.db2.gz SUAQVCAJYDCPOK-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ccn(C)n1)Nc1nccnc1-c1nn[n-]n1 ZINC001574760025 950654472 /nfs/dbraw/zinc/65/44/72/950654472.db2.gz SUAQVCAJYDCPOK-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CN(C)C(=O)c1cn(C)nn1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574760563 950669693 /nfs/dbraw/zinc/66/96/93/950669693.db2.gz JHXVRFAIGPQWKC-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CN(C)C(=O)c1cn(C)nn1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574760563 950669699 /nfs/dbraw/zinc/66/96/99/950669699.db2.gz JHXVRFAIGPQWKC-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H]1CC[N@H+](Cc2cc(=O)n3[n-]cc(-c4nn[n-]n4)c3n2)[C@@H]1CO ZINC001576215025 950686222 /nfs/dbraw/zinc/68/62/22/950686222.db2.gz UIGWHVMTAUAOGP-LDYMZIIASA-N -1 1 330.352 -0.182 20 0 EBADMM C[C@@H]1CC[N@@H+](Cc2cc(=O)n3[n-]cc(-c4nn[n-]n4)c3n2)[C@@H]1CO ZINC001576215025 950686239 /nfs/dbraw/zinc/68/62/39/950686239.db2.gz UIGWHVMTAUAOGP-LDYMZIIASA-N -1 1 330.352 -0.182 20 0 EBADMM C[C@H](CN(C)C(=O)c1ccncn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574760981 950688938 /nfs/dbraw/zinc/68/89/38/950688938.db2.gz PVDNQSRWDMSXJH-SECBINFHSA-N -1 1 340.351 -0.559 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ccnnc1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574762160 950700284 /nfs/dbraw/zinc/70/02/84/950700284.db2.gz WDROWZSXDHJHGB-VIFPVBQESA-N -1 1 340.351 -0.559 20 0 EBADMM C[C@H](CNC(=O)c1ccn[nH]1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575006060 950711617 /nfs/dbraw/zinc/71/16/17/950711617.db2.gz RGGRGJFICLRJSR-MRVPVSSYSA-N -1 1 328.340 -0.366 20 0 EBADMM CC(C)CCC(=O)NC[C@H](CO)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574181023 950741447 /nfs/dbraw/zinc/74/14/47/950741447.db2.gz IOPUMMSJHAAQRQ-SNVBAGLBSA-N -1 1 334.384 -0.018 20 0 EBADMM CC(C)CCC(=O)NC[C@H](CO)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574181023 950741455 /nfs/dbraw/zinc/74/14/55/950741455.db2.gz IOPUMMSJHAAQRQ-SNVBAGLBSA-N -1 1 334.384 -0.018 20 0 EBADMM C[C@@H]1[C@@H](Nc2cncc(-c3nnn[n-]3)n2)CCN1C(=O)c1nc[nH]n1 ZINC001575930014 950792835 /nfs/dbraw/zinc/79/28/35/950792835.db2.gz DEPSNCCFXMUNEM-SFYZADRCSA-N -1 1 341.339 -0.510 20 0 EBADMM C[C@@H]1[C@@H](Nc2cncc(-c3nn[n-]n3)n2)CCN1C(=O)c1nc[nH]n1 ZINC001575930014 950792847 /nfs/dbraw/zinc/79/28/47/950792847.db2.gz DEPSNCCFXMUNEM-SFYZADRCSA-N -1 1 341.339 -0.510 20 0 EBADMM CCOC(=O)[C@@]1(COC)CCCN([C@@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC001590179390 950870291 /nfs/dbraw/zinc/87/02/91/950870291.db2.gz ZTUUCGMVXXVFRX-WBMJQRKESA-N -1 1 342.392 -0.036 20 0 EBADMM C[C@@H](CN(C)C(=O)c1n[nH]cc1F)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574768518 950889066 /nfs/dbraw/zinc/88/90/66/950889066.db2.gz YHKASXPFRZDJJN-ZETCQYMHSA-N -1 1 346.330 -0.487 20 0 EBADMM C[C@H](CN(C)C(=O)c1nc[nH]n1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574768577 950895620 /nfs/dbraw/zinc/89/56/20/950895620.db2.gz ZIUZWPXKYKKXTC-SSDOTTSWSA-N -1 1 329.328 -0.653 20 0 EBADMM C[C@H](CNC(=O)c1ccc(=O)[nH]n1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575019079 951031259 /nfs/dbraw/zinc/03/12/59/951031259.db2.gz RCMLQJOVLRJGDV-SSDOTTSWSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@H](CNC(=O)c1ccc(=O)[nH]n1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575019079 951031275 /nfs/dbraw/zinc/03/12/75/951031275.db2.gz RCMLQJOVLRJGDV-SSDOTTSWSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@@H](CNC(=O)c1cccc(=O)[nH]1)Nc1nccnc1-c1nnn[n-]1 ZINC001575027072 951152103 /nfs/dbraw/zinc/15/21/03/951152103.db2.gz PGIOXWFYZCUISS-QMMMGPOBSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@@H](CNC(=O)c1cccc(=O)[nH]1)Nc1nccnc1-c1nn[n-]n1 ZINC001575027072 951152106 /nfs/dbraw/zinc/15/21/06/951152106.db2.gz PGIOXWFYZCUISS-QMMMGPOBSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@@H](CNC(=O)c1cccnc1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575032224 951221121 /nfs/dbraw/zinc/22/11/21/951221121.db2.gz UJGGMVNCZYLSFQ-SNVBAGLBSA-N -1 1 339.363 -0.048 20 0 EBADMM C[C@H](CNC(=O)c1cccnn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575032518 951226552 /nfs/dbraw/zinc/22/65/52/951226552.db2.gz ZKHYKPAMPPBEKQ-MRVPVSSYSA-N -1 1 326.324 -0.901 20 0 EBADMM C[C@H](CNC(=O)c1ccon1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575038902 951324629 /nfs/dbraw/zinc/32/46/29/951324629.db2.gz MWSLBMKKOFKYQG-MRVPVSSYSA-N -1 1 329.324 -0.101 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)nn1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001575042199 951358573 /nfs/dbraw/zinc/35/85/73/951358573.db2.gz HCDNCBYPJUPITE-QMMMGPOBSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)nn1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575042199 951358587 /nfs/dbraw/zinc/35/85/87/951358587.db2.gz HCDNCBYPJUPITE-QMMMGPOBSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@@H](CNC(=O)c1cncnc1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575045993 951435052 /nfs/dbraw/zinc/43/50/52/951435052.db2.gz KLWXZMLUSRIBPC-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1cncnc1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575045993 951435081 /nfs/dbraw/zinc/43/50/81/951435081.db2.gz KLWXZMLUSRIBPC-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)c1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575052144 951459831 /nfs/dbraw/zinc/45/98/31/951459831.db2.gz DTPZLQYUOJHNIE-VIFPVBQESA-N -1 1 342.367 -0.710 20 0 EBADMM O=C([O-])CCNC(=O)C(=O)NCc1n[nH]c(-c2ccc(F)cc2)n1 ZINC001595057485 951503732 /nfs/dbraw/zinc/50/37/32/951503732.db2.gz AOWHVYXAOGIWFI-UHFFFAOYSA-N -1 1 335.295 -0.182 20 0 EBADMM O=C([O-])CCNC(=O)C(=O)NCc1nc(-c2ccc(F)cc2)n[nH]1 ZINC001595057485 951503739 /nfs/dbraw/zinc/50/37/39/951503739.db2.gz AOWHVYXAOGIWFI-UHFFFAOYSA-N -1 1 335.295 -0.182 20 0 EBADMM O=C([O-])CCNC(=O)N[C@@H]1CCCN(CCN2CCOCC2)C1 ZINC001595059145 951511019 /nfs/dbraw/zinc/51/10/19/951511019.db2.gz ZWLAYSZSYODMPP-CYBMUJFWSA-N -1 1 328.413 -0.443 20 0 EBADMM C[C@H](CNC(=O)c1n[nH]cc1F)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575060873 951550793 /nfs/dbraw/zinc/55/07/93/951550793.db2.gz INQLYYHXHGMAKP-ZCFIWIBFSA-N -1 1 332.303 -0.829 20 0 EBADMM C[C@H](CNC(=O)c1ncn(C)n1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001575066563 951596835 /nfs/dbraw/zinc/59/68/35/951596835.db2.gz GTHSEUCFUMRXCG-MRVPVSSYSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@H](CNC(=O)c1ncn(C)n1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575066563 951596839 /nfs/dbraw/zinc/59/68/39/951596839.db2.gz GTHSEUCFUMRXCG-MRVPVSSYSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@H](CNC(=O)c1ncccn1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575067358 951608354 /nfs/dbraw/zinc/60/83/54/951608354.db2.gz LDBDWVVDKFUELO-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1ncccn1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575067358 951608361 /nfs/dbraw/zinc/60/83/61/951608361.db2.gz LDBDWVVDKFUELO-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1ncn(C)n1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575067851 951622888 /nfs/dbraw/zinc/62/28/88/951622888.db2.gz PNZSIZIMPOJJLC-QMMMGPOBSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@H](CNC(=O)c1ncn(C)n1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575067851 951622900 /nfs/dbraw/zinc/62/29/00/951622900.db2.gz PNZSIZIMPOJJLC-QMMMGPOBSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@H](CNC(=O)c1ncccn1)Nc1nccnc1-c1nnn[n-]1 ZINC001575068046 951629676 /nfs/dbraw/zinc/62/96/76/951629676.db2.gz WKABPFKSWOUCRN-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1ncccn1)Nc1nccnc1-c1nn[n-]n1 ZINC001575068046 951629684 /nfs/dbraw/zinc/62/96/84/951629684.db2.gz WKABPFKSWOUCRN-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM O=C([O-])COCC(=O)OCC1=Nc2ccccc2S(=O)(=O)N1 ZINC001595111276 951908106 /nfs/dbraw/zinc/90/81/06/951908106.db2.gz WHNZGZPWSXCFOC-UHFFFAOYSA-N -1 1 328.302 -0.347 20 0 EBADMM C[C@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)Cc1nnc[nH]1 ZINC001575134141 952108760 /nfs/dbraw/zinc/10/87/60/952108760.db2.gz MJTXUSMILLPOAI-MRVPVSSYSA-N -1 1 343.355 -0.724 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)[C@]1(C)CCOC1 ZINC001575141488 952145583 /nfs/dbraw/zinc/14/55/83/952145583.db2.gz HLNUOPCSPPEZMS-ZUZCIYMTSA-N -1 1 346.395 -0.236 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1cccnn1 ZINC001575143548 952154613 /nfs/dbraw/zinc/15/46/13/952154613.db2.gz BCUGPTAMGBSOKF-VIFPVBQESA-N -1 1 340.351 -0.559 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1cccnn1 ZINC001575143543 952154682 /nfs/dbraw/zinc/15/46/82/952154682.db2.gz BCUGPTAMGBSOKF-SECBINFHSA-N -1 1 340.351 -0.559 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1cccn1C ZINC001575144722 952170972 /nfs/dbraw/zinc/17/09/72/952170972.db2.gz KTBGCBGICXMJQU-SNVBAGLBSA-N -1 1 341.379 -0.010 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1cc[nH]c1 ZINC001575145222 952180498 /nfs/dbraw/zinc/18/04/98/952180498.db2.gz SSUXMINMJAVJRV-VIFPVBQESA-N -1 1 327.352 -0.021 20 0 EBADMM C[C@@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)[C@H]1COCCO1 ZINC001575153436 952210022 /nfs/dbraw/zinc/21/00/22/952210022.db2.gz HLAAGWBKPQIZFO-GXSJLCMTSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)[C@H]1COCCO1 ZINC001575153436 952210027 /nfs/dbraw/zinc/21/00/27/952210027.db2.gz HLAAGWBKPQIZFO-GXSJLCMTSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)[C@H]1C[C@H]1C(N)=O ZINC001575153720 952212026 /nfs/dbraw/zinc/21/20/26/952212026.db2.gz JYIPWFOEIZSTAZ-YIZRAAEISA-N -1 1 345.367 -0.963 20 0 EBADMM C[C@@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)[C@H]1C[C@H]1C(N)=O ZINC001575153720 952212030 /nfs/dbraw/zinc/21/20/30/952212030.db2.gz JYIPWFOEIZSTAZ-YIZRAAEISA-N -1 1 345.367 -0.963 20 0 EBADMM C[C@@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)C(C)(C)C(N)=O ZINC001575157356 952264955 /nfs/dbraw/zinc/26/49/55/952264955.db2.gz ZMUYEOFELAFCKP-QMMMGPOBSA-N -1 1 347.383 -0.573 20 0 EBADMM C[C@@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)C(C)(C)C(N)=O ZINC001575157356 952264961 /nfs/dbraw/zinc/26/49/61/952264961.db2.gz ZMUYEOFELAFCKP-QMMMGPOBSA-N -1 1 347.383 -0.573 20 0 EBADMM C[C@@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)Cc1ncc[nH]1 ZINC001575157706 952265918 /nfs/dbraw/zinc/26/59/18/952265918.db2.gz OZCSLFQCAOWPMO-VIFPVBQESA-N -1 1 342.367 -0.119 20 0 EBADMM C[C@@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)Cc1ncc[nH]1 ZINC001575157706 952265926 /nfs/dbraw/zinc/26/59/26/952265926.db2.gz OZCSLFQCAOWPMO-VIFPVBQESA-N -1 1 342.367 -0.119 20 0 EBADMM CC(C)(CNC(=O)NC1CCN(CC(=O)[O-])CC1)S(C)(=O)=O ZINC001602948368 971920512 /nfs/dbraw/zinc/92/05/12/971920512.db2.gz YZWRUHSLBAVMNY-UHFFFAOYSA-N -1 1 335.426 -0.342 20 0 EBADMM O=C([O-])[C@H](C(=O)N[C@@H]1CCN(CCN2CCOCC2)C1)C1CC1 ZINC001594833343 954000684 /nfs/dbraw/zinc/00/06/84/954000684.db2.gz ZRYONFMASWCJFQ-KGLIPLIRSA-N -1 1 325.409 -0.380 20 0 EBADMM C[C@@H]1COCCN1C1CCN(C(=O)[C@@H]2CC(C(=O)[O-])=NO2)CC1 ZINC001589408771 954495395 /nfs/dbraw/zinc/49/53/95/954495395.db2.gz MNCYXSJNFRBYPN-MFKMUULPSA-N -1 1 325.365 -0.072 20 0 EBADMM C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2CCS(=O)(=O)C[C@H]2C(=O)[O-])C1 ZINC001589413043 954533268 /nfs/dbraw/zinc/53/32/68/954533268.db2.gz OEYZAXRGXMBNDU-IEBDPFPHSA-N -1 1 341.389 -0.214 20 0 EBADMM CN1CCC[C@H]2[C@@H]1CCN2C(=O)C(=O)Nc1ccn(CC(=O)[O-])n1 ZINC001593780521 954650280 /nfs/dbraw/zinc/65/02/80/954650280.db2.gz GIUPJEHEXMISNN-QWRGUYRKSA-N -1 1 335.364 -0.399 20 0 EBADMM CN1CCN(CCCS(=O)(=O)N[C@@H]2CC[C@H](C(=O)[O-])C2)CC1 ZINC001593784626 954686938 /nfs/dbraw/zinc/68/69/38/954686938.db2.gz MZLTYVXEHVMBIP-QWHCGFSZSA-N -1 1 333.454 -0.203 20 0 EBADMM O=C([O-])C1CCN(S(=O)(=O)N2CC[C@@H](c3nc[nH]n3)C2)CC1 ZINC001594935067 954851263 /nfs/dbraw/zinc/85/12/63/954851263.db2.gz JMWMQVLRRYWSLM-SNVBAGLBSA-N -1 1 329.382 -0.365 20 0 EBADMM CCC(CC)(C(=O)[O-])C(=O)NCc1n[nH]c(CS(C)(=O)=O)n1 ZINC001589475649 954955498 /nfs/dbraw/zinc/95/54/98/954955498.db2.gz DTXLNLTUPQASIH-UHFFFAOYSA-N -1 1 332.382 -0.144 20 0 EBADMM C[C@H](CN(C)C(=O)C1(C(=O)[O-])CCS(=O)(=O)CC1)c1nn[nH]n1 ZINC001589022121 955480101 /nfs/dbraw/zinc/48/01/01/955480101.db2.gz KWBOHMFQWVNOFK-MRVPVSSYSA-N -1 1 345.381 -0.959 20 0 EBADMM Cn1cc([C@H](O)C[C@H]2CCCN2[C@@H]2CCN(CC(=O)[O-])C2=O)cn1 ZINC001594531307 955536165 /nfs/dbraw/zinc/53/61/65/955536165.db2.gz JABYKTMBCGKQEZ-MGPQQGTHSA-N -1 1 336.392 -0.007 20 0 EBADMM C[C@@H](CNC(=O)N[C@@H]1CCCN(CCN2CCOCC2)C1)C(=O)[O-] ZINC001589052127 955673505 /nfs/dbraw/zinc/67/35/05/955673505.db2.gz QGIZCJFAYKSYKR-UONOGXRCSA-N -1 1 342.440 -0.197 20 0 EBADMM Cn1nccc1[C@@H](O)C1CCN([C@@H]2CCN(CC(=O)[O-])C2=O)CC1 ZINC001594593748 956069027 /nfs/dbraw/zinc/06/90/27/956069027.db2.gz WDXNKFWFONEXJB-HIFRSBDPSA-N -1 1 336.392 -0.149 20 0 EBADMM C[C@@H](NC(=O)c1cccn(CC(=O)[O-])c1=O)[C@@H]1CN(C)CCN1C ZINC001589117261 956100031 /nfs/dbraw/zinc/10/00/31/956100031.db2.gz YBZHLZZSFXCLAX-YPMHNXCESA-N -1 1 336.392 -0.703 20 0 EBADMM CO[C@](C)(C(=O)[O-])C(=O)NC1C[C@H]2CC[C@@H](C1)N2CC(=O)N(C)C ZINC001593924929 956107755 /nfs/dbraw/zinc/10/77/55/956107755.db2.gz DTGBODBLLGEMJE-GTCHNVEASA-N -1 1 341.408 -0.324 20 0 EBADMM NS(=O)(=O)c1ccc(O)c(C(=O)N2CCC(C(=O)[O-])CC2)c1 ZINC001594659977 956524289 /nfs/dbraw/zinc/52/42/89/956524289.db2.gz PUWKEUUXFQUGSH-UHFFFAOYSA-N -1 1 328.346 -0.024 20 0 EBADMM COC[C@@]1(C(=O)[O-])CN(C(=O)NCCCc2nc[nH]n2)CCO1 ZINC001593970560 956608581 /nfs/dbraw/zinc/60/85/81/956608581.db2.gz KVNOIJVSVXAQMY-CYBMUJFWSA-N -1 1 327.341 -0.751 20 0 EBADMM CC1(N2CCOCC2)CCN(C(=O)[C@H]2CC(C(=O)[O-])=NO2)CC1 ZINC001589246479 956926948 /nfs/dbraw/zinc/92/69/48/956926948.db2.gz CINGCOSWGRBGPH-GFCCVEGCSA-N -1 1 325.365 -0.071 20 0 EBADMM COCCN1CC[C@H](NC(=O)N2CC[C@](COC)(C(=O)[O-])C2)C1 ZINC001594014776 957023014 /nfs/dbraw/zinc/02/30/14/957023014.db2.gz MIHKZTDNYRMXFZ-WFASDCNBSA-N -1 1 329.397 -0.160 20 0 EBADMM O=C([O-])[C@@H](Cc1cnc[nH]1)NC(=O)CNC(=O)Cc1ccccc1 ZINC000059138756 957560600 /nfs/dbraw/zinc/56/06/00/957560600.db2.gz RCPPKBQAFLQNGJ-CYBMUJFWSA-N -1 1 330.344 -0.120 20 0 EBADMM CS(=O)(=O)N1CCC(NC(=O)CN2CCC(C(=O)[O-])CC2)CC1 ZINC001594169644 958977701 /nfs/dbraw/zinc/97/77/01/958977701.db2.gz BODZYDFMFLGEAG-UHFFFAOYSA-N -1 1 347.437 -0.677 20 0 EBADMM C[C@@H]1CN(C2CCOCC2)CCN1C(=O)C(=O)NCCC(=O)[O-] ZINC001594221279 959375977 /nfs/dbraw/zinc/37/59/77/959375977.db2.gz QHKSIOPHPLYOBV-LLVKDONJSA-N -1 1 327.381 -0.711 20 0 EBADMM Cc1n[nH]c(CCNC(=O)C2(C(=O)[O-])CCS(=O)(=O)CC2)n1 ZINC001594452654 959391307 /nfs/dbraw/zinc/39/13/07/959391307.db2.gz YSVRABRFIKYYBB-UHFFFAOYSA-N -1 1 330.366 -0.949 20 0 EBADMM C[C@H]1CN(C(=O)NC2CCN(CC(=O)[O-])CC2)CCS1(=O)=O ZINC001594245222 959559188 /nfs/dbraw/zinc/55/91/88/959559188.db2.gz DSOGHUAFRSRAEG-JTQLQIEISA-N -1 1 333.410 -0.636 20 0 EBADMM C[C@@H](CN1CCN(C)CC1)NC(=O)N1C[C@@H](C)O[C@H](C(=O)[O-])C1 ZINC001571181527 962161543 /nfs/dbraw/zinc/16/15/43/962161543.db2.gz KTOYVWALEUAUFN-XQQFMLRXSA-N -1 1 328.413 -0.494 20 0 EBADMM O=C([O-])[C@@H]1C[C@@H]1C(=O)NC1CCN(C(=O)CCc2c[nH]nn2)CC1 ZINC001571222942 962558004 /nfs/dbraw/zinc/55/80/04/962558004.db2.gz AHAHJYNARPGMJS-NWDGAFQWSA-N -1 1 335.364 -0.435 20 0 EBADMM O=C([O-])[C@@H]1C[C@@H]1C(=O)NC1CCN(C(=O)CCc2cnn[nH]2)CC1 ZINC001571222942 962558050 /nfs/dbraw/zinc/55/80/50/962558050.db2.gz AHAHJYNARPGMJS-NWDGAFQWSA-N -1 1 335.364 -0.435 20 0 EBADMM C[C@@H]1CN(CCCNC(=O)c2cn(CC(=O)[O-])nn2)C[C@H](C)O1 ZINC001603460711 973291683 /nfs/dbraw/zinc/29/16/83/973291683.db2.gz HVZHTQSTLBCEJZ-PHIMTYICSA-N -1 1 325.369 -0.408 20 0 EBADMM O=C([O-])CN1CC[C@H](N2CCCC[C@H]2CN2CCOCC2)C1=O ZINC001606231282 973492080 /nfs/dbraw/zinc/49/20/80/973492080.db2.gz HNILBWYCKUTDEM-KBPBESRZSA-N -1 1 325.409 -0.141 20 0 EBADMM O=C([O-])COCCOCCNC(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC001606284968 973674743 /nfs/dbraw/zinc/67/47/43/973674743.db2.gz VBTOGRGOLOMWJV-SNVBAGLBSA-N -1 1 326.353 -0.316 20 0 EBADMM COCCN(CCC(=O)[O-])C(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC001604597054 973690589 /nfs/dbraw/zinc/69/05/89/973690589.db2.gz YFTYPYVNUDYURS-ZDUSSCGKSA-N -1 1 330.429 -0.245 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)N2C[C@H](C)C[C@@H](C(=O)[O-])C2)C1 ZINC001604612360 973728956 /nfs/dbraw/zinc/72/89/56/973728956.db2.gz DKMMJAIEXZWZPL-UPJWGTAASA-N -1 1 341.408 -0.608 20 0 EBADMM O=C([O-])Cn1cc(C(=O)N2CCC[C@@H](N3CCOCC3)CC2)nn1 ZINC001606325364 973744299 /nfs/dbraw/zinc/74/42/99/973744299.db2.gz ABTLIZMCIWBMDX-GFCCVEGCSA-N -1 1 337.380 -0.310 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@H]2CCc3nnnn3CC2)CC1 ZINC001592410734 978005204 /nfs/dbraw/zinc/00/52/04/978005204.db2.gz OHGHPKJHMIBZSB-RYUDHWBXSA-N -1 1 336.396 -0.367 20 0 EBADMM C[C@H](CN1CCN(C)CC1)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1=O ZINC001592615987 978578353 /nfs/dbraw/zinc/57/83/53/978578353.db2.gz OTRGVIOIZORESL-CHWSQXEVSA-N -1 1 340.424 -0.938 20 0 EBADMM C[C@H](CNS(=O)(=O)c1cccnc1C(=O)[O-])N1CCN(C)CC1 ZINC001592721861 979220948 /nfs/dbraw/zinc/22/09/48/979220948.db2.gz HHOPRUKCUPQZOF-LLVKDONJSA-N -1 1 342.421 -0.306 20 0 EBADMM C[C@H](CS(=O)(=O)N(CCN1CCN(C)CC1)CC(=O)[O-])C1CC1 ZINC001592790147 979437523 /nfs/dbraw/zinc/43/75/23/979437523.db2.gz WTVLBDXQFHFFIG-CYBMUJFWSA-N -1 1 347.481 -0.004 20 0 EBADMM C[C@@H](CS(=O)(=O)N[C@@H](CO)C(=O)OCc1ccccc1)C(=O)[O-] ZINC001592806004 979465969 /nfs/dbraw/zinc/46/59/69/979465969.db2.gz BEYRVIHOHLAIJA-JQWIXIFHSA-N -1 1 345.373 -0.269 20 0 EBADMM CC[C@@H](CN1CCOCC1)NS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC001595131056 979547547 /nfs/dbraw/zinc/54/75/47/979547547.db2.gz IVMJBPIMCMIJGQ-VIFPVBQESA-N -1 1 333.366 -0.238 20 0 EBADMM CC[C@H](CO)N1CCN(C(=O)CN2CCC[C@@H](C(=O)[O-])C2=O)CC1 ZINC001595174663 979757193 /nfs/dbraw/zinc/75/71/93/979757193.db2.gz SXDYJRGHNPFLFI-CHWSQXEVSA-N -1 1 341.408 -0.775 20 0 EBADMM CC[C@@](COC)(NS(=O)(=O)N=S1(=O)CCCC1)C(=O)[O-] ZINC001595199430 979940232 /nfs/dbraw/zinc/94/02/32/979940232.db2.gz GAHSSNCESZHWKY-JTQLQIEISA-N -1 1 328.412 -0.038 20 0 EBADMM CC[C@](COC)(NS(=O)(=O)c1cc(C(=O)OC)no1)C(=O)[O-] ZINC001595199584 979942324 /nfs/dbraw/zinc/94/23/24/979942324.db2.gz LAKOZSHJBDQVEA-LLVKDONJSA-N -1 1 336.322 -0.381 20 0 EBADMM C[C@@H](NC(=O)CCc1c[nH]nn1)[C@H](C)Nc1ccnc(C(=O)[O-])n1 ZINC001593019969 980276502 /nfs/dbraw/zinc/27/65/02/980276502.db2.gz PAWHTUAVZKZKBE-DTWKUNHWSA-N -1 1 333.352 -0.347 20 0 EBADMM C[C@@H](NC(=O)CCc1cnn[nH]1)[C@H](C)Nc1ccnc(C(=O)[O-])n1 ZINC001593019969 980276505 /nfs/dbraw/zinc/27/65/05/980276505.db2.gz PAWHTUAVZKZKBE-DTWKUNHWSA-N -1 1 333.352 -0.347 20 0 EBADMM CCCCS(=O)(=O)NCC(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC001595786926 982578690 /nfs/dbraw/zinc/57/86/90/982578690.db2.gz CCNKVMBEWDWVGT-LBPRGKRZSA-N -1 1 349.453 -0.287 20 0 EBADMM CCCN(C(=O)C(=O)N1C[C@@H](C)O[C@H](C(=O)[O-])C1)[C@H]1CCN(C)C1 ZINC001595810284 982643845 /nfs/dbraw/zinc/64/38/45/982643845.db2.gz JGQVNDFGLJOVBB-AGIUHOORSA-N -1 1 341.408 -0.370 20 0 EBADMM CCCN(C(=O)C(=O)NC[C@@H]1CCO[C@@H]1C(=O)[O-])[C@@H]1CCN(C)C1 ZINC001595810828 982647657 /nfs/dbraw/zinc/64/76/57/982647657.db2.gz RIKQYMGVKUUSGI-XQQFMLRXSA-N -1 1 341.408 -0.465 20 0 EBADMM CCN1CCN(C2CN(S(=O)(=O)CC3(CC(=O)[O-])CC3)C2)CC1 ZINC001596431460 984101448 /nfs/dbraw/zinc/10/14/48/984101448.db2.gz VWSXUZGKXLQDOG-UHFFFAOYSA-N -1 1 345.465 -0.107 20 0 EBADMM CCOC(=O)[C@@H](CC(=O)N[C@H](Cc1cnc[nH]1)C(=O)[O-])C(C)=O ZINC001596527298 984341867 /nfs/dbraw/zinc/34/18/67/984341867.db2.gz XWTWGORABQLYND-WDEREUQCSA-N -1 1 325.321 -0.320 20 0 EBADMM CCOC(=O)/C=C/CN1C[C@@H](N2C(=O)CNC2=O)C[C@H]1C(=O)[O-] ZINC001596583174 984500717 /nfs/dbraw/zinc/50/07/17/984500717.db2.gz LWYMDOMCFZAWNS-OHINUGQQSA-N -1 1 325.321 -0.815 20 0 EBADMM C[C@H]1CN(C2CCOCC2)CCN1C(=O)C(=O)NC[C@H](F)C(=O)[O-] ZINC001599927296 985223566 /nfs/dbraw/zinc/22/35/66/985223566.db2.gz ROSXTZDDFABYCP-JQWIXIFHSA-N -1 1 345.371 -0.763 20 0 EBADMM CC(=O)NCC(=O)N1CCCN([C@@H](C(=O)[O-])c2cccnc2)CC1 ZINC001589123626 985403180 /nfs/dbraw/zinc/40/31/80/985403180.db2.gz ZGVMVWLBSFPDBB-OAHLLOKOSA-N -1 1 334.376 -0.122 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)CN1CCCS1(=O)=O ZINC001594514884 985857487 /nfs/dbraw/zinc/85/74/87/985857487.db2.gz KAJTWERSINOZAO-NSHDSACASA-N -1 1 333.410 -0.971 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NC[C@@H]1COCCN1C ZINC001594515651 985880906 /nfs/dbraw/zinc/88/09/06/985880906.db2.gz JOZHOHGYDKNJEE-CHWSQXEVSA-N -1 1 328.413 -0.493 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NCCO[C@@H]1CCOC1 ZINC001594519110 985940604 /nfs/dbraw/zinc/94/06/04/985940604.db2.gz KWLBRBAQIGUMQZ-CHWSQXEVSA-N -1 1 329.397 -0.018 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NCCCc1ncn(C)n1 ZINC001594519119 985941254 /nfs/dbraw/zinc/94/12/54/985941254.db2.gz LHXIJJBDAKUFJE-LBPRGKRZSA-N -1 1 338.412 -0.062 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)N[C@@H]1CC[C@H](C(N)=O)C1 ZINC001594519190 985943447 /nfs/dbraw/zinc/94/34/47/985943447.db2.gz MWTPBBSUEPOGMY-SDDRHHMPSA-N -1 1 326.397 -0.169 20 0 EBADMM C[C@@H]1CN(CCNC(=O)N2CCN(CCC(=O)[O-])C[C@H]2C)CCO1 ZINC001594527850 986087173 /nfs/dbraw/zinc/08/71/73/986087173.db2.gz PAMRNZZUIMBDGP-ZIAGYGMSSA-N -1 1 342.440 -0.103 20 0 EBADMM C[C@@H]1CN(CCCNC(=O)C(=O)NC[C@H]2C[C@H]2C(=O)[O-])C[C@@H](C)O1 ZINC001594528057 986091278 /nfs/dbraw/zinc/09/12/78/986091278.db2.gz LYSGANYKAQLZBQ-FDYHWXHSSA-N -1 1 341.408 -0.561 20 0 EBADMM CCOc1cc(C(=O)N(CCN2CCN(C)CC2)CC(=O)[O-])on1 ZINC001596994468 986290490 /nfs/dbraw/zinc/29/04/90/986290490.db2.gz FUQQZOHCXNDOOT-UHFFFAOYSA-N -1 1 340.380 -0.153 20 0 EBADMM CCS(=O)(=O)CCCNS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC001597209572 987123973 /nfs/dbraw/zinc/12/39/73/987123973.db2.gz LJEFCBWHBLOCNH-UHFFFAOYSA-N -1 1 326.352 -0.524 20 0 EBADMM CC(C)(C(=O)[O-])N1CCN(C(=O)C(=O)NC2CC(F)(F)C2)CC1 ZINC001589620843 987500757 /nfs/dbraw/zinc/50/07/57/987500757.db2.gz QRAGBTVUQDYVOI-UHFFFAOYSA-N -1 1 333.335 -0.092 20 0 EBADMM CCc1cc(NC(=O)C(=O)N2CCN(C)C[C@H](C(=O)[O-])C2)nn1C ZINC001597477680 987951833 /nfs/dbraw/zinc/95/18/33/987951833.db2.gz KBKCCKKHOVLCAL-JTQLQIEISA-N -1 1 337.380 -0.604 20 0 EBADMM CC(C)(C)OC(=O)NCCOCC(=O)N1CCN(CC(=O)[O-])CC1 ZINC001590200981 989564446 /nfs/dbraw/zinc/56/44/46/989564446.db2.gz OGYPMKHCFYYOGO-UHFFFAOYSA-N -1 1 345.396 -0.244 20 0 EBADMM CN(C(=O)[C@H]1C[C@H]1C(=O)[O-])C1CCN(C(=O)Cc2ncn[nH]2)CC1 ZINC001597998609 990126242 /nfs/dbraw/zinc/12/62/42/990126242.db2.gz QMIVMDKAISXRAK-WDEREUQCSA-N -1 1 335.364 -0.483 20 0 EBADMM CN(C)Cc1ccc(C(=O)N2CCC[C@@H]2C(=O)NCC(=O)[O-])cn1 ZINC001598248733 991023530 /nfs/dbraw/zinc/02/35/30/991023530.db2.gz JNBOMNNFQLMHDL-CYBMUJFWSA-N -1 1 334.376 -0.051 20 0 EBADMM CN(CC(=O)N(C)c1ccccc1C(=O)[O-])CC(=O)N1CCNCC1 ZINC001598380323 991580244 /nfs/dbraw/zinc/58/02/44/991580244.db2.gz CMNXHMFGYVRYSW-UHFFFAOYSA-N -1 1 348.403 -0.289 20 0 EBADMM COc1cnc(NC(=O)C(=O)N2CCN(C)C[C@H](C(=O)[O-])C2)s1 ZINC001599725171 991608203 /nfs/dbraw/zinc/60/82/03/991608203.db2.gz YYJQIPONGYBOPT-QMMMGPOBSA-N -1 1 342.377 -0.435 20 0 EBADMM CN(CC(=O)[O-])C(=O)CCN1CCN(CCc2cnccn2)CC1 ZINC001598409470 991728933 /nfs/dbraw/zinc/72/89/33/991728933.db2.gz NRRWLJYQBBKDPJ-UHFFFAOYSA-N -1 1 335.408 -0.430 20 0 EBADMM CN(C[C@@H](O)CN(C)c1ncc(C(=O)[O-])cn1)C(=O)c1ccn[nH]1 ZINC001598432282 991797873 /nfs/dbraw/zinc/79/78/73/991797873.db2.gz DEERUIGQVAMNQG-SNVBAGLBSA-N -1 1 334.336 -0.533 20 0 EBADMM CN(Cc1cnc[nH]1)C(=O)C(=O)N1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001598505277 992495192 /nfs/dbraw/zinc/49/51/92/992495192.db2.gz JYJUNPNYOJTQFN-SNVBAGLBSA-N -1 1 347.335 -0.869 20 0 EBADMM CN(CC1CN(C)C1)C(=O)C(=O)N1C[C@H](C(=O)[O-])Oc2ccccc21 ZINC001598567053 993156426 /nfs/dbraw/zinc/15/64/26/993156426.db2.gz PQHIQBCWAROKSA-CQSZACIVSA-N -1 1 347.371 -0.115 20 0 EBADMM CN1CCN(C(=O)Cn2ncc(=O)c3ccccc32)C[C@H](C(=O)[O-])C1 ZINC001598606861 993676774 /nfs/dbraw/zinc/67/67/74/993676774.db2.gz DCOVMXYBBCXEKI-GFCCVEGCSA-N -1 1 344.371 -0.129 20 0 EBADMM CN1CCN(C(=O)c2cc(N3CCOCC3)ncn2)C[C@@H](C(=O)[O-])C1 ZINC001598609987 993751733 /nfs/dbraw/zinc/75/17/33/993751733.db2.gz HTTSDICZYLSXOD-LBPRGKRZSA-N -1 1 349.391 -0.598 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])S(=O)(=O)Cc2ccon2)CC1 ZINC001598616629 993894397 /nfs/dbraw/zinc/89/43/97/993894397.db2.gz ISSJMYBUUYNDDN-UHFFFAOYSA-N -1 1 346.409 -0.862 20 0 EBADMM Cn1cccc(C(=O)N(CCN2CCN(C)CC2)CC(=O)[O-])c1=O ZINC001598617421 993913992 /nfs/dbraw/zinc/91/39/92/993913992.db2.gz STIKBMSKCHZVOW-UHFFFAOYSA-N -1 1 336.392 -0.841 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)c2cnn3c2CCC3)CC1 ZINC001598617525 993917886 /nfs/dbraw/zinc/91/78/86/993917886.db2.gz URAKVZNNKFZKKH-UHFFFAOYSA-N -1 1 335.408 -0.397 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)c2ccnc3[nH]cnc32)CC1 ZINC001598617549 993918233 /nfs/dbraw/zinc/91/82/33/993918233.db2.gz VQKBPMHQJYNIHU-UHFFFAOYSA-N -1 1 346.391 -0.268 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)c2csc(=O)[nH]2)CC1 ZINC001598617579 993919520 /nfs/dbraw/zinc/91/95/20/993919520.db2.gz XCKPOWPGWRTLDX-UHFFFAOYSA-N -1 1 328.394 -0.377 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)[C@H]2CCCN(C)C2=O)CC1 ZINC001598617930 993929082 /nfs/dbraw/zinc/92/90/82/993929082.db2.gz MFKMFIPXRYRJTR-ZDUSSCGKSA-N -1 1 340.424 -0.985 20 0 EBADMM CN1CCN(c2cc(C(=O)N3CC[C@@](O)(C(=O)[O-])C3)ccn2)CC1 ZINC001598619300 993968407 /nfs/dbraw/zinc/96/84/07/993968407.db2.gz BEJMYPLFHJQAGV-INIZCTEOSA-N -1 1 334.376 -0.505 20 0 EBADMM CN1CCN(S(=O)(=O)[C@@H]2CC(=O)N(C3CC3)C2)C[C@H](C(=O)[O-])C1 ZINC001598621719 994015606 /nfs/dbraw/zinc/01/56/06/994015606.db2.gz TWEORFFQMDEILQ-ZYHUDNBSSA-N -1 1 345.421 -0.972 20 0 EBADMM C[C@@H](Nc1ccnc(C(=O)[O-])n1)[C@H](C)NC(=O)C1=NC(=O)N(C)C1 ZINC001593184043 994086067 /nfs/dbraw/zinc/08/60/67/994086067.db2.gz WHIJMGIDZBYVJU-SFYZADRCSA-N -1 1 334.336 -0.345 20 0 EBADMM COc1ccc2ncc(C(=O)N3CCN(C)C[C@@H](C(=O)[O-])C3)n2n1 ZINC001599638127 994319031 /nfs/dbraw/zinc/31/90/31/994319031.db2.gz WASPJNXZYKBARO-SNVBAGLBSA-N -1 1 333.348 -0.174 20 0 EBADMM C[C@H](O)CN1CCN(CC(=O)N2CCC(C(=O)[O-])CC2)[C@@H](C)C1 ZINC001593462443 995385068 /nfs/dbraw/zinc/38/50/68/995385068.db2.gz JRBBMUMMISYMAE-STQMWFEESA-N -1 1 327.425 -0.304 20 0 EBADMM C[C@@H](O)CN1CCN(C(=O)NCCC(=O)NCCC(=O)[O-])[C@H](C)C1 ZINC001593463451 995393812 /nfs/dbraw/zinc/39/38/12/995393812.db2.gz UPZQKYNAVISSJI-VXGBXAGGSA-N -1 1 344.412 -0.936 20 0 EBADMM COC(=O)[C@]1(NS(=O)(=O)[C@@H]2CCCC[C@@H]2C(=O)[O-])CCOC1 ZINC001598726041 995519533 /nfs/dbraw/zinc/51/95/33/995519533.db2.gz VQEQUXWVJBIEAE-CWSCBRNRSA-N -1 1 335.378 -0.119 20 0 EBADMM COC(=O)[C@@H]1C[C@H](C(=O)[O-])CCN1C(=O)C1CN([C@@H]2CCOC2)C1 ZINC001598752163 995668804 /nfs/dbraw/zinc/66/88/04/995668804.db2.gz WQDLBXBXAPMBBR-RTXFEEFZSA-N -1 1 340.376 -0.428 20 0 EBADMM COC(=O)[C@@H]1CCN(C(=O)C2CN([C@H]3CCOC3)C2)[C@@H](C(=O)[O-])C1 ZINC001598791157 996074068 /nfs/dbraw/zinc/07/40/68/996074068.db2.gz HRZVOGCJTLCYEZ-KGYLQXTDSA-N -1 1 340.376 -0.428 20 0 EBADMM COC(=O)[C@@H]1CN(C(=O)c2ccc3c(n2)CNCC3)C[C@H]1C(=O)[O-] ZINC001598818922 996302805 /nfs/dbraw/zinc/30/28/05/996302805.db2.gz ANYNCTXVYILXCW-GHMZBOCLSA-N -1 1 333.344 -0.327 20 0 EBADMM COC(=O)[C@H]1CN(C(=O)c2ccc3c(n2)CNCC3)C[C@H]1C(=O)[O-] ZINC001598818930 996302901 /nfs/dbraw/zinc/30/29/01/996302901.db2.gz ANYNCTXVYILXCW-MNOVXSKESA-N -1 1 333.344 -0.327 20 0 EBADMM COC(=O)[C@H]1CN(C(=O)c2ccc3c(n2)CNCC3)C[C@@H]1C(=O)[O-] ZINC001598818940 996303100 /nfs/dbraw/zinc/30/31/00/996303100.db2.gz ANYNCTXVYILXCW-QWRGUYRKSA-N -1 1 333.344 -0.327 20 0 EBADMM COC(=O)[C@H]1CN(CC(=O)N(C)Cc2cnn(C)c2)C[C@@H]1C(=O)[O-] ZINC001598823726 996358833 /nfs/dbraw/zinc/35/88/33/996358833.db2.gz WWYCWPHLPWEMTB-RYUDHWBXSA-N -1 1 338.364 -0.816 20 0 EBADMM COC(=O)CCCC(=O)N(CCN1CCN(C)CC1)CC(=O)[O-] ZINC001598851244 996605690 /nfs/dbraw/zinc/60/56/90/996605690.db2.gz YZDZWAOQNLIYQC-UHFFFAOYSA-N -1 1 329.397 -0.510 20 0 EBADMM COC[C@@]1(C(=O)NC[C@H](Cc2ccncc2)C(=O)[O-])CNCCO1 ZINC001599183727 996987866 /nfs/dbraw/zinc/98/78/66/996987866.db2.gz IMFULEYFAQJSTO-XJKSGUPXSA-N -1 1 337.376 -0.554 20 0 EBADMM COC[C@@]1(C(=O)N[C@@H](Cc2cncs2)C(=O)[O-])CNCCO1 ZINC001599184578 997002939 /nfs/dbraw/zinc/00/29/39/997002939.db2.gz REDRLECFDXZIKM-GXFFZTMASA-N -1 1 329.378 -0.740 20 0 EBADMM COC[C@]1(C(=O)NC[C@@H](Oc2ccccc2)C(=O)[O-])CNCCO1 ZINC001599186584 997035499 /nfs/dbraw/zinc/03/54/99/997035499.db2.gz MKZIFHYGWIBJCQ-CJNGLKHVSA-N -1 1 338.360 -0.360 20 0 EBADMM COC(=O)[C@@H]1C[C@H](NS(=O)(=O)c2cc(C(=O)[O-])no2)[C@H]2C[C@H]21 ZINC001598902017 997188835 /nfs/dbraw/zinc/18/88/35/997188835.db2.gz CAJLSZSFNGAMOK-CWKFCGSDSA-N -1 1 330.318 -0.151 20 0 EBADMM COCc1nc(CNS(=O)(=O)c2cnc(C)c(C(=O)[O-])c2)n[nH]1 ZINC001599306005 997314341 /nfs/dbraw/zinc/31/43/41/997314341.db2.gz CLNWSPLRKVAAPY-UHFFFAOYSA-N -1 1 341.349 -0.169 20 0 EBADMM CO[C@H]1CC[C@H](C(=O)N(CCN2CCN(C)CC2)CC(=O)[O-])C1 ZINC001599100041 997364647 /nfs/dbraw/zinc/36/46/47/997364647.db2.gz JVIRPVXVWBJJBI-KBPBESRZSA-N -1 1 327.425 -0.038 20 0 EBADMM CO[C@@H]1CC[C@H](NC(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)C1 ZINC001599102921 997420908 /nfs/dbraw/zinc/42/09/08/997420908.db2.gz SHWQNEPLMZTKNI-NWDGAFQWSA-N -1 1 341.408 -0.323 20 0 EBADMM CO[C@@H]1CCC[C@@H]([C@H](NCC(=O)N2CCNC(=O)C2)C(=O)[O-])C1 ZINC001599111563 997578233 /nfs/dbraw/zinc/57/82/33/997578233.db2.gz XGZXYYRBHXVKPH-GYSYKLTISA-N -1 1 327.381 -0.807 20 0 EBADMM COCCN(CC(N)=O)C1CCN(C(=O)[C@@H]2C[C@H]2C(=O)[O-])CC1 ZINC001599234882 997927839 /nfs/dbraw/zinc/92/78/39/997927839.db2.gz JOSMEYOSOWRQHI-VXGBXAGGSA-N -1 1 327.381 -0.868 20 0 EBADMM COCCNC(=O)[C@@H]1CC[C@H](C)N(CN2C[C@H](C(=O)[O-])CC2=O)C1 ZINC001599242672 998073013 /nfs/dbraw/zinc/07/30/13/998073013.db2.gz SFFJSLCOQLVYQJ-YNEHKIRRSA-N -1 1 341.408 -0.260 20 0 EBADMM COCC[N@@H+]1CC[C@H](NS(=O)(=O)c2n[n-]c(C)c2C(=O)[O-])C1 ZINC001599244078 998107932 /nfs/dbraw/zinc/10/79/32/998107932.db2.gz SSZUJYQVRLMTRQ-VIFPVBQESA-N -1 1 332.382 -0.585 20 0 EBADMM COCC[N@H+]1CC[C@H](NS(=O)(=O)c2n[n-]c(C)c2C(=O)[O-])C1 ZINC001599244078 998107935 /nfs/dbraw/zinc/10/79/35/998107935.db2.gz SSZUJYQVRLMTRQ-VIFPVBQESA-N -1 1 332.382 -0.585 20 0 EBADMM CO[C@]1(C(=O)[O-])CCN(C(=O)N[C@H](C)[C@H]2CN(C)CCN2C)C1 ZINC001599069592 999322241 /nfs/dbraw/zinc/32/22/41/999322241.db2.gz HMMZXHVXLFHPOV-LALPHHSUSA-N -1 1 328.413 -0.494 20 0 EBADMM C[C@@H]1CN(C(=O)C(=O)Nc2cc3c(cc2C(=O)[O-])OCO3)CCN1 ZINC001594458006 999663817 /nfs/dbraw/zinc/66/38/17/999663817.db2.gz LLMWJIJAZQJFCV-MRVPVSSYSA-N -1 1 335.316 -0.128 20 0 EBADMM C[C@H]1CN(C(=O)C[N@H+]2C[C@H](C(=O)[O-])C3(CC(C(=O)[O-])C3)C2)CCO1 ZINC001594464971 999681543 /nfs/dbraw/zinc/68/15/43/999681543.db2.gz HNBWWLGBFLPJJP-BYHRKAOISA-N -1 1 340.376 -0.269 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2COCCN2CC(F)F)CCN1CCC(=O)[O-] ZINC001594465817 999685389 /nfs/dbraw/zinc/68/53/89/999685389.db2.gz CADIWSLTVDOYOH-VXGBXAGGSA-N -1 1 349.378 -0.040 20 0 EBADMM C[C@@H]1CN(C(=O)CN2CCN([C@@]3(C(=O)[O-])CCOC3)CC2)CCO1 ZINC001594469065 999697917 /nfs/dbraw/zinc/69/79/17/999697917.db2.gz SHAPDFUZFUPSSD-CJNGLKHVSA-N -1 1 341.408 -0.905 20 0 EBADMM CN(CCNC(=O)COCC1CCCC1)Cc1nc(=O)n(C)[n-]1 ZINC001480874492 1125799253 /nfs/dbraw/zinc/79/92/53/1125799253.db2.gz HGUFNQTXUXOTAS-UHFFFAOYSA-N -1 1 325.413 -0.137 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1CCN(C(=O)C(F)F)CC1 ZINC001687266694 1125822445 /nfs/dbraw/zinc/82/24/45/1125822445.db2.gz NDABHBUUQZRKGK-UHFFFAOYSA-N -1 1 344.318 -0.701 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCN(C)Cc1cnsn1 ZINC001480935980 1125883506 /nfs/dbraw/zinc/88/35/06/1125883506.db2.gz VKULAPRVKDOVMN-UHFFFAOYSA-N -1 1 340.365 -0.390 20 0 EBADMM CN(CCNC(=O)CCCC(N)=O)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001408613050 1125890093 /nfs/dbraw/zinc/89/00/93/1125890093.db2.gz SQYZIRAYNQPVTM-UHFFFAOYSA-N -1 1 349.391 -0.097 20 0 EBADMM CCN(CCNC(=O)C[C@](C)(O)C1CC1)Cc1nc(=O)n(C)[n-]1 ZINC001480969340 1125901567 /nfs/dbraw/zinc/90/15/67/1125901567.db2.gz JLWBZWISTOEKPM-HNNXBMFYSA-N -1 1 325.413 -0.402 20 0 EBADMM CCN(CCNC(=O)c1cnc2nccn2c1)Cc1nc(=O)n(C)[n-]1 ZINC001480989284 1125904365 /nfs/dbraw/zinc/90/43/65/1125904365.db2.gz WSFYGLMSZARGAP-UHFFFAOYSA-N -1 1 344.379 -0.597 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)CCCn3cccn3)C2)nc1=O ZINC001481124510 1125927414 /nfs/dbraw/zinc/92/74/14/1125927414.db2.gz PSCUIEVSLBLOLV-ZDUSSCGKSA-N -1 1 347.423 -0.277 20 0 EBADMM Cn1ccc(CC(=O)NCC[C@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001481432566 1125988265 /nfs/dbraw/zinc/98/82/65/1125988265.db2.gz OCJFCWHLHJZPHS-NSHDSACASA-N -1 1 333.396 -0.185 20 0 EBADMM COCC(=O)NCCN(C(=O)c1[nH]c(=O)[n-]c(=O)c1OC)C1CC1 ZINC001408892397 1125995607 /nfs/dbraw/zinc/99/56/07/1125995607.db2.gz IEKMTUITOZXMCV-UHFFFAOYSA-N -1 1 340.336 -0.736 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)COCc3ccncc3)C2)nc1=O ZINC001481652864 1126031949 /nfs/dbraw/zinc/03/19/49/1126031949.db2.gz WFVHQGKSJLIZFA-UHFFFAOYSA-N -1 1 346.391 -0.732 20 0 EBADMM COc1cccc(CC(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001481658217 1126032980 /nfs/dbraw/zinc/03/29/80/1126032980.db2.gz XTRWFKLMGUISHP-UHFFFAOYSA-N -1 1 345.403 -0.092 20 0 EBADMM CCO[C@@H](C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)C1CC1 ZINC001481731190 1126047627 /nfs/dbraw/zinc/04/76/27/1126047627.db2.gz YRODPCQIIYPBAF-GXTWGEPZSA-N -1 1 337.424 -0.044 20 0 EBADMM Cc1nn[nH]c1C(=O)NCCC1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001482047395 1126073522 /nfs/dbraw/zinc/07/35/22/1126073522.db2.gz UMFVQKRGWMHEAM-UHFFFAOYSA-N -1 1 334.384 -0.031 20 0 EBADMM C[C@@H](C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1)C1CCC1 ZINC001482190818 1126095632 /nfs/dbraw/zinc/09/56/32/1126095632.db2.gz PQLMKIJUQVQVNL-DGCLKSJQSA-N -1 1 337.424 -0.138 20 0 EBADMM CCOCCC(=O)N1CCC2(CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001482242035 1126111422 /nfs/dbraw/zinc/11/14/22/1126111422.db2.gz OQLYBXIKWBBQJU-UHFFFAOYSA-N -1 1 337.424 -0.041 20 0 EBADMM COC[C@@H](CCO)[N-]S(=O)(=O)c1nc[nH]c1Br ZINC001363833730 1126127508 /nfs/dbraw/zinc/12/75/08/1126127508.db2.gz NMHVICABLNNIIJ-ZCFIWIBFSA-N -1 1 328.188 -0.152 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)c1ccn2nnnc2c1 ZINC001482319027 1126130398 /nfs/dbraw/zinc/13/03/98/1126130398.db2.gz YDLONNVKCPTRRZ-MRVPVSSYSA-N -1 1 331.340 -0.802 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)c1cnc2cccnn21 ZINC001482339438 1126134309 /nfs/dbraw/zinc/13/43/09/1126134309.db2.gz QWLAFZVTGVJMAY-VIFPVBQESA-N -1 1 330.352 -0.197 20 0 EBADMM COCC(COC)S(=O)(=O)[N-][C@@H]1CCN(c2cnn(C)c2)C1=O ZINC001414220094 1126173165 /nfs/dbraw/zinc/17/31/65/1126173165.db2.gz YBOKGTGBPYCPPM-GFCCVEGCSA-N -1 1 346.409 -0.894 20 0 EBADMM COc1cc(S(=O)(=O)[N-][C@H](CO)CN2CCOCC2)sn1 ZINC001414324498 1126219062 /nfs/dbraw/zinc/21/90/62/1126219062.db2.gz XXZLYXYURIOINI-VIFPVBQESA-N -1 1 337.423 -0.877 20 0 EBADMM CN(CCCNC(=O)C1CC=CC1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001687420145 1126251420 /nfs/dbraw/zinc/25/14/20/1126251420.db2.gz WIFUDVXZUVJYEV-UHFFFAOYSA-N -1 1 344.375 -0.038 20 0 EBADMM COc1ccc(CC(=O)NC[C@H](O)CNCc2n[nH]c(=O)[n-]2)c(C)c1 ZINC001482733207 1126263243 /nfs/dbraw/zinc/26/32/43/1126263243.db2.gz JUOUGMVKBQCPSX-GFCCVEGCSA-N -1 1 349.391 -0.363 20 0 EBADMM Cc1ccc(C)c(CC(=O)NC[C@H](O)CNCc2n[nH]c(=O)[n-]2)c1 ZINC001482764270 1126281327 /nfs/dbraw/zinc/28/13/27/1126281327.db2.gz MSTXMGKSDUBHDK-CYBMUJFWSA-N -1 1 333.392 -0.063 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1nc2nccc(C)n2n1 ZINC001482965139 1126306841 /nfs/dbraw/zinc/30/68/41/1126306841.db2.gz LYPIGHNGNRHUII-UHFFFAOYSA-N -1 1 345.367 -0.492 20 0 EBADMM CC[C@@H](CNC(=O)[C@@H](C)OC)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001409241407 1126309858 /nfs/dbraw/zinc/30/98/58/1126309858.db2.gz NLNGEMPFBOPARD-BDAKNGLRSA-N -1 1 336.352 -0.923 20 0 EBADMM COCC(=O)N[C@H]1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001409571447 1126378219 /nfs/dbraw/zinc/37/82/19/1126378219.db2.gz HZHJAIMYPJCWAD-MGCOHNPYSA-N -1 1 348.363 -0.779 20 0 EBADMM Cn1[n-]c(CN2CC(CCO)(NC(=O)C3CC4(CCC4)C3)C2)nc1=O ZINC001409737853 1126403794 /nfs/dbraw/zinc/40/37/94/1126403794.db2.gz GUOAKGPLWWEARL-UHFFFAOYSA-N -1 1 349.435 -0.258 20 0 EBADMM C[C@@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)C(=O)Nc1ccccc1 ZINC001483359526 1126420595 /nfs/dbraw/zinc/42/05/95/1126420595.db2.gz FARNYNAJQKARJC-NSHDSACASA-N -1 1 346.391 -0.316 20 0 EBADMM Cc1cc(CNC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C2CC2)nn1C ZINC001483572152 1126436395 /nfs/dbraw/zinc/43/63/95/1126436395.db2.gz JYGPKXJAJIVZLK-LLVKDONJSA-N -1 1 347.379 -0.377 20 0 EBADMM Cn1c(=O)cccc1C(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001483586629 1126437884 /nfs/dbraw/zinc/43/78/84/1126437884.db2.gz WKTNVKQNWNQEFT-JTQLQIEISA-N -1 1 332.364 -0.493 20 0 EBADMM C[C@@]1(NC(=O)c2n[nH]c(=O)[n-]c2=O)CCN(CCOCC(F)F)C1 ZINC001484050811 1126501414 /nfs/dbraw/zinc/50/14/14/1126501414.db2.gz KGYZIJARHHVUJW-CYBMUJFWSA-N -1 1 347.322 -0.241 20 0 EBADMM COCCC(=O)NC[C@]1(C)CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001484148341 1126509645 /nfs/dbraw/zinc/50/96/45/1126509645.db2.gz MBPOTWXUUJDYDN-HNNXBMFYSA-N -1 1 325.413 -0.137 20 0 EBADMM CC(C)C(C)(C)C(=O)NC[C@@]1(O)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001484219642 1126530178 /nfs/dbraw/zinc/53/01/78/1126530178.db2.gz DTJLPTJSQBXQGP-INIZCTEOSA-N -1 1 339.440 -0.156 20 0 EBADMM CC[C@@H](F)C(=O)NC[C@H]1CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001358628917 1131236444 /nfs/dbraw/zinc/23/64/44/1131236444.db2.gz MKZJNGRHWDSTJF-GHMZBOCLSA-N -1 1 340.355 -0.608 20 0 EBADMM CC[C@@H]1CCC[C@H]1C(=O)NCC1(O)CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001484293073 1126557779 /nfs/dbraw/zinc/55/77/79/1126557779.db2.gz VEVSUHZOHCMNAJ-VXGBXAGGSA-N -1 1 337.424 -0.402 20 0 EBADMM CC[C@H]1CCC[C@H]1C(=O)NCC1(O)CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001484293071 1126557830 /nfs/dbraw/zinc/55/78/30/1126557830.db2.gz VEVSUHZOHCMNAJ-NWDGAFQWSA-N -1 1 337.424 -0.402 20 0 EBADMM CC[C@H](F)C(=O)NC[C@@H]1CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001358628919 1131236642 /nfs/dbraw/zinc/23/66/42/1131236642.db2.gz MKZJNGRHWDSTJF-QWRGUYRKSA-N -1 1 340.355 -0.608 20 0 EBADMM COc1ccc(C(=O)N(CCO)CCNCc2n[nH]c(=O)[n-]2)cc1 ZINC001484498985 1126598559 /nfs/dbraw/zinc/59/85/59/1126598559.db2.gz DWMDAIRUKHEZPB-UHFFFAOYSA-N -1 1 335.364 -0.257 20 0 EBADMM O=C(CN1CCOCC1)N1CC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001484515412 1126600570 /nfs/dbraw/zinc/60/05/70/1126600570.db2.gz HGWOEHQDRXGORN-GFCCVEGCSA-N -1 1 338.412 -0.829 20 0 EBADMM C/C(=C\C(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001484586326 1126605874 /nfs/dbraw/zinc/60/58/74/1126605874.db2.gz LDSXVESAZCLGMT-HJTPGIPUSA-N -1 1 335.408 -0.218 20 0 EBADMM CC(C)N1CC2(C1)C[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)CO2 ZINC001484806297 1126637302 /nfs/dbraw/zinc/63/73/02/1126637302.db2.gz ZJQFCVPLSNLOHN-LBPRGKRZSA-N -1 1 336.392 -0.705 20 0 EBADMM C[C@@H]1CN(C(=O)CN(C)C(=O)C2CC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001484890299 1126649547 /nfs/dbraw/zinc/64/95/47/1126649547.db2.gz RVFIEQYHIDKZDA-KOLCDFICSA-N -1 1 336.396 -0.685 20 0 EBADMM CO[C@H](C)CCC(=O)N1CCC(O)(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001484952932 1126657760 /nfs/dbraw/zinc/65/77/60/1126657760.db2.gz DUFSTECGINKEMT-LLVKDONJSA-N -1 1 341.412 -0.232 20 0 EBADMM O=C(c1ccns1)N1CCC(O)(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001484954350 1126658269 /nfs/dbraw/zinc/65/82/69/1126658269.db2.gz LASPBJQJVQMZKP-UHFFFAOYSA-N -1 1 338.393 -0.276 20 0 EBADMM CNC(=O)NC(=O)CN1CC[C@H](NC(=O)c2ncccc2[O-])C[C@@H]1C ZINC001484971790 1126659752 /nfs/dbraw/zinc/65/97/52/1126659752.db2.gz HFUWNPFUUSOLCT-QWRGUYRKSA-N -1 1 349.391 -0.175 20 0 EBADMM C[C@@H](CNC(=O)c1cc(C2CC2)n(C)n1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001485309552 1126687246 /nfs/dbraw/zinc/68/72/46/1126687246.db2.gz LOTTYYCIHWPKDD-JTQLQIEISA-N -1 1 347.423 -0.030 20 0 EBADMM C[C@H](CC(N)=O)C(=O)NC[C@@H](C)N(C)Cc1cc(=O)n2[n-]ccc2n1 ZINC001485336105 1126689748 /nfs/dbraw/zinc/68/97/48/1126689748.db2.gz HCERGJMFKMLARJ-GHMZBOCLSA-N -1 1 348.407 -0.529 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)NC[C@H](C)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001485342478 1126690942 /nfs/dbraw/zinc/69/09/42/1126690942.db2.gz UTZSCELHMXAMON-QMMMGPOBSA-N -1 1 335.368 -0.837 20 0 EBADMM Cn1cncc1CN1CC(CCO)(NC(=O)c2ncccc2[O-])C1 ZINC001485404654 1126702240 /nfs/dbraw/zinc/70/22/40/1126702240.db2.gz ZRUMJVKAEYTVET-UHFFFAOYSA-N -1 1 331.376 -0.113 20 0 EBADMM CO[C@@H](C(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1)C1CCC1 ZINC001485516987 1126740290 /nfs/dbraw/zinc/74/02/90/1126740290.db2.gz JBBYSXQENCONMW-IINYFYTJSA-N -1 1 337.424 -0.140 20 0 EBADMM Cn1[n-]c(CN[C@H](CO)CNC(=O)c2ncc(Cl)s2)nc1=O ZINC001486016213 1126967531 /nfs/dbraw/zinc/96/75/31/1126967531.db2.gz ANBOEDDLABOOFP-LURJTMIESA-N -1 1 346.800 -0.901 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)COCc1ccnn1C ZINC001486082451 1127027794 /nfs/dbraw/zinc/02/77/94/1127027794.db2.gz CLAQIMJIEAHOBZ-ZYHUDNBSSA-N -1 1 349.395 -0.460 20 0 EBADMM CCn1ccc(C(=O)N(C)C[C@H](O)CNCc2n[nH]c(=O)[n-]2)c1C ZINC001486294631 1127103198 /nfs/dbraw/zinc/10/31/98/1127103198.db2.gz AYWCDGZLJOBDDX-LLVKDONJSA-N -1 1 336.396 -0.137 20 0 EBADMM CN(C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)[C@]12CCC[C@H]1OCC2 ZINC001486289253 1127116466 /nfs/dbraw/zinc/11/64/66/1127116466.db2.gz KRVNHVWKMCDPJV-RWSFTLGLSA-N -1 1 339.396 -0.622 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H](O)CN(C)C(=O)[C@@H]1CC12CCC2 ZINC001486351523 1127145500 /nfs/dbraw/zinc/14/55/00/1127145500.db2.gz VBNNRVGRQVAWLA-NEPJUHHUSA-N -1 1 337.424 -0.450 20 0 EBADMM CC(C)N(CCN(C)Cc1nc(=O)n(C)[n-]1)C(=O)Cc1ncc[nH]1 ZINC001486377563 1127164622 /nfs/dbraw/zinc/16/46/22/1127164622.db2.gz GEROWNXOTHAXHM-UHFFFAOYSA-N -1 1 335.412 -0.257 20 0 EBADMM CN(CCOCCNCc1n[nH]c(=O)[n-]1)C(=O)c1cccc(=O)[nH]1 ZINC001486493273 1127187711 /nfs/dbraw/zinc/18/77/11/1127187711.db2.gz RRTUZOXUMAXLIC-UHFFFAOYSA-N -1 1 336.352 -0.511 20 0 EBADMM C[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)[C@H](C)NC(=O)c1ccoc1 ZINC001486654551 1127228988 /nfs/dbraw/zinc/22/89/88/1127228988.db2.gz WZPUOPFJRCJAOH-QWRGUYRKSA-N -1 1 348.359 -0.157 20 0 EBADMM CNC(=O)C1(C(=O)N[C@H](C)[C@H](C)NC(=O)c2ncccc2[O-])CC1 ZINC001486686415 1127236529 /nfs/dbraw/zinc/23/65/29/1127236529.db2.gz DILRHAGHPTVLME-VHSXEESVSA-N -1 1 334.376 -0.064 20 0 EBADMM CN(CC(C)(C)CNC(=O)C(N)=O)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001486762473 1127252294 /nfs/dbraw/zinc/25/22/94/1127252294.db2.gz PWZDSHDEFPXXIT-UHFFFAOYSA-N -1 1 349.391 -0.241 20 0 EBADMM C[C@@H](CNC(=O)C(C)(F)F)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001486785545 1127254153 /nfs/dbraw/zinc/25/41/53/1127254153.db2.gz TYBBQDVHYKLHQC-VIFPVBQESA-N -1 1 346.334 -0.455 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)CN1C(=O)CCC1=O ZINC001486849613 1127309296 /nfs/dbraw/zinc/30/92/96/1127309296.db2.gz YFEBUESXPIFTAY-JTQLQIEISA-N -1 1 348.359 -0.487 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)N(C)C(=O)c1ccon1 ZINC001486930257 1127340938 /nfs/dbraw/zinc/34/09/38/1127340938.db2.gz ZEUOTTBOAKLPAE-SNVBAGLBSA-N -1 1 349.347 -0.808 20 0 EBADMM CCC(=O)N1C[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C(C)(C)C1 ZINC001487262740 1127449573 /nfs/dbraw/zinc/44/95/73/1127449573.db2.gz BJJJUHAEBDLLCK-LLVKDONJSA-N -1 1 336.392 -0.310 20 0 EBADMM CC(=O)N1C[C@@H]2CC[C@@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C[C@@H]2C1 ZINC001487363756 1127483889 /nfs/dbraw/zinc/48/38/89/1127483889.db2.gz VWQPDIWQKZZZIP-BFHYXJOUSA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@@H](C(=O)NC[C@H](O)CNC(=O)c1ncccc1[O-])c1cnn(C)c1 ZINC001410101501 1127562245 /nfs/dbraw/zinc/56/22/45/1127562245.db2.gz KHWYJGPSZHNMTG-PWSUYJOCSA-N -1 1 347.375 -0.469 20 0 EBADMM CCc1oncc1C(=O)NC[C@H](O)CNC(=O)c1ncccc1[O-] ZINC001410114569 1127577891 /nfs/dbraw/zinc/57/78/91/1127577891.db2.gz ZNPWGOHVGGKHTE-VIFPVBQESA-N -1 1 334.332 -0.142 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@]1(C)CCNC(=O)C1 ZINC001410406005 1127704988 /nfs/dbraw/zinc/70/49/88/1127704988.db2.gz NNBFKAYTMHFJIC-QFYYESIMSA-N -1 1 334.376 -0.062 20 0 EBADMM COC[C@@H](C)CS(=O)(=O)[N-][C@@H](CC1OCCO1)C(=O)OC ZINC001434674375 1127768623 /nfs/dbraw/zinc/76/86/23/1127768623.db2.gz NQGOBOBMJDRAAV-ZJUUUORDSA-N -1 1 325.383 -0.507 20 0 EBADMM Cn1[n-]c(CN[C@H](CO)CNC(=O)c2nc(Cl)cs2)nc1=O ZINC001410723821 1127841716 /nfs/dbraw/zinc/84/17/16/1127841716.db2.gz HJYDNVRNNPOLQR-LURJTMIESA-N -1 1 346.800 -0.901 20 0 EBADMM C[C@H]1[C@H](NC(=O)CC2(O)CCC2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001410916002 1127941953 /nfs/dbraw/zinc/94/19/53/1127941953.db2.gz HIQCWNWEVDTDMJ-NWDGAFQWSA-N -1 1 337.424 -0.117 20 0 EBADMM CN(C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)Cc1ccsc1 ZINC001410972075 1127956592 /nfs/dbraw/zinc/95/65/92/1127956592.db2.gz GCHFLWKYNWBPNJ-SNVBAGLBSA-N -1 1 325.394 -0.277 20 0 EBADMM CCc1ncsc1C(=O)N(C)C[C@@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001411046267 1127985609 /nfs/dbraw/zinc/98/56/09/1127985609.db2.gz RQVGPMLGPCSAAM-QMMMGPOBSA-N -1 1 340.409 -0.248 20 0 EBADMM Cc1[nH]nc(C(=O)N(C)C[C@@H](O)CN(C)[C@@H]2CCCNC2=O)c1[O-] ZINC001411164523 1128062194 /nfs/dbraw/zinc/06/21/94/1128062194.db2.gz SEWRBDLNWBQELL-WDEREUQCSA-N -1 1 339.396 -0.933 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)[C@@H]1CN(C)CCO1 ZINC001092522142 1128251211 /nfs/dbraw/zinc/25/12/11/1128251211.db2.gz KWTMTTGAIDAZEM-UTUOFQBUSA-N -1 1 338.412 -0.975 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)c1cnn(C)c1N ZINC001092558432 1128253073 /nfs/dbraw/zinc/25/30/73/1128253073.db2.gz ORFADFFMKAVXTI-RKDXNWHRSA-N -1 1 334.384 -0.676 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1CC(Nc2ncccn2)C1 ZINC001092226900 1128216504 /nfs/dbraw/zinc/21/65/04/1128216504.db2.gz RBRUTFVYCRLZCH-UHFFFAOYSA-N -1 1 344.375 -0.276 20 0 EBADMM O=C(NCC1(NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1)c1ccoc1 ZINC001358647582 1131242745 /nfs/dbraw/zinc/24/27/45/1131242745.db2.gz QAIASRGNZYRKGD-UHFFFAOYSA-N -1 1 342.315 -0.297 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)c1c[nH]c(=O)n1C ZINC001092885675 1128281731 /nfs/dbraw/zinc/28/17/31/1128281731.db2.gz CADRHHGCCUZFDX-RKDXNWHRSA-N -1 1 335.368 -0.553 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)[C@H]1CCC(=O)N1C ZINC001092909288 1128283175 /nfs/dbraw/zinc/28/31/75/1128283175.db2.gz FWTQIUXOWLQYES-GMTAPVOTSA-N -1 1 336.396 -0.685 20 0 EBADMM C[C@@H](CCCNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CN(C)C(=O)N1 ZINC001487526961 1128364084 /nfs/dbraw/zinc/36/40/84/1128364084.db2.gz LOVFDJPRLAEFDA-QWRGUYRKSA-N -1 1 349.391 -0.175 20 0 EBADMM O=C(COCC(F)F)N[C@@H](CO)CNC(=O)c1ncccc1[O-] ZINC001487976103 1128472106 /nfs/dbraw/zinc/47/21/06/1128472106.db2.gz GZGKPGNQSBYKDZ-MRVPVSSYSA-N -1 1 333.291 -0.724 20 0 EBADMM Cc1nnsc1C(=O)N[C@@H](CO)CNC(=O)c1ncccc1[O-] ZINC001487979320 1128475665 /nfs/dbraw/zinc/47/56/65/1128475665.db2.gz XJFIBCSZWGEEJX-MRVPVSSYSA-N -1 1 337.361 -0.532 20 0 EBADMM CSCC(=O)N[C@H](CO)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001487999034 1128535113 /nfs/dbraw/zinc/53/51/13/1128535113.db2.gz VNZVWSNBAIADAD-VIFPVBQESA-N -1 1 340.405 -0.371 20 0 EBADMM NC(=O)CC(=O)N[C@]12CCC[C@@H]1N(C(=O)c1ncccc1[O-])CC2 ZINC001488228435 1128658598 /nfs/dbraw/zinc/65/85/98/1128658598.db2.gz SESRNSQGYOXHKZ-ZBEGNZNMSA-N -1 1 332.360 -0.084 20 0 EBADMM NC(=O)CC(=O)N[C@]12CCC[C@H]1N(C(=O)c1ncccc1[O-])CC2 ZINC001488228431 1128658624 /nfs/dbraw/zinc/65/86/24/1128658624.db2.gz SESRNSQGYOXHKZ-BZNIZROVSA-N -1 1 332.360 -0.084 20 0 EBADMM Cc1ccnn1CC(=O)N(C)C[C@@H](O)CNC(=O)c1ncccc1[O-] ZINC001488361471 1128699501 /nfs/dbraw/zinc/69/95/01/1128699501.db2.gz VGAVPUDXONZOHC-LBPRGKRZSA-N -1 1 347.375 -0.459 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)CCNC(N)=O)CN1C(=O)c1ncccc1[O-] ZINC001488680791 1128823273 /nfs/dbraw/zinc/82/32/73/1128823273.db2.gz TYUUIAACQKGJRD-GHMZBOCLSA-N -1 1 349.391 -0.045 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1(CCNC(=O)C2CC2)CC1 ZINC001489039604 1128896204 /nfs/dbraw/zinc/89/62/04/1128896204.db2.gz KBVZFEDDEYRJDY-UHFFFAOYSA-N -1 1 348.403 -0.261 20 0 EBADMM CO[C@H](C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)C1CCC1 ZINC001489380451 1128971123 /nfs/dbraw/zinc/97/11/23/1128971123.db2.gz KPYWNNYJSQXUIB-KYOSRNDESA-N -1 1 349.387 -0.096 20 0 EBADMM C[C@H](NC(N)=O)C(=O)N(C)C[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001489736199 1129056359 /nfs/dbraw/zinc/05/63/59/1129056359.db2.gz XLTSQJSCCDOIOM-UWVGGRQHSA-N -1 1 335.364 -0.483 20 0 EBADMM CN(CCCNC(=O)CCc1n[nH]c(=O)[n-]c1=O)Cc1cncn1C ZINC001490216013 1129128395 /nfs/dbraw/zinc/12/83/95/1129128395.db2.gz GLBRRDSXIKKTCD-UHFFFAOYSA-N -1 1 349.395 -0.413 20 0 EBADMM CN(CCCNC(=O)Cn1c(=O)[n-][nH]c1=O)Cc1cncs1 ZINC001490277087 1129155928 /nfs/dbraw/zinc/15/59/28/1129155928.db2.gz OMXPHYSYYRXMKH-UHFFFAOYSA-N -1 1 326.382 -0.216 20 0 EBADMM CCN(CCCNC(=O)[C@@]1(C)CCC(=O)NC1)Cc1n[nH]c(=O)[n-]1 ZINC001490342237 1129167298 /nfs/dbraw/zinc/16/72/98/1129167298.db2.gz IHYFTLYCNXDJRQ-HNNXBMFYSA-N -1 1 338.412 -0.245 20 0 EBADMM O=C(NCCCN(Cc1n[nH]c(=O)[n-]1)C1CC1)c1c[nH]c(=O)cn1 ZINC001490383516 1129169990 /nfs/dbraw/zinc/16/99/90/1129169990.db2.gz SHKDREZJYVJQMF-UHFFFAOYSA-N -1 1 333.352 -0.622 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CC(N(C)C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001358740196 1131273070 /nfs/dbraw/zinc/27/30/70/1131273070.db2.gz SEPRGMGJLDVHSN-ZYHUDNBSSA-N -1 1 334.376 -0.748 20 0 EBADMM CC[C@@H](CNC(=O)[C@H]1CCOC1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001416192699 1131277190 /nfs/dbraw/zinc/27/71/90/1131277190.db2.gz PESADAFLRCZQSI-UWVGGRQHSA-N -1 1 348.363 -0.921 20 0 EBADMM O=C(CCc1ncccn1)NC[C@@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001490720462 1129244915 /nfs/dbraw/zinc/24/49/15/1129244915.db2.gz PJLONJIGQRLLJQ-LLVKDONJSA-N -1 1 331.380 -0.083 20 0 EBADMM COC(=O)c1ccc(CC(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cn1 ZINC001411776878 1129380801 /nfs/dbraw/zinc/38/08/01/1129380801.db2.gz NAKZXDFKKGZFHS-LLVKDONJSA-N -1 1 332.320 -0.476 20 0 EBADMM O=C(C[C@H]1CCCOC1)N1CC(CCO)(NC(=O)c2cnn[nH]2)C1 ZINC001411789118 1129385828 /nfs/dbraw/zinc/38/58/28/1129385828.db2.gz VTIAIALMTDVSBM-LLVKDONJSA-N -1 1 337.380 -0.685 20 0 EBADMM O=C(C[C@H]1CCOC1)NC1(CCO)CN(C(=O)c2ncccc2[O-])C1 ZINC001411800271 1129388606 /nfs/dbraw/zinc/38/86/06/1129388606.db2.gz YKXOPOQRVMKBFO-GFCCVEGCSA-N -1 1 349.387 -0.093 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)CCNC(N)=O)C1 ZINC001411939071 1129407469 /nfs/dbraw/zinc/40/74/69/1129407469.db2.gz QKNPHQBMCBEXRR-SECBINFHSA-N -1 1 335.364 -0.578 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCOC[C@@H]1[C@H]1CCCO1 ZINC001412112299 1129450323 /nfs/dbraw/zinc/45/03/23/1129450323.db2.gz STMIGVFXATUVSH-RKDXNWHRSA-N -1 1 325.321 -0.084 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@@H](N2CCOCC2)C1 ZINC001412143918 1129462036 /nfs/dbraw/zinc/46/20/36/1129462036.db2.gz CTMXKZQDZUZXTL-CYBMUJFWSA-N -1 1 348.403 -0.160 20 0 EBADMM COCCS(=O)(=O)c1ccc(C(=O)N(C)c2nn[n-]n2)cc1 ZINC001412229730 1129481402 /nfs/dbraw/zinc/48/14/02/1129481402.db2.gz YFZFGAIDISSPMF-UHFFFAOYSA-N -1 1 325.350 -0.104 20 0 EBADMM O=C(CCc1nn[n-]n1)NC[C@H]1CN(Cc2ccncc2)CCO1 ZINC001412415781 1129522664 /nfs/dbraw/zinc/52/26/64/1129522664.db2.gz DECHGLSWXVPJQB-ZDUSSCGKSA-N -1 1 331.380 -0.456 20 0 EBADMM Cn1cnnc1COCC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001412482554 1129538632 /nfs/dbraw/zinc/53/86/32/1129538632.db2.gz DLUVLJIKZMTIDE-UHFFFAOYSA-N -1 1 334.336 -0.784 20 0 EBADMM CSc1ncc(C(=O)N2CCC[C@@H](S(N)(=O)=O)C2)c(=O)[n-]1 ZINC001412685934 1129593306 /nfs/dbraw/zinc/59/33/06/1129593306.db2.gz FSOAUFJMDVPUMW-SSDOTTSWSA-N -1 1 332.407 -0.203 20 0 EBADMM C[C@H](C(=O)N[C@H](CO)CNC(=O)c1ncccc1[O-])n1cccn1 ZINC001412802870 1129659081 /nfs/dbraw/zinc/65/90/81/1129659081.db2.gz DXPQTCNMDKYFGI-MNOVXSKESA-N -1 1 333.348 -0.548 20 0 EBADMM C[C@H](CC(=O)N[C@H](CO)CNC(=O)c1ncccc1[O-])n1cccn1 ZINC001412804738 1129661974 /nfs/dbraw/zinc/66/19/74/1129661974.db2.gz FWGWBZDXXWDPEL-NEPJUHHUSA-N -1 1 347.375 -0.158 20 0 EBADMM COCCC1(C(=O)NC[C@H](CO)NC(=O)c2ncccc2[O-])CC1 ZINC001412863040 1129743812 /nfs/dbraw/zinc/74/38/12/1129743812.db2.gz QNQYRXAHFZFYMD-LLVKDONJSA-N -1 1 337.376 -0.189 20 0 EBADMM CC(=O)N[C@H](CCC(C)C)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001412893420 1129762982 /nfs/dbraw/zinc/76/29/82/1129762982.db2.gz BFTNXIKRNOHDDH-GFCCVEGCSA-N -1 1 338.412 -0.049 20 0 EBADMM C[C@H](C(=O)N1CCC(O)(c2nn[n-]n2)CC1)[C@@H](C)S(C)(=O)=O ZINC001412897907 1129767126 /nfs/dbraw/zinc/76/71/26/1129767126.db2.gz IKTFBSLWUQQYET-DTWKUNHWSA-N -1 1 331.398 -0.921 20 0 EBADMM CCC(CC)(NC(=O)CN(C)[C@@H]1CCS(=O)(=O)C1)c1nn[n-]n1 ZINC001412938587 1129793825 /nfs/dbraw/zinc/79/38/25/1129793825.db2.gz WFZGMRTXCXHDMJ-SNVBAGLBSA-N -1 1 344.441 -0.550 20 0 EBADMM O=c1[n-]c(CN2CCO[C@@H](Cn3ccnn3)C2)nc2c1COCC2 ZINC001413033776 1129874591 /nfs/dbraw/zinc/87/45/91/1129874591.db2.gz YOGAXISJJGDMKK-LLVKDONJSA-N -1 1 332.364 -0.253 20 0 EBADMM CNC(=O)c1cc(O[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)ccn1 ZINC001413065862 1129910316 /nfs/dbraw/zinc/91/03/16/1129910316.db2.gz ATPPOSZMVHOOTG-GFCCVEGCSA-N -1 1 346.391 -0.094 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)CCNC(N)=O ZINC001413082629 1129924314 /nfs/dbraw/zinc/92/43/14/1129924314.db2.gz LGJCHGSTPCIXAF-VHSXEESVSA-N -1 1 335.364 -0.435 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC3(C2)CN(C)C(=O)O3)co1 ZINC001413148434 1129938201 /nfs/dbraw/zinc/93/82/01/1129938201.db2.gz XMHDJSVSKXJTRC-UHFFFAOYSA-N -1 1 329.334 -0.536 20 0 EBADMM CSc1nc(CNC(=O)[C@@H]2CCCN2S(C)(=O)=O)cc(=O)[n-]1 ZINC001413202207 1129947228 /nfs/dbraw/zinc/94/72/28/1129947228.db2.gz XHMRKADIKCTVLM-VIFPVBQESA-N -1 1 346.434 -0.056 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2[C@H](C)C(=O)N[C@@H](C)[C@H]2C)o1 ZINC001413219956 1129952516 /nfs/dbraw/zinc/95/25/16/1129952516.db2.gz HGTFCBOQAVQQAR-DJLDLDEBSA-N -1 1 329.378 -0.075 20 0 EBADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H](C)CS(C)(=O)=O)n[n-]1 ZINC001413274995 1129983747 /nfs/dbraw/zinc/98/37/47/1129983747.db2.gz VXOZEIQGXXMJSI-SFYZADRCSA-N -1 1 332.382 -0.161 20 0 EBADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H](C)CS(C)(=O)=O)[n-]1 ZINC001413274995 1129983750 /nfs/dbraw/zinc/98/37/50/1129983750.db2.gz VXOZEIQGXXMJSI-SFYZADRCSA-N -1 1 332.382 -0.161 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H](C)CS(C)(=O)=O)n1 ZINC001413274995 1129983753 /nfs/dbraw/zinc/98/37/53/1129983753.db2.gz VXOZEIQGXXMJSI-SFYZADRCSA-N -1 1 332.382 -0.161 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@H]1NC(=O)c1cnc(SC)[n-]c1=O ZINC001413268202 1129985449 /nfs/dbraw/zinc/98/54/49/1129985449.db2.gz WTRXTXPEEYNGON-HTQZYQBOSA-N -1 1 333.391 -0.554 20 0 EBADMM CN(C[C@H](O)CNC(=O)c1ncccc1[O-])C(=O)c1ccnnc1 ZINC001413339659 1130027005 /nfs/dbraw/zinc/02/70/05/1130027005.db2.gz LBMVVIBXTBDNGG-LLVKDONJSA-N -1 1 331.332 -0.560 20 0 EBADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)c2nccn21 ZINC001413339685 1130028185 /nfs/dbraw/zinc/02/81/85/1130028185.db2.gz CTYJRWTYUHGSOR-BDAKNGLRSA-N -1 1 339.377 -0.735 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N1CCN[C@@H](Cc2ccc(F)cc2)C1 ZINC001413347411 1130033799 /nfs/dbraw/zinc/03/37/99/1130033799.db2.gz QXEJJLUYWYUEHU-LBPRGKRZSA-N -1 1 335.339 -0.129 20 0 EBADMM CN(C[C@@H](O)CN(C)C(=O)c1ncccc1[O-])C(=O)c1ccon1 ZINC001413365854 1130056575 /nfs/dbraw/zinc/05/65/75/1130056575.db2.gz PGGFHRAPMPHEPR-SNVBAGLBSA-N -1 1 334.332 -0.020 20 0 EBADMM CN(C[C@H](O)CN(C)C(=O)c1ncccc1[O-])C(=O)c1cnn(C)n1 ZINC001413379134 1130064520 /nfs/dbraw/zinc/06/45/20/1130064520.db2.gz RLBJYOSRHCNJSO-JTQLQIEISA-N -1 1 348.363 -0.879 20 0 EBADMM CS(=O)(=O)CC1(CC(=O)N2CCOC[C@H]2c2nn[n-]n2)CC1 ZINC001413385613 1130065044 /nfs/dbraw/zinc/06/50/44/1130065044.db2.gz HPBMCZJXBSZSSH-VIFPVBQESA-N -1 1 329.382 -0.686 20 0 EBADMM O=C(C[C@@H]1CCCS(=O)(=O)C1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001413385588 1130065563 /nfs/dbraw/zinc/06/55/63/1130065563.db2.gz HBJYXFHIJVTGMH-VHSXEESVSA-N -1 1 329.382 -0.686 20 0 EBADMM O=C([C@@H]1OCCO[C@@H]1C(F)(F)F)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001413384645 1130067550 /nfs/dbraw/zinc/06/75/50/1130067550.db2.gz BPJYOBAFFBYOFH-PRJMDXOYSA-N -1 1 337.258 -0.554 20 0 EBADMM COC(=O)COc1cccc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)c1 ZINC001413387723 1130067922 /nfs/dbraw/zinc/06/79/22/1130067922.db2.gz OSXMDSKYFHTWGY-GFCCVEGCSA-N -1 1 347.331 -0.035 20 0 EBADMM CCO[C@@H]1COCC[C@@H]1CC(=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001413390373 1130068927 /nfs/dbraw/zinc/06/89/27/1130068927.db2.gz WWOFBFYIQVTHBN-IJLUTSLNSA-N -1 1 325.369 -0.069 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2[C@@H]3OC[C@@H]4C[C@H]2C[C@@H]43)[n-]n1 ZINC001413408874 1130076756 /nfs/dbraw/zinc/07/67/56/1130076756.db2.gz BKGHFEJFRXVFKZ-JFIPXVDISA-N -1 1 327.362 -0.102 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2[C@@H]3OC[C@@H]4C[C@H]2C[C@@H]43)n[n-]1 ZINC001413408874 1130076763 /nfs/dbraw/zinc/07/67/63/1130076763.db2.gz BKGHFEJFRXVFKZ-JFIPXVDISA-N -1 1 327.362 -0.102 20 0 EBADMM Cn1cc(N2C[C@@H](C(=O)N3CCC(c4nn[n-]n4)CC3)CC2=O)cn1 ZINC001413424246 1130082866 /nfs/dbraw/zinc/08/28/66/1130082866.db2.gz DZIVCVHGOPUUMX-NSHDSACASA-N -1 1 344.379 -0.308 20 0 EBADMM CN(CC(=O)N1CCC(c2nn[n-]n2)CC1)[C@H]1CCS(=O)(=O)C1 ZINC001413427104 1130084828 /nfs/dbraw/zinc/08/48/28/1130084828.db2.gz MYNPXMIPDRHEIS-NSHDSACASA-N -1 1 342.425 -0.975 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC(O)(c3ccnn3C)C2)o1 ZINC001413473081 1130101226 /nfs/dbraw/zinc/10/12/26/1130101226.db2.gz YDVKSUVISADYSC-UHFFFAOYSA-N -1 1 340.361 -0.735 20 0 EBADMM CC(C)OC(=O)C(C)(C)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC001413477765 1130101897 /nfs/dbraw/zinc/10/18/97/1130101897.db2.gz IQAQEBWHMOIKEZ-UHFFFAOYSA-N -1 1 347.393 -0.908 20 0 EBADMM Cn1cnc(CCNC(=O)CCc2nn[n-]n2)c1Br ZINC001413702986 1130234381 /nfs/dbraw/zinc/23/43/81/1130234381.db2.gz JDYYKFMZPYTCMJ-UHFFFAOYSA-N -1 1 328.174 -0.013 20 0 EBADMM C[C@H](C(=O)NC[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1)n1cncn1 ZINC001491097809 1130323330 /nfs/dbraw/zinc/32/33/30/1130323330.db2.gz IJHORXHRONDXFL-NEPJUHHUSA-N -1 1 348.411 -0.711 20 0 EBADMM COC[C@@H](C)C(=O)NC[C@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001491204787 1130360565 /nfs/dbraw/zinc/36/05/65/1130360565.db2.gz LXEVMSLCKWRUPT-VXGBXAGGSA-N -1 1 325.413 -0.138 20 0 EBADMM Cc1sccc1C(=O)NCCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001491274573 1130380766 /nfs/dbraw/zinc/38/07/66/1130380766.db2.gz JJTZNOGNMUGOQM-UHFFFAOYSA-N -1 1 346.372 -0.053 20 0 EBADMM CC(C)CN1C[C@@H](C(=O)NC[C@@H](C)NCc2n[nH]c(=O)[n-]2)CC1=O ZINC001491475670 1130461673 /nfs/dbraw/zinc/46/16/73/1130461673.db2.gz ZYDOXFAZRRDQCV-MNOVXSKESA-N -1 1 338.412 -0.391 20 0 EBADMM C[C@H](CNC(=O)CCCn1c(=O)[n-][nH]c1=O)NCc1ccn(C)n1 ZINC001491507009 1130476753 /nfs/dbraw/zinc/47/67/53/1130476753.db2.gz GMXZLFUCMHPJBJ-SNVBAGLBSA-N -1 1 337.384 -0.503 20 0 EBADMM CC(C)CS(=O)(=O)[N-]c1cc(N2CCN(CCO)CC2)ncn1 ZINC001259878792 1130542482 /nfs/dbraw/zinc/54/24/82/1130542482.db2.gz RMUBEKBQPQSTNB-UHFFFAOYSA-N -1 1 343.453 -0.011 20 0 EBADMM CN(CCNC(=O)c1cn(C)nc1C1CC1)Cc1nc(=O)n(C)[n-]1 ZINC001492262915 1130611817 /nfs/dbraw/zinc/61/18/17/1130611817.db2.gz ULPJTXIWCUSTAP-UHFFFAOYSA-N -1 1 333.396 -0.419 20 0 EBADMM O=C(Nc1c[n-][nH]c1=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001413847920 1130734663 /nfs/dbraw/zinc/73/46/63/1130734663.db2.gz RGGXHOFNMIZHKB-YUMQZZPRSA-N -1 1 328.350 -0.911 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)CNC(=O)Cc2ccccc2)n[n-]1 ZINC001413847791 1130734851 /nfs/dbraw/zinc/73/48/51/1130734851.db2.gz JLBOXRBYDYAUSC-UHFFFAOYSA-N -1 1 345.359 -0.044 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)CNC(=O)Cc2ccccc2)n1 ZINC001413847791 1130734855 /nfs/dbraw/zinc/73/48/55/1130734855.db2.gz JLBOXRBYDYAUSC-UHFFFAOYSA-N -1 1 345.359 -0.044 20 0 EBADMM C[C@H](CO)N(C[C@H](C)O)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001413919990 1130753673 /nfs/dbraw/zinc/75/36/73/1130753673.db2.gz OCTBIGUMMLAHID-ZJUUUORDSA-N -1 1 340.380 -0.472 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)COCC(=O)OC(C)(C)C)n[n-]1 ZINC001413850953 1130794162 /nfs/dbraw/zinc/79/41/62/1130794162.db2.gz QBGHHOLGBPTOPR-UHFFFAOYSA-N -1 1 342.352 -0.044 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)COCC(=O)OC(C)(C)C)n1 ZINC001413850953 1130794165 /nfs/dbraw/zinc/79/41/65/1130794165.db2.gz QBGHHOLGBPTOPR-UHFFFAOYSA-N -1 1 342.352 -0.044 20 0 EBADMM C[C@@H](CNC(=O)[C@@H]1CCc2ncncc2C1)NCc1n[nH]c(=O)[n-]1 ZINC001480474060 1130817545 /nfs/dbraw/zinc/81/75/45/1130817545.db2.gz GUVMTXDOATYZGM-VHSXEESVSA-N -1 1 331.380 -0.300 20 0 EBADMM C[C@H](CNC(=O)[C@]1(C)CCNC(=O)C1)NC(=O)c1ncccc1[O-] ZINC001416804048 1130819677 /nfs/dbraw/zinc/81/96/77/1130819677.db2.gz QOHAGWIBFNVWFH-QLJPJBMISA-N -1 1 334.376 -0.062 20 0 EBADMM Cc1ccc(C(=O)N2CC(NC(=O)CCn3cc[n-]c(=O)c3=O)C2)o1 ZINC001356777116 1130935036 /nfs/dbraw/zinc/93/50/36/1130935036.db2.gz OSWKQHYGXMLXTM-UHFFFAOYSA-N -1 1 346.343 -0.531 20 0 EBADMM CCNC(=O)CC(=O)N1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1[O-] ZINC001356841101 1130969712 /nfs/dbraw/zinc/96/97/12/1130969712.db2.gz FJRRPSYXMPVCQV-QWRGUYRKSA-N -1 1 332.360 -0.261 20 0 EBADMM CCN(C(=O)CCn1cc[n-]c(=O)c1=O)[C@@H]1CCN(C(=O)C2CC2)C1 ZINC001356858570 1130974198 /nfs/dbraw/zinc/97/41/98/1130974198.db2.gz XILVSMSVSRRHOY-CYBMUJFWSA-N -1 1 348.403 -0.214 20 0 EBADMM CC(C)[C@H](CNC(=O)[C@@H]1CCNC1=O)NC(=O)c1ncccc1[O-] ZINC001357108671 1131051366 /nfs/dbraw/zinc/05/13/66/1131051366.db2.gz PEDAMGJKUATQQX-MNOVXSKESA-N -1 1 334.376 -0.206 20 0 EBADMM CC(C)[C@@H](CNC(=O)[C@@H]1CCNC1=O)NC(=O)c1ncccc1[O-] ZINC001357108670 1131051741 /nfs/dbraw/zinc/05/17/41/1131051741.db2.gz PEDAMGJKUATQQX-GHMZBOCLSA-N -1 1 334.376 -0.206 20 0 EBADMM O=C(NCC=CCNC(=O)c1ncccc1[O-])c1cn[nH]c(=O)c1 ZINC001357751127 1131123526 /nfs/dbraw/zinc/12/35/26/1131123526.db2.gz ICEYFQWWWPMXLP-UPHRSURJSA-N -1 1 329.316 -0.001 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2CCN(c3ccc(F)cn3)CC2)CNCCO1 ZINC001357789820 1131128882 /nfs/dbraw/zinc/12/88/82/1131128882.db2.gz XPWOHIYJVSTUTE-OAHLLOKOSA-N -1 1 338.339 -0.688 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2CCN(c3cccc(F)c3)CC2)CNCCO1 ZINC001357991976 1131159084 /nfs/dbraw/zinc/15/90/84/1131159084.db2.gz HBDAOEYSFXQHQY-MRXNPFEDSA-N -1 1 337.351 -0.083 20 0 EBADMM CC(C)CC(=O)NC[C@@H]1CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001358583480 1131218952 /nfs/dbraw/zinc/21/89/52/1131218952.db2.gz UWRBMODYBIWEAT-LBPRGKRZSA-N -1 1 336.392 -0.310 20 0 EBADMM CCOC(=O)c1nc(NC(=O)Cn2ncn(C)c2=O)[n-]c1Cl ZINC001361528216 1131418380 /nfs/dbraw/zinc/41/83/80/1131418380.db2.gz AAHBCIHFVSYTHW-UHFFFAOYSA-N -1 1 328.716 -0.226 20 0 EBADMM CN(C(=O)c1ccc(S(=O)(=O)N2CCCC2)o1)c1nn[n-]n1 ZINC001361566281 1131422758 /nfs/dbraw/zinc/42/27/58/1131422758.db2.gz SSMWAODZXJTYCT-UHFFFAOYSA-N -1 1 326.338 -0.146 20 0 EBADMM CN(C(=O)Cc1csc([C@@H]2CCS(=O)(=O)C2)n1)c1nn[n-]n1 ZINC001361565278 1131422848 /nfs/dbraw/zinc/42/28/48/1131422848.db2.gz KOALSBNVPKUZAT-SSDOTTSWSA-N -1 1 342.406 -0.236 20 0 EBADMM O=C(CCCc1nn[n-]n1)N1CCN(C(=O)c2ccccn2)CC1 ZINC001361855804 1131467063 /nfs/dbraw/zinc/46/70/63/1131467063.db2.gz YPDVZKJWDPFLKO-UHFFFAOYSA-N -1 1 329.364 -0.098 20 0 EBADMM CNS(=O)(=O)Cc1ccc(CNC(=O)CCc2nn[n-]n2)cc1 ZINC001361877735 1131471434 /nfs/dbraw/zinc/47/14/34/1131471434.db2.gz NPDDNXNAJMXXIG-UHFFFAOYSA-N -1 1 338.393 -0.502 20 0 EBADMM O=C([C@@H]1COc2ccc(O)cc2O1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001361921562 1131479716 /nfs/dbraw/zinc/47/97/16/1131479716.db2.gz FRKSRKKIOILBOB-NEPJUHHUSA-N -1 1 333.304 -0.355 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)C(=O)NCC(F)(F)F ZINC001361953660 1131487098 /nfs/dbraw/zinc/48/70/98/1131487098.db2.gz VEZZFTJYUJIJRI-BYPYZUCNSA-N -1 1 338.242 -0.307 20 0 EBADMM O=C(c1ccc(CN2CCOCC2)o1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001362089419 1131513471 /nfs/dbraw/zinc/51/34/71/1131513471.db2.gz LDKLYCYMBGGREK-ZDUSSCGKSA-N -1 1 348.363 -0.161 20 0 EBADMM O=C(C[C@H]1NC(=O)c2ccccc21)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001362123601 1131519922 /nfs/dbraw/zinc/51/99/22/1131519922.db2.gz JZXZQNLKNRJOKQ-VXGBXAGGSA-N -1 1 328.332 -0.026 20 0 EBADMM CN(c1ccc(CNC(=O)CCc2nn[n-]n2)cc1)S(C)(=O)=O ZINC001362138175 1131523496 /nfs/dbraw/zinc/52/34/96/1131523496.db2.gz TZCGMJPOYFCAGT-UHFFFAOYSA-N -1 1 338.393 -0.156 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCc2nn(C)c(=O)cc2C1 ZINC001362189715 1131532511 /nfs/dbraw/zinc/53/25/11/1131532511.db2.gz CTURNKMLSNDMCO-UHFFFAOYSA-N -1 1 333.304 -0.811 20 0 EBADMM CNS(=O)(=O)c1ccc2c(c1)N(C(=O)CCc1nn[n-]n1)CC2 ZINC001362190930 1131533115 /nfs/dbraw/zinc/53/31/15/1131533115.db2.gz VARSKYNOGXKPII-UHFFFAOYSA-N -1 1 336.377 -0.370 20 0 EBADMM CCNC(=O)N1CC[C@@H](NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC001362220635 1131538883 /nfs/dbraw/zinc/53/88/83/1131538883.db2.gz YETFMWKIUFHILD-SSDOTTSWSA-N -1 1 325.325 -0.570 20 0 EBADMM CCN(CCS(C)(=O)=O)C(=O)CNC(=O)c1ncccc1[O-] ZINC001362306249 1131556640 /nfs/dbraw/zinc/55/66/40/1131556640.db2.gz DNAOKZXWWGWJIH-UHFFFAOYSA-N -1 1 329.378 -0.590 20 0 EBADMM O=C(Cn1nc2n(c1=O)CCCCC2)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362377764 1131571904 /nfs/dbraw/zinc/57/19/04/1131571904.db2.gz VVWVFZKNIKDNTK-LLVKDONJSA-N -1 1 346.395 -0.310 20 0 EBADMM O=C(c1[nH]ncc1Br)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362435567 1131582793 /nfs/dbraw/zinc/58/27/93/1131582793.db2.gz KTQLHPMXFICKAQ-UHFFFAOYSA-N -1 1 342.157 -0.191 20 0 EBADMM COCC1(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)CCOCC1 ZINC001362436737 1131582963 /nfs/dbraw/zinc/58/29/63/1131582963.db2.gz LYOJDAPULRQHFG-UHFFFAOYSA-N -1 1 325.369 -0.547 20 0 EBADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)N[C@@H]1COC[C@H]1O ZINC001362568639 1131613647 /nfs/dbraw/zinc/61/36/47/1131613647.db2.gz UNEOLWCZRPTAOH-CHWSQXEVSA-N -1 1 330.344 -0.029 20 0 EBADMM COC(=O)C1=NOC2(C1)CCN(C(=O)CCCc1nn[n-]n1)CC2 ZINC001362571972 1131614277 /nfs/dbraw/zinc/61/42/77/1131614277.db2.gz PRQDAFZXNCOYCT-UHFFFAOYSA-N -1 1 336.352 -0.167 20 0 EBADMM CN(C)C(=O)O[C@@H]1CCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC001362616142 1131625431 /nfs/dbraw/zinc/62/54/31/1131625431.db2.gz STXBVRNEMXAUBL-SNVBAGLBSA-N -1 1 336.348 -0.184 20 0 EBADMM CCOC(=O)c1nc([C@H](C)NC(=O)C2CN(S(C)(=O)=O)C2)n[n-]1 ZINC001362658398 1131634814 /nfs/dbraw/zinc/63/48/14/1131634814.db2.gz HEXWEGGXMRMNHO-ZETCQYMHSA-N -1 1 345.381 -0.950 20 0 EBADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C2CN(S(C)(=O)=O)C2)[n-]1 ZINC001362658398 1131634816 /nfs/dbraw/zinc/63/48/16/1131634816.db2.gz HEXWEGGXMRMNHO-ZETCQYMHSA-N -1 1 345.381 -0.950 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C2CN(S(C)(=O)=O)C2)n1 ZINC001362658398 1131634819 /nfs/dbraw/zinc/63/48/19/1131634819.db2.gz HEXWEGGXMRMNHO-ZETCQYMHSA-N -1 1 345.381 -0.950 20 0 EBADMM O=C([C@H]1CC(=O)N[C@H]2CCCC[C@H]21)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362727500 1131656038 /nfs/dbraw/zinc/65/60/38/1131656038.db2.gz SAUAGKWKQQPRQF-SRVKXCTJSA-N -1 1 348.407 -0.295 20 0 EBADMM CC[C@H](C)[C@H](NC(=O)OC)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362732744 1131657964 /nfs/dbraw/zinc/65/79/64/1131657964.db2.gz SWEDKFQMFHBCMJ-UWVGGRQHSA-N -1 1 340.384 -0.220 20 0 EBADMM CSc1nc(=O)[n-]c(C)c1C(=O)N[C@@H]1CS(=O)(=O)C[C@H]1O ZINC001362740173 1131659699 /nfs/dbraw/zinc/65/96/99/1131659699.db2.gz QGEBJXOSQRFMPV-RNFRBKRXSA-N -1 1 333.391 -0.900 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCS(=O)(=O)[C@H](C)C2)o1 ZINC001362774805 1131669737 /nfs/dbraw/zinc/66/97/37/1131669737.db2.gz LPUBAMUSKZSLGO-MRVPVSSYSA-N -1 1 336.391 -0.553 20 0 EBADMM CSc1ncc(C(=O)N2CC[C@@H](CNS(C)(=O)=O)C2)c(=O)[n-]1 ZINC001362868450 1131700888 /nfs/dbraw/zinc/70/08/88/1131700888.db2.gz YAQSGWMKTVGFNK-QMMMGPOBSA-N -1 1 346.434 -0.085 20 0 EBADMM O=C(NC[C@@H]1CCCC[C@@H]1N1CCOCC1)c1n[nH]c(=O)[n-]c1=O ZINC001362887775 1131705004 /nfs/dbraw/zinc/70/50/04/1131705004.db2.gz KTDLKQKREYWLJN-QWRGUYRKSA-N -1 1 337.380 -0.096 20 0 EBADMM CC(C)[C@@H](NS(C)(=O)=O)C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001362903993 1131708695 /nfs/dbraw/zinc/70/86/95/1131708695.db2.gz IWOBCOCESASTCU-CMPLNLGQSA-N -1 1 344.441 -0.445 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC([C@@H]2COC(=O)N2)CC1 ZINC001363023318 1131751602 /nfs/dbraw/zinc/75/16/02/1131751602.db2.gz JYDPYNAZKVLLON-NSHDSACASA-N -1 1 348.359 -0.136 20 0 EBADMM O=C(CCCc1nn[n-]n1)NC[C@H]1CN(Cc2ccncc2)CCO1 ZINC001363098615 1131783421 /nfs/dbraw/zinc/78/34/21/1131783421.db2.gz KJKDGJRMPLLXLH-AWEZNQCLSA-N -1 1 345.407 -0.065 20 0 EBADMM NC(=O)[C@@H](F)C1CCN(C(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC001363184264 1131820825 /nfs/dbraw/zinc/82/08/25/1131820825.db2.gz PEHXTWHKVKKFKC-LBPRGKRZSA-N -1 1 338.339 -0.421 20 0 EBADMM O=C(CCN1CCCS1(=O)=O)N1CCC(c2nn[n-]n2)CC1 ZINC001363195038 1131825879 /nfs/dbraw/zinc/82/58/79/1131825879.db2.gz HTAZODJAZISLAK-UHFFFAOYSA-N -1 1 328.398 -0.669 20 0 EBADMM O=C(c1ccnc(N2CCCC2)n1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001363205548 1131830600 /nfs/dbraw/zinc/83/06/00/1131830600.db2.gz UXHXAAXLRDHECC-LLVKDONJSA-N -1 1 330.352 -0.196 20 0 EBADMM CNC(=O)NC[C@H]1COCCN1C(=O)c1cnc(SC)[n-]c1=O ZINC001363210142 1131833039 /nfs/dbraw/zinc/83/30/39/1131833039.db2.gz XXJAQYSAGGHTHI-QMMMGPOBSA-N -1 1 341.393 -0.326 20 0 EBADMM CO[C@]1(C(=O)N[C@@H](CCSC)c2nn[n-]n2)CCS(=O)(=O)C1 ZINC001363281355 1131869273 /nfs/dbraw/zinc/86/92/73/1131869273.db2.gz WTWKFYJLXXKXRE-GZMMTYOYSA-N -1 1 349.438 -0.686 20 0 EBADMM O=C(Cc1ccc(O)cc1)NCC(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001363283746 1131870480 /nfs/dbraw/zinc/87/04/80/1131870480.db2.gz SMRLKNOWCITBSJ-UHFFFAOYSA-N -1 1 344.375 -0.030 20 0 EBADMM O=C(CCc1nn[n-]n1)N1CCC[C@@H](CNS(=O)(=O)C2CC2)C1 ZINC001363382595 1131924039 /nfs/dbraw/zinc/92/40/39/1131924039.db2.gz IRWKMELJHATJTK-JTQLQIEISA-N -1 1 342.425 -0.547 20 0 EBADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)N[C@@H]1COC[C@@H]1O ZINC001363410323 1131938272 /nfs/dbraw/zinc/93/82/72/1131938272.db2.gz UNEOLWCZRPTAOH-OLZOCXBDSA-N -1 1 330.344 -0.029 20 0 EBADMM COC[C@@H](NC(=O)CCn1c(C)c(C)sc1=O)c1nn[n-]n1 ZINC001363412246 1131939334 /nfs/dbraw/zinc/93/93/34/1131939334.db2.gz IJTIVEBHBKFYJC-SECBINFHSA-N -1 1 326.382 -0.066 20 0 EBADMM COC[C@H](NC(=O)[C@@H]1CS[C@]2(C)CCC(=O)N12)c1nn[n-]n1 ZINC001363437244 1131949779 /nfs/dbraw/zinc/94/97/79/1131949779.db2.gz PWYNHENPLZMWOC-YVZVNANGSA-N -1 1 326.382 -0.543 20 0 EBADMM O=C(CCCS(=O)(=O)N1CCCC1)NCc1nc([O-])cc(=O)[nH]1 ZINC001363490849 1131972025 /nfs/dbraw/zinc/97/20/25/1131972025.db2.gz QLMGHQZGQPZSLB-UHFFFAOYSA-N -1 1 344.393 -0.290 20 0 EBADMM O=C(CS[C@H]1CCS(=O)(=O)C1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001363509832 1131983318 /nfs/dbraw/zinc/98/33/18/1131983318.db2.gz CKFMBTKAQZVVAE-DTWKUNHWSA-N -1 1 347.422 -0.980 20 0 EBADMM Cn1nc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)cc1Br ZINC001363510951 1131983896 /nfs/dbraw/zinc/98/38/96/1131983896.db2.gz HGXXBEWURNGHBS-ZETCQYMHSA-N -1 1 342.157 -0.091 20 0 EBADMM O=C(CN1Cc2ccccc2C1=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC001363513679 1131984579 /nfs/dbraw/zinc/98/45/79/1131984579.db2.gz RACZLXSHYYWWGJ-LBPRGKRZSA-N -1 1 328.332 -0.244 20 0 EBADMM CC(C)(C)[C@H](NS(C)(=O)=O)C(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001363521817 1131990677 /nfs/dbraw/zinc/99/06/77/1131990677.db2.gz BBZMWLFBEBONLF-DTWKUNHWSA-N -1 1 330.414 -0.521 20 0 EBADMM O=C(C[C@@H]1CCCS1(=O)=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001363522530 1131990786 /nfs/dbraw/zinc/99/07/86/1131990786.db2.gz XMJONXHGWFICDJ-VIFPVBQESA-N -1 1 341.389 -0.162 20 0 EBADMM COC[C@H](NC(=O)[C@@H]1CC(=O)N(Cc2ccccn2)C1)c1nn[n-]n1 ZINC001363582736 1132022241 /nfs/dbraw/zinc/02/22/41/1132022241.db2.gz CABQQJGQAHUKTJ-PWSUYJOCSA-N -1 1 345.363 -0.553 20 0 EBADMM COc1cnc(C(C)(C)NC(=O)c2cn(C)c(=O)n(C)c2=O)[n-]c1=O ZINC001363601387 1132030488 /nfs/dbraw/zinc/03/04/88/1132030488.db2.gz JBKUMFBGUYPRDH-UHFFFAOYSA-N -1 1 349.347 -0.747 20 0 EBADMM COc1cnc(C(C)(C)NC(=O)c2nc3n(n2)CCCN3)[n-]c1=O ZINC001363617680 1132033864 /nfs/dbraw/zinc/03/38/64/1132033864.db2.gz RIXWSPFCQWHDPK-UHFFFAOYSA-N -1 1 333.352 -0.480 20 0 EBADMM COC(=O)c1c(NC(=O)Cc2cnn(C)c2C)n[n-]c1OCCO ZINC001363738349 1132072347 /nfs/dbraw/zinc/07/23/47/1132072347.db2.gz UELYZGOANPJXNN-UHFFFAOYSA-N -1 1 337.336 -0.209 20 0 EBADMM COC(=O)c1c(OCCO)n[n-]c1NC(=O)Cc1cnn(C)c1C ZINC001363738349 1132072351 /nfs/dbraw/zinc/07/23/51/1132072351.db2.gz UELYZGOANPJXNN-UHFFFAOYSA-N -1 1 337.336 -0.209 20 0 EBADMM CN(CC[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)CC(F)(F)F ZINC001363760722 1132078231 /nfs/dbraw/zinc/07/82/31/1132078231.db2.gz HOGSGBKZSHLTKX-UHFFFAOYSA-N -1 1 344.315 -0.742 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC(CC(N)=O)CC2)[n-]n1 ZINC001363769300 1132081447 /nfs/dbraw/zinc/08/14/47/1132081447.db2.gz QXFQNIQQTYGUCD-UHFFFAOYSA-N -1 1 330.366 -0.528 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC(CC(N)=O)CC2)n[n-]1 ZINC001363769300 1132081454 /nfs/dbraw/zinc/08/14/54/1132081454.db2.gz QXFQNIQQTYGUCD-UHFFFAOYSA-N -1 1 330.366 -0.528 20 0 EBADMM COCc1nc2n(n1)C[C@H]([N-]S(=O)(=O)N=S(C)(C)=O)CC2 ZINC001363816575 1132098542 /nfs/dbraw/zinc/09/85/42/1132098542.db2.gz BAOVSCFRTSJZPP-MRVPVSSYSA-N -1 1 337.427 -0.699 20 0 EBADMM O=C(CS[C@H]1CCS(=O)(=O)C1)NC1(c2nn[n-]n2)CCC1 ZINC001363821722 1132101139 /nfs/dbraw/zinc/10/11/39/1132101139.db2.gz OGMCWUCYFKQYDB-QMMMGPOBSA-N -1 1 331.423 -0.385 20 0 EBADMM COC(=O)C[C@@H]1CCCN1S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001363834728 1132105459 /nfs/dbraw/zinc/10/54/59/1132105459.db2.gz VULCEYDQFMLNJO-QMMMGPOBSA-N -1 1 331.350 -0.088 20 0 EBADMM COC(=O)C[C@@H]1CCCN1S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001363834728 1132105464 /nfs/dbraw/zinc/10/54/64/1132105464.db2.gz VULCEYDQFMLNJO-QMMMGPOBSA-N -1 1 331.350 -0.088 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CCC3(C2)OCCO3)c(=O)[nH]c1=O ZINC001363844518 1132109147 /nfs/dbraw/zinc/10/91/47/1132109147.db2.gz XFYXCIVCMXCSNU-MRVPVSSYSA-N -1 1 331.350 -0.940 20 0 EBADMM COC(=O)C[C@@]1(NS(=O)(=O)c2cc(C(=O)OC)n[n-]2)CCOC1 ZINC001363852054 1132111810 /nfs/dbraw/zinc/11/18/10/1132111810.db2.gz LDIVLSNRPHVSPC-LBPRGKRZSA-N -1 1 347.349 -0.803 20 0 EBADMM COC(=O)C[C@@]1(NS(=O)(=O)c2cc(C(=O)OC)[n-]n2)CCOC1 ZINC001363852054 1132111813 /nfs/dbraw/zinc/11/18/13/1132111813.db2.gz LDIVLSNRPHVSPC-LBPRGKRZSA-N -1 1 347.349 -0.803 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@@H]2CCCS2(=O)=O)[n-]n1 ZINC001363862619 1132114669 /nfs/dbraw/zinc/11/46/69/1132114669.db2.gz OTVGUKBONWLLDY-ZETCQYMHSA-N -1 1 337.379 -0.948 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@@H]2CCCS2(=O)=O)n[n-]1 ZINC001363862619 1132114674 /nfs/dbraw/zinc/11/46/74/1132114674.db2.gz OTVGUKBONWLLDY-ZETCQYMHSA-N -1 1 337.379 -0.948 20 0 EBADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@@H]2COCCN2CC)n[n-]1 ZINC001363888701 1132120981 /nfs/dbraw/zinc/12/09/81/1132120981.db2.gz SAXCKNJTSUGBMG-UWVGGRQHSA-N -1 1 325.369 -0.121 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@@H]2COCCN2CC)n1 ZINC001363888701 1132120987 /nfs/dbraw/zinc/12/09/87/1132120987.db2.gz SAXCKNJTSUGBMG-UWVGGRQHSA-N -1 1 325.369 -0.121 20 0 EBADMM NC(=O)N1CCC[C@@H](C[N-]S(=O)(=O)N=S2(=O)CCCC2)C1 ZINC001363943015 1132137694 /nfs/dbraw/zinc/13/76/94/1132137694.db2.gz NLRIDAQEJMHQOU-JTQLQIEISA-N -1 1 338.455 -0.127 20 0 EBADMM C[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)C(=O)NC(C)(C)C ZINC001363950384 1132140643 /nfs/dbraw/zinc/14/06/43/1132140643.db2.gz ZSCBRLSKUBSMLI-ZETCQYMHSA-N -1 1 332.382 -0.933 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCCC[C@@H]2C(=O)OC)[n-]n1 ZINC001363956213 1132142619 /nfs/dbraw/zinc/14/26/19/1132142619.db2.gz URNIUXBOLCIZBD-SECBINFHSA-N -1 1 331.350 -0.088 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCCC[C@@H]2C(=O)OC)n[n-]1 ZINC001363956213 1132142624 /nfs/dbraw/zinc/14/26/24/1132142624.db2.gz URNIUXBOLCIZBD-SECBINFHSA-N -1 1 331.350 -0.088 20 0 EBADMM COCC1(CC[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)CCC1 ZINC001363985147 1132154511 /nfs/dbraw/zinc/15/45/11/1132154511.db2.gz KFIFXMUJPIPFBG-UHFFFAOYSA-N -1 1 331.394 -0.029 20 0 EBADMM Cc1nc(CS(=O)(=O)[N-]Cc2ncc3c(n2)CCOC3)no1 ZINC001363994911 1132157601 /nfs/dbraw/zinc/15/76/01/1132157601.db2.gz PTTUSCLZMDPBAB-UHFFFAOYSA-N -1 1 325.350 -0.140 20 0 EBADMM COC(=O)[C@@](C)(CO)[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC001364003058 1132161738 /nfs/dbraw/zinc/16/17/38/1132161738.db2.gz PUPPPZQICKXJDY-SECBINFHSA-N -1 1 345.299 -0.359 20 0 EBADMM CCc1nc(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)co1 ZINC001364011843 1132165329 /nfs/dbraw/zinc/16/53/29/1132165329.db2.gz RGVOLKCXNLPAGT-UHFFFAOYSA-N -1 1 328.350 -0.887 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)[N-][C@H](C)[C@H](O)C(F)(F)F)n[nH]1 ZINC001364028722 1132172857 /nfs/dbraw/zinc/17/28/57/1132172857.db2.gz PNVKHWNIYHIBPU-FBCQKBJTSA-N -1 1 331.272 -0.214 20 0 EBADMM COC[C@@H](NC(=O)c1ccnc(O[C@@H]2CCOC2)c1)c1nn[n-]n1 ZINC001364030820 1132173732 /nfs/dbraw/zinc/17/37/32/1132173732.db2.gz LXDJMZMAARKXII-GHMZBOCLSA-N -1 1 334.336 -0.120 20 0 EBADMM COC[C@@H](NC(=O)c1ccc(OCC(=O)OC)cc1)c1nn[n-]n1 ZINC001364040644 1132178496 /nfs/dbraw/zinc/17/84/96/1132178496.db2.gz ZLUGCCHWAIPVKT-LLVKDONJSA-N -1 1 335.320 -0.131 20 0 EBADMM CCOC(=O)CC1([N-]S(=O)(=O)[C@@H]2CC(=O)N(C3CC3)C2)COC1 ZINC001364059320 1132189404 /nfs/dbraw/zinc/18/94/04/1132189404.db2.gz FUAQCFOOQWILLE-LLVKDONJSA-N -1 1 346.405 -0.609 20 0 EBADMM CNC(=O)c1[nH]nnc1NC(=O)NN1CCc2ccccc2C1=O ZINC001364165651 1132224308 /nfs/dbraw/zinc/22/43/08/1132224308.db2.gz VHYIVSLRKQJRFT-UHFFFAOYSA-N -1 1 329.320 -0.101 20 0 EBADMM COCC1(S(=O)(=O)[N-][C@@H](CC2OCCO2)C(=O)OC)CCC1 ZINC001364179601 1132227339 /nfs/dbraw/zinc/22/73/39/1132227339.db2.gz PXKVTYCZAPSGIO-JTQLQIEISA-N -1 1 337.394 -0.221 20 0 EBADMM COC(=O)[C@H]1CN(C)C(=O)CN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001364395303 1132303887 /nfs/dbraw/zinc/30/38/87/1132303887.db2.gz CYRKNEVFCLJANU-SNVBAGLBSA-N -1 1 334.332 -0.485 20 0 EBADMM COC(=O)[C@@H]1CC(F)(F)CN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001364611119 1132375301 /nfs/dbraw/zinc/37/53/01/1132375301.db2.gz QZVJACAKKHBYNR-YFKPBYRVSA-N -1 1 333.247 -0.081 20 0 EBADMM C[C@@H](NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C(=O)NCCF ZINC001364728812 1132406314 /nfs/dbraw/zinc/40/63/14/1132406314.db2.gz PYJUZRYZHMMWNI-MRVPVSSYSA-N -1 1 341.343 -0.472 20 0 EBADMM O=C(CCc1nn[n-]n1)NCCN1Cc2ccccc2OCC1=O ZINC001364776171 1132422841 /nfs/dbraw/zinc/42/28/41/1132422841.db2.gz UESZWAOHBBQZLZ-UHFFFAOYSA-N -1 1 330.348 -0.330 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@](F)(C(=O)OC)C2)[n-]n1 ZINC001364795554 1132429619 /nfs/dbraw/zinc/42/96/19/1132429619.db2.gz JQJQJSJYTYSODD-LLVKDONJSA-N -1 1 335.313 -0.528 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@](F)(C(=O)OC)C2)n[n-]1 ZINC001364795554 1132429623 /nfs/dbraw/zinc/42/96/23/1132429623.db2.gz JQJQJSJYTYSODD-LLVKDONJSA-N -1 1 335.313 -0.528 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCc2ccccc2O)c(=O)[nH]c1=O ZINC001364806373 1132432973 /nfs/dbraw/zinc/43/29/73/1132432973.db2.gz UHVLXPYQNXOBGY-UHFFFAOYSA-N -1 1 325.346 -0.288 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H](O)C1CCOCC1 ZINC001364805418 1132433107 /nfs/dbraw/zinc/43/31/07/1132433107.db2.gz QJHJGXHKAOHTJZ-JTQLQIEISA-N -1 1 333.366 -0.738 20 0 EBADMM O=C1OCCN1CCS(=O)(=O)[N-]Cc1nc(C(F)(F)F)no1 ZINC001364815354 1132437398 /nfs/dbraw/zinc/43/73/98/1132437398.db2.gz DERMEGLJEICUGB-UHFFFAOYSA-N -1 1 344.271 -0.040 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCN3C(=O)OCC[C@@H]3C2)[n-]n1 ZINC001364828253 1132444878 /nfs/dbraw/zinc/44/48/78/1132444878.db2.gz LBCDHGDZTSQJLE-MRVPVSSYSA-N -1 1 344.349 -0.589 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCN3C(=O)OCC[C@@H]3C2)n[n-]1 ZINC001364828253 1132444882 /nfs/dbraw/zinc/44/48/82/1132444882.db2.gz LBCDHGDZTSQJLE-MRVPVSSYSA-N -1 1 344.349 -0.589 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H]2CC[C@H](C(N)=O)O2)c1 ZINC001364830323 1132445477 /nfs/dbraw/zinc/44/54/77/1132445477.db2.gz OFQYLOGLTAYHBY-MWLCHTKSSA-N -1 1 330.362 -0.288 20 0 EBADMM COC[C@@H]([N-]S(=O)(=O)C1CCC(C(=O)OC)CC1)C(=O)OC ZINC001364831818 1132446859 /nfs/dbraw/zinc/44/68/59/1132446859.db2.gz UGLXJSGKCLPUIC-VQXHTEKXSA-N -1 1 337.394 -0.174 20 0 EBADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]CCN1CCNC(=O)C1 ZINC001364833248 1132448116 /nfs/dbraw/zinc/44/81/16/1132448116.db2.gz ARNWFNPPLVDSPY-HXUWFJFHSA-N -1 1 340.471 -0.851 20 0 EBADMM COC[C@@H]1CN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)C[C@H](C)O1 ZINC001364850800 1132453646 /nfs/dbraw/zinc/45/36/46/1132453646.db2.gz NODCLWCURDJGLF-IUCAKERBSA-N -1 1 333.366 -0.379 20 0 EBADMM COC[C@@H]1CN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)C[C@H](C)O1 ZINC001364850800 1132453650 /nfs/dbraw/zinc/45/36/50/1132453650.db2.gz NODCLWCURDJGLF-IUCAKERBSA-N -1 1 333.366 -0.379 20 0 EBADMM COC(=O)[C@@H](C)CS(=O)(=O)[N-][C@H](Cc1ccncc1)C(=O)OC ZINC001364851196 1132453880 /nfs/dbraw/zinc/45/38/80/1132453880.db2.gz KYIJWVYSVNNHGJ-CMPLNLGQSA-N -1 1 344.389 -0.106 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H](O)c2ccc(F)cc2)c(=O)[nH]c1=O ZINC001364855764 1132455959 /nfs/dbraw/zinc/45/59/59/1132455959.db2.gz FRPCEMOSGWJMTR-SNVBAGLBSA-N -1 1 343.336 -0.363 20 0 EBADMM CC[C@@H](CNS(C)(=O)=O)[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC001364866379 1132460226 /nfs/dbraw/zinc/46/02/26/1132460226.db2.gz RZIXCQWYRUPJKA-VIFPVBQESA-N -1 1 347.484 -0.590 20 0 EBADMM CC[C@@](COC)(NS(=O)(=O)c1cc(C(=O)OC)n[n-]1)C(=O)OC ZINC001364874526 1132463320 /nfs/dbraw/zinc/46/33/20/1132463320.db2.gz CHBRIVMJEPPTSM-LBPRGKRZSA-N -1 1 349.365 -0.557 20 0 EBADMM CC[C@@](COC)(NS(=O)(=O)c1cc(C(=O)OC)[n-]n1)C(=O)OC ZINC001364874526 1132463324 /nfs/dbraw/zinc/46/33/24/1132463324.db2.gz CHBRIVMJEPPTSM-LBPRGKRZSA-N -1 1 349.365 -0.557 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](CO)Cc2cnn(C)c2)c1 ZINC001364873037 1132463413 /nfs/dbraw/zinc/46/34/13/1132463413.db2.gz WWRGANGIARFALC-LLVKDONJSA-N -1 1 343.361 -0.318 20 0 EBADMM CN(C)[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1ncc2c(n1)CCOC2 ZINC001364877357 1132464520 /nfs/dbraw/zinc/46/45/20/1132464520.db2.gz CKMWJVOVRVPFDI-NRFANRHFSA-N -1 1 349.438 -0.542 20 0 EBADMM NC(=O)[C@]1([N-]S(=O)(=O)C[C@H]2CC[C@H](C(F)(F)F)O2)CCOC1 ZINC001364894469 1132472813 /nfs/dbraw/zinc/47/28/13/1132472813.db2.gz WKROQVSHKPHROB-MRTMQBJTSA-N -1 1 346.327 -0.340 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)N[C@H](CO)CN2CCOCC2)c1 ZINC001364904496 1132477536 /nfs/dbraw/zinc/47/75/36/1132477536.db2.gz ZNEDSVMMUPAZJA-NSHDSACASA-N -1 1 346.405 -0.628 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](CO)C[C@H]1CCCO1 ZINC001364933161 1132492394 /nfs/dbraw/zinc/49/23/94/1132492394.db2.gz FTMJMFSIUQDCKN-VHSXEESVSA-N -1 1 347.393 -0.205 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)C3(c4ccccn4)CC3)CC2)nc1=O ZINC001364945077 1132497412 /nfs/dbraw/zinc/49/74/12/1132497412.db2.gz TUVYAAYRQJEZCB-UHFFFAOYSA-N -1 1 342.403 -0.121 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)[C@@H]3CCCc4n[nH]cc43)CC2)nc1=O ZINC001364948286 1132498000 /nfs/dbraw/zinc/49/80/00/1132498000.db2.gz SSWZWPXBDKPCFH-LLVKDONJSA-N -1 1 345.407 -0.404 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCCN(CC)C1=O ZINC001364952657 1132499971 /nfs/dbraw/zinc/49/99/71/1132499971.db2.gz NUZDWJJPNISXDW-JTQLQIEISA-N -1 1 344.393 -0.124 20 0 EBADMM COC(=O)C[C@@H]1CC[C@H]([N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)C1 ZINC001364997423 1132516041 /nfs/dbraw/zinc/51/60/41/1132516041.db2.gz RVGQXQZDNTWPCG-BDAKNGLRSA-N -1 1 345.377 -0.504 20 0 EBADMM CNC(=O)[C@H]1CCCN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)C1 ZINC001365033380 1132524970 /nfs/dbraw/zinc/52/49/70/1132524970.db2.gz UIXWAFATIKOYJG-QMMMGPOBSA-N -1 1 330.366 -0.657 20 0 EBADMM CNC(=O)[C@H]1CCCN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)C1 ZINC001365033380 1132524978 /nfs/dbraw/zinc/52/49/78/1132524978.db2.gz UIXWAFATIKOYJG-QMMMGPOBSA-N -1 1 330.366 -0.657 20 0 EBADMM C[C@H](NC(=O)Nc1ccn(CCN2CC[NH+]=C2[O-])n1)c1nnc[n-]1 ZINC001365087004 1132539222 /nfs/dbraw/zinc/53/92/22/1132539222.db2.gz WTRMLJYWLQAMPE-VIFPVBQESA-N -1 1 333.356 -0.091 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCN3C(=O)CC[C@@H]3C2)[n-]n1 ZINC001365137232 1132556994 /nfs/dbraw/zinc/55/69/94/1132556994.db2.gz SUPUFKBCXOQCEV-MRVPVSSYSA-N -1 1 328.350 -0.808 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCN3C(=O)CC[C@@H]3C2)n[n-]1 ZINC001365137232 1132556996 /nfs/dbraw/zinc/55/69/96/1132556996.db2.gz SUPUFKBCXOQCEV-MRVPVSSYSA-N -1 1 328.350 -0.808 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N1CCn2cnnc2C1 ZINC001365242579 1132588953 /nfs/dbraw/zinc/58/89/53/1132588953.db2.gz PTAZZIWSBNRWHF-UHFFFAOYSA-N -1 1 331.336 -0.428 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](CO)[C@H]1CCOC1 ZINC001365382427 1132643489 /nfs/dbraw/zinc/64/34/89/1132643489.db2.gz XJBBDFMRTUBQNJ-WPRPVWTQSA-N -1 1 333.366 -0.738 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-][C@H](C(N)=O)c2ccccn2)c1Cl ZINC001365407642 1132655965 /nfs/dbraw/zinc/65/59/65/1132655965.db2.gz QBYLAHFDXJUHEI-QMMMGPOBSA-N -1 1 329.769 -0.027 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCS(=O)(=O)C(C)C ZINC001365412047 1132656690 /nfs/dbraw/zinc/65/66/90/1132656690.db2.gz BCBZOZWSPVHRIG-UHFFFAOYSA-N -1 1 339.395 -0.702 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCN(C(C)(C)C)C1=O ZINC001365425350 1132665980 /nfs/dbraw/zinc/66/59/80/1132665980.db2.gz NJELHGVJXKPEMF-VIFPVBQESA-N -1 1 344.393 -0.126 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N1CCN2CCOC[C@@H]2C1 ZINC001365428350 1132667899 /nfs/dbraw/zinc/66/78/99/1132667899.db2.gz LJMVXTCFKFZSNX-NSHDSACASA-N -1 1 349.391 -0.519 20 0 EBADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-]C[C@@H]1CN(C2CC2)C(=O)O1 ZINC001365429204 1132668883 /nfs/dbraw/zinc/66/88/83/1132668883.db2.gz UJDVNLNHWORYEK-GSDQLPOLSA-N -1 1 340.427 -0.622 20 0 EBADMM Cc1c(S(=O)(=O)NCc2nc3c(c(=O)[n-]2)COCC3)cnn1C ZINC001365454262 1132686720 /nfs/dbraw/zinc/68/67/20/1132686720.db2.gz MDGNPUVIYCEGMD-UHFFFAOYSA-N -1 1 339.377 -0.225 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@H]3CCNC(=O)[C@H]32)[n-]n1 ZINC001365457752 1132689169 /nfs/dbraw/zinc/68/91/69/1132689169.db2.gz SQHQXTJLPDPTMD-KWQFWETISA-N -1 1 342.377 -0.515 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@H]3CCNC(=O)[C@H]32)n[n-]1 ZINC001365457752 1132689172 /nfs/dbraw/zinc/68/91/72/1132689172.db2.gz SQHQXTJLPDPTMD-KWQFWETISA-N -1 1 342.377 -0.515 20 0 EBADMM CNC(=O)C1([N-]S(=O)(=O)c2cc(OC)ns2)CCOCC1 ZINC001365460676 1132692672 /nfs/dbraw/zinc/69/26/72/1132692672.db2.gz DEFMXOVXDSUCMF-UHFFFAOYSA-N -1 1 335.407 -0.275 20 0 EBADMM CO[C@@]1(C[N-]S(=O)(=O)c2ccns2)CCS(=O)(=O)C1 ZINC001365463374 1132694682 /nfs/dbraw/zinc/69/46/82/1132694682.db2.gz FFERNGHQPSOFFG-SECBINFHSA-N -1 1 326.421 -0.375 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@@H](CCC(N)=O)C2)[n-]n1 ZINC001365640457 1132742687 /nfs/dbraw/zinc/74/26/87/1132742687.db2.gz IBHSQQDZWJHBAY-VIFPVBQESA-N -1 1 344.393 -0.138 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@@H](CCC(N)=O)C2)n[n-]1 ZINC001365640457 1132742694 /nfs/dbraw/zinc/74/26/94/1132742694.db2.gz IBHSQQDZWJHBAY-VIFPVBQESA-N -1 1 344.393 -0.138 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCN2CCOC(C)(C)C2)[n-]n1 ZINC001365700095 1132767461 /nfs/dbraw/zinc/76/74/61/1132767461.db2.gz BAZFVSSIFDVYRO-UHFFFAOYSA-N -1 1 346.409 -0.415 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCN2CCOC(C)(C)C2)n[n-]1 ZINC001365700095 1132767466 /nfs/dbraw/zinc/76/74/66/1132767466.db2.gz BAZFVSSIFDVYRO-UHFFFAOYSA-N -1 1 346.409 -0.415 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H](CO)[C@H]2CCCOC2)[n-]n1 ZINC001365714494 1132772611 /nfs/dbraw/zinc/77/26/11/1132772611.db2.gz LXYRNJURAJZTKM-WPRPVWTQSA-N -1 1 333.366 -0.738 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H](CO)[C@H]2CCCOC2)n[n-]1 ZINC001365714494 1132772619 /nfs/dbraw/zinc/77/26/19/1132772619.db2.gz LXYRNJURAJZTKM-WPRPVWTQSA-N -1 1 333.366 -0.738 20 0 EBADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-]Cc1cn(C2CCC2)nn1 ZINC001365718478 1132773982 /nfs/dbraw/zinc/77/39/82/1132773982.db2.gz BVLMLJTVWXGJTR-HXUWFJFHSA-N -1 1 336.443 -0.088 20 0 EBADMM Cc1nc(CS(=O)(=O)[N-][C@@H]2CS(=O)(=O)C[C@H]2O)cs1 ZINC001365726211 1132778131 /nfs/dbraw/zinc/77/81/31/1132778131.db2.gz SIJAQNBYUBSHHH-RKDXNWHRSA-N -1 1 326.421 -0.971 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1C[C@@H](C(=O)OC)[C@@H]2C[C@@H]21 ZINC001365740644 1132786904 /nfs/dbraw/zinc/78/69/04/1132786904.db2.gz ONIFAXJTLOKTQC-JIOCBJNQSA-N -1 1 343.361 -0.328 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H]3CCC[C@]3(C(N)=O)C2)[n-]n1 ZINC001365742900 1132787668 /nfs/dbraw/zinc/78/76/68/1132787668.db2.gz PDBFFCDWCUDYQD-SDBXPKJASA-N -1 1 342.377 -0.528 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H]3CCC[C@]3(C(N)=O)C2)n[n-]1 ZINC001365742900 1132787680 /nfs/dbraw/zinc/78/76/80/1132787680.db2.gz PDBFFCDWCUDYQD-SDBXPKJASA-N -1 1 342.377 -0.528 20 0 EBADMM Cn1[n-]c(CN(CCO)[C@@H]2CCCN(C(=O)[C@@H]3CC34CC4)C2)nc1=O ZINC001365884786 1132862543 /nfs/dbraw/zinc/86/25/43/1132862543.db2.gz TUXWHKJEGMCNDM-OLZOCXBDSA-N -1 1 349.435 -0.306 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](CNC(=O)[C@@]3(C)CCOC3)C2)nc1=O ZINC001366186095 1133047021 /nfs/dbraw/zinc/04/70/21/1133047021.db2.gz YYPLGHWDCFODCA-WBMJQRKESA-N -1 1 337.424 -0.137 20 0 EBADMM CN(CCNC(=O)c1ncccc1[O-])CC(=O)NC1CCOCC1 ZINC001366521132 1133166050 /nfs/dbraw/zinc/16/60/50/1133166050.db2.gz SDUGJXMDQXYTEL-UHFFFAOYSA-N -1 1 336.392 -0.256 20 0 EBADMM CN(CCNC(=O)c1ncccc1[O-])CC(=O)NC[C@H]1CCCO1 ZINC001366521173 1133166327 /nfs/dbraw/zinc/16/63/27/1133166327.db2.gz STFPRZRMJDPOLF-GFCCVEGCSA-N -1 1 336.392 -0.256 20 0 EBADMM CN(CCNC(=O)[C@@H]1C[C@H]1c1ccncc1)Cc1nc(=O)n(C)[n-]1 ZINC001366529145 1133170587 /nfs/dbraw/zinc/17/05/87/1133170587.db2.gz VLMHVBPWNFWQBW-QWHCGFSZSA-N -1 1 330.392 -0.145 20 0 EBADMM Cn1cc(C(=O)NCC[C@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)[nH]c1=O ZINC001366831462 1133308970 /nfs/dbraw/zinc/30/89/70/1133308970.db2.gz COHFMSAXNAXVKG-VIFPVBQESA-N -1 1 335.368 -0.409 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N1CCC(CN(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001366875159 1133336056 /nfs/dbraw/zinc/33/60/56/1133336056.db2.gz SWKBDGHYQFXSAB-SNVBAGLBSA-N -1 1 338.412 -0.295 20 0 EBADMM CCO[C@H](C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)C(C)C ZINC001367015049 1133428002 /nfs/dbraw/zinc/42/80/02/1133428002.db2.gz YHXJZGYWXZTZGR-AAEUAGOBSA-N -1 1 325.413 -0.140 20 0 EBADMM CCO[C@@H](CC)C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001367041138 1133447746 /nfs/dbraw/zinc/44/77/46/1133447746.db2.gz ACVRYPQGEWDRCB-RYUDHWBXSA-N -1 1 325.413 -0.044 20 0 EBADMM CN(CC(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)C(=O)C1CC1 ZINC001367221342 1133505637 /nfs/dbraw/zinc/50/56/37/1133505637.db2.gz QKTGSDLCFKTHBK-LLVKDONJSA-N -1 1 336.396 -0.541 20 0 EBADMM CS(=O)(=O)CCC(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001367321202 1133549741 /nfs/dbraw/zinc/54/97/41/1133549741.db2.gz DHQXQEHQPWMVJQ-UHFFFAOYSA-N -1 1 345.425 -0.536 20 0 EBADMM CC[C@@H](C(=O)N(C)[C@H](C)CNCc1n[nH]c(=O)[n-]1)N1CCCC1=O ZINC001367396997 1133577565 /nfs/dbraw/zinc/57/75/65/1133577565.db2.gz CKRXDKRDTRPBEX-MNOVXSKESA-N -1 1 338.412 -0.152 20 0 EBADMM COC(=O)NCC(=O)N1CCC[C@@H](C)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001367443510 1133590974 /nfs/dbraw/zinc/59/09/74/1133590974.db2.gz HWTMNROYFROUJC-NXEZZACHSA-N -1 1 340.384 -0.417 20 0 EBADMM CC(C)[C@H](CNC(=O)[C@H]1CCCC(=O)N1C)NCc1n[nH]c(=O)[n-]1 ZINC001367483877 1133599816 /nfs/dbraw/zinc/59/98/16/1133599816.db2.gz QIPURSHZVLALGA-WDEREUQCSA-N -1 1 338.412 -0.248 20 0 EBADMM CC(C)[C@@H](CNC(=O)[C@H]1CCCC(=O)N1C)NCc1n[nH]c(=O)[n-]1 ZINC001367483848 1133599857 /nfs/dbraw/zinc/59/98/57/1133599857.db2.gz QIPURSHZVLALGA-GHMZBOCLSA-N -1 1 338.412 -0.248 20 0 EBADMM CN(CCN(C)C(=O)CC[C@H]1CCOC1)Cc1nc(=O)n(C)[n-]1 ZINC001367509296 1133608124 /nfs/dbraw/zinc/60/81/24/1133608124.db2.gz XUAQXGNVARUPAZ-LBPRGKRZSA-N -1 1 325.413 -0.185 20 0 EBADMM CO[C@@H](C(=O)N(C)CCN(C)Cc1nc(=O)n(C)[n-]1)[C@@H]1CCOC1 ZINC001367527579 1133615796 /nfs/dbraw/zinc/61/57/96/1133615796.db2.gz DTLAXBQFVLSPGU-DGCLKSJQSA-N -1 1 341.412 -0.950 20 0 EBADMM O=C(NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1)[C@]12CCC[C@H]1OCC2 ZINC001367601678 1133678580 /nfs/dbraw/zinc/67/85/80/1133678580.db2.gz PNLDZTNMUFIVIZ-RBZYPMLTSA-N -1 1 325.369 -0.964 20 0 EBADMM CO[C@@H](CC(=O)NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(F)(F)F ZINC001367616136 1133698090 /nfs/dbraw/zinc/69/80/90/1133698090.db2.gz HYXUVUNSZHPZKO-BQBZGAKWSA-N -1 1 341.290 -0.956 20 0 EBADMM CCn1cc(Cl)cc1C(=O)NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001367626445 1133713835 /nfs/dbraw/zinc/71/38/35/1133713835.db2.gz FSWCFBTXPKACMY-VIFPVBQESA-N -1 1 342.787 -0.134 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CCc2cnn(C)c2C1 ZINC001367687231 1133747285 /nfs/dbraw/zinc/74/72/85/1133747285.db2.gz CVHNNVYRTWETFV-NXEZZACHSA-N -1 1 333.396 -0.357 20 0 EBADMM COCC1(C(=O)N[C@@H](C)CNCc2n[nH]c(=O)[n-]2)CCOCC1 ZINC001367739469 1133766434 /nfs/dbraw/zinc/76/64/34/1133766434.db2.gz WMWYLRWACGJAMR-JTQLQIEISA-N -1 1 327.385 -0.452 20 0 EBADMM Cc1nn(C)cc1CN(C)C[C@@H](C)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001367815973 1133796953 /nfs/dbraw/zinc/79/69/53/1133796953.db2.gz HMRNVYWVTDDPOL-MRVPVSSYSA-N -1 1 335.368 -0.425 20 0 EBADMM C[C@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)CCCn1cccn1 ZINC001367857080 1133817366 /nfs/dbraw/zinc/81/73/66/1133817366.db2.gz YJIVUAHBPGXOFJ-GFCCVEGCSA-N -1 1 335.412 -0.278 20 0 EBADMM CC(=O)NCC(C)(C)C(=O)N[C@H](C)CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001367890654 1133826635 /nfs/dbraw/zinc/82/66/35/1133826635.db2.gz MPHWGTGRHRQICF-SNVBAGLBSA-N -1 1 340.428 -0.793 20 0 EBADMM Cn1ccc(=O)c(C(=O)N[C@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)c1 ZINC001367897728 1133829797 /nfs/dbraw/zinc/82/97/97/1133829797.db2.gz RJLGXWAPYBEIAQ-LLVKDONJSA-N -1 1 332.364 -0.493 20 0 EBADMM CCNC(=O)CN1CC(N(C)C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001368083913 1133930615 /nfs/dbraw/zinc/93/06/15/1133930615.db2.gz PUMCIKCVIYWUOA-UHFFFAOYSA-N -1 1 333.392 -0.048 20 0 EBADMM C[C@H](CS(C)(=O)=O)C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001368122131 1133947449 /nfs/dbraw/zinc/94/74/49/1133947449.db2.gz RJBVXPVQGGSQEI-ZJUUUORDSA-N -1 1 345.425 -0.728 20 0 EBADMM CNC(=O)CCCC(=O)N[C@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001368160142 1133970232 /nfs/dbraw/zinc/97/02/32/1133970232.db2.gz YPHDJDNEHUWRBZ-OAHLLOKOSA-N -1 1 338.412 -0.895 20 0 EBADMM Cc1ncc(C(=O)NCC2(NCc3n[nH]c(=O)[n-]3)CCOCC2)n1C ZINC001368235029 1134002493 /nfs/dbraw/zinc/00/24/93/1134002493.db2.gz XVNAOTKWRTVNRA-UHFFFAOYSA-N -1 1 349.395 -0.379 20 0 EBADMM O=C(NCC1(O)CN(Cc2cnon2)C1)c1cnc(C2CC2)[n-]c1=O ZINC001368309833 1134133697 /nfs/dbraw/zinc/13/36/97/1134133697.db2.gz IJRVKISDBBHBKE-UHFFFAOYSA-N -1 1 346.347 -0.581 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)CC(F)(F)F)nc1=O ZINC001368427121 1134218290 /nfs/dbraw/zinc/21/82/90/1134218290.db2.gz JDYNKGVOUZJEAL-MRVPVSSYSA-N -1 1 337.302 -0.622 20 0 EBADMM COCCn1cc(C(=O)NCC2(NCc3n[nH]c(=O)[n-]3)CCC2)cn1 ZINC001368489667 1134250835 /nfs/dbraw/zinc/25/08/35/1134250835.db2.gz YQNHHZMCMXRBRJ-UHFFFAOYSA-N -1 1 349.395 -0.205 20 0 EBADMM CO[C@@H](C(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCOCC1)C1CC1 ZINC001368670992 1134368810 /nfs/dbraw/zinc/36/88/10/1134368810.db2.gz KHIQSFAXVFXWMB-GFCCVEGCSA-N -1 1 339.396 -0.310 20 0 EBADMM CO[C@@H](C)CC(=O)N1CCC[C@@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001368795103 1134444394 /nfs/dbraw/zinc/44/43/94/1134444394.db2.gz XLQWBIVPHUJYCF-NWDGAFQWSA-N -1 1 325.413 -0.044 20 0 EBADMM C[C@@H](CNC(=O)c1cnc2n1CCC2)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001368957814 1134497381 /nfs/dbraw/zinc/49/73/81/1134497381.db2.gz SQPIEHOPTYRPIB-JTQLQIEISA-N -1 1 333.396 -0.499 20 0 EBADMM C[C@@H](CNC(=O)[C@H](C)Oc1cccnc1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001368982847 1134508805 /nfs/dbraw/zinc/50/88/05/1134508805.db2.gz YYODWFSHCUGKOD-RYUDHWBXSA-N -1 1 348.407 -0.093 20 0 EBADMM C[C@H](c1nncn1C)N1CC(CCO)(NC(=O)c2ncccc2[O-])C1 ZINC001369017946 1134522917 /nfs/dbraw/zinc/52/29/17/1134522917.db2.gz NGMAOETVPVTZEC-LLVKDONJSA-N -1 1 346.391 -0.157 20 0 EBADMM COc1ccc(C(=O)N(C)[C@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)nn1 ZINC001369219436 1134621851 /nfs/dbraw/zinc/62/18/51/1134621851.db2.gz FUVKUDHNMJJIKD-LLVKDONJSA-N -1 1 347.379 -0.051 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H](CO)N[C@@H](C)C(=O)N(C)C(C)C)c1[O-] ZINC001369321370 1134661819 /nfs/dbraw/zinc/66/18/19/1134661819.db2.gz LAOPKLNKBKTWPO-WDEREUQCSA-N -1 1 341.412 -0.641 20 0 EBADMM Cc1cc(C(=O)NC[C@H](CO)NCc2nc(=O)n(C)[n-]2)ccc1F ZINC001369330594 1134672678 /nfs/dbraw/zinc/67/26/78/1134672678.db2.gz CAUXXPGSRMBBMU-LLVKDONJSA-N -1 1 337.355 -0.564 20 0 EBADMM Cn1[n-]c(CN[C@@H](CO)CNC(=O)CC2CC(C)(C)C2)nc1=O ZINC001369352738 1134702686 /nfs/dbraw/zinc/70/26/86/1134702686.db2.gz LGVGJBZUPAYZRM-LLVKDONJSA-N -1 1 325.413 -0.499 20 0 EBADMM CCc1nnc(CNC[C@H](O)CN(C)C(=O)c2ncccc2[O-])o1 ZINC001369454602 1134792455 /nfs/dbraw/zinc/79/24/55/1134792455.db2.gz IQMUGXYEBJVYGO-JTQLQIEISA-N -1 1 335.364 -0.045 20 0 EBADMM CN(Cc1ccccn1)C[C@@H](O)CN(C)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001369508904 1134899646 /nfs/dbraw/zinc/89/96/46/1134899646.db2.gz VRIOUZGBAOTTKP-LLVKDONJSA-N -1 1 348.363 -0.757 20 0 EBADMM CN(Cc1ccccn1)C[C@H](O)CN(C)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001369508910 1134899855 /nfs/dbraw/zinc/89/98/55/1134899855.db2.gz VRIOUZGBAOTTKP-NSHDSACASA-N -1 1 348.363 -0.757 20 0 EBADMM COCCN(CCNC(=O)c1ccoc1C)Cc1nc(=O)n(C)[n-]1 ZINC001369551176 1134932845 /nfs/dbraw/zinc/93/28/45/1134932845.db2.gz JUEOKOYGJLTZSW-UHFFFAOYSA-N -1 1 337.380 -0.112 20 0 EBADMM CN(C(=O)CCn1cncn1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001369756545 1135008621 /nfs/dbraw/zinc/00/86/21/1135008621.db2.gz SSHSVBYKYUQBKV-UHFFFAOYSA-N -1 1 348.411 -0.787 20 0 EBADMM O=C(CN1CCN(CCNC(=O)c2ncccc2[O-])CC1)N1CCC1 ZINC001369903215 1135083581 /nfs/dbraw/zinc/08/35/81/1135083581.db2.gz XANAECFMFMMBCU-UHFFFAOYSA-N -1 1 347.419 -0.633 20 0 EBADMM COCC(=O)NC[C@@H](CO)NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001370635413 1135438754 /nfs/dbraw/zinc/43/87/54/1135438754.db2.gz DQAGNKKGTHFRMN-JTQLQIEISA-N -1 1 340.380 -0.533 20 0 EBADMM Cc1cnn(C)c1C(=O)N[C@@H](CO)CNC(=O)c1ncccc1[O-] ZINC001370673498 1135479558 /nfs/dbraw/zinc/47/95/58/1135479558.db2.gz HCPBVTWNCGHYBN-SNVBAGLBSA-N -1 1 333.348 -0.650 20 0 EBADMM C[C@@]1(C(=O)N[C@H](CO)CNC(=O)c2ncccc2[O-])CCCOC1 ZINC001370679680 1135488081 /nfs/dbraw/zinc/48/80/81/1135488081.db2.gz ODGUTRYHKYZHRX-MEDUHNTESA-N -1 1 337.376 -0.189 20 0 EBADMM O=C(CCc1cncnc1)N[C@@H](CO)CNC(=O)c1ncccc1[O-] ZINC001370685036 1135495294 /nfs/dbraw/zinc/49/52/94/1135495294.db2.gz YTYLSPCDOATMBS-GFCCVEGCSA-N -1 1 345.359 -0.583 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)C1(NC(N)=O)CC1 ZINC001370816699 1135656558 /nfs/dbraw/zinc/65/65/58/1135656558.db2.gz GHBQBZFFYSIAFJ-ZJUUUORDSA-N -1 1 347.375 -0.293 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H]2CNC(=O)[C@]2(F)CCOC2)nc1=O ZINC001370884136 1135684013 /nfs/dbraw/zinc/68/40/13/1135684013.db2.gz LJEFYEWPZDNYIM-ABAIWWIYSA-N -1 1 341.387 -0.292 20 0 EBADMM CN(C[C@H](O)CNC(=O)c1ncccc1[O-])C(=O)c1cn(C)cn1 ZINC001370984039 1135734743 /nfs/dbraw/zinc/73/47/43/1135734743.db2.gz WHEBULAIXVNEHW-SNVBAGLBSA-N -1 1 333.348 -0.616 20 0 EBADMM CN(C[C@H](O)CNC(=O)c1cnns1)C(=O)c1ncccc1[O-] ZINC001370992388 1135745490 /nfs/dbraw/zinc/74/54/90/1135745490.db2.gz WIKHJTALWHBLPJ-MRVPVSSYSA-N -1 1 337.361 -0.498 20 0 EBADMM CN(C[C@@H](O)CN(C)C(=O)c1ncccc1[O-])C(=O)c1cn(C)cn1 ZINC001371049684 1135820543 /nfs/dbraw/zinc/82/05/43/1135820543.db2.gz VQJFSAAJPVTTCO-LLVKDONJSA-N -1 1 347.375 -0.274 20 0 EBADMM C[C@H](CC(N)=O)C(=O)N(C)C[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001371646105 1135991682 /nfs/dbraw/zinc/99/16/82/1135991682.db2.gz WXWGVMPOINYPOX-MNOVXSKESA-N -1 1 334.376 -0.028 20 0 EBADMM C[C@H](CNC(=O)CN1CCCC1)NC(=O)c1c[n-]n2c1nccc2=O ZINC001372867654 1136309111 /nfs/dbraw/zinc/30/91/11/1136309111.db2.gz OBGDEUBJJGKLJF-LLVKDONJSA-N -1 1 346.391 -0.647 20 0 EBADMM C[C@@H](CNC(=O)CCc1cn(C)nn1)NC(=O)c1ncccc1[O-] ZINC001372944745 1136333894 /nfs/dbraw/zinc/33/38/94/1136333894.db2.gz JMDJTDAKJGUFIK-JTQLQIEISA-N -1 1 332.364 -0.217 20 0 EBADMM O=C(C[C@H]1COC(=O)C1)NCC[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001373172734 1136390094 /nfs/dbraw/zinc/39/00/94/1136390094.db2.gz FRLGOOWTNOTTIE-WDEREUQCSA-N -1 1 337.380 -0.208 20 0 EBADMM Cc1nnc(CC(=O)NCC[C@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)o1 ZINC001373184650 1136393411 /nfs/dbraw/zinc/39/34/11/1136393411.db2.gz CUWWFPPWOQOBLF-JTQLQIEISA-N -1 1 335.368 -0.227 20 0 EBADMM CCNC(=O)CCC(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001373672699 1136533083 /nfs/dbraw/zinc/53/30/83/1136533083.db2.gz ZCIIWOFEKYSPNO-NSHDSACASA-N -1 1 338.412 -0.943 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)CCCn3cccn3)C2)nc1=O ZINC001373680022 1136534555 /nfs/dbraw/zinc/53/45/55/1136534555.db2.gz APGQJHUJGHHJMT-GFCCVEGCSA-N -1 1 333.396 -0.524 20 0 EBADMM CCN(C(=O)C[C@H]1CCOC1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001373705976 1136543135 /nfs/dbraw/zinc/54/31/35/1136543135.db2.gz YAHAZHOFORDOBW-OLZOCXBDSA-N -1 1 337.424 -0.042 20 0 EBADMM CC[C@H](CNC(=O)c1cc(CN(C)C)on1)NCc1n[nH]c(=O)[n-]1 ZINC001373768688 1136556150 /nfs/dbraw/zinc/55/61/50/1136556150.db2.gz DPAXPHICNBUBJC-SECBINFHSA-N -1 1 337.384 -0.142 20 0 EBADMM CCN(CCNC(=O)[C@@H]1CN(C)CCO1)C(=O)c1ncccc1[O-] ZINC001373782170 1136559369 /nfs/dbraw/zinc/55/93/69/1136559369.db2.gz RQIJGBUEPAFFJU-ZDUSSCGKSA-N -1 1 336.392 -0.304 20 0 EBADMM CC[C@@H](CNC(=O)CNC(=O)c1ccccc1)NCc1n[nH]c(=O)[n-]1 ZINC001373819546 1136566810 /nfs/dbraw/zinc/56/68/10/1136566810.db2.gz WFPKTMJJSQXBAW-LBPRGKRZSA-N -1 1 346.391 -0.075 20 0 EBADMM CC(C)C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1COCCO1 ZINC001374341570 1136677019 /nfs/dbraw/zinc/67/70/19/1136677019.db2.gz WJCQTIAOKYMJRB-WDEREUQCSA-N -1 1 327.385 -0.454 20 0 EBADMM CCc1cc(C(=O)N(C)CCN(C)Cc2nc(=O)n(C)[n-]2)n(C)n1 ZINC001374546535 1136715600 /nfs/dbraw/zinc/71/56/00/1136715600.db2.gz LMGKTQMDGSATFK-UHFFFAOYSA-N -1 1 335.412 -0.392 20 0 EBADMM CCOc1ccccc1C(=O)NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001374682619 1136798944 /nfs/dbraw/zinc/79/89/44/1136798944.db2.gz XQAADJJUZOKIKL-JTQLQIEISA-N -1 1 335.364 -0.211 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CCNC(=O)C(C)(C)C ZINC001374796862 1136835047 /nfs/dbraw/zinc/83/50/47/1136835047.db2.gz VSDYPVUKEOHNHZ-SECBINFHSA-N -1 1 326.401 -0.343 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)CN(C)Cc1cc(=O)n2[n-]ccc2n1 ZINC001375005486 1136892144 /nfs/dbraw/zinc/89/21/44/1136892144.db2.gz XAEIWNWDSPIGGJ-NSHDSACASA-N -1 1 348.407 -0.563 20 0 EBADMM COc1ccc(CN(C)C[C@H](C)NC(=O)c2n[nH]c(=O)[n-]c2=O)cn1 ZINC001375074903 1136908256 /nfs/dbraw/zinc/90/82/56/1136908256.db2.gz SFXYNROZMXZLHO-VIFPVBQESA-N -1 1 348.363 -0.063 20 0 EBADMM C[C@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)CCc1nccn1C ZINC001375075345 1136908415 /nfs/dbraw/zinc/90/84/15/1136908415.db2.gz BJOMJMMHSDYFJM-LLVKDONJSA-N -1 1 335.412 -0.589 20 0 EBADMM COC(=O)[C@@H]1CCCC[C@H]1S(=O)(=O)[N-][C@@H]1CC(=O)N(C)C1=O ZINC000330683106 284004241 /nfs/dbraw/zinc/00/42/41/284004241.db2.gz OOKMATULADHNID-OPRDCNLKSA-N -1 1 332.378 -0.605 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCc2cccc(F)c2)c(=O)n(C)c1=O ZINC000015365343 375987820 /nfs/dbraw/zinc/98/78/20/375987820.db2.gz QWRIVLMXHOCGEO-UHFFFAOYSA-N -1 1 341.364 -0.256 20 0 EBADMM CC(C)(CCS(=O)(=O)[N-][C@@H]1COCCC1=O)S(C)(=O)=O ZINC000625490662 376242322 /nfs/dbraw/zinc/24/23/22/376242322.db2.gz FXUABDCVBZWNBR-SECBINFHSA-N -1 1 327.424 -0.523 20 0 EBADMM CNS(=O)(=O)c1ccc([N-]S(=O)(=O)CCC(=O)OC)cc1 ZINC000609393324 376597639 /nfs/dbraw/zinc/59/76/39/376597639.db2.gz GURIBWGXMYMSHZ-UHFFFAOYSA-N -1 1 336.391 -0.101 20 0 EBADMM O=C([C@@H]1CC(=O)N(Cc2ccco2)C1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370890646 376606149 /nfs/dbraw/zinc/60/61/49/376606149.db2.gz FRXUYEJBNUKJPS-ZYHUDNBSSA-N -1 1 346.347 -0.259 20 0 EBADMM CCCc1cc(=O)n2[n-]c(NC(=O)CN3CCOCC3=O)nc2n1 ZINC000622997748 376609685 /nfs/dbraw/zinc/60/96/85/376609685.db2.gz JYJHVNKLGGHVER-UHFFFAOYSA-N -1 1 334.336 -0.833 20 0 EBADMM O=S1(=O)C[C@H](O)[C@H](N2CCN(Cc3ncccc3[O-])CC2)C1 ZINC000566414575 376788027 /nfs/dbraw/zinc/78/80/27/376788027.db2.gz PTFCWMZPXIVUDA-OCCSQVGLSA-N -1 1 327.406 -0.937 20 0 EBADMM CCOC(=O)c1c[n-]c(NC(=O)c2ccnc3c(C(N)=O)cnn32)n1 ZINC000585682017 377095329 /nfs/dbraw/zinc/09/53/29/377095329.db2.gz MWJZLPYJZOJYAQ-UHFFFAOYSA-N -1 1 343.303 -0.020 20 0 EBADMM C[C@@H](C[C@H]1CCCO1)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000588173092 377391347 /nfs/dbraw/zinc/39/13/47/377391347.db2.gz JWPYOYKXUOLBEX-VHSXEESVSA-N -1 1 331.394 -0.680 20 0 EBADMM Cc1cc(C(=O)N2CCN(C)C[C@@H]2C[NH3+])[n-]c2nc(=O)[n-]c(=O)c1-2 ZINC000582065384 377507860 /nfs/dbraw/zinc/50/78/60/377507860.db2.gz KNKNCPWKCYHPOI-VIFPVBQESA-N -1 1 332.364 -0.541 20 0 EBADMM CC1CC(CNC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C1 ZINC000623040430 377489197 /nfs/dbraw/zinc/48/91/97/377489197.db2.gz XFFVPEXJEFXDRR-UHFFFAOYSA-N -1 1 329.378 -0.181 20 0 EBADMM C[C@]12CCC(=O)N1[C@@H](C(=O)N1CCO[C@H](c3nn[n-]n3)C1)CS2 ZINC000364527889 377621031 /nfs/dbraw/zinc/62/10/31/377621031.db2.gz BYMWTYJKGCMCRC-ZDMBXUJBSA-N -1 1 338.393 -0.446 20 0 EBADMM C[C@]12CCC(=O)N1[C@H](C(=O)N1CCO[C@@H](c3nn[n-]n3)C1)CS2 ZINC000364527888 377621578 /nfs/dbraw/zinc/62/15/78/377621578.db2.gz BYMWTYJKGCMCRC-RWEMILLDSA-N -1 1 338.393 -0.446 20 0 EBADMM O=C([N-]OC[C@H]1CCOC1)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000364542283 377623622 /nfs/dbraw/zinc/62/36/22/377623622.db2.gz JKLCMVWSFRMZEV-UONOGXRCSA-N -1 1 341.408 -0.749 20 0 EBADMM COC(=O)C[C@H]([N-]S(=O)(=O)CCC[C@H]1CCOC1)C(=O)OC ZINC000592011896 377783011 /nfs/dbraw/zinc/78/30/11/377783011.db2.gz GUHHVJGNYBBUCL-QWRGUYRKSA-N -1 1 337.394 -0.173 20 0 EBADMM COC(=O)c1sccc1N1C(=O)C[C@H](NCc2nn[n-]n2)C1=O ZINC000593920840 377919441 /nfs/dbraw/zinc/91/94/41/377919441.db2.gz PSGOMRKFUMOSLA-LURJTMIESA-N -1 1 336.333 -0.531 20 0 EBADMM COC(=O)[C@H](CCF)[N-]S(=O)(=O)C[C@@H](OC)[C@@H]1CCOC1 ZINC000594797055 377954297 /nfs/dbraw/zinc/95/42/97/377954297.db2.gz UURJMKZWHVWTEY-OUAUKWLOSA-N -1 1 327.374 -0.142 20 0 EBADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1cnc(C)n1C)c1ccnn1C ZINC000599341164 378227244 /nfs/dbraw/zinc/22/72/44/378227244.db2.gz RSXISBIAHSSDFY-NSHDSACASA-N -1 1 327.366 -0.345 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-][C@@H](CCSC)C(N)=O)c1 ZINC000599402485 378238652 /nfs/dbraw/zinc/23/86/52/378238652.db2.gz TZHUBKOVJVDHBX-QMMMGPOBSA-N -1 1 336.391 -0.049 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@]23C[C@@H]2CCC3)o1 ZINC000617208274 378557318 /nfs/dbraw/zinc/55/73/18/378557318.db2.gz DMUVZYVKNYSELB-SDBXPKJASA-N -1 1 327.362 -0.284 20 0 EBADMM Cc1c[nH]c(=O)n1-c1ccccc1NC(=O)C(=O)NCc1nn[n-]n1 ZINC000606117591 378529472 /nfs/dbraw/zinc/52/94/72/378529472.db2.gz QIQAVDWCHUJDAD-UHFFFAOYSA-N -1 1 342.319 -0.346 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1cc2c(cc1Cl)OCCO2 ZINC000606116977 378529886 /nfs/dbraw/zinc/52/98/86/378529886.db2.gz INFBCVFCPYYIAX-UHFFFAOYSA-N -1 1 338.711 -0.121 20 0 EBADMM COCCn1cc(CNC(=O)CNC(=O)c2ncccc2[O-])cn1 ZINC000337533077 378658600 /nfs/dbraw/zinc/65/86/00/378658600.db2.gz FDZORUJGDCFVFC-UHFFFAOYSA-N -1 1 333.348 -0.324 20 0 EBADMM C[C@@H](C(=O)N(C)C)S(=O)(=O)[N-][C@@H]1CN(c2ccc(F)cc2)C1=O ZINC000365775214 378685729 /nfs/dbraw/zinc/68/57/29/378685729.db2.gz HXEOHXGQIGZAQV-JOYOIKCWSA-N -1 1 343.380 -0.063 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H]2CCCS2(=O)=O)c1 ZINC000338229380 378746596 /nfs/dbraw/zinc/74/65/96/378746596.db2.gz GFXHLHVTEXQHGD-SECBINFHSA-N -1 1 337.375 -0.078 20 0 EBADMM NC(=O)c1cccc(CCNC(=O)CNC(=O)c2ncccc2[O-])c1 ZINC000337901956 378706681 /nfs/dbraw/zinc/70/66/81/378706681.db2.gz JTXQXZVUZOXNBY-UHFFFAOYSA-N -1 1 342.355 -0.025 20 0 EBADMM CC(C)N1C(=O)C[C@H](NC(=O)CNC(=O)c2ncccc2[O-])C1=O ZINC000337913371 378707335 /nfs/dbraw/zinc/70/73/35/378707335.db2.gz RCODBAZRAJDYDS-VIFPVBQESA-N -1 1 334.332 -0.831 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NCCS(N)(=O)=O ZINC000338077144 378731833 /nfs/dbraw/zinc/73/18/33/378731833.db2.gz CDHNSBWOEVLPFR-UHFFFAOYSA-N -1 1 341.393 -0.611 20 0 EBADMM CCOC(=O)[C@@H]1CC[C@@H](C(=O)OCC)N1Cc1nc(=O)n(C)[n-]1 ZINC000338331896 378758627 /nfs/dbraw/zinc/75/86/27/378758627.db2.gz QTRTZHKHIORQGY-UWVGGRQHSA-N -1 1 326.353 -0.432 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2ccc(C(N)=O)o2)co1 ZINC000339374854 378908423 /nfs/dbraw/zinc/90/84/23/378908423.db2.gz KWRSBFFNGJVADX-UHFFFAOYSA-N -1 1 327.318 -0.190 20 0 EBADMM CO[C@@H]([C@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C1CC1 ZINC000451998958 379075326 /nfs/dbraw/zinc/07/53/26/379075326.db2.gz VJZCINKQVVGLRX-KWQFWETISA-N -1 1 331.394 -0.824 20 0 EBADMM O=C(NCCNS(=O)(=O)Cc1ccon1)c1cncc([O-])c1 ZINC000339913764 379086984 /nfs/dbraw/zinc/08/69/84/379086984.db2.gz FHASJTKRCOWHSI-UHFFFAOYSA-N -1 1 326.334 -0.375 20 0 EBADMM C[C@H](NC(=O)c1cncc([O-])c1)C(=O)N1CCS(=O)(=O)CC1 ZINC000339929299 379092084 /nfs/dbraw/zinc/09/20/84/379092084.db2.gz QFQVPKFDGLCXKL-VIFPVBQESA-N -1 1 327.362 -0.838 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)c2ccc(-n3cnnn3)cc2)n[n-]1 ZINC000617840321 379101365 /nfs/dbraw/zinc/10/13/65/379101365.db2.gz RUOQZTOFLOMCPD-UHFFFAOYSA-N -1 1 342.319 -0.113 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)c2ccc(-n3cnnn3)cc2)n1 ZINC000617840321 379101375 /nfs/dbraw/zinc/10/13/75/379101375.db2.gz RUOQZTOFLOMCPD-UHFFFAOYSA-N -1 1 342.319 -0.113 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@H]1C[C@H]2CCCCN2C1=O ZINC000457274840 379185368 /nfs/dbraw/zinc/18/53/68/379185368.db2.gz MLYPIRZIORBEDT-MNOVXSKESA-N -1 1 332.360 -0.213 20 0 EBADMM CCOCCS(=O)(=O)NCC(=O)Nc1n[n-]c(C(F)(F)F)n1 ZINC000268147811 379279181 /nfs/dbraw/zinc/27/91/81/379279181.db2.gz KUOCFAQCRIZFBD-UHFFFAOYSA-N -1 1 345.303 -0.282 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCSC(C)(C)C)c(=O)n(C)c1=O ZINC000066936266 379342323 /nfs/dbraw/zinc/34/23/23/379342323.db2.gz SKGLISSORQOHMV-UHFFFAOYSA-N -1 1 335.451 -0.106 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CN3CCN2CC3)o1 ZINC000269473686 379375135 /nfs/dbraw/zinc/37/51/35/379375135.db2.gz JXHADUVLYSOYIZ-SNVBAGLBSA-N -1 1 329.378 -0.656 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H](C)CN2CCCC2=O)co1 ZINC000270051589 379430461 /nfs/dbraw/zinc/43/04/61/379430461.db2.gz RIYSGBJTNMJNRF-SECBINFHSA-N -1 1 329.378 -0.072 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCO[C@H](CC(=O)OC)C2)co1 ZINC000270208455 379439254 /nfs/dbraw/zinc/43/92/54/379439254.db2.gz RQMWKTLUZGQSHR-SNVBAGLBSA-N -1 1 346.361 -0.408 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@]2(C)CCCC[C@@H]2O)c(=O)n(C)c1=O ZINC000269784498 379407500 /nfs/dbraw/zinc/40/75/00/379407500.db2.gz ITLKPRDUKKSITQ-SMDDNHRTSA-N -1 1 345.421 -0.697 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H]2CC[C@@H](C(N)=O)O2)c1 ZINC000270040092 379427499 /nfs/dbraw/zinc/42/74/99/379427499.db2.gz RUNBQULJWXMARB-BDAKNGLRSA-N -1 1 332.334 -0.623 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H](O)c2cnn(C)c2)c1 ZINC000270016862 379428106 /nfs/dbraw/zinc/42/81/06/379428106.db2.gz NLMPXJRYNAPDSH-SNVBAGLBSA-N -1 1 329.334 -0.188 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCNC(=O)[C@H]2C(C)C)co1 ZINC000270028964 379428616 /nfs/dbraw/zinc/42/86/16/379428616.db2.gz GLXGMBADBFBHHV-LLVKDONJSA-N -1 1 329.378 -0.216 20 0 EBADMM O=S(=O)([N-]C1CS(=O)(=O)C1)c1ncccc1Br ZINC000641652106 379461306 /nfs/dbraw/zinc/46/13/06/379461306.db2.gz QJKRAGFXWUCJBF-UHFFFAOYSA-N -1 1 341.208 -0.081 20 0 EBADMM C[C@@H](CN(C)C(=O)Cc1ccc(-n2cnnn2)cc1)c1nn[n-]n1 ZINC000273553081 379652095 /nfs/dbraw/zinc/65/20/95/379652095.db2.gz WBAMNTCZAPJDKB-JTQLQIEISA-N -1 1 327.352 -0.020 20 0 EBADMM CC(C)OC(=O)CCC[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000274234727 379679274 /nfs/dbraw/zinc/67/92/74/379679274.db2.gz VVJOPGGQIYWRNB-UHFFFAOYSA-N -1 1 347.393 -0.906 20 0 EBADMM COC(=O)[C@H](NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C)[C@@H](C)O ZINC000275948836 379795158 /nfs/dbraw/zinc/79/51/58/379795158.db2.gz FXJOTPASKGVYQG-YMTOWFKASA-N -1 1 336.348 -0.779 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCN(C[C@@H](C)O)CC2)co1 ZINC000275455120 379758583 /nfs/dbraw/zinc/75/85/83/379758583.db2.gz OHRXZQSVSNEPRQ-SNVBAGLBSA-N -1 1 331.394 -0.674 20 0 EBADMM O=C(CNS(=O)(=O)c1cccc(Cl)c1[O-])N1CCNCC1 ZINC000631902045 379771658 /nfs/dbraw/zinc/77/16/58/379771658.db2.gz SHYZZKNGMLXNMC-UHFFFAOYSA-N -1 1 333.797 -0.244 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)N2CCN3C(=O)NC[C@@H]3C2)c1 ZINC000632077981 379811662 /nfs/dbraw/zinc/81/16/62/379811662.db2.gz DRIUWLWGGGTLDZ-SECBINFHSA-N -1 1 327.362 -0.201 20 0 EBADMM CC(C)[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C(C)(C)O ZINC000277157694 379875046 /nfs/dbraw/zinc/87/50/46/379875046.db2.gz ZDOJNALCXRLCEG-JTQLQIEISA-N -1 1 333.410 -0.842 20 0 EBADMM CO[C@H]1CS(=O)(=O)C[C@@H]1[N-]S(=O)(=O)[C@@H]1CCCC[C@@H]1OC ZINC000632551611 379880528 /nfs/dbraw/zinc/88/05/28/379880528.db2.gz FNDKCCWGVAMNDT-FIQHERPVSA-N -1 1 341.451 -0.325 20 0 EBADMM CN1NN=C2C1=NCC[C@@H]2C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614474831 379931988 /nfs/dbraw/zinc/93/19/88/379931988.db2.gz YTTGPFGTEAQGTH-VIFPVBQESA-N -1 1 333.352 -0.294 20 0 EBADMM CC[C@H](NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)C1CC1 ZINC000618869613 380036718 /nfs/dbraw/zinc/03/67/18/380036718.db2.gz WDAAGBNPHFCLBE-VIFPVBQESA-N -1 1 329.378 -0.038 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C1(S(C)(=O)=O)CC1 ZINC000280817697 379993469 /nfs/dbraw/zinc/99/34/69/379993469.db2.gz HMPYOXJHJQGKHG-UHFFFAOYSA-N -1 1 338.389 -0.167 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H](CO)[C@@H]2CCOC2)c1 ZINC000281109034 380008441 /nfs/dbraw/zinc/00/84/41/380008441.db2.gz XJZXAALPHFCFJX-MWLCHTKSSA-N -1 1 333.362 -0.011 20 0 EBADMM CC(C)(C)[C@H](NS(C)(=O)=O)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000540425372 380011736 /nfs/dbraw/zinc/01/17/36/380011736.db2.gz QCPCZLBETKXLHV-NXEZZACHSA-N -1 1 344.441 -0.130 20 0 EBADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CC(=O)N(C3CC3)C2)c1 ZINC000540805700 380014116 /nfs/dbraw/zinc/01/41/16/380014116.db2.gz PTVZDSOYNHXTDH-MRVPVSSYSA-N -1 1 339.373 -0.011 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1C(=O)NCC[C@H]1C ZINC000282634390 380086209 /nfs/dbraw/zinc/08/62/09/380086209.db2.gz SXRIUPGBLPWMMG-VXNVDRBHSA-N -1 1 330.366 -0.611 20 0 EBADMM CN(C(=O)CNC(=O)c1cc(=O)n2[n-]cnc2n1)C1CCCCC1 ZINC000545439088 380095165 /nfs/dbraw/zinc/09/51/65/380095165.db2.gz AYWJXHWJDMXWEI-UHFFFAOYSA-N -1 1 332.364 -0.062 20 0 EBADMM CN(CC(=O)N1CCOCC1)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283209278 380108772 /nfs/dbraw/zinc/10/87/72/380108772.db2.gz XIZAIVUBOGWJBR-UHFFFAOYSA-N -1 1 335.389 -0.051 20 0 EBADMM NC(=O)c1cccc(S(=O)(=O)N[C@H](Cc2cnc[nH]2)C(=O)[O-])c1 ZINC000548204179 380188245 /nfs/dbraw/zinc/18/82/45/380188245.db2.gz QIVWXDCRRLAUAL-LLVKDONJSA-N -1 1 338.345 -0.517 20 0 EBADMM COC1(CC[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCC1 ZINC000549863815 380247850 /nfs/dbraw/zinc/24/78/50/380247850.db2.gz YMNXILSRIYDPHA-UHFFFAOYSA-N -1 1 331.394 -0.679 20 0 EBADMM CCC[C@H](NC(=O)c1cc(S(N)(=O)=O)cn1C)c1nn[n-]n1 ZINC000285188194 380230619 /nfs/dbraw/zinc/23/06/19/380230619.db2.gz IOQBRSPOBHTEKL-QMMMGPOBSA-N -1 1 327.370 -0.543 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)[C@H]2CCC(=O)N(C)C2)o1 ZINC000552319612 380296784 /nfs/dbraw/zinc/29/67/84/380296784.db2.gz YJEIPYRQBJJKLV-VIFPVBQESA-N -1 1 329.378 -0.120 20 0 EBADMM C[C@@H]1OCCN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)[C@H]1C ZINC000361445861 380311509 /nfs/dbraw/zinc/31/15/09/380311509.db2.gz MFDOBYKXRCOSGA-IUCAKERBSA-N -1 1 345.377 -0.707 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCOCC2CCC2)c(=O)n(C)c1=O ZINC000555238614 380357864 /nfs/dbraw/zinc/35/78/64/380357864.db2.gz DOINZANWSKDHRK-UHFFFAOYSA-N -1 1 331.394 -0.821 20 0 EBADMM Cn1[n-]cc2/c(=N\C(=O)C(=O)NCC(=O)OC(C)(C)C)ccnc1-2 ZINC000287564529 380361356 /nfs/dbraw/zinc/36/13/56/380361356.db2.gz JSSNABYVSWRXOY-GRSHGNNSSA-N -1 1 333.348 -0.262 20 0 EBADMM C[C@H]1CS(=O)(=O)C[C@H]1[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000288312141 380402011 /nfs/dbraw/zinc/40/20/11/380402011.db2.gz DYSDIYHJRVCBSU-NKWVEPMBSA-N -1 1 327.815 -0.215 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CS(=O)(=O)C[C@@H]2C)o1 ZINC000288393579 380407227 /nfs/dbraw/zinc/40/72/27/380407227.db2.gz LYDBBDANHBRZTQ-JGVFFNPUSA-N -1 1 337.375 -0.222 20 0 EBADMM O=C(Cn1cnnn1)N[C@H](c1nn[n-]n1)c1ccc(Cl)c(F)c1 ZINC000368651521 380518216 /nfs/dbraw/zinc/51/82/16/380518216.db2.gz HHAGBYSCMWZPRC-JTQLQIEISA-N -1 1 337.706 -0.116 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@@H]3C)C2)o1 ZINC000363267835 380465966 /nfs/dbraw/zinc/46/59/66/380465966.db2.gz AHCIAXKSVFJVPD-IVZWLZJFSA-N -1 1 341.389 -0.073 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CC(=O)N([C@H]3C[C@H]3C)C2)o1 ZINC000363267834 380466748 /nfs/dbraw/zinc/46/67/48/380466748.db2.gz AHCIAXKSVFJVPD-BBBLOLIVSA-N -1 1 341.389 -0.073 20 0 EBADMM CCC[C@H](NC(=O)c1cc(S(N)(=O)=O)cnc1C)c1nn[n-]n1 ZINC000566629879 380484383 /nfs/dbraw/zinc/48/43/83/380484383.db2.gz XBSWFLWXQVVHLF-JTQLQIEISA-N -1 1 339.381 -0.178 20 0 EBADMM CCC(CC)[C@@H](O)C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000269794654 380525266 /nfs/dbraw/zinc/52/52/66/380525266.db2.gz RFFCOPJZLDKGKX-JTQLQIEISA-N -1 1 333.410 -0.841 20 0 EBADMM Cc1nn(C)c(C)c1S(=O)(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000274911819 380565786 /nfs/dbraw/zinc/56/57/86/380565786.db2.gz KXWWPYNNAFOPTK-JTQLQIEISA-N -1 1 327.366 -0.266 20 0 EBADMM CCC[C@@H](C)[C@@H](CO)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000568033271 380567607 /nfs/dbraw/zinc/56/76/07/380567607.db2.gz HGCONIXNPCNCFF-NXEZZACHSA-N -1 1 333.410 -0.841 20 0 EBADMM CCn1cc(S(=O)(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])nc1C ZINC000315244753 380578878 /nfs/dbraw/zinc/57/88/78/380578878.db2.gz MVRDMDPZOREKKE-JTQLQIEISA-N -1 1 327.366 -0.091 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](CO)[C@H]1CCCOC1 ZINC000568263714 380589720 /nfs/dbraw/zinc/58/97/20/380589720.db2.gz KEVUQWDLSMNIAY-GXSJLCMTSA-N -1 1 347.393 -0.348 20 0 EBADMM CO[C@H]1CCN(CCNS(=O)(=O)c2cnn(C)c2)[C@H](C(=O)[O-])C1 ZINC000573387764 380670661 /nfs/dbraw/zinc/67/06/61/380670661.db2.gz ZJFSPPSVRGDCNF-JQWIXIFHSA-N -1 1 346.409 -0.738 20 0 EBADMM CSCCO[N-]C(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000366732604 380706067 /nfs/dbraw/zinc/70/60/67/380706067.db2.gz XUSNKNOSQWGQKL-GFCCVEGCSA-N -1 1 331.438 -0.422 20 0 EBADMM CNC(=O)Cn1nc([N-]S(=O)(=O)N2C[C@@H](C)OC[C@@H]2C)cc1C ZINC000340939968 380649423 /nfs/dbraw/zinc/64/94/23/380649423.db2.gz OFJNQOMDETZMHP-WDEREUQCSA-N -1 1 345.425 -0.297 20 0 EBADMM C[C@H]1CN(S(=O)(=O)[N-]c2ccn(CCC(N)=O)n2)[C@@H](C)CO1 ZINC000340958171 380651035 /nfs/dbraw/zinc/65/10/35/380651035.db2.gz OCINEFLRXKUSQJ-UWVGGRQHSA-N -1 1 331.398 -0.476 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CN2CCCNC2=O)c1 ZINC000584384423 381093889 /nfs/dbraw/zinc/09/38/89/381093889.db2.gz ADMYSQZGTMZNOU-UHFFFAOYSA-N -1 1 342.377 -0.346 20 0 EBADMM COCC[C@H]([N-]S(=O)(=O)[C@H]1CCC[C@@H]1C(=O)OC)C(=O)OC ZINC000581853612 381104757 /nfs/dbraw/zinc/10/47/57/381104757.db2.gz MOYYHQXOJHHGOY-DCAQKATOSA-N -1 1 337.394 -0.174 20 0 EBADMM CN1C(=O)N[C@@H]2CN(C(=O)CNC(=O)c3ncccc3[O-])CC[C@@H]21 ZINC000347104990 381178232 /nfs/dbraw/zinc/17/82/32/381178232.db2.gz UCEIZWYRRIGWAQ-ZJUUUORDSA-N -1 1 333.348 -0.859 20 0 EBADMM CCOC[C@H](C(=O)OC)N(C)C(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000610749322 381200157 /nfs/dbraw/zinc/20/01/57/381200157.db2.gz BQFVEMXYBQWPGQ-SECBINFHSA-N -1 1 348.377 -0.162 20 0 EBADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H](O)C[C@H]2CO)o1 ZINC000347730768 381254505 /nfs/dbraw/zinc/25/45/05/381254505.db2.gz LIEQLOXJRMTPER-UWVGGRQHSA-N -1 1 346.405 -0.076 20 0 EBADMM CSc1nc(C)c(CCC(=O)NCCNS(C)(=O)=O)c(=O)[n-]1 ZINC000029869395 381254620 /nfs/dbraw/zinc/25/46/20/381254620.db2.gz KDSICYYDRSOZSC-UHFFFAOYSA-N -1 1 348.450 -0.189 20 0 EBADMM Cc1[nH]c(=O)sc1S(=O)(=O)[N-]CCNC(=O)c1ccon1 ZINC000347688081 381256277 /nfs/dbraw/zinc/25/62/77/381256277.db2.gz WNESQDXIQCBCTA-UHFFFAOYSA-N -1 1 332.363 -0.147 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C[C@@H](C)O1 ZINC000347907540 381287846 /nfs/dbraw/zinc/28/78/46/381287846.db2.gz ZZGYUKHMGNCDQE-RKDXNWHRSA-N -1 1 345.377 -0.707 20 0 EBADMM Cc1oc(S(=O)(=O)N(C)C)cc1C(=O)NC1(c2nn[n-]n2)CC1 ZINC000348282511 381351781 /nfs/dbraw/zinc/35/17/81/381351781.db2.gz NXMVNJRYJVRSJN-UHFFFAOYSA-N -1 1 340.365 -0.229 20 0 EBADMM O=C(Cn1cc(Br)ccc1=O)NC1(c2nn[n-]n2)CC1 ZINC000348280146 381352653 /nfs/dbraw/zinc/35/26/53/381352653.db2.gz QXGOJDRXNHJZGS-UHFFFAOYSA-N -1 1 339.153 -0.071 20 0 EBADMM NC(=O)[C@@H]1[C@H]2CCC[C@H]2CN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000348962154 381426613 /nfs/dbraw/zinc/42/66/13/381426613.db2.gz GPRLCOSICZBZOV-BHDSKKPTSA-N -1 1 332.360 -0.371 20 0 EBADMM Cn1c2ncn(CC(=O)Nc3c([O-])cccc3F)c2c(=O)n(C)c1=O ZINC000608365722 381457425 /nfs/dbraw/zinc/45/74/25/381457425.db2.gz ARGCXOXEXGNRDY-UHFFFAOYSA-N -1 1 347.306 -0.083 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCC[C@H](C(N)=O)C2)o1 ZINC000349414573 381459329 /nfs/dbraw/zinc/45/93/29/381459329.db2.gz HKLDZZGXWMCKDW-DTWKUNHWSA-N -1 1 329.378 -0.038 20 0 EBADMM COc1ccccc1N1C[C@@H](C(=O)NN2CC(=O)[N-]C2=O)CC1=O ZINC000029023362 381514599 /nfs/dbraw/zinc/51/45/99/381514599.db2.gz PLZHIOCJOMWHSD-VIFPVBQESA-N -1 1 332.316 -0.369 20 0 EBADMM CC[C@@](C)(CCO)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000351629805 381654775 /nfs/dbraw/zinc/65/47/75/381654775.db2.gz FWFVVKDDGLEFQK-ZDUSSCGKSA-N -1 1 347.393 -0.676 20 0 EBADMM CCN(CCCNC(=O)NN=c1nc(OC)cc[n-]1)S(C)(=O)=O ZINC000057148488 381783568 /nfs/dbraw/zinc/78/35/68/381783568.db2.gz QZQNMLFSECJTGY-UHFFFAOYSA-N -1 1 346.413 -0.217 20 0 EBADMM COCCC1(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCC1 ZINC000332506551 381803342 /nfs/dbraw/zinc/80/33/42/381803342.db2.gz XWBMIQQERBAQQA-UHFFFAOYSA-N -1 1 345.421 -0.431 20 0 EBADMM C[C@H]1OCC[C@]12CN(C(=O)CNC(=O)c1ncccc1[O-])CCO2 ZINC000352298688 381961512 /nfs/dbraw/zinc/96/15/12/381961512.db2.gz AGTYPDIGIVAZDF-BZNIZROVSA-N -1 1 335.360 -0.077 20 0 EBADMM C[C@@H]1CCCC[C@@H]1NC(=O)CN1Cc2n[nH]c(=O)n2C[C@H]1C(=O)[O-] ZINC000333655389 381947255 /nfs/dbraw/zinc/94/72/55/381947255.db2.gz ZTTYNGLLKMYDFA-VWYCJHECSA-N -1 1 337.380 -0.053 20 0 EBADMM COc1ccccc1COC[C@H](O)C[N@H+]1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000352771833 382029434 /nfs/dbraw/zinc/02/94/34/382029434.db2.gz OAPNDASIWQLQJN-KGLIPLIRSA-N -1 1 349.387 -0.191 20 0 EBADMM CC[C@@H]1CCN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C1 ZINC000446518814 382181334 /nfs/dbraw/zinc/18/13/34/382181334.db2.gz CXOHWIFJYJOOCM-SECBINFHSA-N -1 1 329.378 -0.085 20 0 EBADMM CCOCCC(=O)NCC[N-]S(=O)(=O)c1sc(=O)[nH]c1C ZINC000347694272 286038234 /nfs/dbraw/zinc/03/82/34/286038234.db2.gz GXOCCNYQYNCLPR-UHFFFAOYSA-N -1 1 337.423 -0.022 20 0 EBADMM CCS(=O)(=O)N(C)CC(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000330604307 155292084 /nfs/dbraw/zinc/29/20/84/155292084.db2.gz XYUGBJGVWGHOER-SECBINFHSA-N -1 1 332.382 -0.237 20 0 EBADMM COC(=O)C(C)(C)CS(=O)(=O)[N-][C@@H]1CC(=O)N(C(C)C)C1=O ZINC000330841100 155315346 /nfs/dbraw/zinc/31/53/46/155315346.db2.gz XZCXVMVAQQWCDO-SECBINFHSA-N -1 1 334.394 -0.359 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@](C)(O)CSC ZINC000228583534 154165946 /nfs/dbraw/zinc/16/59/46/154165946.db2.gz MRSITBYOKSQBQX-NSHDSACASA-N -1 1 337.423 -0.021 20 0 EBADMM CC(=O)[C@H]1CC(C(=O)NN2CC(=O)[N-]C2=O)=NN1c1ccccc1 ZINC000263640675 154259132 /nfs/dbraw/zinc/25/91/32/154259132.db2.gz ALKUYZPEFBNJHT-GFCCVEGCSA-N -1 1 329.316 -0.207 20 0 EBADMM CC/C=C(\C)C(=O)N1CSC[C@@H]1C(=O)NN1CC(=O)[N-]C1=O ZINC000263934637 154269916 /nfs/dbraw/zinc/26/99/16/154269916.db2.gz DXBYJSSFACIYSA-VGANXODHSA-N -1 1 326.378 -0.173 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCNC(=O)[C@H]2C(C)C)o1 ZINC000264076143 154272754 /nfs/dbraw/zinc/27/27/54/154272754.db2.gz CAMMEPRRCBVFOW-LLVKDONJSA-N -1 1 329.378 -0.216 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-]CCCN2C(=O)CNC2=O)c1Cl ZINC000264526099 154284496 /nfs/dbraw/zinc/28/44/96/154284496.db2.gz WSFQQZJZKZZJOF-UHFFFAOYSA-N -1 1 335.773 -0.706 20 0 EBADMM COCCNC(=O)Cn1cc([N-]S(=O)(=O)C[C@@H]2CCCO2)cn1 ZINC000266050363 154333813 /nfs/dbraw/zinc/33/38/13/154333813.db2.gz GCJNVOSNVZUZEQ-LBPRGKRZSA-N -1 1 346.409 -0.434 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2C[C@@H]2Cc2ccccc2)c(=O)n(C)c1=O ZINC000266186852 154340211 /nfs/dbraw/zinc/34/02/11/154340211.db2.gz MEHFFJKKEJGBQC-STQMWFEESA-N -1 1 349.412 -0.007 20 0 EBADMM O=C(N[C@H]1CC(=O)N(CCN2CCOCC2)C1)c1ncccc1[O-] ZINC000266403010 154345476 /nfs/dbraw/zinc/34/54/76/154345476.db2.gz ABHANMYLPIXRAG-LBPRGKRZSA-N -1 1 334.376 -0.550 20 0 EBADMM CCC1(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCOCC1 ZINC000266779165 154354120 /nfs/dbraw/zinc/35/41/20/154354120.db2.gz XFPKLRRPIRCVNX-UHFFFAOYSA-N -1 1 345.421 -0.431 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CC(=O)N(C(C)C)C2=O)o1 ZINC000267136168 154365216 /nfs/dbraw/zinc/36/52/16/154365216.db2.gz IZIUGUJRXAPESX-QMMMGPOBSA-N -1 1 344.345 -0.120 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCCC[C@H]2C(N)=O)o1 ZINC000267224110 154368064 /nfs/dbraw/zinc/36/80/64/154368064.db2.gz BCTGRYKBRAUDJR-RKDXNWHRSA-N -1 1 329.378 -0.038 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC(=O)NCC(F)(F)F)co1 ZINC000270048542 154477092 /nfs/dbraw/zinc/47/70/92/154477092.db2.gz QPBJAXQLQGXEBM-UHFFFAOYSA-N -1 1 343.283 -0.404 20 0 EBADMM Cc1nnc([C@H](C)[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)s1 ZINC000273551373 154589352 /nfs/dbraw/zinc/58/93/52/154589352.db2.gz CSVPFZAPVIQRKP-LURJTMIESA-N -1 1 345.406 -0.717 20 0 EBADMM COCC[C@H]1COCCN1C(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000291286908 155017399 /nfs/dbraw/zinc/01/73/99/155017399.db2.gz DSFHCKOYXOUJFH-UQGHUHRHSA-N -1 1 347.375 -0.456 20 0 EBADMM CCOCCCNC(=C1C(=O)[N-]C(=S)NC1=O)[C@H](F)C(=O)OC ZINC000292956983 155040474 /nfs/dbraw/zinc/04/04/74/155040474.db2.gz GFMYGPQDCKUULF-QMMMGPOBSA-N -1 1 347.368 -0.701 20 0 EBADMM COC(=O)[C@H](C)CS(=O)(=O)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000293151064 155043095 /nfs/dbraw/zinc/04/30/95/155043095.db2.gz QYOHZVBCPYLMJF-BDAKNGLRSA-N -1 1 332.382 -0.584 20 0 EBADMM COCCCO[N-]C(=O)[C@H]1CC(=O)N(c2cnn(CCOC)c2)C1 ZINC000295642767 155070048 /nfs/dbraw/zinc/07/00/48/155070048.db2.gz DLFWNKUHPFPUSI-LBPRGKRZSA-N -1 1 340.380 -0.033 20 0 EBADMM CCOC(=O)CN(C)C(=O)CN1CCC(c2n[n-]c(=O)o2)CC1 ZINC000295463812 155070460 /nfs/dbraw/zinc/07/04/60/155070460.db2.gz XNRIFGULCXHVPX-UHFFFAOYSA-N -1 1 326.353 -0.436 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NCc1nnc2n1CCCC2 ZINC000338268271 155636807 /nfs/dbraw/zinc/63/68/07/155636807.db2.gz SWSBUBYBJSKLBH-UHFFFAOYSA-N -1 1 330.348 -0.239 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@H](C(N)=O)CC[C@H]2C)co1 ZINC000339201355 155694619 /nfs/dbraw/zinc/69/46/19/155694619.db2.gz GBWJLJKNUYFYOV-RKDXNWHRSA-N -1 1 329.378 -0.086 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@H]1CCC(=O)N[C@@H]1C1CC1 ZINC000342529548 156041070 /nfs/dbraw/zinc/04/10/70/156041070.db2.gz CXZXMAULBUMYFR-IINYFYTJSA-N -1 1 332.360 -0.310 20 0 EBADMM CN1CCO[C@@H]2CCN(C(=O)CNC(=O)c3ncccc3[O-])C[C@@H]21 ZINC000342545321 156041540 /nfs/dbraw/zinc/04/15/40/156041540.db2.gz MGCCMAHUEHAKNQ-WCQYABFASA-N -1 1 334.376 -0.552 20 0 EBADMM COC(=O)Cn1cc(NC(=O)CNC(=O)c2ncccc2[O-])cn1 ZINC000343216885 156113810 /nfs/dbraw/zinc/11/38/10/156113810.db2.gz JLYUFCHOPYRWOG-UHFFFAOYSA-N -1 1 333.304 -0.475 20 0 EBADMM CNC(=O)C1CCC(NC(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000343349587 156128644 /nfs/dbraw/zinc/12/86/44/156128644.db2.gz DTEBPIKLDUUSEO-UHFFFAOYSA-N -1 1 334.376 -0.062 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCO[C@@H](Cn2cncn2)C1 ZINC000343665229 156154008 /nfs/dbraw/zinc/15/40/08/156154008.db2.gz JYFWYVUGVRRHAV-LLVKDONJSA-N -1 1 346.347 -0.964 20 0 EBADMM CCC[C@H](NC(=O)C1CCN(S(N)(=O)=O)CC1)c1nn[n-]n1 ZINC000343719881 156160777 /nfs/dbraw/zinc/16/07/77/156160777.db2.gz GCSXVUWVLXTXRH-VIFPVBQESA-N -1 1 331.402 -0.927 20 0 EBADMM COC(=O)C[C@@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])CCO1 ZINC000343806667 156170521 /nfs/dbraw/zinc/17/05/21/156170521.db2.gz ROVNXJYMKQNVGO-SNVBAGLBSA-N -1 1 337.332 -0.693 20 0 EBADMM CCOC(=O)[C@@H](CCOC)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000343972970 156194016 /nfs/dbraw/zinc/19/40/16/156194016.db2.gz MZFDTNSQFIVFLF-SNVBAGLBSA-N -1 1 339.348 -0.399 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCOC2(CCOCC2)C1 ZINC000344156719 156205799 /nfs/dbraw/zinc/20/57/99/156205799.db2.gz HFCOVJLAJHUDRW-UHFFFAOYSA-N -1 1 335.360 -0.075 20 0 EBADMM C[C@@H]1C[C@@H]1N1C[C@@H](NC(=O)CNC(=O)c2ncccc2[O-])CC1=O ZINC000344134053 156205831 /nfs/dbraw/zinc/20/58/31/156205831.db2.gz PSGLGNCBJCDHSD-VWYCJHECSA-N -1 1 332.360 -0.358 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCN(C(=O)CO)CC1 ZINC000344714479 156258244 /nfs/dbraw/zinc/25/82/44/156258244.db2.gz BCFGPEHZCSGOEZ-UHFFFAOYSA-N -1 1 347.375 -0.743 20 0 EBADMM CC[C@@H]1CN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)CCO1 ZINC000344803103 156265602 /nfs/dbraw/zinc/26/56/02/156265602.db2.gz AKUKEZRXEYCDPM-SECBINFHSA-N -1 1 345.377 -0.706 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H]2CC(=O)N(C)C2=O)o1 ZINC000344808409 156268245 /nfs/dbraw/zinc/26/82/45/156268245.db2.gz IFJUZWNYQNBSRF-SSDOTTSWSA-N -1 1 330.318 -0.508 20 0 EBADMM COC(=O)[C@@H](O)CNC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000345291266 156319310 /nfs/dbraw/zinc/31/93/10/156319310.db2.gz HPPRGZFSEUBNBA-NSHDSACASA-N -1 1 336.348 -0.366 20 0 EBADMM COC(=O)[C@H](CC(F)F)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000345310141 156321105 /nfs/dbraw/zinc/32/11/05/156321105.db2.gz WNLPCMBXYMPZNB-ZETCQYMHSA-N -1 1 331.275 -0.170 20 0 EBADMM Cn1c(=O)c2cc(C(=O)Nc3ccncc3[O-])cnc2n(C)c1=O ZINC000345376088 156326669 /nfs/dbraw/zinc/32/66/69/156326669.db2.gz DLJOULJGJNDZEK-UHFFFAOYSA-N -1 1 327.300 -0.593 20 0 EBADMM COC(=O)CC[C@@H]([N-]S(=O)(=O)C[C@@H]1CCCCO1)C(=O)OC ZINC000345632324 156352704 /nfs/dbraw/zinc/35/27/04/156352704.db2.gz ARIVADMQIOAORE-WDEREUQCSA-N -1 1 337.394 -0.030 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CCC[C@H](C(N)=O)C2)o1 ZINC000349414574 156645207 /nfs/dbraw/zinc/64/52/07/156645207.db2.gz HKLDZZGXWMCKDW-IUCAKERBSA-N -1 1 329.378 -0.038 20 0 EBADMM Cn1ncc2c1[n-]cc(C(=O)NCCCN1C(=O)CCC1=O)c2=O ZINC000355570703 157011994 /nfs/dbraw/zinc/01/19/94/157011994.db2.gz HOAWPFJWPXJCBX-UHFFFAOYSA-N -1 1 331.332 -0.057 20 0 EBADMM C[C@H]1CS(=O)(=O)C[C@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000356606649 157082029 /nfs/dbraw/zinc/08/20/29/157082029.db2.gz NGZVRSDERHDXOS-DTWKUNHWSA-N -1 1 327.362 -0.934 20 0 EBADMM NC(=O)c1cc(C(=O)NC2(c3nn[n-]n3)CC2)nn1-c1ccccc1 ZINC000357070946 157117514 /nfs/dbraw/zinc/11/75/14/157117514.db2.gz OOHMZYCOTMKCFM-UHFFFAOYSA-N -1 1 338.331 -0.097 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H](CO)Cc2cccnc2)co1 ZINC000357245853 157130606 /nfs/dbraw/zinc/13/06/06/157130606.db2.gz FZCZSTMBMPMKOY-GFCCVEGCSA-N -1 1 339.373 -0.084 20 0 EBADMM O=C(NCCCn1cc(CO)nn1)c1nc2ccccc2c(=O)[n-]1 ZINC000357375320 157138562 /nfs/dbraw/zinc/13/85/62/157138562.db2.gz NABBLMKXEUYILH-UHFFFAOYSA-N -1 1 328.332 -0.173 20 0 EBADMM CCC1(CC)NC(=O)N(CC(=O)N(C)C[C@@H](C)c2nn[n-]n2)C1=O ZINC000358378742 157237992 /nfs/dbraw/zinc/23/79/92/157237992.db2.gz DAKYSRFUGCNSKD-SECBINFHSA-N -1 1 337.384 -0.128 20 0 EBADMM CC(C)OCCS(=O)(=O)NCC(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000358375861 157238153 /nfs/dbraw/zinc/23/81/53/157238153.db2.gz AEHLTZIQUKIRRR-JTQLQIEISA-N -1 1 348.429 -0.894 20 0 EBADMM CS(=O)(=O)[C@@H]1CCCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000359557344 157330924 /nfs/dbraw/zinc/33/09/24/157330924.db2.gz BRDSPLAUQGLVDA-SNVBAGLBSA-N -1 1 341.389 -0.447 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H](C)S(C)(=O)=O)c1 ZINC000359701419 157337999 /nfs/dbraw/zinc/33/79/99/157337999.db2.gz ULPSDNDQGZIAJL-SSDOTTSWSA-N -1 1 336.391 -0.328 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@H](CCO)C1CCOCC1 ZINC000359723638 157338382 /nfs/dbraw/zinc/33/83/82/157338382.db2.gz LPXRIQCGUDSALM-GFCCVEGCSA-N -1 1 337.376 -0.189 20 0 EBADMM CN(C)C(=O)Cn1ccc([N-]S(=O)(=O)N2CCCOCC2)n1 ZINC000650173034 418954184 /nfs/dbraw/zinc/95/41/84/418954184.db2.gz REVYZXUYCNLUQY-UHFFFAOYSA-N -1 1 331.398 -0.650 20 0 EBADMM O=C(NCCO)c1ccc(=NC[C@]2(CO)CCc3ccccc32)[n-]n1 ZINC000651380802 419191456 /nfs/dbraw/zinc/19/14/56/419191456.db2.gz GINQTAWQVSBFKD-SFHVURJKSA-N -1 1 342.399 -0.091 20 0 EBADMM COC1(CS(=O)(=O)[N-][C@H]2CC(=O)N(C(C)C)C2=O)CCOCC1 ZINC000651589399 419212572 /nfs/dbraw/zinc/21/25/72/419212572.db2.gz CMQXVHYVSOUMEW-NSHDSACASA-N -1 1 348.421 -0.363 20 0 EBADMM O=C(NCCS(=O)(=O)N1CCSCC1)c1ncccc1[O-] ZINC000264447819 419315550 /nfs/dbraw/zinc/31/55/50/419315550.db2.gz OAYSPCURXNBXKY-UHFFFAOYSA-N -1 1 331.419 -0.104 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCNc2cnccn2)o1 ZINC000341151503 419388058 /nfs/dbraw/zinc/38/80/58/419388058.db2.gz SNAFCKUWCUXQKQ-UHFFFAOYSA-N -1 1 326.334 0.247 20 0 EBADMM Cc1[nH]nc([N-]S(=O)(=O)CCCS(=O)(=O)NCCO)c1C ZINC000349602158 545935720 /nfs/dbraw/zinc/93/57/20/545935720.db2.gz LDMDAOJJLIQLCT-UHFFFAOYSA-N -1 1 340.427 -0.930 20 0 EBADMM CC1CCC(NC(=O)CN2Cc3n[nH]c(=O)n3C[C@@H]2C(=O)[O-])CC1 ZINC000333580068 419594568 /nfs/dbraw/zinc/59/45/68/419594568.db2.gz NSSVOXUVPZBOTH-VQXHTEKXSA-N -1 1 337.380 -0.053 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCOC[C@H]2CC(=O)OC)o1 ZINC000377177115 419746934 /nfs/dbraw/zinc/74/69/34/419746934.db2.gz DEMZIDHBHCHSGD-SECBINFHSA-N -1 1 346.361 -0.408 20 0 EBADMM CS(=O)(=O)N1CC[C@@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000516654214 419807207 /nfs/dbraw/zinc/80/72/07/419807207.db2.gz JSLICJULLNHVBL-SECBINFHSA-N -1 1 336.373 -0.313 20 0 EBADMM C[C@@H](C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)CC(F)F ZINC000645796460 420517980 /nfs/dbraw/zinc/51/79/80/420517980.db2.gz WNWMAUYSEKCLBL-SSDOTTSWSA-N -1 1 325.337 -0.346 20 0 EBADMM CCOC(=O)Cn1cnc([N-]C(=O)c2cc(Cn3cccn3)on2)n1 ZINC000425096241 420518214 /nfs/dbraw/zinc/51/82/14/420518214.db2.gz DSCCOHGGNJDMFT-UHFFFAOYSA-N -1 1 345.319 0.326 20 0 EBADMM CS(=O)(=O)c1nc(NC(=O)c2cc(-n3cccn3)ccn2)n[n-]1 ZINC000347040858 420580439 /nfs/dbraw/zinc/58/04/39/420580439.db2.gz RWBAXALJYQZNFV-UHFFFAOYSA-N -1 1 333.333 0.041 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2cc(-n3cccn3)ccn2)n1 ZINC000347040858 420580441 /nfs/dbraw/zinc/58/04/41/420580441.db2.gz RWBAXALJYQZNFV-UHFFFAOYSA-N -1 1 333.333 0.041 20 0 EBADMM CC(C)(C)OC(=O)N1CC(OCC(=O)NC2(c3nn[n-]n3)CC2)C1 ZINC000496295287 420629229 /nfs/dbraw/zinc/62/92/29/420629229.db2.gz QXECBAUYCLITRV-UHFFFAOYSA-N -1 1 338.368 -0.059 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCc3cnc(N)nc3C2)co1 ZINC000623324871 420645759 /nfs/dbraw/zinc/64/57/59/420645759.db2.gz PDDCLCNIUQDJAS-UHFFFAOYSA-N -1 1 337.361 -0.242 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H]2COC(=O)N[C@H]2CCOC2)nc1=O ZINC000496783040 420646290 /nfs/dbraw/zinc/64/62/90/420646290.db2.gz CRIFROIOFOMFIH-RYUDHWBXSA-N -1 1 339.396 -0.022 20 0 EBADMM Cc1nn(C)c(C)c1[C@H](NS(=O)(=O)c1c(N)noc1C)C(=O)[O-] ZINC000580453184 420653255 /nfs/dbraw/zinc/65/32/55/420653255.db2.gz QRBGZDUKWSWJGV-VIFPVBQESA-N -1 1 343.365 0.020 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-][C@@H]1CCN(C2CCOCC2)C1=O ZINC000377865997 420659800 /nfs/dbraw/zinc/65/98/00/420659800.db2.gz VKRFAUGEAVCFGD-SNVBAGLBSA-N -1 1 344.393 -0.377 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCN2CCO[C@H](C)C2)o1 ZINC000523053743 420724121 /nfs/dbraw/zinc/72/41/21/420724121.db2.gz WTUYLHXDXKQHRH-SNVBAGLBSA-N -1 1 331.394 -0.362 20 0 EBADMM CN(C)CCN(CCOCCO)S(=O)(=O)c1ccccc1[O-] ZINC000656912523 420904288 /nfs/dbraw/zinc/90/42/88/420904288.db2.gz ZXHFMNQVBUGTMN-UHFFFAOYSA-N -1 1 332.422 -0.047 20 0 EBADMM CN1C(=O)C[C@@H]([N-]S(=O)(=O)c2ncccc2Br)C1=O ZINC000656981712 420907975 /nfs/dbraw/zinc/90/79/75/420907975.db2.gz DVWJXJAQNPDUJS-SSDOTTSWSA-N -1 1 348.178 -0.120 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@H]1[N-]S(=O)(=O)C[C@@H]1CCCCO1 ZINC000657099134 420916175 /nfs/dbraw/zinc/91/61/75/420916175.db2.gz FQRACJVVRAHVMI-HBNTYKKESA-N -1 1 327.424 -0.713 20 0 EBADMM COCCN1CCC[C@@H]1CNC(=O)C(=O)NC[C@@H](C(=O)[O-])C(C)C ZINC000655984099 420861822 /nfs/dbraw/zinc/86/18/22/420861822.db2.gz KHDAIVZXWIGNDA-CHWSQXEVSA-N -1 1 343.424 -0.314 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@H]2C(C)(C)C2(F)F)c(=O)n(C)c1=O ZINC000660019971 421036750 /nfs/dbraw/zinc/03/67/50/421036750.db2.gz AJVHSSSXMAHDJC-QMMMGPOBSA-N -1 1 337.348 -0.346 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C(C)(C2CC2)C2CC2)c(=O)n(C)c1=O ZINC000660043989 421038947 /nfs/dbraw/zinc/03/89/47/421038947.db2.gz NMXDNDFIYKNDQK-UHFFFAOYSA-N -1 1 327.406 -0.059 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccn(CC(=O)OC)n2)o1 ZINC000340512675 421220115 /nfs/dbraw/zinc/22/01/15/421220115.db2.gz VFYWRJLGRWAIOF-UHFFFAOYSA-N -1 1 342.333 -0.191 20 0 EBADMM CCOC(=O)C1CCN(S(=O)(=O)[N-]c2cc(OC)n(C)n2)CC1 ZINC000558038966 421293363 /nfs/dbraw/zinc/29/33/63/421293363.db2.gz CHFPPZSCSCIVBU-UHFFFAOYSA-N -1 1 346.409 0.361 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CCC(=O)N(C)C2=O)o1 ZINC000425084620 265081437 /nfs/dbraw/zinc/08/14/37/265081437.db2.gz JHUZEHLDZZKVDC-ZETCQYMHSA-N -1 1 329.334 -0.935 20 0 EBADMM CS(=O)(=O)c1nc(NC(=O)c2cc3c(cn2)OCCO3)n[n-]1 ZINC000425142301 265083642 /nfs/dbraw/zinc/08/36/42/265083642.db2.gz LWMXCUQKRHRCGZ-UHFFFAOYSA-N -1 1 325.306 -0.373 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)c2cc3c(cn2)OCCO3)[n-]1 ZINC000425142301 265083645 /nfs/dbraw/zinc/08/36/45/265083645.db2.gz LWMXCUQKRHRCGZ-UHFFFAOYSA-N -1 1 325.306 -0.373 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCC(=O)N(C)C2=O)o1 ZINC000425233869 265086868 /nfs/dbraw/zinc/08/68/68/265086868.db2.gz YTIJFASSRFQNII-ZETCQYMHSA-N -1 1 330.318 -0.508 20 0 EBADMM CCOC(=O)C[C@H](O)C(=O)Nc1cc(S(=O)(=O)NC)ccc1[O-] ZINC000427913079 265112232 /nfs/dbraw/zinc/11/22/32/265112232.db2.gz NIMRTXNXHJCENN-NSHDSACASA-N -1 1 346.361 -0.447 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@H](C)C[C@H]2C(N)=O)o1 ZINC000428142935 265118853 /nfs/dbraw/zinc/11/88/53/265118853.db2.gz UMNFOMKMQGXFDH-IUCAKERBSA-N -1 1 329.378 -0.086 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@H](C)C[C@@H]2C(N)=O)o1 ZINC000428142934 265119519 /nfs/dbraw/zinc/11/95/19/265119519.db2.gz UMNFOMKMQGXFDH-DTWKUNHWSA-N -1 1 329.378 -0.086 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(c2ccnc(C)n2)CC1 ZINC000433358382 265188109 /nfs/dbraw/zinc/18/81/09/265188109.db2.gz APULMQQXOBRTLB-UHFFFAOYSA-N -1 1 346.347 -0.043 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1nc(N(C)C)no1 ZINC000433882196 265195555 /nfs/dbraw/zinc/19/55/55/265195555.db2.gz JYNFOOICGWZGKZ-UHFFFAOYSA-N -1 1 344.353 -0.486 20 0 EBADMM CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1cc(F)ccc1[O-] ZINC000436525093 265241993 /nfs/dbraw/zinc/24/19/93/265241993.db2.gz MDJRXKILTJAWMY-JTQLQIEISA-N -1 1 344.364 -0.093 20 0 EBADMM Cn1c(C(=O)NCc2nc([O-])n[nH]2)cc2c1nc1ccccn1c2=O ZINC000439497046 265270976 /nfs/dbraw/zinc/27/09/76/265270976.db2.gz KXFKLOXITORTNR-UHFFFAOYSA-N -1 1 339.315 -0.060 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H](O)[C@@H]1CCOC1 ZINC000443271874 265345276 /nfs/dbraw/zinc/34/52/76/265345276.db2.gz JPKMSRXMNPFTLM-SCZZXKLOSA-N -1 1 333.366 -0.738 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H](O)COCC(C)C ZINC000443387280 265346514 /nfs/dbraw/zinc/34/65/14/265346514.db2.gz ZNRMCUGLPDIGFM-SNVBAGLBSA-N -1 1 349.409 -0.102 20 0 EBADMM CCOC(=O)c1n[n-]c(C2CCN(S(=O)(=O)C3COC3)CC2)n1 ZINC000443956785 265358048 /nfs/dbraw/zinc/35/80/48/265358048.db2.gz ADZHQSFOVAXBJU-UHFFFAOYSA-N -1 1 344.393 -0.111 20 0 EBADMM CC(C)[C@@H]1CCN1C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000343293512 279982459 /nfs/dbraw/zinc/98/24/59/279982459.db2.gz WTXXGPHFVMQTLW-VIFPVBQESA-N -1 1 329.378 -0.086 20 0 EBADMM CC1(C)CC[C@@H]1NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000346221174 281115584 /nfs/dbraw/zinc/11/55/84/281115584.db2.gz VFIDZYROOQHBIR-VIFPVBQESA-N -1 1 329.378 -0.038 20 0 EBADMM C[C@@H]1CN(S(=O)(=O)c2c[nH]c3nc(=O)[n-]c(=O)c-3c2)[C@@H](C)CN1 ZINC000353491311 297067862 /nfs/dbraw/zinc/06/78/62/297067862.db2.gz JQTRAZSYBPYPOV-SFYZADRCSA-N -1 1 339.377 -0.193 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCOC[C@]23CCOC3)o1 ZINC000669625530 545916722 /nfs/dbraw/zinc/91/67/22/545916722.db2.gz XJRREXFOUXDBJC-CYBMUJFWSA-N -1 1 330.362 -0.181 20 0 EBADMM O=C(CCN1CCCS1(=O)=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000670384409 545994671 /nfs/dbraw/zinc/99/46/71/545994671.db2.gz RYFGMSPUCXTMIL-SNVBAGLBSA-N -1 1 344.393 -0.093 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H](C)[C@H]2CN(C)CCO2)o1 ZINC000676257662 546202009 /nfs/dbraw/zinc/20/20/09/546202009.db2.gz GIVQJXDFFRWMOQ-MWLCHTKSSA-N -1 1 331.394 -0.363 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC(=O)NC2CCCC2)co1 ZINC000677301885 546229002 /nfs/dbraw/zinc/22/90/02/546229002.db2.gz OJVCJINKILFMTC-UHFFFAOYSA-N -1 1 329.378 -0.024 20 0 EBADMM CS(=O)(=O)N1CCC[C@@H]1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000677926997 546241087 /nfs/dbraw/zinc/24/10/87/546241087.db2.gz MIMHSYPSSQEVHC-VHSXEESVSA-N -1 1 328.398 -0.670 20 0 EBADMM CS(=O)(=O)N1CCCC[C@@H]1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000677924798 546241803 /nfs/dbraw/zinc/24/18/03/546241803.db2.gz ATYGWIORLQWTJI-GHMZBOCLSA-N -1 1 342.425 -0.280 20 0 EBADMM CCC1(O)CCC([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000678494137 546255465 /nfs/dbraw/zinc/25/54/65/546255465.db2.gz UZYFDNSLUQSFLB-UHFFFAOYSA-N -1 1 345.421 -0.554 20 0 EBADMM CNC(=O)[C@@H](CO)[N-]S(=O)(=O)C[C@@]12CC[C@@H](CC1=O)C2(C)C ZINC000680838167 546294401 /nfs/dbraw/zinc/29/44/01/546294401.db2.gz QIMALTJWVMHKPH-RBZYPMLTSA-N -1 1 332.422 -0.592 20 0 EBADMM O=C(NCc1n[nH]c(COc2ccccc2)n1)c1n[nH]c(=O)[n-]c1=O ZINC000681201912 546301534 /nfs/dbraw/zinc/30/15/34/546301534.db2.gz KPRSMPGANJHAMR-UHFFFAOYSA-N -1 1 343.303 -0.090 20 0 EBADMM O=C(CNC(=O)c1ccccc1OC(F)F)NCc1nn[n-]n1 ZINC000681189838 546301562 /nfs/dbraw/zinc/30/15/62/546301562.db2.gz NCMLARMFFFCHRR-UHFFFAOYSA-N -1 1 326.263 -0.153 20 0 EBADMM Cc1ccc(-n2cccn2)cc1NC(=O)C(=O)NCc1nn[n-]n1 ZINC000682784269 546339465 /nfs/dbraw/zinc/33/94/65/546339465.db2.gz JRAVQINDEOSADM-UHFFFAOYSA-N -1 1 326.320 -0.051 20 0 EBADMM C[C@@](O)(CN1c2ccccc2C(C)(C)C1=O)C(=O)NCc1nn[n-]n1 ZINC000683243912 546345722 /nfs/dbraw/zinc/34/57/22/546345722.db2.gz LLWPKCHRXWPWSO-MRXNPFEDSA-N -1 1 344.375 -0.109 20 0 EBADMM Cc1ccc(S(=O)(=O)NCC(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000685830110 546409511 /nfs/dbraw/zinc/40/95/11/546409511.db2.gz MINGPPUWEWGZIH-UHFFFAOYSA-N -1 1 336.377 -0.408 20 0 EBADMM O=C(CSCc1ccc(-c2nn[nH]n2)cc1)NCc1nn[n-]n1 ZINC000737933538 582595737 /nfs/dbraw/zinc/59/57/37/582595737.db2.gz XQBTYTQZBLPYQF-UHFFFAOYSA-N -1 1 331.365 -0.071 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(Cc2ccncc2)CC1 ZINC000727848067 582659803 /nfs/dbraw/zinc/65/98/03/582659803.db2.gz CLWDHOWRFNXAIY-UHFFFAOYSA-N -1 1 343.387 -0.334 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1ccc2c(c1)OCC(=O)N2 ZINC000727850977 582661339 /nfs/dbraw/zinc/66/13/39/582661339.db2.gz FAMCUGWUIVSNPR-UHFFFAOYSA-N -1 1 330.300 -0.104 20 0 EBADMM Cc1ccnc(NC(=O)CN(C)C(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000727855001 582662240 /nfs/dbraw/zinc/66/22/40/582662240.db2.gz WYGVOKJPSAORMO-UHFFFAOYSA-N -1 1 345.359 -0.273 20 0 EBADMM O=S(=O)([N-]c1ccn(CCN2CC[NH+]=C2[O-])n1)N1CCCC1 ZINC000901748504 582799105 /nfs/dbraw/zinc/79/91/05/582799105.db2.gz MIJNMPWHFLAADZ-UHFFFAOYSA-N -1 1 328.398 -0.339 20 0 EBADMM CC(C)C[N@H+]1CCO[C@H](CNC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC000730518926 582828935 /nfs/dbraw/zinc/82/89/35/582828935.db2.gz SKXRUMQLDCBRDI-GFCCVEGCSA-N -1 1 348.407 -0.456 20 0 EBADMM O=C(CCNS(=O)(=O)C=Cc1ccccc1)NCc1nn[n-]n1 ZINC000731873339 582841921 /nfs/dbraw/zinc/84/19/21/582841921.db2.gz OEOGMRPKXPTIDB-VQHVLOKHSA-N -1 1 336.377 -0.204 20 0 EBADMM O=C(CCNC(=O)N1CCc2ccccc2C1)NN1CC(=O)[N-]C1=O ZINC000732258241 582847131 /nfs/dbraw/zinc/84/71/31/582847131.db2.gz OFIBRGKAKCGBON-UHFFFAOYSA-N -1 1 345.359 -0.273 20 0 EBADMM CCCN(C(=O)CCn1cc[n-]c(=O)c1=O)[C@H]1CCS(=O)(=O)C1 ZINC000733129000 582855958 /nfs/dbraw/zinc/85/59/58/582855958.db2.gz VCQFGOOIVSZAMV-NSHDSACASA-N -1 1 343.405 -0.648 20 0 EBADMM O=C(COC(=O)CN1CCC(=O)NC1=O)[N-]C(=O)c1ccccc1 ZINC000733559565 582863200 /nfs/dbraw/zinc/86/32/00/582863200.db2.gz MLLHYNLTBBYQCE-UHFFFAOYSA-N -1 1 333.300 -0.572 20 0 EBADMM CN(C)C(=O)[C@@H]1CCC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000734145814 582869743 /nfs/dbraw/zinc/86/97/43/582869743.db2.gz NSUUQZRIHCQOFU-NEPJUHHUSA-N -1 1 336.392 -0.310 20 0 EBADMM C[C@H](c1cccnc1)N(C)c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000736204516 582923631 /nfs/dbraw/zinc/92/36/31/582923631.db2.gz QTGZOLRSZXTGEO-SECBINFHSA-N -1 1 342.363 -0.144 20 0 EBADMM C[C@H]1[C@H](C)S(=O)(=O)CCN1C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736230534 582925941 /nfs/dbraw/zinc/92/59/41/582925941.db2.gz SRBVRBNBRMSTJF-IUCAKERBSA-N -1 1 336.377 -0.091 20 0 EBADMM C[C@H]1[C@H](C)S(=O)(=O)CCN1C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736230534 582925944 /nfs/dbraw/zinc/92/59/44/582925944.db2.gz SRBVRBNBRMSTJF-IUCAKERBSA-N -1 1 336.377 -0.091 20 0 EBADMM CC(C)N1CCO[C@H](CN2CCN(C(=O)[C@H]3C[C@@H]3C(=O)[O-])CC2)C1 ZINC000736473404 582935521 /nfs/dbraw/zinc/93/55/21/582935521.db2.gz DTMDBUZWGGGARM-ILXRZTDVSA-N -1 1 339.436 -0.040 20 0 EBADMM C[C@@H](NC(=O)c1n[nH]c2ccccc21)C(=O)OCC(=O)NCC(=O)[O-] ZINC000736653314 582948646 /nfs/dbraw/zinc/94/86/46/582948646.db2.gz YXSFRTUJWKMJFA-MRVPVSSYSA-N -1 1 348.315 -0.575 20 0 EBADMM CC[S@@](=O)CCNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736663691 582948663 /nfs/dbraw/zinc/94/86/63/582948663.db2.gz FVZOFSBBNWYNSN-HXUWFJFHSA-N -1 1 330.395 -0.691 20 0 EBADMM CC[S@@](=O)CCNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736663691 582948666 /nfs/dbraw/zinc/94/86/66/582948666.db2.gz FVZOFSBBNWYNSN-HXUWFJFHSA-N -1 1 330.395 -0.691 20 0 EBADMM CCS(=O)(=O)NC1CCN(c2nccnc2-c2nnn[n-]2)CC1 ZINC000736659700 582949545 /nfs/dbraw/zinc/94/95/45/582949545.db2.gz UQLIJGDCHMHMGV-UHFFFAOYSA-N -1 1 338.397 -0.435 20 0 EBADMM CCS(=O)(=O)NC1CCN(c2nccnc2-c2nn[n-]n2)CC1 ZINC000736659700 582949546 /nfs/dbraw/zinc/94/95/46/582949546.db2.gz UQLIJGDCHMHMGV-UHFFFAOYSA-N -1 1 338.397 -0.435 20 0 EBADMM CCn1cc(-c2nn[n-]n2)c(=O)n(Cc2cc(OC)ccn2)c1=O ZINC000736714309 582951152 /nfs/dbraw/zinc/95/11/52/582951152.db2.gz QNWCFAYRTZJICI-UHFFFAOYSA-N -1 1 329.320 -0.338 20 0 EBADMM CN(C)C(=O)[C@H]1CSCN1C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736747425 582952532 /nfs/dbraw/zinc/95/25/32/582952532.db2.gz RZWJBMKLUSMNHZ-SNVBAGLBSA-N -1 1 333.377 -0.135 20 0 EBADMM CN(C)C(=O)[C@H]1CSCN1C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736747425 582952534 /nfs/dbraw/zinc/95/25/34/582952534.db2.gz RZWJBMKLUSMNHZ-SNVBAGLBSA-N -1 1 333.377 -0.135 20 0 EBADMM CN([C@H]1CCN(C)C1=O)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736851145 582962343 /nfs/dbraw/zinc/96/23/43/582962343.db2.gz FLALHVZJOIUMMY-JTQLQIEISA-N -1 1 337.365 -0.887 20 0 EBADMM CN([C@H]1CCN(C)C1=O)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736851145 582962346 /nfs/dbraw/zinc/96/23/46/582962346.db2.gz FLALHVZJOIUMMY-JTQLQIEISA-N -1 1 337.365 -0.887 20 0 EBADMM CN1CC[N@@H+](C)C[C@H]1CNC(=O)COc1cccc(-c2nn[nH]n2)c1 ZINC000736857325 582963342 /nfs/dbraw/zinc/96/33/42/582963342.db2.gz QOOJCXZQOIKXII-CYBMUJFWSA-N -1 1 345.407 -0.393 20 0 EBADMM CN1CCN(C)[C@H](CNC(=O)COc2cccc(-c3nn[nH]n3)c2)C1 ZINC000736857325 582963344 /nfs/dbraw/zinc/96/33/44/582963344.db2.gz QOOJCXZQOIKXII-CYBMUJFWSA-N -1 1 345.407 -0.393 20 0 EBADMM CN1CCN(S(=O)(=O)c2cccc(F)c2-c2nnn[n-]2)CC1 ZINC000736868131 582965943 /nfs/dbraw/zinc/96/59/43/582965943.db2.gz OUQMFVUTESYVNH-UHFFFAOYSA-N -1 1 326.357 -0.058 20 0 EBADMM CN1CCN(S(=O)(=O)c2cccc(F)c2-c2nn[n-]n2)CC1 ZINC000736868131 582965946 /nfs/dbraw/zinc/96/59/46/582965946.db2.gz OUQMFVUTESYVNH-UHFFFAOYSA-N -1 1 326.357 -0.058 20 0 EBADMM CSCC[C@@H](C)Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000737181718 582995953 /nfs/dbraw/zinc/99/59/53/582995953.db2.gz NCIMCZWZEQVWRE-SSDOTTSWSA-N -1 1 325.398 -0.182 20 0 EBADMM CN1CCN(C(C)(C)CNC(=O)N2CCO[C@@H](C(=O)[O-])C2)CC1 ZINC000737515505 583012261 /nfs/dbraw/zinc/01/22/61/583012261.db2.gz KHLRMHBURYABJC-GFCCVEGCSA-N -1 1 328.413 -0.493 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N2CCCOC(C)(C)C2)n(C)c1=O ZINC000737597553 583018928 /nfs/dbraw/zinc/01/89/28/583018928.db2.gz SAPPNCYJKGFFBI-UHFFFAOYSA-N -1 1 335.368 -0.731 20 0 EBADMM NC(=O)CNC(=O)c1cccc(Oc2nccnc2-c2nn[n-]n2)c1 ZINC000737751968 583025475 /nfs/dbraw/zinc/02/54/75/583025475.db2.gz CAAADWWNQGOZSN-UHFFFAOYSA-N -1 1 340.303 -0.336 20 0 EBADMM O=C(CN1CCN(c2nccnc2-c2nnn[n-]2)CC1)N1CCCC1 ZINC000737882474 583031568 /nfs/dbraw/zinc/03/15/68/583031568.db2.gz JSXUUPBQSRUMJX-UHFFFAOYSA-N -1 1 343.395 -0.599 20 0 EBADMM O=C(CN1CCN(c2nccnc2-c2nn[n-]n2)CC1)N1CCCC1 ZINC000737882474 583031570 /nfs/dbraw/zinc/03/15/70/583031570.db2.gz JSXUUPBQSRUMJX-UHFFFAOYSA-N -1 1 343.395 -0.599 20 0 EBADMM O=C(Cn1cnnc1-c1nn[n-]n1)Nc1ccc2c(c1)OCCO2 ZINC000737987914 583037335 /nfs/dbraw/zinc/03/73/35/583037335.db2.gz BZKMBLYFCUIBDW-UHFFFAOYSA-N -1 1 328.292 -0.132 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1ccc(-c2nn[nH]n2)c(Cl)c1 ZINC000738123500 583043050 /nfs/dbraw/zinc/04/30/50/583043050.db2.gz TXUXUUDMDZOLCK-UHFFFAOYSA-N -1 1 348.714 -0.712 20 0 EBADMM COC(=O)[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)CC(C)C ZINC000741720480 583074904 /nfs/dbraw/zinc/07/49/04/583074904.db2.gz XPAVMXSCIXXGCE-LLVKDONJSA-N -1 1 325.365 -0.122 20 0 EBADMM C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000745736701 583099962 /nfs/dbraw/zinc/09/99/62/583099962.db2.gz CDOVDLQSEVXUPG-IINYFYTJSA-N -1 1 348.355 -0.238 20 0 EBADMM NC(=O)c1ccc(OCCC(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cc1 ZINC000748707877 583122538 /nfs/dbraw/zinc/12/25/38/583122538.db2.gz CYCCYUWIGSVNRO-GFCCVEGCSA-N -1 1 346.347 -0.332 20 0 EBADMM CN(C)S(=O)(=O)c1ccc2c(c1)CCN2Cc1nc(=O)n(C)[n-]1 ZINC000754097637 583165918 /nfs/dbraw/zinc/16/59/18/583165918.db2.gz KRXWTZHCGUOBBM-UHFFFAOYSA-N -1 1 337.405 -0.079 20 0 EBADMM CCOC(=O)[C@@H]1CN(C(=O)c2coc(S(=O)(=O)[N-]C)c2)CCO1 ZINC000755920866 583178717 /nfs/dbraw/zinc/17/87/17/583178717.db2.gz QTWYTPXGYADJGQ-JTQLQIEISA-N -1 1 346.361 -0.408 20 0 EBADMM CCO[C@@H]1C[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)[C@]12CCCO2 ZINC000759204886 583211016 /nfs/dbraw/zinc/21/10/16/583211016.db2.gz LSZFRDSLFUCYNU-HWWQOWPSSA-N -1 1 337.376 -0.231 20 0 EBADMM Cc1nccc(N2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)CC2)n1 ZINC000759206471 583211067 /nfs/dbraw/zinc/21/10/67/583211067.db2.gz DQXVGDGOAZQKRK-UHFFFAOYSA-N -1 1 344.375 -0.626 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1cncnc1N1CCCC1 ZINC000760909761 583233406 /nfs/dbraw/zinc/23/34/06/583233406.db2.gz BEZWAYWJXKUWBV-UHFFFAOYSA-N -1 1 330.348 -0.044 20 0 EBADMM Cc1nnc([C@H]2CCCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)[nH]1 ZINC000761063556 583236090 /nfs/dbraw/zinc/23/60/90/583236090.db2.gz FWDAIFWFCCIGRG-NSHDSACASA-N -1 1 332.364 -0.241 20 0 EBADMM Cn1[n-]c(COC(=O)Cn2nc(-c3ccccc3)oc2=O)nc1=O ZINC000765404694 583281285 /nfs/dbraw/zinc/28/12/85/583281285.db2.gz HMRQSGCLKBCCHO-UHFFFAOYSA-N -1 1 331.288 -0.332 20 0 EBADMM CN(C)S(=O)(=O)c1c[nH]c(C(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000765440008 583282282 /nfs/dbraw/zinc/28/22/82/583282282.db2.gz JPVWXKVXMOPPDZ-UHFFFAOYSA-N -1 1 329.338 -0.956 20 0 EBADMM Cn1[n-]c(COC(=O)c2ccc(F)c(S(N)(=O)=O)c2)nc1=O ZINC000765440797 583282368 /nfs/dbraw/zinc/28/23/68/583282368.db2.gz PXEXNRMEQDIVOJ-UHFFFAOYSA-N -1 1 330.297 -0.748 20 0 EBADMM CCCCS(=O)(=O)N1CCC[C@H]1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765443941 583282775 /nfs/dbraw/zinc/28/27/75/583282775.db2.gz BQBQKZMOMZLNPV-JTQLQIEISA-N -1 1 346.409 -0.254 20 0 EBADMM Cc1cc(C(=O)OCc2nc(=O)n(C)[n-]2)ccc1S(N)(=O)=O ZINC000765474100 583283405 /nfs/dbraw/zinc/28/34/05/583283405.db2.gz QXMNHTDSQMCZCO-UHFFFAOYSA-N -1 1 326.334 -0.579 20 0 EBADMM C[C@]1(c2ccccc2)NC(=O)N([N-]S(=O)(=O)C2COC2)C1=O ZINC000767540237 583307027 /nfs/dbraw/zinc/30/70/27/583307027.db2.gz NICDKCKKCLXTHE-CYBMUJFWSA-N -1 1 325.346 -0.313 20 0 EBADMM CN(C)c1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)c(C(N)=O)c1 ZINC000769168311 583321600 /nfs/dbraw/zinc/32/16/00/583321600.db2.gz LKKQJFGJRUDRJD-UHFFFAOYSA-N -1 1 345.359 -0.270 20 0 EBADMM CNC(=O)Cn1ccc2ccc(NC(=O)NN3CC(=O)[N-]C3=O)cc21 ZINC000770513262 583338728 /nfs/dbraw/zinc/33/87/28/583338728.db2.gz UGPGDSBFBQYHDV-UHFFFAOYSA-N -1 1 344.331 -0.024 20 0 EBADMM NC(=O)COc1ccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)cc1 ZINC000773159370 583369907 /nfs/dbraw/zinc/36/99/07/583369907.db2.gz DWZKENCPGLUYFD-NSHDSACASA-N -1 1 348.388 -0.006 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1(CO)Cc2ccccc2C1 ZINC000781098756 583453674 /nfs/dbraw/zinc/45/36/74/583453674.db2.gz DNLCUGYJZXDBLP-UHFFFAOYSA-N -1 1 329.356 -0.427 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CN(c2ccccc2)C1=O ZINC000782877463 583474700 /nfs/dbraw/zinc/47/47/00/583474700.db2.gz OECHQTJWOPYIIK-LBPRGKRZSA-N -1 1 328.328 -0.542 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H]2CCCCC2=O)o1 ZINC000786196389 583507130 /nfs/dbraw/zinc/50/71/30/583507130.db2.gz GNODZMHSQAUBNL-VIFPVBQESA-N -1 1 344.345 -0.288 20 0 EBADMM CN(C)c1nc(C(=O)O[C@@H]2CCN(c3cnn(C)c3)C2=O)cc(=O)[n-]1 ZINC000786496972 583510523 /nfs/dbraw/zinc/51/05/23/583510523.db2.gz MEJKESRNVZLTEU-LLVKDONJSA-N -1 1 346.347 -0.056 20 0 EBADMM CCO[C@@H]1C[C@](O)(CNC(=O)CCn2cc[n-]c(=O)c2=O)C1(C)C ZINC000789884930 583539941 /nfs/dbraw/zinc/53/99/41/583539941.db2.gz VLVAWLLRIHDCLN-BZNIZROVSA-N -1 1 339.392 -0.391 20 0 EBADMM COC(=O)Cc1cccc(NC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC000791048362 583548941 /nfs/dbraw/zinc/54/89/41/583548941.db2.gz ZYEAUYKSLIXWRU-UHFFFAOYSA-N -1 1 332.316 -0.324 20 0 EBADMM C[C@H]1OCC[C@@]12CN(C(=O)CCn1cc[n-]c(=O)c1=O)C[C@@H](C)O2 ZINC000791064102 583549162 /nfs/dbraw/zinc/54/91/62/583549162.db2.gz QOKLHYUESQUFSJ-XHBSWPGZSA-N -1 1 337.376 -0.278 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC2(CO)COC(C)(C)OC2)o1 ZINC000791237448 583550010 /nfs/dbraw/zinc/55/00/10/583550010.db2.gz OISCUTCPNAWZOT-UHFFFAOYSA-N -1 1 348.377 -0.569 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC(=O)c2cnn(C)n2)o1 ZINC000791713407 583552309 /nfs/dbraw/zinc/55/23/09/583552309.db2.gz QKMOHXHOMZWBGN-UHFFFAOYSA-N -1 1 328.306 -0.644 20 0 EBADMM O=C([C@@H]1CCN(CC(F)(F)F)C1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000792151020 583557044 /nfs/dbraw/zinc/55/70/44/583557044.db2.gz TWFZKFNWXLAJPC-RKDXNWHRSA-N -1 1 334.302 -0.016 20 0 EBADMM O=C([C@@H]1Cc2ccccc2C(=O)O1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000798147509 583602516 /nfs/dbraw/zinc/60/25/16/583602516.db2.gz AXMDAQPLWXIHCF-LBPRGKRZSA-N -1 1 343.343 -0.209 20 0 EBADMM CC(C)[C@H](C(=O)[N-]S(=O)(=O)c1cc(C(N)=O)n(C)c1)N(C)C ZINC000798813870 583607853 /nfs/dbraw/zinc/60/78/53/583607853.db2.gz LOTSJTCCDHUOAZ-LLVKDONJSA-N -1 1 330.410 -0.485 20 0 EBADMM COc1ccc(-n2cc([O-])c(C(=O)OCC(=O)NC(N)=O)n2)cc1 ZINC000801365660 583619950 /nfs/dbraw/zinc/61/99/50/583619950.db2.gz JAUXFEDJLPMRMZ-UHFFFAOYSA-N -1 1 334.288 -0.062 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)N[C@@H]3CCCN(CCO)C3=O)ccnc1-2 ZINC000806487583 583650440 /nfs/dbraw/zinc/65/04/40/583650440.db2.gz HXTQIFYUEJCDNH-GFCCVEGCSA-N -1 1 332.364 -0.553 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)N3CCN4C(=O)NC(=O)[C@H]4C3)ccnc1-2 ZINC000806492918 583650788 /nfs/dbraw/zinc/65/07/88/583650788.db2.gz PYIUTKCMIYAGMD-SNVBAGLBSA-N -1 1 329.320 -0.890 20 0 EBADMM C[C@@H]1CC(=O)NCCN1C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806919015 583655936 /nfs/dbraw/zinc/65/59/36/583655936.db2.gz IRJHWYMQBSESAE-SECBINFHSA-N -1 1 330.348 -0.983 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NC[C@H]3COC(C)(C)O3)ccnc1-2 ZINC000806960630 583656140 /nfs/dbraw/zinc/65/61/40/583656140.db2.gz DMSHOLIYDHZNBS-VIFPVBQESA-N -1 1 333.348 -0.452 20 0 EBADMM C[C@@H]1CC[C@@H](C(N)=O)CN1C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806990078 583656434 /nfs/dbraw/zinc/65/64/34/583656434.db2.gz XECZKGSTXBJCQN-NXEZZACHSA-N -1 1 344.375 -0.607 20 0 EBADMM CC(=O)N1CCN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)[C@@H](C)C1 ZINC000807015100 583656606 /nfs/dbraw/zinc/65/66/06/583656606.db2.gz VOUQSVSSGKJQAQ-JTQLQIEISA-N -1 1 344.375 -0.641 20 0 EBADMM COCCN(C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@@H]1CCOC1 ZINC000807066268 583657635 /nfs/dbraw/zinc/65/76/35/583657635.db2.gz IKLRKIXJAZYHBB-LLVKDONJSA-N -1 1 347.375 -0.456 20 0 EBADMM COC(=O)CC1(NC(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)CCC1 ZINC000807063852 583657650 /nfs/dbraw/zinc/65/76/50/583657650.db2.gz CQWBTTUEEJVXFF-UHFFFAOYSA-N -1 1 345.359 -0.118 20 0 EBADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)NCCn2cnnn2)o1 ZINC000816288043 583716192 /nfs/dbraw/zinc/71/61/92/583716192.db2.gz BGJARSHDDGYDFU-UHFFFAOYSA-N -1 1 342.381 -0.227 20 0 EBADMM CNC(=O)[C@@H](O)CNC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000816328364 583716609 /nfs/dbraw/zinc/71/66/09/583716609.db2.gz JQCFORQEWLZQQB-QMMMGPOBSA-N -1 1 347.393 -0.807 20 0 EBADMM CCOC(=O)N[C@H](C(=O)N1CCC(O)(c2nn[n-]n2)CC1)C(C)C ZINC000816491777 583717656 /nfs/dbraw/zinc/71/76/56/583717656.db2.gz YJTSCPBINWLXQF-JTQLQIEISA-N -1 1 340.384 -0.220 20 0 EBADMM COC[C@]1(C(=O)[O-])CCCN1C(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000818309360 583741366 /nfs/dbraw/zinc/74/13/66/583741366.db2.gz BMXPXHWZBFBMKO-HNNXBMFYSA-N -1 1 348.363 -0.119 20 0 EBADMM CC(C)[C@H](NC(=O)C(=O)Nc1ccc2[nH]nc(C(N)=O)c2c1)C(=O)[O-] ZINC000820049170 583765444 /nfs/dbraw/zinc/76/54/44/583765444.db2.gz JGSCCDDXSJMSIH-JTQLQIEISA-N -1 1 347.331 -0.174 20 0 EBADMM CC(C)C[C@H]1COCCN1c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000820067815 583765913 /nfs/dbraw/zinc/76/59/13/583765913.db2.gz LSIOSBKMITYNHR-JTQLQIEISA-N -1 1 349.395 -0.485 20 0 EBADMM CC(C)NC(=O)CN1CCN(c2nccnc2-c2nnn[n-]2)C[C@@H]1C ZINC000820132893 583767159 /nfs/dbraw/zinc/76/71/59/583767159.db2.gz MVAUXIMGJGZINB-NSHDSACASA-N -1 1 345.411 -0.308 20 0 EBADMM CC(C)NC(=O)CN1CCN(c2nccnc2-c2nn[n-]n2)C[C@@H]1C ZINC000820132893 583767160 /nfs/dbraw/zinc/76/71/60/583767160.db2.gz MVAUXIMGJGZINB-NSHDSACASA-N -1 1 345.411 -0.308 20 0 EBADMM C[C@H](CCNC(=O)Nc1nn(C)cc1-c1nnn[n-]1)[S@](C)=O ZINC000820242158 583768973 /nfs/dbraw/zinc/76/89/73/583768973.db2.gz LGTHDHCDYJOCBA-YNSPTIENSA-N -1 1 326.386 -0.121 20 0 EBADMM C[C@H](CCNC(=O)Nc1nn(C)cc1-c1nn[n-]n1)[S@](C)=O ZINC000820242158 583768974 /nfs/dbraw/zinc/76/89/74/583768974.db2.gz LGTHDHCDYJOCBA-YNSPTIENSA-N -1 1 326.386 -0.121 20 0 EBADMM C[C@H]1CCCN(S(=O)(=O)[N-]c2nn(C)cc2-c2nn[nH]n2)C1 ZINC000820708934 583777923 /nfs/dbraw/zinc/77/79/23/583777923.db2.gz AAXJBZHJCPCNQD-QMMMGPOBSA-N -1 1 326.386 -0.011 20 0 EBADMM CC(C)[N@H+]1C[C@H](C)[C@H](Nc2c(-c3nnn[n-]3)c(=O)n(C)c(=O)n2C)C1 ZINC000820752875 583779117 /nfs/dbraw/zinc/77/91/17/583779117.db2.gz SZPJKUTWCRBPGE-VHSXEESVSA-N -1 1 348.411 -0.595 20 0 EBADMM C[C@@H]1CN(S(C)(=O)=O)CC[C@H]1Nc1nccnc1-c1nnn[n-]1 ZINC000820769832 583779566 /nfs/dbraw/zinc/77/95/66/583779566.db2.gz XATBWYKDLDYBNW-RKDXNWHRSA-N -1 1 338.397 -0.261 20 0 EBADMM C[C@@H]1CN(S(C)(=O)=O)CC[C@H]1Nc1nccnc1-c1nn[n-]n1 ZINC000820769832 583779568 /nfs/dbraw/zinc/77/95/68/583779568.db2.gz XATBWYKDLDYBNW-RKDXNWHRSA-N -1 1 338.397 -0.261 20 0 EBADMM CC[C@H](NC(=O)c1ccc(-c2nnn[n-]2)nc1)C(=O)N1CCOCC1 ZINC000820920299 583783018 /nfs/dbraw/zinc/78/30/18/583783018.db2.gz OVLSMTGPWJSNIE-NSHDSACASA-N -1 1 345.363 -0.371 20 0 EBADMM CC[C@H](NC(=O)c1ccc(-c2nn[n-]n2)nc1)C(=O)N1CCOCC1 ZINC000820920299 583783020 /nfs/dbraw/zinc/78/30/20/583783020.db2.gz OVLSMTGPWJSNIE-NSHDSACASA-N -1 1 345.363 -0.371 20 0 EBADMM CNC(=O)CC1CCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)CC1 ZINC000821631214 583797493 /nfs/dbraw/zinc/79/74/93/583797493.db2.gz BITHIMSXURENME-UHFFFAOYSA-N -1 1 347.383 -0.020 20 0 EBADMM CNC(=O)CC1CCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)CC1 ZINC000821631214 583797494 /nfs/dbraw/zinc/79/74/94/583797494.db2.gz BITHIMSXURENME-UHFFFAOYSA-N -1 1 347.383 -0.020 20 0 EBADMM COC(=O)CNC(=O)COC(=O)c1ccc(-c2nnn[n-]2)s1 ZINC000821662551 583800051 /nfs/dbraw/zinc/80/00/51/583800051.db2.gz IFGFQFVVGACTEN-UHFFFAOYSA-N -1 1 325.306 -0.626 20 0 EBADMM COC(=O)CNC(=O)COC(=O)c1ccc(-c2nn[n-]n2)s1 ZINC000821662551 583800053 /nfs/dbraw/zinc/80/00/53/583800053.db2.gz IFGFQFVVGACTEN-UHFFFAOYSA-N -1 1 325.306 -0.626 20 0 EBADMM COC(=O)c1cc(-c2nn[n-]n2)c(=O)n(CC[C@H]2CCOC2=O)c1 ZINC000821667067 583800348 /nfs/dbraw/zinc/80/03/48/583800348.db2.gz SJIZOMXLALKZIT-QMMMGPOBSA-N -1 1 333.304 -0.232 20 0 EBADMM COC[C@@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)c1ccccc1 ZINC000821683006 583801327 /nfs/dbraw/zinc/80/13/27/583801327.db2.gz SNEAFMGYRRZSAK-LLVKDONJSA-N -1 1 328.336 -0.038 20 0 EBADMM COCCC1(CNc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)CCC1 ZINC000821686883 583801740 /nfs/dbraw/zinc/80/17/40/583801740.db2.gz DESSJNVGBQXKCO-UHFFFAOYSA-N -1 1 349.395 -0.117 20 0 EBADMM COCCOc1ccc(NC(=O)Cn2cnc(-c3nn[n-]n3)n2)cn1 ZINC000821693501 583802248 /nfs/dbraw/zinc/80/22/48/583802248.db2.gz BDTNHKDRHXYRAK-UHFFFAOYSA-N -1 1 345.323 -0.483 20 0 EBADMM COc1ccc([C@@H](O)CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)cc1 ZINC000821782617 583804331 /nfs/dbraw/zinc/80/43/31/583804331.db2.gz HZJAPIBYVFIXMF-NSHDSACASA-N -1 1 344.335 -0.683 20 0 EBADMM COc1ccccc1C(=O)NCC(=O)Nc1n[nH]cc1-c1nnn[n-]1 ZINC000821882713 583805111 /nfs/dbraw/zinc/80/51/11/583805111.db2.gz IWBVJOMARXUTIC-UHFFFAOYSA-N -1 1 342.319 -0.033 20 0 EBADMM COc1ccccc1C(=O)NCC(=O)Nc1n[nH]cc1-c1nn[n-]n1 ZINC000821882713 583805112 /nfs/dbraw/zinc/80/51/12/583805112.db2.gz IWBVJOMARXUTIC-UHFFFAOYSA-N -1 1 342.319 -0.033 20 0 EBADMM Cc1ccc(S(=O)(=O)[N-]C(=O)Cn2cnc(-c3nn[nH]n3)n2)cc1 ZINC000822267293 583809141 /nfs/dbraw/zinc/80/91/41/583809141.db2.gz RIGYPKHANAZESF-UHFFFAOYSA-N -1 1 348.348 -0.728 20 0 EBADMM Cc1cnc(CNc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)s1 ZINC000822395014 583810740 /nfs/dbraw/zinc/81/07/40/583810740.db2.gz OTLIJWMHYDGKMA-UHFFFAOYSA-N -1 1 334.365 -0.359 20 0 EBADMM Cc1nnc2cc(NCCCc3[nH]nc(N)c3-c3nnn[n-]3)ncn12 ZINC000822516014 583811594 /nfs/dbraw/zinc/81/15/94/583811594.db2.gz STUAMAIHXKXNGN-UHFFFAOYSA-N -1 1 340.355 -0.037 20 0 EBADMM Cc1nnc2cc(NCCCc3[nH]nc(N)c3-c3nn[n-]n3)ncn12 ZINC000822516014 583811596 /nfs/dbraw/zinc/81/15/96/583811596.db2.gz STUAMAIHXKXNGN-UHFFFAOYSA-N -1 1 340.355 -0.037 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NC[C@@]2(C)CCCS2)n(C)c1=O ZINC000822599144 583813507 /nfs/dbraw/zinc/81/35/07/583813507.db2.gz AWEVQBJJNVLRGH-CYBMUJFWSA-N -1 1 337.409 -0.038 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CC(=O)N[C@@H]3CCCC[C@H]32)n1 ZINC000822605017 583813765 /nfs/dbraw/zinc/81/37/65/583813765.db2.gz UZYWLJWGMZTHMR-NXEZZACHSA-N -1 1 345.367 -0.125 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CC(=O)N[C@@H]3CCCC[C@H]32)n1 ZINC000822605017 583813766 /nfs/dbraw/zinc/81/37/66/583813766.db2.gz UZYWLJWGMZTHMR-NXEZZACHSA-N -1 1 345.367 -0.125 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N[C@@]2(C)CCS(=O)(=O)C2)n1 ZINC000822609625 583814139 /nfs/dbraw/zinc/81/41/39/583814139.db2.gz SFNCWGODJONTAU-NSHDSACASA-N -1 1 340.369 -0.701 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N[C@@]2(C)CCS(=O)(=O)C2)n1 ZINC000822609625 583814141 /nfs/dbraw/zinc/81/41/41/583814141.db2.gz SFNCWGODJONTAU-NSHDSACASA-N -1 1 340.369 -0.701 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NC[C@@H](O)c1ccc(Cl)cc1 ZINC000823127279 583824980 /nfs/dbraw/zinc/82/49/80/583824980.db2.gz YSEHWCCXUQWLPT-SNVBAGLBSA-N -1 1 348.754 -0.039 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCc1nc2cc(F)ccc2[nH]1 ZINC000823131761 583825518 /nfs/dbraw/zinc/82/55/18/583825518.db2.gz NJOFDSGUXWMLML-UHFFFAOYSA-N -1 1 342.298 -0.210 20 0 EBADMM O=C(OCCN1CCCS1(=O)=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000823406031 583829176 /nfs/dbraw/zinc/82/91/76/583829176.db2.gz ZUJZGTVXFBHGMM-UHFFFAOYSA-N -1 1 338.349 -0.546 20 0 EBADMM O=C(OCCN1CCCS1(=O)=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000823406031 583829178 /nfs/dbraw/zinc/82/91/78/583829178.db2.gz ZUJZGTVXFBHGMM-UHFFFAOYSA-N -1 1 338.349 -0.546 20 0 EBADMM CC(=O)N1CC[C@H](CN(C)C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000823975121 583839590 /nfs/dbraw/zinc/83/95/90/583839590.db2.gz UVLLBWOOBZTAQW-SNVBAGLBSA-N -1 1 347.383 -0.068 20 0 EBADMM CC(=O)N1CC[C@H](CN(C)C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000823975121 583839591 /nfs/dbraw/zinc/83/95/91/583839591.db2.gz UVLLBWOOBZTAQW-SNVBAGLBSA-N -1 1 347.383 -0.068 20 0 EBADMM C[C@@H](C(N)=O)N(C)S(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000824059147 583840896 /nfs/dbraw/zinc/84/08/96/583840896.db2.gz NRHUFKFHPYRXJQ-LURJTMIESA-N -1 1 328.329 -0.500 20 0 EBADMM C[C@@H](C(N)=O)N(C)S(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000824059147 583840898 /nfs/dbraw/zinc/84/08/98/583840898.db2.gz NRHUFKFHPYRXJQ-LURJTMIESA-N -1 1 328.329 -0.500 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)[C@@H]2CN(C(=O)[O-])CCO2)C1 ZINC000824257674 583842946 /nfs/dbraw/zinc/84/29/46/583842946.db2.gz SWKSENTZPQOLPD-OLZOCXBDSA-N -1 1 329.397 -0.162 20 0 EBADMM CC(C)NC(=O)CNS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000824305473 583843970 /nfs/dbraw/zinc/84/39/70/583843970.db2.gz QVQDBEQKQOUWHV-UHFFFAOYSA-N -1 1 342.356 -0.191 20 0 EBADMM C[C@H](CCO)C1(CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)CCC1 ZINC000824406324 583845105 /nfs/dbraw/zinc/84/51/05/583845105.db2.gz HKNIEWCZDOYHLL-SNVBAGLBSA-N -1 1 334.384 -0.237 20 0 EBADMM C[C@@H](Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C)C1=CC[N@H+](C)CC1 ZINC000824648937 583848305 /nfs/dbraw/zinc/84/83/05/583848305.db2.gz JSLVNHAYINNYSW-SECBINFHSA-N -1 1 346.395 -0.674 20 0 EBADMM C[C@H](O)C[C@H](C)CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000824691308 583848861 /nfs/dbraw/zinc/84/88/61/583848861.db2.gz ZNUFZLYRIYJDHP-IUCAKERBSA-N -1 1 326.382 -0.053 20 0 EBADMM C[C@H](O)C[C@H](C)CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000824691308 583848863 /nfs/dbraw/zinc/84/88/63/583848863.db2.gz ZNUFZLYRIYJDHP-IUCAKERBSA-N -1 1 326.382 -0.053 20 0 EBADMM C[C@H](O)C(=O)N1CCN(c2ccc(Cl)c(-c3nnn[n-]3)n2)CC1 ZINC000824680038 583848877 /nfs/dbraw/zinc/84/88/77/583848877.db2.gz YEJZFUURDINTJK-QMMMGPOBSA-N -1 1 337.771 -0.056 20 0 EBADMM C[C@H](O)C(=O)N1CCN(c2ccc(Cl)c(-c3nn[n-]n3)n2)CC1 ZINC000824680038 583848880 /nfs/dbraw/zinc/84/88/80/583848880.db2.gz YEJZFUURDINTJK-QMMMGPOBSA-N -1 1 337.771 -0.056 20 0 EBADMM C[C@@H](O)C[C@@H]1CCCN1c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000824698658 583848911 /nfs/dbraw/zinc/84/89/11/583848911.db2.gz MURYGNMSQVEJFY-BDAKNGLRSA-N -1 1 335.368 -0.996 20 0 EBADMM C[C@@H](O)C[C@H]1CCCN1c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000824698663 583849072 /nfs/dbraw/zinc/84/90/72/583849072.db2.gz MURYGNMSQVEJFY-RKDXNWHRSA-N -1 1 335.368 -0.996 20 0 EBADMM CC1(C)C(=O)NC(=O)N1CC(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000824784066 583850220 /nfs/dbraw/zinc/85/02/20/583850220.db2.gz NPLDZSLBXFHBLD-UHFFFAOYSA-N -1 1 345.319 -0.365 20 0 EBADMM CC1(C)C(=O)NC(=O)N1CC(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000824784066 583850221 /nfs/dbraw/zinc/85/02/21/583850221.db2.gz NPLDZSLBXFHBLD-UHFFFAOYSA-N -1 1 345.319 -0.365 20 0 EBADMM CC1(C)CCC(NC(=O)Cn2cnc(-c3nn[n-]n3)n2)(C(N)=O)CC1 ZINC000824801973 583850337 /nfs/dbraw/zinc/85/03/37/583850337.db2.gz IUFBKDXMFQMUND-UHFFFAOYSA-N -1 1 347.383 -0.601 20 0 EBADMM C[C@@H]1C[C@@H](Nc2ccc(-c3nnn[n-]3)nn2)C(=O)N1c1cnn(C)c1 ZINC000824892836 583851915 /nfs/dbraw/zinc/85/19/15/583851915.db2.gz NFEPSCKARUYCEP-LDYMZIIASA-N -1 1 340.351 -0.004 20 0 EBADMM C[C@@H]1C[C@@H](Nc2ccc(-c3nn[n-]n3)nn2)C(=O)N1c1cnn(C)c1 ZINC000824892836 583851917 /nfs/dbraw/zinc/85/19/17/583851917.db2.gz NFEPSCKARUYCEP-LDYMZIIASA-N -1 1 340.351 -0.004 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nnn[n-]2)CCN1C(=O)c1cnco1 ZINC000825000200 583853703 /nfs/dbraw/zinc/85/37/03/583853703.db2.gz NYDXZZTYPFHPDU-SECBINFHSA-N -1 1 341.335 -0.004 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1C(=O)c1cnco1 ZINC000825000200 583853704 /nfs/dbraw/zinc/85/37/04/583853704.db2.gz NYDXZZTYPFHPDU-SECBINFHSA-N -1 1 341.335 -0.004 20 0 EBADMM C[C@H]1CO[C@@H](CO)CN1S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000825006101 583854044 /nfs/dbraw/zinc/85/40/44/583854044.db2.gz GCZJYKHDPURJSX-DTWKUNHWSA-N -1 1 340.365 -0.968 20 0 EBADMM C[C@H]1CO[C@@H](CO)CN1S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000825006101 583854045 /nfs/dbraw/zinc/85/40/45/583854045.db2.gz GCZJYKHDPURJSX-DTWKUNHWSA-N -1 1 340.365 -0.968 20 0 EBADMM CCNC(=O)[C@H](C)NS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000825466336 583861326 /nfs/dbraw/zinc/86/13/26/583861326.db2.gz ZNPQPOQBJLGHPR-ZETCQYMHSA-N -1 1 342.356 -0.191 20 0 EBADMM CCNC(=O)[C@H](C)NS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000825464544 583861354 /nfs/dbraw/zinc/86/13/54/583861354.db2.gz DJAPKEKEQWXWQE-ZETCQYMHSA-N -1 1 342.356 -0.191 20 0 EBADMM CCNC(=O)[C@H](C)NS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000825464544 583861356 /nfs/dbraw/zinc/86/13/56/583861356.db2.gz DJAPKEKEQWXWQE-ZETCQYMHSA-N -1 1 342.356 -0.191 20 0 EBADMM CCOC(=O)C(=O)N1CCN(c2cccc(-c3nnn[n-]3)n2)CC1 ZINC000825486424 583862078 /nfs/dbraw/zinc/86/20/78/583862078.db2.gz RKBVZCAXXCLVII-UHFFFAOYSA-N -1 1 331.336 -0.527 20 0 EBADMM CCOC(=O)C(=O)N1CCN(c2cccc(-c3nn[n-]n3)n2)CC1 ZINC000825486424 583862079 /nfs/dbraw/zinc/86/20/79/583862079.db2.gz RKBVZCAXXCLVII-UHFFFAOYSA-N -1 1 331.336 -0.527 20 0 EBADMM CCOCCOC1CN(C(=O)Cc2noc(C)c2-c2nnn[n-]2)C1 ZINC000825598994 583863976 /nfs/dbraw/zinc/86/39/76/583863976.db2.gz FIRDGQYDCXYXOP-UHFFFAOYSA-N -1 1 336.352 -0.030 20 0 EBADMM CCOCCOC1CN(C(=O)Cc2noc(C)c2-c2nn[n-]n2)C1 ZINC000825598994 583863977 /nfs/dbraw/zinc/86/39/77/583863977.db2.gz FIRDGQYDCXYXOP-UHFFFAOYSA-N -1 1 336.352 -0.030 20 0 EBADMM CCOCCNC(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000825598711 583864007 /nfs/dbraw/zinc/86/40/07/583864007.db2.gz BAHPRASHCHMNIK-UHFFFAOYSA-N -1 1 337.388 -0.218 20 0 EBADMM CCOCCNC(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000825598711 583864009 /nfs/dbraw/zinc/86/40/09/583864009.db2.gz BAHPRASHCHMNIK-UHFFFAOYSA-N -1 1 337.388 -0.218 20 0 EBADMM CCc1nnc([C@@H](C)Nc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)[nH]1 ZINC000825745416 583866172 /nfs/dbraw/zinc/86/61/72/583866172.db2.gz LREJWJHZQPBGHT-ZCFIWIBFSA-N -1 1 346.355 -0.882 20 0 EBADMM CN(C(=O)Cn1cnc(-c2nn[n-]n2)n1)[C@@H]1CCCc2c1cnn2C ZINC000825820639 583867649 /nfs/dbraw/zinc/86/76/49/583867649.db2.gz NIPHCRVQSRILED-SNVBAGLBSA-N -1 1 342.367 -0.272 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nnn[n-]1)C(=O)N1CCOCC1 ZINC000825930155 583870893 /nfs/dbraw/zinc/87/08/93/583870893.db2.gz QKHJQLQLMBGYFD-UHFFFAOYSA-N -1 1 335.372 -0.511 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nn[n-]n1)C(=O)N1CCOCC1 ZINC000825930155 583870894 /nfs/dbraw/zinc/87/08/94/583870894.db2.gz QKHJQLQLMBGYFD-UHFFFAOYSA-N -1 1 335.372 -0.511 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nn[n-]n1)C(=O)Cn1ccnc1 ZINC000825930668 583871318 /nfs/dbraw/zinc/87/13/18/583871318.db2.gz WCSVADVJDSRDTK-UHFFFAOYSA-N -1 1 330.356 -0.540 20 0 EBADMM CNC(=O)[C@H]1CCCCN1C(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000826060924 583876664 /nfs/dbraw/zinc/87/66/64/583876664.db2.gz WSIAPYPNZRRSMC-SNVBAGLBSA-N -1 1 333.352 -0.167 20 0 EBADMM CNC(=O)[C@H]1CCCCN1C(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000826060924 583876666 /nfs/dbraw/zinc/87/66/66/583876666.db2.gz WSIAPYPNZRRSMC-SNVBAGLBSA-N -1 1 333.352 -0.167 20 0 EBADMM CNC(=O)c1cccc(Cn2c(=O)c(-c3nn[n-]n3)cn(C)c2=O)c1 ZINC000826073116 583876822 /nfs/dbraw/zinc/87/68/22/583876822.db2.gz FPGQICOUPYFJMZ-UHFFFAOYSA-N -1 1 341.331 -0.865 20 0 EBADMM COC(=O)[C@@H](C)CS(=O)(=O)Cc1ccnc(-c2nnn[n-]2)c1 ZINC000826104217 583878245 /nfs/dbraw/zinc/87/82/45/583878245.db2.gz RZZIVKKLNANRSH-QMMMGPOBSA-N -1 1 325.350 -0.014 20 0 EBADMM COC(=O)[C@@H](C)CS(=O)(=O)Cc1ccnc(-c2nn[n-]n2)c1 ZINC000826104217 583878246 /nfs/dbraw/zinc/87/82/46/583878246.db2.gz RZZIVKKLNANRSH-QMMMGPOBSA-N -1 1 325.350 -0.014 20 0 EBADMM COC(=O)[C@H](O)C1CCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000826112114 583879191 /nfs/dbraw/zinc/87/91/91/583879191.db2.gz SKTOLWLPXPDNTJ-GFCCVEGCSA-N -1 1 346.347 -0.352 20 0 EBADMM COC(=O)[C@H](O)C1CCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)CC1 ZINC000826112114 583879192 /nfs/dbraw/zinc/87/91/92/583879192.db2.gz SKTOLWLPXPDNTJ-GFCCVEGCSA-N -1 1 346.347 -0.352 20 0 EBADMM COC(=O)[C@@H]1CN(C(=O)Cc2noc(C)c2-c2nnn[n-]2)C[C@H]1C ZINC000826133790 583881766 /nfs/dbraw/zinc/88/17/66/583881766.db2.gz PQPXWVJMKUOPED-VXNVDRBHSA-N -1 1 334.336 -0.027 20 0 EBADMM COC(=O)[C@@H]1CN(C(=O)Cc2noc(C)c2-c2nn[n-]n2)C[C@H]1C ZINC000826133790 583881767 /nfs/dbraw/zinc/88/17/67/583881767.db2.gz PQPXWVJMKUOPED-VXNVDRBHSA-N -1 1 334.336 -0.027 20 0 EBADMM COC[C@@H](C)NC(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000826148466 583883490 /nfs/dbraw/zinc/88/34/90/583883490.db2.gz JFXGABAYBPDLGR-MRVPVSSYSA-N -1 1 337.388 -0.219 20 0 EBADMM COC[C@@H](C)NC(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000826148466 583883491 /nfs/dbraw/zinc/88/34/91/583883491.db2.gz JFXGABAYBPDLGR-MRVPVSSYSA-N -1 1 337.388 -0.219 20 0 EBADMM COC[C@@H](O)C(=O)OCC(=O)Nc1oc(C)c(C)c1-c1nn[n-]n1 ZINC000826151606 583884788 /nfs/dbraw/zinc/88/47/88/583884788.db2.gz FSCYHDAWQIJTFE-MRVPVSSYSA-N -1 1 339.308 -0.434 20 0 EBADMM COCc1nc2n(n1)C[C@@H](Nc1ccc(-c3nnn[n-]3)nn1)CC2 ZINC000826165649 583886413 /nfs/dbraw/zinc/88/64/13/583886413.db2.gz DDQKNXRNIIXPKG-QMMMGPOBSA-N -1 1 328.340 -0.179 20 0 EBADMM COCc1nc2n(n1)C[C@@H](Nc1ccc(-c3nn[n-]n3)nn1)CC2 ZINC000826165649 583886415 /nfs/dbraw/zinc/88/64/15/583886415.db2.gz DDQKNXRNIIXPKG-QMMMGPOBSA-N -1 1 328.340 -0.179 20 0 EBADMM COc1ccccc1[C@@H](CO)NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000826218629 583888148 /nfs/dbraw/zinc/88/81/48/583888148.db2.gz HPZQMBQLYQYTCM-SNVBAGLBSA-N -1 1 344.335 -0.683 20 0 EBADMM CS(=O)(=O)c1cc(C(=O)n2ncc(-c3nn[n-]n3)c2N)cs1 ZINC000826224291 583888452 /nfs/dbraw/zinc/88/84/52/583888452.db2.gz YCJPAHMEDCOJGX-UHFFFAOYSA-N -1 1 339.362 -0.201 20 0 EBADMM Cc1nonc1C(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000826340094 583891650 /nfs/dbraw/zinc/89/16/50/583891650.db2.gz HDZGQVZCFGAXBQ-UHFFFAOYSA-N -1 1 332.328 -0.432 20 0 EBADMM Cc1nonc1C(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000826340094 583891654 /nfs/dbraw/zinc/89/16/54/583891654.db2.gz HDZGQVZCFGAXBQ-UHFFFAOYSA-N -1 1 332.328 -0.432 20 0 EBADMM Cc1onc(CC(=O)N[C@H](C)[C@@H](C)N2CCOCC2)c1-c1nn[n-]n1 ZINC000826345412 583892515 /nfs/dbraw/zinc/89/25/15/583892515.db2.gz KPGINHASYIXDBR-NXEZZACHSA-N -1 1 349.395 -0.069 20 0 EBADMM Cc1onc(CC(=O)N[C@H](C)CN2CCOCC2)c1-c1nn[n-]n1 ZINC000826345440 583892572 /nfs/dbraw/zinc/89/25/72/583892572.db2.gz LATSNORWDGVTTJ-SECBINFHSA-N -1 1 335.368 -0.457 20 0 EBADMM Cc1onc(CC(=O)N[C@@H]2CCN(C(C)C)C2=O)c1-c1nnn[n-]1 ZINC000826346495 583893052 /nfs/dbraw/zinc/89/30/52/583893052.db2.gz NVCKPHFHCXEMOD-SECBINFHSA-N -1 1 333.352 -0.169 20 0 EBADMM Cc1onc(CC(=O)N[C@@H]2CCN(C(C)C)C2=O)c1-c1nn[n-]n1 ZINC000826346495 583893055 /nfs/dbraw/zinc/89/30/55/583893055.db2.gz NVCKPHFHCXEMOD-SECBINFHSA-N -1 1 333.352 -0.169 20 0 EBADMM Cc1onc(CC(=O)NC[C@H](O)COCC2CC2)c1-c1nnn[n-]1 ZINC000826346375 583893069 /nfs/dbraw/zinc/89/30/69/583893069.db2.gz LALSECAFGVIFGT-JTQLQIEISA-N -1 1 336.352 -0.391 20 0 EBADMM Cc1onc(CC(=O)NC[C@H](O)COCC2CC2)c1-c1nn[n-]n1 ZINC000826346375 583893071 /nfs/dbraw/zinc/89/30/71/583893071.db2.gz LALSECAFGVIFGT-JTQLQIEISA-N -1 1 336.352 -0.391 20 0 EBADMM Cc1onc(CC(=O)NC2(c3nn[n-]n3)CCCC2)c1-c1nn[nH]n1 ZINC000826346634 583893127 /nfs/dbraw/zinc/89/31/27/583893127.db2.gz RISKMOJNSGNRMJ-UHFFFAOYSA-N -1 1 344.339 -0.196 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NCC[C@@H]2CCCCO2)n(C)c1=O ZINC000826355733 583893345 /nfs/dbraw/zinc/89/33/45/583893345.db2.gz LFGOSNBFFPTUNP-VIFPVBQESA-N -1 1 335.368 -0.365 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(Sc2ccc(CO)cc2)n(C)c1=O ZINC000826356601 583894012 /nfs/dbraw/zinc/89/40/12/583894012.db2.gz XWTLIGHSRDOIQI-UHFFFAOYSA-N -1 1 346.372 -0.092 20 0 EBADMM Cn1cc(CNS(=O)(=O)c2cccc(F)c2-c2nnn[n-]2)nn1 ZINC000826361937 583894515 /nfs/dbraw/zinc/89/45/15/583894515.db2.gz WSYHHNBPCFUIAM-UHFFFAOYSA-N -1 1 338.328 -0.387 20 0 EBADMM Cn1cc(CNS(=O)(=O)c2cccc(F)c2-c2nn[n-]n2)nn1 ZINC000826361937 583894516 /nfs/dbraw/zinc/89/45/16/583894516.db2.gz WSYHHNBPCFUIAM-UHFFFAOYSA-N -1 1 338.328 -0.387 20 0 EBADMM Cn1cc(N2CC[C@@H](Nc3ccc(-c4nnn[n-]4)nn3)C2=O)cn1 ZINC000826362486 583894980 /nfs/dbraw/zinc/89/49/80/583894980.db2.gz VCHRNFPSYAUHFI-SNVBAGLBSA-N -1 1 326.324 -0.392 20 0 EBADMM Cn1cc(N2CC[C@@H](Nc3ccc(-c4nn[n-]n4)nn3)C2=O)cn1 ZINC000826362486 583894983 /nfs/dbraw/zinc/89/49/83/583894983.db2.gz VCHRNFPSYAUHFI-SNVBAGLBSA-N -1 1 326.324 -0.392 20 0 EBADMM Cn1cnnc1CNS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000826365884 583895216 /nfs/dbraw/zinc/89/52/16/583895216.db2.gz IJNXYIMYVXKCTM-UHFFFAOYSA-N -1 1 338.328 -0.387 20 0 EBADMM Cn1cnnc1CNS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000826365884 583895218 /nfs/dbraw/zinc/89/52/18/583895218.db2.gz IJNXYIMYVXKCTM-UHFFFAOYSA-N -1 1 338.328 -0.387 20 0 EBADMM Nc1n[nH]c(CCCNc2cncc3ncnn32)c1-c1nn[n-]n1 ZINC000826395175 583898559 /nfs/dbraw/zinc/89/85/59/583898559.db2.gz PWEJHBRELAKGAV-UHFFFAOYSA-N -1 1 326.328 -0.346 20 0 EBADMM O=C(CN1CCN(c2ccc(-c3nnn[n-]3)nn2)CC1)NC1CC1 ZINC000826410902 583898923 /nfs/dbraw/zinc/89/89/23/583898923.db2.gz CAYHDTHFASLVTO-UHFFFAOYSA-N -1 1 329.368 -0.943 20 0 EBADMM O=C(CN1CCN(c2ccc(-c3nn[n-]n3)nn2)CC1)NC1CC1 ZINC000826410902 583898926 /nfs/dbraw/zinc/89/89/26/583898926.db2.gz CAYHDTHFASLVTO-UHFFFAOYSA-N -1 1 329.368 -0.943 20 0 EBADMM O=C(Cn1cccc(-c2nn[n-]n2)c1=O)N1CCOC[C@@H]1C1CC1 ZINC000826424696 583900824 /nfs/dbraw/zinc/90/08/24/583900824.db2.gz YTAABAPSRJSWGX-GFCCVEGCSA-N -1 1 330.348 -0.334 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NC[C@@H]1COC2(CCCC2)O1 ZINC000826425486 583901154 /nfs/dbraw/zinc/90/11/54/583901154.db2.gz GIIASUIRYKUOBF-SECBINFHSA-N -1 1 334.340 -0.740 20 0 EBADMM O=C(NC[C@H](O)CN1CCOCC1)c1ccc(-c2nn[n-]n2)s1 ZINC000826432653 583901478 /nfs/dbraw/zinc/90/14/78/583901478.db2.gz HJEOWVUWBLSULG-VIFPVBQESA-N -1 1 338.393 -0.649 20 0 EBADMM CC[C@@H]1CN(C(=O)[C@@H]2COCCN2C(=O)[O-])CCN1C[C@@H](C)O ZINC000826683424 583909585 /nfs/dbraw/zinc/90/95/85/583909585.db2.gz VKACAEGYZGRPJV-UPJWGTAASA-N -1 1 329.397 -0.331 20 0 EBADMM CC[C@@H]1CN(C(=O)[C@@H]2C[C@H](O)CN2C(=O)[O-])CCN1C[C@H](C)O ZINC000826683926 583909599 /nfs/dbraw/zinc/90/95/99/583909599.db2.gz YVWNXPVFFGUTJL-RNJOBUHISA-N -1 1 329.397 -0.597 20 0 EBADMM CCN(Cc1cccc(NC(=O)C(=O)NCc2nn[nH]n2)c1)C(=O)[O-] ZINC000827166229 583915962 /nfs/dbraw/zinc/91/59/62/583915962.db2.gz BAAZJOFKHASFKN-UHFFFAOYSA-N -1 1 347.335 -0.046 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@H]2CC(=O)N(c3cn[nH]c3)C2)CC1 ZINC000827355078 583919744 /nfs/dbraw/zinc/91/97/44/583919744.db2.gz IDGYSAGKWTXRQD-NEPJUHHUSA-N -1 1 349.391 -0.230 20 0 EBADMM C[C@H](CN1CCN(C)CC1)NS(=O)(=O)c1cc(C(=O)[O-])n(C)c1 ZINC000827461263 583921537 /nfs/dbraw/zinc/92/15/37/583921537.db2.gz RZDBKCHGFZYEGH-LLVKDONJSA-N -1 1 344.437 -0.363 20 0 EBADMM CC(C)[C@@H](CNS(=O)(=O)C[C@@H](C)C(=O)[O-])N1CCN(C)CC1 ZINC000827557848 583923772 /nfs/dbraw/zinc/92/37/72/583923772.db2.gz HVDJKOOMDHRVJQ-CHWSQXEVSA-N -1 1 335.470 -0.102 20 0 EBADMM CN(Cc1cccc(NC(=O)C(=O)NCc2nn[nH]n2)c1)C(=O)[O-] ZINC000828370430 583938028 /nfs/dbraw/zinc/93/80/28/583938028.db2.gz ZEEQHMFBUGOKDZ-UHFFFAOYSA-N -1 1 333.308 -0.436 20 0 EBADMM C[C@H]1CN(CC(=O)Nc2cccc(S(N)(=O)=O)c2)C[C@@H]1C(=O)[O-] ZINC000828442001 583939093 /nfs/dbraw/zinc/93/90/93/583939093.db2.gz WEGDUQKZGXMZPE-CABZTGNLSA-N -1 1 341.389 -0.075 20 0 EBADMM CC[C@H](CO)N1CCN(CC(=O)N[C@H](C(=O)[O-])[C@@H](C)CC)CC1 ZINC000828717685 583946387 /nfs/dbraw/zinc/94/63/87/583946387.db2.gz KEXRGUPZTQOPFZ-GUTXKFCHSA-N -1 1 329.441 -0.010 20 0 EBADMM CC[C@H](O)CN1CCN(CC(=O)N[C@@H](CC(C)C)C(=O)[O-])CC1 ZINC000829004589 583949910 /nfs/dbraw/zinc/94/99/10/583949910.db2.gz MOTUCWPHQYMYKU-KBPBESRZSA-N -1 1 329.441 -0.010 20 0 EBADMM CCN(C(=O)CN[C@@](C)(C(=O)[O-])C1CC1)[C@H]1CCS(=O)(=O)C1 ZINC000829597148 583954722 /nfs/dbraw/zinc/95/47/22/583954722.db2.gz IYTXWHHKRVIWDI-SMDDNHRTSA-N -1 1 332.422 -0.135 20 0 EBADMM CCN(C(=O)CN[C@@](C)(C(=O)[O-])C1CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000829597145 583954798 /nfs/dbraw/zinc/95/47/98/583954798.db2.gz IYTXWHHKRVIWDI-BXUZGUMPSA-N -1 1 332.422 -0.135 20 0 EBADMM CCOC[C@H](C(=O)[O-])N(C)C(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000829954205 583960678 /nfs/dbraw/zinc/96/06/78/583960678.db2.gz CZOHTDYTYPCUEJ-SECBINFHSA-N -1 1 336.352 -0.263 20 0 EBADMM CCOC[C@@H](C(=O)[O-])N(C)C(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000829954206 583960795 /nfs/dbraw/zinc/96/07/95/583960795.db2.gz CZOHTDYTYPCUEJ-VIFPVBQESA-N -1 1 336.352 -0.263 20 0 EBADMM Cc1nnc(SCC(=O)NCC(=O)N2CCN(C(=O)[O-])CC2)[nH]1 ZINC000830677335 583969477 /nfs/dbraw/zinc/96/94/77/583969477.db2.gz FSNWSZCUXOTQBF-UHFFFAOYSA-N -1 1 342.381 -0.856 20 0 EBADMM Cc1n[nH]c(SCC(=O)NCC(=O)N2CCN(C(=O)[O-])CC2)n1 ZINC000830677335 583969479 /nfs/dbraw/zinc/96/94/79/583969479.db2.gz FSNWSZCUXOTQBF-UHFFFAOYSA-N -1 1 342.381 -0.856 20 0 EBADMM Cc1nnc([C@@H]2CN(C(=O)[C@H]3C[C@H](O)CN3C(=O)[O-])CCO2)[nH]1 ZINC000830677038 583969519 /nfs/dbraw/zinc/96/95/19/583969519.db2.gz CGQLMZMSGKNNAV-AEJSXWLSSA-N -1 1 325.325 -0.874 20 0 EBADMM CN1CCO[C@@H]2CN(CCCN3C(=O)CS/C3=C\C(=O)[O-])C[C@@H]21 ZINC000830963629 583972979 /nfs/dbraw/zinc/97/29/79/583972979.db2.gz DIOWATLFTJMVIF-KMNMOCJZSA-N -1 1 341.433 -0.107 20 0 EBADMM CN1CC[C@@H](C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)S1(=O)=O ZINC000831121288 583976763 /nfs/dbraw/zinc/97/67/63/583976763.db2.gz JQAILNZZIAQXFQ-QMMMGPOBSA-N -1 1 343.327 -0.843 20 0 EBADMM CCCS(=O)(=O)CC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831149736 583977431 /nfs/dbraw/zinc/97/74/31/583977431.db2.gz WAXKRXANIFONNI-UHFFFAOYSA-N -1 1 330.328 -0.052 20 0 EBADMM O=C([O-])N1C[C@@H](O)C[C@H]1C(=O)N1CCN(CC2CCOCC2)CC1 ZINC000831273193 583981186 /nfs/dbraw/zinc/98/11/86/583981186.db2.gz ODPDXCODVNAFTC-KBPBESRZSA-N -1 1 341.408 -0.330 20 0 EBADMM O=C([O-])N1CC(OCC(=O)N2CCN(CC3CCOCC3)CC2)C1 ZINC000831858507 583993097 /nfs/dbraw/zinc/99/30/97/583993097.db2.gz LQELXTDGRHAKPQ-UHFFFAOYSA-N -1 1 341.408 -0.064 20 0 EBADMM O=C([O-])N1CCO[C@H](CC(=O)N2CCN([C@@H]3CCC[C@H]3O)CC2)C1 ZINC000832060285 583995569 /nfs/dbraw/zinc/99/55/69/583995569.db2.gz ISDGCGBSXUNEHM-MGPQQGTHSA-N -1 1 341.408 -0.187 20 0 EBADMM CC(C)OC(=O)[C@@H]([N-]S(=O)(=O)CCS(C)(=O)=O)C(C)C ZINC000832226047 583996724 /nfs/dbraw/zinc/99/67/24/583996724.db2.gz SMDOODOLRKWKKV-JTQLQIEISA-N -1 1 329.440 -0.073 20 0 EBADMM COc1coc(CN(CCN2CCOCC2)CC(=O)[O-])cc1=O ZINC000832642844 583999947 /nfs/dbraw/zinc/99/99/47/583999947.db2.gz JEPXCGSDUGMYCN-UHFFFAOYSA-N -1 1 326.349 -0.133 20 0 EBADMM Cn1cc(C[N-]S(=O)(=O)c2cnn(C)c2Br)nn1 ZINC000867088387 584007342 /nfs/dbraw/zinc/00/73/42/584007342.db2.gz QBOQDIVSOBCYNV-UHFFFAOYSA-N -1 1 335.187 -0.210 20 0 EBADMM C[C@H](C(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C)N1C(=O)CCC1=O ZINC000833619997 584012302 /nfs/dbraw/zinc/01/23/02/584012302.db2.gz JRQPEDGELZHPBS-WDEREUQCSA-N -1 1 325.365 -0.469 20 0 EBADMM CNC(=O)[C@H](NC(=O)N1CCN(CCC(=O)[O-])C[C@H]1C)C(C)C ZINC000833698927 584013954 /nfs/dbraw/zinc/01/39/54/584013954.db2.gz APYNKVMDRPLCLD-DGCLKSJQSA-N -1 1 328.413 -0.053 20 0 EBADMM C[C@H](NC(=O)[O-])[C@@H]1CCN(C(=O)NC[C@@H]2CN(C)CCN2C)C1 ZINC000833814509 584016777 /nfs/dbraw/zinc/01/67/77/584016777.db2.gz DPQZUQPCXXPPOW-YNEHKIRRSA-N -1 1 327.429 -0.080 20 0 EBADMM O=C([O-])N1CC(CNC(=O)N2CCN([C@H]3CCC[C@@H]3O)CC2)C1 ZINC000834065865 584025659 /nfs/dbraw/zinc/02/56/59/584025659.db2.gz CGXXUKJLAIXAAQ-STQMWFEESA-N -1 1 326.397 -0.163 20 0 EBADMM O=C([O-])N1CCC2(C[C@H]2C(=O)N2CCO[C@@H](c3nn[nH]n3)C2)CC1 ZINC000834086229 584026109 /nfs/dbraw/zinc/02/61/09/584026109.db2.gz CEHOQVYHBTYXQN-VHSXEESVSA-N -1 1 336.352 -0.120 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCOC(=O)C1CC1 ZINC000841537738 584053773 /nfs/dbraw/zinc/05/37/73/584053773.db2.gz XNZSEELEBQPWDU-UHFFFAOYSA-N -1 1 331.350 -0.182 20 0 EBADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)c2cc3n(n2)CCO3)CCC1 ZINC000843012955 584069749 /nfs/dbraw/zinc/06/97/49/584069749.db2.gz ALSBXNRHCIDKOJ-UHFFFAOYSA-N -1 1 328.394 -0.181 20 0 EBADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)c2ccc(=O)n(C)c2)CCC1 ZINC000843019322 584070020 /nfs/dbraw/zinc/07/00/20/584070020.db2.gz NVKFWHMSGRJCAH-UHFFFAOYSA-N -1 1 327.406 -0.071 20 0 EBADMM CC(C)(C)OC(=O)COCC(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000843790104 584082913 /nfs/dbraw/zinc/08/29/13/584082913.db2.gz ALUUPPWSTLZSAP-SECBINFHSA-N -1 1 327.341 -0.542 20 0 EBADMM CCO[C@@H]1C[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)[C@@H]1SC ZINC000844065338 584087322 /nfs/dbraw/zinc/08/73/22/584087322.db2.gz LTAQTDDFNYHVPK-FOGDFJRCSA-N -1 1 327.406 -0.048 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)C(=O)OC(C)(C)C)CC2)nc1=O ZINC000844341243 584093225 /nfs/dbraw/zinc/09/32/25/584093225.db2.gz ODQPUYROXCAJCQ-UHFFFAOYSA-N -1 1 325.369 -0.906 20 0 EBADMM O=S(=O)([N-]C[C@@]1(OCCO)CCOC1)c1c[nH]nc1Cl ZINC000849427219 584170466 /nfs/dbraw/zinc/17/04/66/584170466.db2.gz KGWAURLJLSRSIW-JTQLQIEISA-N -1 1 325.774 -0.491 20 0 EBADMM C[C@@H]1CCN(C(=O)N[C@H]2CCNC2=O)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000852409185 584211922 /nfs/dbraw/zinc/21/19/22/584211922.db2.gz JUXIOQYJTXOQCU-VGMNWLOBSA-N -1 1 336.314 -0.027 20 0 EBADMM Cn1nnc(CN2CCC[C@H](NC(=O)C(F)(F)F)[C@H]2C(=O)[O-])n1 ZINC000852732333 584214884 /nfs/dbraw/zinc/21/48/84/584214884.db2.gz BAAAFHFGXYBDMS-XPUUQOCRSA-N -1 1 336.274 -0.694 20 0 EBADMM CCn1ncn(NC(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)c1=O ZINC000854631383 584245586 /nfs/dbraw/zinc/24/55/86/584245586.db2.gz SHJFQFBQXMFBSO-UHFFFAOYSA-N -1 1 331.336 -0.257 20 0 EBADMM O=C(NC[C@@]1(OCCO)CCOC1)c1ccc2n[n-]c(=S)n2c1 ZINC000855407245 584258262 /nfs/dbraw/zinc/25/82/62/584258262.db2.gz HRNJLFLRKALOGU-AWEZNQCLSA-N -1 1 338.389 -0.084 20 0 EBADMM CC(C)NC(=O)[C@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000856240511 584267509 /nfs/dbraw/zinc/26/75/09/584267509.db2.gz PETYFOJENKMEMV-LBPRGKRZSA-N -1 1 336.392 -0.310 20 0 EBADMM NS(=O)(=O)CCOCCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000857254964 584276290 /nfs/dbraw/zinc/27/62/90/584276290.db2.gz FRNNWBDMSDUOPV-UHFFFAOYSA-N -1 1 345.406 -0.947 20 0 EBADMM C[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1ccns1 ZINC000859401398 584301830 /nfs/dbraw/zinc/30/18/30/584301830.db2.gz BHRQXAQLWMQISJ-SSDOTTSWSA-N -1 1 330.391 -0.420 20 0 EBADMM CCON(CC)C(=O)CNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000861990626 584344790 /nfs/dbraw/zinc/34/47/90/584344790.db2.gz UNXGQQRUOGZRFE-UHFFFAOYSA-N -1 1 348.363 -0.804 20 0 EBADMM Cn1ncc(S(=O)(=O)[N-]CC(=O)NC2CC2)c1C(F)(F)F ZINC000866425268 584401180 /nfs/dbraw/zinc/40/11/80/584401180.db2.gz KXNNKQVVRLXIAJ-UHFFFAOYSA-N -1 1 326.300 -0.004 20 0 EBADMM CN(C)C(=O)CC[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000866517912 584401844 /nfs/dbraw/zinc/40/18/44/584401844.db2.gz RHJJVJFGVYZWMI-UHFFFAOYSA-N -1 1 325.188 -0.071 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C(C)(C)[C@@H]2CCCCO2)c(=O)n(C)c1=O ZINC000867683898 584414045 /nfs/dbraw/zinc/41/40/45/584414045.db2.gz FASBTRANGAALOC-NSHDSACASA-N -1 1 345.421 -0.290 20 0 EBADMM Cn1ncc(S(=O)(=O)[N-][C@@H]2COCC[C@H]2O)c1C(F)(F)F ZINC000867688184 584414072 /nfs/dbraw/zinc/41/40/72/584414072.db2.gz ATAGOYSZAISOIH-RNFRBKRXSA-N -1 1 329.300 -0.133 20 0 EBADMM CCOC(=O)CCS(=O)(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000867901956 584420315 /nfs/dbraw/zinc/42/03/15/584420315.db2.gz XBEAVLZEJCVTDV-UHFFFAOYSA-N -1 1 346.327 -0.120 20 0 EBADMM CCN1CCO[C@@H](C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F)C1 ZINC000869418186 584439493 /nfs/dbraw/zinc/43/94/93/584439493.db2.gz OKOAUCHVNGPODD-SNVBAGLBSA-N -1 1 340.298 -0.112 20 0 EBADMM CC(C)OC1(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCC1 ZINC000872567261 584463366 /nfs/dbraw/zinc/46/33/66/584463366.db2.gz KUGPRZRXVPBZLF-UHFFFAOYSA-N -1 1 345.421 -0.290 20 0 EBADMM COC1(C[N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C)CCOCC1 ZINC000882006869 584581643 /nfs/dbraw/zinc/58/16/43/584581643.db2.gz ZADFJLMYBYZVLB-LJQANCHMSA-N -1 1 329.444 -0.409 20 0 EBADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-][C@H]1C[C@@H]2CCCCN2C1=O ZINC000882245340 584586632 /nfs/dbraw/zinc/58/66/32/584586632.db2.gz HRGFDENYFPVPKK-QDDONVENSA-N -1 1 338.455 -0.451 20 0 EBADMM CO[C@H](C[N-]S(=O)(=O)N=[S@](C)(=O)N(C)C)[C@@H]1CCOC1 ZINC000882386343 584588546 /nfs/dbraw/zinc/58/85/46/584588546.db2.gz XUGGRXHSOLUZBZ-HQVAUKCESA-N -1 1 329.444 -0.553 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2CCC(C)(C)CO2)c(=O)n(C)c1=O ZINC000882565839 584592255 /nfs/dbraw/zinc/59/22/55/584592255.db2.gz FVJQFQUWSVDNDV-JTQLQIEISA-N -1 1 345.421 -0.433 20 0 EBADMM CS(=O)(=O)N1CC[C@@H](C[N-]S(=O)(=O)c2ccns2)C1 ZINC000885075225 584643413 /nfs/dbraw/zinc/64/34/13/584643413.db2.gz WOLQMBNIZPMWAI-QMMMGPOBSA-N -1 1 325.437 -0.297 20 0 EBADMM C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000885624479 584651001 /nfs/dbraw/zinc/65/10/01/584651001.db2.gz WZAZJTNXABENKX-VPOLOUISSA-N -1 1 327.406 -0.346 20 0 EBADMM O=S(=O)([N-]CCN1CCS(=O)(=O)CC1)c1ccns1 ZINC000886407129 584664203 /nfs/dbraw/zinc/66/42/03/584664203.db2.gz BHCNWWWZSLYUNQ-UHFFFAOYSA-N -1 1 325.437 -0.848 20 0 EBADMM CSCC[C@H]([N-]S(=O)(=O)c1c(C)onc1N)C(=O)N(C)C ZINC000349870212 584696244 /nfs/dbraw/zinc/69/62/44/584696244.db2.gz IYKJMYHGCIBRSK-QMMMGPOBSA-N -1 1 336.439 0.053 20 0 EBADMM O=C([O-])CCCCN1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000738570715 597776622 /nfs/dbraw/zinc/77/66/22/597776622.db2.gz IUBLBKPTUNUGRQ-UHFFFAOYSA-N -1 1 325.365 -0.466 20 0 EBADMM Cc1nc(CN2CCC[C@@H](N(C)CC(=O)NCCC(=O)[O-])C2)no1 ZINC000738856719 599963192 /nfs/dbraw/zinc/96/31/92/599963192.db2.gz DTBXOORFMKKVPU-GFCCVEGCSA-N -1 1 339.396 -0.135 20 0 EBADMM O=C([O-])COc1cccc(C(=O)N2CCO[C@@H](c3nn[nH]n3)C2)c1 ZINC000739825585 600261308 /nfs/dbraw/zinc/26/13/08/600261308.db2.gz KDLNSPQGTSELII-LLVKDONJSA-N -1 1 333.304 -0.123 20 0 EBADMM Cc1noc([C@@H](C)N2CCN(CCC(=O)N(C)CC(=O)[O-])CC2)n1 ZINC000738937107 600302425 /nfs/dbraw/zinc/30/24/25/600302425.db2.gz DWVLLSXQWAMMJO-LLVKDONJSA-N -1 1 339.396 -0.010 20 0 EBADMM O=C([O-])c1cccc(C(=O)N[C@H]2CCN(CCN3CCOCC3)C2)n1 ZINC000740214821 600622846 /nfs/dbraw/zinc/62/28/46/600622846.db2.gz LYFSMUWXYASPAI-ZDUSSCGKSA-N -1 1 348.403 -0.084 20 0 EBADMM C[C@@H]1CN2CCC[C@@H]2CN1S(=O)(=O)c1cnn(CC(=O)[O-])c1 ZINC000316448666 600748033 /nfs/dbraw/zinc/74/80/33/600748033.db2.gz NVOGGFPOYJQYCV-GHMZBOCLSA-N -1 1 328.394 -0.175 20 0 EBADMM CN(CC(=O)N1CC[C@H](CNC(=O)[O-])C1)c1ncnc2[nH]cnc21 ZINC000739617940 601877280 /nfs/dbraw/zinc/87/72/80/601877280.db2.gz ZICDQPOIWQDRRR-SECBINFHSA-N -1 1 333.352 -0.095 20 0 EBADMM CN(CCNC(=O)CNC(=O)c1c[nH]c2ccccc2c1=O)C(=O)[O-] ZINC000739693312 602304713 /nfs/dbraw/zinc/30/47/13/602304713.db2.gz VXDPFLOGNRLNFN-UHFFFAOYSA-N -1 1 346.343 -0.016 20 0 EBADMM CN(C)CC(=O)N1CCN(C(=O)[C@@H]2CCCCN2C(=O)[O-])CC1 ZINC000739608798 602498382 /nfs/dbraw/zinc/49/83/82/602498382.db2.gz VIBITHPFGIHAAZ-LBPRGKRZSA-N -1 1 326.397 -0.249 20 0 EBADMM COCCN1CC[C@@H](NC(=O)C2(OC)CCN(C(=O)[O-])CC2)C1 ZINC000739828409 602575081 /nfs/dbraw/zinc/57/50/81/602575081.db2.gz IBFPZMVBXVEHEB-GFCCVEGCSA-N -1 1 329.397 -0.018 20 0 EBADMM C[C@@H]1CN(C(=O)[O-])CCN1C(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000739146592 602717558 /nfs/dbraw/zinc/71/75/58/602717558.db2.gz BPHCRZSHKWBGJX-SECBINFHSA-N -1 1 333.352 0.000 20 0 EBADMM O=C([O-])NCCCNC(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC000740653630 602836893 /nfs/dbraw/zinc/83/68/93/602836893.db2.gz RSXIXFAIPQJJFA-CYBMUJFWSA-N -1 1 343.428 -0.650 20 0 EBADMM CN1CCN(C)[C@H](CNC(=O)CN(C[C@@H]2CCCO2)C(=O)[O-])C1 ZINC000739733547 602901397 /nfs/dbraw/zinc/90/13/97/602901397.db2.gz FABXXVBUPLZKLL-OLZOCXBDSA-N -1 1 328.413 -0.493 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)[C@@H]2C[C@H](O)CN2C(=O)[O-])C1 ZINC000738825861 603063082 /nfs/dbraw/zinc/06/30/82/603063082.db2.gz RNDHPKRUFZVFTQ-XQQFMLRXSA-N -1 1 329.397 -0.427 20 0 EBADMM COc1ccc(C(=O)NCCCC(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC000029019799 649935939 /nfs/dbraw/zinc/93/59/39/649935939.db2.gz PUDSQFXRKJBNJV-UHFFFAOYSA-N -1 1 334.332 -0.212 20 0 EBADMM O=C(NN/C=C1/C(=O)[N-]C(=S)NC1=O)[C@@H]1COc2ccccc2O1 ZINC000028978374 649935966 /nfs/dbraw/zinc/93/59/66/649935966.db2.gz VMZJBZMLCHUTEZ-JTQLQIEISA-N -1 1 348.340 -0.298 20 0 EBADMM O=C(NN/C=C1\C(=O)[N-]C(=S)NC1=O)[C@@H]1COc2ccccc2O1 ZINC000028978374 649935968 /nfs/dbraw/zinc/93/59/68/649935968.db2.gz VMZJBZMLCHUTEZ-JTQLQIEISA-N -1 1 348.340 -0.298 20 0 EBADMM O=C(NNC=C1C(=O)[N-]C(=S)NC1=O)[C@@H]1COc2ccccc2O1 ZINC000028978374 649935972 /nfs/dbraw/zinc/93/59/72/649935972.db2.gz VMZJBZMLCHUTEZ-JTQLQIEISA-N -1 1 348.340 -0.298 20 0 EBADMM O=C(COC1CN(C(=O)[O-])C1)N[C@@H](c1nn[nH]n1)c1ccccc1 ZINC000831529307 603472931 /nfs/dbraw/zinc/47/29/31/603472931.db2.gz JATOUMBWDILLKD-GFCCVEGCSA-N -1 1 332.320 -0.216 20 0 EBADMM C[C@H](C(=O)OCC(=O)N[N-]C(=O)c1cccs1)S(C)(=O)=O ZINC000051607802 649952278 /nfs/dbraw/zinc/95/22/78/649952278.db2.gz SEORKSISFQZJKU-SSDOTTSWSA-N -1 1 334.375 -0.515 20 0 EBADMM CC(Nc1ccc2c(c1)C(=O)NC2=O)=C1C(=O)[N-]C(=S)NC1=O ZINC000054503427 649957351 /nfs/dbraw/zinc/95/73/51/649957351.db2.gz WANYZFRDRPQGIS-UHFFFAOYSA-N -1 1 330.325 -0.213 20 0 EBADMM CCNS(=O)(=O)CC[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000068922810 649967004 /nfs/dbraw/zinc/96/70/04/649967004.db2.gz AHJRUURLVMISOW-UHFFFAOYSA-N -1 1 330.819 -0.709 20 0 EBADMM O=C(CCNS(=O)(=O)c1ccccc1F)NN1CC(=O)[N-]C1=O ZINC000070901292 649968487 /nfs/dbraw/zinc/96/84/87/649968487.db2.gz WHKCESRRZYWWKF-UHFFFAOYSA-N -1 1 344.324 -0.923 20 0 EBADMM CC[C@@H](O)CN1CCN(C(=O)c2ccc(S(=O)(=O)[N-]C)o2)CC1 ZINC000071881128 649969754 /nfs/dbraw/zinc/96/97/54/649969754.db2.gz PYSIBYVKNFGPAK-LLVKDONJSA-N -1 1 345.421 -0.284 20 0 EBADMM C[C@](O)(CNC(=O)Cn1cnc(-c2nn[n-]n2)n1)c1ccc(F)cc1 ZINC000824669206 608007811 /nfs/dbraw/zinc/00/78/11/608007811.db2.gz AZFHLUBTQMNIJD-AWEZNQCLSA-N -1 1 346.326 -0.379 20 0 EBADMM Cn1c(CO)cnc1S(=O)(=O)CCC[N-]C(=O)C(F)(F)F ZINC000182837269 649980752 /nfs/dbraw/zinc/98/07/52/649980752.db2.gz WRCSLDYNCNGAGP-UHFFFAOYSA-N -1 1 329.300 -0.245 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]CCC1CCS(=O)(=O)CC1 ZINC000564344314 650013792 /nfs/dbraw/zinc/01/37/92/650013792.db2.gz XZRNFCKVWNYDLB-UHFFFAOYSA-N -1 1 337.423 0.058 20 0 EBADMM O=C([N-]OCc1ccccn1)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000909527769 650106621 /nfs/dbraw/zinc/10/66/21/650106621.db2.gz ISCOSCVGSOQNAD-AWEZNQCLSA-N -1 1 348.403 -0.190 20 0 EBADMM COCCN(CCC(=O)[O-])C(=O)C(=O)N[C@@H]1CN(C2CC2)C[C@H]1C ZINC000910490450 650110547 /nfs/dbraw/zinc/11/05/47/650110547.db2.gz URZPYMYZSLGWOL-DGCLKSJQSA-N -1 1 341.408 -0.465 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC(N3CCOCC3)C2)o1 ZINC000911651006 650195373 /nfs/dbraw/zinc/19/53/73/650195373.db2.gz KGNOGWCPETYUDF-UHFFFAOYSA-N -1 1 329.378 -0.656 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC(=O)Nc1cccnc1 ZINC000912538362 650207023 /nfs/dbraw/zinc/20/70/23/650207023.db2.gz RQFCCAXLICWXBV-UHFFFAOYSA-N -1 1 339.333 -0.492 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC1(CO)CCOCC1 ZINC000912543462 650207609 /nfs/dbraw/zinc/20/76/09/650207609.db2.gz XPZYMGPKDJCXAL-UHFFFAOYSA-N -1 1 333.366 -0.736 20 0 EBADMM CCO[C@@H]1C[C@H]([N-]S(=O)(=O)c2n[nH]cc2C(=O)OC)[C@@H]1OC ZINC000912548260 650207881 /nfs/dbraw/zinc/20/78/81/650207881.db2.gz UTTCNXGMYCWCGI-AEJSXWLSSA-N -1 1 333.366 -0.333 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC1([S@@](C)=O)CCC1 ZINC000912551312 650207966 /nfs/dbraw/zinc/20/79/66/650207966.db2.gz YWBGMQQLGWYOTF-HXUWFJFHSA-N -1 1 335.407 -0.224 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H](C)N1CCCCC1=O ZINC000912549892 650208086 /nfs/dbraw/zinc/20/80/86/650208086.db2.gz IQLUZRXLMGUEKC-SECBINFHSA-N -1 1 344.393 -0.124 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@]1(C)CC(C)(C)OC1=O ZINC000912554302 650208313 /nfs/dbraw/zinc/20/83/13/650208313.db2.gz WTJYCVQTZAXMAV-LBPRGKRZSA-N -1 1 331.350 -0.041 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCCC12OCCO2 ZINC000912552690 650208587 /nfs/dbraw/zinc/20/85/87/650208587.db2.gz DDYYLNHDNNBPIS-SECBINFHSA-N -1 1 331.350 -0.230 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]c1cncn(CC)c1=O ZINC000912562583 650209208 /nfs/dbraw/zinc/20/92/08/650209208.db2.gz JWJHHDGNCSZMKQ-UHFFFAOYSA-N -1 1 341.349 -0.036 20 0 EBADMM CSCC[C@H](NC(=O)C=CCN1CCOCC1)c1nn[n-]n1 ZINC000912861952 650215568 /nfs/dbraw/zinc/21/55/68/650215568.db2.gz DIVFDUUFTLRMAC-VUDBWIFFSA-N -1 1 326.426 -0.002 20 0 EBADMM CSCC[C@H](NC(=O)/C=C/CN1CCOCC1)c1nn[n-]n1 ZINC000912861952 650215571 /nfs/dbraw/zinc/21/55/71/650215571.db2.gz DIVFDUUFTLRMAC-VUDBWIFFSA-N -1 1 326.426 -0.002 20 0 EBADMM CO[N-]C(=O)CNC(=O)NCc1ccnc(N2CCN(C)CC2)c1 ZINC000914034142 650250489 /nfs/dbraw/zinc/25/04/89/650250489.db2.gz SICUIACFMWUTDP-UHFFFAOYSA-N -1 1 336.396 -0.690 20 0 EBADMM O=C1[C@H]([N-]S(=O)(=O)[C@@H]2COC[C@H]2O)CCN1c1ccccc1F ZINC000914102583 650252580 /nfs/dbraw/zinc/25/25/80/650252580.db2.gz WHMBYHYRJYHDDB-RAIGVLPGSA-N -1 1 344.364 -0.390 20 0 EBADMM CO[N-]C(=O)CNC(=O)NCc1cccnc1N1CCN(C)CC1 ZINC000914419966 650260059 /nfs/dbraw/zinc/26/00/59/650260059.db2.gz HGOIGBOGLMYTIJ-UHFFFAOYSA-N -1 1 336.396 -0.690 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)[C@H]2CN(C)CCN2C)o1 ZINC000920010620 650308683 /nfs/dbraw/zinc/30/86/83/650308683.db2.gz PXWMZPWHTMVCOI-WDEREUQCSA-N -1 1 345.421 -0.021 20 0 EBADMM CN1CCN(C(=O)C[N-]S(=O)(=O)c2ccc(F)nc2F)CC1 ZINC000920527112 650312494 /nfs/dbraw/zinc/31/24/94/650312494.db2.gz LVOFJCDWBRZADP-UHFFFAOYSA-N -1 1 334.348 -0.588 20 0 EBADMM CCOC(=O)[C@@H](CSC)[N-]S(=O)(=O)[C@@H]1CC(=O)N(CC)C1 ZINC000921378320 650318953 /nfs/dbraw/zinc/31/89/53/650318953.db2.gz VAWSTGKMRRKXSB-NXEZZACHSA-N -1 1 338.451 -0.179 20 0 EBADMM COC[C@H](O)C[N@H+]1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC000923255470 650336948 /nfs/dbraw/zinc/33/69/48/650336948.db2.gz NAQUQVWPOXNNNU-HRDYMLBCSA-N -1 1 328.287 -0.410 20 0 EBADMM Cn1[n-]c(COC(=O)c2cc(=O)n(C)cc2Br)nc1=O ZINC000923948732 650359503 /nfs/dbraw/zinc/35/95/03/650359503.db2.gz STIUFIJJSZACHV-UHFFFAOYSA-N -1 1 343.137 -0.073 20 0 EBADMM C[C@@H](CN1CCN2C(=O)C(=O)NC[C@@H]2C1)C(=O)c1ccc([O-])cc1 ZINC000929845283 651566594 /nfs/dbraw/zinc/56/65/94/651566594.db2.gz WQQWKVCFKOFKHW-WCQYABFASA-N -1 1 331.372 -0.146 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@H]2CCNC(=O)C2)C1 ZINC000937135887 651648593 /nfs/dbraw/zinc/64/85/93/651648593.db2.gz DRHUCLZGKWIQQR-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)[C@@H]1CCN(C)C1=O)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216752 651684859 /nfs/dbraw/zinc/68/48/59/651684859.db2.gz LOQUXOVHLFONNQ-VXGBXAGGSA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C(=O)[C@H]1CCNC1=O)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216755 651685023 /nfs/dbraw/zinc/68/50/23/651685023.db2.gz LPCMMSRGCNLOBU-QWRGUYRKSA-N -1 1 332.360 -0.404 20 0 EBADMM CN(C(=O)[C@H]1CNC(=O)N1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937219186 651685650 /nfs/dbraw/zinc/68/56/50/651685650.db2.gz DQUQEZSHALNGIP-VHSXEESVSA-N -1 1 333.348 -0.859 20 0 EBADMM CN(C(=O)[C@H]1COC(=O)N1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937221116 651686819 /nfs/dbraw/zinc/68/68/19/651686819.db2.gz XYPMLTBBJBRQEF-NXEZZACHSA-N -1 1 334.332 -0.432 20 0 EBADMM Cn1nnc(C(=O)NC[C@@H]2CCCCN2C(=O)c2ncccc2[O-])n1 ZINC000937362140 651750587 /nfs/dbraw/zinc/75/05/87/651750587.db2.gz IUMHVZJRHDXLHE-JTQLQIEISA-N -1 1 345.363 -0.265 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)[C@@H]1CCN(C(=O)C2CC2)C1 ZINC000936318254 651764491 /nfs/dbraw/zinc/76/44/91/651764491.db2.gz UBNGHLVFBMBOQF-SECBINFHSA-N -1 1 336.348 -0.021 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)[C@H]2CCNC(=O)C2)C1)c1ncccc1[O-] ZINC000937860069 651957160 /nfs/dbraw/zinc/95/71/60/651957160.db2.gz BLRBFTWUPVCQDC-QWRGUYRKSA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)[C@@H]2CCCNC2=O)C1)c1ncccc1[O-] ZINC000937866090 651960059 /nfs/dbraw/zinc/96/00/59/651960059.db2.gz SSYXEKDCRZVDPT-WDEREUQCSA-N -1 1 332.360 -0.356 20 0 EBADMM CN(C(=O)c1cnc([O-])n(C)c1=O)[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC000936714408 652045398 /nfs/dbraw/zinc/04/53/98/652045398.db2.gz YWYFXKMVXBMAGN-SECBINFHSA-N -1 1 346.347 -0.804 20 0 EBADMM C[C@@H]1CN(C)C[C@H](C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)O1 ZINC000938182055 652123673 /nfs/dbraw/zinc/12/36/73/652123673.db2.gz BRLFKWJZKLBVSH-MBNYWOFBSA-N -1 1 348.403 -0.163 20 0 EBADMM Cc1cc(C(=O)N2CC[C@H](N(C)C(=O)Cn3c(=O)[n-][nH]c3=O)C2)[nH]n1 ZINC000936780023 652125632 /nfs/dbraw/zinc/12/56/32/652125632.db2.gz FMJNZPQUSZWXHF-VIFPVBQESA-N -1 1 349.351 -0.906 20 0 EBADMM C/C=C(\C)C(=O)N1C[C@@H]2C[C@H]1CN2C(=O)CCn1cc[n-]c(=O)c1=O ZINC000936809146 652151591 /nfs/dbraw/zinc/15/15/91/652151591.db2.gz OIIYOKRFXRODMS-LTDZDVLKSA-N -1 1 346.387 -0.295 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)CS(C)(=O)=O)C1 ZINC000936816893 652158651 /nfs/dbraw/zinc/15/86/51/652158651.db2.gz LQWQJNXFFYTIEA-JTQLQIEISA-N -1 1 341.389 -0.495 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)c1c[nH]c(=O)cn1 ZINC000938556428 652319181 /nfs/dbraw/zinc/31/91/81/652319181.db2.gz OEXRJYNBZSLSRP-VIFPVBQESA-N -1 1 329.316 -0.485 20 0 EBADMM Cn1[n-]c(CN2CC(N3CCN(C(=O)C=C4CCC4)CC3)C2)nc1=O ZINC000941373265 652702168 /nfs/dbraw/zinc/70/21/68/652702168.db2.gz YZZCRKIPKKSWHF-UHFFFAOYSA-N -1 1 346.435 -0.453 20 0 EBADMM NC(=O)[C@@H]1CC[C@H](C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)O1 ZINC000938812854 652719889 /nfs/dbraw/zinc/71/98/89/652719889.db2.gz SVMBWVXNGUKXEL-ZMLRMANQSA-N -1 1 348.359 -0.849 20 0 EBADMM NC(=O)C(=O)N1CCC[C@@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000944249945 652730429 /nfs/dbraw/zinc/73/04/29/652730429.db2.gz ZAPXHXLISBXYEW-SECBINFHSA-N -1 1 333.348 -0.734 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCN(C2CN(CCCF)C2)CC1 ZINC000941474959 652758435 /nfs/dbraw/zinc/75/84/35/652758435.db2.gz GZFOTTJIAAVOCX-UHFFFAOYSA-N -1 1 340.359 -0.916 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H](N(C)C(=O)C(F)F)C1 ZINC000938901408 652765620 /nfs/dbraw/zinc/76/56/20/652765620.db2.gz IXSKZDMFZITRTA-LURJTMIESA-N -1 1 346.290 -0.166 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H](N(C)C(=O)C(F)F)C1 ZINC000938901409 652765841 /nfs/dbraw/zinc/76/58/41/652765841.db2.gz IXSKZDMFZITRTA-ZCFIWIBFSA-N -1 1 346.290 -0.166 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1)c1cnccn1 ZINC000944463255 652775321 /nfs/dbraw/zinc/77/53/21/652775321.db2.gz SLIUFXZOVQLTJR-NSHDSACASA-N -1 1 343.343 -0.152 20 0 EBADMM CO[C@@H]1CN(C(=O)c2cc(C)[nH]n2)C[C@H]1NC(=O)c1[nH]nc(C)c1[O-] ZINC000941542489 652794230 /nfs/dbraw/zinc/79/42/30/652794230.db2.gz ADVDWCCYARAEDM-GHMZBOCLSA-N -1 1 348.363 -0.275 20 0 EBADMM CO[C@@H]1CN(C(=O)c2cnn(C)c2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941600821 652825846 /nfs/dbraw/zinc/82/58/46/652825846.db2.gz HXBVXUOVXBVTLH-DGCLKSJQSA-N -1 1 345.359 -0.210 20 0 EBADMM CO[C@@H]1CN(C(=O)c2cn[nH]n2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941602420 652826924 /nfs/dbraw/zinc/82/69/24/652826924.db2.gz AIYYEYSBDNZKCR-MWLCHTKSSA-N -1 1 332.320 -0.825 20 0 EBADMM CO[C@@H]1CN(C(=O)C2(C(N)=O)CC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941603546 652827976 /nfs/dbraw/zinc/82/79/76/652827976.db2.gz VLBQEEJOOXPABF-MWLCHTKSSA-N -1 1 348.359 -0.992 20 0 EBADMM C[C@]1(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)CNC(=O)C1 ZINC000941624353 652840141 /nfs/dbraw/zinc/84/01/41/652840141.db2.gz DTTMGYPZGQFIBT-QLJPJBMISA-N -1 1 332.360 -0.356 20 0 EBADMM Cn1cnc(C(=O)NC[C@H]2CN(C(=O)c3ncccc3[O-])CCO2)c1 ZINC000944546065 652846056 /nfs/dbraw/zinc/84/60/56/652846056.db2.gz FEJCQYJHTPDRFV-NSHDSACASA-N -1 1 345.359 -0.208 20 0 EBADMM COc1cc(=O)[nH]cc1C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000939171497 652852520 /nfs/dbraw/zinc/85/25/20/652852520.db2.gz DHTZGXFHUYSSDY-PSASIEDQSA-N -1 1 348.363 -0.130 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2cnns2)CCO1)c1ncccc1[O-] ZINC000944559790 652858958 /nfs/dbraw/zinc/85/89/58/652858958.db2.gz DQNRPSSVLPLCDW-SECBINFHSA-N -1 1 349.372 -0.090 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2cn[nH]c2)CCO1)c1ncccc1[O-] ZINC000944560433 652859668 /nfs/dbraw/zinc/85/96/68/652859668.db2.gz KLJAUWUHLALBKP-LLVKDONJSA-N -1 1 331.332 -0.219 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2nc[nH]n2)CCO1)c1ncccc1[O-] ZINC000944565009 652864435 /nfs/dbraw/zinc/86/44/35/652864435.db2.gz MUMZZWJHBRAVMZ-SECBINFHSA-N -1 1 332.320 -0.824 20 0 EBADMM C[C@@H](C(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC000941664341 652866857 /nfs/dbraw/zinc/86/68/57/652866857.db2.gz UBWQFBGXSFPOBQ-MWLCHTKSSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccc3nncn3c2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939305351 652888109 /nfs/dbraw/zinc/88/81/09/652888109.db2.gz ZEZHHRAFNAJNIP-MWLCHTKSSA-N -1 1 342.363 -0.197 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H](NC(=O)c2ccc[nH]2)C1 ZINC000939392805 652923980 /nfs/dbraw/zinc/92/39/80/652923980.db2.gz NACJHBQMPCJLBX-LLVKDONJSA-N -1 1 345.359 -0.714 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1cnsn1 ZINC000941837549 652927421 /nfs/dbraw/zinc/92/74/21/652927421.db2.gz UUJUXOFDXSVUSV-BDAKNGLRSA-N -1 1 337.409 -0.400 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1cnns1 ZINC000941857951 652932496 /nfs/dbraw/zinc/93/24/96/652932496.db2.gz NLLXXHXYOVHKKG-IUCAKERBSA-N -1 1 337.409 -0.400 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1ccnn1C ZINC000941876367 652938890 /nfs/dbraw/zinc/93/88/90/652938890.db2.gz GJCUGCUVPPSXER-GHMZBOCLSA-N -1 1 333.396 -0.518 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)Cc1ccon1 ZINC000941886666 652940939 /nfs/dbraw/zinc/94/09/39/652940939.db2.gz QTHVJYFTUPCZQK-PWSUYJOCSA-N -1 1 334.380 -0.334 20 0 EBADMM CCn1nccc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1C ZINC000941989053 653014235 /nfs/dbraw/zinc/01/42/35/653014235.db2.gz JYUPESYJLCVDSS-NWDGAFQWSA-N -1 1 347.423 -0.035 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@H]1CNC(=O)N1 ZINC000939650739 653016158 /nfs/dbraw/zinc/01/61/58/653016158.db2.gz FTGSPHOAXGIIMY-OPRDCNLKSA-N -1 1 333.348 -0.955 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H](NC(=O)[C@@H]2C[C@H]2C)C1 ZINC000942017051 653022485 /nfs/dbraw/zinc/02/24/85/653022485.db2.gz ASZMECIURRHMIU-IWSPIJDZSA-N -1 1 336.348 -0.117 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@H]1NC(=O)C(N)=O ZINC000939706466 653030060 /nfs/dbraw/zinc/03/00/60/653030060.db2.gz ZDVPVQSTSLWNSR-GMSGAONNSA-N -1 1 333.348 -0.878 20 0 EBADMM Cc1nnccc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC000942287839 653074502 /nfs/dbraw/zinc/07/45/02/653074502.db2.gz MMKGJUPRSKAKKD-ZWNOBZJWSA-N -1 1 345.407 -0.153 20 0 EBADMM CN1C(=O)CC[C@@H]1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940129868 653084571 /nfs/dbraw/zinc/08/45/71/653084571.db2.gz CQTIHWYAPHXLMQ-GHMZBOCLSA-N -1 1 332.360 -0.261 20 0 EBADMM CC(=O)N1CC[C@@H](C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000940132326 653084903 /nfs/dbraw/zinc/08/49/03/653084903.db2.gz SUYNUHLPWCXVLK-CHWSQXEVSA-N -1 1 346.387 -0.014 20 0 EBADMM CN1CCOC[C@@H]1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940132135 653085025 /nfs/dbraw/zinc/08/50/25/653085025.db2.gz OBTHPNHZTJDDAE-VXGBXAGGSA-N -1 1 334.376 -0.552 20 0 EBADMM NC(=O)c1cc(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)c[nH]1 ZINC000940134092 653085062 /nfs/dbraw/zinc/08/50/62/653085062.db2.gz ACPFKPYIMOHHSB-SNVBAGLBSA-N -1 1 343.343 -0.141 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cn[nH]c(=O)c2)C1)c1ncccc1[O-] ZINC000940139163 653085279 /nfs/dbraw/zinc/08/52/79/653085279.db2.gz UBGDAGBWNGSANJ-SNVBAGLBSA-N -1 1 329.316 -0.073 20 0 EBADMM O=C(CN1C(=O)CCC1=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940138267 653085558 /nfs/dbraw/zinc/08/55/58/653085558.db2.gz AIVDEWVJUBNGFN-SNVBAGLBSA-N -1 1 346.343 -0.733 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000942482953 653124882 /nfs/dbraw/zinc/12/48/82/653124882.db2.gz SFLMQSQVXDUCJD-GMTAPVOTSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H](NC(=O)[C@H]2CC23CC3)C1 ZINC000942511278 653129976 /nfs/dbraw/zinc/12/99/76/653129976.db2.gz QANHXVOJKXTVAA-VXGBXAGGSA-N -1 1 346.387 -0.556 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1)c1cnon1 ZINC000944737567 653215561 /nfs/dbraw/zinc/21/55/61/653215561.db2.gz NPQMWBSUDXHJEU-VIFPVBQESA-N -1 1 333.304 -0.559 20 0 EBADMM CN1CCO[C@@H](C(=O)N2CCCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC000940594793 653239379 /nfs/dbraw/zinc/23/93/79/653239379.db2.gz RSJPFGFTOQMQQK-CQSZACIVSA-N -1 1 348.403 -0.208 20 0 EBADMM Cn1nccc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000940619906 653246978 /nfs/dbraw/zinc/24/69/78/653246978.db2.gz CVLGCGHIVTTZDC-LBPRGKRZSA-N -1 1 347.423 -0.128 20 0 EBADMM CCN(C(=O)[C@H]1CCNC1=O)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000942902156 653255347 /nfs/dbraw/zinc/25/53/47/653255347.db2.gz PWZMKEBDQNJRDR-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1cnc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)n1 ZINC000940725456 653269079 /nfs/dbraw/zinc/26/90/79/653269079.db2.gz BGDAAKBIDNDLJY-JTQLQIEISA-N -1 1 348.411 -0.733 20 0 EBADMM CCN(C(=O)[C@H]1C[C@H]1C(N)=O)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000942975044 653275816 /nfs/dbraw/zinc/27/58/16/653275816.db2.gz CDQZMFSJOTYWEO-TUAOUCFPSA-N -1 1 346.387 -0.028 20 0 EBADMM CCN(C(=O)[C@H]1C[C@H]1C(N)=O)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000942975045 653275992 /nfs/dbraw/zinc/27/59/92/653275992.db2.gz CDQZMFSJOTYWEO-UTUOFQBUSA-N -1 1 346.387 -0.028 20 0 EBADMM C[C@@H]1CN(C(=O)C2CC2)C[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000947024840 653292744 /nfs/dbraw/zinc/29/27/44/653292744.db2.gz YTGAHHNLXHDTLP-LDYMZIIASA-N -1 1 330.348 -0.596 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CN(C(=O)C2CC2)C[C@H]1C ZINC000947024460 653292761 /nfs/dbraw/zinc/29/27/61/653292761.db2.gz FXKJNQONYLLOBY-VXNVDRBHSA-N -1 1 336.348 -0.117 20 0 EBADMM Cn1cc(C(=O)N2CCCN(C(=O)c3ncccc3[O-])CC2)nn1 ZINC000940832378 653298162 /nfs/dbraw/zinc/29/81/62/653298162.db2.gz FBUZRDMACGSAAD-UHFFFAOYSA-N -1 1 330.348 -0.096 20 0 EBADMM Cc1c(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H](C)C2)cnn1C ZINC000947062838 653303359 /nfs/dbraw/zinc/30/33/59/653303359.db2.gz VMLDMQOVPXXPLK-PWSUYJOCSA-N -1 1 347.423 -0.067 20 0 EBADMM CC1(C(=O)N[C@@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)CC1 ZINC000940908616 653324517 /nfs/dbraw/zinc/32/45/17/653324517.db2.gz ANBVBMKRIXOYFA-SECBINFHSA-N -1 1 330.348 -0.452 20 0 EBADMM O=C(Cn1ncnn1)N[C@H]1CCCCN(C(=O)c2ncccc2[O-])C1 ZINC000943267877 653332933 /nfs/dbraw/zinc/33/29/33/653332933.db2.gz FVHANZDPLAXSLR-NSHDSACASA-N -1 1 345.363 -0.415 20 0 EBADMM CCN1C[C@@H](C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC000940942833 653341490 /nfs/dbraw/zinc/34/14/90/653341490.db2.gz NUCMDAIIYNLURK-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H](C)C2)cnn1 ZINC000947248800 653346738 /nfs/dbraw/zinc/34/67/38/653346738.db2.gz LTXRNHUHZPVDMR-AAEUAGOBSA-N -1 1 345.407 -0.010 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2cnnn2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947265112 653352019 /nfs/dbraw/zinc/35/20/19/653352019.db2.gz DDZAJBWPJMZDDQ-ZJUUUORDSA-N -1 1 334.384 -0.980 20 0 EBADMM Cc1ccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H](C)C2)nn1 ZINC000947307043 653363411 /nfs/dbraw/zinc/36/34/11/653363411.db2.gz UFADDGBUTJQKRM-NEPJUHHUSA-N -1 1 345.407 -0.010 20 0 EBADMM CNC(=O)CN1CC[C@@H](NC(=O)c2c[n-]n3c2nccc3=O)[C@@H](C)C1 ZINC000943464200 653398403 /nfs/dbraw/zinc/39/84/03/653398403.db2.gz DGJLDIPNWPKQMW-CMPLNLGQSA-N -1 1 346.391 -0.791 20 0 EBADMM COCc1nocc1C(=O)N1CCC(NC(=O)c2cnn[nH]2)CC1 ZINC000947448581 653405229 /nfs/dbraw/zinc/40/52/29/653405229.db2.gz SPQBNGCGVVYPKN-UHFFFAOYSA-N -1 1 334.336 -0.026 20 0 EBADMM C[C@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC[C@@H]1NC(=O)C(N)=O ZINC000945328751 653411214 /nfs/dbraw/zinc/41/12/14/653411214.db2.gz OTGOMGLSHKRUDZ-KWQFWETISA-N -1 1 347.375 -0.488 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CCN1Cc1ccnn1C ZINC000947505890 653418425 /nfs/dbraw/zinc/41/84/25/653418425.db2.gz GHFNUMAPQLWTKD-ZJUUUORDSA-N -1 1 347.379 -0.201 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)c1cnc[nH]c1=O ZINC000941167263 653437936 /nfs/dbraw/zinc/43/79/36/653437936.db2.gz CWTLULNRMSBCRE-SECBINFHSA-N -1 1 329.316 -0.073 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2c[nH]c(=O)n2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947614996 653439622 /nfs/dbraw/zinc/43/96/22/653439622.db2.gz CFMKNJXCXVIPDF-UWVGGRQHSA-N -1 1 349.395 -0.670 20 0 EBADMM C[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC[C@@H]1NC(=O)C1CC1 ZINC000945415911 653444144 /nfs/dbraw/zinc/44/41/44/653444144.db2.gz WPZFLIQKHCYQHA-KOLCDFICSA-N -1 1 344.375 -0.206 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CCC(NC(=O)c2[nH]nc(C)c2[O-])CC1 ZINC000947677751 653456745 /nfs/dbraw/zinc/45/67/45/653456745.db2.gz YCAPALDCHYQTPC-UHFFFAOYSA-N -1 1 333.352 -0.115 20 0 EBADMM CC(=O)N[C@H](C)[C@@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000945656823 653495148 /nfs/dbraw/zinc/49/51/48/653495148.db2.gz IVVLNPAKLXYKCC-DGCLKSJQSA-N -1 1 336.392 -0.310 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2CCCN2C(=O)CCc2nc[nH]n2)c1[O-] ZINC000943939715 653496278 /nfs/dbraw/zinc/49/62/78/653496278.db2.gz BZLPOBGFRIUEBM-SNVBAGLBSA-N -1 1 347.379 -0.105 20 0 EBADMM O=C(NC[C@H]1CCCN1C(=O)c1ncccc1[O-])[C@@H]1COCCO1 ZINC000943977186 653501145 /nfs/dbraw/zinc/50/11/45/653501145.db2.gz WKJJWVDMIIQTRO-YPMHNXCESA-N -1 1 335.360 -0.077 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H]2CN(C(C)=O)CC[C@@H]21 ZINC000945820527 653509736 /nfs/dbraw/zinc/50/97/36/653509736.db2.gz KSIRTXUOAYJMAG-UWVGGRQHSA-N -1 1 336.348 -0.021 20 0 EBADMM Cc1nc[nH]c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@H](C)C1 ZINC000948099924 653522751 /nfs/dbraw/zinc/52/27/51/653522751.db2.gz MPNZPKOPJPUQLJ-KOLCDFICSA-N -1 1 333.396 -0.077 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2CCNC(=O)C2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000948344223 653535503 /nfs/dbraw/zinc/53/55/03/653535503.db2.gz GPPYNXOKTLKUMI-GRYCIOLGSA-N -1 1 346.387 -0.110 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1cnon1 ZINC000946099735 653541601 /nfs/dbraw/zinc/54/16/01/653541601.db2.gz GUFMUYCOPJPNAL-MWLCHTKSSA-N -1 1 333.304 -0.560 20 0 EBADMM C[C@@H](C(N)=O)N1CCC[C@@H](N(C)C(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC000948590616 653561033 /nfs/dbraw/zinc/56/10/33/653561033.db2.gz MTVAZVPVRLNXAN-DTWKUNHWSA-N -1 1 338.368 -0.917 20 0 EBADMM COCC(=O)N1CCC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000948649854 653565328 /nfs/dbraw/zinc/56/53/28/653565328.db2.gz LIUPCWVECLEONW-UHFFFAOYSA-N -1 1 348.363 -0.968 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1)c1cocn1 ZINC000949105295 653594898 /nfs/dbraw/zinc/59/48/98/653594898.db2.gz NKCRISLEEZSVHZ-MRVPVSSYSA-N -1 1 343.303 -0.950 20 0 EBADMM CCOc1nc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)co1 ZINC000949106204 653595048 /nfs/dbraw/zinc/59/50/48/653595048.db2.gz BYFVZUPMRACHDU-UHFFFAOYSA-N -1 1 336.352 -0.547 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3ncnc4[nH]ccc43)CC2)nc1=O ZINC000949108995 653595225 /nfs/dbraw/zinc/59/52/25/653595225.db2.gz WHVAXVSIFXDDNJ-UHFFFAOYSA-N -1 1 342.363 -0.711 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCN(CCC[C@H]2CCOC2)CC1 ZINC000949111475 653595493 /nfs/dbraw/zinc/59/54/93/653595493.db2.gz XPULIOZMFACXAX-NSHDSACASA-N -1 1 337.380 -0.143 20 0 EBADMM Cc1cc(=O)oc(C)c1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000949195721 653827390 /nfs/dbraw/zinc/82/73/90/653827390.db2.gz MQHQMHGKQGSDGS-UHFFFAOYSA-N -1 1 347.375 -0.364 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CCC2(CC1)CN(Cc1nc(=O)n(C)[n-]1)CCO2 ZINC000949289540 653855386 /nfs/dbraw/zinc/85/53/86/653855386.db2.gz LYZVKZKYJRBQST-CHWSQXEVSA-N -1 1 349.435 -0.042 20 0 EBADMM CC(C)C(=O)N1CCC2(CC1)CN(Cc1nc(=O)n(C)[n-]1)CCO2 ZINC000949300926 653857297 /nfs/dbraw/zinc/85/72/97/653857297.db2.gz LFNMPBNOBBTMKQ-UHFFFAOYSA-N -1 1 337.424 -0.042 20 0 EBADMM CCc1cc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cc(=O)[nH]1 ZINC000949321598 653862516 /nfs/dbraw/zinc/86/25/16/653862516.db2.gz LTRYYHJXBKTMNN-UHFFFAOYSA-N -1 1 346.391 -0.271 20 0 EBADMM C[C@@H]1OCCO[C@@H]1C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949542075 653924637 /nfs/dbraw/zinc/92/46/37/653924637.db2.gz WDJNGSDYMLYCKU-WDMOLILDSA-N -1 1 335.360 -0.078 20 0 EBADMM Cc1nc([C@H](C)N2CCN(C(=O)c3cnc([O-])n(C)c3=O)CC2)n[nH]1 ZINC000949609913 653934261 /nfs/dbraw/zinc/93/42/61/653934261.db2.gz NXMRBJREJVZIHP-VIFPVBQESA-N -1 1 347.379 -0.569 20 0 EBADMM CCN(C(=O)c1cn(C)nc1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000949796041 653977727 /nfs/dbraw/zinc/97/77/27/653977727.db2.gz AYQPGEHFVKQWGP-UHFFFAOYSA-N -1 1 333.396 -0.503 20 0 EBADMM CO[C@H]1CCC[C@H](C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)C1 ZINC000949935448 654002753 /nfs/dbraw/zinc/00/27/53/654002753.db2.gz NXRLHANVYZVPQP-STQMWFEESA-N -1 1 337.424 -0.042 20 0 EBADMM CCN(C(=O)c1ccc(C)nn1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950038044 654023430 /nfs/dbraw/zinc/02/34/30/654023430.db2.gz RJMBAIZQGIEQOB-UHFFFAOYSA-N -1 1 331.380 -0.447 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1nc[nH]n1)c1cnc(C2CC2)[n-]c1=O ZINC000950037377 654023605 /nfs/dbraw/zinc/02/36/05/654023605.db2.gz KIQJJSBIWVDZAV-SECBINFHSA-N -1 1 343.347 -0.178 20 0 EBADMM Cc1ncc(C(=O)N2CCC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC000950224030 654068581 /nfs/dbraw/zinc/06/85/81/654068581.db2.gz PMXBNKZMADYEKV-QMMMGPOBSA-N -1 1 347.335 -0.651 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@H](NC(=O)C2CCC2)C1 ZINC000951362406 654104094 /nfs/dbraw/zinc/10/40/94/654104094.db2.gz LMPHRQRQJVVJMA-ZDUSSCGKSA-N -1 1 348.403 -0.166 20 0 EBADMM CC(C)C(=O)N[C@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000951408722 654118849 /nfs/dbraw/zinc/11/88/49/654118849.db2.gz RNTBUCGEYQSQOJ-JTQLQIEISA-N -1 1 332.364 -0.206 20 0 EBADMM CCN(C(=O)c1cc2n(n1)CCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950480852 654145034 /nfs/dbraw/zinc/14/50/34/654145034.db2.gz UPWJBBIGEOCFGR-UHFFFAOYSA-N -1 1 345.407 -0.402 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)NC[C@@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951479579 654157438 /nfs/dbraw/zinc/15/74/38/654157438.db2.gz ISQQFUQAGAMDHE-KXUCPTDWSA-N -1 1 330.348 -0.596 20 0 EBADMM Cn1cnc(C(=O)N[C@H]2CCCN(C(=O)c3ncccc3[O-])C2)n1 ZINC000950542695 654173498 /nfs/dbraw/zinc/17/34/98/654173498.db2.gz HDCVMLPQXQWXDC-JTQLQIEISA-N -1 1 330.348 -0.050 20 0 EBADMM O=C(Cn1cncn1)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950543284 654173615 /nfs/dbraw/zinc/17/36/15/654173615.db2.gz VSZQODFGVULFMN-LLVKDONJSA-N -1 1 330.348 -0.200 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NC[C@H]1CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000951600753 654207598 /nfs/dbraw/zinc/20/75/98/654207598.db2.gz SJRJXPXXTMSHBI-TUAOUCFPSA-N -1 1 334.376 -0.700 20 0 EBADMM CCN(C(=O)c1cccnc1OC)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000951605969 654210117 /nfs/dbraw/zinc/21/01/17/654210117.db2.gz MOWMJEXDNYAYLW-UHFFFAOYSA-N -1 1 346.391 -0.142 20 0 EBADMM Cn1cnc(C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])cc1=O ZINC000950761940 654252518 /nfs/dbraw/zinc/25/25/18/654252518.db2.gz OPWLUVZTRZARRC-SNVBAGLBSA-N -1 1 343.343 -0.475 20 0 EBADMM O=C(Cn1ncnn1)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000950911543 654309307 /nfs/dbraw/zinc/30/93/07/654309307.db2.gz VPZPPBGXWKVKPX-JTQLQIEISA-N -1 1 331.336 -0.805 20 0 EBADMM CCN(C(=O)c1coc(OC)n1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950997703 654340797 /nfs/dbraw/zinc/34/07/97/654340797.db2.gz VFLNZVUSDILYGB-UHFFFAOYSA-N -1 1 336.352 -0.549 20 0 EBADMM Cc1nn(C)cc1CCC(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000951180888 654410995 /nfs/dbraw/zinc/41/09/95/654410995.db2.gz ZHEBKNINXXMCMD-UHFFFAOYSA-N -1 1 347.423 -0.573 20 0 EBADMM CC[C@H](F)C(=O)N1CC[C@@H]1CNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000951222265 654422712 /nfs/dbraw/zinc/42/27/12/654422712.db2.gz IYKLQRWTCGRDGF-SFYZADRCSA-N -1 1 342.327 -0.025 20 0 EBADMM CC(C)(F)C(=O)N1CC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951221954 654422961 /nfs/dbraw/zinc/42/29/61/654422961.db2.gz CHFXDSRRMDWIJT-QMMMGPOBSA-N -1 1 336.327 -0.504 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1ccc[nH]1)c1cc(=O)n2[n-]cnc2n1 ZINC000951222416 654423968 /nfs/dbraw/zinc/42/39/68/654423968.db2.gz LMXWOXMDAZMJLF-VIFPVBQESA-N -1 1 341.331 -0.610 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCN1C(=O)c1ccon1 ZINC000951223052 654424578 /nfs/dbraw/zinc/42/45/78/654424578.db2.gz XLWPVVYHWSMZJN-ZETCQYMHSA-N -1 1 349.303 -0.471 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCN1C(=O)[C@@H]1C[C@H]1C ZINC000951223116 654424756 /nfs/dbraw/zinc/42/47/56/654424756.db2.gz YTQVNNZFUWOZHX-HRDYMLBCSA-N -1 1 336.348 -0.117 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1cc[nH]c1)c1cc(=O)n2[n-]cnc2n1 ZINC000951224342 654425307 /nfs/dbraw/zinc/42/53/07/654425307.db2.gz CIHUADXQASYVPK-SNVBAGLBSA-N -1 1 341.331 -0.610 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951224435 654425356 /nfs/dbraw/zinc/42/53/56/654425356.db2.gz FEQNORYSNQACTA-KXUCPTDWSA-N -1 1 330.348 -0.596 20 0 EBADMM O=C(CC1CCC1)N1CC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951224797 654425405 /nfs/dbraw/zinc/42/54/05/654425405.db2.gz NSBAHWBKAFIAKF-LLVKDONJSA-N -1 1 344.375 -0.062 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H]1CNC(=O)[C@@H]1CC12CC2 ZINC000952248304 654429687 /nfs/dbraw/zinc/42/96/87/654429687.db2.gz KAJAGNUSPRSTFD-RYUDHWBXSA-N -1 1 346.387 -0.556 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1)[C@@H]1CC12CC2 ZINC000952244118 654430061 /nfs/dbraw/zinc/43/00/61/654430061.db2.gz DURFPYYQDMVBEW-UWVGGRQHSA-N -1 1 342.359 -0.452 20 0 EBADMM NC(=O)C(=O)N1CC[C@H](C2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC000952285221 654434435 /nfs/dbraw/zinc/43/44/35/654434435.db2.gz DBRPISCNFHFOAO-LBPRGKRZSA-N -1 1 346.387 -0.027 20 0 EBADMM CCc1cc(C(=O)N2CC[C@H]2CNC(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC000951297824 654455815 /nfs/dbraw/zinc/45/58/15/654455815.db2.gz KNNPWOFMVHHSFR-QMMMGPOBSA-N -1 1 347.335 -0.787 20 0 EBADMM CCC(=O)N1CC[C@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)[C@@H]2C1 ZINC000953227511 654545238 /nfs/dbraw/zinc/54/52/38/654545238.db2.gz JCPXRXZMNRBIFI-QWHCGFSZSA-N -1 1 348.403 -0.214 20 0 EBADMM NC(=O)CC(=O)N1CC[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000953313586 654555108 /nfs/dbraw/zinc/55/51/08/654555108.db2.gz FIZZKYPEIOVGDI-WDEREUQCSA-N -1 1 332.360 -0.274 20 0 EBADMM CCC(=O)N1CC[C@@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@H]21 ZINC000953378748 654569192 /nfs/dbraw/zinc/56/91/92/654569192.db2.gz MKOWAKOFIAUJDP-QWHCGFSZSA-N -1 1 348.403 -0.214 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)N(C)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000953625331 654599986 /nfs/dbraw/zinc/59/99/86/654599986.db2.gz WXZMFCAXKZDXES-PWSUYJOCSA-N -1 1 334.376 -0.748 20 0 EBADMM COC(=O)[C@@H]1C[C@@H]1C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000953871308 654642909 /nfs/dbraw/zinc/64/29/09/654642909.db2.gz BPINCYNWILWFBX-WDEREUQCSA-N -1 1 333.344 -0.121 20 0 EBADMM C[C@H](C(=O)N(C)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC000953980707 654666776 /nfs/dbraw/zinc/66/67/76/654666776.db2.gz GJIYPYNIVULFCI-VIFPVBQESA-N -1 1 344.375 -0.254 20 0 EBADMM O=C(N[C@H]1COC2(CN(C(=O)c3ncccc3[O-])C2)C1)c1cn[nH]n1 ZINC000965741491 724469445 /nfs/dbraw/zinc/46/94/45/724469445.db2.gz SYQIUSNXRVITQK-SECBINFHSA-N -1 1 344.331 -0.681 20 0 EBADMM CCn1nncc1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1C ZINC000965981071 724554231 /nfs/dbraw/zinc/55/42/31/724554231.db2.gz SXNIIQCNLQAPRU-QWRGUYRKSA-N -1 1 348.411 -0.640 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-]C[C@@H]2CN3CCN2CCC3)c1Cl ZINC000394714629 719415828 /nfs/dbraw/zinc/41/58/28/719415828.db2.gz STUFXGZUUGZEKY-SNVBAGLBSA-N -1 1 333.845 -0.258 20 0 EBADMM C[C@H]1CCN(C(=O)C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000936161860 719499234 /nfs/dbraw/zinc/49/92/34/719499234.db2.gz RFZBEIFYTVMUMJ-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)c1cnn(C)c1N)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954117711 719565515 /nfs/dbraw/zinc/56/55/15/719565515.db2.gz YTWFSLFIBMWOKE-UHFFFAOYSA-N -1 1 330.348 -0.301 20 0 EBADMM C[C@H]1CN(C(=O)CC(N)=O)C[C@H]1NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000966258303 724599183 /nfs/dbraw/zinc/59/91/83/724599183.db2.gz HNNLEQBJXKJLBZ-GZMMTYOYSA-N -1 1 347.375 -0.488 20 0 EBADMM CC(=O)N1CC[C@H](C(=O)N2CC(N(C)C(=O)c3ncccc3[O-])C2)C1 ZINC000954124311 719569315 /nfs/dbraw/zinc/56/93/15/719569315.db2.gz VHQTWBNZHNMERX-LBPRGKRZSA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)CN2CCOCC2)C1 ZINC000954124026 719569544 /nfs/dbraw/zinc/56/95/44/719569544.db2.gz IQHJQAJSLNRNBS-UHFFFAOYSA-N -1 1 334.376 -0.598 20 0 EBADMM C[C@@H]1CCN(C(=O)C(=O)N2CC(N(C)C(=O)c3ncccc3[O-])C2)C1 ZINC000954125187 719570030 /nfs/dbraw/zinc/57/00/30/719570030.db2.gz FWZOCJUNGQROIP-LLVKDONJSA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CCC(=O)N2C)C1 ZINC000954127601 719570694 /nfs/dbraw/zinc/57/06/94/719570694.db2.gz BGIPPELUHYDEPF-LLVKDONJSA-N -1 1 332.360 -0.309 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CCCN2C(N)=O)C1 ZINC000954127993 719571596 /nfs/dbraw/zinc/57/15/96/719571596.db2.gz NWKNYXHQLAGMCY-NSHDSACASA-N -1 1 347.375 -0.387 20 0 EBADMM CCN1C[C@@H](C(=O)N(C)C2CN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC000954487761 719741955 /nfs/dbraw/zinc/74/19/55/719741955.db2.gz XHKSXTHORUJVJY-NSHDSACASA-N -1 1 346.387 -0.062 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)CN1CN=NC1=O ZINC000966354707 724621442 /nfs/dbraw/zinc/62/14/42/724621442.db2.gz ZGCUNEWLPHVZQM-VHSXEESVSA-N -1 1 346.347 -0.639 20 0 EBADMM C[C@@H]1CN(C(=O)c2cc(=O)n(C)o2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966367146 724625461 /nfs/dbraw/zinc/62/54/61/724625461.db2.gz BLMSSXYCVWZVML-ZJUUUORDSA-N -1 1 346.343 -0.031 20 0 EBADMM C[C@@H]1C[C@H]1CC(=O)N1CC(N(C)C(=O)c2cc(=O)n3nc[n-]c3n2)C1 ZINC000954831717 719925975 /nfs/dbraw/zinc/92/59/75/719925975.db2.gz KULTZZGEIIRMMI-ZJUUUORDSA-N -1 1 344.375 -0.254 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)C(C)(C)F)C1 ZINC000954832921 719926965 /nfs/dbraw/zinc/92/69/65/719926965.db2.gz SRSYEUSRXQUWAO-UHFFFAOYSA-N -1 1 336.327 -0.552 20 0 EBADMM CN(C(=O)[C@@]1(C)CCC(=O)NC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955060965 720032629 /nfs/dbraw/zinc/03/26/29/720032629.db2.gz MJEZKDOQGNYONZ-KRWDZBQOSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN1C(=O)C1CC1 ZINC000955464876 720179200 /nfs/dbraw/zinc/17/92/00/720179200.db2.gz LFFJJAIDXOYMQR-ONGXEEELSA-N -1 1 344.375 -0.063 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN1C(=O)C1CC1 ZINC000955464875 720179385 /nfs/dbraw/zinc/17/93/85/720179385.db2.gz LFFJJAIDXOYMQR-MWLCHTKSSA-N -1 1 344.375 -0.063 20 0 EBADMM O=C(NC[C@@H]1CCCN1C(=O)c1ncccc1[O-])[C@@H]1CCNC1=O ZINC000955979848 720315256 /nfs/dbraw/zinc/31/52/56/720315256.db2.gz SHUWMPYMJIETSD-WDEREUQCSA-N -1 1 332.360 -0.356 20 0 EBADMM C[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1NC(=O)C(F)F ZINC000966591039 724691517 /nfs/dbraw/zinc/69/15/17/724691517.db2.gz HSFGCCRRHORDIH-DTWKUNHWSA-N -1 1 344.318 -0.845 20 0 EBADMM C[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H]1NC(=O)C1CCC1 ZINC000966591118 724691580 /nfs/dbraw/zinc/69/15/80/724691580.db2.gz IXIGUZQVNCVVIP-YPMHNXCESA-N -1 1 348.403 -0.310 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1C[C@H](C)[C@H](NC(=O)C(N)=O)C1 ZINC000966659609 724709367 /nfs/dbraw/zinc/70/93/67/724709367.db2.gz QYNOAUDHDZOFGL-WCBMZHEXSA-N -1 1 349.391 -0.324 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1cn[nH]c(=O)c1 ZINC000966885158 724775341 /nfs/dbraw/zinc/77/53/41/724775341.db2.gz XWNXRFBCTHKFQF-KOLCDFICSA-N -1 1 347.379 -0.756 20 0 EBADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)[C@H]2COCCO2)C1 ZINC000956848512 722119144 /nfs/dbraw/zinc/11/91/44/722119144.db2.gz BQLYHEMBARRBBX-MLGOLLRUSA-N -1 1 335.360 -0.077 20 0 EBADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)[C@@H]2CNC(=O)N2)C1 ZINC000956850375 722119485 /nfs/dbraw/zinc/11/94/85/722119485.db2.gz LDOQPTCRULLIGT-BJOHPYRUSA-N -1 1 333.348 -0.811 20 0 EBADMM CC1(C(=O)N2CC[C@@](C)(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)CC1 ZINC000957220375 722176526 /nfs/dbraw/zinc/17/65/26/722176526.db2.gz YTHNWEYFARQZHV-MRXNPFEDSA-N -1 1 344.375 -0.062 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3ccc4nccn4c3)CC2)nc1=O ZINC000957286822 722189833 /nfs/dbraw/zinc/18/98/33/722189833.db2.gz HPVGEKKEEIOTJK-UHFFFAOYSA-N -1 1 341.375 -0.286 20 0 EBADMM Cc1noc(CN2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC2)n1 ZINC000957314394 722192845 /nfs/dbraw/zinc/19/28/45/722192845.db2.gz FGIGOFWVRJKEFV-UHFFFAOYSA-N -1 1 344.335 -0.933 20 0 EBADMM Cn1nnc(C(=O)N[C@@]2(C)CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC000957323865 722196772 /nfs/dbraw/zinc/19/67/72/722196772.db2.gz QCLLYBXKYFDPSH-AWEZNQCLSA-N -1 1 331.336 -0.655 20 0 EBADMM COc1cc[nH]c(=O)c1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000957346242 722199611 /nfs/dbraw/zinc/19/96/11/722199611.db2.gz HGLLDFOTQLZKHK-UHFFFAOYSA-N -1 1 348.363 -0.824 20 0 EBADMM CN1CC[C@@H](C(=O)N[C@@]2(C)CCN(C(=O)c3ncccc3[O-])C2)C1=O ZINC000957354935 722201144 /nfs/dbraw/zinc/20/11/44/722201144.db2.gz VQLMFZJBWWQHSQ-GTNSWQLSSA-N -1 1 346.387 -0.014 20 0 EBADMM CN1CC[C@H](C(=O)N[C@@]2(C)CCN(C(=O)c3ncccc3[O-])C2)C1=O ZINC000957354934 722201268 /nfs/dbraw/zinc/20/12/68/722201268.db2.gz VQLMFZJBWWQHSQ-DIFFPNOSSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2CN(C)C(=O)N2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000957614145 722227424 /nfs/dbraw/zinc/22/74/24/722227424.db2.gz CFSYNOSQKSSIRU-MXWKQRLJSA-N -1 1 347.375 -0.613 20 0 EBADMM Cc1n[nH]c(C)c1CCC(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000957619825 722228712 /nfs/dbraw/zinc/22/87/12/722228712.db2.gz KVSLPWANVPAQLW-UHFFFAOYSA-N -1 1 347.423 -0.275 20 0 EBADMM Cn1ccnc1CN1C[C@@H](CNC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000957990254 722283799 /nfs/dbraw/zinc/28/37/99/722283799.db2.gz LUODHDRQMBBYNR-DGCLKSJQSA-N -1 1 331.376 -0.257 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@@H](CNC(=O)C3=CCCCCC3)C2)nc1=O ZINC000958003942 722287459 /nfs/dbraw/zinc/28/74/59/722287459.db2.gz CODSLPDLQRVACU-UONOGXRCSA-N -1 1 349.435 -0.092 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](CNC(=O)C3CC(C)(C)C3)[C@H](O)C2)nc1=O ZINC000958042540 722296090 /nfs/dbraw/zinc/29/60/90/722296090.db2.gz VZYQABZFKGZBHE-VXGBXAGGSA-N -1 1 337.424 -0.547 20 0 EBADMM Cc1cnc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)cn1 ZINC000958147031 722310824 /nfs/dbraw/zinc/31/08/24/722310824.db2.gz HAYAYFLNRXMVGX-IWIIMEHWSA-N -1 1 329.364 -0.933 20 0 EBADMM CN(C(=O)C1CCOCC1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958408611 722361423 /nfs/dbraw/zinc/36/14/23/722361423.db2.gz GVLZGSUVKBOWPD-JSGCOSHPSA-N -1 1 349.387 -0.143 20 0 EBADMM CN(C(=O)C1=COCCO1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958461735 722369572 /nfs/dbraw/zinc/36/95/72/722369572.db2.gz XRXTZLWIHARCJD-JQWIXIFHSA-N -1 1 349.343 -0.681 20 0 EBADMM CN(C(=O)c1ccon1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958665961 722413208 /nfs/dbraw/zinc/41/32/08/722413208.db2.gz VXDYEZLVPLVFMB-JQWIXIFHSA-N -1 1 332.316 -0.267 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@@H](CNC(=O)C3CCC=CCC3)C2)nc1=O ZINC000958682718 722416895 /nfs/dbraw/zinc/41/68/95/722416895.db2.gz BWDHFPSYGFBXTJ-UONOGXRCSA-N -1 1 349.435 -0.236 20 0 EBADMM CN(C(=O)c1cocn1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958739089 722423380 /nfs/dbraw/zinc/42/33/80/722423380.db2.gz GBAVLUDFXGIUHP-JQWIXIFHSA-N -1 1 332.316 -0.267 20 0 EBADMM CC[C@H](OC)C(=O)N1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000958830175 722603867 /nfs/dbraw/zinc/60/38/67/722603867.db2.gz IJVHKWJTPIVVIT-DRZSPHRISA-N -1 1 337.376 -0.144 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)CC2(O)CCC2)C[C@@H]1O ZINC000958830035 722603918 /nfs/dbraw/zinc/60/39/18/722603918.db2.gz BOZSVQIYUOHNPY-AAEUAGOBSA-N -1 1 349.387 -0.264 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)Cn2ccnc2)C[C@@H]1O ZINC000958830124 722603960 /nfs/dbraw/zinc/60/39/60/722603960.db2.gz GGCMVCXCNWAMSG-AAEUAGOBSA-N -1 1 345.359 -0.672 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)c2cnon2)C[C@@H]1O ZINC000958830388 722604165 /nfs/dbraw/zinc/60/41/65/722604165.db2.gz SDKJVWDTAZTPJQ-ONGXEEELSA-N -1 1 333.304 -0.872 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)[C@]2(C)CCOC2)C[C@@H]1O ZINC000958830545 722604435 /nfs/dbraw/zinc/60/44/35/722604435.db2.gz YWOLASQSFIQWTG-PLQHRBFRSA-N -1 1 349.387 -0.143 20 0 EBADMM COc1nc(C)ncc1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001018944373 728751715 /nfs/dbraw/zinc/75/17/15/728751715.db2.gz GRXLVNDIZWXJGV-VIFPVBQESA-N -1 1 333.352 -0.378 20 0 EBADMM CN(C(=O)[C@@]1(C)CCOC1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958940276 722666366 /nfs/dbraw/zinc/66/63/66/722666366.db2.gz IYNHFTOQVFAQQY-BNLOLNQZSA-N -1 1 349.387 -0.143 20 0 EBADMM COCC(=O)N1CC[C@@H]([C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000959007710 722681946 /nfs/dbraw/zinc/68/19/46/722681946.db2.gz KNQWPENQDCFGNB-VHSXEESVSA-N -1 1 348.363 -0.969 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)Cn2cnnn2)C1 ZINC000959062720 722684621 /nfs/dbraw/zinc/68/46/21/722684621.db2.gz ZOEJMLZLRKAMRN-QWRGUYRKSA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1CNC(=O)C1CC1 ZINC000959523417 722735303 /nfs/dbraw/zinc/73/53/03/722735303.db2.gz PDVPFFNFVQYNAJ-GXSJLCMTSA-N -1 1 344.375 -0.348 20 0 EBADMM CCCC(=O)N1C[C@@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@@H]2C1 ZINC000959792976 722761543 /nfs/dbraw/zinc/76/15/43/722761543.db2.gz AKHZLDTXDUMINR-PHIMTYICSA-N -1 1 344.375 -0.252 20 0 EBADMM Cn1ncc(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)c1N ZINC000959968662 722778492 /nfs/dbraw/zinc/77/84/92/722778492.db2.gz NTXTWWAPOPVYKL-VIFPVBQESA-N -1 1 334.384 -0.390 20 0 EBADMM O=C([C@H]1CCC(=O)NC1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000960050978 722785929 /nfs/dbraw/zinc/78/59/29/722785929.db2.gz KXBNWBDITACKKL-QWRGUYRKSA-N -1 1 336.396 -0.493 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)o1 ZINC000960058765 722786139 /nfs/dbraw/zinc/78/61/39/722786139.db2.gz MVJMLILTMGPKAJ-VIFPVBQESA-N -1 1 348.363 -0.013 20 0 EBADMM Cn1cc(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)ccc1=O ZINC000960055806 722786267 /nfs/dbraw/zinc/78/62/67/722786267.db2.gz JNYQNTTXDAWFPJ-LBPRGKRZSA-N -1 1 346.391 -0.007 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2cc3n(n2)CCC3)nc1=O ZINC000960201493 722805879 /nfs/dbraw/zinc/80/58/79/722805879.db2.gz OKFPDEMWLPCVQP-YABSGUDNSA-N -1 1 343.391 -0.889 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)C1CCOCC1 ZINC000960359265 722822876 /nfs/dbraw/zinc/82/28/76/722822876.db2.gz YLRUFJOGQVDPCO-CYBMUJFWSA-N -1 1 337.424 -0.042 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)C1=COCCO1 ZINC000960389561 722825105 /nfs/dbraw/zinc/82/51/05/722825105.db2.gz HUPNCFHYBXCVFF-NSHDSACASA-N -1 1 337.380 -0.581 20 0 EBADMM CCc1nn(C)cc1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000960438076 722829595 /nfs/dbraw/zinc/82/95/95/722829595.db2.gz OMTBIQYRFYHHAM-MSRIBSCDSA-N -1 1 345.407 -0.736 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)CCn1cccn1 ZINC000960446642 722830565 /nfs/dbraw/zinc/83/05/65/722830565.db2.gz BUJZNZUFOWHPJG-CYBMUJFWSA-N -1 1 347.423 -0.182 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)Cc2ccc(F)cn2)nc1=O ZINC000960594563 722842198 /nfs/dbraw/zinc/84/21/98/722842198.db2.gz WTPXIXHZOHXKIC-JYAVWHMHSA-N -1 1 346.366 -0.568 20 0 EBADMM Cc1n[nH]cc1C(=O)N1CCC[C@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC000960777875 722863897 /nfs/dbraw/zinc/86/38/97/722863897.db2.gz IETREYJRFCJNMX-NSHDSACASA-N -1 1 333.396 -0.123 20 0 EBADMM COCc1ccc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)o1 ZINC000960942125 722880694 /nfs/dbraw/zinc/88/06/94/722880694.db2.gz ZHLBHFNGMSOZOE-YABSGUDNSA-N -1 1 347.375 -0.292 20 0 EBADMM CCOc1cc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)on1 ZINC000961164350 722908779 /nfs/dbraw/zinc/90/87/79/722908779.db2.gz BRDYRXMJZKUBHT-WOFXILAISA-N -1 1 348.363 -0.645 20 0 EBADMM CCc1cncc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)c1 ZINC000961187114 722913019 /nfs/dbraw/zinc/91/30/19/722913019.db2.gz PTYOCBVBOQROIE-NHAGDIPZSA-N -1 1 342.403 -0.074 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)nc1=O ZINC000961262605 722924615 /nfs/dbraw/zinc/92/46/15/722924615.db2.gz GSYUFYSVFWGYOF-NQHHHNDZSA-N -1 1 345.403 -0.922 20 0 EBADMM CCc1nocc1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000961720913 723063194 /nfs/dbraw/zinc/06/31/94/723063194.db2.gz KDQZAHYNCQQJKW-WOFXILAISA-N -1 1 332.364 -0.481 20 0 EBADMM Cc1cc(=O)[nH]cc1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000961731808 723064657 /nfs/dbraw/zinc/06/46/57/723064657.db2.gz WGMSZBIMNKSBFZ-YABSGUDNSA-N -1 1 344.375 -0.622 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1ccc(F)nc1 ZINC000961924236 723119080 /nfs/dbraw/zinc/11/90/80/723119080.db2.gz VVUIKZNDDNKGTQ-PWSUYJOCSA-N -1 1 346.318 -0.063 20 0 EBADMM Cc1nccnc1CN[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001019094592 728794519 /nfs/dbraw/zinc/79/45/19/728794519.db2.gz BSKIBSYELINIPN-VIFPVBQESA-N -1 1 331.336 -0.614 20 0 EBADMM CCC(=O)N[C@H]1CC12CCN(C(=O)c1cc(=O)n3[n-]cnc3n1)CC2 ZINC000962224018 723199042 /nfs/dbraw/zinc/19/90/42/723199042.db2.gz PENZRSYDAVHDFE-NSHDSACASA-N -1 1 344.375 -0.062 20 0 EBADMM CCc1nc(C)c(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)o1 ZINC000962234634 723201986 /nfs/dbraw/zinc/20/19/86/723201986.db2.gz DYRDWAKFKUYEJQ-IWIIMEHWSA-N -1 1 346.391 -0.173 20 0 EBADMM CC(C)n1cc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)cn1 ZINC000962271464 723212447 /nfs/dbraw/zinc/21/24/47/723212447.db2.gz QTMWXPXNSZERDN-IMRBUKKESA-N -1 1 345.407 -0.254 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1cncnc1 ZINC000962421365 723246532 /nfs/dbraw/zinc/24/65/32/723246532.db2.gz UYAIYSDKUDVIAY-PWSUYJOCSA-N -1 1 329.316 -0.808 20 0 EBADMM Cc1nnc(CC(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)o1 ZINC000962433965 723251215 /nfs/dbraw/zinc/25/12/15/723251215.db2.gz BONSRHUVUCMFSX-KOLCDFICSA-N -1 1 347.331 -0.977 20 0 EBADMM C[C@H](C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1)n1cccn1 ZINC000962434223 723251444 /nfs/dbraw/zinc/25/14/44/723251444.db2.gz DUOJUWYNHUATQR-WZRBSPASSA-N -1 1 345.359 -0.454 20 0 EBADMM O=C(C[C@H]1CCCO1)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962434959 723252073 /nfs/dbraw/zinc/25/20/73/723252073.db2.gz MQNJVIBMKAJTDW-WZRBSPASSA-N -1 1 335.360 -0.342 20 0 EBADMM Cn1ccc(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)c1 ZINC000962435830 723252257 /nfs/dbraw/zinc/25/22/57/723252257.db2.gz VLOHWIKWAFGVCW-YPMHNXCESA-N -1 1 330.344 -0.259 20 0 EBADMM Cn1cncc1C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962436954 723252911 /nfs/dbraw/zinc/25/29/11/723252911.db2.gz MIEBMEZYTQTCSQ-SKDRFNHKSA-N -1 1 331.332 -0.864 20 0 EBADMM CO[C@H](C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1)C1CCC1 ZINC000962442027 723254290 /nfs/dbraw/zinc/25/42/90/723254290.db2.gz WILVACAIWOQWBH-ZLDLUXBVSA-N -1 1 349.387 -0.096 20 0 EBADMM Cn1ncc(C(=O)N2CC3(C2)CCN(C(=O)c2ncccc2[O-])C3)n1 ZINC001019190418 728822821 /nfs/dbraw/zinc/82/28/21/728822821.db2.gz WTHLLIYYXHNUAO-UHFFFAOYSA-N -1 1 342.359 -0.096 20 0 EBADMM O=C(C[C@@H]1CCCOC1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000963685459 723465622 /nfs/dbraw/zinc/46/56/22/723465622.db2.gz SQZNQHOOZLVDFA-SCRDCRAPSA-N -1 1 349.387 -0.095 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1ncccc1[O-])c1cnccn1 ZINC000964066203 723532564 /nfs/dbraw/zinc/53/25/64/723532564.db2.gz JWFUOCCEAKTFNJ-NSHDSACASA-N -1 1 343.343 -0.152 20 0 EBADMM Cn1cnc2cc(C(=O)N3CC[C@H](NCc4n[nH]c(=O)[n-]4)C3)cnc21 ZINC001019231258 728831667 /nfs/dbraw/zinc/83/16/67/728831667.db2.gz USIJGRZBGVMDQL-JTQLQIEISA-N -1 1 342.363 -0.204 20 0 EBADMM Cn1nccc1C(=O)N1CCOC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000964153065 723839509 /nfs/dbraw/zinc/83/95/09/723839509.db2.gz ALINXUUNRGGJIB-NSHDSACASA-N -1 1 345.359 -0.208 20 0 EBADMM CC(C)[C@@H](O)C(=O)N1CCOC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000964152843 723839605 /nfs/dbraw/zinc/83/96/05/723839605.db2.gz FJRHOMKYERAJEI-BXUZGUMPSA-N -1 1 337.376 -0.239 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1cnon1)c1ncccc1[O-] ZINC000964155490 723841739 /nfs/dbraw/zinc/84/17/39/723841739.db2.gz PBDHPVICOGEKLV-VIFPVBQESA-N -1 1 333.304 -0.559 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)[C@H]1CCCO1)c1ncccc1[O-] ZINC000964157200 723842941 /nfs/dbraw/zinc/84/29/41/723842941.db2.gz YUPJQINKMCEQCZ-WCQYABFASA-N -1 1 335.360 -0.077 20 0 EBADMM Cn1nccc1CCC(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051644970 735285636 /nfs/dbraw/zinc/28/56/36/735285636.db2.gz YYPFRWYIIRCOPQ-LBPRGKRZSA-N -1 1 349.395 -0.806 20 0 EBADMM CCCC(=O)N1CCOC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000964263226 723925021 /nfs/dbraw/zinc/92/50/21/723925021.db2.gz FYFUPPSYVIPCHV-SNVBAGLBSA-N -1 1 348.363 -0.825 20 0 EBADMM O=C(Cn1cnnn1)N1CCCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000964423644 724007316 /nfs/dbraw/zinc/00/73/16/724007316.db2.gz YDLJNVIGZVCONC-LLVKDONJSA-N -1 1 345.363 -0.415 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cnccn1 ZINC000964741375 724053509 /nfs/dbraw/zinc/05/35/09/724053509.db2.gz FXJBRLQRNDBZNV-CMPLNLGQSA-N -1 1 331.380 -0.461 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1cnn(C)c1 ZINC000965004676 724097575 /nfs/dbraw/zinc/09/75/75/724097575.db2.gz YYJQPGMNXBIUAB-PWSUYJOCSA-N -1 1 333.396 -0.518 20 0 EBADMM O=C(N[C@@H]1CCCN(C(=O)c2ccn[nH]2)CC1)c1n[nH]c(=O)[n-]c1=O ZINC000965052322 724109360 /nfs/dbraw/zinc/10/93/60/724109360.db2.gz CJVPDSJOJZBIJW-MRVPVSSYSA-N -1 1 347.335 -0.569 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1cnsn1 ZINC000965219092 724147055 /nfs/dbraw/zinc/14/70/55/724147055.db2.gz MZVIYVUFPLJLSS-SCZZXKLOSA-N -1 1 337.409 -0.400 20 0 EBADMM O=C(c1cc[nH]n1)N1CC2(C1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC000965362390 724198416 /nfs/dbraw/zinc/19/84/16/724198416.db2.gz BAGQJOXGYVLZCE-UHFFFAOYSA-N -1 1 343.343 -0.123 20 0 EBADMM O=C(c1ccn[nH]1)N1CC2(C1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC000965362390 724198419 /nfs/dbraw/zinc/19/84/19/724198419.db2.gz BAGQJOXGYVLZCE-UHFFFAOYSA-N -1 1 343.343 -0.123 20 0 EBADMM CO[C@@H](C)C(=O)N1CC2(C1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC000965365263 724200041 /nfs/dbraw/zinc/20/00/41/724200041.db2.gz XFDIYRYEJVWBGW-NSHDSACASA-N -1 1 335.360 -0.125 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)n(C)n1 ZINC000965421860 724232370 /nfs/dbraw/zinc/23/23/70/724232370.db2.gz YWRMISMCGGPFQP-ZYHUDNBSSA-N -1 1 347.423 -0.209 20 0 EBADMM O=C(c1cn[nH]n1)N1CCOC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000965425092 724234497 /nfs/dbraw/zinc/23/44/97/724234497.db2.gz WJPAHDVMJVUAFU-UHFFFAOYSA-N -1 1 344.331 -0.728 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ccnn1C ZINC000965525669 724284291 /nfs/dbraw/zinc/28/42/91/724284291.db2.gz LMSQXRXHJNREDY-WDEREUQCSA-N -1 1 333.396 -0.518 20 0 EBADMM Cc1nn(C)cc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1C ZINC000965596107 724312169 /nfs/dbraw/zinc/31/21/69/724312169.db2.gz LIQAHMUNFLOJFG-GXFFZTMASA-N -1 1 347.423 -0.209 20 0 EBADMM O=C(N[C@@H]1COC2(CN(C(=O)c3ncccc3[O-])C2)C1)[C@H]1CCOC1 ZINC000965648534 724340978 /nfs/dbraw/zinc/34/09/78/724340978.db2.gz ZRECTOBJGLTOGV-RYUDHWBXSA-N -1 1 347.371 -0.077 20 0 EBADMM O=C(N[C@@H]1COC2(CN(C(=O)c3ncc[nH]3)C2)C1)c1ncccc1[O-] ZINC000965681000 724362407 /nfs/dbraw/zinc/36/24/07/724362407.db2.gz JHXYEKALDHJMJE-JTQLQIEISA-N -1 1 343.343 -0.076 20 0 EBADMM Cc1nnccc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1C ZINC000967257944 724857534 /nfs/dbraw/zinc/85/75/34/724857534.db2.gz OBAUUGCSGNZQAM-ZWNOBZJWSA-N -1 1 345.407 -0.153 20 0 EBADMM CCN(C(=O)[C@H]1C[C@H]1C)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000967756312 724902960 /nfs/dbraw/zinc/90/29/60/724902960.db2.gz OGDDNPOVSKPSNM-KOLCDFICSA-N -1 1 344.375 -0.254 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)s1 ZINC001019509719 728904780 /nfs/dbraw/zinc/90/47/80/728904780.db2.gz KBIRTQOKIZTEKC-ZETCQYMHSA-N -1 1 336.377 -0.325 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@]2(C)CCNC2=O)C1 ZINC000967908207 724928911 /nfs/dbraw/zinc/92/89/11/724928911.db2.gz POXSLHBWFMSXDB-QGZVFWFLSA-N -1 1 346.387 -0.014 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CC[C@@H]2C(N)=O)C1 ZINC000967909684 724929582 /nfs/dbraw/zinc/92/95/82/724929582.db2.gz ZDKPQDADTFUIOF-RYUDHWBXSA-N -1 1 346.387 -0.028 20 0 EBADMM Cc1noc(CN[C@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)n1 ZINC001019522448 728907508 /nfs/dbraw/zinc/90/75/08/728907508.db2.gz CCNRZVFEEZNCTE-NSHDSACASA-N -1 1 348.363 -0.991 20 0 EBADMM CCN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)[C@@H]2C[C@@H]2C)C1 ZINC000968155654 724970862 /nfs/dbraw/zinc/97/08/62/724970862.db2.gz YRTXXOYSTUCOIC-GXSJLCMTSA-N -1 1 344.375 -0.254 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ccnnc1 ZINC000968357857 725054997 /nfs/dbraw/zinc/05/49/97/725054997.db2.gz URRYYVKCNCIBOV-ZYHUDNBSSA-N -1 1 331.380 -0.461 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cccnn1 ZINC000968460440 725068099 /nfs/dbraw/zinc/06/80/99/725068099.db2.gz URGDZNGPUBXPSS-CMPLNLGQSA-N -1 1 331.380 -0.461 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2cc3c([nH]2)CCC3)C[C@@H]1O)c1cnn[nH]1 ZINC000968707192 725106287 /nfs/dbraw/zinc/10/62/87/725106287.db2.gz FKKWADMHGGLSOA-OLZOCXBDSA-N -1 1 330.348 -0.763 20 0 EBADMM Cc1nc[nH]c1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1C ZINC000968705449 725106405 /nfs/dbraw/zinc/10/64/05/725106405.db2.gz CCAKZAOTJRPJOG-ONGXEEELSA-N -1 1 333.396 -0.220 20 0 EBADMM O=C(c1ncccc1[O-])N1[C@@H]2CC[C@H]1CN(C(=O)[C@@H]1CCC(=O)N1)C2 ZINC000968886640 725125733 /nfs/dbraw/zinc/12/57/33/725125733.db2.gz UJQPSSNMDHLVSZ-TUAOUCFPSA-N -1 1 344.371 -0.119 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2nc[nH]n2)CCCO1)c1ncccc1[O-] ZINC001019573012 728925145 /nfs/dbraw/zinc/92/51/45/728925145.db2.gz CSJVIEOTQCFAIL-SNVBAGLBSA-N -1 1 346.347 -0.434 20 0 EBADMM Cc1nc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c(=O)[nH]c1C ZINC000969054250 725145685 /nfs/dbraw/zinc/14/56/85/725145685.db2.gz PEJRRZPSDVMMLA-SECBINFHSA-N -1 1 333.352 -0.785 20 0 EBADMM C[C@H](Cc1cnn(C)c1)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019579906 728928275 /nfs/dbraw/zinc/92/82/75/728928275.db2.gz BOBOIQSNOLGGFT-PWSUYJOCSA-N -1 1 333.396 -0.187 20 0 EBADMM O=C([C@H]1CCc2cncn2C1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969136733 725155134 /nfs/dbraw/zinc/15/51/34/725155134.db2.gz ZWXFFQCWXFCKQO-WDEREUQCSA-N -1 1 331.380 -0.340 20 0 EBADMM CC(C)c1nc(CN[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC000969212034 725162107 /nfs/dbraw/zinc/16/21/07/725162107.db2.gz SNVSJVBJATWKRR-MRVPVSSYSA-N -1 1 348.367 -0.471 20 0 EBADMM Cc1cnc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC000969244185 725165128 /nfs/dbraw/zinc/16/51/28/725165128.db2.gz TUFAQCGQXPFQEC-SNVBAGLBSA-N -1 1 331.380 -0.543 20 0 EBADMM Cc1nc[nH]c1CC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000969413103 725178082 /nfs/dbraw/zinc/17/80/82/725178082.db2.gz OFCKUTBKSKAQMP-YPMHNXCESA-N -1 1 345.359 -0.637 20 0 EBADMM C[C@H](NC(=O)c1ccncc1F)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969428116 725179602 /nfs/dbraw/zinc/17/96/02/725179602.db2.gz SCCDMUSSSWESCL-VIFPVBQESA-N -1 1 334.355 -0.107 20 0 EBADMM CO[C@@H](C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)c1cnn(C)c1 ZINC000969475526 725183873 /nfs/dbraw/zinc/18/38/73/725183873.db2.gz BKCYCALAUIGMOX-ZYHUDNBSSA-N -1 1 335.368 -0.678 20 0 EBADMM Cc1cc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)ncn1 ZINC000969485881 725184941 /nfs/dbraw/zinc/18/49/41/725184941.db2.gz CAEWKYVMKNQZMN-SNVBAGLBSA-N -1 1 331.380 -0.543 20 0 EBADMM C[C@@H](NC(=O)c1cnn2c1CCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969801857 725218499 /nfs/dbraw/zinc/21/84/99/725218499.db2.gz XHQCJBOSPQTSRT-SNVBAGLBSA-N -1 1 345.407 -0.499 20 0 EBADMM C[C@H](NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)C1CN(Cc2nc(=O)n(C)[nH]2)C1 ZINC000969885199 725225539 /nfs/dbraw/zinc/22/55/39/725225539.db2.gz WEAHIKHLCVBBLD-MVWJERBFSA-N -1 1 345.407 -0.428 20 0 EBADMM O=C(Cn1cncn1)N1[C@@H]2CC[C@H]1CN(C(=O)c1ncccc1[O-])C2 ZINC000970058647 725244616 /nfs/dbraw/zinc/24/46/16/725244616.db2.gz SDGIZTVFXXVUAI-TXEJJXNPSA-N -1 1 342.359 -0.106 20 0 EBADMM C[C@]1(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)CCCOC1 ZINC000970116060 725252429 /nfs/dbraw/zinc/25/24/29/725252429.db2.gz BVHBGEDMTAZYBD-ZUCKAHLUSA-N -1 1 349.387 -0.095 20 0 EBADMM CC[C@@H]1OCC[C@H]1C(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970181773 725259482 /nfs/dbraw/zinc/25/94/82/725259482.db2.gz GSCHTKQAOJWFKZ-UHTWSYAYSA-N -1 1 337.424 -0.140 20 0 EBADMM C[C@H](NC(=O)[C@@H]1CCCc2n[nH]nc21)C1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC000970343140 725280395 /nfs/dbraw/zinc/28/03/95/725280395.db2.gz IRPXVFHHGBSYOU-WCBMZHEXSA-N -1 1 346.395 -0.315 20 0 EBADMM Cc1cc(C)n(CC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c(=O)n1 ZINC000970348677 725280897 /nfs/dbraw/zinc/28/08/97/725280897.db2.gz GKBNFGGVXGIJPF-LLVKDONJSA-N -1 1 347.379 -0.925 20 0 EBADMM Cn1ncc2cc(C(=O)N3CC[C@@H](NCc4n[nH]c(=O)[n-]4)C3)cnc21 ZINC000970349375 725280922 /nfs/dbraw/zinc/28/09/22/725280922.db2.gz WEYQIWAWZGGFIK-LLVKDONJSA-N -1 1 342.363 -0.204 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1n[nH]cc1F ZINC000970355961 725282144 /nfs/dbraw/zinc/28/21/44/725282144.db2.gz UHHGWYOHPBAORA-SCZZXKLOSA-N -1 1 335.295 -0.735 20 0 EBADMM CC(=O)N1CC[C@](C)(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC000970430174 725290345 /nfs/dbraw/zinc/29/03/45/725290345.db2.gz QNYHEWLBPPJRNQ-ABAIWWIYSA-N -1 1 336.396 -0.541 20 0 EBADMM O=C(c1cn([C@@H]2CCOC2)nn1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970433358 725291137 /nfs/dbraw/zinc/29/11/37/725291137.db2.gz WJSDZJGQKWTSQD-NXEZZACHSA-N -1 1 348.367 -0.933 20 0 EBADMM Cc1nn2cccnc2c1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970743116 725323011 /nfs/dbraw/zinc/32/30/11/725323011.db2.gz FUXMITYVWRDGTB-SNVBAGLBSA-N -1 1 342.363 -0.134 20 0 EBADMM C[C@@H](C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)N1CCCCC1=O ZINC000970782450 725327392 /nfs/dbraw/zinc/32/73/92/725327392.db2.gz HJVBJHAYEVWLMT-WDEREUQCSA-N -1 1 336.396 -0.398 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000970840175 725333448 /nfs/dbraw/zinc/33/34/48/725333448.db2.gz BCWJACUQXVRFAI-AFCCXKIYSA-N -1 1 347.371 -0.344 20 0 EBADMM Cc1nccc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000970895904 725338825 /nfs/dbraw/zinc/33/88/25/725338825.db2.gz QACJSIIBJAQOBL-VIFPVBQESA-N -1 1 331.380 -0.543 20 0 EBADMM Cn1nnc2cccc(C(=O)N3CC[C@@H](NCc4n[nH]c(=O)[n-]4)C3)c21 ZINC000970899649 725339117 /nfs/dbraw/zinc/33/91/17/725339117.db2.gz NYHPUWSTWYGPGH-SECBINFHSA-N -1 1 342.363 -0.204 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)c2cc[n+]([O-])cc2)C1 ZINC000971022263 725348435 /nfs/dbraw/zinc/34/84/35/725348435.db2.gz XMGCGKXYLYRYRG-GFCCVEGCSA-N -1 1 332.364 -0.912 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)CC[C@@H]2CCOC2)C1 ZINC000971298435 725358378 /nfs/dbraw/zinc/35/83/78/725358378.db2.gz SVDCFKDTBYHJDP-CHWSQXEVSA-N -1 1 337.424 -0.042 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)c2ccc(F)cn2)C1 ZINC000971386797 725362270 /nfs/dbraw/zinc/36/22/70/725362270.db2.gz ULBMDMRYNWKRMG-NSHDSACASA-N -1 1 334.355 -0.011 20 0 EBADMM CN(Cc1nc(=O)n(C)[nH]1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000971392969 725362404 /nfs/dbraw/zinc/36/24/04/725362404.db2.gz CXRISDYICRXPJI-SNVBAGLBSA-N -1 1 332.364 -0.445 20 0 EBADMM O=C(c1ncccc1[O-])N1CC[C@@H]2CN(C(=O)[C@H]3COCCO3)[C@@H]2C1 ZINC000971398766 725362909 /nfs/dbraw/zinc/36/29/09/725362909.db2.gz RZAHGXJBQIJQLZ-YRGRVCCFSA-N -1 1 347.371 -0.125 20 0 EBADMM Cn1nncc1C(=O)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000971899344 725446858 /nfs/dbraw/zinc/44/68/58/725446858.db2.gz DRGGWNDBPHDIEN-ZYHUDNBSSA-N -1 1 342.359 -0.098 20 0 EBADMM COc1cnc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)nc1 ZINC000971906688 725447530 /nfs/dbraw/zinc/44/75/30/725447530.db2.gz DLXAMJPKDLAYPE-SNVBAGLBSA-N -1 1 347.379 -0.747 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@]3(CCN(C(=O)c4ccon4)C3)C2)nc1=O ZINC000972271431 725487880 /nfs/dbraw/zinc/48/78/80/725487880.db2.gz HXDMCGNGYOUIFO-HNNXBMFYSA-N -1 1 348.363 -0.787 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1csnn1 ZINC000991629637 725495543 /nfs/dbraw/zinc/49/55/43/725495543.db2.gz UDRYGZYTRNRPHR-KCJUWKMLSA-N -1 1 349.372 -0.356 20 0 EBADMM O=C(NC1CN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1)c1cnc(C2CC2)[n-]c1=O ZINC000991645923 725509059 /nfs/dbraw/zinc/50/90/59/725509059.db2.gz CUSQDVYJJLNXBB-ITGUQSILSA-N -1 1 344.371 -0.107 20 0 EBADMM O=C(NC1CN(C(=O)c2nc[nH]n2)C1)c1cnc(C2CC2)[n-]c1=O ZINC000991649676 725512629 /nfs/dbraw/zinc/51/26/29/725512629.db2.gz RXOBBOIWADNJRJ-UHFFFAOYSA-N -1 1 329.320 -0.568 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)Cc2cscn2)C1 ZINC000972489515 725534304 /nfs/dbraw/zinc/53/43/04/725534304.db2.gz NTCXRSIPNKIJTN-LLVKDONJSA-N -1 1 336.421 -0.160 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)c2c[nH]cc2C)C1 ZINC000991701237 725554778 /nfs/dbraw/zinc/55/47/78/725554778.db2.gz ATASOKFSWJXYAA-UHFFFAOYSA-N -1 1 347.331 -0.213 20 0 EBADMM O=C(Cc1ncc[nH]1)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000991717063 725569692 /nfs/dbraw/zinc/56/96/92/725569692.db2.gz GCWIQZSYQKQMKI-JQWIXIFHSA-N -1 1 345.359 -0.555 20 0 EBADMM Cc1nonc1CC(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000972649037 725569837 /nfs/dbraw/zinc/56/98/37/725569837.db2.gz SUZRDEOUGNBNGD-SNVBAGLBSA-N -1 1 335.368 -0.925 20 0 EBADMM O=C(Cc1ncc[nH]1)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC000991717065 725569853 /nfs/dbraw/zinc/56/98/53/725569853.db2.gz GCWIQZSYQKQMKI-ZYHUDNBSSA-N -1 1 345.359 -0.555 20 0 EBADMM CN(Cc1cnon1)[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000972722546 725587991 /nfs/dbraw/zinc/58/79/91/725587991.db2.gz YHNJVEKMRZKOSG-SNVBAGLBSA-N -1 1 344.335 -0.853 20 0 EBADMM Cn1cc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)cn1 ZINC000972799778 725594500 /nfs/dbraw/zinc/59/45/00/725594500.db2.gz LCEVQHRWVRCUOR-NSHDSACASA-N -1 1 333.396 -0.518 20 0 EBADMM Cc1c(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)cnn1C ZINC000972812697 725595282 /nfs/dbraw/zinc/59/52/82/725595282.db2.gz XXMTXCRAOBKMLQ-GFCCVEGCSA-N -1 1 347.423 -0.209 20 0 EBADMM Cc1c(C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)nnn1C ZINC000973122817 725646666 /nfs/dbraw/zinc/64/66/66/725646666.db2.gz ZMDYJAUDYBYOJY-MGCOHNPYSA-N -1 1 330.348 -0.085 20 0 EBADMM O=C(C[C@@H]1CCNC1=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973197525 725667372 /nfs/dbraw/zinc/66/73/72/725667372.db2.gz MELWOJLNUDYKDL-DCAQKATOSA-N -1 1 332.360 -0.310 20 0 EBADMM Cn1cc(C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)[nH]c1=O ZINC000973198532 725668023 /nfs/dbraw/zinc/66/80/23/725668023.db2.gz YKLIDQOAQXINDB-KYZUINATSA-N -1 1 331.332 -0.083 20 0 EBADMM O=C(NC1CC(CNC(=O)[C@H]2COCCO2)C1)c1ncccc1[O-] ZINC000991934422 725679392 /nfs/dbraw/zinc/67/93/92/725679392.db2.gz RTLWBCBMBVSXPK-GCZXYKMCSA-N -1 1 335.360 -0.173 20 0 EBADMM CN1CCO[C@H](C(=O)NCC2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC000992006222 725699617 /nfs/dbraw/zinc/69/96/17/725699617.db2.gz IDCKJLFRXMVJAB-YIZWMMSDSA-N -1 1 348.403 -0.258 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)c4csnn4)CCC[C@H]23)nc1=O ZINC000992033096 725705488 /nfs/dbraw/zinc/70/54/88/725705488.db2.gz SBOMVZRHZWRMEZ-HZMBPMFUSA-N -1 1 349.420 -0.113 20 0 EBADMM O=C(NCC1CC(NC(=O)[C@H]2CCC(=O)N2)C1)c1ncccc1[O-] ZINC000992047473 725708909 /nfs/dbraw/zinc/70/89/09/725708909.db2.gz BLLWQMZGRAESJG-VQXHTEKXSA-N -1 1 332.360 -0.310 20 0 EBADMM CC(=O)N1CC(C(=O)NC2CC(CNC(=O)c3ncccc3[O-])C2)C1 ZINC000992049917 725709307 /nfs/dbraw/zinc/70/93/07/725709307.db2.gz SAHFLDSCXGKTHF-UHFFFAOYSA-N -1 1 346.387 -0.110 20 0 EBADMM Cn1nnc(C(=O)NC2CC(CNC(=O)c3ncccc3[O-])C2)n1 ZINC000992050853 725709655 /nfs/dbraw/zinc/70/96/55/725709655.db2.gz ANURZZHBDSKUDF-UHFFFAOYSA-N -1 1 331.336 -0.751 20 0 EBADMM O=C(NCC1CC(NC(=O)[C@@H]2CCCNC2=O)C1)c1ncccc1[O-] ZINC000992057212 725711592 /nfs/dbraw/zinc/71/15/92/725711592.db2.gz VZGINNJQSWMVHC-HTAVTVPLSA-N -1 1 346.387 -0.062 20 0 EBADMM CC1(C(=O)N[C@H]2C[C@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)CC1 ZINC000973355917 725718953 /nfs/dbraw/zinc/71/89/53/725718953.db2.gz BEXMFQOEMDXMFO-KYZUINATSA-N -1 1 330.348 -0.405 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)c4n[nH]cc4F)CCC[C@H]23)nc1=O ZINC000992155041 725737427 /nfs/dbraw/zinc/73/74/27/725737427.db2.gz SCURABSSZAVMBU-ZUZCIYMTSA-N -1 1 349.370 -0.102 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000973465715 725756218 /nfs/dbraw/zinc/75/62/18/725756218.db2.gz JTZIIULMPMZASM-GWOFURMSSA-N -1 1 344.375 -0.159 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)c4ccncn4)CCC[C@H]23)nc1=O ZINC000992341852 725782904 /nfs/dbraw/zinc/78/29/04/725782904.db2.gz GFELXYFRRXJSRH-LRDDRELGSA-N -1 1 343.391 -0.175 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)c4cnon4)CCC[C@@H]23)nc1=O ZINC000992344490 725783201 /nfs/dbraw/zinc/78/32/01/725783201.db2.gz IOXBJOZGRCSLRA-QMTHXVAHSA-N -1 1 333.352 -0.582 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)Cc4ncc[nH]4)CCC[C@H]23)nc1=O ZINC000992357387 725785858 /nfs/dbraw/zinc/78/58/58/725785858.db2.gz ZTUYYLRXINPJBA-MEDUHNTESA-N -1 1 345.407 -0.313 20 0 EBADMM CC(=O)N1C[C@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@@]2(C)C1 ZINC000992455381 725799166 /nfs/dbraw/zinc/79/91/66/725799166.db2.gz GAYZLOAXPVELEB-ZUZCIYMTSA-N -1 1 330.348 -0.642 20 0 EBADMM Cn1ccc(C(=O)N[C@]23CCC[C@H]2N(Cc2nc(=O)n(C)[n-]2)CC3)n1 ZINC000992497342 725804040 /nfs/dbraw/zinc/80/40/40/725804040.db2.gz FAAJTIMYVGVJQY-WBMJQRKESA-N -1 1 345.407 -0.231 20 0 EBADMM O=C(N[C@H]1C[C@@H](NC(=O)c2ccco2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000973806443 725804569 /nfs/dbraw/zinc/80/45/69/725804569.db2.gz IITKHVPOXIXKJQ-DTORHVGOSA-N -1 1 342.315 -0.299 20 0 EBADMM Cc1nonc1C(=O)N[C@]12CCC[C@H]1N(Cc1nc(=O)n(C)[n-]1)CC2 ZINC000992527884 725807356 /nfs/dbraw/zinc/80/73/56/725807356.db2.gz FNJUWNVEUKVWHW-BMIGLBTASA-N -1 1 347.379 -0.273 20 0 EBADMM Cc1c(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)cnn1C ZINC000993011250 725850515 /nfs/dbraw/zinc/85/05/15/725850515.db2.gz NHSCUZQXOJXMGG-DGCLKSJQSA-N -1 1 347.423 -0.067 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)n(C)n1 ZINC000993117807 725856189 /nfs/dbraw/zinc/85/61/89/725856189.db2.gz UAQUQOLXACYDQJ-NWDGAFQWSA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2cnsn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993120785 725856549 /nfs/dbraw/zinc/85/65/49/725856549.db2.gz WWDIPGNKGRGAHF-RKDXNWHRSA-N -1 1 337.409 -0.257 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)n(C)n1 ZINC000993117815 725856642 /nfs/dbraw/zinc/85/66/42/725856642.db2.gz UAQUQOLXACYDQJ-RYUDHWBXSA-N -1 1 347.423 -0.067 20 0 EBADMM O=C(C[C@H]1CC(=O)NC1=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974054204 725858266 /nfs/dbraw/zinc/85/82/66/725858266.db2.gz AVFCPDUKEIEMRQ-LPEHRKFASA-N -1 1 346.343 -0.783 20 0 EBADMM C[C@@H]1[C@H](NC(=O)Cc2ccon2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993160018 725858922 /nfs/dbraw/zinc/85/89/22/725858922.db2.gz PWDJOXMTGDOKHY-ZYHUDNBSSA-N -1 1 334.380 -0.192 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cc[nH]c(=O)c2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993216985 725863706 /nfs/dbraw/zinc/86/37/06/725863706.db2.gz QTSSZWBUICEZQJ-PWSUYJOCSA-N -1 1 346.391 -0.008 20 0 EBADMM CCn1ncc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)n1 ZINC000993287326 725869329 /nfs/dbraw/zinc/86/93/29/725869329.db2.gz XKOWCMLJYOLFMH-GHMZBOCLSA-N -1 1 348.411 -0.497 20 0 EBADMM Cc1n[nH]cc1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1C ZINC000993639406 725919745 /nfs/dbraw/zinc/91/97/45/725919745.db2.gz AOEIRIOKOWYEBP-JQWIXIFHSA-N -1 1 333.396 -0.077 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2c[nH]c(=O)n2C)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993697732 725929461 /nfs/dbraw/zinc/92/94/61/725929461.db2.gz FZJAVBZWTRLJCJ-NXEZZACHSA-N -1 1 349.395 -0.670 20 0 EBADMM O=C(N[C@H]1C[C@@H](NC(=O)[C@H]2CC23CC3)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000974324631 725945391 /nfs/dbraw/zinc/94/53/91/725945391.db2.gz MBLXKDSPRFAEJQ-KXUCPTDWSA-N -1 1 342.359 -0.405 20 0 EBADMM Cc1ccnn1CC(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC000993887590 725957924 /nfs/dbraw/zinc/95/79/24/725957924.db2.gz CNXRPSITJZORRK-CHWSQXEVSA-N -1 1 347.423 -0.217 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2CCNC2=O)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000993925797 725963400 /nfs/dbraw/zinc/96/34/00/725963400.db2.gz MFWCCVFYVNXQSN-UTUOFQBUSA-N -1 1 346.387 -0.252 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2CNC(=O)N2)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000993926129 725963419 /nfs/dbraw/zinc/96/34/19/725963419.db2.gz MMRXWIBQOQQYBL-GMTAPVOTSA-N -1 1 347.375 -0.707 20 0 EBADMM C[C@H]1[C@@H](NC(=O)Cc2ncc[nH]2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000994105109 725979408 /nfs/dbraw/zinc/97/94/08/725979408.db2.gz WJMKIFQJFBLQGT-QWRGUYRKSA-N -1 1 333.396 -0.457 20 0 EBADMM CCc1c(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)cnn1C ZINC001051697222 735332791 /nfs/dbraw/zinc/33/27/91/735332791.db2.gz AMSUSIVLJBEJGO-SNVBAGLBSA-N -1 1 349.395 -0.563 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ccn(C)n2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000994431093 726002061 /nfs/dbraw/zinc/00/20/61/726002061.db2.gz OENXHUBEUBRYIG-MNOVXSKESA-N -1 1 333.396 -0.375 20 0 EBADMM Cn1nnnc1CN1C[C@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC000974488644 726031913 /nfs/dbraw/zinc/03/19/13/726031913.db2.gz KBYNHYPQXSSEFB-NSHDSACASA-N -1 1 331.380 -0.049 20 0 EBADMM Cc1ccnc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)n1 ZINC000974500027 726033476 /nfs/dbraw/zinc/03/34/76/726033476.db2.gz NJPBHCFCUFFIBQ-NSHDSACASA-N -1 1 345.407 -0.153 20 0 EBADMM CCn1ccnc1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000974530134 726036193 /nfs/dbraw/zinc/03/61/93/726036193.db2.gz IVEAKRXTWQNQQM-NSHDSACASA-N -1 1 347.423 -0.035 20 0 EBADMM NC(=O)C(=O)N1CC(OC2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC000994799429 726087647 /nfs/dbraw/zinc/08/76/47/726087647.db2.gz CBCDPGDUKBKQHQ-UHFFFAOYSA-N -1 1 348.359 -0.896 20 0 EBADMM C[C@@H]1CCO[C@@H]1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000975069254 726098980 /nfs/dbraw/zinc/09/89/80/726098980.db2.gz ZXUPDJLKKHQGIZ-WZRBSPASSA-N -1 1 337.424 -0.140 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)c3ccnnc3)C(C)(C)C2)nc1=O ZINC000975096642 726099842 /nfs/dbraw/zinc/09/98/42/726099842.db2.gz NKYBZNOYXJPCMD-LLVKDONJSA-N -1 1 331.380 -0.461 20 0 EBADMM O=C(NC[C@@H]1CCCN(C(=O)[C@H]2COC(=O)N2)C1)c1ncccc1[O-] ZINC000975228359 726116605 /nfs/dbraw/zinc/11/66/05/726116605.db2.gz VWDUWTODBQZEOY-WDEREUQCSA-N -1 1 348.359 -0.136 20 0 EBADMM Cc1nnc(CNC[C@@H]2CC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC000995007495 726142018 /nfs/dbraw/zinc/14/20/18/726142018.db2.gz PBTYZEIIOUZBKS-RKDXNWHRSA-N -1 1 349.351 -0.337 20 0 EBADMM Cn1ncnc1CNC[C@H]1CC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000995008809 726142036 /nfs/dbraw/zinc/14/20/36/726142036.db2.gz SKXZKCAJQZGRFP-DTWKUNHWSA-N -1 1 348.367 -0.900 20 0 EBADMM Cc1nonc1CNC[C@H]1CC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000995007807 726142062 /nfs/dbraw/zinc/14/20/62/726142062.db2.gz QBPZOZSGNNXDKC-IUCAKERBSA-N -1 1 349.351 -0.337 20 0 EBADMM O=C(N[C@@H]1CC[C@H](CNCc2ncccn2)C1)c1n[nH]c(=O)[n-]c1=O ZINC000995010383 726142858 /nfs/dbraw/zinc/14/28/58/726142858.db2.gz XHAIYZQOJUNKRC-VHSXEESVSA-N -1 1 345.363 -0.239 20 0 EBADMM O=C(NC[C@H]1CCCN(C(=O)c2ncccc2[O-])C1)[C@H]1CNC(=O)N1 ZINC000975493982 726167865 /nfs/dbraw/zinc/16/78/65/726167865.db2.gz YAHMLKQRZISHQJ-GHMZBOCLSA-N -1 1 347.375 -0.563 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)c1ccc(=O)[nH]n1 ZINC000976122285 726205984 /nfs/dbraw/zinc/20/59/84/726205984.db2.gz QUQRAUNKANJSEM-WOFXILAISA-N -1 1 341.327 -0.217 20 0 EBADMM CC(C)C(=O)N[C@@H]1[C@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@H]21 ZINC000976189807 726218939 /nfs/dbraw/zinc/21/89/39/726218939.db2.gz MUAOFYBDEYBULA-PJXYFTJBSA-N -1 1 334.376 -0.844 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)[C@H]1COCCO1 ZINC000976216478 726228716 /nfs/dbraw/zinc/22/87/16/726228716.db2.gz NDFTTXWRMHHNQK-DNIRFERGSA-N -1 1 333.344 -0.611 20 0 EBADMM CC(C)C(=O)N1CC(N2C[C@@H](NC(=O)c3ncccc3[O-])CC2=O)C1 ZINC000995497592 726259517 /nfs/dbraw/zinc/25/95/17/726259517.db2.gz KLGTVJSSKXGYPP-NSHDSACASA-N -1 1 346.387 -0.015 20 0 EBADMM CC[C@@H]1OCC[C@H]1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051727930 735356324 /nfs/dbraw/zinc/35/63/24/735356324.db2.gz RGINEEVYROPRKA-UTUOFQBUSA-N -1 1 339.396 -0.358 20 0 EBADMM Cc1cc(CC(=O)N[C@@H]2[C@H]3CN(C(=O)c4n[nH]c(C)c4[O-])C[C@H]32)[nH]n1 ZINC000976349027 726271080 /nfs/dbraw/zinc/27/10/80/726271080.db2.gz ROLCZLMPBBOIEO-PJXYFTJBSA-N -1 1 344.375 -0.115 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)[C@H]1CCNC(=O)C1 ZINC000976351286 726272552 /nfs/dbraw/zinc/27/25/52/726272552.db2.gz CXRDHFCMWSWERM-KZWBYHQPSA-N -1 1 344.371 -0.500 20 0 EBADMM CC1(C)CN(C(=O)c2nccnc2N)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995554969 726274504 /nfs/dbraw/zinc/27/45/04/726274504.db2.gz YXNBCOSKDHLKFU-QMMMGPOBSA-N -1 1 332.368 -0.477 20 0 EBADMM CC1(C)CN(C(=O)[C@H]2CCNC(=O)C2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995659440 726284539 /nfs/dbraw/zinc/28/45/39/726284539.db2.gz KTJUGBUKLCYDQY-VHSXEESVSA-N -1 1 336.396 -0.637 20 0 EBADMM CN1CCOC[C@@H]1C(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000976391662 726289192 /nfs/dbraw/zinc/28/91/92/726289192.db2.gz KECIBZGLIIGSRU-CZXHOFHRSA-N -1 1 346.387 -0.696 20 0 EBADMM O=C(CO[C@H]1CCOC1)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976396657 726291702 /nfs/dbraw/zinc/29/17/02/726291702.db2.gz FZVNBBYNUFIJHC-UUIJZJDISA-N -1 1 347.371 -0.221 20 0 EBADMM COC(=O)[C@@H]1C[C@@H]1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976396766 726292069 /nfs/dbraw/zinc/29/20/69/726292069.db2.gz HVMNIJPGZYOZDG-VLLVSFFDSA-N -1 1 345.355 -0.217 20 0 EBADMM Cn1nccc1CC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000995723831 726294564 /nfs/dbraw/zinc/29/45/64/726294564.db2.gz WFXZNICASIVHFT-LLVKDONJSA-N -1 1 333.396 -0.187 20 0 EBADMM CC1(C)CN(C(=O)[C@H]2CCCNC2=O)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995922537 726313938 /nfs/dbraw/zinc/31/39/38/726313938.db2.gz FFILHGJSHNKDBT-UWVGGRQHSA-N -1 1 336.396 -0.637 20 0 EBADMM Cc1cncc(CC(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001051736609 735361457 /nfs/dbraw/zinc/36/14/57/735361457.db2.gz BXKNQJIMHXVHIV-CYBMUJFWSA-N -1 1 346.391 -0.227 20 0 EBADMM Cn1ccc(=O)c(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)c1 ZINC000996043527 726325526 /nfs/dbraw/zinc/32/55/26/726325526.db2.gz VOYVIGBODDNOMK-LBPRGKRZSA-N -1 1 346.391 -0.151 20 0 EBADMM CCn1cc(CC(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)nn1 ZINC000996074206 726329952 /nfs/dbraw/zinc/32/99/52/726329952.db2.gz LVYQUZVZWZWGRV-NSHDSACASA-N -1 1 348.411 -0.309 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncccc1[O-])C2 ZINC000996145066 726338382 /nfs/dbraw/zinc/33/83/82/726338382.db2.gz ASPCEYJUYBMCGB-GCHJQGSQSA-N -1 1 344.371 -0.230 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1cc(F)c[nH]1 ZINC000996214746 726346512 /nfs/dbraw/zinc/34/65/12/726346512.db2.gz NIMXCANXHPJIPQ-UHFFFAOYSA-N -1 1 345.294 -0.861 20 0 EBADMM O=C(C[C@@H]1CCNC1=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000976640205 726369840 /nfs/dbraw/zinc/36/98/40/726369840.db2.gz IXVQDWXMVIQHPV-KZWBYHQPSA-N -1 1 344.371 -0.500 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)c2coc(C)n2)C1 ZINC000996396059 726384736 /nfs/dbraw/zinc/38/47/36/726384736.db2.gz GFSDWVYELUWKSX-UHFFFAOYSA-N -1 1 349.303 -0.553 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)c2ccco2)C1 ZINC000996397134 726385574 /nfs/dbraw/zinc/38/55/74/726385574.db2.gz MRKYXKJAFCZMSL-UHFFFAOYSA-N -1 1 334.288 -0.256 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)CC(C)(F)F)C1 ZINC000996396754 726385605 /nfs/dbraw/zinc/38/56/05/726385605.db2.gz LIDHUJYLFOYSQE-UHFFFAOYSA-N -1 1 346.290 -0.118 20 0 EBADMM Cc1ccoc1C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996403683 726387901 /nfs/dbraw/zinc/38/79/01/726387901.db2.gz AEUYATNAJUATTM-UHFFFAOYSA-N -1 1 342.315 -0.427 20 0 EBADMM O=C(NC1CN(C(=O)c2cc[nH]c2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996404430 726388460 /nfs/dbraw/zinc/38/84/60/726388460.db2.gz FSQYLVXNJMEFHU-UHFFFAOYSA-N -1 1 327.304 -1.000 20 0 EBADMM CC(C)C1(C(=O)N2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)CC1 ZINC000996408415 726388976 /nfs/dbraw/zinc/38/89/76/726388976.db2.gz OZXWCANCFVVNRS-UHFFFAOYSA-N -1 1 344.375 -0.206 20 0 EBADMM CC[C@]1(C)C[C@@H]1C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996410101 726389094 /nfs/dbraw/zinc/38/90/94/726389094.db2.gz YYLQLWBQUMFMHI-QLJPJBMISA-N -1 1 344.375 -0.206 20 0 EBADMM Cc1cc(C(=O)N2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)co1 ZINC000996409059 726389240 /nfs/dbraw/zinc/38/92/40/726389240.db2.gz SVOJCRGKFCSKEP-UHFFFAOYSA-N -1 1 342.315 -0.427 20 0 EBADMM Cc1c[nH]cc1C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996409572 726389473 /nfs/dbraw/zinc/38/94/73/726389473.db2.gz UZPLPHIFHFBQNN-UHFFFAOYSA-N -1 1 341.331 -0.691 20 0 EBADMM O=C(NC1CN(C(=O)c2ccccc2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996409197 726389507 /nfs/dbraw/zinc/38/95/07/726389507.db2.gz UDJCFOMJLHUXFU-UHFFFAOYSA-N -1 1 338.327 -0.328 20 0 EBADMM CCn1cc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)nn1 ZINC000996474541 726402578 /nfs/dbraw/zinc/40/25/78/726402578.db2.gz ZAHHKCJRIRJAAE-JTQLQIEISA-N -1 1 334.384 -0.238 20 0 EBADMM Cn1ccc(CN[C@@H]2CN(C(=O)Cn3c(=O)[n-][nH]c3=O)CC2(C)C)n1 ZINC000996565533 726418632 /nfs/dbraw/zinc/41/86/32/726418632.db2.gz YRZFCKMPTSAQSW-LLVKDONJSA-N -1 1 349.395 -0.550 20 0 EBADMM Cn1ncc(CNC2CCN(C(=O)c3cnc([O-])n(C)c3=O)CC2)n1 ZINC000996875408 726431234 /nfs/dbraw/zinc/43/12/34/726431234.db2.gz UJGXIYXDYJFUJD-UHFFFAOYSA-N -1 1 347.379 -0.991 20 0 EBADMM O=C(c1c[n-]n2c1nccc2=O)N1CCC(NCc2cnon2)CC1 ZINC000997081897 726437527 /nfs/dbraw/zinc/43/75/27/726437527.db2.gz XDEQIJCQIIKRQV-UHFFFAOYSA-N -1 1 343.347 -0.200 20 0 EBADMM CC1(C(=O)NC2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)CCC1 ZINC000997473772 726454788 /nfs/dbraw/zinc/45/47/88/726454788.db2.gz ZJRZXFIIJNMJOI-UHFFFAOYSA-N -1 1 330.348 -0.452 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(NC(=O)c2nccs2)C1 ZINC000998306855 726484211 /nfs/dbraw/zinc/48/42/11/726484211.db2.gz UFNJWUWCIDHPBI-UHFFFAOYSA-N -1 1 349.372 -0.976 20 0 EBADMM CC[C@]1(C)C[C@@H]1C(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000998865500 726499771 /nfs/dbraw/zinc/49/97/71/726499771.db2.gz NGDZNAYPMNYGIX-QLJPJBMISA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(C[C@H]1CCC(=O)NC1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999338440 726514963 /nfs/dbraw/zinc/51/49/63/726514963.db2.gz HCYXTJFCQCKIGA-GHMZBOCLSA-N -1 1 336.396 -0.493 20 0 EBADMM CCn1nnc(C)c1C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999357032 726516138 /nfs/dbraw/zinc/51/61/38/726516138.db2.gz XLGJGZBFOAWEIA-JTQLQIEISA-N -1 1 334.384 -0.175 20 0 EBADMM O=C(c1cc2n(n1)CCO2)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999380230 726517723 /nfs/dbraw/zinc/51/77/23/726517723.db2.gz SSYJVCVIEZQPOG-SECBINFHSA-N -1 1 333.352 -0.506 20 0 EBADMM C[C@@H]1OCCO[C@@H]1C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999396148 726518384 /nfs/dbraw/zinc/51/83/84/726518384.db2.gz JDGZGGDDTUGTOE-UMNHJUIQSA-N -1 1 325.369 -0.605 20 0 EBADMM Cc1cc(=O)c(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cn1C ZINC000999450287 726519948 /nfs/dbraw/zinc/51/99/48/726519948.db2.gz UXNZTVDFCUUETP-LLVKDONJSA-N -1 1 346.391 -0.088 20 0 EBADMM O=C(CN1CCCNC1=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999601490 726524168 /nfs/dbraw/zinc/52/41/68/726524168.db2.gz JILMVZHZRPVQJF-SNVBAGLBSA-N -1 1 337.384 -0.994 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCC[C@@H](NCc2cnns2)C1 ZINC000999623898 726525242 /nfs/dbraw/zinc/52/52/42/726525242.db2.gz ZSKLAROEBXEZDQ-SSDOTTSWSA-N -1 1 337.365 -0.471 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)o1 ZINC000999658395 726526250 /nfs/dbraw/zinc/52/62/50/726526250.db2.gz IMCOZCPMLAOBAL-QMMMGPOBSA-N -1 1 334.336 -0.403 20 0 EBADMM CN(C(=O)Cn1c(=O)[n-][nH]c1=O)C1CC(NCc2cscn2)C1 ZINC000999755352 726531024 /nfs/dbraw/zinc/53/10/24/726531024.db2.gz VWNRNLBFWWJAKT-UHFFFAOYSA-N -1 1 338.393 -0.075 20 0 EBADMM O=C([C@H]1CCCCC(=O)N1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000168891 726557134 /nfs/dbraw/zinc/55/71/34/726557134.db2.gz OHBQXADTEUVDIJ-WDEREUQCSA-N -1 1 336.396 -0.350 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)NC1CN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC001000292800 726563180 /nfs/dbraw/zinc/56/31/80/726563180.db2.gz OMKSTLGRKGGYKE-VXNVDRBHSA-N -1 1 336.348 -0.117 20 0 EBADMM O=C(COC1CCOCC1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000348004 726564705 /nfs/dbraw/zinc/56/47/05/726564705.db2.gz LEOQUOJJEGLTDL-LLVKDONJSA-N -1 1 339.396 -0.214 20 0 EBADMM CCO[C@@H]1C[C@H]1C(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001000481216 726568393 /nfs/dbraw/zinc/56/83/93/726568393.db2.gz JECUGDWHEPTMBM-CHWSQXEVSA-N -1 1 335.408 -0.218 20 0 EBADMM COC(=O)[C@@H]1C[C@H]1C(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001000518053 726569550 /nfs/dbraw/zinc/56/95/50/726569550.db2.gz UTHIRUKCBSDNNU-VXGBXAGGSA-N -1 1 349.391 -0.834 20 0 EBADMM CCc1n[nH]cc1C(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001000892628 726582962 /nfs/dbraw/zinc/58/29/62/726582962.db2.gz DCMBFWYERFGSGZ-UHFFFAOYSA-N -1 1 345.407 -0.044 20 0 EBADMM NC(=O)c1cc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c[nH]1 ZINC001000939555 726584511 /nfs/dbraw/zinc/58/45/11/726584511.db2.gz WDUWQVXOBBBUJG-VIFPVBQESA-N -1 1 333.352 -0.668 20 0 EBADMM O=C([C@@H]1CCc2nncn2C1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000991184 726586296 /nfs/dbraw/zinc/58/62/96/726586296.db2.gz IKCTYHUFDNSAOO-GHMZBOCLSA-N -1 1 346.395 -0.555 20 0 EBADMM C[C@@H](C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1)N1CCOCC1 ZINC001001089169 726591563 /nfs/dbraw/zinc/59/15/63/726591563.db2.gz ZDCCXMVINCMEJD-RYUDHWBXSA-N -1 1 338.412 -0.688 20 0 EBADMM Cc1c[nH]c(C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC001001247350 726598550 /nfs/dbraw/zinc/59/85/50/726598550.db2.gz IGRDGIUVOKSORF-UHFFFAOYSA-N -1 1 331.380 -0.298 20 0 EBADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)[C@H]1CCNC(=O)C1 ZINC001001407603 726604858 /nfs/dbraw/zinc/60/48/58/726604858.db2.gz JKDDNACRODIWNH-NSHDSACASA-N -1 1 332.360 -0.498 20 0 EBADMM CN1CCO[C@@H](C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)C1 ZINC001001536797 726611015 /nfs/dbraw/zinc/61/10/15/726611015.db2.gz KZBXZRPRJKFXBW-CYBMUJFWSA-N -1 1 334.376 -0.694 20 0 EBADMM O=C(Cn1nccn1)N1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncccc1[O-])C2 ZINC001002195052 726635314 /nfs/dbraw/zinc/63/53/14/726635314.db2.gz NNHOWBNWDGPOGA-UTUOFQBUSA-N -1 1 342.359 -0.059 20 0 EBADMM CN(C[C@H]1CCCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1CNC(=O)N1 ZINC001002411589 726640515 /nfs/dbraw/zinc/64/05/15/726640515.db2.gz KQLUDGUKQOOZNR-GHMZBOCLSA-N -1 1 347.375 -0.468 20 0 EBADMM CN(C[C@@H]1CCCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1COC(=O)N1 ZINC001002413353 726640522 /nfs/dbraw/zinc/64/05/22/726640522.db2.gz UMGLYGBUQBBRII-WDEREUQCSA-N -1 1 348.359 -0.041 20 0 EBADMM NC(=O)C(=O)N1CCC[C@H]([C@@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC001003176325 726663272 /nfs/dbraw/zinc/66/32/72/726663272.db2.gz WBBRBZQLFNJALO-NWDGAFQWSA-N -1 1 346.387 -0.027 20 0 EBADMM Cc1nc([C@H](C)N2CCC(NC(=O)c3n[nH]c(=O)[n-]c3=O)CC2)n[nH]1 ZINC001003250865 726666698 /nfs/dbraw/zinc/66/66/98/726666698.db2.gz KXQFMEDVUCCQSN-ZETCQYMHSA-N -1 1 348.367 -0.335 20 0 EBADMM CN1C(=O)CC[C@H]1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001003696372 726686320 /nfs/dbraw/zinc/68/63/20/726686320.db2.gz WESKKXUXTPNVIF-NSHDSACASA-N -1 1 332.360 -0.404 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)Cc3cscn3)CC2)nc1=O ZINC001003741263 726688601 /nfs/dbraw/zinc/68/86/01/726688601.db2.gz DJURFCQSCIHDLC-UHFFFAOYSA-N -1 1 336.421 -0.112 20 0 EBADMM Cn1c(=O)cccc1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001004204159 726703035 /nfs/dbraw/zinc/70/30/35/726703035.db2.gz NFOWOBGSWFFZIE-UHFFFAOYSA-N -1 1 342.355 -0.012 20 0 EBADMM C[C@@H]1CCN(C(=O)Cn2ncnn2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001004767605 726715600 /nfs/dbraw/zinc/71/56/00/726715600.db2.gz LDFHAEAIWRMLLP-GHMZBOCLSA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)c1cn(C)nn1 ZINC001004844332 726717876 /nfs/dbraw/zinc/71/78/76/726717876.db2.gz XIJNBRCAWSVWDS-ONGXEEELSA-N -1 1 334.384 -0.188 20 0 EBADMM CN(C(=O)c1cnc[nH]c1=O)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005558091 726735783 /nfs/dbraw/zinc/73/57/83/726735783.db2.gz FGFWFSRJZFDTIA-UHFFFAOYSA-N -1 1 347.379 -0.659 20 0 EBADMM O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-])[C@@H]1CNC(=O)N1 ZINC001005638035 726737136 /nfs/dbraw/zinc/73/71/36/726737136.db2.gz AHNIBQVPDZFRGT-ZNSHCXBVSA-N -1 1 345.359 -0.670 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@]2(C)CCNC2=O)C1 ZINC001005951626 726749628 /nfs/dbraw/zinc/74/96/28/726749628.db2.gz ONFIHHBBANHYOS-DYZYQPBXSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2COCCO2)C1 ZINC001005954217 726749825 /nfs/dbraw/zinc/74/98/25/726749825.db2.gz XCWSJEABEHASBX-GXFFZTMASA-N -1 1 335.360 -0.221 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)Cn2cnnn2)C1 ZINC001005954541 726749858 /nfs/dbraw/zinc/74/98/58/726749858.db2.gz YTZKEMCLXCSMMS-SECBINFHSA-N -1 1 331.336 -0.949 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CCNC2=O)C1 ZINC001005956549 726750045 /nfs/dbraw/zinc/75/00/45/726750045.db2.gz LLTPQWHEDKBORI-ONGXEEELSA-N -1 1 332.360 -0.500 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@]2(C)CNC(=O)C2)C1 ZINC001005957694 726750115 /nfs/dbraw/zinc/75/01/15/726750115.db2.gz PNOMWMTXZZHTIM-BTDLBPIBSA-N -1 1 346.387 -0.110 20 0 EBADMM Cc1nonc1CC(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001006235315 726759016 /nfs/dbraw/zinc/75/90/16/726759016.db2.gz NAXGBTCJAILBAA-UHFFFAOYSA-N -1 1 349.395 -0.535 20 0 EBADMM C[C@H](NC(=O)[C@H]1CCN(C)C1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006642227 726777768 /nfs/dbraw/zinc/77/77/68/726777768.db2.gz HSFRFYIUZIFPGM-CMPLNLGQSA-N -1 1 346.387 -0.158 20 0 EBADMM C[C@@H](NC(=O)[C@H]1CCC(=O)N1C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006664622 726778872 /nfs/dbraw/zinc/77/88/72/726778872.db2.gz VSEAEOZYZNRCDL-ZYHUDNBSSA-N -1 1 346.387 -0.015 20 0 EBADMM O=C(CN1CCC[C@H](NC(=O)c2ncccc2[O-])C1)N1CCOCC1 ZINC001007192334 726790261 /nfs/dbraw/zinc/79/02/61/726790261.db2.gz XBGVTBXPSNTEBQ-ZDUSSCGKSA-N -1 1 348.403 -0.160 20 0 EBADMM CCn1ncc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001007291985 726791612 /nfs/dbraw/zinc/79/16/12/726791612.db2.gz XBSNXPKJQDUWLR-JTQLQIEISA-N -1 1 334.384 -0.886 20 0 EBADMM COc1cc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)ncn1 ZINC001007352145 726792724 /nfs/dbraw/zinc/79/27/24/726792724.db2.gz YLJLEELBWSOBRO-JTQLQIEISA-N -1 1 347.379 -0.699 20 0 EBADMM CCc1c[nH]c(CC(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001007526460 726796017 /nfs/dbraw/zinc/79/60/17/726796017.db2.gz BJJXQMCGENQNTJ-GFCCVEGCSA-N -1 1 347.423 -0.283 20 0 EBADMM CC(C)n1cc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001009009458 726843215 /nfs/dbraw/zinc/84/32/15/726843215.db2.gz LZRNSGKUBWAAKF-LLVKDONJSA-N -1 1 348.411 -0.325 20 0 EBADMM O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCc2[nH]nnc2C1 ZINC001009187337 726868747 /nfs/dbraw/zinc/86/87/47/726868747.db2.gz LHNMCEPGQHHQLS-ZJUUUORDSA-N -1 1 346.395 -0.486 20 0 EBADMM O=C(N[C@H]1CCCN(Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCc2nn[nH]c2C1 ZINC001009187337 726868750 /nfs/dbraw/zinc/86/87/50/726868750.db2.gz LHNMCEPGQHHQLS-ZJUUUORDSA-N -1 1 346.395 -0.486 20 0 EBADMM CC(C)C(=O)N1C[C@@H]2[C@@H](CNC(=O)c3cc(=O)n4[n-]cnc4n3)[C@@H]2C1 ZINC001009272570 726884359 /nfs/dbraw/zinc/88/43/59/726884359.db2.gz CYKQHLASSVTBDX-RTCCRHLQSA-N -1 1 344.375 -0.492 20 0 EBADMM CCC(=O)N1C[C@@H]2[C@@H](CNC(=O)c3cc(=O)n4[n-]cnc4n3)[C@@H]2C1 ZINC001009276266 726884571 /nfs/dbraw/zinc/88/45/71/726884571.db2.gz ISSIDUGCVLJNFB-OWUUHHOZSA-N -1 1 330.348 -0.738 20 0 EBADMM Cn1nnc(C(=O)NC[C@@H]2[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)n1 ZINC001009388324 726904442 /nfs/dbraw/zinc/90/44/42/726904442.db2.gz ZUQCOYDQLQGDQF-OWUUHHOZSA-N -1 1 343.347 -0.941 20 0 EBADMM C[C@H](NC(=O)c1cn[nH]n1)[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001009677066 726959685 /nfs/dbraw/zinc/95/96/85/726959685.db2.gz FWKMMZPAGYKLJX-CABZTGNLSA-N -1 1 346.347 -0.435 20 0 EBADMM O=C(c1ccc(=O)[nH]n1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001010119317 727032435 /nfs/dbraw/zinc/03/24/35/727032435.db2.gz GUWGGEVHNQWVAX-UHFFFAOYSA-N -1 1 329.316 -0.119 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(C(=O)c2cn[nH]c2)CC1 ZINC001010436984 727094321 /nfs/dbraw/zinc/09/43/21/727094321.db2.gz PVNHDERIVGABMQ-UHFFFAOYSA-N -1 1 348.319 -0.782 20 0 EBADMM COC(=O)[C@@H]1C[C@@H]1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001010678197 727127149 /nfs/dbraw/zinc/12/71/49/727127149.db2.gz SSKRWVGHVHMXCN-WDEREUQCSA-N -1 1 333.344 -0.119 20 0 EBADMM CN1CCO[C@H](C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC001010873711 727160012 /nfs/dbraw/zinc/16/00/12/727160012.db2.gz BBGLTLRTVOOSEM-ZDUSSCGKSA-N -1 1 334.376 -0.598 20 0 EBADMM Cn1ncc(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)c1N ZINC001011033157 727185230 /nfs/dbraw/zinc/18/52/30/727185230.db2.gz XFHROHGELUFCKP-UHFFFAOYSA-N -1 1 330.348 -0.299 20 0 EBADMM O=C(c1ncccc1[O-])N1CCN(C(=O)[C@H]2CCC(=O)NC2)CC1 ZINC001011036686 727186554 /nfs/dbraw/zinc/18/65/54/727186554.db2.gz TWSDEUXQPSCZFF-NSHDSACASA-N -1 1 332.360 -0.402 20 0 EBADMM O=C(Cn1ccccc1=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011037858 727186998 /nfs/dbraw/zinc/18/69/98/727186998.db2.gz QEWJLEAPOLOUGT-UHFFFAOYSA-N -1 1 342.355 -0.067 20 0 EBADMM CC(=O)NCC(=O)N1C[C@H](C)[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001051823983 735415617 /nfs/dbraw/zinc/41/56/17/735415617.db2.gz FGTXFKNUWPJUSS-CMPLNLGQSA-N -1 1 334.376 -0.252 20 0 EBADMM Cc1ccnn1CC(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051849087 735426869 /nfs/dbraw/zinc/42/68/69/735426869.db2.gz DRYJWCQIFNOTHO-LLVKDONJSA-N -1 1 335.368 -0.968 20 0 EBADMM CCc1oncc1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051853220 735429159 /nfs/dbraw/zinc/42/91/59/735429159.db2.gz GQOLPAVZCIILMA-SECBINFHSA-N -1 1 336.352 -0.309 20 0 EBADMM O=C(COc1cccnc1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051869203 735436039 /nfs/dbraw/zinc/43/60/39/735436039.db2.gz KWJZACANUZAZKC-GFCCVEGCSA-N -1 1 348.363 -0.699 20 0 EBADMM CCCC(=O)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H]1C ZINC001011402358 727522355 /nfs/dbraw/zinc/52/23/55/727522355.db2.gz PZJKYTHZXZPTKR-UWVGGRQHSA-N -1 1 332.364 -0.063 20 0 EBADMM CCCC(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H]1C ZINC001011402359 727522495 /nfs/dbraw/zinc/52/24/95/727522495.db2.gz PZJKYTHZXZPTKR-VHSXEESVSA-N -1 1 332.364 -0.063 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2cnnn2C)CCN1C(=O)c1ncccc1[O-] ZINC001011670767 727556374 /nfs/dbraw/zinc/55/63/74/727556374.db2.gz BIMGZGZUPIEUNM-VHSXEESVSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@]1(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)CCCOC1 ZINC001051887903 735446609 /nfs/dbraw/zinc/44/66/09/735446609.db2.gz LBDYDZSILIKDSW-NHYWBVRUSA-N -1 1 339.396 -0.356 20 0 EBADMM C[C@@]1(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)CCOC1 ZINC001051887816 735446635 /nfs/dbraw/zinc/44/66/35/735446635.db2.gz IZBNIKYTLMZTLB-IINYFYTJSA-N -1 1 325.369 -0.746 20 0 EBADMM CC(C)C(=O)N[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)[C@@H]1C ZINC001011896935 727598672 /nfs/dbraw/zinc/59/86/72/727598672.db2.gz BBVZYAMOWBINSN-VXGBXAGGSA-N -1 1 336.392 -0.312 20 0 EBADMM O=C(c1cc2c([nH]1)CCC2)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051898394 735452984 /nfs/dbraw/zinc/45/29/84/735452984.db2.gz VZBRQIBGMJDNPR-LLVKDONJSA-N -1 1 346.391 -0.042 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CCN1C(=O)C(N)=O ZINC001012022044 727624928 /nfs/dbraw/zinc/62/49/28/727624928.db2.gz JQZHYVUXSWZNKC-GMSGAONNSA-N -1 1 333.348 -0.736 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CN1C(=O)CC1CC1 ZINC001012157209 727640660 /nfs/dbraw/zinc/64/06/60/727640660.db2.gz BXMSLCZPLFQNKB-MWLCHTKSSA-N -1 1 344.375 -0.063 20 0 EBADMM O=C(CCc1cnccn1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051893927 735450475 /nfs/dbraw/zinc/45/04/75/735450475.db2.gz WODVUJOWMBOKRZ-GFCCVEGCSA-N -1 1 347.379 -0.750 20 0 EBADMM Cc1ncc(C(=O)N2C[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C[C@@H]2C)[nH]1 ZINC001012393891 727660741 /nfs/dbraw/zinc/66/07/41/727660741.db2.gz YZTZYDKHBLVMKQ-XPUUQOCRSA-N -1 1 347.335 -0.653 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2cnn(C)n2)CN1C(=O)c1ncccc1[O-] ZINC001012505419 727673157 /nfs/dbraw/zinc/67/31/57/727673157.db2.gz DNYVBCDECNKEDY-NXEZZACHSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2nnn(C)n2)CN1C(=O)c1ncccc1[O-] ZINC001012506345 727673169 /nfs/dbraw/zinc/67/31/69/727673169.db2.gz NUKSPDOYBVNLFL-RKDXNWHRSA-N -1 1 331.336 -0.656 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)Cn2ncnn2)CN1C(=O)c1ncccc1[O-] ZINC001012511473 727673989 /nfs/dbraw/zinc/67/39/89/727673989.db2.gz OCMNWTHGRHGYGJ-ZJUUUORDSA-N -1 1 331.336 -0.807 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2cnnn2C)CN1C(=O)c1ncccc1[O-] ZINC001012514387 727674160 /nfs/dbraw/zinc/67/41/60/727674160.db2.gz YDNFBWKNASLVHP-NXEZZACHSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1cnnn1C ZINC001012544858 727679287 /nfs/dbraw/zinc/67/92/87/727679287.db2.gz AZIUZCDIKBKAOD-VHSXEESVSA-N -1 1 330.348 -0.051 20 0 EBADMM Cc1nc[nH]c1CC(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051917310 735460265 /nfs/dbraw/zinc/46/02/65/735460265.db2.gz WLSAOXBIXYOKRX-SNVBAGLBSA-N -1 1 335.368 -0.898 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)Cn1cncn1 ZINC001014084385 727894063 /nfs/dbraw/zinc/89/40/63/727894063.db2.gz QMSRZEZYKGTSLK-GHMZBOCLSA-N -1 1 330.348 -0.202 20 0 EBADMM Cc1cnn(CCC(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001051943554 735477884 /nfs/dbraw/zinc/47/78/84/735477884.db2.gz LWMVKMBEQSDZCS-GFCCVEGCSA-N -1 1 349.395 -0.577 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ccnc4[nH]cnc43)C2)nc1=O ZINC001014588649 727973167 /nfs/dbraw/zinc/97/31/67/727973167.db2.gz BTMDHGIPAHUHJJ-VIFPVBQESA-N -1 1 342.363 -0.616 20 0 EBADMM Cc1cn(C(C)(C)C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001014921107 728032851 /nfs/dbraw/zinc/03/28/51/728032851.db2.gz VAIGGMRKIOLAEZ-GFCCVEGCSA-N -1 1 347.423 -0.261 20 0 EBADMM COc1cncc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC001014935939 728036836 /nfs/dbraw/zinc/03/68/36/728036836.db2.gz KRXUAEBRLLFGMA-NSHDSACASA-N -1 1 346.391 -0.175 20 0 EBADMM Cc1ccncc1CN1CC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001015109993 728080360 /nfs/dbraw/zinc/08/03/60/728080360.db2.gz OUDWPKGYHKEFKA-NSHDSACASA-N -1 1 330.348 -0.009 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@H]3Cc4ccccc4O3)C2)nc1=O ZINC001015162665 728099698 /nfs/dbraw/zinc/09/96/98/728099698.db2.gz BXXIUNUDURLHKX-GXTWGEPZSA-N -1 1 343.387 -0.197 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@H]3Cc4ccccc4O3)C2)nc1=O ZINC001015162673 728099965 /nfs/dbraw/zinc/09/99/65/728099965.db2.gz BXXIUNUDURLHKX-TZMCWYRMSA-N -1 1 343.387 -0.197 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ccn4ccnc4c3)C2)nc1=O ZINC001015247096 728120324 /nfs/dbraw/zinc/12/03/24/728120324.db2.gz LWMBSSDJRKDTDO-GFCCVEGCSA-N -1 1 341.375 -0.240 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cccc4nccn43)C2)nc1=O ZINC001015260795 728124758 /nfs/dbraw/zinc/12/47/58/728124758.db2.gz AUTYICKSQTVNJA-LLVKDONJSA-N -1 1 341.375 -0.240 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cnc4nccn4c3)C2)nc1=O ZINC001015279144 728393674 /nfs/dbraw/zinc/39/36/74/728393674.db2.gz UOCZZQLNMPDAIL-LLVKDONJSA-N -1 1 342.363 -0.845 20 0 EBADMM CCc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cc(=O)[nH]1 ZINC001015306840 728396306 /nfs/dbraw/zinc/39/63/06/728396306.db2.gz LYRZNVRAOCWCIQ-LBPRGKRZSA-N -1 1 346.391 -0.224 20 0 EBADMM CCc1ccc(CC(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nc1 ZINC001015728217 728430484 /nfs/dbraw/zinc/43/04/84/728430484.db2.gz ONZVBJQTAUJCKQ-CQSZACIVSA-N -1 1 344.419 -0.001 20 0 EBADMM COC[C@H](C)N1CC[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001015864840 728436522 /nfs/dbraw/zinc/43/65/22/728436522.db2.gz JJCNEMUKCPVXFZ-QWRGUYRKSA-N -1 1 334.380 -0.703 20 0 EBADMM Cc1n[nH]c(C)c1[C@H](C)C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001015866606 728437006 /nfs/dbraw/zinc/43/70/06/728437006.db2.gz UPHIMELABPWNSH-CABZTGNLSA-N -1 1 347.423 -0.057 20 0 EBADMM CCCn1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001015915290 728438731 /nfs/dbraw/zinc/43/87/31/728438731.db2.gz NHTHFGGYFNMDNR-SNVBAGLBSA-N -1 1 334.384 -0.886 20 0 EBADMM Cc1n[nH]c(C)c1CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001016239941 728445036 /nfs/dbraw/zinc/44/50/36/728445036.db2.gz VZBFPWQPIVAOSX-NSHDSACASA-N -1 1 333.396 -0.618 20 0 EBADMM CN(C(=O)c1ccn[nH]1)C1CC(NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001016272902 728447468 /nfs/dbraw/zinc/44/74/68/728447468.db2.gz YUNITIUFVVMPAE-UHFFFAOYSA-N -1 1 333.308 -0.961 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3noc4c3COCC4)C2)nc1=O ZINC001016301251 728452851 /nfs/dbraw/zinc/45/28/51/728452851.db2.gz WKCVSTKCGTZLSJ-SECBINFHSA-N -1 1 348.363 -0.827 20 0 EBADMM CN(C)c1ccc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nc1 ZINC001016369398 728464403 /nfs/dbraw/zinc/46/44/03/728464403.db2.gz ZVXIZLRGNXMKAU-LLVKDONJSA-N -1 1 345.407 -0.426 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)c1cnn(C)c1 ZINC001016450717 728475569 /nfs/dbraw/zinc/47/55/69/728475569.db2.gz WJQBEXDSYIHVLG-CMPLNLGQSA-N -1 1 333.396 -0.664 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CC[C@H](N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)C1 ZINC001052067253 735529970 /nfs/dbraw/zinc/52/99/70/735529970.db2.gz HBAXBGMVLFLYSO-IHRRRGAJSA-N -1 1 348.451 -0.517 20 0 EBADMM Cc1nnc(CC(=O)N2CCC[C@@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC001017123566 728549220 /nfs/dbraw/zinc/54/92/20/728549220.db2.gz MAPCWEQYARCINX-NSHDSACASA-N -1 1 348.411 -0.150 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)[C@H]2CCCOC2)nc1=O ZINC001017408434 728572383 /nfs/dbraw/zinc/57/23/83/728572383.db2.gz OAFVKYSNCKSSSK-RWMBFGLXSA-N -1 1 335.408 -0.290 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)c2cn[nH]c(=O)c2)nc1=O ZINC001017639411 728598105 /nfs/dbraw/zinc/59/81/05/728598105.db2.gz JSKVZCGGSQPONX-PHIMTYICSA-N -1 1 345.363 -0.907 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)CCn2ccnc2)nc1=O ZINC001017780050 728609962 /nfs/dbraw/zinc/60/99/62/728609962.db2.gz GXRUAOGLXPDLNH-BETUJISGSA-N -1 1 345.407 -0.430 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)nc1=O ZINC001017977802 728627128 /nfs/dbraw/zinc/62/71/28/728627128.db2.gz ILRUCCIDFPLZIM-ITGHMWBKSA-N -1 1 347.419 -0.149 20 0 EBADMM NC(=O)CN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001018038880 728629787 /nfs/dbraw/zinc/62/97/87/728629787.db2.gz SNRVHLGDJGEFJL-PHIMTYICSA-N -1 1 331.376 -0.166 20 0 EBADMM C[C@H](O)C[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[n-]n2c1nccc2=O ZINC001018043875 728630017 /nfs/dbraw/zinc/63/00/17/728630017.db2.gz VPIJWEDPPSXNJZ-SDDRHHMPSA-N -1 1 331.376 -0.308 20 0 EBADMM C[C@H](O)CN1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[n-]n2c1nccc2=O ZINC001018043875 728630018 /nfs/dbraw/zinc/63/00/18/728630018.db2.gz VPIJWEDPPSXNJZ-SDDRHHMPSA-N -1 1 331.376 -0.308 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)Cn1ncnn1 ZINC001018105345 728633892 /nfs/dbraw/zinc/63/38/92/728633892.db2.gz RDUCDJQPHIUFLM-QWRGUYRKSA-N -1 1 345.363 -0.417 20 0 EBADMM CCN1CCN([C@@H]2CCN(C(=O)c3c[n-]n4c3nccc4=O)C2)CC1 ZINC001052093383 735541937 /nfs/dbraw/zinc/54/19/37/735541937.db2.gz MJIHGQQRFBZVLW-CYBMUJFWSA-N -1 1 344.419 -0.125 20 0 EBADMM CC(=O)NC[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC[C@@H]1C ZINC001018603145 728678677 /nfs/dbraw/zinc/67/86/77/728678677.db2.gz MPXNPXPUDJYBAZ-GXSJLCMTSA-N -1 1 332.364 -0.348 20 0 EBADMM CN(C)[C@H](C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1)c1cccnc1 ZINC001019832925 729003885 /nfs/dbraw/zinc/00/38/85/729003885.db2.gz BGXMQQCDXGLJJR-JSGCOSHPSA-N -1 1 345.407 -0.101 20 0 EBADMM NC(=O)c1cccc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001019842631 729007541 /nfs/dbraw/zinc/00/75/41/729007541.db2.gz DSYNOFKATQZEJC-QMMMGPOBSA-N -1 1 331.336 -0.992 20 0 EBADMM CCc1nnc(CN[C@H]2C[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1C ZINC001020353188 729144652 /nfs/dbraw/zinc/14/46/52/729144652.db2.gz SXXNPBZYMOQMBB-ZKCHVHJHSA-N -1 1 348.367 -0.976 20 0 EBADMM Cc1conc1CN[C@H]1C[C@H](NC(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001020460932 729175806 /nfs/dbraw/zinc/17/58/06/729175806.db2.gz WSNFBGYIDAVKCU-MGCOHNPYSA-N -1 1 333.348 -0.167 20 0 EBADMM CCC(=O)N1CC[C@H]2CN(C(=O)c3[nH]c(=O)[n-]c(=O)c3OC)C[C@H]21 ZINC001020869288 729249461 /nfs/dbraw/zinc/24/94/61/729249461.db2.gz LPCBPORQEADRHW-DTWKUNHWSA-N -1 1 336.348 -0.021 20 0 EBADMM Cc1nnc(CN[C@H]2C[C@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)o1 ZINC001020925387 729257229 /nfs/dbraw/zinc/25/72/29/729257229.db2.gz QEWZHZCVOKSJAL-KYZUINATSA-N -1 1 344.335 -0.840 20 0 EBADMM O=C(NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1)c1c[nH]c(=O)cn1 ZINC001021361943 729308048 /nfs/dbraw/zinc/30/80/48/729308048.db2.gz JRULHGHBXFONTF-PBINXNQUSA-N -1 1 345.363 -0.481 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001021383063 729309740 /nfs/dbraw/zinc/30/97/40/729309740.db2.gz QDRZRZVFBUGEHP-ASQTVCIVSA-N -1 1 334.380 -0.757 20 0 EBADMM O=C(NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1)[C@@H]1CCC(=O)N1 ZINC001021441164 729314189 /nfs/dbraw/zinc/31/41/89/729314189.db2.gz CVHCAEZSBMBDST-GYIHNLGQSA-N -1 1 334.380 -0.600 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@@H]2CCN(C(=O)[C@@H]3CCC(=O)N3)[C@@H]2C1 ZINC001022296397 729474800 /nfs/dbraw/zinc/47/48/00/729474800.db2.gz JSSVFHAOGSNKFD-SDDRHHMPSA-N -1 1 344.371 -0.261 20 0 EBADMM O=C(C[C@@H]1CCCS1(=O)=O)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001022494405 729500269 /nfs/dbraw/zinc/50/02/69/729500269.db2.gz KZWBSAYKPLJUHK-AEJSXWLSSA-N -1 1 343.409 -0.786 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)n1cccn1 ZINC001023105499 729605543 /nfs/dbraw/zinc/60/55/43/729605543.db2.gz XCAQSCPBSOAJGR-NQBHXWOUSA-N -1 1 345.359 -0.454 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC001023259150 729650982 /nfs/dbraw/zinc/65/09/82/729650982.db2.gz KGFLMIZDNXOOKC-LBPRGKRZSA-N -1 1 347.423 -0.208 20 0 EBADMM Cn1cnc(C(=O)NC[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001023312731 729662307 /nfs/dbraw/zinc/66/23/07/729662307.db2.gz UGOJGBBYFWKZQR-LLVKDONJSA-N -1 1 333.396 -0.516 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1 ZINC001023334842 729665395 /nfs/dbraw/zinc/66/53/95/729665395.db2.gz NFRNLNYDDYFOJM-LBPRGKRZSA-N -1 1 345.407 -0.151 20 0 EBADMM Cc1ccnc(C(=O)NC[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001023333883 729665418 /nfs/dbraw/zinc/66/54/18/729665418.db2.gz AUBZAHLPAWTPBF-LBPRGKRZSA-N -1 1 345.407 -0.151 20 0 EBADMM Cc1cn(C)nc1C(=O)NC[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001023366135 729672332 /nfs/dbraw/zinc/67/23/32/729672332.db2.gz NSKCXAFZVCTYQF-LBPRGKRZSA-N -1 1 347.423 -0.208 20 0 EBADMM COC1CC(C(=O)NC[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001023435130 729688847 /nfs/dbraw/zinc/68/88/47/729688847.db2.gz BZXJSAGBGXZEPK-HIFPTAJRSA-N -1 1 337.424 -0.138 20 0 EBADMM COC1CC(C(=O)NC[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001023435131 729688886 /nfs/dbraw/zinc/68/88/86/729688886.db2.gz BZXJSAGBGXZEPK-PNESKVBLSA-N -1 1 337.424 -0.138 20 0 EBADMM CCc1nc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)co1 ZINC001023468640 729696230 /nfs/dbraw/zinc/69/62/30/729696230.db2.gz COHSWPZEVQZVFY-BXKDBHETSA-N -1 1 346.343 -0.047 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2cc[n+]([O-])cc2)C[C@H]1O)c1ncccc1[O-] ZINC001023486358 729701822 /nfs/dbraw/zinc/70/18/22/729701822.db2.gz HQCSJCMEGIXTBN-DGCLKSJQSA-N -1 1 344.327 -0.964 20 0 EBADMM Cc1[nH]nc(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)c1C ZINC001023488298 729702099 /nfs/dbraw/zinc/70/20/99/729702099.db2.gz BAPQOZKQOGXXGL-ZYHUDNBSSA-N -1 1 345.359 -0.258 20 0 EBADMM Cc1cc(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)nn1C ZINC001023488536 729702346 /nfs/dbraw/zinc/70/23/46/729702346.db2.gz MMKKDOOKRDGYBD-DGCLKSJQSA-N -1 1 345.359 -0.556 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2cncnc2)C[C@H]1O)c1ncccc1[O-] ZINC001023488613 729702415 /nfs/dbraw/zinc/70/24/15/729702415.db2.gz PIIZLQSLAKWLEL-ZYHUDNBSSA-N -1 1 329.316 -0.808 20 0 EBADMM Cc1c[nH]nc1C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023488732 729702923 /nfs/dbraw/zinc/70/29/23/729702923.db2.gz UGISZKVAVVGNHT-MWLCHTKSSA-N -1 1 331.332 -0.566 20 0 EBADMM Cc1nonc1CC(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023491206 729703596 /nfs/dbraw/zinc/70/35/96/729703596.db2.gz ZAEWCGWMJYOKBX-ZYHUDNBSSA-N -1 1 347.331 -0.977 20 0 EBADMM Cn1cncc1C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023493799 729704654 /nfs/dbraw/zinc/70/46/54/729704654.db2.gz MIEBMEZYTQTCSQ-BXKDBHETSA-N -1 1 331.332 -0.864 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](CNC(=O)c3n[nH]cc3F)C2)nc1=O ZINC001023573227 729729115 /nfs/dbraw/zinc/72/91/15/729729115.db2.gz VQAMHYVMGKYJAN-VIFPVBQESA-N -1 1 337.359 -0.387 20 0 EBADMM C[C@@H](C(N)=O)N1CCC[C@@H](CNC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001023635602 729743743 /nfs/dbraw/zinc/74/37/43/729743743.db2.gz FVFXKHCLHHAOQM-QWRGUYRKSA-N -1 1 346.391 -0.662 20 0 EBADMM Cc1nccc(C(=O)NC[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001023756550 729793787 /nfs/dbraw/zinc/79/37/87/729793787.db2.gz WTUSKYOXMJFCBR-LBPRGKRZSA-N -1 1 345.407 -0.151 20 0 EBADMM C[C@H](C(=O)N1CCC[C@H](CNCc2n[nH]c(=O)[n-]2)C1)S(C)(=O)=O ZINC001024041172 729833330 /nfs/dbraw/zinc/83/33/30/729833330.db2.gz UWUQAIUUJFDWLJ-NXEZZACHSA-N -1 1 345.425 -0.728 20 0 EBADMM Cc1cnc(C(=O)NC[C@@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001024312853 729872801 /nfs/dbraw/zinc/87/28/01/729872801.db2.gz PQCTVUJPPXBQGP-LBPRGKRZSA-N -1 1 345.407 -0.009 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H]2CNC(=O)c2cncnc2)nc1=O ZINC001024406590 729882695 /nfs/dbraw/zinc/88/26/95/729882695.db2.gz YVVSPPKSUPPRID-GFCCVEGCSA-N -1 1 331.380 -0.317 20 0 EBADMM Cn1cnc(C(=O)NC[C@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001024426160 729886666 /nfs/dbraw/zinc/88/66/66/729886666.db2.gz VUBDIMYHHZWZFU-SNVBAGLBSA-N -1 1 334.384 -0.979 20 0 EBADMM Cc1nn[nH]c1C(=O)NC[C@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001024589978 729908312 /nfs/dbraw/zinc/90/83/12/729908312.db2.gz QXZIPDIHJWOUPZ-SNVBAGLBSA-N -1 1 334.384 -0.681 20 0 EBADMM O=C([C@H]1COCCO1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024879993 729938495 /nfs/dbraw/zinc/93/84/95/729938495.db2.gz OCTBUCNYRICBCL-GHMZBOCLSA-N -1 1 325.369 -0.604 20 0 EBADMM CN1C[C@H](C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CC1=O ZINC001024978820 729981543 /nfs/dbraw/zinc/98/15/43/729981543.db2.gz HPBRJNJQZFNYEE-GHMZBOCLSA-N -1 1 336.396 -0.541 20 0 EBADMM Cn1c(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)c[nH]c1=O ZINC001025039809 729989657 /nfs/dbraw/zinc/98/96/57/729989657.db2.gz YUZMYNCSVLIGOW-SECBINFHSA-N -1 1 335.368 -0.266 20 0 EBADMM C[C@@]1(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CCNC1=O ZINC001025082409 729994324 /nfs/dbraw/zinc/99/43/24/729994324.db2.gz GCUOBBQFTVCMCC-MEBBXXQBSA-N -1 1 336.396 -0.493 20 0 EBADMM NC(=O)CC(=O)N1CCCC[C@@H]1[C@@H]1CCCN1Cc1n[nH]c(=O)[n-]1 ZINC001025212883 730015496 /nfs/dbraw/zinc/01/54/96/730015496.db2.gz IQJPCCPQDAKUMO-WDEREUQCSA-N -1 1 336.396 -0.269 20 0 EBADMM C[C@]1(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)CCCOC1 ZINC001025318697 730030280 /nfs/dbraw/zinc/03/02/80/730030280.db2.gz BVHBGEDMTAZYBD-NDGTYSDOSA-N -1 1 349.387 -0.095 20 0 EBADMM Cc1conc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001025657350 730064001 /nfs/dbraw/zinc/06/40/01/730064001.db2.gz CLUJDTKUTANDTB-MWLCHTKSSA-N -1 1 332.316 -0.301 20 0 EBADMM CO[C@H]1CCC[C@H]1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001025822914 730097688 /nfs/dbraw/zinc/09/76/88/730097688.db2.gz LFMMJTNWCMJRTL-JLDUMIBSSA-N -1 1 335.408 -0.530 20 0 EBADMM Cn1nnc(CN[C@@H]2CC[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)n1 ZINC001026249498 730132514 /nfs/dbraw/zinc/13/25/14/730132514.db2.gz XIAJRTINIXXRRB-QJPTWQEYSA-N -1 1 343.391 -0.049 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CC[C@@H](CNCc2ncccn2)C1 ZINC001026942050 730174161 /nfs/dbraw/zinc/17/41/61/730174161.db2.gz ZYWCVIBEVNFOED-VIFPVBQESA-N -1 1 331.336 -0.675 20 0 EBADMM Cc1nnc(CNC[C@H]2CCN(C(=O)c3cnc([O-])n(C)c3=O)C2)[nH]1 ZINC001026986084 730178788 /nfs/dbraw/zinc/17/87/88/730178788.db2.gz HMSCGYZYOVOJID-SNVBAGLBSA-N -1 1 347.379 -0.836 20 0 EBADMM CCc1cc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)[nH]n1 ZINC001027760059 730237522 /nfs/dbraw/zinc/23/75/22/730237522.db2.gz QRGYMSXPTZAIEY-LLVKDONJSA-N -1 1 333.396 -0.212 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)c(C)nn1 ZINC001027907358 730247347 /nfs/dbraw/zinc/24/73/47/730247347.db2.gz WEWFIVWBDCUBNQ-GFCCVEGCSA-N -1 1 345.407 -0.090 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H]2CNC(=O)c2cc3n(n2)CCO3)nc1=O ZINC001027934035 730249093 /nfs/dbraw/zinc/24/90/93/730249093.db2.gz JANCMACDMDTNHB-SNVBAGLBSA-N -1 1 347.379 -0.908 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H]2CNC(=O)c2ccn(C)c(=O)c2)nc1=O ZINC001027977002 730253739 /nfs/dbraw/zinc/25/37/39/730253739.db2.gz LUAYSRYARMREKZ-LBPRGKRZSA-N -1 1 346.391 -0.799 20 0 EBADMM COc1ccc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001028012916 730257577 /nfs/dbraw/zinc/25/75/77/730257577.db2.gz VBWWREOJWULHCV-LBPRGKRZSA-N -1 1 346.391 -0.094 20 0 EBADMM COc1cc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)nn1C ZINC001028015802 730257826 /nfs/dbraw/zinc/25/78/26/730257826.db2.gz KDMHLLTVYATLLA-JTQLQIEISA-N -1 1 349.395 -0.755 20 0 EBADMM O=C(NC[C@H]1CCCN1Cc1n[nH]c(=O)[n-]1)[C@@H]1CCCc2n[nH]nc21 ZINC001028103060 730266501 /nfs/dbraw/zinc/26/65/01/730266501.db2.gz JSHXTHTWGYNJDD-NXEZZACHSA-N -1 1 346.395 -0.171 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H]2CNC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)nc1=O ZINC001028150624 730271348 /nfs/dbraw/zinc/27/13/48/730271348.db2.gz WAAQGMBXJZPIOV-LOWDOPEQSA-N -1 1 335.408 -0.244 20 0 EBADMM CC[C@@H](C(N)=O)N1CCC[C@H]1CNC(=O)c1c[n-]n2c1nccc2=O ZINC001028186838 730272825 /nfs/dbraw/zinc/27/28/25/730272825.db2.gz UIOCOPPDMDXAGQ-JQWIXIFHSA-N -1 1 346.391 -0.519 20 0 EBADMM COc1ccc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)nn1 ZINC001028241220 730277159 /nfs/dbraw/zinc/27/71/59/730277159.db2.gz YYLLJKLZDFLIAV-SNVBAGLBSA-N -1 1 347.379 -0.699 20 0 EBADMM O=C(NC[C@@H]1CCCN1Cc1ccon1)c1cc(=O)n2[n-]cnc2n1 ZINC001028260355 730278714 /nfs/dbraw/zinc/27/87/14/730278714.db2.gz JEJQADQYWDNELJ-NSHDSACASA-N -1 1 343.347 -0.200 20 0 EBADMM O=C(NC[C@H]1CCN(Cc2cc(=O)n3[n-]ccc3n2)C1)c1cn[nH]c1 ZINC001028421709 730291131 /nfs/dbraw/zinc/29/11/31/730291131.db2.gz WTLQWCHOSRSPQB-LLVKDONJSA-N -1 1 341.375 -0.002 20 0 EBADMM COc1cc(C(=O)NC[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)on1 ZINC001028490952 730299409 /nfs/dbraw/zinc/29/94/09/730299409.db2.gz SYMDRAVXXIXSTQ-VIFPVBQESA-N -1 1 336.352 -0.643 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)[C@H]3CCCOCC3)C2)nc1=O ZINC001028509343 730303374 /nfs/dbraw/zinc/30/33/74/730303374.db2.gz LWYNHZREVUZJQT-STQMWFEESA-N -1 1 337.424 -0.137 20 0 EBADMM Cc1nc([C@H](C)N2CC[C@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001028660618 730333518 /nfs/dbraw/zinc/33/35/18/730333518.db2.gz AAXGJZBGLJCXIM-IONNQARKSA-N -1 1 348.367 -0.478 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)c3coc(C(N)=O)c3)C2)nc1=O ZINC001028709654 730343472 /nfs/dbraw/zinc/34/34/72/730343472.db2.gz CPVKQIQRRCDCCV-SECBINFHSA-N -1 1 348.363 -0.948 20 0 EBADMM Cn1nccc1CN1CC[C@@H](CNC(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001028732700 730346123 /nfs/dbraw/zinc/34/61/23/730346123.db2.gz MPDOAHKKENRULK-NSHDSACASA-N -1 1 346.391 -0.529 20 0 EBADMM NC(=O)CN1CC[C@@H](CNC(=O)c2n[n-]c3ccccc3c2=O)C1 ZINC001028841193 730362335 /nfs/dbraw/zinc/36/23/35/730362335.db2.gz TZAILYRMZJEQAG-JTQLQIEISA-N -1 1 329.360 -0.128 20 0 EBADMM CN(C)C(=O)CN1CC[C@H](CNC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001028854024 730363210 /nfs/dbraw/zinc/36/32/10/730363210.db2.gz RFXKAEJCLAOVMO-LLVKDONJSA-N -1 1 346.391 -0.838 20 0 EBADMM O=C(NC[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)[C@H]1CCc2nc[nH]c2C1 ZINC001028917492 730370183 /nfs/dbraw/zinc/37/01/83/730370183.db2.gz LNLUUWGAQGIVCV-QWRGUYRKSA-N -1 1 345.407 -0.023 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)[C@H]3CC34CCOCC4)C2)nc1=O ZINC001029024380 730386052 /nfs/dbraw/zinc/38/60/52/730386052.db2.gz GVNPWLBOOOZTAZ-CHWSQXEVSA-N -1 1 349.435 -0.137 20 0 EBADMM Cc1cc(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)[nH]n1 ZINC001029227439 730393702 /nfs/dbraw/zinc/39/37/02/730393702.db2.gz SAFXTAYXUGTHAQ-PHIMTYICSA-N -1 1 331.380 -0.371 20 0 EBADMM Cc1cc(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)n(C)n1 ZINC001029231291 730393767 /nfs/dbraw/zinc/39/37/67/730393767.db2.gz VXTOLOOLDSAFHS-TXEJJXNPSA-N -1 1 345.407 -0.361 20 0 EBADMM Cn1ccc(CC(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)n1 ZINC001029306566 730398142 /nfs/dbraw/zinc/39/81/42/730398142.db2.gz VPHQQTGFDFIUGQ-BETUJISGSA-N -1 1 345.407 -0.740 20 0 EBADMM C[C@H]1CO[C@@H](C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)C1 ZINC001029399638 730403108 /nfs/dbraw/zinc/40/31/08/730403108.db2.gz UATYDYHGINISMA-FVCCEPFGSA-N -1 1 335.408 -0.291 20 0 EBADMM C[C@@H]1CO[C@@H](C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)C1 ZINC001029399641 730403120 /nfs/dbraw/zinc/40/31/20/730403120.db2.gz UATYDYHGINISMA-WUHRBBMRSA-N -1 1 335.408 -0.291 20 0 EBADMM C[C@H]1COCC[C@@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029463544 730406398 /nfs/dbraw/zinc/40/63/98/730406398.db2.gz XAHREUVBMJHGAS-FQUUOJAGSA-N -1 1 349.435 -0.044 20 0 EBADMM CCc1nc[nH]c1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029569652 730411151 /nfs/dbraw/zinc/41/11/51/730411151.db2.gz ZMGCHGROBLRZFG-PHIMTYICSA-N -1 1 345.407 -0.117 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)CN2CCCC2=O)C1 ZINC001029642899 730479990 /nfs/dbraw/zinc/47/99/90/730479990.db2.gz MAMDIGKNPGQQRT-LLVKDONJSA-N -1 1 336.396 -0.587 20 0 EBADMM C[C@H](C(=O)N1CC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1)n1cncn1 ZINC001029709326 730485770 /nfs/dbraw/zinc/48/57/70/730485770.db2.gz AWVTVACLTLBXQG-MNOVXSKESA-N -1 1 334.384 -0.357 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)c2cc3n(n2)CCO3)C1 ZINC001029755507 730495085 /nfs/dbraw/zinc/49/50/85/730495085.db2.gz OOXGBVHJLWLAGV-JTQLQIEISA-N -1 1 347.379 -0.307 20 0 EBADMM CN(Cc1ccnn1C)C[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001029777777 730500279 /nfs/dbraw/zinc/50/02/79/730500279.db2.gz PYQHDKAHKZTASO-JTQLQIEISA-N -1 1 347.379 -0.390 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)N1CC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001029814848 730506543 /nfs/dbraw/zinc/50/65/43/730506543.db2.gz WTZSCGZKWZAYEW-JTQLQIEISA-N -1 1 347.379 -0.092 20 0 EBADMM COc1c(C(=O)N2CC[C@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)cnn1C ZINC001029898219 730520336 /nfs/dbraw/zinc/52/03/36/730520336.db2.gz YEZXHFRCPJDEQF-SNVBAGLBSA-N -1 1 349.395 -0.154 20 0 EBADMM CC1(C)NC(=O)N(CCN2CC(NC(=O)c3ncccc3[O-])C2)C1=O ZINC001030243669 730558240 /nfs/dbraw/zinc/55/82/40/730558240.db2.gz LLSMBIHXRAUIOW-UHFFFAOYSA-N -1 1 347.375 -0.468 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)Cc3ccc(F)cc3F)C2)nc1=O ZINC001030346932 730571320 /nfs/dbraw/zinc/57/13/20/730571320.db2.gz IGVMQFRLZOKIFE-UHFFFAOYSA-N -1 1 337.330 -0.070 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ccc4c(c3)NC(=O)C4)C2)nc1=O ZINC001030363824 730574243 /nfs/dbraw/zinc/57/42/43/730574243.db2.gz IWEJSWDDKTZLAC-UHFFFAOYSA-N -1 1 342.359 -0.783 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ncnc4ccsc43)C2)nc1=O ZINC001030411572 730582092 /nfs/dbraw/zinc/58/20/92/730582092.db2.gz QQLGWCMFFDVGEP-UHFFFAOYSA-N -1 1 345.388 -0.273 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cnc4ccccc4n3)C2)nc1=O ZINC001030445066 730587134 /nfs/dbraw/zinc/58/71/34/730587134.db2.gz VIJQKHANBDGLPQ-UHFFFAOYSA-N -1 1 339.359 -0.334 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ccc4oc(=O)[nH]c4c3)C2)nc1=O ZINC001030479580 730593666 /nfs/dbraw/zinc/59/36/66/730593666.db2.gz QBGVPYADMPVXIX-UHFFFAOYSA-N -1 1 344.331 -0.431 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ccc4cc[nH]c4n3)C2)nc1=O ZINC001030481244 730593842 /nfs/dbraw/zinc/59/38/42/730593842.db2.gz CEQZONKZIRYWCM-UHFFFAOYSA-N -1 1 327.348 -0.401 20 0 EBADMM Cc1cn2c(n1)C[C@H](C(=O)NC1CN(Cc3nc(=O)n(C)[n-]3)C1)CC2 ZINC001030525418 730599370 /nfs/dbraw/zinc/59/93/70/730599370.db2.gz LDUPOZXWZAQTSV-LLVKDONJSA-N -1 1 345.407 -0.824 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@H]3CCCn4nccc43)C2)nc1=O ZINC001030546989 730603340 /nfs/dbraw/zinc/60/33/40/730603340.db2.gz WKRCJBJHQRKREI-NSHDSACASA-N -1 1 331.380 -0.817 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3coc(C(F)(F)F)n3)C2)nc1=O ZINC001030613236 730613692 /nfs/dbraw/zinc/61/36/92/730613692.db2.gz YFHRHZCSVWXYRS-UHFFFAOYSA-N -1 1 346.269 -0.271 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ccc4[nH]ccc4n3)C2)nc1=O ZINC001030672510 730622430 /nfs/dbraw/zinc/62/24/30/730622430.db2.gz ZZDQPQGQFGPCKO-UHFFFAOYSA-N -1 1 327.348 -0.401 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cnc(Cl)n3C)C2)nc1=O ZINC001030678140 730622779 /nfs/dbraw/zinc/62/27/79/730622779.db2.gz JQTNYTLALLGXSV-UHFFFAOYSA-N -1 1 325.760 -0.891 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)Cc3c(F)cccc3F)C2)nc1=O ZINC001030862132 730650262 /nfs/dbraw/zinc/65/02/62/730650262.db2.gz GIRNOPQKAFMXGS-UHFFFAOYSA-N -1 1 337.330 -0.070 20 0 EBADMM CCc1ccc(CC(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)nc1 ZINC001030981632 730662260 /nfs/dbraw/zinc/66/22/60/730662260.db2.gz ZQZKOODZUAIABY-UHFFFAOYSA-N -1 1 330.392 -0.391 20 0 EBADMM COCc1ncsc1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031071564 730671273 /nfs/dbraw/zinc/67/12/73/730671273.db2.gz DACVNEJQTLEDLL-UHFFFAOYSA-N -1 1 338.393 -0.675 20 0 EBADMM CC(C)[C@H]1C[C@@H](C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)CCO1 ZINC001031205742 730685985 /nfs/dbraw/zinc/68/59/85/730685985.db2.gz RAKNZDNJEZSGSO-WCQYABFASA-N -1 1 337.424 -0.140 20 0 EBADMM Cc1[nH]nc(C(C)C)c1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031224180 730688919 /nfs/dbraw/zinc/68/89/19/730688919.db2.gz DVOUCVYNTMLXCW-UHFFFAOYSA-N -1 1 333.396 -0.122 20 0 EBADMM Cn1ncc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1C(F)F ZINC001031239732 730691334 /nfs/dbraw/zinc/69/13/34/730691334.db2.gz ZJSZLQGKZMIODG-UHFFFAOYSA-N -1 1 341.322 -0.606 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(Cc2cc(C)on2)C1 ZINC001031249720 730693526 /nfs/dbraw/zinc/69/35/26/730693526.db2.gz FLHJMXULQHWMPZ-UHFFFAOYSA-N -1 1 335.320 -0.193 20 0 EBADMM O=C(NC1CN(Cc2cccnc2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001031250167 730693945 /nfs/dbraw/zinc/69/39/45/730693945.db2.gz WICVLJXOTMMDLO-UHFFFAOYSA-N -1 1 325.332 -0.573 20 0 EBADMM O=C(NC1CN(CCC(F)(F)F)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001031251694 730695037 /nfs/dbraw/zinc/69/50/37/730695037.db2.gz VCSNXLCARXWXLO-UHFFFAOYSA-N -1 1 330.270 -0.216 20 0 EBADMM C[C@@H]1CC[C@@H](CN2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)O1 ZINC001031251605 730695203 /nfs/dbraw/zinc/69/52/03/730695203.db2.gz RLKMJHKKVGMBGS-KOLCDFICSA-N -1 1 332.364 -0.601 20 0 EBADMM Cc1cnc(CN2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)s1 ZINC001031251598 730695295 /nfs/dbraw/zinc/69/52/95/730695295.db2.gz RDMIXMAXTHNVIO-UHFFFAOYSA-N -1 1 345.388 -0.203 20 0 EBADMM CCn1ccc(CN2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)n1 ZINC001031254080 730695433 /nfs/dbraw/zinc/69/54/33/730695433.db2.gz WMJNAFBFMUEEPV-UHFFFAOYSA-N -1 1 342.363 -0.752 20 0 EBADMM Cc1ncoc1CN1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001031253480 730695461 /nfs/dbraw/zinc/69/54/61/730695461.db2.gz OSDWVHJCGSBZKT-UHFFFAOYSA-N -1 1 329.320 -0.672 20 0 EBADMM Cc1noc([C@@H](C)N2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)n1 ZINC001031254323 730695603 /nfs/dbraw/zinc/69/56/03/730695603.db2.gz ZMQKPHKJJYATKR-SSDOTTSWSA-N -1 1 344.335 -0.716 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3ccnc4ccnn43)C2)nc1=O ZINC001031724526 730757409 /nfs/dbraw/zinc/75/74/09/730757409.db2.gz NURYGPRAFZJHGR-UHFFFAOYSA-N -1 1 342.363 -0.987 20 0 EBADMM Cn1ncc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1Cl ZINC001031772455 730766509 /nfs/dbraw/zinc/76/65/09/730766509.db2.gz VDMUXIJAJIJDIW-UHFFFAOYSA-N -1 1 339.787 -0.643 20 0 EBADMM CCc1nnc(C)cc1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031779728 730768114 /nfs/dbraw/zinc/76/81/14/730768114.db2.gz AFFHVULIQISKJD-UHFFFAOYSA-N -1 1 345.407 -0.369 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C2)nc1=O ZINC001031858530 730781440 /nfs/dbraw/zinc/78/14/40/730781440.db2.gz BEMLBVQIHJWOGR-MOWSAHLDSA-N -1 1 333.392 -0.920 20 0 EBADMM Cn1nccc1CCN1CC(CNC(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001031895156 730786760 /nfs/dbraw/zinc/78/67/60/730786760.db2.gz PGOJNAUGSHXVSW-UHFFFAOYSA-N -1 1 346.391 -0.876 20 0 EBADMM CCCn1cc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001032017366 730803361 /nfs/dbraw/zinc/80/33/61/730803361.db2.gz PAJBKENCVLNTLZ-UHFFFAOYSA-N -1 1 333.396 -0.423 20 0 EBADMM O=C(NCC1CN(Cc2cc(=O)n3[n-]ccc3n2)C1)c1ncn[nH]1 ZINC001032058220 730808708 /nfs/dbraw/zinc/80/87/08/730808708.db2.gz LPUZWMPRCSOKQE-UHFFFAOYSA-N -1 1 328.336 -0.998 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cnn(CC4CC4)c3)C2)nc1=O ZINC001032107805 730812648 /nfs/dbraw/zinc/81/26/48/730812648.db2.gz XQHJZPATUOXPBY-UHFFFAOYSA-N -1 1 345.407 -0.423 20 0 EBADMM CC[C@H]1C[C@@H](C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)CCO1 ZINC001032110775 730813094 /nfs/dbraw/zinc/81/30/94/730813094.db2.gz PZZGGZGSLXIBLF-STQMWFEESA-N -1 1 337.424 -0.138 20 0 EBADMM CC(C)c1nn(C)cc1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032183490 730823368 /nfs/dbraw/zinc/82/33/68/730823368.db2.gz NTALXLZPVOVPLO-UHFFFAOYSA-N -1 1 347.423 -0.173 20 0 EBADMM CN(C)c1ccnc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001032222042 730831523 /nfs/dbraw/zinc/83/15/23/730831523.db2.gz FIWUOFLGZQFTND-UHFFFAOYSA-N -1 1 345.407 -0.569 20 0 EBADMM C[C@@H](C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1)n1cccc1 ZINC001032355589 730844542 /nfs/dbraw/zinc/84/45/42/730844542.db2.gz GJUNCGKYJDKYDM-AVGNSLFASA-N -1 1 330.392 -0.044 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)c2cn3c(n2)CCC3)nc1=O ZINC001032411714 730855170 /nfs/dbraw/zinc/85/51/70/730855170.db2.gz XNKUJVLRSJJLKA-QWRGUYRKSA-N -1 1 343.391 -0.650 20 0 EBADMM CC(C)n1nccc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032422999 730856671 /nfs/dbraw/zinc/85/66/71/730856671.db2.gz QMNFRFGNYSOFIR-RYUDHWBXSA-N -1 1 345.407 -0.015 20 0 EBADMM COC[C@H](C)N1C[C@@H]2C[C@H]1CN2C(=O)CCc1n[nH]c(=O)[n-]c1=O ZINC001032495693 730875030 /nfs/dbraw/zinc/87/50/30/730875030.db2.gz VRGIWHHJGKBMJQ-DCAQKATOSA-N -1 1 337.380 -0.465 20 0 EBADMM CC[C@@H]1OCC[C@H]1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032531660 730883342 /nfs/dbraw/zinc/88/33/42/730883342.db2.gz CMIGITBZAGQTKG-RVMXOQNASA-N -1 1 335.408 -0.291 20 0 EBADMM CCCc1cc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)[nH]n1 ZINC001032552891 730886879 /nfs/dbraw/zinc/88/68/79/730886879.db2.gz AWPZTRRRDRZFSE-RYUDHWBXSA-N -1 1 345.407 -0.117 20 0 EBADMM Cc1nc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)cs1 ZINC001032584941 730893926 /nfs/dbraw/zinc/89/39/26/730893926.db2.gz PMAIMYRLDFEEPA-UWVGGRQHSA-N -1 1 334.405 -0.028 20 0 EBADMM Cc1cc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)nc(C)n1 ZINC001032682226 730910276 /nfs/dbraw/zinc/91/02/76/730910276.db2.gz DRFOTNKZYLNFBL-RYUDHWBXSA-N -1 1 343.391 -0.386 20 0 EBADMM O=C([C@@H]1CCc2[nH]cnc2C1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[n-]1 ZINC001032720523 730917944 /nfs/dbraw/zinc/91/79/44/730917944.db2.gz WHTHHXPOIMLEDL-VWYCJHECSA-N -1 1 343.391 -0.176 20 0 EBADMM CC(C)(C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[n-]1)c1c[nH]cn1 ZINC001032731574 730920745 /nfs/dbraw/zinc/92/07/45/730920745.db2.gz IHHZXEVOSDVIAN-UWVGGRQHSA-N -1 1 331.380 -0.004 20 0 EBADMM Cc1nc[nH]c1CC(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032753643 730923559 /nfs/dbraw/zinc/92/35/59/730923559.db2.gz GOJGSBNTEQLMOD-QWRGUYRKSA-N -1 1 331.380 -0.832 20 0 EBADMM Cc1nc([C@@H](C)N2C[C@@H]3C[C@H]2CN3C(=O)Cn2c(=O)[n-][nH]c2=O)n[nH]1 ZINC001032755065 730923940 /nfs/dbraw/zinc/92/39/40/730923940.db2.gz BFUBVNHVSSEDOX-JEZHCXPESA-N -1 1 348.367 -0.838 20 0 EBADMM CCc1nc[nH]c1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032756493 730924282 /nfs/dbraw/zinc/92/42/82/730924282.db2.gz AUNQJXILRAXVGA-UWVGGRQHSA-N -1 1 331.380 -0.507 20 0 EBADMM C[C@H](C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1)c1cnn(C)c1 ZINC001032823940 730938983 /nfs/dbraw/zinc/93/89/83/730938983.db2.gz HMLVDGTZYHZSSJ-DRZSPHRISA-N -1 1 345.407 -0.569 20 0 EBADMM CN(C(=O)c1ccc(F)nc1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032949077 730951311 /nfs/dbraw/zinc/95/13/11/730951311.db2.gz ALSISUOQFMNJLI-LLVKDONJSA-N -1 1 334.355 -0.011 20 0 EBADMM CN(C(=O)c1cncc(F)c1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033000827 730955914 /nfs/dbraw/zinc/95/59/14/730955914.db2.gz FBMHDCOFPKFINP-LBPRGKRZSA-N -1 1 334.355 -0.011 20 0 EBADMM Cc1[nH]nc(C(=O)N(C)[C@@H]2CCN(CCN3C(=O)CCC3=O)C2)c1[O-] ZINC001033016147 730957282 /nfs/dbraw/zinc/95/72/82/730957282.db2.gz QKVDINZWFDFRCN-LLVKDONJSA-N -1 1 349.391 -0.281 20 0 EBADMM CN(C(=O)[C@H]1CCCOCC1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033043749 730961997 /nfs/dbraw/zinc/96/19/97/730961997.db2.gz QKRPOKQSHIYNOA-STQMWFEESA-N -1 1 337.424 -0.042 20 0 EBADMM CN(C(=O)Cc1ncccc1F)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033359850 731014112 /nfs/dbraw/zinc/01/41/12/731014112.db2.gz DENWPAZZFBDZGL-LLVKDONJSA-N -1 1 348.382 -0.082 20 0 EBADMM CN(C(=O)CC1=CCOCC1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033384184 731018371 /nfs/dbraw/zinc/01/83/71/731018371.db2.gz QOTAWBJLDRUXLV-ZDUSSCGKSA-N -1 1 335.408 -0.122 20 0 EBADMM CN(C(=O)c1cccc(=O)n1C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033404445 731019391 /nfs/dbraw/zinc/01/93/91/731019391.db2.gz BFPOGNBAIXZYSG-NSHDSACASA-N -1 1 346.391 -0.846 20 0 EBADMM Cc1cc(=O)[nH]cc1C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033410695 731019977 /nfs/dbraw/zinc/01/99/77/731019977.db2.gz NTRDNTUNODSZEY-NSHDSACASA-N -1 1 346.391 -0.136 20 0 EBADMM CCn1cnc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001033588166 731044160 /nfs/dbraw/zinc/04/41/60/731044160.db2.gz LCPVYXTWWQLFQP-LLVKDONJSA-N -1 1 333.396 -0.329 20 0 EBADMM CCc1cc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC001033707445 731067325 /nfs/dbraw/zinc/06/73/25/731067325.db2.gz LXGCYKJNHJFQOA-LBPRGKRZSA-N -1 1 347.423 -0.249 20 0 EBADMM CCN(C(=O)c1cnsn1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033763670 731071922 /nfs/dbraw/zinc/07/19/22/731071922.db2.gz JYYHSIZILCINJA-SECBINFHSA-N -1 1 337.409 -0.304 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])[C@@H]1CCN(Cc2nc(=O)n(C)[nH]2)C1 ZINC001033803817 731075185 /nfs/dbraw/zinc/07/51/85/731075185.db2.gz LDEQWXBEZKNANQ-LLVKDONJSA-N -1 1 346.391 -0.054 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001034121218 731104568 /nfs/dbraw/zinc/10/45/68/731104568.db2.gz VEYZPISBMHFQCS-LBPRGKRZSA-N -1 1 347.423 -0.065 20 0 EBADMM Cc1ncc(C(=O)N[C@@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)[nH]1 ZINC001034132738 731104625 /nfs/dbraw/zinc/10/46/25/731104625.db2.gz KRHZNUGNFPCDQX-LLVKDONJSA-N -1 1 333.396 -0.076 20 0 EBADMM Cn1[nH]c(CN2CCCC[C@H](NC(=O)c3ncccc3[O-])C2)nc1=O ZINC001034182493 731108797 /nfs/dbraw/zinc/10/87/97/731108797.db2.gz SORHYMCBDKWGLZ-NSHDSACASA-N -1 1 346.391 -0.007 20 0 EBADMM Cc1ccnc(C(=O)N[C@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001034190334 731109220 /nfs/dbraw/zinc/10/92/20/731109220.db2.gz JBXQQZOBKKRXML-LBPRGKRZSA-N -1 1 345.407 -0.009 20 0 EBADMM Cc1nnc(CC(=O)N[C@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)o1 ZINC001034191956 731109226 /nfs/dbraw/zinc/10/92/26/731109226.db2.gz GUGMYZMIIJTQDX-NSHDSACASA-N -1 1 349.395 -0.487 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H](NC(=O)[C@]34C[C@H]3COC4)C2)nc1=O ZINC001034214739 731112137 /nfs/dbraw/zinc/11/21/37/731112137.db2.gz KHLICCJIVQYHBU-MKBNYLNASA-N -1 1 335.408 -0.384 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N[C@@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034267089 731115796 /nfs/dbraw/zinc/11/57/96/731115796.db2.gz CTUFLZVOPWCFGV-SNVBAGLBSA-N -1 1 349.395 -0.370 20 0 EBADMM Cc1nc(CN2CCCC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001034290656 731117805 /nfs/dbraw/zinc/11/78/05/731117805.db2.gz HHOGBWJKMVKQDY-VIFPVBQESA-N -1 1 348.367 -0.506 20 0 EBADMM Cc1nn[nH]c1C(=O)N[C@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034338138 731121614 /nfs/dbraw/zinc/12/16/14/731121614.db2.gz APXBNKXQUBGDNZ-JTQLQIEISA-N -1 1 334.384 -0.681 20 0 EBADMM Cc1ccn(CC(=O)N[C@@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001034403066 731129278 /nfs/dbraw/zinc/12/92/78/731129278.db2.gz ZAZKFRGBRPWSSB-CYBMUJFWSA-N -1 1 347.423 -0.216 20 0 EBADMM O=C(c1cnc2n[nH]nc2c1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034961273 731168462 /nfs/dbraw/zinc/16/84/62/731168462.db2.gz JLMFGEDEAOMJDF-SECBINFHSA-N -1 1 343.351 -0.429 20 0 EBADMM O=C([C@@H]1CCNC(=O)CC1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035000325 731174895 /nfs/dbraw/zinc/17/48/95/731174895.db2.gz LHJDSNDCAZHPAY-WDEREUQCSA-N -1 1 336.396 -0.493 20 0 EBADMM Cn1cccc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)c1=O ZINC001035105652 731186711 /nfs/dbraw/zinc/18/67/11/731186711.db2.gz YOBDMIMLEGLYQE-SNVBAGLBSA-N -1 1 332.364 -0.397 20 0 EBADMM Cn1cc(CCC(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)nn1 ZINC001035143771 731192379 /nfs/dbraw/zinc/19/23/79/731192379.db2.gz KEUQARPUVGPAML-LLVKDONJSA-N -1 1 334.384 -0.648 20 0 EBADMM C[C@@H](C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)N1CCOCC1 ZINC001035145508 731192846 /nfs/dbraw/zinc/19/28/46/731192846.db2.gz UDMJMOCNZVPXPV-NWDGAFQWSA-N -1 1 338.412 -0.688 20 0 EBADMM O=C(CN1CCO[C@@H](CNC(=O)c2ncccc2[O-])C1)N1CCC1 ZINC001035345523 731222808 /nfs/dbraw/zinc/22/28/08/731222808.db2.gz ZXNKPSAMLYBCDC-LBPRGKRZSA-N -1 1 334.376 -0.550 20 0 EBADMM CCc1occc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035353951 731226657 /nfs/dbraw/zinc/22/66/57/731226657.db2.gz GWHZRBSZMJVAFX-NSHDSACASA-N -1 1 349.391 -0.105 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)[C@@H]3CCC3(F)F)C2)nc1=O ZINC001035417449 731248551 /nfs/dbraw/zinc/24/85/51/731248551.db2.gz VFRPUYGYELNVNG-ZJUUUORDSA-N -1 1 345.350 -0.529 20 0 EBADMM O=C(NC[C@H]1CCN1CCN1C(=O)CCC1=O)c1ncccc1[O-] ZINC001038185540 731291631 /nfs/dbraw/zinc/29/16/31/731291631.db2.gz XERKGCKDVOXWSZ-LLVKDONJSA-N -1 1 332.360 -0.260 20 0 EBADMM COc1cc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)sn1 ZINC001038267693 731300803 /nfs/dbraw/zinc/30/08/03/731300803.db2.gz YVEOLIIEVFUBOR-MRVPVSSYSA-N -1 1 338.393 -0.422 20 0 EBADMM CCn1nnc(C)c1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038323425 731307433 /nfs/dbraw/zinc/30/74/33/731307433.db2.gz GZAZOTKFTYQPQQ-SNVBAGLBSA-N -1 1 334.384 -0.967 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cn(C(C)(C)C)nn2)nc1=O ZINC001038327477 731307992 /nfs/dbraw/zinc/30/79/92/731307992.db2.gz KLJKSAXQZPOESO-SNVBAGLBSA-N -1 1 348.411 -0.541 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cnc3n2CCC3)nc1=O ZINC001038361971 731312288 /nfs/dbraw/zinc/31/22/88/731312288.db2.gz ZIIQZKHALNRBCH-SNVBAGLBSA-N -1 1 331.380 -0.745 20 0 EBADMM CCc1nnc(C)cc1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038422098 731322596 /nfs/dbraw/zinc/32/25/96/731322596.db2.gz NUYINVGVWWOBCO-NSHDSACASA-N -1 1 345.407 -0.226 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cnc3ccccn32)nc1=O ZINC001038438773 731325744 /nfs/dbraw/zinc/32/57/44/731325744.db2.gz KEMSDVQXPKWDNJ-NSHDSACASA-N -1 1 341.375 -0.240 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2n[nH]c3c2CCC3)nc1=O ZINC001038441727 731325992 /nfs/dbraw/zinc/32/59/92/731325992.db2.gz DBHHOCMUSARSOE-SECBINFHSA-N -1 1 331.380 -0.676 20 0 EBADMM CCc1nc([C@@H](C)N2CC[C@H]2CNC(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC001038459225 731329316 /nfs/dbraw/zinc/32/93/16/731329316.db2.gz NYYHIVNYTXBQMF-SFYZADRCSA-N -1 1 348.367 -0.471 20 0 EBADMM O=C(NC[C@@H]1CCN1Cc1n[nH]c(C2CC2)n1)c1n[nH]c(=O)[n-]c1=O ZINC001038466323 731330900 /nfs/dbraw/zinc/33/09/00/731330900.db2.gz ROZDCZZBBUGVME-QMMMGPOBSA-N -1 1 346.351 -0.717 20 0 EBADMM Cn1cc(CCN2CC[C@H]2CNC(=O)c2cnc([O-])n(C)c2=O)cn1 ZINC001038583311 731346872 /nfs/dbraw/zinc/34/68/72/731346872.db2.gz LIJBRTLGECHWQB-LBPRGKRZSA-N -1 1 346.391 -0.734 20 0 EBADMM Cn1cc(CCN2CC[C@@H]2CNC(=O)c2cnc([O-])n(C)c2=O)cn1 ZINC001038583309 731347062 /nfs/dbraw/zinc/34/70/62/731347062.db2.gz LIJBRTLGECHWQB-GFCCVEGCSA-N -1 1 346.391 -0.734 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@@H]2CCCc3nn[nH]c32)nc1=O ZINC001038647473 731359275 /nfs/dbraw/zinc/35/92/75/731359275.db2.gz SZZGCQAQBFMARG-NXEZZACHSA-N -1 1 346.395 -0.963 20 0 EBADMM O=C(NC[C@H]1CCN1Cc1n[nH]c(=O)[n-]1)[C@H]1CCc2[nH]cnc2C1 ZINC001038893853 731388657 /nfs/dbraw/zinc/38/86/57/731388657.db2.gz OOMOUFLWEULRCH-VHSXEESVSA-N -1 1 331.380 -0.271 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2ccc3nccn3c2)nc1=O ZINC001038907824 731390164 /nfs/dbraw/zinc/39/01/64/731390164.db2.gz DRHPCBZLYURNPH-GFCCVEGCSA-N -1 1 341.375 -0.240 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCN1Cc1cnn(C)c1 ZINC001038916790 731391882 /nfs/dbraw/zinc/39/18/82/731391882.db2.gz IRTCOQKGONSBGI-JTQLQIEISA-N -1 1 348.363 -0.366 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cncnc2C2CC2)nc1=O ZINC001038976190 731398593 /nfs/dbraw/zinc/39/85/93/731398593.db2.gz IMGNNVIRQDUNDF-NSHDSACASA-N -1 1 343.391 -0.220 20 0 EBADMM NC(=O)CC(=O)N1CCCC[C@H]1[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001039195110 731422223 /nfs/dbraw/zinc/42/22/23/731422223.db2.gz BRRYEMBQMIDFIE-QWRGUYRKSA-N -1 1 336.396 -0.411 20 0 EBADMM NC(=O)CC(=O)N1CCCC[C@@H]1[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001039195111 731422276 /nfs/dbraw/zinc/42/22/76/731422276.db2.gz BRRYEMBQMIDFIE-WDEREUQCSA-N -1 1 336.396 -0.411 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CC[C@@H](C2)N3C(=O)c2ccon2)nc1=O ZINC001039338764 731428096 /nfs/dbraw/zinc/42/80/96/731428096.db2.gz AKNLPNKFHQGNGX-MNOVXSKESA-N -1 1 332.364 -0.025 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CC[C@@H](C2)N3C(=O)Cc2ncc[nH]2)nc1=O ZINC001039455604 731436094 /nfs/dbraw/zinc/43/60/94/731436094.db2.gz HKBKPZJTCATFAH-NEPJUHHUSA-N -1 1 345.407 -0.361 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CC[C@@H](C2)N3C(=O)c2ccncn2)nc1=O ZINC001039453170 731436129 /nfs/dbraw/zinc/43/61/29/731436129.db2.gz LZPSEASGXCVXFO-NEPJUHHUSA-N -1 1 343.391 -0.223 20 0 EBADMM O=C(CCc1nc[nH]n1)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001039541334 731439453 /nfs/dbraw/zinc/43/94/53/731439453.db2.gz PDZZLAVKKXNJQP-PHIMTYICSA-N -1 1 346.395 -0.174 20 0 EBADMM Cn1cnc(C(=O)N2C[C@H]3CCC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)c1 ZINC001039544241 731439910 /nfs/dbraw/zinc/43/99/10/731439910.db2.gz SPCAGGHZAPKSBU-TXEJJXNPSA-N -1 1 345.407 -0.279 20 0 EBADMM CC(C)[C@@H](O)C(=O)NC[C@]1(O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001039627467 731469168 /nfs/dbraw/zinc/46/91/68/731469168.db2.gz RYYHWNREWLJTOL-CZUORRHYSA-N -1 1 337.376 -0.503 20 0 EBADMM O=C(NC[C@]1(O)CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCOC1 ZINC001040790129 731874873 /nfs/dbraw/zinc/87/48/73/731874873.db2.gz IOXSVLCNJADRGS-BDJLRTHQSA-N -1 1 335.360 -0.483 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1)C(F)F ZINC001041086857 731985776 /nfs/dbraw/zinc/98/57/76/731985776.db2.gz GCHWFQNCIQBXLV-UHFFFAOYSA-N -1 1 342.302 -0.372 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(CCN(C(=O)c4cnsn4)C3)C2)nc1=O ZINC001041095597 731988765 /nfs/dbraw/zinc/98/87/65/731988765.db2.gz SWTOODOKBAVMJZ-AWEZNQCLSA-N -1 1 349.420 -0.302 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(CCN(C(=O)[C@H]4CCCOC4)C3)C2)nc1=O ZINC001041135980 732002376 /nfs/dbraw/zinc/00/23/76/732002376.db2.gz ZWTNCZMVJSRKKV-SUMWQHHRSA-N -1 1 349.435 -0.041 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(CCN(C(=O)[C@H]4[C@@H]5COC[C@@H]54)C3)C2)nc1=O ZINC001041573507 732159207 /nfs/dbraw/zinc/15/92/07/732159207.db2.gz MXEZXONRZNLYSB-CXUUEIJPSA-N -1 1 347.419 -0.575 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(CCN(C(=O)c4ccnnc4)C3)C2)nc1=O ZINC001041709540 732203312 /nfs/dbraw/zinc/20/33/12/732203312.db2.gz ZDPURORLOFEYDC-MRXNPFEDSA-N -1 1 343.391 -0.363 20 0 EBADMM CSCC(=O)N1CC[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C1 ZINC001041918696 732254005 /nfs/dbraw/zinc/25/40/05/732254005.db2.gz CAAWKQOSPKZDDU-WDEREUQCSA-N -1 1 325.438 -0.106 20 0 EBADMM CSCC(=O)N1CC[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1 ZINC001041918695 732254130 /nfs/dbraw/zinc/25/41/30/732254130.db2.gz CAAWKQOSPKZDDU-QWRGUYRKSA-N -1 1 325.438 -0.106 20 0 EBADMM COCCCCC(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001041920638 732254758 /nfs/dbraw/zinc/25/47/58/732254758.db2.gz ZWCRTFIIKJWAFG-UHFFFAOYSA-N -1 1 337.376 -0.093 20 0 EBADMM Cn1cc(C(=O)N2CC[C@@H]3CCN(Cc4nc(=O)n(C)[n-]4)[C@@H]3C2)cn1 ZINC001041926878 732256634 /nfs/dbraw/zinc/25/66/34/732256634.db2.gz FWUFSAFNUSZDGM-WCQYABFASA-N -1 1 345.407 -0.422 20 0 EBADMM Cn1nccc1C(=O)N1CC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C1 ZINC001041973766 732265801 /nfs/dbraw/zinc/26/58/01/732265801.db2.gz WLSIIQHDEZHBSI-DGCLKSJQSA-N -1 1 345.407 -0.422 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)CC(C)(C)O)C[C@@H]32)nc1=O ZINC001042035207 732284155 /nfs/dbraw/zinc/28/41/55/732284155.db2.gz OPLUKEFCIZTFKI-NEPJUHHUSA-N -1 1 337.424 -0.308 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)CC4(O)CCC4)C[C@H]32)nc1=O ZINC001042050021 732287486 /nfs/dbraw/zinc/28/74/86/732287486.db2.gz FHNVHHFQECCFBB-CHWSQXEVSA-N -1 1 349.435 -0.164 20 0 EBADMM C[C@](O)(CC(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC001042627767 732503345 /nfs/dbraw/zinc/50/33/45/732503345.db2.gz PZAGPFJLHZXFIM-INIZCTEOSA-N -1 1 349.387 -0.359 20 0 EBADMM O=C(C[C@H]1COC(=O)C1)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042712450 732545513 /nfs/dbraw/zinc/54/55/13/732545513.db2.gz ACJAXGOLVRQRBB-SNVBAGLBSA-N -1 1 349.343 -0.957 20 0 EBADMM CCOCCCC(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042713403 732547309 /nfs/dbraw/zinc/54/73/09/732547309.db2.gz XAKCPYFWDHTSGT-UHFFFAOYSA-N -1 1 337.376 -0.093 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2cccnn2)C1)c1ncccc1[O-] ZINC001042716033 732547656 /nfs/dbraw/zinc/54/76/56/732547656.db2.gz GHRITAQRCUHVQV-UHFFFAOYSA-N -1 1 329.316 -0.806 20 0 EBADMM C[C@@H]1OCCC[C@@H]1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042713485 732547877 /nfs/dbraw/zinc/54/78/77/732547877.db2.gz ZYKVPFJKEMIIJD-RYUDHWBXSA-N -1 1 349.387 -0.095 20 0 EBADMM O=C(CC1(O)CCC1)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042716103 732547948 /nfs/dbraw/zinc/54/79/48/732547948.db2.gz HJFFTEVNMLJSBL-UHFFFAOYSA-N -1 1 335.360 -0.605 20 0 EBADMM Cc1ncc(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)n1C ZINC001042719908 732550736 /nfs/dbraw/zinc/55/07/36/732550736.db2.gz NMMFGXQHSSRLLV-UHFFFAOYSA-N -1 1 345.359 -0.554 20 0 EBADMM Cc1ccn(CC(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)n1 ZINC001042720311 732551028 /nfs/dbraw/zinc/55/10/28/732551028.db2.gz UQIIFQGRLQMZRL-UHFFFAOYSA-N -1 1 345.359 -0.705 20 0 EBADMM Cc1cnn(C)c1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042720498 732551543 /nfs/dbraw/zinc/55/15/43/732551543.db2.gz WZTGAIZTPVDIKT-UHFFFAOYSA-N -1 1 345.359 -0.554 20 0 EBADMM Cc1cc(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)cnn1 ZINC001042778479 732579564 /nfs/dbraw/zinc/57/95/64/732579564.db2.gz GNBVQINMIRIZJK-UHFFFAOYSA-N -1 1 343.343 -0.497 20 0 EBADMM CC(C)n1nccc1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042790177 732587360 /nfs/dbraw/zinc/58/73/60/732587360.db2.gz YMYIYOKXIJWJKC-UHFFFAOYSA-N -1 1 333.396 -0.158 20 0 EBADMM CC(C)[C@H]1OCC[C@@H]1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042881459 732641555 /nfs/dbraw/zinc/64/15/55/732641555.db2.gz JCPCOEZHLMLMJI-GXTWGEPZSA-N -1 1 337.424 -0.188 20 0 EBADMM CN(C(=O)c1ccnc2ccnn21)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042902898 732653569 /nfs/dbraw/zinc/65/35/69/732653569.db2.gz RRXQQVFVOFTCGB-UHFFFAOYSA-N -1 1 342.363 -0.893 20 0 EBADMM CCn1ccc(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)n1 ZINC001043023343 732715657 /nfs/dbraw/zinc/71/56/57/732715657.db2.gz LTEGAHKSIVFVMA-UHFFFAOYSA-N -1 1 345.359 -0.380 20 0 EBADMM CN(C(=O)c1ccc2[nH]ccc2n1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043330026 732873233 /nfs/dbraw/zinc/87/32/33/732873233.db2.gz LPYBIDIDQYSJEL-UHFFFAOYSA-N -1 1 341.375 -0.059 20 0 EBADMM CCc1c(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)nnn1CC ZINC001043855572 733154772 /nfs/dbraw/zinc/15/47/72/733154772.db2.gz GLSCHUTUWGBCBN-UHFFFAOYSA-N -1 1 348.411 -0.761 20 0 EBADMM CCn1nc(C)cc1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043961990 733222164 /nfs/dbraw/zinc/22/21/64/733222164.db2.gz NMUJAGIZKRQBFU-UHFFFAOYSA-N -1 1 333.396 -0.410 20 0 EBADMM CN(C(=O)c1cnc(C2CC2)[n-]c1=O)C1CN(Cc2nnn(C)n2)C1 ZINC001044014201 733246943 /nfs/dbraw/zinc/24/69/43/733246943.db2.gz MZSXFHPGNRQMON-UHFFFAOYSA-N -1 1 344.379 -0.461 20 0 EBADMM CN(C(=O)c1cccn2ccnc12)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044043919 733259907 /nfs/dbraw/zinc/25/99/07/733259907.db2.gz RSXQPDXTCBLCIS-UHFFFAOYSA-N -1 1 341.375 -0.288 20 0 EBADMM COc1nc(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)co1 ZINC001044077277 733278287 /nfs/dbraw/zinc/27/82/87/733278287.db2.gz WSXWSFVJQNOVAZ-UHFFFAOYSA-N -1 1 348.315 -0.599 20 0 EBADMM CN(C(=O)c1cnn(CC2CC2)c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044150877 733304912 /nfs/dbraw/zinc/30/49/12/733304912.db2.gz PFJZVKMSPCMLHC-UHFFFAOYSA-N -1 1 345.407 -0.329 20 0 EBADMM CN(C(=O)[C@H]1CCc2[nH]cnc2C1)C1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001044157060 733306850 /nfs/dbraw/zinc/30/68/50/733306850.db2.gz LOSXXXWIRBIOHM-VIFPVBQESA-N -1 1 331.380 -0.319 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(CCn2cccn2)C1 ZINC001044181310 733320579 /nfs/dbraw/zinc/32/05/79/733320579.db2.gz ZXNAWGXEJGREOP-UHFFFAOYSA-N -1 1 342.363 -0.930 20 0 EBADMM Cc1noc(CN2CC(N(C)C(=O)c3cc(=O)n4[n-]cnc4n3)C2)n1 ZINC001044181857 733321580 /nfs/dbraw/zinc/32/15/80/733321580.db2.gz IONCHTBHNOBHMJ-UHFFFAOYSA-N -1 1 344.335 -0.935 20 0 EBADMM CN(C(=O)c1cnn2cc[nH]c12)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044232689 733331856 /nfs/dbraw/zinc/33/18/56/733331856.db2.gz YMZLZJVBWCSLHO-UHFFFAOYSA-N -1 1 330.352 -0.959 20 0 EBADMM CCOC1CC(CN2CC(N(C)C(=O)Cn3c(=O)[n-][nH]c3=O)C2)C1 ZINC001044237141 733333946 /nfs/dbraw/zinc/33/39/46/733333946.db2.gz WPCFKQKAMBUKFS-UHFFFAOYSA-N -1 1 339.396 -0.353 20 0 EBADMM Cc1nc(CC(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cs1 ZINC001044268418 733347594 /nfs/dbraw/zinc/34/75/94/733347594.db2.gz OZZBDLKXWPXKMU-UHFFFAOYSA-N -1 1 336.421 -0.241 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)[nH]1 ZINC001045204474 733621773 /nfs/dbraw/zinc/62/17/73/733621773.db2.gz OYIONIPJAWZPOF-QMMMGPOBSA-N -1 1 333.352 -0.668 20 0 EBADMM CC(=O)N1CC[C@H](C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)C1 ZINC001045293221 733653861 /nfs/dbraw/zinc/65/38/61/733653861.db2.gz XJWMQDMEPRSENM-RYUDHWBXSA-N -1 1 336.396 -0.541 20 0 EBADMM NC(=O)c1ccnc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)c1 ZINC001045339039 733672093 /nfs/dbraw/zinc/67/20/93/733672093.db2.gz NCBLYSAFDOEXNS-JTQLQIEISA-N -1 1 345.363 -0.601 20 0 EBADMM Cc1c(C(=O)NC2(C)CCN(Cc3nc(=O)n(C)[n-]3)CC2)cnn1C ZINC001045366251 733677529 /nfs/dbraw/zinc/67/75/29/733677529.db2.gz VDCSXNJJDVYXBI-UHFFFAOYSA-N -1 1 347.423 -0.065 20 0 EBADMM Cc1nn(C)cc1C(=O)NC1(C)CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001045391449 733684154 /nfs/dbraw/zinc/68/41/54/733684154.db2.gz QPBXCNUMYWCMTM-UHFFFAOYSA-N -1 1 347.423 -0.065 20 0 EBADMM Cc1nocc1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001045531312 733715127 /nfs/dbraw/zinc/71/51/27/733715127.db2.gz BSYCHFCPEACOFJ-UHFFFAOYSA-N -1 1 332.316 -0.299 20 0 EBADMM Cc1nonc1C(=O)N1CC[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001045900155 733783288 /nfs/dbraw/zinc/78/32/88/733783288.db2.gz FDKRKLKSEOLYKI-MNOVXSKESA-N -1 1 347.379 -0.321 20 0 EBADMM Cc1[nH]nc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC001046199418 733876142 /nfs/dbraw/zinc/87/61/42/733876142.db2.gz GUNUCTOYMPFQPC-HNNXBMFYSA-N -1 1 333.396 -0.157 20 0 EBADMM C[C@@H]1COCC[C@H]1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001046223689 733886114 /nfs/dbraw/zinc/88/61/14/733886114.db2.gz AUBDXNFRIUFTFW-VXGBXAGGSA-N -1 1 349.387 -0.237 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@]2(C)CCN(CCN3C(=O)CCC3=O)C2)c1[O-] ZINC001046238740 733891092 /nfs/dbraw/zinc/89/10/92/733891092.db2.gz HQNBVBPACOUIOC-INIZCTEOSA-N -1 1 349.391 -0.233 20 0 EBADMM C[C@H](C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1)[C@H]1CCCO1 ZINC001046249401 733894540 /nfs/dbraw/zinc/89/45/40/733894540.db2.gz FGBVYTQXFPNNOC-WCQYABFASA-N -1 1 349.387 -0.095 20 0 EBADMM Cc1cc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)c(C)nn1 ZINC001046346537 733914466 /nfs/dbraw/zinc/91/44/66/733914466.db2.gz IPQYQWLNBCZKSY-INIZCTEOSA-N -1 1 345.407 -0.090 20 0 EBADMM COc1nn(C)cc1C(=O)N[C@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001046537892 733958821 /nfs/dbraw/zinc/95/88/21/733958821.db2.gz FHDNUKQRENYFKB-OAHLLOKOSA-N -1 1 349.395 -0.755 20 0 EBADMM Cn1[n-]c(CN2CC[C@](C)(NC(=O)c3cccc(=O)n3C)C2)nc1=O ZINC001046607997 733980013 /nfs/dbraw/zinc/98/00/13/733980013.db2.gz DYRJZFPGTMIWJS-INIZCTEOSA-N -1 1 346.391 -0.799 20 0 EBADMM Cc1cc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)nc(C)n1 ZINC001046695982 734008627 /nfs/dbraw/zinc/00/86/27/734008627.db2.gz SNURYZFNMDDJQV-MRXNPFEDSA-N -1 1 345.407 -0.090 20 0 EBADMM Cc1nn[nH]c1C(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047124173 734096708 /nfs/dbraw/zinc/09/67/08/734096708.db2.gz IUKMLIJYHUTUMU-GUBZILKMSA-N -1 1 332.368 -0.280 20 0 EBADMM O=C(Cn1ccnc1)N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047210979 734111096 /nfs/dbraw/zinc/11/10/96/734111096.db2.gz WEIDLHGWOVCYSM-TUAOUCFPSA-N -1 1 331.380 -0.124 20 0 EBADMM CN(C(=O)CC1CCCC1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047289378 734117136 /nfs/dbraw/zinc/11/71/36/734117136.db2.gz BRBJPIIHEMPGKF-STQMWFEESA-N -1 1 337.424 -0.308 20 0 EBADMM CN(C(=O)c1ccccc1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047287643 734117192 /nfs/dbraw/zinc/11/71/92/734117192.db2.gz YDDKWFYESKVEOT-STQMWFEESA-N -1 1 331.376 -0.574 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(Cc2ccnn2C)C[C@@H]1O ZINC001047358900 734151956 /nfs/dbraw/zinc/15/19/56/734151956.db2.gz LLCGNIYMMJDSKF-JSGCOSHPSA-N -1 1 331.376 -0.162 20 0 EBADMM CCCc1cc(C(=O)N(C)[C@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@@H]2O)n[nH]1 ZINC001047479167 734214953 /nfs/dbraw/zinc/21/49/53/734214953.db2.gz DEZYTVGDIGGZGX-RYUDHWBXSA-N -1 1 349.395 -0.497 20 0 EBADMM CN(C(=O)C1CCC=CCC1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047632992 734292716 /nfs/dbraw/zinc/29/27/16/734292716.db2.gz KEHVDZJCBBUBDX-KBPBESRZSA-N -1 1 349.435 -0.142 20 0 EBADMM Cc1noc(CN[C@@H](C)[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001047897191 734319130 /nfs/dbraw/zinc/31/91/30/734319130.db2.gz ZTMZDQGRKVMXHU-IONNQARKSA-N -1 1 349.351 -0.385 20 0 EBADMM C[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1CNCc1ncccn1 ZINC001048303765 734385795 /nfs/dbraw/zinc/38/57/95/734385795.db2.gz JUZZPDDZSNNQGS-VHSXEESVSA-N -1 1 345.363 -0.429 20 0 EBADMM C[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1CNCc1ccon1 ZINC001048306637 734386956 /nfs/dbraw/zinc/38/69/56/734386956.db2.gz ZMLSJGOPVWMWQE-RKDXNWHRSA-N -1 1 334.336 -0.231 20 0 EBADMM O=C(Cc1c[nH]cn1)NC[C@H]1COCCN1C(=O)c1ncccc1[O-] ZINC001061931072 738833376 /nfs/dbraw/zinc/83/33/76/738833376.db2.gz CQPVGBPHVUASJR-LBPRGKRZSA-N -1 1 345.359 -0.290 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4ccncn4)C[C@@H]3C2)nc1=O ZINC001049120182 734652950 /nfs/dbraw/zinc/65/29/50/734652950.db2.gz KUCIUHZYCJCRAC-PHIMTYICSA-N -1 1 329.364 -0.898 20 0 EBADMM Cn1nccc1C(=O)N1CCC[C@@H]2[C@H]1CCN2Cc1nc(=O)n(C)[n-]1 ZINC001049373673 734716371 /nfs/dbraw/zinc/71/63/71/734716371.db2.gz PJKFYYGLGMOHFN-VXGBXAGGSA-N -1 1 345.407 -0.279 20 0 EBADMM Cn1cnc(C(=O)N2CCC[C@H]3[C@H]2CCN3Cc2nc(=O)n(C)[n-]2)c1 ZINC001049420654 734726216 /nfs/dbraw/zinc/72/62/16/734726216.db2.gz WDYLKMCMFOFMJM-QWHCGFSZSA-N -1 1 345.407 -0.279 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@@H]2CCCN3C(=O)c2ncc[nH]2)nc1=O ZINC001049472437 734738267 /nfs/dbraw/zinc/73/82/67/734738267.db2.gz UGBPDGBZTJJWJO-QWRGUYRKSA-N -1 1 331.380 -0.289 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@H]2CCCN3C(=O)c2csnn2)nc1=O ZINC001049625273 734767709 /nfs/dbraw/zinc/76/77/09/734767709.db2.gz GOXYHDGAIIBJIM-GHMZBOCLSA-N -1 1 349.420 -0.161 20 0 EBADMM Cn1ncc(C(=O)N2CCC[C@@H]3[C@@H]2CCN3Cc2nc(=O)n(C)[n-]2)n1 ZINC001049788388 734789521 /nfs/dbraw/zinc/78/95/21/734789521.db2.gz NLNYBZIGXLQDHK-NEPJUHHUSA-N -1 1 346.395 -0.884 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@@H]2CCCN3C(=O)c2cnon2)nc1=O ZINC001049801651 734791936 /nfs/dbraw/zinc/79/19/36/734791936.db2.gz IOXXNRARBGBVQI-QWRGUYRKSA-N -1 1 333.352 -0.630 20 0 EBADMM CC[C@@H](OC)C(=O)N1CCC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001049993288 734821509 /nfs/dbraw/zinc/82/15/09/734821509.db2.gz FULNLLZEDTYLHX-YNEHKIRRSA-N -1 1 337.424 -0.044 20 0 EBADMM Cc1ccc(C(=O)NC[C@H]2COCCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001050818832 734966680 /nfs/dbraw/zinc/96/66/80/734966680.db2.gz JKZVBGIVAIWXQH-ZDUSSCGKSA-N -1 1 346.391 -0.557 20 0 EBADMM Cc1[nH]nc(C(=O)NC[C@@H]2COCCN2Cc2nc(=O)n(C)[n-]2)c1C ZINC001050853875 734978974 /nfs/dbraw/zinc/97/89/74/734978974.db2.gz MWURMILCOQZCDA-LLVKDONJSA-N -1 1 349.395 -0.921 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)C2CC(F)(F)C2)nc1=O ZINC001050857300 734980143 /nfs/dbraw/zinc/98/01/43/734980143.db2.gz VYCJZZJWJHDFOX-JTQLQIEISA-N -1 1 345.350 -0.529 20 0 EBADMM Cc1ncoc1C(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001050862529 734982037 /nfs/dbraw/zinc/98/20/37/734982037.db2.gz TYTIBRWENUOKTF-JTQLQIEISA-N -1 1 336.352 -0.964 20 0 EBADMM Cc1cc[nH]c1C(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001050906136 734997642 /nfs/dbraw/zinc/99/76/42/734997642.db2.gz ZCFMPMBQRVNREQ-LLVKDONJSA-N -1 1 334.380 -0.624 20 0 EBADMM O=C(NC[C@@H]1COCCN1Cc1n[nH]c(=O)[n-]1)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001050963808 735016431 /nfs/dbraw/zinc/01/64/31/735016431.db2.gz KYGKZBVIXRXSNV-GMTAPVOTSA-N -1 1 347.379 -0.646 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)c2nccs2)nc1=O ZINC001051181876 735090838 /nfs/dbraw/zinc/09/08/38/735090838.db2.gz ZJUCZQAEOIFWMC-SECBINFHSA-N -1 1 338.393 -0.804 20 0 EBADMM O=C(NC[C@H]1COCCN1CCCF)c1cc(=O)n2[n-]cnc2n1 ZINC001051210522 735099883 /nfs/dbraw/zinc/09/98/83/735099883.db2.gz WVZGWEWRQGLZJQ-JTQLQIEISA-N -1 1 338.343 -0.792 20 0 EBADMM Cc1ccc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)n1C ZINC001051425854 735148491 /nfs/dbraw/zinc/14/84/91/735148491.db2.gz GUNWQBXJEOFJRT-NSHDSACASA-N -1 1 334.380 -0.212 20 0 EBADMM O=C(CC1CCOCC1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051498413 735199400 /nfs/dbraw/zinc/19/94/00/735199400.db2.gz UURGZEKIBYTFRI-LBPRGKRZSA-N -1 1 339.396 -0.356 20 0 EBADMM Cc1ccc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)nn1 ZINC001051610918 735263771 /nfs/dbraw/zinc/26/37/71/735263771.db2.gz FRTCEHKGKUDGOQ-SNVBAGLBSA-N -1 1 333.352 -0.760 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNC(=O)CCNC(N)=O ZINC001052420290 735611185 /nfs/dbraw/zinc/61/11/85/735611185.db2.gz CYPJIODEIHSTPL-QWRGUYRKSA-N -1 1 349.391 -0.330 20 0 EBADMM Cc1nc(CN2CCC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)CC2)n[nH]1 ZINC001052528600 735638145 /nfs/dbraw/zinc/63/81/45/735638145.db2.gz NIRDBMOOBKDMLV-SECBINFHSA-N -1 1 348.367 -0.506 20 0 EBADMM Cc1nc(CN2CCC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)CC2)n[nH]1 ZINC001052528608 735638241 /nfs/dbraw/zinc/63/82/41/735638241.db2.gz NIRDBMOOBKDMLV-VIFPVBQESA-N -1 1 348.367 -0.506 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)c3csnn3)CC2)nc1=O ZINC001052597572 735650080 /nfs/dbraw/zinc/65/00/80/735650080.db2.gz BBDFESATWVFTNY-SECBINFHSA-N -1 1 337.409 -0.256 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)c2cccs2)CCO3)nc1=O ZINC001053136028 735757353 /nfs/dbraw/zinc/75/73/53/735757353.db2.gz HPNQNEHYGCQGSE-UHFFFAOYSA-N -1 1 349.416 -0.103 20 0 EBADMM CC1CC(C(=O)N2CCOC3(CN(Cc4nc(=O)n(C)[n-]4)C3)C2)C1 ZINC001053214145 735779060 /nfs/dbraw/zinc/77/90/60/735779060.db2.gz UTXNSNNQGQHTGG-UHFFFAOYSA-N -1 1 335.408 -0.432 20 0 EBADMM O=C(c1ncccc1[O-])N1CCOC2(CN([C@H]3CCCNC3=O)C2)C1 ZINC001053232668 735786174 /nfs/dbraw/zinc/78/61/74/735786174.db2.gz VZSSEIZLVMODCU-LBPRGKRZSA-N -1 1 346.387 -0.407 20 0 EBADMM CC(C)N1CC2(C1)CN(C(=O)c1cc(=O)n3[n-]cnc3n1)CCO2 ZINC001053507101 735908609 /nfs/dbraw/zinc/90/86/09/735908609.db2.gz COUDTCUUPKTJRZ-UHFFFAOYSA-N -1 1 332.364 -0.647 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCOC2(CN(CC3CC3)C2)C1 ZINC001053508540 735909199 /nfs/dbraw/zinc/90/91/99/735909199.db2.gz YHQJSZNDERFNQP-UHFFFAOYSA-N -1 1 344.375 -0.646 20 0 EBADMM CC(C)CN1CC2(C1)CN(C(=O)c1cc(=O)n3[n-]cnc3n1)CCO2 ZINC001053508511 735909275 /nfs/dbraw/zinc/90/92/75/735909275.db2.gz XGSDKSAFCZPRNN-UHFFFAOYSA-N -1 1 346.391 -0.400 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CC[C@H](CNC(=O)C2CCC2)O3)nc1=O ZINC001053559488 735926280 /nfs/dbraw/zinc/92/62/80/735926280.db2.gz OCCXVKSUHBXZAL-GFCCVEGCSA-N -1 1 335.408 -0.242 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NC[C@H]1CCC2(CN(Cc3nc(=O)n(C)[n-]3)C2)O1 ZINC001053644769 735954467 /nfs/dbraw/zinc/95/44/67/735954467.db2.gz LAFKOKCVBAGZGB-TUAOUCFPSA-N -1 1 335.408 -0.386 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)c2ccsc2)CO3)nc1=O ZINC001053732300 735982413 /nfs/dbraw/zinc/98/24/13/735982413.db2.gz QRGMSGDPEKXRAB-LLVKDONJSA-N -1 1 349.416 -0.057 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)c2ccsc2)CO3)nc1=O ZINC001053732301 735982428 /nfs/dbraw/zinc/98/24/28/735982428.db2.gz QRGMSGDPEKXRAB-NSHDSACASA-N -1 1 349.416 -0.057 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)C[C@H]2C=CCC2)CO3)nc1=O ZINC001053733896 735983906 /nfs/dbraw/zinc/98/39/06/735983906.db2.gz GYHALNGDWUOLPJ-QWHCGFSZSA-N -1 1 347.419 -0.076 20 0 EBADMM Cn1ccc(C(=O)N[C@H]2COC3(CN(Cc4nc(=O)n(C)[n-]4)C3)C2)c1 ZINC001053775954 736008909 /nfs/dbraw/zinc/00/89/09/736008909.db2.gz LGHPQDFRZSPTIB-GFCCVEGCSA-N -1 1 346.391 -0.780 20 0 EBADMM Cn1cnnc1CN1CC2(C1)C[C@H](NC(=O)c1ncccc1[O-])CO2 ZINC001053783912 736013610 /nfs/dbraw/zinc/01/36/10/736013610.db2.gz AXUKAVMVCDWNDI-NSHDSACASA-N -1 1 344.375 -0.311 20 0 EBADMM O=C(CN1CC2(C1)C[C@H](NC(=O)c1ncccc1[O-])CO2)NC1CC1 ZINC001053784822 736014551 /nfs/dbraw/zinc/01/45/51/736014551.db2.gz YZLDBFUBJABFDZ-LBPRGKRZSA-N -1 1 346.387 -0.361 20 0 EBADMM CC[C@@H](C(N)=O)N1CC2(C1)C[C@H](NC(=O)c1ncccc1[O-])CO2 ZINC001053784741 736014562 /nfs/dbraw/zinc/01/45/62/736014562.db2.gz VRPGXIKDMGUEMN-QWRGUYRKSA-N -1 1 334.376 -0.376 20 0 EBADMM CC[C@@H](C)CN1CC2(C1)C[C@@H](NC(=O)c1n[nH]c(=O)[n-]c1=O)CO2 ZINC001053859099 736061714 /nfs/dbraw/zinc/06/17/14/736061714.db2.gz ZTJLRFRPKWULBI-NXEZZACHSA-N -1 1 337.380 -0.098 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)N[C@@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053933516 736103408 /nfs/dbraw/zinc/10/34/08/736103408.db2.gz QRDHXHYPELISDC-GRYCIOLGSA-N -1 1 335.408 -0.386 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)[C@H]2CC24CCC4)CO3)nc1=O ZINC001053942729 736106826 /nfs/dbraw/zinc/10/68/26/736106826.db2.gz UAXQZDFOKFRAJP-VXGBXAGGSA-N -1 1 347.419 -0.242 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(C2)CCCN(C(=O)c2cnon2)C3)nc1=O ZINC001054217137 736179130 /nfs/dbraw/zinc/17/91/30/736179130.db2.gz NWKJFYWVAUCGMM-OAHLLOKOSA-N -1 1 347.379 -0.380 20 0 EBADMM C[C@@H](O)CN1Cc2ccc(CNC(=O)c3n[nH]c(=O)[n-]c3=O)cc2C1 ZINC001054273197 736185807 /nfs/dbraw/zinc/18/58/07/736185807.db2.gz ZZNDEIKPJDMSRW-SECBINFHSA-N -1 1 345.359 -0.091 20 0 EBADMM C[C@H]1CN(C(=O)c2cnn3c2CCC3)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054714621 736234669 /nfs/dbraw/zinc/23/46/69/736234669.db2.gz WNPHDKKUSVDAGJ-GXSJLCMTSA-N -1 1 331.380 -0.097 20 0 EBADMM C[C@@H]1CN(C(=O)Cn2cc(C3CC3)nn2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054742387 736237744 /nfs/dbraw/zinc/23/77/44/736237744.db2.gz ZPIUAMXBSDPTNO-KOLCDFICSA-N -1 1 346.395 -0.384 20 0 EBADMM CCn1nnc(C)c1C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001054752858 736240759 /nfs/dbraw/zinc/24/07/59/736240759.db2.gz LMFBOBJAWOKDNW-PSASIEDQSA-N -1 1 334.384 -0.320 20 0 EBADMM C[C@@H]1CN(C(=O)c2cccc(C(N)=O)c2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054812516 736248836 /nfs/dbraw/zinc/24/88/36/736248836.db2.gz KYEATAMZEDTXTF-SKDRFNHKSA-N -1 1 344.375 -0.141 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnn(CCF)c2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054833070 736252566 /nfs/dbraw/zinc/25/25/66/736252566.db2.gz CAHLBXUUYXUWGM-MWLCHTKSSA-N -1 1 337.359 -0.073 20 0 EBADMM Cc1ncc(CN[C@@H]2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@H]2C)o1 ZINC001054858707 736256802 /nfs/dbraw/zinc/25/68/02/736256802.db2.gz AASDTAHSETYHII-GMSGAONNSA-N -1 1 334.336 -0.170 20 0 EBADMM CCn1nncc1CN[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@H]1C ZINC001054862832 736258659 /nfs/dbraw/zinc/25/86/59/736258659.db2.gz LUDAKNKCOYHBMK-WPRPVWTQSA-N -1 1 348.367 -0.856 20 0 EBADMM NC(=O)C(=O)NC[C@H]1CC[C@H](CNC(=O)c2ncccc2[O-])CC1 ZINC001054870854 736259907 /nfs/dbraw/zinc/25/99/07/736259907.db2.gz HQMBMJRHABWCOW-XYPYZODXSA-N -1 1 334.376 -0.075 20 0 EBADMM C[C@H]1CN(C(=O)CCc2nccn2C)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054925874 736269503 /nfs/dbraw/zinc/26/95/03/736269503.db2.gz WGRBFGYVXCTZMO-QWRGUYRKSA-N -1 1 333.396 -0.187 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnc([O-])n(C)c2=O)C[C@@H]1NCc1nccn1C ZINC001054966074 736274210 /nfs/dbraw/zinc/27/42/10/736274210.db2.gz GNUSFSWCYHYBLJ-PWSUYJOCSA-N -1 1 346.391 -0.530 20 0 EBADMM CC(=O)NC1CCN(CCNC(=O)c2c[n-]n3c2nccc3=O)CC1 ZINC001055577325 736390576 /nfs/dbraw/zinc/39/05/76/736390576.db2.gz GDGDKDDTCUJHHL-UHFFFAOYSA-N -1 1 346.391 -0.647 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(c2nccnc2F)CC1 ZINC001055851273 736521020 /nfs/dbraw/zinc/52/10/20/736521020.db2.gz SRHMIXPEFCKINW-UHFFFAOYSA-N -1 1 348.338 -0.795 20 0 EBADMM Cc1cc(N[C@@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)ncn1 ZINC001056665921 736765061 /nfs/dbraw/zinc/76/50/61/736765061.db2.gz VRIIQPYBVZATTM-GFCCVEGCSA-N -1 1 344.375 -0.262 20 0 EBADMM CN(c1nccn2nnnc12)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001056900750 736864927 /nfs/dbraw/zinc/86/49/27/736864927.db2.gz NJHNKXRKJJEFJW-JTQLQIEISA-N -1 1 340.347 -0.029 20 0 EBADMM CCO[C@@H](C)C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057058770 736950643 /nfs/dbraw/zinc/95/06/43/736950643.db2.gz ZRNQBBKQAKBNIR-NSHDSACASA-N -1 1 325.365 -0.237 20 0 EBADMM O=C(NCCN(CCO)C(=O)[C@@H]1CCCOC1)c1ncccc1[O-] ZINC001057182213 737055149 /nfs/dbraw/zinc/05/51/49/737055149.db2.gz YIVGDUPZTUDPSN-GFCCVEGCSA-N -1 1 337.376 -0.236 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccc1[O-])[C@H]1CCCCO1 ZINC001057410332 737230601 /nfs/dbraw/zinc/23/06/01/737230601.db2.gz MLRGRPXJRNESHM-CYBMUJFWSA-N -1 1 337.376 -0.093 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccc1[O-])[C@H]1C[C@@]12CCOC2 ZINC001057412033 737232863 /nfs/dbraw/zinc/23/28/63/737232863.db2.gz ULOYLEJJYHBCQI-SJKOYZFVSA-N -1 1 349.387 -0.236 20 0 EBADMM CO[C@@H](C)C(=O)NCC1(NC(=O)c2cc(=O)n3[n-]cnc3n2)CCC1 ZINC001062644737 738956417 /nfs/dbraw/zinc/95/64/17/738956417.db2.gz BFYUIEOJRHFFQF-VIFPVBQESA-N -1 1 348.363 -0.779 20 0 EBADMM CCc1n[nH]cc1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001058054870 737613490 /nfs/dbraw/zinc/61/34/90/737613490.db2.gz QOKPOYVYGXGPKY-UHFFFAOYSA-N -1 1 347.375 -0.063 20 0 EBADMM Cc1cncn1CC(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001058111081 737653861 /nfs/dbraw/zinc/65/38/61/737653861.db2.gz JTKQFJJVRIKEKI-UHFFFAOYSA-N -1 1 347.375 -0.457 20 0 EBADMM O=C(N[C@@H]1CCN(c2cc(F)ncn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001058405914 737816616 /nfs/dbraw/zinc/81/66/16/737816616.db2.gz FVDYNJKOUWCBCI-MRVPVSSYSA-N -1 1 344.310 -0.645 20 0 EBADMM Cn1cc(C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])[nH]c1=O ZINC001058716378 737965588 /nfs/dbraw/zinc/96/55/88/737965588.db2.gz ZCLPUELRKUWBPL-UHFFFAOYSA-N -1 1 349.347 -0.909 20 0 EBADMM O=C(NCC[C@H]1CCN(C(=O)C2CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001058987346 738095127 /nfs/dbraw/zinc/09/51/27/738095127.db2.gz GJQIVWXQOYBODV-JTQLQIEISA-N -1 1 344.375 -0.204 20 0 EBADMM COCC(=O)N1CC[C@H](CCNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001059021297 738098250 /nfs/dbraw/zinc/09/82/50/738098250.db2.gz WNEQYAIQYODSGI-JTQLQIEISA-N -1 1 348.363 -0.968 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)NCC[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001059209932 738130510 /nfs/dbraw/zinc/13/05/10/738130510.db2.gz XKNFMOBNJLYUIF-SECBINFHSA-N -1 1 349.351 -0.919 20 0 EBADMM Cc1nsc(N[C@H]2C[C@@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)n1 ZINC001059681495 738226474 /nfs/dbraw/zinc/22/64/74/738226474.db2.gz WUGJMRXRWOIVOI-OCAPTIKFSA-N -1 1 346.376 -0.050 20 0 EBADMM CNC(=O)NCC(=O)N1CC[C@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059782177 738245258 /nfs/dbraw/zinc/24/52/58/738245258.db2.gz BANYSQNHQSJAGA-NSHDSACASA-N -1 1 349.391 -0.315 20 0 EBADMM Cc1n[nH]c(C(=O)NCC2(NC(=O)CCc3nc[nH]n3)CCC2)c1[O-] ZINC001062806674 738989862 /nfs/dbraw/zinc/98/98/62/738989862.db2.gz PWYYQANFILOZML-UHFFFAOYSA-N -1 1 347.379 -0.057 20 0 EBADMM O=C(Cn1nccn1)NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001065073767 739510798 /nfs/dbraw/zinc/51/07/98/739510798.db2.gz OLIAIBWKRCBSSV-NSHDSACASA-N -1 1 346.347 -0.964 20 0 EBADMM NC(=O)NCCC(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001066161963 739921591 /nfs/dbraw/zinc/92/15/91/739921591.db2.gz PWPWHKUUQVCFIL-LLVKDONJSA-N -1 1 349.391 -0.044 20 0 EBADMM NC(=O)NCCC(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001066161964 739921621 /nfs/dbraw/zinc/92/16/21/739921621.db2.gz PWPWHKUUQVCFIL-NSHDSACASA-N -1 1 349.391 -0.044 20 0 EBADMM CN(CCCN(C)C(=O)C(F)F)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001066574738 739997614 /nfs/dbraw/zinc/99/76/14/739997614.db2.gz OWTKREJWCHTETI-UHFFFAOYSA-N -1 1 346.334 -0.501 20 0 EBADMM C[C@H]1C[C@H]1C(=O)N(C)CCCN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001066702826 740035187 /nfs/dbraw/zinc/03/51/87/740035187.db2.gz ASPKDGPHLRHASP-WDEREUQCSA-N -1 1 346.391 -0.006 20 0 EBADMM C[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H]1Nc1ncccn1 ZINC001067121314 740098214 /nfs/dbraw/zinc/09/82/14/740098214.db2.gz ZRFFZKFTUBJXQI-RYUDHWBXSA-N -1 1 344.375 -0.324 20 0 EBADMM O=C(NC[C@H]1CN(c2ncc(F)cn2)C[C@H]1O)c1ncccc1[O-] ZINC001067665543 740178409 /nfs/dbraw/zinc/17/84/09/740178409.db2.gz PLNVZMITOMPDQE-JOYOIKCWSA-N -1 1 333.323 -0.057 20 0 EBADMM CNC(=O)CC(=O)N1CC2(C1)C[C@H](NC(=O)c1ncccc1[O-])CO2 ZINC001068825568 740456000 /nfs/dbraw/zinc/45/60/00/740456000.db2.gz RHVGFZPAIFVTND-JTQLQIEISA-N -1 1 348.359 -0.977 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CN(CCCO)C[C@@H]2n2ccnn2)c1[O-] ZINC001069909524 740614196 /nfs/dbraw/zinc/61/41/96/740614196.db2.gz CIENMFCCMIEANT-MNOVXSKESA-N -1 1 335.368 -0.947 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1(CNC(C)=O)CCOCC1 ZINC001070172454 740733103 /nfs/dbraw/zinc/73/31/03/740733103.db2.gz BTKUPFCHQWLJMB-UHFFFAOYSA-N -1 1 340.336 -0.689 20 0 EBADMM CCN1CCOC[C@H]1c1nc(CNC(=O)c2[nH]nc(C)c2[O-])n[nH]1 ZINC001070583376 740877192 /nfs/dbraw/zinc/87/71/92/740877192.db2.gz LDFCLYSCAIJJIK-VIFPVBQESA-N -1 1 335.368 -0.135 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC001071376223 741129680 /nfs/dbraw/zinc/12/96/80/741129680.db2.gz QCCVYNMZKYXMEP-ZYHUDNBSSA-N -1 1 347.423 -0.067 20 0 EBADMM Cc1ncc(C(=O)N[C@@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)[nH]1 ZINC001071392656 741131999 /nfs/dbraw/zinc/13/19/99/741131999.db2.gz ZWOVUWBHUXHSSL-GXSJLCMTSA-N -1 1 333.396 -0.077 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2cn(C)cn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071434856 741135913 /nfs/dbraw/zinc/13/59/13/741135913.db2.gz MWUTYZVTIAZHAN-MNOVXSKESA-N -1 1 333.396 -0.375 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2cnnn2C)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071481272 741144225 /nfs/dbraw/zinc/14/42/25/741144225.db2.gz GOZSNFFNHOSPKC-UWVGGRQHSA-N -1 1 334.384 -0.980 20 0 EBADMM Cc1nnc(CC(=O)N[C@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)o1 ZINC001071491349 741145373 /nfs/dbraw/zinc/14/53/73/741145373.db2.gz ZNKVVKQUJUKZFJ-KOLCDFICSA-N -1 1 349.395 -0.488 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N[C@@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071589489 741173667 /nfs/dbraw/zinc/17/36/67/741173667.db2.gz VNKFVKXZCIPIHH-WCBMZHEXSA-N -1 1 349.395 -0.372 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2csnn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071711966 741199997 /nfs/dbraw/zinc/19/99/97/741199997.db2.gz HCFGDZYXMBEQPI-RKDXNWHRSA-N -1 1 337.409 -0.257 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)C2=NC(=O)N(C)C2)CN1Cc1n[nH]c(=O)[n-]1 ZINC001071802781 741219478 /nfs/dbraw/zinc/21/94/78/741219478.db2.gz BWGBVTBEVJEEEH-IUCAKERBSA-N -1 1 335.368 -0.268 20 0 EBADMM Cc1c[nH]c(C(=O)N[C@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001071881352 741238245 /nfs/dbraw/zinc/23/82/45/741238245.db2.gz YCRSVRNNOKDYAN-MNOVXSKESA-N -1 1 333.396 -0.077 20 0 EBADMM C/C=C(\C)C(=O)N1C[C@H](C)[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001071885952 741238708 /nfs/dbraw/zinc/23/87/08/741238708.db2.gz WFIHXQGZNBRPCD-CLFQDTNISA-N -1 1 348.403 -0.144 20 0 EBADMM CCNC(=O)CC(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001071952812 741263860 /nfs/dbraw/zinc/26/38/60/741263860.db2.gz QZTBEPJEGGBNDI-MNOVXSKESA-N -1 1 334.376 -0.110 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001071952671 741263938 /nfs/dbraw/zinc/26/39/38/741263938.db2.gz PNXZUIBHHINIIV-CMPLNLGQSA-N -1 1 334.376 -0.158 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)CC(=O)N(C)C ZINC001071953258 741264295 /nfs/dbraw/zinc/26/42/95/741264295.db2.gz WZZYHJSKFICZMZ-WDEREUQCSA-N -1 1 334.376 -0.158 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)Cc2cncn2C)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071987728 741276105 /nfs/dbraw/zinc/27/61/05/741276105.db2.gz FNWJGDJHCZBJIS-NEPJUHHUSA-N -1 1 347.423 -0.446 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2ccc(=O)[nH]c2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001072046477 741289272 /nfs/dbraw/zinc/28/92/72/741289272.db2.gz XQGBRTAUDPXJKL-CMPLNLGQSA-N -1 1 346.391 -0.008 20 0 EBADMM C/C=C(/C)C(=O)N[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1C ZINC001072394124 741388749 /nfs/dbraw/zinc/38/87/49/741388749.db2.gz WZESOCPYJSZQRE-ZYFYVMIWSA-N -1 1 348.403 -0.144 20 0 EBADMM C[C@H](C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2)n1cccn1 ZINC001072494782 741416796 /nfs/dbraw/zinc/41/67/96/741416796.db2.gz FNDJZLYFFRMPKQ-GFCCVEGCSA-N -1 1 345.407 -0.400 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)c4cnsn4)C3)C2)nc1=O ZINC001072506075 741419086 /nfs/dbraw/zinc/41/90/86/741419086.db2.gz DALLQZAIPQPLIC-UHFFFAOYSA-N -1 1 335.393 -0.692 20 0 EBADMM CC[C@@H]1CCO[C@@H]1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072825027 741495142 /nfs/dbraw/zinc/49/51/42/741495142.db2.gz QQVFRECHFUKUMV-OCCSQVGLSA-N -1 1 349.435 -0.042 20 0 EBADMM CO[C@@H]1CC[C@@H](C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)C1 ZINC001072867999 741508912 /nfs/dbraw/zinc/50/89/12/741508912.db2.gz WZKFVUFGAPDSQD-CHWSQXEVSA-N -1 1 349.435 -0.042 20 0 EBADMM C[C@H]1COCC[C@@H]1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072959676 741527515 /nfs/dbraw/zinc/52/75/15/741527515.db2.gz DHRZBYNDTYPFCN-STQMWFEESA-N -1 1 349.435 -0.185 20 0 EBADMM C[C@@H](C(N)=O)N1CCC2(CN(C(=O)c3c[n-]n4c3nccc4=O)C2)C1 ZINC001073041170 741546670 /nfs/dbraw/zinc/54/66/70/741546670.db2.gz HXWDZANRIQXHFC-JTQLQIEISA-N -1 1 344.375 -0.956 20 0 EBADMM C[C@H]1OCC[C@@H]1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001073081031 741555187 /nfs/dbraw/zinc/55/51/87/741555187.db2.gz UGBOFHWELJGGLN-NEPJUHHUSA-N -1 1 335.408 -0.432 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)[C@@]4(C)CCOC4)C3)C2)nc1=O ZINC001073082293 741555446 /nfs/dbraw/zinc/55/54/46/741555446.db2.gz OZVQEXJYDIBICX-HNNXBMFYSA-N -1 1 335.408 -0.431 20 0 EBADMM Cc1nonc1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001073487726 741621826 /nfs/dbraw/zinc/62/18/26/741621826.db2.gz GXHFSUUJTAFURW-UHFFFAOYSA-N -1 1 333.352 -0.852 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@H](CNC(=O)c3ccc[nH]3)C2)nc1=O ZINC001073531754 741635547 /nfs/dbraw/zinc/63/55/47/741635547.db2.gz GZXJXORFJWTEKO-LLVKDONJSA-N -1 1 334.380 -0.543 20 0 EBADMM CN1CC[C@@H](N2CCCO[C@H](CNC(=O)c3ncccc3[O-])C2)C1=O ZINC001073583643 741668781 /nfs/dbraw/zinc/66/87/81/741668781.db2.gz BACXPVWOMJPLBF-CHWSQXEVSA-N -1 1 348.403 -0.161 20 0 EBADMM Cc1cc[nH]c1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001073591103 741675082 /nfs/dbraw/zinc/67/50/82/741675082.db2.gz DHIOSECLFNDLFN-LBPRGKRZSA-N -1 1 348.407 -0.234 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@H](CNC(=O)c3cnco3)C2)nc1=O ZINC001073716859 741721057 /nfs/dbraw/zinc/72/10/57/741721057.db2.gz NYLCUNXRVJMPBC-SNVBAGLBSA-N -1 1 336.352 -0.883 20 0 EBADMM CNC(=O)CC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC001073901339 741782489 /nfs/dbraw/zinc/78/24/89/741782489.db2.gz VNUDGFVTTWLLRK-MNOVXSKESA-N -1 1 334.376 -0.110 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCCN1C(=O)c1cn[nH]n1 ZINC001074031713 741813865 /nfs/dbraw/zinc/81/38/65/741813865.db2.gz MSRUOBXVZJHOLZ-SNVBAGLBSA-N -1 1 334.384 -0.647 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H]3CCN(C(=O)C(C)(C)F)C[C@H]32)nc1=O ZINC001074131649 741837733 /nfs/dbraw/zinc/83/77/33/741837733.db2.gz OSCFDTCTTSEDBA-GHMZBOCLSA-N -1 1 341.387 -0.342 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H]3CCN(C(=O)C4CC=CC4)C[C@H]32)nc1=O ZINC001074196601 741858143 /nfs/dbraw/zinc/85/81/43/741858143.db2.gz NVRZKTHYTVNUNR-KGLIPLIRSA-N -1 1 347.419 -0.124 20 0 EBADMM CN(C)C(=O)CN1CCO[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC001074219411 741862866 /nfs/dbraw/zinc/86/28/66/741862866.db2.gz OXJJKKWVEMQKIS-GXTWGEPZSA-N -1 1 348.403 -0.209 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CC[C@@H]2OCCN(CC3CCC3)[C@@H]2C1 ZINC001074285455 741888697 /nfs/dbraw/zinc/88/86/97/741888697.db2.gz BDBDPNZBKCEKNT-NEPJUHHUSA-N -1 1 349.391 -0.002 20 0 EBADMM O=C(NCC1(O)CCN(C(=O)c2ncccc2[O-])CC1)[C@@H]1CCOC1 ZINC001074559159 741996780 /nfs/dbraw/zinc/99/67/80/741996780.db2.gz SEVATJQXWUPLCX-GFCCVEGCSA-N -1 1 349.387 -0.093 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C[C@H]1CCC(=O)NC1 ZINC001074682781 742063619 /nfs/dbraw/zinc/06/36/19/742063619.db2.gz JLAXAQMWWDIDBG-AXFHLTTASA-N -1 1 336.396 -0.494 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C[C@@H]1CCC(=O)NC1 ZINC001074682782 742063628 /nfs/dbraw/zinc/06/36/28/742063628.db2.gz JLAXAQMWWDIDBG-DCAQKATOSA-N -1 1 336.396 -0.494 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@@H]1CCNC(=O)CC1 ZINC001074771891 742103877 /nfs/dbraw/zinc/10/38/77/742103877.db2.gz SNLSHULBXYACRE-DCAQKATOSA-N -1 1 336.396 -0.494 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)CNC(N)=O)CCN1C(=O)c1ncccc1[O-] ZINC001074819878 742115552 /nfs/dbraw/zinc/11/55/52/742115552.db2.gz YULAISAGIQFRNX-ZJUUUORDSA-N -1 1 335.364 -0.435 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@H]1CCCc2nn[nH]c21 ZINC001074837967 742117719 /nfs/dbraw/zinc/11/77/19/742117719.db2.gz SPRZUQBNGOOEOQ-GUBZILKMSA-N -1 1 346.395 -0.173 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)CCNC(N)=O)CCN1C(=O)c1ncccc1[O-] ZINC001074986468 742150893 /nfs/dbraw/zinc/15/08/93/742150893.db2.gz LZFXUOJPJIIUDG-GHMZBOCLSA-N -1 1 349.391 -0.045 20 0 EBADMM C[C@@H](CCNC(=O)C(C)(F)F)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001075338822 742214710 /nfs/dbraw/zinc/21/47/10/742214710.db2.gz NGVFYQOQTDPVCV-VIFPVBQESA-N -1 1 346.334 -0.407 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)C[C@@H]4CCCO4)[C@@H]3C2)nc1=O ZINC001075576257 742256670 /nfs/dbraw/zinc/25/66/70/742256670.db2.gz WCBWHTXVFGVGOK-RWMBFGLXSA-N -1 1 335.408 -0.290 20 0 EBADMM Cc1cc(C(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)cnn1 ZINC001075733637 742283375 /nfs/dbraw/zinc/28/33/75/742283375.db2.gz URGVVAKDZUKYFB-WCQYABFASA-N -1 1 343.391 -0.447 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)[C@H]4CCCOCC4)[C@@H]3C2)nc1=O ZINC001075741107 742285812 /nfs/dbraw/zinc/28/58/12/742285812.db2.gz REIDZNKDDIEPOP-MELADBBJSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)Cc4cncs4)[C@@H]3C2)nc1=O ZINC001075916444 742327848 /nfs/dbraw/zinc/32/78/48/742327848.db2.gz MTRRRWVPMUKJEW-CMPLNLGQSA-N -1 1 348.432 -0.160 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001075919283 742328452 /nfs/dbraw/zinc/32/84/52/742328452.db2.gz COLBSIAXFMKFQK-VHSXEESVSA-N -1 1 347.379 -0.808 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CN(C)C(=O)N1 ZINC001076246387 742419746 /nfs/dbraw/zinc/41/97/46/742419746.db2.gz QKECNCRGPMUNGO-UWVGGRQHSA-N -1 1 335.364 -0.565 20 0 EBADMM O=C(CCc1c[nH]nn1)N1CC[C@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001076261428 742425301 /nfs/dbraw/zinc/42/53/01/742425301.db2.gz JCJAGEDREDJWNR-GXSJLCMTSA-N -1 1 332.368 -0.706 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CN(Cc3n[nH]c(C4CC4)n3)C[C@H]2O)c1[O-] ZINC001076890397 742621457 /nfs/dbraw/zinc/62/14/57/742621457.db2.gz YAVRCOYWYSXKMG-NXEZZACHSA-N -1 1 347.379 -0.606 20 0 EBADMM CC(C)N1CC[C@@H](N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)C1=O ZINC001076917026 742642045 /nfs/dbraw/zinc/64/20/45/742642045.db2.gz NEDVRKYBTOBJBT-YRGRVCCFSA-N -1 1 348.403 -0.429 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)c3cc4occc4[nH]3)C2)nc1=O ZINC001077080543 742721166 /nfs/dbraw/zinc/72/11/66/742721166.db2.gz IESMIRQWEWBEIG-GHMZBOCLSA-N -1 1 346.347 -0.842 20 0 EBADMM CC[C@@H](F)C(=O)N[C@@H](C)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001077402343 742956066 /nfs/dbraw/zinc/95/60/66/742956066.db2.gz KOMHUFJMDXFWPL-WDEREUQCSA-N -1 1 342.371 -0.314 20 0 EBADMM C/C=C(/C)C(=O)N[C@@H](C)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001077402491 742956170 /nfs/dbraw/zinc/95/61/70/742956170.db2.gz QHOVKQQATXVKQL-MCWZUMHDSA-N -1 1 336.392 -0.096 20 0 EBADMM CC[C@@H](C)C(=O)N[C@@H](C)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001077402070 742956501 /nfs/dbraw/zinc/95/65/01/742956501.db2.gz ASOGGHYKYNXPNJ-NEPJUHHUSA-N -1 1 338.408 -0.016 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)C3(C4CC4)CCC3)C2)nc1=O ZINC001077460137 742992052 /nfs/dbraw/zinc/99/20/52/742992052.db2.gz PJOSKJNXVFTUDL-VXGBXAGGSA-N -1 1 335.408 -0.650 20 0 EBADMM C[C@@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)c1cnco1 ZINC001077488629 743015334 /nfs/dbraw/zinc/01/53/34/743015334.db2.gz ZIFDZOIJLRFQJN-QMMMGPOBSA-N -1 1 345.319 -0.656 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)CC3CC(C)(C)C3)C2)nc1=O ZINC001077560270 743076376 /nfs/dbraw/zinc/07/63/76/743076376.db2.gz WKIPMFJEQVKNQY-VXGBXAGGSA-N -1 1 337.424 -0.404 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)[C@@H]3CCC(C)(C)C3)C2)nc1=O ZINC001077626185 743127191 /nfs/dbraw/zinc/12/71/91/743127191.db2.gz GJQZTQZNAPXGPJ-IJLUTSLNSA-N -1 1 337.424 -0.404 20 0 EBADMM CC[C@@H](F)C(=O)NCC[C@H](C)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001077837904 743289941 /nfs/dbraw/zinc/28/99/41/743289941.db2.gz RFQOBXLQBQELFT-DTWKUNHWSA-N -1 1 338.343 -0.210 20 0 EBADMM Cc1cc(C(=O)N2CCCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)on1 ZINC001078331831 743589156 /nfs/dbraw/zinc/58/91/56/743589156.db2.gz BALRYYRODBFYDN-SNVBAGLBSA-N -1 1 336.352 -0.172 20 0 EBADMM Cc1ncncc1C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078347820 743595898 /nfs/dbraw/zinc/59/58/98/743595898.db2.gz OJVOCVGWEMXWCU-NSHDSACASA-N -1 1 347.379 -0.370 20 0 EBADMM Cc1cc(C(=O)N2CCCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)nn1C ZINC001078351272 743599029 /nfs/dbraw/zinc/59/90/29/743599029.db2.gz MYUCHEKMWHRAMZ-NSHDSACASA-N -1 1 349.395 -0.427 20 0 EBADMM O=C(Cc1cccnc1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078380009 743615317 /nfs/dbraw/zinc/61/53/17/743615317.db2.gz YZJXLMQIPWKAQV-CYBMUJFWSA-N -1 1 346.391 -0.145 20 0 EBADMM Cn1cnc(C(=O)N2CCCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001078381503 743616060 /nfs/dbraw/zinc/61/60/60/743616060.db2.gz RMJSLIIBNWZYNZ-JTQLQIEISA-N -1 1 335.368 -0.735 20 0 EBADMM Cn1ncc(CNC[C@H]2CN(C(=O)c3ncccc3[O-])CCCO2)n1 ZINC001078384412 743618465 /nfs/dbraw/zinc/61/84/65/743618465.db2.gz VXZLBQTWDVZCPW-ZDUSSCGKSA-N -1 1 346.391 -0.063 20 0 EBADMM CC(C)[C@@H](O)C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078394895 743628374 /nfs/dbraw/zinc/62/83/74/743628374.db2.gz NJQYGIBCOYGMEV-CMPLNLGQSA-N -1 1 327.385 -0.766 20 0 EBADMM O=C(N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)[C@]12C[C@H]1COC2 ZINC001078404360 743633507 /nfs/dbraw/zinc/63/35/07/743633507.db2.gz ZCTSRSUWVLRQFJ-PGUXBMHVSA-N -1 1 337.380 -0.746 20 0 EBADMM O=C(N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)[C@@]1(F)CCOC1 ZINC001078455618 743656818 /nfs/dbraw/zinc/65/68/18/743656818.db2.gz QSOMSJHVWMVEKI-IINYFYTJSA-N -1 1 343.359 -0.654 20 0 EBADMM Cc1ccn(CC(=O)N2CCCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001078470442 743664140 /nfs/dbraw/zinc/66/41/40/743664140.db2.gz PDQPSCSXFVFNMF-LBPRGKRZSA-N -1 1 349.395 -0.577 20 0 EBADMM CCn1cnc(C(=O)N2CCCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001078498610 743680102 /nfs/dbraw/zinc/68/01/02/743680102.db2.gz OQKRNBHEIYSCGG-NSHDSACASA-N -1 1 349.395 -0.252 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H](C)CCNC(=O)CCc2c[nH]nn2)c1[O-] ZINC001078525327 743688809 /nfs/dbraw/zinc/68/88/09/743688809.db2.gz RDVSVZFJFIDITC-QMMMGPOBSA-N -1 1 335.368 -0.201 20 0 EBADMM O=C(N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2)C1=COCCO1 ZINC001078544740 743690753 /nfs/dbraw/zinc/69/07/53/743690753.db2.gz AALFJHCXDOYGBJ-MNOVXSKESA-N -1 1 335.364 -0.085 20 0 EBADMM O=C(Cc1cc[nH]n1)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078583764 743700205 /nfs/dbraw/zinc/70/02/05/743700205.db2.gz PGRHKNGYUIEKAJ-WDEREUQCSA-N -1 1 331.380 -0.007 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2C[C@@H](NCc3nnnn3C)C23CCC3)c1[O-] ZINC001078601465 743704980 /nfs/dbraw/zinc/70/49/80/743704980.db2.gz IVXPVWLGZPNINK-NXEZZACHSA-N -1 1 346.395 -0.222 20 0 EBADMM Cc1nnc(CC(=O)N[C@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)o1 ZINC001078619055 743708837 /nfs/dbraw/zinc/70/88/37/743708837.db2.gz BCYPPIOTMVPHFS-UWVGGRQHSA-N -1 1 347.379 -0.039 20 0 EBADMM O=C(N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2)[C@H]1[C@@H]2COC[C@@H]21 ZINC001078700324 743721515 /nfs/dbraw/zinc/72/15/15/743721515.db2.gz KDTJFDZHQBEASB-VGDATSSVSA-N -1 1 333.392 -0.080 20 0 EBADMM Cn1ncc(C(=O)N[C@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)n1 ZINC001078749855 743728621 /nfs/dbraw/zinc/72/86/21/743728621.db2.gz QGPVFQARTWWRDF-ZJUUUORDSA-N -1 1 332.368 -0.530 20 0 EBADMM Cc1nonc1CC(=O)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078766504 743731893 /nfs/dbraw/zinc/73/18/93/743731893.db2.gz FDWVYBVIDCCDTI-MNOVXSKESA-N -1 1 347.379 -0.039 20 0 EBADMM CN(C(=O)CNC(N)=O)[C@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001078816022 743739200 /nfs/dbraw/zinc/73/92/00/743739200.db2.gz YFHFIEGLTWXWNA-NSHDSACASA-N -1 1 349.391 -0.091 20 0 EBADMM O=C(N[C@H]1C[C@H](CNC(=O)[C@H]2CCCNC2=O)C1)c1ncccc1[O-] ZINC000979937475 805647219 /nfs/dbraw/zinc/64/72/19/805647219.db2.gz PZTFKXUEDWXERI-SRVKXCTJSA-N -1 1 346.387 -0.062 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1C[C@H](NC(=O)C(F)F)C1 ZINC000980054971 805709728 /nfs/dbraw/zinc/70/97/28/805709728.db2.gz CFEUZGWBRFQARQ-IZLXSQMJSA-N -1 1 346.290 -0.214 20 0 EBADMM O=C(NC[C@H]1C[C@H](NC(=O)C(F)F)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000980057588 805711100 /nfs/dbraw/zinc/71/11/00/805711100.db2.gz ZQTMINJEUMEKJK-LJGSYFOKSA-N -1 1 340.290 -0.693 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)CCc2cncn2C)C1 ZINC000980296397 805836915 /nfs/dbraw/zinc/83/69/15/805836915.db2.gz RHJVMAPFQKFKBQ-ZDUSSCGKSA-N -1 1 347.423 -0.493 20 0 EBADMM C[C@H]1C[C@H](CNCc2ncnn2C)CN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000980642372 805973117 /nfs/dbraw/zinc/97/31/17/805973117.db2.gz QQEKYSUWEPZXBF-DTWKUNHWSA-N -1 1 348.367 -0.948 20 0 EBADMM C[C@@H]1C[C@@H](CNCc2cnn(C)n2)CN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000980642759 805973644 /nfs/dbraw/zinc/97/36/44/805973644.db2.gz UAKGCBCKNOKQFZ-BDAKNGLRSA-N -1 1 348.367 -0.948 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)CC3CCOCC3)CC2)nc1=O ZINC000980873167 806033809 /nfs/dbraw/zinc/03/38/09/806033809.db2.gz LECMBWUCHGZENN-UHFFFAOYSA-N -1 1 337.424 -0.041 20 0 EBADMM CCn1nccc1C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000981047592 806068044 /nfs/dbraw/zinc/06/80/44/806068044.db2.gz SEECNPHEUXBBEI-UHFFFAOYSA-N -1 1 333.396 -0.327 20 0 EBADMM Cn1ncc(CN[C@H]2CCC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC000981202539 806108105 /nfs/dbraw/zinc/10/81/05/806108105.db2.gz WAYYCSKWJFULMW-IUCAKERBSA-N -1 1 348.367 -0.758 20 0 EBADMM Cc1nonc1CN[C@H]1CCC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000981203823 806108306 /nfs/dbraw/zinc/10/83/06/806108306.db2.gz ZNGHXJLNOWMIJB-DTWKUNHWSA-N -1 1 349.351 -0.195 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)C4CCOCC4)C3)C2)nc1=O ZINC000981577779 806206897 /nfs/dbraw/zinc/20/68/97/806206897.db2.gz BTQZXPMHAGYLMJ-UHFFFAOYSA-N -1 1 349.435 -0.041 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)Cn4cccn4)C3)C2)nc1=O ZINC000981620686 806217524 /nfs/dbraw/zinc/21/75/24/806217524.db2.gz KNWXEDUTKHLUGI-UHFFFAOYSA-N -1 1 345.407 -0.571 20 0 EBADMM CCO[C@@H]1C[C@H]1C(=O)N1CC2(C1)CCCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC000981640693 806221692 /nfs/dbraw/zinc/22/16/92/806221692.db2.gz JLLNYDXNCPJOPO-CHWSQXEVSA-N -1 1 349.435 -0.042 20 0 EBADMM Cc1nc(C(=O)N2CC3(C2)CCCN(Cc2nc(=O)n(C)[n-]2)C3)c[nH]1 ZINC000981669974 806230725 /nfs/dbraw/zinc/23/07/25/806230725.db2.gz CSNLXAPESSAWTL-UHFFFAOYSA-N -1 1 345.407 -0.122 20 0 EBADMM CO[C@H](C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1)C1CCC1 ZINC000981701176 806243826 /nfs/dbraw/zinc/24/38/26/806243826.db2.gz FLZCEXGRWKAXKA-AWEZNQCLSA-N -1 1 337.424 -0.042 20 0 EBADMM CC(C)[C@@H](O)C(=O)N1CC2(C1)CCCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC000981748073 806263450 /nfs/dbraw/zinc/26/34/50/806263450.db2.gz RTTVYKYUTUDYSH-CYBMUJFWSA-N -1 1 337.424 -0.450 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)Cc3ncccc3F)CC2)nc1=O ZINC000981826863 806293828 /nfs/dbraw/zinc/29/38/28/806293828.db2.gz AVIXNNKCSROGEE-UHFFFAOYSA-N -1 1 348.382 -0.081 20 0 EBADMM Cn1cncc1C(=O)N1CC2(C1)CCCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC000981875598 806312487 /nfs/dbraw/zinc/31/24/87/806312487.db2.gz PXLUFTQDKOWXAL-UHFFFAOYSA-N -1 1 345.407 -0.420 20 0 EBADMM CO[C@H](C)C(=O)N1C[C@@]2(C)CN(Cc3nc(=O)n(C)[n-]3)C[C@@]2(C)C1 ZINC000982225136 806426840 /nfs/dbraw/zinc/42/68/40/806426840.db2.gz JGJHSMKIOYVHJG-LYRGGWFBSA-N -1 1 337.424 -0.186 20 0 EBADMM COC[C@H](C)N1CCCN(C(=O)Cn2[n-]cc3c(=O)ncnc2-3)CC1 ZINC000982251582 806435070 /nfs/dbraw/zinc/43/50/70/806435070.db2.gz PSRHGZHXMLTTGC-LBPRGKRZSA-N -1 1 348.407 -0.360 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)CCc3ncccn3)CC2)nc1=O ZINC000982317720 806459807 /nfs/dbraw/zinc/45/98/07/806459807.db2.gz NJHMIOBLEDDCKE-UHFFFAOYSA-N -1 1 345.407 -0.435 20 0 EBADMM Cc1cc(C(=O)N2CC[C@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC000982509111 806534062 /nfs/dbraw/zinc/53/40/62/806534062.db2.gz KZIFBKCLZDWVEM-MRVPVSSYSA-N -1 1 347.335 -0.794 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1CCC(=O)NC1 ZINC000982671945 806628274 /nfs/dbraw/zinc/62/82/74/806628274.db2.gz SUDXEYBOLWVIJS-NEPJUHHUSA-N -1 1 346.387 -0.108 20 0 EBADMM Cn1ncc(C(=O)NC[C@H]2CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC000982673555 806629658 /nfs/dbraw/zinc/62/96/58/806629658.db2.gz PWIXHASSNXJKPB-SNVBAGLBSA-N -1 1 330.348 -0.192 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1CCNC1=O ZINC000982675404 806631417 /nfs/dbraw/zinc/63/14/17/806631417.db2.gz LRRZWAOGNZMGAP-QWRGUYRKSA-N -1 1 332.360 -0.498 20 0 EBADMM CN1C[C@H](C(=O)NC[C@H]2CCN(C(=O)c3ncccc3[O-])C2)NC1=O ZINC000982675698 806631510 /nfs/dbraw/zinc/63/15/10/806631510.db2.gz NHDWHCUSCCKYCJ-GHMZBOCLSA-N -1 1 347.375 -0.611 20 0 EBADMM CN1C[C@H](C(=O)N2CC[C@@H](CNC(=O)c3ncccc3[O-])C2)CC1=O ZINC000982865431 806744415 /nfs/dbraw/zinc/74/44/15/806744415.db2.gz XNYKKCMFDWKRAI-NWDGAFQWSA-N -1 1 346.387 -0.156 20 0 EBADMM Cn1nnc(C(=O)N2CC[C@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC000983128729 806896264 /nfs/dbraw/zinc/89/62/64/806896264.db2.gz LBSWOMRQGAYFDK-SECBINFHSA-N -1 1 331.336 -0.797 20 0 EBADMM CN1CC[C@@H](C(=O)N2CC[C@H](CNC(=O)c3ncccc3[O-])C2)C1=O ZINC000983135101 806900948 /nfs/dbraw/zinc/90/09/48/806900948.db2.gz JCWVRLIYVGNNTB-VXGBXAGGSA-N -1 1 346.387 -0.156 20 0 EBADMM CCOC(=O)[C@H]([N-]S(=O)(=O)CS(C)(=O)=O)C1CCOCC1 ZINC001260595950 812841609 /nfs/dbraw/zinc/84/16/09/812841609.db2.gz RCEBGMOGAKNQQB-SNVBAGLBSA-N -1 1 343.423 -0.734 20 0 EBADMM C[C@]1(C(=O)N[C@@H]2[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)CNC(=O)C1 ZINC000976849041 803900749 /nfs/dbraw/zinc/90/07/49/803900749.db2.gz CGSKOFBFJWREDG-JRUYNEJOSA-N -1 1 344.371 -0.500 20 0 EBADMM C[C@@]1(C(=O)N[C@@H]2[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)CNC(=O)C1 ZINC000976849044 803900872 /nfs/dbraw/zinc/90/08/72/803900872.db2.gz CGSKOFBFJWREDG-LOVKBYSESA-N -1 1 344.371 -0.500 20 0 EBADMM CCn1cc(C(=O)N[C@@H]2[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)nn1 ZINC000977096483 804142233 /nfs/dbraw/zinc/14/22/33/804142233.db2.gz GHIJFCPDGXQZKM-IWIIMEHWSA-N -1 1 342.359 -0.101 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1COCCN1C ZINC000977590808 804399344 /nfs/dbraw/zinc/39/93/44/804399344.db2.gz AURDYQIJLLKSGG-CHWSQXEVSA-N -1 1 348.403 -0.209 20 0 EBADMM CN(C[C@H]1CCN1C(=O)[C@H]1CNC(=O)N1)C(=O)c1ncccc1[O-] ZINC000977604316 804408838 /nfs/dbraw/zinc/40/88/38/804408838.db2.gz WZPNZRHROIPMNF-NXEZZACHSA-N -1 1 333.348 -0.859 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)[C@@H]1CN(C)C(=O)N1)C(=O)c1ncccc1[O-] ZINC000977622047 804419113 /nfs/dbraw/zinc/41/91/13/804419113.db2.gz VPAGAUFMUBYUND-QWRGUYRKSA-N -1 1 347.375 -0.516 20 0 EBADMM Cc1nc[nH]c1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000977663508 804452570 /nfs/dbraw/zinc/45/25/70/804452570.db2.gz PWBIRQKTGYYXRC-SNVBAGLBSA-N -1 1 333.396 -0.220 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1CN(C)C(=O)N1 ZINC000978141129 804687003 /nfs/dbraw/zinc/68/70/03/804687003.db2.gz DIENQHXJEBSLTR-GHMZBOCLSA-N -1 1 347.375 -0.516 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)c1nnn(C)n1 ZINC000978199526 804722442 /nfs/dbraw/zinc/72/24/42/804722442.db2.gz IHVIGNKEEZICRI-SECBINFHSA-N -1 1 331.336 -0.703 20 0 EBADMM CN1CC[C@H](C(=O)N[C@H]2C[C@@H](CNC(=O)c3ncccc3[O-])C2)C1=O ZINC000978755919 804960881 /nfs/dbraw/zinc/96/08/81/804960881.db2.gz WLSJJDSAFPARLO-GRYCIOLGSA-N -1 1 346.387 -0.110 20 0 EBADMM O=C(NC[C@H]1C[C@@H](NC(=O)C2CCC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000979045938 805107609 /nfs/dbraw/zinc/10/76/09/805107609.db2.gz WNZHBVPLXARUII-JGZJWPJOSA-N -1 1 344.375 -0.158 20 0 EBADMM O=C(CC1CC1)N[C@H]1C[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000979045653 805107731 /nfs/dbraw/zinc/10/77/31/805107731.db2.gz SPIJTQAVIMYIHR-PHIMTYICSA-N -1 1 344.375 -0.158 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2cc[n+]([O-])cc2)CCO1 ZINC000979170067 805187511 /nfs/dbraw/zinc/18/75/11/805187511.db2.gz BKWJYJMCHLGVIX-PWSUYJOCSA-N -1 1 348.363 -0.837 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC000979210456 805210819 /nfs/dbraw/zinc/21/08/19/805210819.db2.gz DBGRFPFYWUXANF-MWLCHTKSSA-N -1 1 335.368 -0.439 20 0 EBADMM Cc1nn(C)cc1C(=O)N1CCO[C@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979240587 805231881 /nfs/dbraw/zinc/23/18/81/805231881.db2.gz LBJNRAGXIHJWTO-PWSUYJOCSA-N -1 1 349.395 -0.428 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)CCn2cccn2)CCO1 ZINC000979259045 805245783 /nfs/dbraw/zinc/24/57/83/805245783.db2.gz RWBHUVHRBOFDOJ-RYUDHWBXSA-N -1 1 349.395 -0.497 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2ccn(C)c2)CCO1 ZINC000979270214 805250617 /nfs/dbraw/zinc/25/06/17/805250617.db2.gz LJCXAUFSXVJKRL-PWSUYJOCSA-N -1 1 334.380 -0.132 20 0 EBADMM C[C@H]([NH2+]Cc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC000979273978 805253249 /nfs/dbraw/zinc/25/32/49/805253249.db2.gz JZSQMBBUIRVOSE-GXSJLCMTSA-N -1 1 348.363 -0.370 20 0 EBADMM C[C@@H](NCc1nnn(C)n1)[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC000979272970 805253873 /nfs/dbraw/zinc/25/38/73/805253873.db2.gz IMMAVMSMLXPHPA-PWSUYJOCSA-N -1 1 347.379 -0.670 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)CC(C)(C)O)CCO1 ZINC000979279843 805259686 /nfs/dbraw/zinc/25/96/86/805259686.db2.gz PCJNSGKYLDISFA-NXEZZACHSA-N -1 1 327.385 -0.623 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)Cc2cncnc2)CCO1 ZINC000979300105 805276684 /nfs/dbraw/zinc/27/66/84/805276684.db2.gz KVMAHNUTGABFFC-CMPLNLGQSA-N -1 1 347.379 -0.752 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)CCO1 ZINC000979347840 805311265 /nfs/dbraw/zinc/31/12/65/805311265.db2.gz SRIZJFOVZUGETM-IIEKFROSSA-N -1 1 337.380 -0.892 20 0 EBADMM Cc1ccnn1CC(=O)N1CCO[C@@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979407864 805356872 /nfs/dbraw/zinc/35/68/72/805356872.db2.gz PFEKYZSZEZQQDY-NWDGAFQWSA-N -1 1 349.395 -0.579 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2ccc(=O)[nH]c2)CCO1 ZINC000979423098 805368686 /nfs/dbraw/zinc/36/86/86/805368686.db2.gz CIKNOHDMYYZBKO-MWLCHTKSSA-N -1 1 348.363 -0.370 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)Cc2ncc[nH]2)CCO1 ZINC000979430184 805373054 /nfs/dbraw/zinc/37/30/54/805373054.db2.gz KRDYLIAZFQSCNP-NXEZZACHSA-N -1 1 335.368 -0.818 20 0 EBADMM Cc1nc[nH]c1C(=O)N1CCO[C@@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979472393 805395789 /nfs/dbraw/zinc/39/57/89/805395789.db2.gz VNYBWYOEPBBRQX-PSASIEDQSA-N -1 1 335.368 -0.439 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CC[C@H]2CN(C(C)=O)C[C@H]21 ZINC000979544860 805425210 /nfs/dbraw/zinc/42/52/10/805425210.db2.gz BVBOXBDFAKSANR-IVZWLZJFSA-N -1 1 336.348 -0.117 20 0 EBADMM CN1CCOC[C@@H]1C(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000979664522 805475587 /nfs/dbraw/zinc/47/55/87/805475587.db2.gz LNLOTCGETGKBSV-JHJVBQTASA-N -1 1 348.403 -0.258 20 0 EBADMM O=C(Cn1cnnn1)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000979665866 805476803 /nfs/dbraw/zinc/47/68/03/805476803.db2.gz UDZGILLMQSFMKE-MGCOHNPYSA-N -1 1 331.336 -0.902 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN1C(=O)C1CC1 ZINC000985162046 807458476 /nfs/dbraw/zinc/45/84/76/807458476.db2.gz FNVVUMOYJLXRQL-CMPLNLGQSA-N -1 1 344.375 -0.063 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)c2ccc(=O)[nH]n2)CC1 ZINC000985244692 807472498 /nfs/dbraw/zinc/47/24/98/807472498.db2.gz ZBEOZMALMPHZKZ-UHFFFAOYSA-N -1 1 333.352 -0.258 20 0 EBADMM C[C@@H](C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1)n1cncn1 ZINC000985386877 807502653 /nfs/dbraw/zinc/50/26/53/807502653.db2.gz OAWGXSAVAZMQFD-JTQLQIEISA-N -1 1 334.384 -0.214 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)CCn2ccnn2)CC1 ZINC000985396350 807505193 /nfs/dbraw/zinc/50/51/93/807505193.db2.gz BPUUPLQJQCFWTQ-UHFFFAOYSA-N -1 1 334.384 -0.385 20 0 EBADMM Cc1nnc(CC(=O)N2CCC(N(C)Cc3n[nH]c(=O)[n-]3)CC2)[nH]1 ZINC000985419855 807507580 /nfs/dbraw/zinc/50/75/80/807507580.db2.gz IDOBOFIXHJNJQT-UHFFFAOYSA-N -1 1 334.384 -0.398 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)C[C@H]2CCNC2=O)CC1 ZINC000985466574 807514953 /nfs/dbraw/zinc/51/49/53/807514953.db2.gz OLVQRCPOSFIDFR-SNVBAGLBSA-N -1 1 336.396 -0.541 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CC1OCCCO1 ZINC000986193982 807648285 /nfs/dbraw/zinc/64/82/85/807648285.db2.gz CODMYQUCNJFSNN-ZJUUUORDSA-N -1 1 325.369 -0.258 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1nnn(C(C)(C)C)n1 ZINC000986293409 807668711 /nfs/dbraw/zinc/66/87/11/807668711.db2.gz JKGDGHVMQPSARZ-BDAKNGLRSA-N -1 1 349.399 -0.355 20 0 EBADMM COc1cc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)ncn1 ZINC000986297818 807669900 /nfs/dbraw/zinc/66/99/00/807669900.db2.gz GTVLXKBKZODUJU-BDAKNGLRSA-N -1 1 333.352 -0.298 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cc2n(n1)CCO2 ZINC000986356712 807683697 /nfs/dbraw/zinc/68/36/97/807683697.db2.gz IKBIARUUWCYGAU-DTWKUNHWSA-N -1 1 333.352 -0.508 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnc2n[nH]nc2c1 ZINC000986360439 807685914 /nfs/dbraw/zinc/68/59/14/807685914.db2.gz XMUYNWDLNTXBBC-APPZFPTMSA-N -1 1 343.351 -0.431 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnc2n1CCOC2 ZINC000986383526 807693359 /nfs/dbraw/zinc/69/33/59/807693359.db2.gz SMFLVKWQAANPBI-ZJUUUORDSA-N -1 1 347.379 -0.370 20 0 EBADMM COCCn1nccc1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000986414287 807697601 /nfs/dbraw/zinc/69/76/01/807697601.db2.gz QRYTYBSHUNKICN-QWRGUYRKSA-N -1 1 349.395 -0.254 20 0 EBADMM C[C@@H]1[C@H](NCc2cnns2)CCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000986479547 807714142 /nfs/dbraw/zinc/71/41/42/807714142.db2.gz AABZBKIOOYCWQH-HTRCEHHLSA-N -1 1 337.365 -0.473 20 0 EBADMM Cc1oncc1CN[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)[C@H]1C ZINC000986487409 807717673 /nfs/dbraw/zinc/71/76/73/807717673.db2.gz JWGIXKBYOUXBEI-OIBJUYFYSA-N -1 1 334.336 -0.028 20 0 EBADMM CCn1nncc1CN[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)[C@H]1C ZINC000986486625 807717830 /nfs/dbraw/zinc/71/78/30/807717830.db2.gz IDWAVIFDYYBKKN-WCBMZHEXSA-N -1 1 348.367 -0.713 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccnc2n[nH]nc21 ZINC000986533409 807728750 /nfs/dbraw/zinc/72/87/50/807728750.db2.gz MKZNTYQLLBDHHU-VXNVDRBHSA-N -1 1 343.351 -0.431 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnc2nccn2c1 ZINC000986556341 807735332 /nfs/dbraw/zinc/73/53/32/807735332.db2.gz VLMHQYVICYHHHA-ONGXEEELSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cc(C(N)=O)co1 ZINC000986918471 807796053 /nfs/dbraw/zinc/79/60/53/807796053.db2.gz PJOQFOUUNODNHJ-APPZFPTMSA-N -1 1 334.336 -0.405 20 0 EBADMM CC(=O)N[C@@H](C)C1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000986929510 807799122 /nfs/dbraw/zinc/79/91/22/807799122.db2.gz NNYKDJUYMGQJMT-NSHDSACASA-N -1 1 336.392 -0.310 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc(C(N)=O)[nH]1 ZINC000986985359 807814446 /nfs/dbraw/zinc/81/44/46/807814446.db2.gz NSIZSOMERGYDAJ-HTQZYQBOSA-N -1 1 333.352 -0.670 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc(N(C)C)nn1 ZINC000987366639 807901250 /nfs/dbraw/zinc/90/12/50/807901250.db2.gz XPYQOKRFJNHSTQ-UWVGGRQHSA-N -1 1 346.395 -0.241 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CCN(C(=O)CCc3nc[nH]n3)[C@@H]2C)c1[O-] ZINC000987603720 807968925 /nfs/dbraw/zinc/96/89/25/807968925.db2.gz RMSPLUHMCFLGON-ZJUUUORDSA-N -1 1 347.379 -0.106 20 0 EBADMM CN(C[C@H]1CCN(C(=O)C2CC2)C1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000987644853 807980709 /nfs/dbraw/zinc/98/07/09/807980709.db2.gz WUXGUBXADJEYPY-SNVBAGLBSA-N -1 1 344.375 -0.252 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cn(C)nn2)CCN1C(=O)c1ncccc1[O-] ZINC000987813962 808023057 /nfs/dbraw/zinc/02/30/57/808023057.db2.gz WWNYZWXJVWIKEP-ZJUUUORDSA-N -1 1 330.348 -0.051 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C(=O)[C@@H]1CCNC1=O ZINC000987930187 808061261 /nfs/dbraw/zinc/06/12/61/808061261.db2.gz MUJYUAJAHCFBST-NWDGAFQWSA-N -1 1 346.387 -0.156 20 0 EBADMM CN(C[C@H]1CCN(C(=O)c2nnn(C)n2)C1)C(=O)c1ncccc1[O-] ZINC000988216571 808152989 /nfs/dbraw/zinc/15/29/89/808152989.db2.gz ATEBKSOUSCJDFI-SNVBAGLBSA-N -1 1 345.363 -0.455 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CC1OCCCO1 ZINC000988710022 808269603 /nfs/dbraw/zinc/26/96/03/808269603.db2.gz ZBCVAGBJZQNZFD-ZJUUUORDSA-N -1 1 325.369 -0.258 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnc2n1CCOC2 ZINC000988982514 808342894 /nfs/dbraw/zinc/34/28/94/808342894.db2.gz WJVVGQXPKIINQG-ZJUUUORDSA-N -1 1 347.379 -0.370 20 0 EBADMM Cc1cc(=O)c(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)cn1C ZINC000989004045 808347270 /nfs/dbraw/zinc/34/72/70/808347270.db2.gz WOUOOHRBEZYMOD-WDEREUQCSA-N -1 1 346.391 -0.090 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnn2c1OCCC2 ZINC000989105758 808375596 /nfs/dbraw/zinc/37/55/96/808375596.db2.gz WSXJMVBJEQPUCN-NXEZZACHSA-N -1 1 347.379 -0.118 20 0 EBADMM C[C@@H]1C[C@H](NCc2cnns2)CN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000989109784 808376821 /nfs/dbraw/zinc/37/68/21/808376821.db2.gz GWKKVYHUVJPPPO-RQJHMYQMSA-N -1 1 337.365 -0.473 20 0 EBADMM Cc1cc(CN[C@@H]2C[C@H](C)N(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)on1 ZINC000989117364 808379593 /nfs/dbraw/zinc/37/95/93/808379593.db2.gz BSDYIPFAMMNYLZ-DTWKUNHWSA-N -1 1 334.336 -0.028 20 0 EBADMM Cc1oncc1CN[C@H]1C[C@H](C)N(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000989117468 808381252 /nfs/dbraw/zinc/38/12/52/808381252.db2.gz CDNPNXUMNKOTEO-XVKPBYJWSA-N -1 1 334.336 -0.028 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)Cc1ccc(=O)[nH]c1 ZINC000989220275 808405467 /nfs/dbraw/zinc/40/54/67/808405467.db2.gz SXFLJPKFMLDJMS-MWLCHTKSSA-N -1 1 332.364 -0.067 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)[C@@H]2CCNC2=O)CCN1C(=O)c1ncccc1[O-] ZINC000989241739 808419011 /nfs/dbraw/zinc/41/90/11/808419011.db2.gz ATCBCWWJGSJMSH-MXWKQRLJSA-N -1 1 332.360 -0.358 20 0 EBADMM Cc1noc(CCC(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)n1 ZINC000989273335 808424240 /nfs/dbraw/zinc/42/42/40/808424240.db2.gz OYRCYVJLTTVPBQ-WCBMZHEXSA-N -1 1 335.368 -0.086 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccn2nnnc2c1 ZINC000989301824 808433743 /nfs/dbraw/zinc/43/37/43/808433743.db2.gz MIHGBKNQEWBIFT-SCZZXKLOSA-N -1 1 343.351 -0.659 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cccc(=O)n1C ZINC000989438520 808474109 /nfs/dbraw/zinc/47/41/09/808474109.db2.gz LPQYGSBQRFKCDX-ZJUUUORDSA-N -1 1 332.364 -0.398 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)C(N)=O)CCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000989597823 808527192 /nfs/dbraw/zinc/52/71/92/808527192.db2.gz XQOUQDNKNCFKNM-XCBNKYQSSA-N -1 1 333.348 -0.736 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cn(CC2CC2)nn1 ZINC000989623393 808536727 /nfs/dbraw/zinc/53/67/27/808536727.db2.gz VRRRCNLUGLQMPI-GXSJLCMTSA-N -1 1 346.395 -0.095 20 0 EBADMM COc1ncc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)cn1 ZINC000989638813 808542797 /nfs/dbraw/zinc/54/27/97/808542797.db2.gz OVRASYZJYKLGCA-WCBMZHEXSA-N -1 1 333.352 -0.298 20 0 EBADMM COc1c(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)cnn1C ZINC000989733886 808572762 /nfs/dbraw/zinc/57/27/62/808572762.db2.gz NETSGBDEJQQPQF-DTWKUNHWSA-N -1 1 335.368 -0.355 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cccc(C(N)=O)n1 ZINC000989842737 808610591 /nfs/dbraw/zinc/61/05/91/808610591.db2.gz WOZBDGDOIOMPTH-BDAKNGLRSA-N -1 1 345.363 -0.603 20 0 EBADMM CCn1nc(C)c(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)n1 ZINC000989860627 808613843 /nfs/dbraw/zinc/61/38/43/808613843.db2.gz LHBYWPIGTBEYGL-WPRPVWTQSA-N -1 1 334.384 -0.177 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cc(C(N)=O)ccn1 ZINC000989874384 808615628 /nfs/dbraw/zinc/61/56/28/808615628.db2.gz WVARUTDTFWAVDL-WCBMZHEXSA-N -1 1 345.363 -0.603 20 0 EBADMM Cn1cc(C(=O)N[C@@]23CCC[C@H]2N(Cc2nc(=O)n(C)[n-]2)CC3)cn1 ZINC000989943547 808626202 /nfs/dbraw/zinc/62/62/02/808626202.db2.gz XTUIVTGBVSNNEE-MLGOLLRUSA-N -1 1 345.407 -0.231 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CCCCC1 ZINC000990091292 808652527 /nfs/dbraw/zinc/65/25/27/808652527.db2.gz AFBRMFQZDAJIMN-UHFFFAOYSA-N -1 1 344.375 -0.062 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(NC(=O)c2ccsc2)C1 ZINC000990176390 808682499 /nfs/dbraw/zinc/68/24/99/808682499.db2.gz SKZPTFIUZYWVSE-UHFFFAOYSA-N -1 1 348.384 -0.371 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(NC(=O)c2ccoc2)C1 ZINC000990228924 808705543 /nfs/dbraw/zinc/70/55/43/808705543.db2.gz KGLMZQDUWIJDNG-UHFFFAOYSA-N -1 1 332.316 -0.840 20 0 EBADMM CC(=O)N1CCCC[C@H]1CN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000990439294 808787522 /nfs/dbraw/zinc/78/75/22/808787522.db2.gz AKMSHHILCJPZIP-NSHDSACASA-N -1 1 332.364 -0.109 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)[C@]45C[C@H]4COC5)CCC[C@@H]23)nc1=O ZINC000990818028 808933678 /nfs/dbraw/zinc/93/36/78/808933678.db2.gz RLPMXZOLUDKJHZ-LZDSYCOUSA-N -1 1 347.419 -0.242 20 0 EBADMM Cc1n[nH]c(C(=O)NC2CN(C(=O)[C@@H](C)Cc3cnc[nH]3)C2)c1[O-] ZINC000990867862 808957860 /nfs/dbraw/zinc/95/78/60/808957860.db2.gz HFWLIYCCXDBGEK-QMMMGPOBSA-N -1 1 332.364 -0.034 20 0 EBADMM COCCn1ccc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)n1 ZINC000990968338 809017391 /nfs/dbraw/zinc/01/73/91/809017391.db2.gz FVOXOFKNNFFABP-UHFFFAOYSA-N -1 1 345.359 -0.116 20 0 EBADMM CC(=O)N1CC[C@H](C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC000990969218 809018292 /nfs/dbraw/zinc/01/82/92/809018292.db2.gz BPTDIZQMCJADIS-NSHDSACASA-N -1 1 332.360 -0.404 20 0 EBADMM CN1CC[C@@H](C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000990975563 809022400 /nfs/dbraw/zinc/02/24/00/809022400.db2.gz UIHOKKOPGCZJHC-SNVBAGLBSA-N -1 1 332.360 -0.404 20 0 EBADMM CO[C@H](C(=O)N1CC(NC(=O)c2ncccc2[O-])C1)[C@H]1CCOC1 ZINC000990976098 809023035 /nfs/dbraw/zinc/02/30/35/809023035.db2.gz VXZKZJWAVCODKG-HZMBPMFUSA-N -1 1 335.360 -0.221 20 0 EBADMM COCc1nn(C)cc1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990976629 809023927 /nfs/dbraw/zinc/02/39/27/809023927.db2.gz GXWXBBZFWQIZFY-UHFFFAOYSA-N -1 1 345.359 -0.079 20 0 EBADMM CC(C)N1C[C@H](C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000990980318 809027593 /nfs/dbraw/zinc/02/75/93/809027593.db2.gz ZZOOEFURVZBEDA-LLVKDONJSA-N -1 1 346.387 -0.015 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)c1cn[nH]c1 ZINC000991299608 809255763 /nfs/dbraw/zinc/25/57/63/809255763.db2.gz NOCMURQTDUBYTF-CMPLNLGQSA-N -1 1 331.332 -0.484 20 0 EBADMM CSCC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991402271 809416182 /nfs/dbraw/zinc/41/61/82/809416182.db2.gz ADAUOWFRILUIOE-ONGXEEELSA-N -1 1 325.390 -0.158 20 0 EBADMM O=C(Cn1cccn1)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991407742 809425987 /nfs/dbraw/zinc/42/59/87/809425987.db2.gz KCAFIKDDGQSRMG-DGCLKSJQSA-N -1 1 345.359 -0.625 20 0 EBADMM Cc1nc(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)c[nH]1 ZINC000991424392 809447947 /nfs/dbraw/zinc/44/79/47/809447947.db2.gz JYYKVKLWXPWNEU-GWCFXTLKSA-N -1 1 345.359 -0.176 20 0 EBADMM Cc1n[nH]cc1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991426119 809451416 /nfs/dbraw/zinc/45/14/16/809451416.db2.gz MKLSCNNWQZKRMT-YPMHNXCESA-N -1 1 345.359 -0.176 20 0 EBADMM O=C(Cc1ncc[nH]1)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991428411 809456071 /nfs/dbraw/zinc/45/60/71/809456071.db2.gz QOXFQAPCVWPPLO-CMPLNLGQSA-N -1 1 345.359 -0.555 20 0 EBADMM O=C(Cc1cnoc1)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991428245 809456199 /nfs/dbraw/zinc/45/61/99/809456199.db2.gz PZCMRZXDOQHBGX-AAEUAGOBSA-N -1 1 346.343 -0.291 20 0 EBADMM CCCN1C[C@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC000991583790 809672801 /nfs/dbraw/zinc/67/28/01/809672801.db2.gz KTDSGFPGQFPOBL-LLVKDONJSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1nnc(C(=O)N2[C@@H]3CC[C@H]2[C@H](NC(=O)c2ncccc2[O-])C3)n1 ZINC001002203781 809687732 /nfs/dbraw/zinc/68/77/32/809687732.db2.gz SZXLOLXRVZUGAC-BBBLOLIVSA-N -1 1 343.347 -0.514 20 0 EBADMM CN(C[C@@H]1CCCN1C(=O)c1ncccc1[O-])C(=O)c1nnn(C)n1 ZINC001002410279 809708468 /nfs/dbraw/zinc/70/84/68/809708468.db2.gz FWYKZLHMCQGKBK-JTQLQIEISA-N -1 1 345.363 -0.313 20 0 EBADMM CCc1cc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)nn1C ZINC001004275799 809818782 /nfs/dbraw/zinc/81/87/82/809818782.db2.gz ZIELYHLJMJQYRE-UHFFFAOYSA-N -1 1 347.423 -0.201 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CN(C)C(=O)N2)C1 ZINC001005961060 809872848 /nfs/dbraw/zinc/87/28/48/809872848.db2.gz XNACLBJWJFXWCR-KOLCDFICSA-N -1 1 347.375 -0.613 20 0 EBADMM CC1(C)CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)Cn1cnnn1 ZINC001007842638 810028401 /nfs/dbraw/zinc/02/84/01/810028401.db2.gz ATFVRSCZYZYXDB-LLVKDONJSA-N -1 1 345.363 -0.559 20 0 EBADMM CC1(C)CN(C(=O)[C@@H]2CCNC2=O)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001008064345 810070744 /nfs/dbraw/zinc/07/07/44/810070744.db2.gz OENAOECVNJJMPY-PWSUYJOCSA-N -1 1 346.387 -0.110 20 0 EBADMM COc1nc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)co1 ZINC001008073527 810072537 /nfs/dbraw/zinc/07/25/37/810072537.db2.gz XGCFBPGHSQAIJP-SECBINFHSA-N -1 1 336.352 -0.501 20 0 EBADMM CC1(C)CN(C(=O)[C@H]2C[C@H]2C(N)=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001008084322 810073901 /nfs/dbraw/zinc/07/39/01/810073901.db2.gz PWGCFAATSJFORG-JFGNBEQYSA-N -1 1 346.387 -0.125 20 0 EBADMM CC1(C)CN(C(=O)[C@H]2COC(=O)N2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001008086709 810074656 /nfs/dbraw/zinc/07/46/56/810074656.db2.gz YBBHJVKGHLXXGC-KOLCDFICSA-N -1 1 348.359 -0.138 20 0 EBADMM CC1(C)CN(C(=O)C(N)=O)C[C@@H]1NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001008159383 810091504 /nfs/dbraw/zinc/09/15/04/810091504.db2.gz WJWKASPSRIEPSO-JTQLQIEISA-N -1 1 347.375 -0.488 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)c3csc(=O)[nH]3)C2)nc1=O ZINC001008244152 810117478 /nfs/dbraw/zinc/11/74/78/810117478.db2.gz WUOTYXBWYLZRQJ-QMMMGPOBSA-N -1 1 338.393 -0.335 20 0 EBADMM C[C@H](C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1)c1cncnc1 ZINC001008296046 810126618 /nfs/dbraw/zinc/12/66/18/810126618.db2.gz WGWJKPOZRLYLLK-AAEUAGOBSA-N -1 1 345.407 -0.217 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)[C@@H]3C[C@H]4CC[C@@H]3O4)C2)nc1=O ZINC001008598375 810199376 /nfs/dbraw/zinc/19/93/76/810199376.db2.gz NIKCNOGXYYWDGJ-LPWJVIDDSA-N -1 1 335.408 -0.244 20 0 EBADMM Cc1nccc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001009564348 810246686 /nfs/dbraw/zinc/24/66/86/810246686.db2.gz LOHXTBWCQLVFAV-NSHDSACASA-N -1 1 331.380 -0.399 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(C(=O)CC2CC2)CC1 ZINC001009758833 810263639 /nfs/dbraw/zinc/26/36/39/810263639.db2.gz PEBIZCIPDUWBDM-UHFFFAOYSA-N -1 1 334.376 -0.602 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)Cc1nnc[nH]1 ZINC001014082935 810412542 /nfs/dbraw/zinc/41/25/42/810412542.db2.gz MAIPLQRHMZVIBW-UWVGGRQHSA-N -1 1 330.348 -0.133 20 0 EBADMM COc1cc(C)cnc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001014765173 810448237 /nfs/dbraw/zinc/44/82/37/810448237.db2.gz XSVBSMGUUFQDLU-LLVKDONJSA-N -1 1 346.391 -0.175 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCCN1C(=O)Cn1ncnn1 ZINC001014898337 810454216 /nfs/dbraw/zinc/45/42/16/810454216.db2.gz RFPJXOBAKXRXAE-GHMZBOCLSA-N -1 1 345.363 -0.417 20 0 EBADMM Cc1n[nH]c(=O)c(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC001015470315 810485683 /nfs/dbraw/zinc/48/56/83/810485683.db2.gz FWBHFMYGNLSXMA-SNVBAGLBSA-N -1 1 347.379 -0.775 20 0 EBADMM Cc1ncoc1CN1CC[C@@H](NC(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001015488322 810492939 /nfs/dbraw/zinc/49/29/39/810492939.db2.gz RRJUEVDUWYMRTO-SNVBAGLBSA-N -1 1 333.348 -0.213 20 0 EBADMM O=C(Nc1cccc2c(=O)cc(-c3nn[n-]n3)oc12)[C@@H]1COCN1 ZINC001218925410 810546046 /nfs/dbraw/zinc/54/60/46/810546046.db2.gz UFZKSJOTWJZNLA-VIFPVBQESA-N -1 1 328.288 -0.143 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@@H]3CCCc4nc[nH]c43)C2)nc1=O ZINC001015688822 810563003 /nfs/dbraw/zinc/56/30/03/810563003.db2.gz DGEVUJXDIZRINU-GHMZBOCLSA-N -1 1 345.407 -0.358 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ccnc(C4CC4)n3)C2)nc1=O ZINC001015783748 810581764 /nfs/dbraw/zinc/58/17/64/810581764.db2.gz VQCLWAWTBBGDJJ-NSHDSACASA-N -1 1 343.391 -0.220 20 0 EBADMM CCc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001015897537 810614810 /nfs/dbraw/zinc/61/48/10/810614810.db2.gz PZYSWSIKIXOEDR-NSHDSACASA-N -1 1 333.396 -0.591 20 0 EBADMM CCc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001015897536 810614903 /nfs/dbraw/zinc/61/49/03/810614903.db2.gz PZYSWSIKIXOEDR-LLVKDONJSA-N -1 1 333.396 -0.591 20 0 EBADMM Cn1cncc1CN1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001015991494 810644267 /nfs/dbraw/zinc/64/42/67/810644267.db2.gz FAVGQUZGOHIREC-JTQLQIEISA-N -1 1 342.363 -0.845 20 0 EBADMM Cc1ncc(CN2CC[C@@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)o1 ZINC001015993057 810645664 /nfs/dbraw/zinc/64/56/64/810645664.db2.gz VBRDPMGRTDAKCZ-SNVBAGLBSA-N -1 1 343.347 -0.282 20 0 EBADMM O=C(N[C@H]1CCN(Cc2csnn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001015993358 810646164 /nfs/dbraw/zinc/64/61/64/810646164.db2.gz XZHLKTXQQHQDDG-QMMMGPOBSA-N -1 1 346.376 -0.727 20 0 EBADMM CN(C(=O)C1CCC1)C1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001016156713 810681576 /nfs/dbraw/zinc/68/15/76/810681576.db2.gz YTNUZKSFJNBPRJ-UHFFFAOYSA-N -1 1 344.375 -0.063 20 0 EBADMM Cc1nc(CC(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cs1 ZINC001016192029 810687806 /nfs/dbraw/zinc/68/78/06/810687806.db2.gz BWNMTICQROJUDK-SNVBAGLBSA-N -1 1 336.421 -0.193 20 0 EBADMM CCc1onc(C)c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001016229432 810709604 /nfs/dbraw/zinc/70/96/04/810709604.db2.gz MLHDDBNRPYCUAA-JTQLQIEISA-N -1 1 334.380 -0.028 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)CN2CN=NC2=O)C1 ZINC001016431421 810725256 /nfs/dbraw/zinc/72/52/56/810725256.db2.gz PLDJVVQJSOJDHX-UHFFFAOYSA-N -1 1 346.347 -0.496 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)[C@H]2COC(=O)N2)C1 ZINC001016431519 810725634 /nfs/dbraw/zinc/72/56/34/810725634.db2.gz QTSVFZNHZQZNIC-UDNWOFFPSA-N -1 1 334.332 -0.385 20 0 EBADMM Cc1ncc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c(C)n1 ZINC001033507757 810778027 /nfs/dbraw/zinc/77/80/27/810778027.db2.gz JVUXVQAGTHTMMT-LBPRGKRZSA-N -1 1 345.407 -0.138 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)[C@@H]3C[C@H]3C3CCC3)C2)nc1=O ZINC001035545075 810890039 /nfs/dbraw/zinc/89/00/39/810890039.db2.gz NHRJSKISMWDSNC-HZSPNIEDSA-N -1 1 349.435 -0.138 20 0 EBADMM CC(C)=CCN1CCO[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001035576832 810926866 /nfs/dbraw/zinc/92/68/66/810926866.db2.gz LNWZMQOBMAKELV-LBPRGKRZSA-N -1 1 346.391 -0.186 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)c2cnccn2)CC3)nc1=O ZINC001035638577 810978672 /nfs/dbraw/zinc/97/86/72/810978672.db2.gz SBVUTKWHIGUKSX-UHFFFAOYSA-N -1 1 343.391 -0.363 20 0 EBADMM C[C@H]1[C@H](NC(=O)[C@@H](N)Cc2ccsc2)C(=O)N1S(=O)(=O)[O-] ZINC001220099287 810981085 /nfs/dbraw/zinc/98/10/85/810981085.db2.gz JEFYLQNBRWZBHH-XVYDVKMFSA-N -1 1 333.391 -0.864 20 0 EBADMM O=C(Cc1ccn[nH]1)N1CCC2(CN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035673066 810985024 /nfs/dbraw/zinc/98/50/24/810985024.db2.gz BAPMOKMIHHMUGL-UHFFFAOYSA-N -1 1 331.380 -0.100 20 0 EBADMM CC(C)(C)OC[C@H](N)C(=O)NC(=N)SCCS(=O)(=O)[O-] ZINC001220126711 810993612 /nfs/dbraw/zinc/99/36/12/810993612.db2.gz BJONFIMRLWCFLH-ZETCQYMHSA-N -1 1 327.428 -0.199 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)N2CCC3(CN(Cc4nc(=O)n(C)[n-]4)C3)CC2)CO1 ZINC001035737134 811007132 /nfs/dbraw/zinc/00/71/32/811007132.db2.gz FIKQNNISXGGXON-CHWSQXEVSA-N -1 1 349.435 -0.042 20 0 EBADMM C[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC[C@H]1NCc1ncccn1 ZINC001036092614 811096389 /nfs/dbraw/zinc/09/63/89/811096389.db2.gz IVHSCOAXSWTQBC-VHSXEESVSA-N -1 1 345.363 -0.287 20 0 EBADMM C[C@@H]1CCCN(C(=O)c2ncn(C)n2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036544082 811172587 /nfs/dbraw/zinc/17/25/87/811172587.db2.gz AXMZSZFTRKNRKS-ZJUUUORDSA-N -1 1 334.384 -0.331 20 0 EBADMM C[C@@H]1CCCN(C(=O)c2cnnn2C)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036545135 811173318 /nfs/dbraw/zinc/17/33/18/811173318.db2.gz POHAPYZPXGFMTN-NXEZZACHSA-N -1 1 334.384 -0.331 20 0 EBADMM Cn1ccc(CC(=O)N2CC[C@@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001036711525 811221920 /nfs/dbraw/zinc/22/19/20/811221920.db2.gz DBRUFSPAFBAKSK-WCQYABFASA-N -1 1 345.407 -0.091 20 0 EBADMM CCn1nncc1C(=O)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036710257 811223246 /nfs/dbraw/zinc/22/32/46/811223246.db2.gz INMGYAZEDZEFSG-QWRGUYRKSA-N -1 1 346.395 -0.142 20 0 EBADMM Cn1c(C(=O)N2CC[C@@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)c[nH]c1=O ZINC001036791355 811257805 /nfs/dbraw/zinc/25/78/05/811257805.db2.gz YFLICRRMMDRWLU-NXEZZACHSA-N -1 1 347.379 -0.314 20 0 EBADMM O=C(Cc1cnc[nH]1)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036829990 811276743 /nfs/dbraw/zinc/27/67/43/811276743.db2.gz NISPMMFCUBDZDB-ZYHUDNBSSA-N -1 1 331.380 -0.101 20 0 EBADMM O=C(CCn1cnnn1)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036853282 811290535 /nfs/dbraw/zinc/29/05/35/811290535.db2.gz ROHSDMFBUSZARO-WDEREUQCSA-N -1 1 347.383 -0.990 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)COC(C)(C)C)[C@@H]3C2)nc1=O ZINC001221493884 811469611 /nfs/dbraw/zinc/46/96/11/811469611.db2.gz KDJGQFSJQZVFDL-VXGBXAGGSA-N -1 1 337.424 -0.044 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)CCOCC4CC4)[C@@H]3C2)nc1=O ZINC001221547591 811485318 /nfs/dbraw/zinc/48/53/18/811485318.db2.gz QCMSSKRTWUAGQS-ZIAGYGMSSA-N -1 1 349.435 -0.042 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ccc(F)nc1 ZINC001079498708 811562824 /nfs/dbraw/zinc/56/28/24/811562824.db2.gz MDRBFTRZZJRXMW-MWLCHTKSSA-N -1 1 334.355 -0.107 20 0 EBADMM CCc1ocnc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001079510644 811569705 /nfs/dbraw/zinc/56/97/05/811569705.db2.gz FJWOPYHLKSUXLP-NXEZZACHSA-N -1 1 334.380 -0.091 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)cnn1 ZINC001079627384 811611948 /nfs/dbraw/zinc/61/19/48/811611948.db2.gz YKSUHCSEGAYPIB-BXKDBHETSA-N -1 1 331.380 -0.543 20 0 EBADMM Cc1ccnc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)n1 ZINC001079632137 811616765 /nfs/dbraw/zinc/61/67/65/811616765.db2.gz NTALWCXXFNBWDP-MWLCHTKSSA-N -1 1 331.380 -0.543 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)COCC4CC4)C[C@@H]32)nc1=O ZINC001222330363 811618935 /nfs/dbraw/zinc/61/89/35/811618935.db2.gz TYGZKFSBIFCFGI-KGLIPLIRSA-N -1 1 349.435 -0.042 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@H]1C[C@@H]1C(F)F ZINC001079648496 811633975 /nfs/dbraw/zinc/63/39/75/811633975.db2.gz XKFZCULTKFUTJG-XFWSIPNHSA-N -1 1 329.351 -0.054 20 0 EBADMM CCN(C(=O)C(C)(C)C(N)=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079949895 811812738 /nfs/dbraw/zinc/81/27/38/811812738.db2.gz RVUHEBGSIRUVHA-UHFFFAOYSA-N -1 1 334.376 -0.028 20 0 EBADMM O=S(=O)([O-])C[C@@H](C[NH+]1CCOCC1)Oc1nc2cccnc2[n-]1 ZINC001226667450 811877062 /nfs/dbraw/zinc/87/70/62/811877062.db2.gz BVQPIRGNNWPWRE-SNVBAGLBSA-N -1 1 342.377 -0.075 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)S(C)(=O)=O ZINC001227113381 811904397 /nfs/dbraw/zinc/90/43/97/811904397.db2.gz GKUBTQPBLMHNKP-IVZWLZJFSA-N -1 1 345.425 -0.540 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)CCc3ccncn3)CC2)nc1=O ZINC001227596365 811929372 /nfs/dbraw/zinc/92/93/72/811929372.db2.gz CBWCSPMDFMMTOB-UHFFFAOYSA-N -1 1 345.407 -0.388 20 0 EBADMM O=S(=O)([O-])C[C@@H](CN1CCOCC1)Oc1ccc2[nH]nnc2c1 ZINC001228667560 811993080 /nfs/dbraw/zinc/99/30/80/811993080.db2.gz NHMJQZDZDFNXGU-LLVKDONJSA-N -1 1 342.377 -0.075 20 0 EBADMM Cn1cncc1CCC(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001230167176 812082504 /nfs/dbraw/zinc/08/25/04/812082504.db2.gz YRTHNGZEUXZHDW-UHFFFAOYSA-N -1 1 347.423 -0.445 20 0 EBADMM Cn1cc(CCC(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cn1 ZINC001230342848 812105515 /nfs/dbraw/zinc/10/55/15/812105515.db2.gz YRBHRFYCMHMNOR-UHFFFAOYSA-N -1 1 347.423 -0.445 20 0 EBADMM CCCC(=O)NCC(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001231013934 812264074 /nfs/dbraw/zinc/26/40/74/812264074.db2.gz CRFSHCBEIOCXIQ-LLVKDONJSA-N -1 1 338.412 -0.943 20 0 EBADMM C[C@@H](OCC1CC1)C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001231084584 812272362 /nfs/dbraw/zinc/27/23/62/812272362.db2.gz CQMQJBBMLYMGGS-DGCLKSJQSA-N -1 1 337.424 -0.044 20 0 EBADMM CNC(=O)NC(=O)CN1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001233836635 812353004 /nfs/dbraw/zinc/35/30/04/812353004.db2.gz HTGZGWIVQIPTFV-SNVBAGLBSA-N -1 1 335.364 -0.611 20 0 EBADMM CNC(=O)NC(=O)[C@H](C)N1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001233836168 812353031 /nfs/dbraw/zinc/35/30/31/812353031.db2.gz AJWIDIKNCFJPEU-QWRGUYRKSA-N -1 1 349.391 -0.222 20 0 EBADMM COC(=O)CN1C[C@@H](Oc2[n-]c(=O)c(F)cc2C(=O)OC)CC1=O ZINC001234607796 812442937 /nfs/dbraw/zinc/44/29/37/812442937.db2.gz HCBZKIVAIUHSIQ-ZETCQYMHSA-N -1 1 342.279 -0.134 20 0 EBADMM Cc1c(CC(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)cnn1C ZINC001235173863 812473033 /nfs/dbraw/zinc/47/30/33/812473033.db2.gz SZIZGYFCHMHNLL-CYBMUJFWSA-N -1 1 347.423 -0.574 20 0 EBADMM Cc1ncc(CC(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)o1 ZINC001235319174 812480753 /nfs/dbraw/zinc/48/07/53/812480753.db2.gz IOZMXWFUOIVFMA-NSHDSACASA-N -1 1 334.380 -0.320 20 0 EBADMM O=C(Cn1cccn1)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001081253250 812623843 /nfs/dbraw/zinc/62/38/43/812623843.db2.gz DLDYEBQTVVGTNP-AAEUAGOBSA-N -1 1 345.359 -0.767 20 0 EBADMM O=C(CCCC[C@@H]1SC[C@H]2NC(=O)N[C@H]12)NCc1nn[n-]n1 ZINC001251775952 812632389 /nfs/dbraw/zinc/63/23/89/812632389.db2.gz ZLRSUTDMWKQPEM-FYBVGQRMSA-N -1 1 325.398 -0.458 20 0 EBADMM O=C(COCC1CC1)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001081297126 812681269 /nfs/dbraw/zinc/68/12/69/812681269.db2.gz GZRAYSLVGHBDSM-TZMCWYRMSA-N -1 1 349.387 -0.237 20 0 EBADMM C[C@H](CS(=O)(=O)c1ccccc1)Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001255805094 812722015 /nfs/dbraw/zinc/72/20/15/812722015.db2.gz SXTUSQYRNXDKFS-SCZZXKLOSA-N -1 1 341.414 -0.026 20 0 EBADMM CCOCCC(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001081341839 812765636 /nfs/dbraw/zinc/76/56/36/812765636.db2.gz VGOPTJCUHOPHBH-AAEUAGOBSA-N -1 1 337.376 -0.237 20 0 EBADMM COC(=O)c1sccc1S(=O)(=O)NCC[P@](=O)([O-])O ZINC001259013874 812801481 /nfs/dbraw/zinc/80/14/81/812801481.db2.gz GPMDGCFUQUGNTN-UHFFFAOYSA-N -1 1 329.292 -0.009 20 0 EBADMM CSC[C@](C)(O)CNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001259926754 812815703 /nfs/dbraw/zinc/81/57/03/812815703.db2.gz ZIAVPSLFBMHQJG-CQSZACIVSA-N -1 1 337.405 -0.490 20 0 EBADMM COCC1(C(=O)N2C[C@@H](O)[C@@H](CNC(=O)c3ncccc3[O-])C2)CC1 ZINC001081427235 812920017 /nfs/dbraw/zinc/92/00/17/812920017.db2.gz CDHRRAKGBGSSDO-WCQYABFASA-N -1 1 349.387 -0.237 20 0 EBADMM CC(C)(O)CC(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001081450663 812975650 /nfs/dbraw/zinc/97/56/50/812975650.db2.gz WZNYNQUARHXRCN-PWSUYJOCSA-N -1 1 337.376 -0.503 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cncc(C)c1 ZINC001081478946 813028923 /nfs/dbraw/zinc/02/89/23/813028923.db2.gz NSCMJCCBIJXQEJ-CHWSQXEVSA-N -1 1 346.391 -0.559 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2CC[C@H](Cc3nccs3)C2)CNCCO1 ZINC001263247891 813109783 /nfs/dbraw/zinc/10/97/83/813109783.db2.gz MIGPLGVCFVXRCD-QMTHXVAHSA-N -1 1 325.390 -0.023 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)CCCCC(N)=O ZINC001263816425 813120580 /nfs/dbraw/zinc/12/05/80/813120580.db2.gz BSDPMAIMPZKBIH-LLVKDONJSA-N -1 1 338.412 -0.813 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ccn(C)c1 ZINC001081529708 813132839 /nfs/dbraw/zinc/13/28/39/813132839.db2.gz LWWQEYWACRRZRF-VXGBXAGGSA-N -1 1 334.380 -0.924 20 0 EBADMM CN(CCCNC(=O)c1c[n-]n2c1nccc2=O)[C@H]1CCN(C)C1=O ZINC001265045311 813227987 /nfs/dbraw/zinc/22/79/87/813227987.db2.gz MNONKAQXMQXSKS-LBPRGKRZSA-N -1 1 346.391 -0.695 20 0 EBADMM CN(CCCNC(=O)c1c[n-]n2c1nccc2=O)[C@@H]1CCN(C)C1=O ZINC001265045310 813228065 /nfs/dbraw/zinc/22/80/65/813228065.db2.gz MNONKAQXMQXSKS-GFCCVEGCSA-N -1 1 346.391 -0.695 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)/C=C/c2ccc[nH]2)nc1=O ZINC001265713280 813361915 /nfs/dbraw/zinc/36/19/15/813361915.db2.gz IGVMAMSGINXRAF-NQQGJGJESA-N -1 1 328.376 -0.304 20 0 EBADMM CC(C)C[C@]1(C(=O)NC[C@@H](C)NCc2n[nH]c(=O)[n-]2)CCNC1=O ZINC001265785399 813370772 /nfs/dbraw/zinc/37/07/72/813370772.db2.gz CMOIWBBFRGPDBQ-MEBBXXQBSA-N -1 1 338.412 -0.343 20 0 EBADMM O=C(Cc1ncc[nH]1)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001081692118 813414033 /nfs/dbraw/zinc/41/40/33/813414033.db2.gz BUXVTNCBKVSBAH-JQWIXIFHSA-N -1 1 345.359 -0.698 20 0 EBADMM Cn1cncc1CC(=O)NCCCN(Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001266168720 813470438 /nfs/dbraw/zinc/47/04/38/813470438.db2.gz BXBPKPZASGZIGA-UHFFFAOYSA-N -1 1 333.396 -0.043 20 0 EBADMM O=C(NCCC[C@@H]1CCCN1Cc1n[nH]c(=O)[n-]1)[C@H]1COCCO1 ZINC001266202254 813478275 /nfs/dbraw/zinc/47/82/75/813478275.db2.gz VIJNRTNZHXABSX-VXGBXAGGSA-N -1 1 339.396 -0.214 20 0 EBADMM Cc1nc(C(=O)NCCCN2CCN(Cc3n[nH]c(=O)[n-]3)CC2)co1 ZINC001266262286 813497365 /nfs/dbraw/zinc/49/73/65/813497365.db2.gz GQLOLPIYYIEBCA-UHFFFAOYSA-N -1 1 349.395 -0.256 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@@H]1CCC1(F)F ZINC001081838128 813634671 /nfs/dbraw/zinc/63/46/71/813634671.db2.gz JXGGGXUBLDVHJQ-IVZWLZJFSA-N -1 1 345.350 -0.531 20 0 EBADMM C[C@H](NC(N)=O)C(=O)N1CCCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001082029895 813727667 /nfs/dbraw/zinc/72/76/67/813727667.db2.gz KXHKASQKLUKGPL-QWRGUYRKSA-N -1 1 349.391 -0.045 20 0 EBADMM NC(=O)NCCC(=O)N1CCCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001082069179 813748129 /nfs/dbraw/zinc/74/81/29/813748129.db2.gz XJKYZVXJHVCBKM-NSHDSACASA-N -1 1 349.391 -0.044 20 0 EBADMM NC(=O)C(=O)NC[C@@H]1CCCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001082080974 813753563 /nfs/dbraw/zinc/75/35/63/813753563.db2.gz LMBFHQCYIZHWNQ-JTQLQIEISA-N -1 1 347.375 -0.344 20 0 EBADMM CCC[C@@H]1C[C@H]1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1OC ZINC001082111885 813769596 /nfs/dbraw/zinc/76/95/96/813769596.db2.gz NLYWDHATQJSOGE-FDYHWXHSSA-N -1 1 337.424 -0.140 20 0 EBADMM CN(CCNC(=O)c1cncc2ncn(C)c21)Cc1nc(=O)n(C)[n-]1 ZINC001267200260 813784217 /nfs/dbraw/zinc/78/42/17/813784217.db2.gz IRGJOSACKKWNKQ-UHFFFAOYSA-N -1 1 344.379 -0.748 20 0 EBADMM Cc1nc2cc(C(=O)N(C)CCNCc3n[nH]c(=O)[n-]3)cnc2[nH]1 ZINC001267382306 813868777 /nfs/dbraw/zinc/86/87/77/813868777.db2.gz OTTFNWWHIYCWOZ-UHFFFAOYSA-N -1 1 330.352 -0.048 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2CCc3nccn3C2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082603423 813977388 /nfs/dbraw/zinc/97/73/88/813977388.db2.gz ZZMIDDJRMOAOKQ-GRYCIOLGSA-N -1 1 345.407 -0.094 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2CCCCC(=O)N2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082734808 814001406 /nfs/dbraw/zinc/00/14/06/814001406.db2.gz ZIIKNSDWPDGOPW-GMTAPVOTSA-N -1 1 336.396 -0.494 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)c4ccco4)[C@H]3C2)nc1=O ZINC001082981682 814039933 /nfs/dbraw/zinc/03/99/33/814039933.db2.gz JTKWZGZXERKHEF-CMPLNLGQSA-N -1 1 333.348 -0.573 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)c4ccc[nH]4)[C@H]3C2)nc1=O ZINC001082998996 814049293 /nfs/dbraw/zinc/04/92/93/814049293.db2.gz SDWSLHOFOWBDSO-NWDGAFQWSA-N -1 1 332.364 -0.838 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)/C=C\C4CC4)[C@H]3C2)nc1=O ZINC001083033934 814082891 /nfs/dbraw/zinc/08/28/91/814082891.db2.gz LKARMFANKQQJDF-OEFFGFFESA-N -1 1 333.392 -0.514 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)CC4CCC4)[C@H]3C2)nc1=O ZINC001083036080 814083387 /nfs/dbraw/zinc/08/33/87/814083387.db2.gz QVMDRNYUPVLDIR-QWHCGFSZSA-N -1 1 335.408 -0.290 20 0 EBADMM O=C(c1ncccc1[O-])N1CCO[C@@H]2CN(Cc3cnon3)C[C@@H]21 ZINC001083059348 814098833 /nfs/dbraw/zinc/09/88/33/814098833.db2.gz AIYKDILRMWNRSO-WCQYABFASA-N -1 1 331.332 -0.104 20 0 EBADMM Cc1cnc(CNC[C@H](C)N(C)C(=O)CCn2cc[n-]c(=O)c2=O)o1 ZINC001268089343 814121886 /nfs/dbraw/zinc/12/18/86/814121886.db2.gz GZMBZOIFMURMLI-NSHDSACASA-N -1 1 349.391 -0.140 20 0 EBADMM O=C(NC[C@H](O)CNCc1n[nH]c(=O)[n-]1)c1ccc(F)c(F)c1F ZINC001268323529 814200552 /nfs/dbraw/zinc/20/05/52/814200552.db2.gz OJWGLFFTEVUEQX-ZCFIWIBFSA-N -1 1 345.281 -0.192 20 0 EBADMM C[C@H](O)CN1C[C@H]2OCCN(C(=O)c3ccc4oc(=O)nc-4[n-]3)[C@H]2C1 ZINC001083246689 814234509 /nfs/dbraw/zinc/23/45/09/814234509.db2.gz DYDVRVBOECEVBV-XHVZSJERSA-N -1 1 348.359 -0.166 20 0 EBADMM Cc1c[nH]cc1C(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21 ZINC001083273613 814252851 /nfs/dbraw/zinc/25/28/51/814252851.db2.gz BIFCVGSJETZWLP-QWHCGFSZSA-N -1 1 346.391 -0.530 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@H](OC)c1cnn(C)c1 ZINC001268467706 814254174 /nfs/dbraw/zinc/25/41/74/814254174.db2.gz SPGRBPLHTNNFLO-GFCCVEGCSA-N -1 1 337.384 -0.430 20 0 EBADMM CCC(=O)N1CC[C@H](C(=O)N(CC)CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001268490425 814263081 /nfs/dbraw/zinc/26/30/81/814263081.db2.gz JGKFCCYRHCTAHU-NSHDSACASA-N -1 1 338.412 -0.293 20 0 EBADMM O=C(N[C@@H]1CN(CCN2CCCC2=O)C[C@@H]1O)c1ncccc1[O-] ZINC001083422160 814402293 /nfs/dbraw/zinc/40/22/93/814402293.db2.gz KMZFFOULWFZDED-YPMHNXCESA-N -1 1 334.376 -0.816 20 0 EBADMM CC[C@@H](C(N)=O)N1CC2(CN(C(=O)c3ncccc3[O-])C2)OCC1=O ZINC001268843074 814410433 /nfs/dbraw/zinc/41/04/33/814410433.db2.gz SCSJJHIAINYHLJ-JTQLQIEISA-N -1 1 348.359 -0.896 20 0 EBADMM CCNC(=O)CN1CC[C@]2(CCN(C(=O)c3ncccc3[O-])C2)C1=O ZINC001268843066 814410575 /nfs/dbraw/zinc/41/05/75/814410575.db2.gz RXZHCCIICGHWKE-QGZVFWFLSA-N -1 1 346.387 -0.012 20 0 EBADMM COCCCN1C(=O)COCC12CN(C(=O)c1ncccc1[O-])C2 ZINC001268843726 814410701 /nfs/dbraw/zinc/41/07/01/814410701.db2.gz YYCRTTCLOGKBQA-UHFFFAOYSA-N -1 1 335.360 -0.123 20 0 EBADMM CCCCOCC[N@H+]1CC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001269042020 814496140 /nfs/dbraw/zinc/49/61/40/814496140.db2.gz JSPVYPVVVWIFCY-JTQLQIEISA-N -1 1 325.369 -0.096 20 0 EBADMM CCCCOCCN1CC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001269042020 814496144 /nfs/dbraw/zinc/49/61/44/814496144.db2.gz JSPVYPVVVWIFCY-JTQLQIEISA-N -1 1 325.369 -0.096 20 0 EBADMM CCc1cccnc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001083588899 814592425 /nfs/dbraw/zinc/59/24/25/814592425.db2.gz IAWBRICULHMYDI-NEPJUHHUSA-N -1 1 346.391 -0.959 20 0 EBADMM Cc1cccc(CN2C[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)[C@@H](O)C2)c1 ZINC001083606796 814617263 /nfs/dbraw/zinc/61/72/63/814617263.db2.gz QTEHIHRWIFZJJT-NEPJUHHUSA-N -1 1 345.359 -0.434 20 0 EBADMM O=C(NCC1COC1)[C@@H]1CCC2(CN(C(=O)c3ncccc3[O-])C2)O1 ZINC001269346926 814651421 /nfs/dbraw/zinc/65/14/21/814651421.db2.gz ZAXDQIBRTZKEME-ZDUSSCGKSA-N -1 1 347.371 -0.077 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C[C@H]3CC=CCC3)[C@@H](O)C2)nc1=O ZINC001083702816 814710465 /nfs/dbraw/zinc/71/04/65/814710465.db2.gz RBKXKJNWPJIXCZ-XQQFMLRXSA-N -1 1 335.408 -0.484 20 0 EBADMM CC(=O)[C@H](C)NC(=O)[C@H]1CC12CCN(C(=O)Cc1nn[n-]n1)CC2 ZINC001269575890 814732965 /nfs/dbraw/zinc/73/29/65/814732965.db2.gz ACAPDAPFIQQNLF-GXSJLCMTSA-N -1 1 334.380 -0.535 20 0 EBADMM CC(=O)[C@@H](C)N1CC[C@@]2(CCCN(C(=O)Cc3nn[n-]n3)CC2)C1=O ZINC001269576557 814733671 /nfs/dbraw/zinc/73/36/71/814733671.db2.gz OXSPTDIHDQXILD-BZNIZROVSA-N -1 1 348.407 -0.049 20 0 EBADMM CCOCCNC(=O)[C@H]1CC12CCN(C(=O)Cc1nn[n-]n1)CC2 ZINC001269576476 814734067 /nfs/dbraw/zinc/73/40/67/814734067.db2.gz MYMKCMDNIHMUKB-LLVKDONJSA-N -1 1 336.396 -0.476 20 0 EBADMM CC[C@@H](C)N1C[C@]2(CC1=O)COCCN(C(=O)Cc1nn[n-]n1)C2 ZINC001269576358 814734673 /nfs/dbraw/zinc/73/46/73/814734673.db2.gz JRCRSXQKIUHGPV-IAQYHMDHSA-N -1 1 336.396 -0.382 20 0 EBADMM CC[C@H](C)N1C(=O)C[C@@]2(CCCN(C(=O)Cc3nn[n-]n3)C2)C1=O ZINC001269576520 814734849 /nfs/dbraw/zinc/73/48/49/814734849.db2.gz NXAJJHNSCZJUQI-ZUZCIYMTSA-N -1 1 334.380 -0.092 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC[C@]2(CCN(C3COC3)C2=O)CC1 ZINC001269578217 814735495 /nfs/dbraw/zinc/73/54/95/814735495.db2.gz WSDCMSKJAYFIRB-OAHLLOKOSA-N -1 1 334.380 -0.628 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC[C@@]12CCN(Cc1ccncc1)C2=O ZINC001269578237 814735501 /nfs/dbraw/zinc/73/55/01/814735501.db2.gz XMNMSNGDMCEWSN-INIZCTEOSA-N -1 1 341.375 -0.069 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC2(C[C@@H]2C(=O)NCC(F)F)CC1 ZINC001269577421 814735568 /nfs/dbraw/zinc/73/55/68/814735568.db2.gz IQZDUXRKFMPJMI-MRVPVSSYSA-N -1 1 328.323 -0.248 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC[C@@]12CCN(Cc1cnoc1)C2=O ZINC001269577599 814736077 /nfs/dbraw/zinc/73/60/77/814736077.db2.gz KOLLVJGHABHPHQ-AWEZNQCLSA-N -1 1 331.336 -0.476 20 0 EBADMM Cc1cccc(CC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c1 ZINC001083745364 814751651 /nfs/dbraw/zinc/75/16/51/814751651.db2.gz CZJMPXIPLYFSJF-KGLIPLIRSA-N -1 1 345.403 -0.679 20 0 EBADMM CN(C)S(=O)(=O)N1C[C@@H]2CCN(C(=O)c3ccc([O-])cn3)[C@@H]2C1 ZINC001269896082 815630547 /nfs/dbraw/zinc/63/05/47/815630547.db2.gz YONJBBKSRAGOIT-GXFFZTMASA-N -1 1 340.405 -0.260 20 0 EBADMM Cc1ncc(CO)c(C(=O)N2CC[C@H](C(N)=O)n3nccc3C2)c1[O-] ZINC001269979789 815655377 /nfs/dbraw/zinc/65/53/77/815655377.db2.gz MUAFSKONQCUTMK-GFCCVEGCSA-N -1 1 345.359 -0.143 20 0 EBADMM CCn1ccnc1CN1C[C@@H]2CCN(C(=O)Cc3nn[n-]n3)[C@@H]2C1 ZINC001270182859 815714280 /nfs/dbraw/zinc/71/42/80/815714280.db2.gz MPSIXRCHWWUEKN-NWDGAFQWSA-N -1 1 330.396 -0.309 20 0 EBADMM CCn1ccnc1CN1CCO[C@H]2CN(C(=O)Cc3nn[n-]n3)C[C@H]21 ZINC001270183232 815714318 /nfs/dbraw/zinc/71/43/18/815714318.db2.gz UHEMWGFQANBQGO-NEPJUHHUSA-N -1 1 346.395 -0.930 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C(C3CC3)C3CC3)[C@@H](O)C2)nc1=O ZINC001083933425 815720807 /nfs/dbraw/zinc/72/08/07/815720807.db2.gz CYDQTMBIQQCKEW-NEPJUHHUSA-N -1 1 335.408 -0.794 20 0 EBADMM COCCOCN1CCO[C@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC001270257699 815735978 /nfs/dbraw/zinc/73/59/78/815735978.db2.gz DVQKMMARKZLAIL-JSGCOSHPSA-N -1 1 337.376 -0.067 20 0 EBADMM CSc1ncc2c(n1)[n-]cc(C(=O)N1CC3(C[C@H]3C(N)=O)C1)c2=O ZINC001270397204 815764951 /nfs/dbraw/zinc/76/49/51/815764951.db2.gz WZOWTSMKWFCLLU-VIFPVBQESA-N -1 1 345.384 -0.061 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c(C)c1 ZINC001084017780 815774742 /nfs/dbraw/zinc/77/47/42/815774742.db2.gz GTNFXBWBAQZALY-KGLIPLIRSA-N -1 1 345.403 -0.300 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)C[C@H]4CCCO4)[C@@H]3C2)nc1=O ZINC001084200452 815865264 /nfs/dbraw/zinc/86/52/64/815865264.db2.gz JIVYVVKNIRWUPP-JHJVBQTASA-N -1 1 335.408 -0.290 20 0 EBADMM CCn1cc(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)cn1 ZINC001084238526 815870295 /nfs/dbraw/zinc/87/02/95/815870295.db2.gz DGXOVIADGVMJDY-DGCLKSJQSA-N -1 1 345.407 -0.329 20 0 EBADMM Cc1cc(CC(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)no1 ZINC001084239199 815871031 /nfs/dbraw/zinc/87/10/31/815871031.db2.gz FZAVRNDGQJFWOT-DGCLKSJQSA-N -1 1 346.391 -0.320 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@H]2CCN([C@@H]3CCC(=O)NC3=O)C[C@H]21 ZINC001084300191 815885882 /nfs/dbraw/zinc/88/58/82/815885882.db2.gz ZRBSJECMLMPRAM-IJLUTSLNSA-N -1 1 344.371 -0.261 20 0 EBADMM O=C(Cc1nn[n-]n1)N1Cc2ccnn2CC[C@@H]1C(=O)N1CC=CC1 ZINC001270787984 815890531 /nfs/dbraw/zinc/89/05/31/815890531.db2.gz COHDOYUQVXPGFU-GFCCVEGCSA-N -1 1 342.363 -0.862 20 0 EBADMM C[C@H]1CN(C(=O)C23CC(NC(=O)Cc4nn[n-]n4)(C2)C3)C[C@H](C)O1 ZINC001270789211 815891574 /nfs/dbraw/zinc/89/15/74/815891574.db2.gz PCVXJKVJNKZODZ-FUJWFWLKSA-N -1 1 334.380 -0.583 20 0 EBADMM C[C@@H]1CN(C(=O)C23CC(NC(=O)Cc4nn[n-]n4)(C2)C3)C[C@H](C)O1 ZINC001270789213 815892699 /nfs/dbraw/zinc/89/26/99/815892699.db2.gz PCVXJKVJNKZODZ-SEGBCRLWSA-N -1 1 334.380 -0.583 20 0 EBADMM C[C@@H](NC(=O)C12CC(NC(=O)Cc3nn[n-]n3)(C1)C2)c1ncc[nH]1 ZINC001270789939 815892883 /nfs/dbraw/zinc/89/28/83/815892883.db2.gz XUJPGRALUCJANN-UWEQVVMYSA-N -1 1 330.352 -0.618 20 0 EBADMM Cn1ccc(CC(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)n1 ZINC001084337717 815897153 /nfs/dbraw/zinc/89/71/53/815897153.db2.gz UDLUSMZYJRUFMS-DGCLKSJQSA-N -1 1 345.407 -0.883 20 0 EBADMM COC1CC(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)C1 ZINC001084436028 815917787 /nfs/dbraw/zinc/91/77/87/815917787.db2.gz RSKXVCCXUXPFGS-IKWCTNDRSA-N -1 1 335.408 -0.434 20 0 EBADMM Cc1nc(C)c(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)[nH]1 ZINC001084669480 815959262 /nfs/dbraw/zinc/95/92/62/815959262.db2.gz UKGYQKDYWDWLFY-VXGBXAGGSA-N -1 1 345.407 -0.205 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)C[C@@H]4CCCOC4)[C@@H]3C2)nc1=O ZINC001084836432 815991111 /nfs/dbraw/zinc/99/11/11/815991111.db2.gz AGVYBCYCWHQPSF-BFHYXJOUSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)Cc4ccc[nH]4)[C@@H]3C2)nc1=O ZINC001084843718 815992674 /nfs/dbraw/zinc/99/26/74/815992674.db2.gz OUXAXKDSPHNVFJ-DGCLKSJQSA-N -1 1 330.392 -0.288 20 0 EBADMM Cc1ccc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)nn1 ZINC001085589444 816081975 /nfs/dbraw/zinc/08/19/75/816081975.db2.gz MBEVFVHEDHJCDG-LLVKDONJSA-N -1 1 331.380 -0.447 20 0 EBADMM Cc1cn(C)nc1C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085592915 816082836 /nfs/dbraw/zinc/08/28/36/816082836.db2.gz WNMBWJVCOHGNKD-NSHDSACASA-N -1 1 333.396 -0.503 20 0 EBADMM O=C(c1cncc([O-])c1)N1CC[C@]2(C1)CN(C1COC1)C(=O)CO2 ZINC001271387157 816094298 /nfs/dbraw/zinc/09/42/98/816094298.db2.gz ROCVLMDVQCAWOX-INIZCTEOSA-N -1 1 333.344 -0.371 20 0 EBADMM NC(=O)C1(C(=O)N[C@H]2C[C@H]3C[C@@H](C2)N(Cc2n[nH]c(=O)[n-]2)C3)CC1 ZINC001271422172 816102476 /nfs/dbraw/zinc/10/24/76/816102476.db2.gz MVTNUPSUIYFMNW-GUBZILKMSA-N -1 1 334.380 -0.755 20 0 EBADMM CN(C[C@H]1CCN1CCOC1CCC1)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001085700498 816116608 /nfs/dbraw/zinc/11/66/08/816116608.db2.gz PUWSWAXVAWDABN-SNVBAGLBSA-N -1 1 337.380 -0.002 20 0 EBADMM COc1nc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)co1 ZINC001085745237 816130632 /nfs/dbraw/zinc/13/06/32/816130632.db2.gz BUYHLWXROASXAU-SECBINFHSA-N -1 1 336.352 -0.549 20 0 EBADMM CN1C[C@]2(CC1=O)COCCN(C(=O)c1c[nH]c(=S)[n-]c1=O)C2 ZINC001271556075 816141260 /nfs/dbraw/zinc/14/12/60/816141260.db2.gz KHMXNIOKTRTTMN-AWEZNQCLSA-N -1 1 338.389 -0.208 20 0 EBADMM COc1ncccc1C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085796301 816151119 /nfs/dbraw/zinc/15/11/19/816151119.db2.gz OFCYWEMWNKSQHB-NSHDSACASA-N -1 1 346.391 -0.142 20 0 EBADMM CCn1ccc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001085855823 816163271 /nfs/dbraw/zinc/16/32/71/816163271.db2.gz KGCHJMGPDKUWJN-NSHDSACASA-N -1 1 333.396 -0.329 20 0 EBADMM CCn1cc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)nn1 ZINC001085896805 816174719 /nfs/dbraw/zinc/17/47/19/816174719.db2.gz NFKPMNIFDKQZQG-SNVBAGLBSA-N -1 1 334.384 -0.934 20 0 EBADMM CN(C[C@H]1CCN1Cc1ccon1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001085923617 816180180 /nfs/dbraw/zinc/18/01/80/816180180.db2.gz BXCYIDMOCQZPFS-LLVKDONJSA-N -1 1 343.347 -0.248 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1cccn(C)c1=O ZINC001085931230 816182523 /nfs/dbraw/zinc/18/25/23/816182523.db2.gz SVBQPOLNQFFQSY-LLVKDONJSA-N -1 1 346.391 -0.846 20 0 EBADMM CCNC(=O)CC(=O)N1CCC[C@H](C)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001271706233 816189434 /nfs/dbraw/zinc/18/94/34/816189434.db2.gz XITPUOCHJQDWLP-QWRGUYRKSA-N -1 1 338.412 -0.247 20 0 EBADMM CCn1nc(C)c(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001086003243 816208522 /nfs/dbraw/zinc/20/85/22/816208522.db2.gz CTHNHBDCOXGBRI-LLVKDONJSA-N -1 1 348.411 -0.625 20 0 EBADMM Cc1cc(CN[C@H]2C[C@@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)nn1C ZINC001086138330 816244206 /nfs/dbraw/zinc/24/42/06/816244206.db2.gz CXDGZAHCANMWDP-AOOOYVTPSA-N -1 1 347.379 -0.377 20 0 EBADMM CCOCCC(=O)N1CCC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001272063239 816305644 /nfs/dbraw/zinc/30/56/44/816305644.db2.gz YUNJQLNSXVAUEO-QWHCGFSZSA-N -1 1 337.424 -0.042 20 0 EBADMM C[C@H](N[C@H]1C[C@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1)c1nncn1C ZINC001086418298 816326856 /nfs/dbraw/zinc/32/68/56/816326856.db2.gz KLWSUZIWJGEZMW-CIUDSAMLSA-N -1 1 348.367 -0.730 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1C[C@@H](c2cnn(C)c2)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001086991555 816438346 /nfs/dbraw/zinc/43/83/46/816438346.db2.gz HKGARSYBEZMLFZ-XYJRDEOASA-N -1 1 345.407 -0.016 20 0 EBADMM C[C@@H]1CCN(C(=O)c2c[nH]c(=O)n2C)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087198852 816473247 /nfs/dbraw/zinc/47/32/47/816473247.db2.gz FEWQUYBGSWYZED-ZJUUUORDSA-N -1 1 349.395 -0.020 20 0 EBADMM C[C@@H]1CCN(C(=O)c2cnn(C)n2)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087225810 816476206 /nfs/dbraw/zinc/47/62/06/816476206.db2.gz OHWYGENBFOSAFA-ZJUUUORDSA-N -1 1 334.384 -0.331 20 0 EBADMM C[C@]1(C(=O)N2CC[C@@H](CCNCc3n[nH]c(=O)[n-]3)C2)CCNC1=O ZINC001272564201 816476358 /nfs/dbraw/zinc/47/63/58/816476358.db2.gz GCJLGGGCTQXBSK-BMIGLBTASA-N -1 1 336.396 -0.635 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)C2=CCOCC2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087551896 816531293 /nfs/dbraw/zinc/53/12/93/816531293.db2.gz AYLJGIVWEKBTKX-QWHCGFSZSA-N -1 1 335.408 -0.076 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)Cc2ccn(C)n2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087568484 816533879 /nfs/dbraw/zinc/53/38/79/816533879.db2.gz SZQRFQDOHGKYLA-QWHCGFSZSA-N -1 1 347.423 -0.446 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)[C@]23C[C@H]2COC3)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087579574 816535737 /nfs/dbraw/zinc/53/57/37/816535737.db2.gz HNQONCWUIFHEHF-OVZMXSCWSA-N -1 1 335.408 -0.386 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CCN1Cc1n[nH]c(C)n1 ZINC001087642205 816542835 /nfs/dbraw/zinc/54/28/35/816542835.db2.gz APXAJOQLXBUAEC-DTWKUNHWSA-N -1 1 348.367 -0.508 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)Cc2nonc2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087779912 816560357 /nfs/dbraw/zinc/56/03/57/816560357.db2.gz FCIPIDHMQIZWDN-CMPLNLGQSA-N -1 1 349.395 -0.488 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088383012 816675900 /nfs/dbraw/zinc/67/59/00/816675900.db2.gz ROZMRJHUTUVEGP-MXWKQRLJSA-N -1 1 336.396 -0.508 20 0 EBADMM Cc1cnn(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)c1 ZINC001088485375 816690073 /nfs/dbraw/zinc/69/00/73/816690073.db2.gz HLRXENWSHASQIP-NEPJUHHUSA-N -1 1 333.396 -0.607 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cccc(=O)[nH]2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088510364 816693403 /nfs/dbraw/zinc/69/34/03/816693403.db2.gz WNWZATCKFWKJJD-ZJUUUORDSA-N -1 1 332.364 -0.398 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cc2cccnc2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088524758 816694805 /nfs/dbraw/zinc/69/48/05/816694805.db2.gz MDERUQTYHGQMRX-YPMHNXCESA-N -1 1 330.392 -0.175 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2coc(C(N)=O)c2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088769029 816736971 /nfs/dbraw/zinc/73/69/71/816736971.db2.gz OKXLMFZWOAAPBJ-SCZZXKLOSA-N -1 1 348.363 -0.807 20 0 EBADMM COCCOCN1CC2(C1)CN(C(=O)c1c[nH]c(=S)[n-]c1=O)C2 ZINC001273784318 816760281 /nfs/dbraw/zinc/76/02/81/816760281.db2.gz LICTVJQDKPWWKC-UHFFFAOYSA-N -1 1 340.405 -0.151 20 0 EBADMM O=C(c1cc(=O)[nH][n-]1)N1CC2(C1)CN(C(=O)[C@@H]1CC3CCN1CC3)C2 ZINC001274425422 816955553 /nfs/dbraw/zinc/95/55/53/816955553.db2.gz MNDJQUQFHWXLSQ-ZDUSSCGKSA-N -1 1 345.403 -0.116 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)CC3CCCC3)[C@@H](O)C2)nc1=O ZINC001090033855 816976684 /nfs/dbraw/zinc/97/66/84/816976684.db2.gz MILZOCWWJHXHNP-OLZOCXBDSA-N -1 1 337.424 -0.260 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cccc(F)c3)[C@@H](O)C2)nc1=O ZINC001090040225 816981159 /nfs/dbraw/zinc/98/11/59/816981159.db2.gz AWPWEAAOLDKQDH-OLZOCXBDSA-N -1 1 349.366 -0.387 20 0 EBADMM Cc1ccncc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001090157990 817077591 /nfs/dbraw/zinc/07/75/91/817077591.db2.gz DISOJGIFDPZKDE-QWHCGFSZSA-N -1 1 346.391 -0.823 20 0 EBADMM Cc1cncc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c1 ZINC001090161399 817083078 /nfs/dbraw/zinc/08/30/78/817083078.db2.gz FWPIBBKPGDZUSD-QWHCGFSZSA-N -1 1 346.391 -0.823 20 0 EBADMM Cc1ccc(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)o1 ZINC001090204797 817120624 /nfs/dbraw/zinc/12/06/24/817120624.db2.gz VSLBIYITPZYUFH-STQMWFEESA-N -1 1 349.391 -0.696 20 0 EBADMM Cn1cc(CN2CC[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)cn1 ZINC001090215456 817132010 /nfs/dbraw/zinc/13/20/10/817132010.db2.gz FDMJYIVZSGDVOJ-OCCSQVGLSA-N -1 1 331.376 -0.114 20 0 EBADMM Cn1ccnc1CN1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001090215540 817132228 /nfs/dbraw/zinc/13/22/28/817132228.db2.gz GKTGCJZJMNAMIW-DGCLKSJQSA-N -1 1 331.376 -0.114 20 0 EBADMM CN(C(=O)CN1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1)C1CC1 ZINC001090214684 817132274 /nfs/dbraw/zinc/13/22/74/817132274.db2.gz YVVBTDCOAFOIGG-TZMCWYRMSA-N -1 1 348.403 -0.427 20 0 EBADMM CCc1occc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001090233596 817162543 /nfs/dbraw/zinc/16/25/43/817162543.db2.gz IYXQIDVQNPZDQA-NEPJUHHUSA-N -1 1 349.391 -0.371 20 0 EBADMM NC(=O)[C@H]1CCSC12CN(C(=O)c1c[nH]c(=S)[n-]c1=O)C2 ZINC001275247229 817177680 /nfs/dbraw/zinc/17/76/80/817177680.db2.gz JFGOVPQABGXQRH-SSDOTTSWSA-N -1 1 326.403 -0.096 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)c1cnc2nccn2c1 ZINC001275453353 817221322 /nfs/dbraw/zinc/22/13/22/817221322.db2.gz XDZNEIISASVVLG-IUCAKERBSA-N -1 1 330.352 -0.150 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C3C=CC=CC=C3)[C@@H](O)C2)nc1=O ZINC001090299634 817235985 /nfs/dbraw/zinc/23/59/85/817235985.db2.gz DAJHXFLSVHRGNK-KBPBESRZSA-N -1 1 345.403 -0.542 20 0 EBADMM CN(C)C(=O)CNC(=O)[C@@H]1CC12CN(C(=O)c1cncc([O-])c1)C2 ZINC001275623047 817263104 /nfs/dbraw/zinc/26/31/04/817263104.db2.gz HOSPMWPFXYUOEP-LBPRGKRZSA-N -1 1 332.360 -0.546 20 0 EBADMM Cc1cc(C)c(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)[nH]1 ZINC001090362351 817304984 /nfs/dbraw/zinc/30/49/84/817304984.db2.gz WMUVYRZBHCJIGV-NWDGAFQWSA-N -1 1 348.407 -0.581 20 0 EBADMM CCc1ccc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)o1 ZINC001090364266 817306991 /nfs/dbraw/zinc/30/69/91/817306991.db2.gz IATHISOQXOBWGJ-NEPJUHHUSA-N -1 1 349.391 -0.371 20 0 EBADMM CCCC[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CCCNC1=O ZINC001276254892 817378536 /nfs/dbraw/zinc/37/85/36/817378536.db2.gz HZOORFMMLKJSGM-WDEREUQCSA-N -1 1 338.412 -0.199 20 0 EBADMM CSc1ncc(C(=O)N2CC3(C2)CCOC[C@H]3C(N)=O)c(=O)[n-]1 ZINC001276545681 817421783 /nfs/dbraw/zinc/42/17/83/817421783.db2.gz ZBHHDNPSQGFRSM-VIFPVBQESA-N -1 1 338.389 -0.132 20 0 EBADMM CSc1ncc(C(=O)N2CCn3c(nnc3C(N)=O)C2)c(=O)[n-]1 ZINC001276544874 817421839 /nfs/dbraw/zinc/42/18/39/817421839.db2.gz QDPMHKZQPMORRO-UHFFFAOYSA-N -1 1 335.349 -0.750 20 0 EBADMM Cc1cocc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001090491860 817472849 /nfs/dbraw/zinc/47/28/49/817472849.db2.gz BIUYLFPLHXBEGO-NWDGAFQWSA-N -1 1 335.364 -0.625 20 0 EBADMM CCc1ccoc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001090544857 817527765 /nfs/dbraw/zinc/52/77/65/817527765.db2.gz FEXQCIBPVFQGBG-VXGBXAGGSA-N -1 1 349.391 -0.371 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C3CC4(CC4)C3)[C@@H](O)C2)nc1=O ZINC001090561016 817540862 /nfs/dbraw/zinc/54/08/62/817540862.db2.gz YATYILFYHRKFRW-NEPJUHHUSA-N -1 1 335.408 -0.650 20 0 EBADMM CN(C(=O)[C@H]1CCCNC1=O)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001277661929 817619227 /nfs/dbraw/zinc/61/92/27/817619227.db2.gz CZSCQVIFDVXZTQ-QWRGUYRKSA-N -1 1 336.396 -0.637 20 0 EBADMM Cn1[n-]c(CN[C@@H](CO)CNC(=O)c2ccc3cc[nH]c3c2)nc1=O ZINC001278165754 817715051 /nfs/dbraw/zinc/71/50/51/817715051.db2.gz ZNZPRJYHAVGCKT-GFCCVEGCSA-N -1 1 344.375 -0.530 20 0 EBADMM CCCCN1CCn2c(nnc2C(=O)N=c2nn[n-]n2C)C[C@@H]1C ZINC001278218272 817726841 /nfs/dbraw/zinc/72/68/41/817726841.db2.gz IGZHMVZTVYUINH-JTQLQIEISA-N -1 1 333.400 -0.478 20 0 EBADMM CC/C=C(\C)C(=O)N(C)C[C@H](O)CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001278849311 817863206 /nfs/dbraw/zinc/86/32/06/817863206.db2.gz BFSQBLABDSIXRT-YTRUQHMWSA-N -1 1 325.413 -0.284 20 0 EBADMM Cc1cc(CNC2CC(CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)nn1C ZINC001091121166 817893155 /nfs/dbraw/zinc/89/31/55/817893155.db2.gz CXDGZAHCANMWDP-UHFFFAOYSA-N -1 1 347.379 -0.377 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)[C@@H]4CCCO4)C[C@]3(C)C2)nc1=O ZINC001091461807 817992589 /nfs/dbraw/zinc/99/25/89/817992589.db2.gz BSUWUQBVMGSWKF-WQGACYEGSA-N -1 1 335.408 -0.432 20 0 EBADMM Cc1cc(C(=O)N2C[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@@]3(C)C2)on1 ZINC001091474102 817996441 /nfs/dbraw/zinc/99/64/41/817996441.db2.gz LGXURRWYSPWBMT-BZNIZROVSA-N -1 1 346.391 -0.001 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)[C@@H]4CCCCO4)C[C@]3(C)C2)nc1=O ZINC001091599319 818015226 /nfs/dbraw/zinc/01/52/26/818015226.db2.gz RXNREXUHPQQVPX-IGCXYCKISA-N -1 1 349.435 -0.042 20 0 EBADMM CC(C)C(=O)N1CCC(OC2CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001093506618 818036327 /nfs/dbraw/zinc/03/63/27/818036327.db2.gz ALWXBIWVUKGVBC-UHFFFAOYSA-N -1 1 337.424 -0.044 20 0 EBADMM CCOc1cc(NCCNC(=O)CCn2cc[n-]c(=O)c2=O)ncn1 ZINC001093737449 818092027 /nfs/dbraw/zinc/09/20/27/818092027.db2.gz ZAKDRKANEPJIPY-UHFFFAOYSA-N -1 1 348.363 -0.656 20 0 EBADMM CCOc1cc(NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)ncn1 ZINC001093761305 818096809 /nfs/dbraw/zinc/09/68/09/818096809.db2.gz AFYLGYIGIAOPTO-UHFFFAOYSA-N -1 1 344.335 -0.552 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NCc1cn(C2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001094271119 818192798 /nfs/dbraw/zinc/19/27/98/818192798.db2.gz NTYHRQCLMMEMFR-CABZTGNLSA-N -1 1 346.395 -0.971 20 0 EBADMM CC(C)CN1CC(n2cc(CNC(=O)c3n[nH]c(=O)[n-]c3=O)nn2)C1 ZINC001094303310 818223853 /nfs/dbraw/zinc/22/38/53/818223853.db2.gz DGXVPPQQDIFASG-UHFFFAOYSA-N -1 1 348.367 -0.683 20 0 EBADMM C[C@H]1C[C@H](NC(=O)CC(N)=O)CCN1Cc1cc(=O)n2[n-]ccc2n1 ZINC001281659900 818251090 /nfs/dbraw/zinc/25/10/90/818251090.db2.gz SQWOZCRJFVDCQZ-WDEREUQCSA-N -1 1 346.391 -0.633 20 0 EBADMM O=C(NC[C@]12CCC[C@H]1N(Cc1n[nH]c(=O)[n-]1)CC2)[C@H]1CCNC1=O ZINC001094488257 818274721 /nfs/dbraw/zinc/27/47/21/818274721.db2.gz UURYUTAOEJGMRJ-LYOVBCGYSA-N -1 1 348.407 -0.493 20 0 EBADMM Cc1ccc(NCCCNC(=O)CCn2cc[n-]c(=O)c2=O)nn1 ZINC001094499247 818277544 /nfs/dbraw/zinc/27/75/44/818277544.db2.gz QRXBIDXVIVSZJZ-UHFFFAOYSA-N -1 1 332.364 -0.356 20 0 EBADMM O=C(NCCCNc1cc(F)ncn1)c1cc(=O)n2[n-]cnc2n1 ZINC001094502480 818278104 /nfs/dbraw/zinc/27/81/04/818278104.db2.gz NYIWBYQKZQSRQN-UHFFFAOYSA-N -1 1 332.299 -0.421 20 0 EBADMM CCn1cccc1C(=O)NCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001283027319 818592645 /nfs/dbraw/zinc/59/26/45/818592645.db2.gz IYBCKXWHTSBWEV-UHFFFAOYSA-N -1 1 347.375 -0.706 20 0 EBADMM Cc1ncoc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001095270621 818593802 /nfs/dbraw/zinc/59/38/02/818593802.db2.gz XGXKMITWLIZSIU-VWYCJHECSA-N -1 1 332.364 -0.060 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2cc[nH]c(=O)c2)C3)nc1=O ZINC001095291700 818597559 /nfs/dbraw/zinc/59/75/59/818597559.db2.gz JUDZDXFVNXRHSP-WOPDTQHZSA-N -1 1 344.375 -0.256 20 0 EBADMM Cc1ccc(N(C)CCCNC(=O)CCn2cc[n-]c(=O)c2=O)nn1 ZINC001095589681 818653239 /nfs/dbraw/zinc/65/32/39/818653239.db2.gz LPIQZZOVELJGKV-UHFFFAOYSA-N -1 1 346.391 -0.332 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2csnn2)C3)nc1=O ZINC001095826920 818693536 /nfs/dbraw/zinc/69/35/36/818693536.db2.gz LRBBXNBUDDDHGS-WEDXCCLWSA-N -1 1 335.393 -0.505 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2ccnnc2)C3)nc1=O ZINC001096058312 818725848 /nfs/dbraw/zinc/72/58/48/818725848.db2.gz HEXBMGOCGCQKEP-WOPDTQHZSA-N -1 1 329.364 -0.566 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)Cc2c[nH]cn2)C3)nc1=O ZINC001096072939 818726752 /nfs/dbraw/zinc/72/67/52/818726752.db2.gz OLLISCJUUMPIHA-WOPDTQHZSA-N -1 1 331.380 -0.704 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCCNC(=O)[C@@H]1CC12CC2 ZINC001283302751 818751353 /nfs/dbraw/zinc/75/13/53/818751353.db2.gz HYCHUNJXBYQJGJ-QMMMGPOBSA-N -1 1 336.348 -0.067 20 0 EBADMM CCNC(=O)CC(=O)N(C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001283381599 818785762 /nfs/dbraw/zinc/78/57/62/818785762.db2.gz LBOCFLJOGHKIEX-LLVKDONJSA-N -1 1 334.376 -0.014 20 0 EBADMM Cc1ccnc(N2CC[C@@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)n1 ZINC001096402769 818818127 /nfs/dbraw/zinc/81/81/27/818818127.db2.gz IESIMJMJDQDEAA-GFCCVEGCSA-N -1 1 344.375 -0.580 20 0 EBADMM CN(C(=O)c1cc[n+]([O-])cc1)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001283479230 818824840 /nfs/dbraw/zinc/82/48/40/818824840.db2.gz FSDYDFXKZDJWFY-GFCCVEGCSA-N -1 1 332.364 -0.216 20 0 EBADMM O=C(NC[C@@H](Nc1ncccn1)C1CC1)c1cc(=O)n2[n-]cnc2n1 ZINC001096691810 818872469 /nfs/dbraw/zinc/87/24/69/818872469.db2.gz NFLWPQREYIZYJJ-LLVKDONJSA-N -1 1 340.347 -0.172 20 0 EBADMM CN(CCCNC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)[C@@H]1CC12CC2 ZINC001283595681 818873403 /nfs/dbraw/zinc/87/34/03/818873403.db2.gz OEWUNADFOYMQBR-LBPRGKRZSA-N -1 1 348.403 -0.309 20 0 EBADMM Cc1c(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)cnn1C ZINC001096810156 818890601 /nfs/dbraw/zinc/89/06/01/818890601.db2.gz ROSOJOOUWOBVPN-RTXFEEFZSA-N -1 1 345.407 -0.314 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)C[C@H]2CCCO2)C3)nc1=O ZINC001096832835 818892743 /nfs/dbraw/zinc/89/27/43/818892743.db2.gz NLOHLJIMHSMTBP-LPWJVIDDSA-N -1 1 335.408 -0.101 20 0 EBADMM C[C@@H](CN(C)C(=O)c1nccn2ccnc12)NCc1n[nH]c(=O)[n-]1 ZINC001283680498 818904264 /nfs/dbraw/zinc/90/42/64/818904264.db2.gz UFYZFUXDBJPLQU-VIFPVBQESA-N -1 1 330.352 -0.197 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1C[C@@H](Nc2cc(F)ncn2)C1 ZINC001097201438 818954756 /nfs/dbraw/zinc/95/47/56/818954756.db2.gz HHRZNMSRWRXXJC-AOOOYVTPSA-N -1 1 348.338 -0.385 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CCN(C(=O)CCCF)C1 ZINC001283876216 819013268 /nfs/dbraw/zinc/01/32/68/819013268.db2.gz JUQJLYSENOPDKW-NSHDSACASA-N -1 1 340.355 -0.607 20 0 EBADMM Cc1nccc(N[C@@H](C)CNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001097953658 819063012 /nfs/dbraw/zinc/06/30/12/819063012.db2.gz HWKQFKZDIVPUDL-QMMMGPOBSA-N -1 1 328.336 -0.254 20 0 EBADMM C[C@H](CNC(=O)c1n[nH]c(=O)[n-]c1=O)Nc1ncnc2[nH]cnc21 ZINC001098435853 819185342 /nfs/dbraw/zinc/18/53/42/819185342.db2.gz TWKXNHHMHVNUET-RXMQYKEDSA-N -1 1 331.296 -0.821 20 0 EBADMM O=C(NCC1CC1)C(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001284380426 819208545 /nfs/dbraw/zinc/20/85/45/819208545.db2.gz HWTNWSPRJBIBRK-LBPRGKRZSA-N -1 1 346.387 -0.108 20 0 EBADMM CN(CCNC(=O)c1ccc[nH]1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001284497163 819256607 /nfs/dbraw/zinc/25/66/07/819256607.db2.gz GYKLWOLWDMKSIL-UHFFFAOYSA-N -1 1 329.320 -0.752 20 0 EBADMM CCN(CCNC(=O)[C@H]1C[C@@H]1C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001284597453 819300211 /nfs/dbraw/zinc/30/02/11/819300211.db2.gz BSYLEWIXLLLDAE-RYUDHWBXSA-N -1 1 336.392 -0.453 20 0 EBADMM CCN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)/C=C\C1CC1 ZINC001284631252 819310346 /nfs/dbraw/zinc/31/03/46/819310346.db2.gz JCCWPETUJZKPPB-WAYWQWQTSA-N -1 1 348.403 -0.142 20 0 EBADMM O=C(CCC(F)F)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001284926134 819413463 /nfs/dbraw/zinc/41/34/63/819413463.db2.gz QTQMHSFUWPPEHX-UHFFFAOYSA-N -1 1 344.318 -0.701 20 0 EBADMM C[C@H]1C[C@H]1CC(=O)N1CC(CNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001284979011 819440644 /nfs/dbraw/zinc/44/06/44/819440644.db2.gz GDQRYNVLMOJMMD-AAEUAGOBSA-N -1 1 348.403 -0.453 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)CNC(=O)c1ccco1 ZINC001285203819 819508898 /nfs/dbraw/zinc/50/88/98/819508898.db2.gz IZOKZYPNXAGNEH-NSHDSACASA-N -1 1 348.359 -0.298 20 0 EBADMM CN(CCN(C)C(=O)C1=CCCC1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001285364288 819555862 /nfs/dbraw/zinc/55/58/62/819555862.db2.gz NVJCOXBDJNKLDK-UHFFFAOYSA-N -1 1 348.403 -0.046 20 0 EBADMM CCC(CC)C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001099695675 819585626 /nfs/dbraw/zinc/58/56/26/819585626.db2.gz MICVATKAXXXBOX-RYUDHWBXSA-N -1 1 325.413 -0.404 20 0 EBADMM CC(C)(F)C(=O)NC/C=C\CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285453740 819614217 /nfs/dbraw/zinc/61/42/17/819614217.db2.gz OKTDINCDDMYRHA-ARJAWSKDSA-N -1 1 340.355 -0.537 20 0 EBADMM CC(C)=C(F)C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001099778611 819686996 /nfs/dbraw/zinc/68/69/96/819686996.db2.gz MRYBRBYQKOMHGP-ZJUUUORDSA-N -1 1 327.360 -0.577 20 0 EBADMM CC[C@H](C)C(=O)NC[C@H](C)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001285598115 819688473 /nfs/dbraw/zinc/68/84/73/819688473.db2.gz JJAZWRAAJONBBS-RYUDHWBXSA-N -1 1 338.408 -0.064 20 0 EBADMM O=C(N[C@H]1CCN(CCNC(=O)C2CC2)C[C@@H]1O)c1ncccc1[O-] ZINC001099783463 819692285 /nfs/dbraw/zinc/69/22/85/819692285.db2.gz QVIXSMCAQQCCEZ-JSGCOSHPSA-N -1 1 348.403 -0.522 20 0 EBADMM C[C@H](CNC(=O)c1cc[nH]c1)N(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001285709303 819733987 /nfs/dbraw/zinc/73/39/87/819733987.db2.gz ILZMVQHSAYQZTH-SECBINFHSA-N -1 1 343.347 -0.364 20 0 EBADMM CCC(C)(C)CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001099843963 819773764 /nfs/dbraw/zinc/77/37/64/819773764.db2.gz ADRXIIRSTPJJEW-NWDGAFQWSA-N -1 1 339.440 -0.014 20 0 EBADMM CC(C)C(C)(C)C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001099853595 819780030 /nfs/dbraw/zinc/78/00/30/819780030.db2.gz TXKZAAMAZVVMEL-NWDGAFQWSA-N -1 1 339.440 -0.158 20 0 EBADMM CC(C)=CC(=O)N1CC(N(C)C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001286024866 819874522 /nfs/dbraw/zinc/87/45/22/819874522.db2.gz HSVUCFWLXVILPR-UHFFFAOYSA-N -1 1 334.376 -0.438 20 0 EBADMM C[C@@H](CN(C)C(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)[C@H]1CC12CC2 ZINC001287664103 820092749 /nfs/dbraw/zinc/09/27/49/820092749.db2.gz ZPDYQRHDQFWOAD-NWDGAFQWSA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@@H](CN(C)C(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)CCCF ZINC001287664352 820092794 /nfs/dbraw/zinc/09/27/94/820092794.db2.gz WJQDXGYZCZYKJC-NSHDSACASA-N -1 1 342.371 -0.361 20 0 EBADMM CCC(CC)CC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001100054774 820130257 /nfs/dbraw/zinc/13/02/57/820130257.db2.gz YSBCDBCHTFUSEU-OLZOCXBDSA-N -1 1 339.440 -0.014 20 0 EBADMM CC(C)C(=O)N1C[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H]1C ZINC001287911906 820193664 /nfs/dbraw/zinc/19/36/64/820193664.db2.gz XDLSMBORTFAPSA-RYUDHWBXSA-N -1 1 336.392 -0.312 20 0 EBADMM NC(=O)CC(=O)N[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])C12CCC2 ZINC001289208401 820380169 /nfs/dbraw/zinc/38/01/69/820380169.db2.gz NAVCCJJRFGIFDR-GHMZBOCLSA-N -1 1 332.360 -0.180 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)C(C)(C)C(N)=O ZINC001289976511 820432965 /nfs/dbraw/zinc/43/29/65/820432965.db2.gz CRAODTHEARMKBP-JTQLQIEISA-N -1 1 334.376 -0.028 20 0 EBADMM CCCC(=O)N[C@H]1CC2(CN(Cc3nc(=O)n(C)[n-]3)C2)n2ccnc21 ZINC001101603011 820824647 /nfs/dbraw/zinc/82/46/47/820824647.db2.gz WDRVXTLQAKROGF-NSHDSACASA-N -1 1 345.407 -0.123 20 0 EBADMM CN(CCNc1cnc(F)cn1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001101687078 820878885 /nfs/dbraw/zinc/87/88/85/820878885.db2.gz XCLRMYFEENAKGK-UHFFFAOYSA-N -1 1 336.327 -0.574 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)C[C@H]1COC(=O)C1 ZINC001101880322 820943920 /nfs/dbraw/zinc/94/39/20/820943920.db2.gz DUTZTEPHCDVMKM-GMTAPVOTSA-N -1 1 337.380 -0.352 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)C[C@@H]1COC(=O)C1 ZINC001101880323 820943934 /nfs/dbraw/zinc/94/39/34/820943934.db2.gz DUTZTEPHCDVMKM-OUAUKWLOSA-N -1 1 337.380 -0.352 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CCN(C(=O)c2ccc[nH]2)C1 ZINC001294772630 820996229 /nfs/dbraw/zinc/99/62/29/820996229.db2.gz DAHKXOBVBCBAKI-NSHDSACASA-N -1 1 345.359 -0.714 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)N[C@H]1C[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001295221241 821064857 /nfs/dbraw/zinc/06/48/57/821064857.db2.gz QJTQZZJBJPMRJD-FVCCEPFGSA-N -1 1 348.403 -0.264 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)[C@]1(C)CCC(=O)N1 ZINC001102343584 821074370 /nfs/dbraw/zinc/07/43/70/821074370.db2.gz HQQACGDGJDPVBM-FCHSOHFDSA-N -1 1 336.396 -0.637 20 0 EBADMM CC[C@H](C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001295354557 821084222 /nfs/dbraw/zinc/08/42/22/821084222.db2.gz DISCFZCWHWAQJC-CIQGVGRVSA-N -1 1 348.403 -0.454 20 0 EBADMM C[C@H](CNC(=O)C(C)(F)F)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001295401282 821090244 /nfs/dbraw/zinc/09/02/44/821090244.db2.gz OKXPBZBSUIQUPZ-MRVPVSSYSA-N -1 1 332.307 -0.797 20 0 EBADMM C[C@@H](CNC(=O)C(C)(F)F)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001295401283 821090544 /nfs/dbraw/zinc/09/05/44/821090544.db2.gz OKXPBZBSUIQUPZ-QMMMGPOBSA-N -1 1 332.307 -0.797 20 0 EBADMM CC[C@@]1(C(=O)NC[C@@H](C)NC(=O)c2ncccc2[O-])CCNC1=O ZINC001295546894 821118839 /nfs/dbraw/zinc/11/88/39/821118839.db2.gz ZLGUSJRTFGHUDG-QLJPJBMISA-N -1 1 334.376 -0.062 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)C1CCCC1 ZINC001295568563 821125747 /nfs/dbraw/zinc/12/57/47/821125747.db2.gz HVSRIBPKFHEQQF-NSHDSACASA-N -1 1 336.392 -0.262 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)[C@H]1CC1(F)F ZINC001295569506 821127101 /nfs/dbraw/zinc/12/71/01/821127101.db2.gz NENXQOXGQXGUHB-RKDXNWHRSA-N -1 1 344.318 -0.797 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)[C@@H]1CC1(F)F ZINC001295569505 821127131 /nfs/dbraw/zinc/12/71/31/821127131.db2.gz NENXQOXGQXGUHB-IUCAKERBSA-N -1 1 344.318 -0.797 20 0 EBADMM COCCOCCC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102611106 821173607 /nfs/dbraw/zinc/17/36/07/821173607.db2.gz FWMMGOXVEPXIFY-VXGBXAGGSA-N -1 1 341.412 -0.253 20 0 EBADMM CN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)c1ccccc1 ZINC001296153228 821218232 /nfs/dbraw/zinc/21/82/32/821218232.db2.gz MMXUHLCNIMKUNY-UHFFFAOYSA-N -1 1 344.371 -0.185 20 0 EBADMM CCCN(CCNC(=O)[C@@H]1CCNC1=O)C(=O)c1ncccc1[O-] ZINC001296529472 821264621 /nfs/dbraw/zinc/26/46/21/821264621.db2.gz WOGMXNKFOYNVBB-LLVKDONJSA-N -1 1 334.376 -0.108 20 0 EBADMM CC/C(C)=C\C(=O)N1CC(NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001297096769 821321787 /nfs/dbraw/zinc/32/17/87/821321787.db2.gz HZXSDPCZKUOSQM-FLIBITNWSA-N -1 1 334.376 -0.390 20 0 EBADMM C[C@]1(C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])CCNC1=O ZINC001299104133 821647725 /nfs/dbraw/zinc/64/77/25/821647725.db2.gz IISLFVZYRVLSMK-HWPZZCPQSA-N -1 1 332.360 -0.356 20 0 EBADMM CC[C@H](F)C(=O)N1CC(N(C)C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001299381007 821687839 /nfs/dbraw/zinc/68/78/39/821687839.db2.gz RMWMXBZJBAATIP-NSHDSACASA-N -1 1 340.355 -0.656 20 0 EBADMM CC1(C(=O)N2CC[C@@](C)(NC(=O)CCn3cc[n-]c(=O)c3=O)C2)CC1 ZINC001299559712 821707882 /nfs/dbraw/zinc/70/78/82/821707882.db2.gz QFAJOPIPOGCSER-QGZVFWFLSA-N -1 1 348.403 -0.166 20 0 EBADMM CSc1nc(CNC(=O)CC[C@H]2NC(=O)NC2=O)cc(=O)[n-]1 ZINC001299994140 821740983 /nfs/dbraw/zinc/74/09/83/821740983.db2.gz UMSKWEUORPKDLD-SSDOTTSWSA-N -1 1 325.350 -0.492 20 0 EBADMM CN1C(=O)Cc2cc(CNC(=O)CCn3cc[n-]c(=O)c3=O)ccc21 ZINC001305446467 821766080 /nfs/dbraw/zinc/76/60/80/821766080.db2.gz LGOSDDYXIGNRRT-UHFFFAOYSA-N -1 1 342.355 -0.238 20 0 EBADMM Cn1ncc2c1ncnc2NC[C@@H](O)CNC(=O)c1ncccc1[O-] ZINC001105802400 821874110 /nfs/dbraw/zinc/87/41/10/821874110.db2.gz MLYHRCPWMFGULM-SECBINFHSA-N -1 1 343.347 -0.333 20 0 EBADMM CCN(CCCNC(=O)CC1OCCCO1)Cc1n[nH]c(=O)[n-]1 ZINC001316888471 821906491 /nfs/dbraw/zinc/90/64/91/821906491.db2.gz GXWURMXPHNXKMA-UHFFFAOYSA-N -1 1 327.385 -0.008 20 0 EBADMM CC(C)COCCC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001316970736 821952707 /nfs/dbraw/zinc/95/27/07/821952707.db2.gz PBHNBHROZYILQS-LBPRGKRZSA-N -1 1 325.413 -0.138 20 0 EBADMM CCCN(C(=O)CC(N)=O)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001317004374 821971902 /nfs/dbraw/zinc/97/19/02/821971902.db2.gz VCAIZFLERNDLSA-UHFFFAOYSA-N -1 1 338.412 -0.813 20 0 EBADMM C[C@H](CNC(=O)Cn1c2ccccc2oc1=O)NCc1n[nH]c(=O)[n-]1 ZINC001317289642 822066041 /nfs/dbraw/zinc/06/60/41/822066041.db2.gz MXJDEIAOXGDVRG-SECBINFHSA-N -1 1 346.347 -0.287 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@@H]1CCCN1Cc1cnon1 ZINC001317506879 822135753 /nfs/dbraw/zinc/13/57/53/822135753.db2.gz AEJWWARCJJGXNQ-LBPRGKRZSA-N -1 1 348.363 -0.910 20 0 EBADMM C[C@]1(C(=O)NCC[C@@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)CCNC1=O ZINC001317799676 822214459 /nfs/dbraw/zinc/21/44/59/822214459.db2.gz BLRVIUGIWCBTOA-MEBBXXQBSA-N -1 1 336.396 -0.635 20 0 EBADMM Cc1c(C(=O)NC2CN(CCC[N-]C(=O)C(F)(F)F)C2)nnn1C ZINC001318011087 822244495 /nfs/dbraw/zinc/24/44/95/822244495.db2.gz AFBJUDRXMSXKQV-UHFFFAOYSA-N -1 1 348.329 -0.394 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)CCCOc3cccnc3)C2)nc1=O ZINC001318087116 822255852 /nfs/dbraw/zinc/25/58/52/822255852.db2.gz MJAPOWJGEMCLTM-UHFFFAOYSA-N -1 1 346.391 -0.337 20 0 EBADMM C[C@@H](CCNc1cnc(F)cn1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001106382622 822260341 /nfs/dbraw/zinc/26/03/41/822260341.db2.gz FSPATMVNWJDOIV-QMMMGPOBSA-N -1 1 346.326 -0.033 20 0 EBADMM CCN(CCNc1cc(C)ncn1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001106805148 822334659 /nfs/dbraw/zinc/33/46/59/822334659.db2.gz QNFYSABXVNTUBB-UHFFFAOYSA-N -1 1 346.391 -0.014 20 0 EBADMM CC[C@H](CNC(=O)c1ccc2nncn2c1)NCc1n[nH]c(=O)[n-]1 ZINC001318581740 822338240 /nfs/dbraw/zinc/33/82/40/822338240.db2.gz ALHCGTXUWKBGGK-SNVBAGLBSA-N -1 1 330.352 -0.149 20 0 EBADMM CC[C@@H](C)C(=O)NCc1cn(C2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001107132655 823742886 /nfs/dbraw/zinc/74/28/86/823742886.db2.gz CEHZDENOORNDJB-SNVBAGLBSA-N -1 1 348.411 -0.581 20 0 EBADMM O=C(NC/C=C/CNc1cc(F)ncn1)c1cc(=O)n2[n-]cnc2n1 ZINC001107262919 823830418 /nfs/dbraw/zinc/83/04/18/823830418.db2.gz LJDNSYMLRALIRU-OWOJBTEDSA-N -1 1 344.310 -0.255 20 0 EBADMM O=C(NC/C=C\CNc1cc(F)ncn1)c1cc(=O)n2[n-]cnc2n1 ZINC001107262920 823830997 /nfs/dbraw/zinc/83/09/97/823830997.db2.gz LJDNSYMLRALIRU-UPHRSURJSA-N -1 1 344.310 -0.255 20 0 EBADMM CC[C@@H](OC)C(=O)NC[C@@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107798037 823969365 /nfs/dbraw/zinc/96/93/65/823969365.db2.gz KLLMQAUBPVEYBD-ABAIWWIYSA-N -1 1 341.412 -0.760 20 0 EBADMM CO[C@H](C)CC(=O)NC[C@@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107799265 823970279 /nfs/dbraw/zinc/97/02/79/823970279.db2.gz BKNUFHDHSBSBBX-ABAIWWIYSA-N -1 1 341.412 -0.760 20 0 EBADMM Cc1cc(C)nc(NC[C@@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001107837638 823995035 /nfs/dbraw/zinc/99/50/35/823995035.db2.gz SJJXJEWHHAALGE-GFCCVEGCSA-N -1 1 346.391 -0.050 20 0 EBADMM Cn1[n-]c(CN2CCO[C@](C)(CNC(=O)/C=C\C3CC3)C2)nc1=O ZINC001107842216 823996107 /nfs/dbraw/zinc/99/61/07/823996107.db2.gz YHDKWCLVVOQLTL-OGZRUICASA-N -1 1 335.408 -0.218 20 0 EBADMM Cc1ccc(NC[C@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)nn1 ZINC001108445409 824289108 /nfs/dbraw/zinc/28/91/08/824289108.db2.gz TZVZXZMLGPYYQL-NSHDSACASA-N -1 1 332.364 -0.358 20 0 EBADMM COc1ccnc(NC[C@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001108444774 824289130 /nfs/dbraw/zinc/28/91/30/824289130.db2.gz DVLIQRFNIZFDFN-JTQLQIEISA-N -1 1 348.363 -0.658 20 0 EBADMM Cc1nc[nH]c1C(=O)NC[C@@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001108444999 824289365 /nfs/dbraw/zinc/28/93/65/824289365.db2.gz ODCLROLEVIVSIM-HNNXBMFYSA-N -1 1 349.395 -0.839 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)[C@H]1CNC(=O)c2ccccc21 ZINC001129640976 828648344 /nfs/dbraw/zinc/64/83/44/828648344.db2.gz YKJQMMGCPLAHMP-NSHDSACASA-N -1 1 330.348 -0.757 20 0 EBADMM CNC(=O)NC(=O)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncccc1[O-])C2 ZINC001109175636 824497200 /nfs/dbraw/zinc/49/72/00/824497200.db2.gz UMTGBCKWHYOUJX-VWYCJHECSA-N -1 1 347.375 -0.422 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)CCc2ccon2)C3)nc1=O ZINC001109523126 824556755 /nfs/dbraw/zinc/55/67/55/824556755.db2.gz GKFIOKCHULFBFI-AGIUHOORSA-N -1 1 346.391 -0.049 20 0 EBADMM O=C(CCc1c[nH]nn1)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[n-]1 ZINC001109740155 824592700 /nfs/dbraw/zinc/59/27/00/824592700.db2.gz MSSDCPDAMPYCMI-VWYCJHECSA-N -1 1 332.368 -0.517 20 0 EBADMM O=C(CCc1cnn[nH]1)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1n[nH]c(=O)[n-]1 ZINC001109740155 824592704 /nfs/dbraw/zinc/59/27/04/824592704.db2.gz MSSDCPDAMPYCMI-VWYCJHECSA-N -1 1 332.368 -0.517 20 0 EBADMM Cn1ccnc1[C@@H]1OCCC[C@H]1C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001129798581 828672486 /nfs/dbraw/zinc/67/24/86/828672486.db2.gz WEKJERHHYKNLBG-ZYHUDNBSSA-N -1 1 349.395 -0.383 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)C[C@@](C)(O)C2CC2)C3)nc1=O ZINC001110457737 824732050 /nfs/dbraw/zinc/73/20/50/824732050.db2.gz KEVNQZLYJIJIJU-KOFHJDLBSA-N -1 1 349.435 -0.119 20 0 EBADMM O=C(c1ncccc1[O-])N(CCO)CCNc1nccn2nnnc12 ZINC001111465645 825143387 /nfs/dbraw/zinc/14/33/87/825143387.db2.gz GXJXFFRMXJUKEM-UHFFFAOYSA-N -1 1 344.335 -0.834 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)[C@@H]1OCCO[C@@H]1C(F)(F)F ZINC001130262008 828773151 /nfs/dbraw/zinc/77/31/51/828773151.db2.gz QVZKROUWJAFTHM-SFYZADRCSA-N -1 1 339.274 -0.938 20 0 EBADMM CNC(=O)NC(=O)[C@H](C)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001112783940 825637197 /nfs/dbraw/zinc/63/71/97/825637197.db2.gz IWNJVPXQBWIMCK-JTQLQIEISA-N -1 1 335.364 -0.611 20 0 EBADMM Cc1ccc(N(C)[C@@H](C)CNC(=O)c2cc(=O)n3[n-]cnc3n2)nn1 ZINC001113657383 825968468 /nfs/dbraw/zinc/96/84/68/825968468.db2.gz MXWIVPTZUUQSJT-JTQLQIEISA-N -1 1 342.363 -0.229 20 0 EBADMM C[C@@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)N(C)c1cnc(F)cn1 ZINC001113657758 825968479 /nfs/dbraw/zinc/96/84/79/825968479.db2.gz VYGXPFMLOWWWQU-QMMMGPOBSA-N -1 1 346.326 -0.399 20 0 EBADMM C[C@@H](CNC(=O)c1n[nH]c(=O)[n-]c1=O)N(C)c1ncnc2[nH]cnc21 ZINC001113968598 826099356 /nfs/dbraw/zinc/09/93/56/826099356.db2.gz XVDYUTXVJOXFGM-LURJTMIESA-N -1 1 345.323 -0.796 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2[C@H]3CN(CC(=O)NC(C)(C)C)C[C@H]32)c1[O-] ZINC001114140583 826147338 /nfs/dbraw/zinc/14/73/38/826147338.db2.gz JBRMBRQVQNNZGN-IAZYJMLFSA-N -1 1 335.408 -0.002 20 0 EBADMM CS(=O)(=O)CCCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC001114165179 826156272 /nfs/dbraw/zinc/15/62/72/826156272.db2.gz CRQGYSUNXBTBRF-PJXYFTJBSA-N -1 1 339.417 -0.118 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CCc2ccncc2)nc1=O ZINC001114513093 826272945 /nfs/dbraw/zinc/27/29/45/826272945.db2.gz LUXLGKLHVLGIHI-VIKVFOODSA-N -1 1 342.403 -0.317 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCCC(=O)N(C)C ZINC001179958868 833030587 /nfs/dbraw/zinc/03/05/87/833030587.db2.gz QLEUKTDUACPOSW-QWRGUYRKSA-N -1 1 338.412 -0.152 20 0 EBADMM C[C@@H](CC(F)F)C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001114585052 826292653 /nfs/dbraw/zinc/29/26/53/826292653.db2.gz UYTCXHGILSXHMR-APOZVJGGSA-N -1 1 329.351 -0.054 20 0 EBADMM CC[C@H](C)C(=O)NCC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001180160067 833050647 /nfs/dbraw/zinc/05/06/47/833050647.db2.gz ARICWLAARNOQRC-DCAQKATOSA-N -1 1 338.412 -0.248 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CNC(=O)C1CCC1 ZINC001180211502 833056683 /nfs/dbraw/zinc/05/66/83/833056683.db2.gz JBCFQLGGPFMEPQ-ONGXEEELSA-N -1 1 336.396 -0.494 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CCCn2cccn2)nc1=O ZINC001115259764 826484076 /nfs/dbraw/zinc/48/40/76/826484076.db2.gz XHHYIJYGLPAXRK-JYAVWHMHSA-N -1 1 345.407 -0.668 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cc(=O)n2[n-]cnc2n1)Nc1cnc(F)cn1 ZINC001115777628 826584310 /nfs/dbraw/zinc/58/43/10/826584310.db2.gz DYTPNCWOCFOVSN-QMMMGPOBSA-N -1 1 346.326 -0.081 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)[N-]OCCC(F)(F)F)C1 ZINC001117276571 826707826 /nfs/dbraw/zinc/70/78/26/826707826.db2.gz RADPFSUKHMWDBZ-VIFPVBQESA-N -1 1 327.303 -0.177 20 0 EBADMM COCCN1CC[C@@H](NC(=O)C(=O)[N-]OCCC(F)(F)F)C1 ZINC001117276570 826707981 /nfs/dbraw/zinc/70/79/81/826707981.db2.gz RADPFSUKHMWDBZ-SECBINFHSA-N -1 1 327.303 -0.177 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CCS(=O)(=O)C2)c1 ZINC001117691216 826801337 /nfs/dbraw/zinc/80/13/37/826801337.db2.gz KHAYQRRZYXJBPL-QMMMGPOBSA-N -1 1 348.402 -0.327 20 0 EBADMM CON(C)C(=O)C(=O)N1CC[C@@H](C)[C@@H]([N-]C(=O)C(F)(F)F)C1 ZINC001118434440 826926677 /nfs/dbraw/zinc/92/66/77/826926677.db2.gz SRIYTKNJKGFATP-SFYZADRCSA-N -1 1 325.287 -0.078 20 0 EBADMM Cn1ccnc1[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C(C)(C)CO ZINC001118773911 826998056 /nfs/dbraw/zinc/99/80/56/826998056.db2.gz FVJUIYVPFPDKMK-LBPRGKRZSA-N -1 1 349.391 -0.464 20 0 EBADMM CC(C)(C)OC(=O)N[C@@H](CCC(N)=O)CC(=O)NCc1nn[n-]n1 ZINC001181439186 833158606 /nfs/dbraw/zinc/15/86/06/833158606.db2.gz FPEXPEVHLRTSSQ-QMMMGPOBSA-N -1 1 341.372 -0.635 20 0 EBADMM O=C(NC[C@H](CO)Nc1ccc2nccnc2n1)c1ncccc1[O-] ZINC001121338709 827346440 /nfs/dbraw/zinc/34/64/40/827346440.db2.gz BHPZQRRXOPKJST-SNVBAGLBSA-N -1 1 340.343 -0.298 20 0 EBADMM C[C@@H]1CN(C(=O)[C@]2(C(=O)[O-])CNCCO2)C[C@@H](CC(F)(F)F)O1 ZINC001122076568 827516543 /nfs/dbraw/zinc/51/65/43/827516543.db2.gz AHPQFICYFGLZJU-LNLATYFQSA-N -1 1 340.298 -0.002 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2CCS[C@@H](C(F)(F)F)C2)CNCCO1 ZINC001122165729 827533532 /nfs/dbraw/zinc/53/35/32/827533532.db2.gz CBEFRAVPQIBIBG-XCBNKYQSSA-N -1 1 328.312 -0.064 20 0 EBADMM COC(=O)[C@@](C)(NC(=O)Cn1cc(F)c(=O)[n-]c1=O)C(F)(F)F ZINC001183664203 833299225 /nfs/dbraw/zinc/29/92/25/833299225.db2.gz KNGHPMPYVALTRX-SNVBAGLBSA-N -1 1 341.217 -0.302 20 0 EBADMM COc1ccnc(CNCCNC(=O)CCc2n[nH]c(=O)[n-]c2=O)c1 ZINC001130754451 828929630 /nfs/dbraw/zinc/92/96/30/828929630.db2.gz WJFANNTWJXXBNZ-UHFFFAOYSA-N -1 1 348.363 -0.475 20 0 EBADMM Cc1nn(C)c(Cl)c1CNCCNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001130862817 828979747 /nfs/dbraw/zinc/97/97/47/828979747.db2.gz HARZBSRPBJBJGP-UHFFFAOYSA-N -1 1 341.759 -0.502 20 0 EBADMM COCCOCC(=O)N[C@@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001131909470 829271976 /nfs/dbraw/zinc/27/19/76/829271976.db2.gz XYFBQVSPHAGTKU-VXGBXAGGSA-N -1 1 341.412 -0.760 20 0 EBADMM COCCOCC(=O)N[C@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001131909469 829272698 /nfs/dbraw/zinc/27/26/98/829272698.db2.gz XYFBQVSPHAGTKU-RYUDHWBXSA-N -1 1 341.412 -0.760 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)COCC(F)F)CN1Cc1nc(=O)n(C)[n-]1 ZINC001132202119 829377102 /nfs/dbraw/zinc/37/71/02/829377102.db2.gz CNSZHWHCHBPICT-ZJUUUORDSA-N -1 1 347.366 -0.141 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cccc(-c2nc[nH]n2)c1 ZINC001132247689 829393526 /nfs/dbraw/zinc/39/35/26/829393526.db2.gz CHVHUDDCAQMTBG-UHFFFAOYSA-N -1 1 328.336 -0.185 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)CCc2cn[nH]c2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001132348073 829421473 /nfs/dbraw/zinc/42/14/73/829421473.db2.gz HKNPEQKAUVYTHF-YPMHNXCESA-N -1 1 347.423 -0.067 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H](C)C[C@@H](C)[NH2+]Cc2nc(=O)n(C)[n-]2)c1[O-] ZINC001134100666 829724746 /nfs/dbraw/zinc/72/47/46/829724746.db2.gz RTLBMVAYWFVFDT-HTQZYQBOSA-N -1 1 337.384 -0.468 20 0 EBADMM O=S(=O)([N-]CCNS(=O)(=O)c1cccnc1)c1ccns1 ZINC001211112854 837390607 /nfs/dbraw/zinc/39/06/07/837390607.db2.gz ULPKISCRMRSALJ-UHFFFAOYSA-N -1 1 348.431 -0.205 20 0 EBADMM C[C@@H](C[C@H](C)NC(=O)CC1CS(=O)(=O)C1)NCc1n[nH]c(=O)[n-]1 ZINC001134805042 829849317 /nfs/dbraw/zinc/84/93/17/829849317.db2.gz NOFUNNMWTPSJSD-IUCAKERBSA-N -1 1 345.425 -0.682 20 0 EBADMM CC[C@H](C)C(=O)NCC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001211147819 837401677 /nfs/dbraw/zinc/40/16/77/837401677.db2.gz VDMPUQJNBWSNMK-WDEREUQCSA-N -1 1 338.412 -0.247 20 0 EBADMM Cc1cc(CNCCNC(=O)Cn2[n-]cc3c(=O)ncnc2-3)sn1 ZINC001135465478 829971309 /nfs/dbraw/zinc/97/13/09/829971309.db2.gz HNLKHPULDZTIAN-UHFFFAOYSA-N -1 1 347.404 -0.258 20 0 EBADMM CC(=O)Nc1ccccc1CC(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001135490191 829976755 /nfs/dbraw/zinc/97/67/55/829976755.db2.gz ATOLLMDQQHLHBE-UHFFFAOYSA-N -1 1 332.364 -0.083 20 0 EBADMM C[C@H](C[C@@H](C)NC(=O)Cn1c(=O)[n-][nH]c1=O)NCc1cnon1 ZINC001136035808 830069028 /nfs/dbraw/zinc/06/90/28/830069028.db2.gz QYDQPECSQIDAJO-HTQZYQBOSA-N -1 1 325.329 -0.855 20 0 EBADMM O=C(CCc1nn[n-]n1)Nc1ccc(C[C@@H]2CC(=O)NC2=O)cc1 ZINC001136872094 830089780 /nfs/dbraw/zinc/08/97/80/830089780.db2.gz DEOUILAIPUSMDL-SNVBAGLBSA-N -1 1 328.332 -0.024 20 0 EBADMM C[C@H](CS(C)(=O)=O)C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001211302235 837424952 /nfs/dbraw/zinc/42/49/52/837424952.db2.gz VSHANIHTCWXBGK-ZJUUUORDSA-N -1 1 345.425 -0.728 20 0 EBADMM CC(C)OCC(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001143378587 830149996 /nfs/dbraw/zinc/14/99/96/830149996.db2.gz CJFVKAMTSYNRCC-NSHDSACASA-N -1 1 326.353 -0.547 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)c1cc[nH]c(=O)c1 ZINC001146915934 830241843 /nfs/dbraw/zinc/24/18/43/830241843.db2.gz CRIAVALJWMYPHW-NSHDSACASA-N -1 1 347.331 -0.558 20 0 EBADMM CC(=O)CCCC(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001146992651 830259615 /nfs/dbraw/zinc/25/96/15/830259615.db2.gz XSVYCXCFQUUOFJ-UHFFFAOYSA-N -1 1 335.408 -0.098 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cc2c([nH]c1=O)CCOC2 ZINC001147382199 830331571 /nfs/dbraw/zinc/33/15/71/830331571.db2.gz CNRPKNYJUAYCDZ-UHFFFAOYSA-N -1 1 334.336 -0.797 20 0 EBADMM CCc1oncc1C(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001147510644 830354996 /nfs/dbraw/zinc/35/49/96/830354996.db2.gz FJHDPLPTPVBRMF-NSHDSACASA-N -1 1 349.347 -0.108 20 0 EBADMM CCc1nc(CNCCNC(=O)c2cc(=O)n3[n-]cnc3n2)cs1 ZINC001147725099 830402530 /nfs/dbraw/zinc/40/25/30/830402530.db2.gz AAJNRZNHZJIGJA-UHFFFAOYSA-N -1 1 347.404 -0.044 20 0 EBADMM Cc1nnc([C@@H](C)NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)o1 ZINC001147725272 830403150 /nfs/dbraw/zinc/40/31/50/830403150.db2.gz DTXVTIKBWHZURZ-SSDOTTSWSA-N -1 1 332.324 -0.810 20 0 EBADMM O=C(NCCNCC(F)(F)C(F)F)c1cc(=O)n2[n-]cnc2n1 ZINC001147726222 830403315 /nfs/dbraw/zinc/40/33/15/830403315.db2.gz RWBRPGRNINNAHF-UHFFFAOYSA-N -1 1 336.249 -0.363 20 0 EBADMM Cc1ncc(CO)c(C(=O)NCCOCCN2C(=O)C=CC2=O)c1[O-] ZINC001147844464 830419625 /nfs/dbraw/zinc/41/96/25/830419625.db2.gz PUXARISFXYAOOK-UHFFFAOYSA-N -1 1 349.343 -0.741 20 0 EBADMM CNC(=O)Nc1cccc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)c1 ZINC001147864919 830422689 /nfs/dbraw/zinc/42/26/89/830422689.db2.gz KOVPXQAZDWQBBI-UHFFFAOYSA-N -1 1 333.352 -0.219 20 0 EBADMM COCC1(C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)CCC1 ZINC001147920640 830430411 /nfs/dbraw/zinc/43/04/11/830430411.db2.gz JVWYJWITTYFRDF-UHFFFAOYSA-N -1 1 349.435 -0.041 20 0 EBADMM N[C@@H]1NCN(C(=O)c2ccc(C(F)(F)F)cc2[O-])[C@@H]2NCN[C@@H]21 ZINC001148805910 830542960 /nfs/dbraw/zinc/54/29/60/830542960.db2.gz HNMBKRPYSIDZIL-MXWKQRLJSA-N -1 1 331.298 -0.456 20 0 EBADMM CCOC(=O)CN(CC[NH3+])C(=O)c1cc(S(=O)(=O)[O-])ccc1[O-] ZINC001148927162 830557102 /nfs/dbraw/zinc/55/71/02/830557102.db2.gz GLEZUEPTKSRFLC-UHFFFAOYSA-N -1 1 346.361 -0.397 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@H](CNC(=O)C(C)(F)F)C2)nc1=O ZINC001149057698 830575792 /nfs/dbraw/zinc/57/57/92/830575792.db2.gz COCVDNAHRQCZNR-SECBINFHSA-N -1 1 333.339 -0.529 20 0 EBADMM Cc1nc(CNCCNC(=O)Cn2c(=O)[n-][nH]c2=O)sc1C ZINC001149140537 830601134 /nfs/dbraw/zinc/60/11/34/830601134.db2.gz DJOFQQOLAQCDIZ-UHFFFAOYSA-N -1 1 326.382 -0.331 20 0 EBADMM C[C@H](NC(=O)c1ccccc1)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001150058294 830807538 /nfs/dbraw/zinc/80/75/38/830807538.db2.gz RBJWZRHVOMPKFD-JTQLQIEISA-N -1 1 332.364 -0.465 20 0 EBADMM Cc1ccccc1C(=O)NCC(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001150270758 830854612 /nfs/dbraw/zinc/85/46/12/830854612.db2.gz QEXXPMGJACGYOB-UHFFFAOYSA-N -1 1 332.364 -0.545 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@H]1CN(CCF)CCCO1 ZINC001150445440 830901126 /nfs/dbraw/zinc/90/11/26/830901126.db2.gz UQBNLXIVOFVYIE-LBPRGKRZSA-N -1 1 342.371 -0.897 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@H](CNC(=O)Cc3ccc[nH]3)C2)nc1=O ZINC001150739428 830975881 /nfs/dbraw/zinc/97/58/81/830975881.db2.gz YESPZGKIYLJNAZ-CYBMUJFWSA-N -1 1 348.407 -0.614 20 0 EBADMM Cc1cc(C(=O)NCC(=O)NCCNCc2n[nH]c(=O)[n-]2)c(C)o1 ZINC001151466205 831079850 /nfs/dbraw/zinc/07/98/50/831079850.db2.gz SCUABQLWYOSHGQ-UHFFFAOYSA-N -1 1 336.352 -0.644 20 0 EBADMM O=C(CCNC(=O)c1c[n-]c2cccnc2c1=O)N1CCOCC1 ZINC001153854337 831278430 /nfs/dbraw/zinc/27/84/30/831278430.db2.gz WRXFWZZCRQVSDI-UHFFFAOYSA-N -1 1 330.344 -0.098 20 0 EBADMM O=C(CN1C(=O)NC2(CCCC2)C1=O)NC1(c2nn[n-]n2)CCC1 ZINC001153871726 831282216 /nfs/dbraw/zinc/28/22/16/831282216.db2.gz HTVSLNNQNWRWBJ-UHFFFAOYSA-N -1 1 333.352 -0.440 20 0 EBADMM O=C(Cc1cccc2nsnc21)NCCNCc1n[nH]c(=O)[n-]1 ZINC001153936893 831293815 /nfs/dbraw/zinc/29/38/15/831293815.db2.gz CKEQZPYQKJIPBK-UHFFFAOYSA-N -1 1 333.377 -0.037 20 0 EBADMM Cn1nccc1[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)CCO1 ZINC001157485710 831570234 /nfs/dbraw/zinc/57/02/34/831570234.db2.gz RQAFYAFYWAUJDQ-LBPRGKRZSA-N -1 1 333.348 -0.740 20 0 EBADMM O=C(CCCn1c(=O)[n-][nH]c1=O)NCCCNCc1nncs1 ZINC001161413448 831956237 /nfs/dbraw/zinc/95/62/37/831956237.db2.gz QTQYKNFFFVUICA-UHFFFAOYSA-N -1 1 341.397 -0.383 20 0 EBADMM O=C(NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)[C@@H]1COCCO1 ZINC001163516133 832118627 /nfs/dbraw/zinc/11/86/27/832118627.db2.gz VFEIQDCDYXFZCG-JTQLQIEISA-N -1 1 325.369 -0.698 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCC12COCCN1CCOC2 ZINC001350122533 832119329 /nfs/dbraw/zinc/11/93/29/832119329.db2.gz WAPQIPLGYWMCME-UHFFFAOYSA-N -1 1 340.405 -0.390 20 0 EBADMM Cc1c(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)nnn1C ZINC001163936708 832159199 /nfs/dbraw/zinc/15/91/99/832159199.db2.gz FJNFBCLKRRMIMD-UHFFFAOYSA-N -1 1 334.384 -0.363 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCCn2cccnc2=O)[n-]n1 ZINC001212341987 837606229 /nfs/dbraw/zinc/60/62/29/837606229.db2.gz YROBCYLXCVUGBZ-UHFFFAOYSA-N -1 1 341.349 -0.878 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCCn2cccnc2=O)n[n-]1 ZINC001212341987 837606234 /nfs/dbraw/zinc/60/62/34/837606234.db2.gz YROBCYLXCVUGBZ-UHFFFAOYSA-N -1 1 341.349 -0.878 20 0 EBADMM CNC(=O)NCCC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001164420864 832186404 /nfs/dbraw/zinc/18/64/04/832186404.db2.gz APCXZHNPVJUBRE-UHFFFAOYSA-N -1 1 339.400 -0.795 20 0 EBADMM CN(CCCNC(=O)[C@H]1CCOC1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001351304494 832227585 /nfs/dbraw/zinc/22/75/85/832227585.db2.gz AQFALXWXWCZEPL-JTQLQIEISA-N -1 1 348.363 -0.968 20 0 EBADMM C[C@H](CC(=O)N(C)CCCNC(=O)c1ncccc1[O-])NC(N)=O ZINC001351406572 832234448 /nfs/dbraw/zinc/23/44/48/832234448.db2.gz KGAYZEYNOYAGCA-SNVBAGLBSA-N -1 1 337.380 -0.188 20 0 EBADMM Cc1nc(N2CCOCC2)[n-]c(=O)c1CCC(=O)NC/C=C\CO ZINC001165973661 832244400 /nfs/dbraw/zinc/24/44/00/832244400.db2.gz NRRQXGNQWWTMNP-IHWYPQMZSA-N -1 1 336.392 -0.075 20 0 EBADMM CO[N-]C(=O)CNC(=O)C(=O)N(C)[C@H]1CCN(Cc2ccccc2)C1 ZINC001351644069 832264528 /nfs/dbraw/zinc/26/45/28/832264528.db2.gz BGPHUIMAKKTAOV-AWEZNQCLSA-N -1 1 348.403 -0.487 20 0 EBADMM NC(=O)[C@H]1CC[C@H]1C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001166567053 832271414 /nfs/dbraw/zinc/27/14/14/832271414.db2.gz YVZKNHQCYKEAOH-VHSXEESVSA-N -1 1 336.396 -0.602 20 0 EBADMM Cn1cc(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)[nH]c1=O ZINC001167019177 832306727 /nfs/dbraw/zinc/30/67/27/832306727.db2.gz VGPCNEPFYXZOPX-UHFFFAOYSA-N -1 1 335.368 -0.361 20 0 EBADMM CN(C(=O)[C@H]1CCN(c2ccc3c(c2)OCCO3)C1=O)c1nn[n-]n1 ZINC001168359216 832416555 /nfs/dbraw/zinc/41/65/55/832416555.db2.gz PKFXJQAWZFSJSC-SNVBAGLBSA-N -1 1 344.331 -0.013 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CCN(C(=O)CCC2CC2)C1 ZINC001353388278 832425437 /nfs/dbraw/zinc/42/54/37/832425437.db2.gz QLFWQIULHJZLJT-ZDUSSCGKSA-N -1 1 348.403 -0.166 20 0 EBADMM Cc1cc(CNCCCNC(=O)Cn2[n-]cc3c(=O)ncnc2-3)no1 ZINC001168642499 832430337 /nfs/dbraw/zinc/43/03/37/832430337.db2.gz VVWXAEZMENXSEZ-UHFFFAOYSA-N -1 1 345.363 -0.336 20 0 EBADMM O=C(NCC(F)F)C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001353595389 832434644 /nfs/dbraw/zinc/43/46/44/832434644.db2.gz HIFXAMKWPQHAHU-ZKCHVHJHSA-N -1 1 342.302 -0.455 20 0 EBADMM C[C@H]1C[C@H]1C(=O)N[C@H]1C[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001353703109 832441580 /nfs/dbraw/zinc/44/15/80/832441580.db2.gz HMJGPSXTWHVJCX-NNYUYHANSA-N -1 1 334.376 -0.654 20 0 EBADMM NC(=O)C1(C(=O)NC[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001354833110 832523913 /nfs/dbraw/zinc/52/39/13/832523913.db2.gz JELKZJBWOZZKAF-JTQLQIEISA-N -1 1 332.360 -0.369 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCc1ccnc(-n2cncn2)c1 ZINC001171320106 832556707 /nfs/dbraw/zinc/55/67/07/832556707.db2.gz NNVGXSXPPRRVBN-UHFFFAOYSA-N -1 1 341.331 -0.781 20 0 EBADMM CN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)c1ccco1 ZINC001355751860 832581435 /nfs/dbraw/zinc/58/14/35/832581435.db2.gz NUEGBPMZRASSBH-UHFFFAOYSA-N -1 1 334.332 -0.592 20 0 EBADMM Cc1nnc(CNCCCNC(=O)Cn2c(=O)[n-][nH]c2=O)s1 ZINC001174714643 832596234 /nfs/dbraw/zinc/59/62/34/832596234.db2.gz YOFLOAATQUMDHD-UHFFFAOYSA-N -1 1 327.370 -0.855 20 0 EBADMM N=c1nc(OS(=O)(=O)[O-])c(N)c(N)n1-c1c(F)cc(O)cc1F ZINC001175095576 832615026 /nfs/dbraw/zinc/61/50/26/832615026.db2.gz IYWSMRGLPJQPGA-UHFFFAOYSA-N -1 1 349.275 -0.318 20 0 EBADMM Cn1ncc2c1nc(CCC(=O)N1CC[C@H](c3nn[n-]n3)C1)[nH]c2=O ZINC001175553217 832629501 /nfs/dbraw/zinc/62/95/01/832629501.db2.gz UOAIWWORKLISIP-QMMMGPOBSA-N -1 1 343.351 -0.469 20 0 EBADMM O=C(Cc1nn[n-]n1)N1Cc2ccnn2C[C@@H](C(=O)N2CCCC2)C1 ZINC001176839844 832693351 /nfs/dbraw/zinc/69/33/51/832693351.db2.gz FAZZBBVQTHKQSZ-NSHDSACASA-N -1 1 344.379 -0.780 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@@H]2[C@H]1CC(=O)N2Cc1cccnc1 ZINC001176840616 832694162 /nfs/dbraw/zinc/69/41/62/832694162.db2.gz UHILRMPWGKGWBC-VXGBXAGGSA-N -1 1 327.348 -0.461 20 0 EBADMM CC(C)N(C)Cc1nnc2n1CCCN(C(=O)Cc1nn[n-]n1)C2 ZINC001176840474 832694420 /nfs/dbraw/zinc/69/44/20/832694420.db2.gz KOTKMLMBFPQWDO-UHFFFAOYSA-N -1 1 333.400 -0.394 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC[C@]2(CCN(c3cncnc3)C2=O)C1 ZINC001176840344 832694493 /nfs/dbraw/zinc/69/44/93/832694493.db2.gz IXFQBUKWKBFQOU-HNNXBMFYSA-N -1 1 342.363 -0.422 20 0 EBADMM COC[C@H](NC(=O)Cn1c2ccccc2n(C)c1=O)c1nn[n-]n1 ZINC001177823892 832803581 /nfs/dbraw/zinc/80/35/81/832803581.db2.gz YFWVCQMFNHLKRX-VIFPVBQESA-N -1 1 331.336 -0.643 20 0 EBADMM CC(C)(C)OC(=O)N1CC[C@@H](O)[C@H](C(=O)NCc2nn[n-]n2)C1 ZINC001178751463 832908316 /nfs/dbraw/zinc/90/83/16/832908316.db2.gz YMNJBIUXONKNCR-RKDXNWHRSA-N -1 1 326.357 -0.566 20 0 EBADMM CC(C)(C)OC(=O)N1CC[C@@H](O)[C@@H](C(=O)NCc2nn[n-]n2)C1 ZINC001178751459 832908493 /nfs/dbraw/zinc/90/84/93/832908493.db2.gz YMNJBIUXONKNCR-DTWKUNHWSA-N -1 1 326.357 -0.566 20 0 EBADMM Cc1[n-][nH]c2ncnc(=NC(=O)C3CCN(S(C)(=O)=O)CC3)c1-2 ZINC001178761676 832910376 /nfs/dbraw/zinc/91/03/76/832910376.db2.gz JDVKWQQRHSSQKH-UHFFFAOYSA-N -1 1 338.393 -0.355 20 0 EBADMM CC(C)(C)OC(=O)N1CCC[C@](O)(CC(=O)NCc2nn[n-]n2)C1 ZINC001178854352 832925345 /nfs/dbraw/zinc/92/53/45/832925345.db2.gz MLNTXQIBKHMODZ-AWEZNQCLSA-N -1 1 340.384 -0.032 20 0 EBADMM C[C@@H](OCC1CC1)C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001187159429 833906831 /nfs/dbraw/zinc/90/68/31/833906831.db2.gz CMNDDOCEGBZJSX-KWCYVHTRSA-N -1 1 349.435 -0.044 20 0 EBADMM O=C(COCc1cccnc1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001187443054 833929587 /nfs/dbraw/zinc/92/95/87/833929587.db2.gz OZJWBKXVWJRZEY-GFCCVEGCSA-N -1 1 332.364 -0.187 20 0 EBADMM CCO[C@@H](C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)C1CC1 ZINC001187938320 833986378 /nfs/dbraw/zinc/98/63/78/833986378.db2.gz NQISASPFUVPQES-GZBFAFLISA-N -1 1 349.435 -0.044 20 0 EBADMM Cc1cc(C(=O)NCCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])c[nH]1 ZINC001188202122 834022814 /nfs/dbraw/zinc/02/28/14/834022814.db2.gz NKTKGWAGTJMVGZ-LBPRGKRZSA-N -1 1 333.348 -0.022 20 0 EBADMM CC(=O)N[C@](C)(C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001188251776 834026089 /nfs/dbraw/zinc/02/60/89/834026089.db2.gz PQETWPVOHKTBRN-ABAIWWIYSA-N -1 1 338.412 -0.248 20 0 EBADMM CCNC(=O)CCC(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001189344838 834145729 /nfs/dbraw/zinc/14/57/29/834145729.db2.gz GUVAMCLYDXGLJZ-NSHDSACASA-N -1 1 338.412 -0.943 20 0 EBADMM CNC(=O)NC(=O)CN(C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001189770159 834189743 /nfs/dbraw/zinc/18/97/43/834189743.db2.gz QBJOUNHCTUGHCE-SNVBAGLBSA-N -1 1 335.364 -0.611 20 0 EBADMM CC(C)(C)NC(=O)CN1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001192374405 834651570 /nfs/dbraw/zinc/65/15/70/834651570.db2.gz DNKUVFCLEXGYNA-ZYHUDNBSSA-N -1 1 336.392 -0.523 20 0 EBADMM CC[C@@H](C)NC(=O)CN1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001192374840 834652900 /nfs/dbraw/zinc/65/29/00/834652900.db2.gz LTLCURTYPXEPMU-NQBHXWOUSA-N -1 1 336.392 -0.523 20 0 EBADMM CCOCCN(C)[C@H]1CCN(C(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001192906559 834758038 /nfs/dbraw/zinc/75/80/38/834758038.db2.gz NIOQTCUDMXFOCM-LBPRGKRZSA-N -1 1 348.407 -0.360 20 0 EBADMM CS(=O)(=O)c1cc(C(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)ccn1 ZINC001194674684 835135025 /nfs/dbraw/zinc/13/50/25/835135025.db2.gz BJYAOECMBCZCFH-UHFFFAOYSA-N -1 1 325.306 -0.479 20 0 EBADMM Cc1cn(C)nc1C(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001194844516 835156080 /nfs/dbraw/zinc/15/60/80/835156080.db2.gz JEPMEPQMBUZELU-NSHDSACASA-N -1 1 348.363 -0.616 20 0 EBADMM Cc1c(C(=O)NCCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])ncn1C ZINC001194865851 835158070 /nfs/dbraw/zinc/15/80/70/835158070.db2.gz AYBROXAAYJMCJT-NSHDSACASA-N -1 1 348.363 -0.616 20 0 EBADMM Cc1cncnc1C(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001195370128 835218942 /nfs/dbraw/zinc/21/89/42/835218942.db2.gz QMWDCFLHONKTDJ-NSHDSACASA-N -1 1 346.347 -0.560 20 0 EBADMM CCOCCN1CCCN(C(=O)CCc2n[nH]c(=O)[n-]c2=O)CC1 ZINC001196401133 835410170 /nfs/dbraw/zinc/41/01/70/835410170.db2.gz JMRFAZAFGAUEME-UHFFFAOYSA-N -1 1 339.396 -0.214 20 0 EBADMM C[C@@H](Cc1ccco1)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001196867510 835473728 /nfs/dbraw/zinc/47/37/28/835473728.db2.gz LFWOIVFRJRDING-CYZMBNFOSA-N -1 1 349.391 -0.759 20 0 EBADMM CC[C@@H](NC(C)=O)C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001197227145 835524959 /nfs/dbraw/zinc/52/49/59/835524959.db2.gz KWKVORPFLDZQMG-GFCCVEGCSA-N -1 1 338.412 -0.943 20 0 EBADMM CC(C)C[C@H](C)CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001197705098 835598405 /nfs/dbraw/zinc/59/84/05/835598405.db2.gz KMAPTSPWUCJNCQ-YNEHKIRRSA-N -1 1 339.440 -0.158 20 0 EBADMM CN1CCN(CCNS(=O)(=O)c2ccccc2C(=O)[O-])CC1 ZINC001198791929 835723136 /nfs/dbraw/zinc/72/31/36/835723136.db2.gz BYQQJRNXFWSKOZ-UHFFFAOYSA-N -1 1 327.406 -0.090 20 0 EBADMM CO[C@H](C)CC(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001200062657 835902495 /nfs/dbraw/zinc/90/24/95/835902495.db2.gz NRHZULRNQCKIML-GHMZBOCLSA-N -1 1 327.385 -0.358 20 0 EBADMM O=C(CCn1cncn1)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202481309 836307228 /nfs/dbraw/zinc/30/72/28/836307228.db2.gz RWKZNBJSQCRFRV-WDEREUQCSA-N -1 1 346.395 -0.291 20 0 EBADMM O=C(CCn1cncn1)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202481308 836307409 /nfs/dbraw/zinc/30/74/09/836307409.db2.gz RWKZNBJSQCRFRV-QWRGUYRKSA-N -1 1 346.395 -0.291 20 0 EBADMM O=C(CNC(=O)C1CC1)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202541831 836313846 /nfs/dbraw/zinc/31/38/46/836313846.db2.gz FEWDINDXNBUKMY-QWRGUYRKSA-N -1 1 348.407 -0.447 20 0 EBADMM CCC(=O)NCC(=O)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202624496 836325280 /nfs/dbraw/zinc/32/52/80/836325280.db2.gz CYVFYBQUKGBEEU-ZJUUUORDSA-N -1 1 336.396 -0.447 20 0 EBADMM NC(=O)CCCC(=O)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001203834969 836482072 /nfs/dbraw/zinc/48/20/72/836482072.db2.gz NHMKVKZPABFPIN-ZJUUUORDSA-N -1 1 336.396 -0.317 20 0 EBADMM COCCC(=O)N[C@@H]1CN(Cc2cc(=O)n3[n-]ccc3n2)C[C@H]1C ZINC001205730406 836589361 /nfs/dbraw/zinc/58/93/61/836589361.db2.gz NFFGGPKDUGLWPS-DGCLKSJQSA-N -1 1 333.392 -0.004 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CCn1cccn1 ZINC001206021257 836616912 /nfs/dbraw/zinc/61/69/12/836616912.db2.gz FRBUBZYNKBMBHM-VXGBXAGGSA-N -1 1 333.396 -0.668 20 0 EBADMM COCC1(CC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)CC1 ZINC001206953718 836728434 /nfs/dbraw/zinc/72/84/34/836728434.db2.gz BAQIPIKJDCASQG-VXGBXAGGSA-N -1 1 337.424 -0.138 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CCc1ccn(C)n1 ZINC001207238951 836766218 /nfs/dbraw/zinc/76/62/18/836766218.db2.gz DWEBSIHIVVSRBX-DGCLKSJQSA-N -1 1 347.423 -0.589 20 0 EBADMM O=C(CNC(=O)c1ccoc1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001208165537 836953561 /nfs/dbraw/zinc/95/35/61/836953561.db2.gz KLKMNLOPGOXFJQ-LLVKDONJSA-N -1 1 348.363 -0.386 20 0 EBADMM CC(C)N1C(=O)C[C@@H]([N-]S(=O)(=O)[C@@H]2CC(=O)N(C3CC3)C2)C1=O ZINC001210414685 837284655 /nfs/dbraw/zinc/28/46/55/837284655.db2.gz NBKSJDHBGFRKPM-GHMZBOCLSA-N -1 1 343.405 -0.795 20 0 EBADMM CCNC(=O)CCCC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210737719 837328392 /nfs/dbraw/zinc/32/83/92/837328392.db2.gz YHIXNFWHRGCPCY-LLVKDONJSA-N -1 1 338.412 -0.103 20 0 EBADMM CC(C)(C)C(=O)NCC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001211048348 837373926 /nfs/dbraw/zinc/37/39/26/837373926.db2.gz CSHVZGRGMWLOOR-JTQLQIEISA-N -1 1 338.412 -0.247 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CCC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001318824237 838037777 /nfs/dbraw/zinc/03/77/77/838037777.db2.gz XXVUZEHQQHCIDE-JTQLQIEISA-N -1 1 334.384 -0.031 20 0 EBADMM C[C@]1(C2CCN(Cc3cc(=O)n4[n-]ccc4n3)CC2)NC(=O)NC1=O ZINC001319583348 838153696 /nfs/dbraw/zinc/15/36/96/838153696.db2.gz XVZNEJMAHZFYBK-MRXNPFEDSA-N -1 1 344.375 -0.167 20 0 EBADMM COC(=O)c1cc(C(=O)NN2CC(=O)[N-]C2=O)n(-c2ccccc2)n1 ZINC001320948017 838868043 /nfs/dbraw/zinc/86/80/43/838868043.db2.gz VHLIKFUCRVAKFL-UHFFFAOYSA-N -1 1 343.299 -0.145 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1cncc2ncn(C)c21 ZINC001321543524 839014189 /nfs/dbraw/zinc/01/41/89/839014189.db2.gz URUCEDJLMBRNEL-MRVPVSSYSA-N -1 1 330.352 -0.300 20 0 EBADMM CSc1nc(C)c(CCC(=O)NCCOCC(N)=O)c(=O)[n-]1 ZINC001322590408 839210124 /nfs/dbraw/zinc/21/01/24/839210124.db2.gz VTBITDIEFQZRIG-UHFFFAOYSA-N -1 1 328.394 -0.237 20 0 EBADMM CCc1nnc([C@@H](C)NC(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)[nH]1 ZINC001322942297 839302777 /nfs/dbraw/zinc/30/27/77/839302777.db2.gz UQCAZRIEGYAZNV-MRVPVSSYSA-N -1 1 342.363 -0.162 20 0 EBADMM Cc1ccnn1-c1ccccc1NC(=O)C(=O)NN1CC(=O)[N-]C1=O ZINC001322981366 839313683 /nfs/dbraw/zinc/31/36/83/839313683.db2.gz OECJCBWCCMNJFR-UHFFFAOYSA-N -1 1 342.315 -0.298 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCC3([S@@](C)=O)CCC3)ccnc1-2 ZINC001323273501 839399800 /nfs/dbraw/zinc/39/98/00/839399800.db2.gz MFUSDFJMEZRYGH-XMMPIXPASA-N -1 1 349.416 -0.302 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ccc(=O)n(-c2cnn(C)c2)c1)c1nn[n-]n1 ZINC001324135952 839596584 /nfs/dbraw/zinc/59/65/84/839596584.db2.gz IWTZPMCXISHMGD-JTQLQIEISA-N -1 1 342.363 -0.040 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1ccnn1Cc1ccsc1 ZINC001324220726 839611633 /nfs/dbraw/zinc/61/16/33/839611633.db2.gz RFHCCLMVDAJJFJ-UHFFFAOYSA-N -1 1 332.349 -0.239 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H](O)c2cnn(C)c2)c1 ZINC001324362821 839637470 /nfs/dbraw/zinc/63/74/70/839637470.db2.gz VIRVIECXYJQQCK-LBPRGKRZSA-N -1 1 340.361 -0.294 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCn4cncc4C3)ccnc1-2 ZINC001324603339 839685877 /nfs/dbraw/zinc/68/58/77/839685877.db2.gz OIGMUIGBAVJKHZ-UHFFFAOYSA-N -1 1 325.332 -0.481 20 0 EBADMM CC(=O)NCC[C@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001324767317 839711692 /nfs/dbraw/zinc/71/16/92/839711692.db2.gz HOORYRLKGSIQMS-CYBMUJFWSA-N -1 1 336.392 -0.309 20 0 EBADMM O=C(c1ccc2n[n-]c(=S)n2c1)N1CCC2(CC1)NC(=O)NC2=O ZINC001325315157 839846067 /nfs/dbraw/zinc/84/60/67/839846067.db2.gz IHQUUOWYENGNTA-UHFFFAOYSA-N -1 1 346.372 -0.168 20 0 EBADMM CC(C)C(=O)NCCNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001326741823 840190525 /nfs/dbraw/zinc/19/05/25/840190525.db2.gz LJPLVVLAWIPAMO-UHFFFAOYSA-N -1 1 332.364 -0.831 20 0 EBADMM Cn1[n-]c(COC(=O)/C=C/c2ccc(S(C)(=O)=O)o2)nc1=O ZINC001328993401 840810178 /nfs/dbraw/zinc/81/01/78/840810178.db2.gz VACQKHRHWZRWKI-HWKANZROSA-N -1 1 327.318 -0.139 20 0 EBADMM CNC(=O)[C@H]1CCC[C@H]1NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001329080311 840835630 /nfs/dbraw/zinc/83/56/30/840835630.db2.gz JQMOWMBRFZRBGE-GXSJLCMTSA-N -1 1 344.375 -0.689 20 0 EBADMM Cn1[n-]c(CN2CC(CCO)(NC(=O)C[C@H]3C=CCC3)C2)nc1=O ZINC001329636941 840971715 /nfs/dbraw/zinc/97/17/15/840971715.db2.gz CHSYBAICKDCNNA-LBPRGKRZSA-N -1 1 335.408 -0.482 20 0 EBADMM CC(C)C[C@H](C(N)=O)C(=O)N(C)C[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001331647121 841449159 /nfs/dbraw/zinc/44/91/59/841449159.db2.gz MCBCXMPWOSQQAS-NXEZZACHSA-N -1 1 326.401 -0.406 20 0 EBADMM C=C/C(C)=C/CC(=O)N(C)C[C@@H](O)CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001332646715 841705311 /nfs/dbraw/zinc/70/53/11/841705311.db2.gz GSALSPJCVYAGDM-WHGQRRHOSA-N -1 1 337.424 -0.118 20 0 EBADMM C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)[C@@H](C)NC(=O)C1(C)CC1 ZINC001333918245 841966610 /nfs/dbraw/zinc/96/66/10/841966610.db2.gz YKLKDDNYXGDWTI-GHMZBOCLSA-N -1 1 336.392 -0.264 20 0 EBADMM CCCC(=O)N1C[C@@H]2[C@@H](CNC(=O)CCn3cc[n-]c(=O)c3=O)[C@@H]2C1 ZINC001335386753 842271237 /nfs/dbraw/zinc/27/12/37/842271237.db2.gz IAOFEOZVKFWNJF-XYYAHUGASA-N -1 1 348.403 -0.453 20 0 EBADMM Cn1cc(/C=C\C(=O)N2CCN([C@]3(C(=O)[O-])CCOC3)CC2)cn1 ZINC001335428788 842278162 /nfs/dbraw/zinc/27/81/62/842278162.db2.gz HULSVPWLAVKGLT-RXYHWBRQSA-N -1 1 334.376 -0.179 20 0 EBADMM CCN1CC[C@@H](NC(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1=O ZINC001335851547 842364390 /nfs/dbraw/zinc/36/43/90/842364390.db2.gz IBQIOMZSFWDYNQ-LLVKDONJSA-N -1 1 330.348 -0.983 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCCc1ncnn1C ZINC001335860737 842367397 /nfs/dbraw/zinc/36/73/97/842367397.db2.gz UUCOEEAHDMGWPQ-UHFFFAOYSA-N -1 1 328.354 -0.764 20 0 EBADMM Cc1nonc1C(=O)N(C)CCOCCNC(=O)c1ncccc1[O-] ZINC001336575974 842496954 /nfs/dbraw/zinc/49/69/54/842496954.db2.gz DIMUPQYRLVTTPC-UHFFFAOYSA-N -1 1 349.347 -0.003 20 0 EBADMM C[C@@H](CN(C)C(=O)CC1CC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001336986892 842567897 /nfs/dbraw/zinc/56/78/97/842567897.db2.gz INRJWEIJLISJDB-NSHDSACASA-N -1 1 336.392 -0.310 20 0 EBADMM C[C@H](CN(C)C(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)c1ccco1 ZINC001337314414 842601378 /nfs/dbraw/zinc/60/13/78/842601378.db2.gz WZXAEGVVUUBRHZ-LLVKDONJSA-N -1 1 348.359 -0.203 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1ccc(N2CCOCC2)c(F)c1 ZINC001339327188 842909112 /nfs/dbraw/zinc/90/91/12/842909112.db2.gz HYPTYZVCPBCBKV-UHFFFAOYSA-N -1 1 349.326 -0.570 20 0 EBADMM O=C(NN1CC(=O)[N-]C1=O)[C@@H]1CCCN(C(=O)c2ccncc2)C1 ZINC001339589570 842926198 /nfs/dbraw/zinc/92/61/98/842926198.db2.gz LDLAFNZPQUCUCD-LLVKDONJSA-N -1 1 331.332 -0.483 20 0 EBADMM CCS(=O)(=O)NCc1ccc(C(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC001339588925 842926579 /nfs/dbraw/zinc/92/65/79/842926579.db2.gz GTGFRHKQBUXRKE-UHFFFAOYSA-N -1 1 340.361 -0.678 20 0 EBADMM Cn1ncc(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)c1-n1cccc1 ZINC001339801189 842943362 /nfs/dbraw/zinc/94/33/62/842943362.db2.gz ANMLBIWMFNOMMX-UHFFFAOYSA-N -1 1 342.363 -0.152 20 0 EBADMM CCN(C(=O)C1CC1)[C@H](C)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001340126740 842974324 /nfs/dbraw/zinc/97/43/24/842974324.db2.gz ZMXJMPBQJZONMM-LLVKDONJSA-N -1 1 336.392 -0.310 20 0 EBADMM CC(C)CN1CCO[C@@H](CNC(=O)C(=O)[N-]OC[C@@H]2CCOC2)C1 ZINC001340247187 842984231 /nfs/dbraw/zinc/98/42/31/842984231.db2.gz HHBMESKPSPUTCU-KGLIPLIRSA-N -1 1 343.424 -0.456 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCC1(CNC(=O)C2CC2)CC1 ZINC001340551589 843007264 /nfs/dbraw/zinc/00/72/64/843007264.db2.gz NBSCRZVUOZYGGC-UHFFFAOYSA-N -1 1 348.403 -0.261 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@@]1(C(F)(F)F)CCCN1 ZINC001341204334 843064630 /nfs/dbraw/zinc/06/46/30/843064630.db2.gz WNLRESGWBNVPOA-GFCCVEGCSA-N -1 1 334.298 -0.273 20 0 EBADMM CO[N-]C(=O)CNC(=O)C(=O)NCCN(C)Cc1ccc(F)cc1 ZINC001341344772 843079213 /nfs/dbraw/zinc/07/92/13/843079213.db2.gz KALBOWIBQCSREW-UHFFFAOYSA-N -1 1 340.355 -0.833 20 0 EBADMM CN(CCCNC(=O)[C@]1(C(=O)[O-])CNCCO1)CC(F)(F)F ZINC001341663506 843103951 /nfs/dbraw/zinc/10/39/51/843103951.db2.gz ROSPNNKXMFDLFG-NSHDSACASA-N -1 1 327.303 -0.570 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2CCC[C@H](N3CCCCC3=O)C2)CNCCO1 ZINC001342110532 843141029 /nfs/dbraw/zinc/14/10/29/843141029.db2.gz HGVVPYYVGSUNQJ-BLLLJJGKSA-N -1 1 339.392 -0.567 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2CCO[C@H](CC(F)(F)F)C2)CNCCO1 ZINC001342176069 843146407 /nfs/dbraw/zinc/14/64/07/843146407.db2.gz NHHOCRJYRLZFQN-KCJUWKMLSA-N -1 1 326.271 -0.391 20 0 EBADMM Cn1c(=O)c2ccc(C(=O)N3CCNC[C@@H]3CO)cc2[n-]c1=S ZINC001342438271 843162811 /nfs/dbraw/zinc/16/28/11/843162811.db2.gz GQXPIWBLDWLIAL-SNVBAGLBSA-N -1 1 334.401 -0.372 20 0 EBADMM O=C([N-]CCOCCOC(=O)[C@@H]1CSCC(=O)N1)C(F)(F)F ZINC001344623902 843323700 /nfs/dbraw/zinc/32/37/00/843323700.db2.gz BUYWXEGEQOAJEJ-ZETCQYMHSA-N -1 1 344.311 -0.544 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCC1N=NC(=S)N1C ZINC001345099638 843362935 /nfs/dbraw/zinc/36/29/35/843362935.db2.gz IUZNHIZQVJJFOU-UHFFFAOYSA-N -1 1 326.338 -0.714 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NC[C@H](O)c3ccco3)ccnc1-2 ZINC001346466570 843520201 /nfs/dbraw/zinc/52/02/01/843520201.db2.gz DMTGACOPQPHZBC-NSHDSACASA-N -1 1 329.316 -0.277 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNC(=O)c1ccncc1F ZINC001348690758 843733212 /nfs/dbraw/zinc/73/32/12/843733212.db2.gz GRFUDAYMLXNJAP-UHFFFAOYSA-N -1 1 349.322 -0.993 20 0 EBADMM C[C@@H](CNC(=O)C(=O)N1CCN(C2CCOCC2)C[C@H]1C)C(=O)[O-] ZINC001349839704 843943086 /nfs/dbraw/zinc/94/30/86/843943086.db2.gz MXRFSZZPUQYHPC-NWDGAFQWSA-N -1 1 341.408 -0.465 20 0 EBADMM CNC(=O)NC(C)(C)C(=O)NCCCNC(=O)c1ncccc1[O-] ZINC001349940590 843951772 /nfs/dbraw/zinc/95/17/72/843951772.db2.gz QLYADFUXMCHZHG-UHFFFAOYSA-N -1 1 337.380 -0.269 20 0 EBADMM CC(=O)NC(C)(C)C(=O)NCCN(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001573465353 945975125 /nfs/dbraw/zinc/97/51/25/945975125.db2.gz YETSYBQAQCTEFH-UHFFFAOYSA-N -1 1 347.383 -0.876 20 0 EBADMM CC(=O)NC(C)(C)C(=O)NCCN(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001573465353 945975133 /nfs/dbraw/zinc/97/51/33/945975133.db2.gz YETSYBQAQCTEFH-UHFFFAOYSA-N -1 1 347.383 -0.876 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)[C@@H](C)CNc1nccnc1-c1nnn[n-]1 ZINC001573467359 945979621 /nfs/dbraw/zinc/97/96/21/945979621.db2.gz CHVHLSHYLOPRAG-IUCAKERBSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)[C@@H](C)CNc1nccnc1-c1nn[n-]n1 ZINC001573467359 945979628 /nfs/dbraw/zinc/97/96/28/945979628.db2.gz CHVHLSHYLOPRAG-IUCAKERBSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)[C@H](C)CNc1nccnc1-c1nnn[n-]1 ZINC001573467360 945979690 /nfs/dbraw/zinc/97/96/90/945979690.db2.gz CHVHLSHYLOPRAG-RKDXNWHRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)[C@H](C)CNc1nccnc1-c1nn[n-]n1 ZINC001573467360 945979696 /nfs/dbraw/zinc/97/96/96/945979696.db2.gz CHVHLSHYLOPRAG-RKDXNWHRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)[C@H](C)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001573467763 945983306 /nfs/dbraw/zinc/98/33/06/945983306.db2.gz HJMDBUHYDKNYTJ-BDAKNGLRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)[C@H](C)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001573467763 945983314 /nfs/dbraw/zinc/98/33/14/945983314.db2.gz HJMDBUHYDKNYTJ-BDAKNGLRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@@H](C)CCNc1nccnc1-c1nnn[n-]1 ZINC001573476064 946111223 /nfs/dbraw/zinc/11/12/23/946111223.db2.gz CORMWXKHSSWILH-IUCAKERBSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@@H](C)CCNc1nccnc1-c1nn[n-]n1 ZINC001573476064 946111230 /nfs/dbraw/zinc/11/12/30/946111230.db2.gz CORMWXKHSSWILH-IUCAKERBSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@H](C)CNc1nc(C)cc(-c2nnn[n-]2)n1 ZINC001573485367 946223831 /nfs/dbraw/zinc/22/38/31/946223831.db2.gz RJPRMPWDVVGVGG-BDAKNGLRSA-N -1 1 347.383 -0.594 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@H](C)CNc1nc(C)cc(-c2nn[n-]n2)n1 ZINC001573485367 946223841 /nfs/dbraw/zinc/22/38/41/946223841.db2.gz RJPRMPWDVVGVGG-BDAKNGLRSA-N -1 1 347.383 -0.594 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@H]1C[C@@H](Nc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001573487014 946247796 /nfs/dbraw/zinc/24/77/96/946247796.db2.gz KLNWPJSBTWPVHR-UJNFCWOMSA-N -1 1 345.367 -0.760 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@H]1C[C@@H](Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001573487014 946247807 /nfs/dbraw/zinc/24/78/07/946247807.db2.gz KLNWPJSBTWPVHR-UJNFCWOMSA-N -1 1 345.367 -0.760 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@@H](CNc2nccnc2-c2nnn[n-]2)C1 ZINC001573503663 946395330 /nfs/dbraw/zinc/39/53/30/946395330.db2.gz BOAGQXKHUYVOJT-JTQLQIEISA-N -1 1 345.367 -0.947 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@@H](CNc2nccnc2-c2nn[n-]n2)C1 ZINC001573503663 946395341 /nfs/dbraw/zinc/39/53/41/946395341.db2.gz BOAGQXKHUYVOJT-JTQLQIEISA-N -1 1 345.367 -0.947 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@@H](CNc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001573504007 946404915 /nfs/dbraw/zinc/40/49/15/946404915.db2.gz DRGOTWRGYCOWPI-JTQLQIEISA-N -1 1 345.367 -0.947 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@@H](CNc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001573504007 946404923 /nfs/dbraw/zinc/40/49/23/946404923.db2.gz DRGOTWRGYCOWPI-JTQLQIEISA-N -1 1 345.367 -0.947 20 0 EBADMM CC(=O)NCC(=O)N1CCC[C@@H]1CNc1ccc(-c2nnn[n-]2)nn1 ZINC001573505980 946425655 /nfs/dbraw/zinc/42/56/55/946425655.db2.gz MYPOBNGRHKZIEK-SNVBAGLBSA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)NCC(=O)N1CCC[C@@H]1CNc1ccc(-c2nn[n-]n2)nn1 ZINC001573505980 946425657 /nfs/dbraw/zinc/42/56/57/946425657.db2.gz MYPOBNGRHKZIEK-SNVBAGLBSA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)NCC(=O)NC[C@H](C)CNc1nc(C)cc(-c2nnn[n-]2)n1 ZINC001573515261 946541205 /nfs/dbraw/zinc/54/12/05/946541205.db2.gz JUGBXAGUVQTKSI-QMMMGPOBSA-N -1 1 347.383 -0.735 20 0 EBADMM CC(=O)NCC(=O)NC[C@H](C)CNc1nc(C)cc(-c2nn[n-]n2)n1 ZINC001573515261 946541213 /nfs/dbraw/zinc/54/12/13/946541213.db2.gz JUGBXAGUVQTKSI-QMMMGPOBSA-N -1 1 347.383 -0.735 20 0 EBADMM CC(=O)NCC(=O)NC[C@H]1C[C@H](Nc2cncc(-c3nnn[n-]3)n2)C1 ZINC001573520023 946589596 /nfs/dbraw/zinc/58/95/96/946589596.db2.gz AFYIUDUDGWINEJ-MGCOHNPYSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)NC[C@H]1C[C@H](Nc2cncc(-c3nn[n-]n3)n2)C1 ZINC001573520023 946589606 /nfs/dbraw/zinc/58/96/06/946589606.db2.gz AFYIUDUDGWINEJ-MGCOHNPYSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)CNc1nc(C)cc(-c2nnn[n-]2)n1 ZINC001573522081 946621328 /nfs/dbraw/zinc/62/13/28/946621328.db2.gz ZYSNMPTUWFWRST-MRVPVSSYSA-N -1 1 333.356 -0.982 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)CNc1nc(C)cc(-c2nn[n-]n2)n1 ZINC001573522081 946621337 /nfs/dbraw/zinc/62/13/37/946621337.db2.gz ZYSNMPTUWFWRST-MRVPVSSYSA-N -1 1 333.356 -0.982 20 0 EBADMM C[C@H](C(=O)N(C)CCNc1ccc(-c2nnn[n-]2)nn1)n1cncn1 ZINC001573603124 947479764 /nfs/dbraw/zinc/47/97/64/947479764.db2.gz CPXKSLPBACQTFZ-SECBINFHSA-N -1 1 343.355 -0.620 20 0 EBADMM C[C@H](C(=O)N(C)CCNc1ccc(-c2nn[n-]n2)nn1)n1cncn1 ZINC001573603124 947479766 /nfs/dbraw/zinc/47/97/66/947479766.db2.gz CPXKSLPBACQTFZ-SECBINFHSA-N -1 1 343.355 -0.620 20 0 EBADMM C[C@H](C(=O)N1CC[C@](O)(C(F)F)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573626954 947717250 /nfs/dbraw/zinc/71/72/50/947717250.db2.gz XUVFHEJLHRWYSD-KSBSHMNSSA-N -1 1 328.283 -0.752 20 0 EBADMM C[C@@H](C(=O)N1CC[C@@]2(CCOC2=O)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573629271 947777370 /nfs/dbraw/zinc/77/73/70/947777370.db2.gz NEERUOXLWMASKM-ISVAXAHUSA-N -1 1 332.324 -0.815 20 0 EBADMM C[C@H](C(=O)N1CCC2(CCNC2=O)CC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573630661 947794579 /nfs/dbraw/zinc/79/45/79/947794579.db2.gz RMLMWTPIMIJXMM-SECBINFHSA-N -1 1 345.367 -0.852 20 0 EBADMM C[C@H](C(=O)N1CCN(C(=O)C2CC2)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573638098 947833411 /nfs/dbraw/zinc/83/34/11/947833411.db2.gz CVLBMOGMTNNPQX-MRVPVSSYSA-N -1 1 331.340 -0.943 20 0 EBADMM CC(=O)CCCC(=O)NCCN(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001573381973 947881800 /nfs/dbraw/zinc/88/18/00/947881800.db2.gz ASAQPCSESICLFI-UHFFFAOYSA-N -1 1 332.368 -0.032 20 0 EBADMM CC(=O)CCCC(=O)N[C@H]1C[C@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001573384408 947901152 /nfs/dbraw/zinc/90/11/52/947901152.db2.gz MJROKJYNGFEFGT-XYPYZODXSA-N -1 1 344.379 -0.103 20 0 EBADMM C[C@@H](C(=O)N1CCc2nc[nH]c(=O)c2C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573647841 947903917 /nfs/dbraw/zinc/90/39/17/947903917.db2.gz UMNCUBOJTHEDPB-ZETCQYMHSA-N -1 1 342.323 -0.900 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)[C@H](C)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001573388609 947920167 /nfs/dbraw/zinc/92/01/67/947920167.db2.gz MSBZCWDFSPCGJI-SECBINFHSA-N -1 1 347.383 -0.606 20 0 EBADMM CC(=O)N(C)CC(=O)N(C)[C@H](C)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001573388609 947920171 /nfs/dbraw/zinc/92/01/71/947920171.db2.gz MSBZCWDFSPCGJI-SECBINFHSA-N -1 1 347.383 -0.606 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)CCNc1cncc(-c2nnn[n-]2)n1 ZINC001573397829 947976077 /nfs/dbraw/zinc/97/60/77/947976077.db2.gz DRDXRIHDAZPGFZ-SECBINFHSA-N -1 1 347.383 -0.558 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)CCNc1cncc(-c2nn[n-]n2)n1 ZINC001573397829 947976080 /nfs/dbraw/zinc/97/60/80/947976080.db2.gz DRDXRIHDAZPGFZ-SECBINFHSA-N -1 1 347.383 -0.558 20 0 EBADMM C[C@@H](C(=O)N[C@H]1CC(=O)N(CC2CC2)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573663331 948033835 /nfs/dbraw/zinc/03/38/35/948033835.db2.gz LMMKFJBFBZETCA-WPRPVWTQSA-N -1 1 345.367 -0.854 20 0 EBADMM C[C@H](C(=O)NC[C@@H](CO)Cc1ccoc1)n1cnc(-c2nn[n-]n2)n1 ZINC001573680670 948186025 /nfs/dbraw/zinc/18/60/25/948186025.db2.gz REAOPVVDAKVDGL-KOLCDFICSA-N -1 1 346.351 -0.420 20 0 EBADMM C[C@H](C(=O)NC[C@H](CO)Cc1ccoc1)n1cnc(-c2nn[n-]n2)n1 ZINC001573680673 948186739 /nfs/dbraw/zinc/18/67/39/948186739.db2.gz REAOPVVDAKVDGL-MWLCHTKSSA-N -1 1 346.351 -0.420 20 0 EBADMM C[C@@H](C(=O)NC[C@@H](CO)Nc1ccc(-c2nnn[n-]2)nn1)C1CCC1 ZINC001573681909 948216146 /nfs/dbraw/zinc/21/61/46/948216146.db2.gz YTAJFANFGOQKPJ-KOLCDFICSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](C(=O)NC[C@@H](CO)Nc1ccc(-c2nn[n-]n2)nn1)C1CCC1 ZINC001573681909 948216157 /nfs/dbraw/zinc/21/61/57/948216157.db2.gz YTAJFANFGOQKPJ-KOLCDFICSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](C(=O)NC[C@@H](O)CNc1ccnc(-c2nn[n-]n2)n1)C(C)(C)C ZINC001573690593 948228468 /nfs/dbraw/zinc/22/84/68/948228468.db2.gz FDFOGMQUNTYDNY-UWVGGRQHSA-N -1 1 348.411 -0.350 20 0 EBADMM CC(=O)N1CC[C@]2(C1)CN(c1ccc(-c3nnn[n-]3)nn1)CCO2 ZINC001573439250 948245137 /nfs/dbraw/zinc/24/51/37/948245137.db2.gz KGHRRTHSERQKCM-AWEZNQCLSA-N -1 1 330.352 -0.516 20 0 EBADMM CC(=O)N1CC[C@]2(C1)CN(c1ccc(-c3nn[n-]n3)nn1)CCO2 ZINC001573439250 948245144 /nfs/dbraw/zinc/24/51/44/948245144.db2.gz KGHRRTHSERQKCM-AWEZNQCLSA-N -1 1 330.352 -0.516 20 0 EBADMM C[C@@H](C(=O)NC[C@@H]1CCN(C)C(=O)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573708546 948454532 /nfs/dbraw/zinc/45/45/32/948454532.db2.gz VLKONZDLPWHENF-DTWKUNHWSA-N -1 1 333.356 -0.996 20 0 EBADMM C[C@H](C(=O)NCCNc1cncc(-c2nnn[n-]2)n1)[C@H]1CCC(=O)N1 ZINC001573718952 948520989 /nfs/dbraw/zinc/52/09/89/948520989.db2.gz VEHUPNROXPOKGH-DTWKUNHWSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@H](C(=O)NCCNc1cncc(-c2nn[n-]n2)n1)[C@H]1CCC(=O)N1 ZINC001573718952 948520994 /nfs/dbraw/zinc/52/09/94/948520994.db2.gz VEHUPNROXPOKGH-DTWKUNHWSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@@H](C(=O)NCCN(C)c1cnc(-c2nnn[n-]2)cn1)n1cccn1 ZINC001573719236 948522475 /nfs/dbraw/zinc/52/24/75/948522475.db2.gz LTMMMABVWIURGH-JTQLQIEISA-N -1 1 342.367 -0.333 20 0 EBADMM C[C@@H](C(=O)NCCN(C)c1cnc(-c2nn[n-]n2)cn1)n1cccn1 ZINC001573719236 948522477 /nfs/dbraw/zinc/52/24/77/948522477.db2.gz LTMMMABVWIURGH-JTQLQIEISA-N -1 1 342.367 -0.333 20 0 EBADMM C[C@@H](CNc1cncc(-c2nnn[n-]2)n1)NC(=O)[C@H](C)n1cncn1 ZINC001573730626 948583636 /nfs/dbraw/zinc/58/36/36/948583636.db2.gz AOPQAIFZDIFCKU-IUCAKERBSA-N -1 1 343.355 -0.574 20 0 EBADMM C[C@@H](CNc1cncc(-c2nn[n-]n2)n1)NC(=O)[C@H](C)n1cncn1 ZINC001573730626 948583641 /nfs/dbraw/zinc/58/36/41/948583641.db2.gz AOPQAIFZDIFCKU-IUCAKERBSA-N -1 1 343.355 -0.574 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CN(c2ccnc(-c3nn[n-]n3)n2)C[C@@H]1O)C1CC1 ZINC001573740135 948629622 /nfs/dbraw/zinc/62/96/22/948629622.db2.gz FIJVWXHLZKYBAN-IEBDPFPHSA-N -1 1 344.379 -0.632 20 0 EBADMM O=C(N[C@@H]1CCN(c2nccnc2-c2nnn[n-]2)C1)c1cc[nH]c1 ZINC001570925245 948665511 /nfs/dbraw/zinc/66/55/11/948665511.db2.gz CTUZRJKEQJJERH-SNVBAGLBSA-N -1 1 325.336 -0.007 20 0 EBADMM O=C(N[C@@H]1CCN(c2nccnc2-c2nn[n-]n2)C1)c1cc[nH]c1 ZINC001570925245 948665514 /nfs/dbraw/zinc/66/55/14/948665514.db2.gz CTUZRJKEQJJERH-SNVBAGLBSA-N -1 1 325.336 -0.007 20 0 EBADMM CN(Cc1ccc(-c2nnn[n-]2)o1)Cc1nnc2n1CCNC2=O ZINC001570925649 948673491 /nfs/dbraw/zinc/67/34/91/948673491.db2.gz GSSMRQPEKHKIFH-UHFFFAOYSA-N -1 1 329.324 -0.573 20 0 EBADMM CN(Cc1ccc(-c2nn[n-]n2)o1)Cc1nnc2n1CCNC2=O ZINC001570925649 948673497 /nfs/dbraw/zinc/67/34/97/948673497.db2.gz GSSMRQPEKHKIFH-UHFFFAOYSA-N -1 1 329.324 -0.573 20 0 EBADMM Cc1cc(NC(=O)NCCn2cnc(-c3nn[n-]n3)n2)nc(C)n1 ZINC001570926326 948687338 /nfs/dbraw/zinc/68/73/38/948687338.db2.gz UHECRNDMAGQLDC-UHFFFAOYSA-N -1 1 329.328 -0.313 20 0 EBADMM O=C(Cc1ccc(-c2nnn[n-]2)nc1)NC[C@H]1C[C@@H]2COC[C@@H]2O1 ZINC001570928383 948731701 /nfs/dbraw/zinc/73/17/01/948731701.db2.gz PMPZAZKTUWXKAD-WZRBSPASSA-N -1 1 330.348 -0.276 20 0 EBADMM O=C(Cc1ccc(-c2nn[n-]n2)nc1)NC[C@H]1C[C@@H]2COC[C@@H]2O1 ZINC001570928383 948731708 /nfs/dbraw/zinc/73/17/08/948731708.db2.gz PMPZAZKTUWXKAD-WZRBSPASSA-N -1 1 330.348 -0.276 20 0 EBADMM O=C(N[C@@H]1CCN(c2cnc(-c3nnn[n-]3)cn2)C1)[C@H]1CCCO1 ZINC001570928538 948735706 /nfs/dbraw/zinc/73/57/06/948735706.db2.gz RPUOPYODNWJJBJ-MWLCHTKSSA-N -1 1 330.352 -0.469 20 0 EBADMM O=C(N[C@@H]1CCN(c2cnc(-c3nn[n-]n3)cn2)C1)[C@H]1CCCO1 ZINC001570928538 948735711 /nfs/dbraw/zinc/73/57/11/948735711.db2.gz RPUOPYODNWJJBJ-MWLCHTKSSA-N -1 1 330.352 -0.469 20 0 EBADMM O=C(NC[C@@H]1COCCN1c1nccnc1-c1nnn[n-]1)C1CC1 ZINC001570928628 948737087 /nfs/dbraw/zinc/73/70/87/948737087.db2.gz SUCKSKXGBAAEGZ-SNVBAGLBSA-N -1 1 330.352 -0.612 20 0 EBADMM O=C(NC[C@@H]1COCCN1c1nccnc1-c1nn[n-]n1)C1CC1 ZINC001570928628 948737092 /nfs/dbraw/zinc/73/70/92/948737092.db2.gz SUCKSKXGBAAEGZ-SNVBAGLBSA-N -1 1 330.352 -0.612 20 0 EBADMM Cc1cc(NC(=O)CCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)no1 ZINC001570929565 948775355 /nfs/dbraw/zinc/77/53/55/948775355.db2.gz WDWMDPFHCLFXHL-UHFFFAOYSA-N -1 1 332.280 -0.546 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)C1=COCCO1 ZINC001574679323 948778031 /nfs/dbraw/zinc/77/80/31/948778031.db2.gz SRKYUNRDILTWOZ-VIFPVBQESA-N -1 1 346.351 -0.148 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)C1=COCCO1 ZINC001574679323 948778043 /nfs/dbraw/zinc/77/80/43/948778043.db2.gz SRKYUNRDILTWOZ-VIFPVBQESA-N -1 1 346.351 -0.148 20 0 EBADMM CC(=O)N1CCC[C@@H](N(CCO)c2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570930119 948802401 /nfs/dbraw/zinc/80/24/01/948802401.db2.gz CLGHGCYNEUJOPJ-LLVKDONJSA-N -1 1 332.368 -0.534 20 0 EBADMM COCC(=O)N1CCC[C@@H](N(C)c2nccnc2-c2nnn[n-]2)C1 ZINC001570930784 948821025 /nfs/dbraw/zinc/82/10/25/948821025.db2.gz PELNNDOAZJSVFI-SNVBAGLBSA-N -1 1 332.368 -0.270 20 0 EBADMM COCC(=O)N1CCC[C@@H](N(C)c2nccnc2-c2nn[n-]n2)C1 ZINC001570930784 948821039 /nfs/dbraw/zinc/82/10/39/948821039.db2.gz PELNNDOAZJSVFI-SNVBAGLBSA-N -1 1 332.368 -0.270 20 0 EBADMM CC(=O)NC1CCN(C(=O)[C@H](C)n2cnc(-c3nn[n-]n3)n2)CC1 ZINC001570931178 948834089 /nfs/dbraw/zinc/83/40/89/948834089.db2.gz TXWQPTSOKDGFHJ-QMMMGPOBSA-N -1 1 333.356 -0.854 20 0 EBADMM O=C(Nc1ccnc(-c2nnn[n-]2)c1)N1C[C@H](CCO)[C@H](CO)C1 ZINC001570931234 948841344 /nfs/dbraw/zinc/84/13/44/948841344.db2.gz URRDQIRPOBSQPO-UWVGGRQHSA-N -1 1 333.352 -0.284 20 0 EBADMM O=C(Nc1ccnc(-c2nn[n-]n2)c1)N1C[C@H](CCO)[C@H](CO)C1 ZINC001570931234 948841356 /nfs/dbraw/zinc/84/13/56/948841356.db2.gz URRDQIRPOBSQPO-UWVGGRQHSA-N -1 1 333.352 -0.284 20 0 EBADMM O=C(NCCO)C1CCN(C(=O)c2coc(-c3nnn[n-]3)c2)CC1 ZINC001570933441 948896536 /nfs/dbraw/zinc/89/65/36/948896536.db2.gz BGRIRCKUAMXHCZ-UHFFFAOYSA-N -1 1 334.336 -0.580 20 0 EBADMM O=C(NCCO)C1CCN(C(=O)c2coc(-c3nn[n-]n3)c2)CC1 ZINC001570933441 948896553 /nfs/dbraw/zinc/89/65/53/948896553.db2.gz BGRIRCKUAMXHCZ-UHFFFAOYSA-N -1 1 334.336 -0.580 20 0 EBADMM CC[C@H](C)OCC(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570933912 948913648 /nfs/dbraw/zinc/91/36/48/948913648.db2.gz FEDSEIOHXFEXQT-QMMMGPOBSA-N -1 1 337.340 -0.940 20 0 EBADMM CC(F)(F)CC(=O)NC[C@@H](O)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001575235580 948922381 /nfs/dbraw/zinc/92/23/81/948922381.db2.gz WGXUNTRUQXTJIY-ZETCQYMHSA-N -1 1 342.310 -0.409 20 0 EBADMM CC(F)(F)CC(=O)NC[C@@H](O)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575235580 948922399 /nfs/dbraw/zinc/92/23/99/948922399.db2.gz WGXUNTRUQXTJIY-ZETCQYMHSA-N -1 1 342.310 -0.409 20 0 EBADMM CO[C@@H]1COCC[C@H]1CNC(=O)[C@H](C)n1cnc(-c2nn[n-]n2)n1 ZINC001570935067 948965319 /nfs/dbraw/zinc/96/53/19/948965319.db2.gz OYIOOKARBUXUSX-LPEHRKFASA-N -1 1 336.356 -0.813 20 0 EBADMM CC(C)C1(O)CCN(c2[nH]c(=O)n(C)c(=O)c2-c2nn[n-]n2)CC1 ZINC001574090058 948976867 /nfs/dbraw/zinc/97/68/67/948976867.db2.gz HPHXWOGVLAPBAS-UHFFFAOYSA-N -1 1 335.368 -0.759 20 0 EBADMM O=C(c1cn[nH]c1)N1C[C@@H]2C[C@H]1CN2c1cnc(-c2nnn[n-]2)cn1 ZINC001570935431 948978281 /nfs/dbraw/zinc/97/82/81/948978281.db2.gz NSNXFKTTYFFDHY-UWVGGRQHSA-N -1 1 338.335 -0.517 20 0 EBADMM O=C(c1cn[nH]c1)N1C[C@@H]2C[C@H]1CN2c1cnc(-c2nn[n-]n2)cn1 ZINC001570935431 948978294 /nfs/dbraw/zinc/97/82/94/948978294.db2.gz NSNXFKTTYFFDHY-UWVGGRQHSA-N -1 1 338.335 -0.517 20 0 EBADMM C[C@@H](CNC(=O)CN1CCCC1=O)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574926379 948998004 /nfs/dbraw/zinc/99/80/04/948998004.db2.gz OVNPXJKBZZIWSJ-VIFPVBQESA-N -1 1 345.367 -0.804 20 0 EBADMM C[C@@H](CNC(=O)CN1CCCC1=O)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574926379 948998011 /nfs/dbraw/zinc/99/80/11/948998011.db2.gz OVNPXJKBZZIWSJ-VIFPVBQESA-N -1 1 345.367 -0.804 20 0 EBADMM CC(C)(C(=O)NC[C@H](O)CNc1ccnc(-c2nn[n-]n2)n1)C1CC1 ZINC001573774292 949004063 /nfs/dbraw/zinc/00/40/63/949004063.db2.gz LZPAKPIPOWIQRR-SNVBAGLBSA-N -1 1 346.395 -0.596 20 0 EBADMM CS(=O)(=O)C[C@H](O)CNc1nc(-c2nn[n-]n2)c(Cl)s1 ZINC001570936634 949042050 /nfs/dbraw/zinc/04/20/50/949042050.db2.gz XMANMWQPVUPYMK-SCSAIBSYSA-N -1 1 338.802 -0.206 20 0 EBADMM O=C(N[C@@H]1CCN(c2ccnc(-c3nn[n-]n3)n2)C1)c1cccnn1 ZINC001570936569 949043867 /nfs/dbraw/zinc/04/38/67/949043867.db2.gz YENIDRFPFKQYJW-SECBINFHSA-N -1 1 338.335 -0.545 20 0 EBADMM Cn1cc(C(=O)N[C@H]2C[C@@H](Nc3ccc(-c4nnn[n-]4)nn3)C2)cn1 ZINC001570937374 949074081 /nfs/dbraw/zinc/07/40/81/949074081.db2.gz CRRIOZBCTDPMMK-AOOOYVTPSA-N -1 1 340.351 -0.237 20 0 EBADMM Cn1cc(C(=O)N[C@H]2C[C@@H](Nc3ccc(-c4nn[n-]n4)nn3)C2)cn1 ZINC001570937374 949074099 /nfs/dbraw/zinc/07/40/99/949074099.db2.gz CRRIOZBCTDPMMK-AOOOYVTPSA-N -1 1 340.351 -0.237 20 0 EBADMM C[C@H](CNC(=O)COCC(F)F)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574929349 949094044 /nfs/dbraw/zinc/09/40/44/949094044.db2.gz DFXADMKSCOHGQV-SSDOTTSWSA-N -1 1 342.310 -0.723 20 0 EBADMM Cn1cc(N2C[C@@H](C(=O)Nc3c[nH]nc3-c3nn[n-]n3)CC2=O)cn1 ZINC001570938254 949098213 /nfs/dbraw/zinc/09/82/13/949098213.db2.gz CIJHAPOQIYOXHZ-ZETCQYMHSA-N -1 1 342.323 -0.685 20 0 EBADMM O=C(Cc1cc[nH]n1)N[C@@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C1 ZINC001570938425 949106380 /nfs/dbraw/zinc/10/63/80/949106380.db2.gz SIBJBJQHNDNNPK-SNVBAGLBSA-N -1 1 340.351 -0.683 20 0 EBADMM O=C(Cc1cc[nH]n1)N[C@@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C1 ZINC001570938425 949106393 /nfs/dbraw/zinc/10/63/93/949106393.db2.gz SIBJBJQHNDNNPK-SNVBAGLBSA-N -1 1 340.351 -0.683 20 0 EBADMM CC(C)C1(S(=O)(=O)NCCn2cnc(-c3nn[n-]n3)n2)CC1 ZINC001574093471 949107830 /nfs/dbraw/zinc/10/78/30/949107830.db2.gz SDXWWXKFUAUEBT-UHFFFAOYSA-N -1 1 326.386 -0.434 20 0 EBADMM Cc1ncc(C(=O)N[C@@H]2CCN(c3ccnc(-c4nn[n-]n4)n3)C2)[nH]1 ZINC001570938609 949110873 /nfs/dbraw/zinc/11/08/73/949110873.db2.gz VWEQESNFCAUHRL-SECBINFHSA-N -1 1 340.351 -0.303 20 0 EBADMM C[C@H](CNC(=O)CO[C@H]1CCOC1)Nc1nccnc1-c1nnn[n-]1 ZINC001574930772 949111663 /nfs/dbraw/zinc/11/16/63/949111663.db2.gz MIXUNSIGGDYKTP-ZJUUUORDSA-N -1 1 348.367 -0.621 20 0 EBADMM C[C@H](CNC(=O)CO[C@H]1CCOC1)Nc1nccnc1-c1nn[n-]n1 ZINC001574930772 949111674 /nfs/dbraw/zinc/11/16/74/949111674.db2.gz MIXUNSIGGDYKTP-ZJUUUORDSA-N -1 1 348.367 -0.621 20 0 EBADMM O=C(Nc1ccnc(-c2nnn[n-]2)c1)N[C@@H]1CCc2nnnn2CC1 ZINC001570939030 949122081 /nfs/dbraw/zinc/12/20/81/949122081.db2.gz AASMCCZUZKZAKO-MRVPVSSYSA-N -1 1 341.339 -0.225 20 0 EBADMM O=C(Nc1ccnc(-c2nn[n-]n2)c1)N[C@@H]1CCc2nnnn2CC1 ZINC001570939030 949122091 /nfs/dbraw/zinc/12/20/91/949122091.db2.gz AASMCCZUZKZAKO-MRVPVSSYSA-N -1 1 341.339 -0.225 20 0 EBADMM C[C@@H](CNC(=O)COCC(F)F)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574932375 949136652 /nfs/dbraw/zinc/13/66/52/949136652.db2.gz YNXMXOITOGYOMX-ZETCQYMHSA-N -1 1 342.310 -0.145 20 0 EBADMM C[C@@H](CNC(=O)COCC(F)F)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574932375 949136668 /nfs/dbraw/zinc/13/66/68/949136668.db2.gz YNXMXOITOGYOMX-ZETCQYMHSA-N -1 1 342.310 -0.145 20 0 EBADMM Cc1ncc(NC(=O)[C@H](C)n2cnc(-c3nn[n-]n3)n2)cc1C(N)=O ZINC001570939358 949139305 /nfs/dbraw/zinc/13/93/05/949139305.db2.gz NRNOJHTXRXEHJG-ZETCQYMHSA-N -1 1 342.323 -0.540 20 0 EBADMM C[C@@H]1C[C@@H](Nc2nccnc2-c2nnn[n-]2)CN1C(=O)c1nc[nH]n1 ZINC001570940172 949173095 /nfs/dbraw/zinc/17/30/95/949173095.db2.gz KQGPEFICNPMZCJ-HTQZYQBOSA-N -1 1 341.339 -0.510 20 0 EBADMM C[C@@H]1C[C@@H](Nc2nccnc2-c2nn[n-]n2)CN1C(=O)c1nc[nH]n1 ZINC001570940172 949173104 /nfs/dbraw/zinc/17/31/04/949173104.db2.gz KQGPEFICNPMZCJ-HTQZYQBOSA-N -1 1 341.339 -0.510 20 0 EBADMM O=C(N[C@@H]1CCN(c2ccnc(-c3nn[n-]n3)n2)C1)C1=CCOCC1 ZINC001570940280 949173171 /nfs/dbraw/zinc/17/31/71/949173171.db2.gz LKFQTMVSSSPEKY-LLVKDONJSA-N -1 1 342.363 -0.302 20 0 EBADMM CC(C)CC(=O)N(C)C[C@@H](O)CN(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001574099899 949186977 /nfs/dbraw/zinc/18/69/77/949186977.db2.gz PXCWICJCVYYDHI-NSHDSACASA-N -1 1 348.411 -0.042 20 0 EBADMM CC(C)CC(=O)N(C)C[C@@H](O)CN(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001574099899 949186991 /nfs/dbraw/zinc/18/69/91/949186991.db2.gz PXCWICJCVYYDHI-NSHDSACASA-N -1 1 348.411 -0.042 20 0 EBADMM O=C(c1cn[nH]n1)N1CCC[C@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001570940977 949201809 /nfs/dbraw/zinc/20/18/09/949201809.db2.gz QGOIFHVLXCOXQO-QMMMGPOBSA-N -1 1 341.339 -0.509 20 0 EBADMM O=C(c1cn[nH]n1)N1CCC[C@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001570940977 949201824 /nfs/dbraw/zinc/20/18/24/949201824.db2.gz QGOIFHVLXCOXQO-QMMMGPOBSA-N -1 1 341.339 -0.509 20 0 EBADMM O=C(c1nc[nH]n1)N1CCC[C@H](Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001570940995 949202152 /nfs/dbraw/zinc/20/21/52/949202152.db2.gz QSSBZJGKKSTGIP-QMMMGPOBSA-N -1 1 341.339 -0.509 20 0 EBADMM CC(C)(C(N)=O)C(=O)N1CC[C@H](Nc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001573788744 949211032 /nfs/dbraw/zinc/21/10/32/949211032.db2.gz VOXJGNFGLIRTPU-QMMMGPOBSA-N -1 1 345.367 -0.819 20 0 EBADMM CC(C)(C(N)=O)C(=O)N1CC[C@H](Nc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001573788744 949211054 /nfs/dbraw/zinc/21/10/54/949211054.db2.gz VOXJGNFGLIRTPU-QMMMGPOBSA-N -1 1 345.367 -0.819 20 0 EBADMM O=C(NC[C@H]1C[C@H](Nc2ccnc(-c3nn[n-]n3)n2)C1)c1ccon1 ZINC001570941553 949232487 /nfs/dbraw/zinc/23/24/87/949232487.db2.gz WLABBBBTLJMWBV-KYZUINATSA-N -1 1 341.335 -0.313 20 0 EBADMM C[C@H]1CCC[C@@H](NC(=O)Cn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)C1 ZINC001576152242 949251320 /nfs/dbraw/zinc/25/13/20/949251320.db2.gz JKNUUPHYTNADDH-DTWKUNHWSA-N -1 1 333.352 -0.176 20 0 EBADMM C[C@@H](NC(=O)C1=COCCO1)[C@@H](C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001575258078 949286313 /nfs/dbraw/zinc/28/63/13/949286313.db2.gz DPMCGZLARSZADH-RKDXNWHRSA-N -1 1 346.351 -0.150 20 0 EBADMM C[C@@H](NC(=O)C1=COCCO1)[C@@H](C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575258078 949286335 /nfs/dbraw/zinc/28/63/35/949286335.db2.gz DPMCGZLARSZADH-RKDXNWHRSA-N -1 1 346.351 -0.150 20 0 EBADMM C[C@@H](Nc1ccc(-c2nnn[n-]2)nn1)[C@H](C)NC(=O)C1=COCCO1 ZINC001575258253 949291673 /nfs/dbraw/zinc/29/16/73/949291673.db2.gz GEZXCFVPWYCDGF-BDAKNGLRSA-N -1 1 346.351 -0.150 20 0 EBADMM C[C@@H](Nc1ccc(-c2nn[n-]n2)nn1)[C@H](C)NC(=O)C1=COCCO1 ZINC001575258253 949291691 /nfs/dbraw/zinc/29/16/91/949291691.db2.gz GEZXCFVPWYCDGF-BDAKNGLRSA-N -1 1 346.351 -0.150 20 0 EBADMM O=C(NCc1nc2c(c(=O)[nH]1)COCC2)c1coc(-c2nnn[n-]2)c1 ZINC001570942518 949293317 /nfs/dbraw/zinc/29/33/17/949293317.db2.gz NOOCWUYCCIBEHP-UHFFFAOYSA-N -1 1 343.303 -0.042 20 0 EBADMM O=C(NCc1nc2c(c(=O)[nH]1)COCC2)c1coc(-c2nn[n-]n2)c1 ZINC001570942518 949293339 /nfs/dbraw/zinc/29/33/39/949293339.db2.gz NOOCWUYCCIBEHP-UHFFFAOYSA-N -1 1 343.303 -0.042 20 0 EBADMM CC(C)(C)C(=O)N(CCO)CCNc1cncc(-c2nnn[n-]2)n1 ZINC001573793506 949295343 /nfs/dbraw/zinc/29/53/43/949295343.db2.gz SOXDJIYJOBGGMF-UHFFFAOYSA-N -1 1 334.384 -0.064 20 0 EBADMM CC(C)(C)C(=O)N(CCO)CCNc1cncc(-c2nn[n-]n2)n1 ZINC001573793506 949295362 /nfs/dbraw/zinc/29/53/62/949295362.db2.gz SOXDJIYJOBGGMF-UHFFFAOYSA-N -1 1 334.384 -0.064 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCCC2CS(=O)(=O)C2)n1 ZINC001570942530 949295498 /nfs/dbraw/zinc/29/54/98/949295498.db2.gz QMZJRVVFLATKMK-UHFFFAOYSA-N -1 1 340.369 -0.844 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCCC2CS(=O)(=O)C2)n1 ZINC001570942530 949295520 /nfs/dbraw/zinc/29/55/20/949295520.db2.gz QMZJRVVFLATKMK-UHFFFAOYSA-N -1 1 340.369 -0.844 20 0 EBADMM Cc1cc(-c2nnn[n-]2)nc(N2CC[C@@H](NC(=O)c3cocn3)C2)n1 ZINC001570942715 949304758 /nfs/dbraw/zinc/30/47/58/949304758.db2.gz URIFYQIAADPXTQ-SECBINFHSA-N -1 1 341.335 -0.038 20 0 EBADMM Cc1cc(-c2nn[n-]n2)nc(N2CC[C@@H](NC(=O)c3cocn3)C2)n1 ZINC001570942715 949304784 /nfs/dbraw/zinc/30/47/84/949304784.db2.gz URIFYQIAADPXTQ-SECBINFHSA-N -1 1 341.335 -0.038 20 0 EBADMM CC1=C(C(=O)Nc2n[nH]cc2-c2nnn[n-]2)S(=O)(=O)CCO1 ZINC001575861882 949335759 /nfs/dbraw/zinc/33/57/59/949335759.db2.gz WLWLLJOJPDGNOR-UHFFFAOYSA-N -1 1 325.310 -0.795 20 0 EBADMM CC1=C(C(=O)Nc2n[nH]cc2-c2nn[n-]n2)S(=O)(=O)CCO1 ZINC001575861882 949335779 /nfs/dbraw/zinc/33/57/79/949335779.db2.gz WLWLLJOJPDGNOR-UHFFFAOYSA-N -1 1 325.310 -0.795 20 0 EBADMM Cn1c(=O)[nH]c(NCc2cc3n(n2)CCCC3)c(-c2nn[n-]n2)c1=O ZINC001570943223 949343647 /nfs/dbraw/zinc/34/36/47/949343647.db2.gz XKFGUVZMJGRERD-UHFFFAOYSA-N -1 1 343.351 -0.189 20 0 EBADMM C[C@H](CNC(=O)Cc1cc[nH]n1)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001574942640 949359931 /nfs/dbraw/zinc/35/99/31/949359931.db2.gz QEERNPXWGXZIPW-VIFPVBQESA-N -1 1 342.367 -0.213 20 0 EBADMM C[C@H](CNC(=O)Cc1cc[nH]n1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001574942640 949359954 /nfs/dbraw/zinc/35/99/54/949359954.db2.gz QEERNPXWGXZIPW-VIFPVBQESA-N -1 1 342.367 -0.213 20 0 EBADMM CC(C)(C)C(=O)N[C@@H]1CCN(c2cncc(-c3nnn[n-]3)n2)C[C@H]1O ZINC001573800009 949385898 /nfs/dbraw/zinc/38/58/98/949385898.db2.gz UAMYILITBOFJNI-MWLCHTKSSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)(C)C(=O)N[C@@H]1CCN(c2cncc(-c3nn[n-]n3)n2)C[C@H]1O ZINC001573800009 949385915 /nfs/dbraw/zinc/38/59/15/949385915.db2.gz UAMYILITBOFJNI-MWLCHTKSSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(NC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)(C1CC1)C1CC1 ZINC001570943977 949396095 /nfs/dbraw/zinc/39/60/95/949396095.db2.gz AYGSFLMIDZCNNI-UHFFFAOYSA-N -1 1 345.363 -0.176 20 0 EBADMM C[C@@H]1CCC[C@@H](C)N1NC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001576155736 949417478 /nfs/dbraw/zinc/41/74/78/949417478.db2.gz UYWVCVAORGWFGB-RKDXNWHRSA-N -1 1 348.367 -0.577 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1CC1(F)F)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574705535 949428311 /nfs/dbraw/zinc/42/83/11/949428311.db2.gz UNKYRYAXXNUNGF-HTQZYQBOSA-N -1 1 338.322 -0.007 20 0 EBADMM C[C@H](CNC(=O)Cc1cncn1C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574946588 949434252 /nfs/dbraw/zinc/43/42/52/949434252.db2.gz WDJSXUDPKWYJQM-SECBINFHSA-N -1 1 342.367 -0.451 20 0 EBADMM C[C@H](CNC(=O)Cc1cncn1C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574946588 949434268 /nfs/dbraw/zinc/43/42/68/949434268.db2.gz WDJSXUDPKWYJQM-SECBINFHSA-N -1 1 342.367 -0.451 20 0 EBADMM C[C@H]1CO[C@@H](C(=O)N2CCN(c3ccnc(-c4nn[n-]n4)n3)CC2)C1 ZINC001570945503 949482693 /nfs/dbraw/zinc/48/26/93/949482693.db2.gz BNANPODIGZHOFT-GHMZBOCLSA-N -1 1 344.379 -0.270 20 0 EBADMM C[C@H](CNC(=O)Cn1cccn1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001574949285 949486670 /nfs/dbraw/zinc/48/66/70/949486670.db2.gz SGGXXOSUIMNZIR-SNVBAGLBSA-N -1 1 342.367 -0.506 20 0 EBADMM C[C@H](CNC(=O)Cn1cccn1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001574949285 949486678 /nfs/dbraw/zinc/48/66/78/949486678.db2.gz SGGXXOSUIMNZIR-SNVBAGLBSA-N -1 1 342.367 -0.506 20 0 EBADMM CC(C)CC(=O)NC[C@]1(O)CCN(c2cncc(-c3nnn[n-]3)n2)C1 ZINC001574122493 949528393 /nfs/dbraw/zinc/52/83/93/949528393.db2.gz XZEUMCVBXCTONU-OAHLLOKOSA-N -1 1 346.395 -0.240 20 0 EBADMM CC(C)CC(=O)NC[C@]1(O)CCN(c2cncc(-c3nn[n-]n3)n2)C1 ZINC001574122493 949528410 /nfs/dbraw/zinc/52/84/10/949528410.db2.gz XZEUMCVBXCTONU-OAHLLOKOSA-N -1 1 346.395 -0.240 20 0 EBADMM O=C(c1n[nH]cc1F)N1CC[C@@H](Nc2cncc(-c3nnn[n-]3)n2)C1 ZINC001570946477 949535205 /nfs/dbraw/zinc/53/52/05/949535205.db2.gz PAHPGTCXFRRNNF-SSDOTTSWSA-N -1 1 344.314 -0.155 20 0 EBADMM O=C(c1n[nH]cc1F)N1CC[C@@H](Nc2cncc(-c3nn[n-]n3)n2)C1 ZINC001570946477 949535214 /nfs/dbraw/zinc/53/52/14/949535214.db2.gz PAHPGTCXFRRNNF-SSDOTTSWSA-N -1 1 344.314 -0.155 20 0 EBADMM O=C(N[C@H]1C[C@@H](Nc2ccc(-c3nnn[n-]3)nn2)C1)[C@@H]1COCCO1 ZINC001570946364 949535237 /nfs/dbraw/zinc/53/52/37/949535237.db2.gz MFOTWFWSEDQCKA-NGZCFLSTSA-N -1 1 346.351 -0.869 20 0 EBADMM O=C(N[C@H]1C[C@@H](Nc2ccc(-c3nn[n-]n3)nn2)C1)[C@@H]1COCCO1 ZINC001570946364 949535244 /nfs/dbraw/zinc/53/52/44/949535244.db2.gz MFOTWFWSEDQCKA-NGZCFLSTSA-N -1 1 346.351 -0.869 20 0 EBADMM COCC(=O)N1CCC[C@H]2[C@@H]1CCN2c1ccc(-c2nnn[n-]2)nn1 ZINC001570946599 949537122 /nfs/dbraw/zinc/53/71/22/949537122.db2.gz QZJGHTBPJVRKIT-RYUDHWBXSA-N -1 1 344.379 -0.127 20 0 EBADMM COCC(=O)N1CCC[C@H]2[C@@H]1CCN2c1ccc(-c2nn[n-]n2)nn1 ZINC001570946599 949537133 /nfs/dbraw/zinc/53/71/33/949537133.db2.gz QZJGHTBPJVRKIT-RYUDHWBXSA-N -1 1 344.379 -0.127 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1CCOC1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574711577 949545900 /nfs/dbraw/zinc/54/59/00/949545900.db2.gz JLMVRRLJUCHRNM-UWVGGRQHSA-N -1 1 332.368 -0.048 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1CCOC1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574711577 949545924 /nfs/dbraw/zinc/54/59/24/949545924.db2.gz JLMVRRLJUCHRNM-UWVGGRQHSA-N -1 1 332.368 -0.048 20 0 EBADMM CO[C@H](C)C(=O)N1CC[C@H]2CN(c3ccc(-c4nnn[n-]4)nn3)C[C@H]21 ZINC001570946873 949547168 /nfs/dbraw/zinc/54/71/68/949547168.db2.gz RYENRXFHGRAJSS-JFGNBEQYSA-N -1 1 344.379 -0.271 20 0 EBADMM CO[C@H](C)C(=O)N1CC[C@H]2CN(c3ccc(-c4nn[n-]n4)nn3)C[C@H]21 ZINC001570946873 949547189 /nfs/dbraw/zinc/54/71/89/949547189.db2.gz RYENRXFHGRAJSS-JFGNBEQYSA-N -1 1 344.379 -0.271 20 0 EBADMM CC(C)(O)CC(=O)N1CCC[C@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570947142 949561402 /nfs/dbraw/zinc/56/14/02/949561402.db2.gz URIUTDLNAXVFBS-JTQLQIEISA-N -1 1 346.395 -0.358 20 0 EBADMM O=C(C[C@@H]1CCCO1)N1CCN(c2nccnc2-c2nnn[n-]2)CC1 ZINC001570947448 949573450 /nfs/dbraw/zinc/57/34/50/949573450.db2.gz ZSAOMZCOOROLBI-NSHDSACASA-N -1 1 344.379 -0.126 20 0 EBADMM O=C(C[C@@H]1CCCO1)N1CCN(c2nccnc2-c2nn[n-]n2)CC1 ZINC001570947448 949573468 /nfs/dbraw/zinc/57/34/68/949573468.db2.gz ZSAOMZCOOROLBI-NSHDSACASA-N -1 1 344.379 -0.126 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1CCOC1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574713891 949612692 /nfs/dbraw/zinc/61/26/92/949612692.db2.gz YXWHTIFSKNRAPD-NXEZZACHSA-N -1 1 332.368 -0.626 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)N(C)CCN1CCOCC1 ZINC001570948624 949618024 /nfs/dbraw/zinc/61/80/24/949618024.db2.gz NUFBGFHZXDBYDH-UHFFFAOYSA-N -1 1 347.379 -0.320 20 0 EBADMM C[C@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574957592 949625613 /nfs/dbraw/zinc/62/56/13/949625613.db2.gz CHJCFKORUNDOGK-XBWDGYHZSA-N -1 1 330.352 -0.534 20 0 EBADMM C[C@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574957592 949625627 /nfs/dbraw/zinc/62/56/27/949625627.db2.gz CHJCFKORUNDOGK-XBWDGYHZSA-N -1 1 330.352 -0.534 20 0 EBADMM CCc1ncc(Cn2c(=O)c(-c3nn[n-]n3)c(C)n(CCO)c2=O)o1 ZINC001570948927 949626585 /nfs/dbraw/zinc/62/65/85/949626585.db2.gz RGBUTGYVNVWDIU-UHFFFAOYSA-N -1 1 347.335 -0.910 20 0 EBADMM C[C@@H](CNC(=O)[C@]12C[C@H]1COC2)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001574958157 949654981 /nfs/dbraw/zinc/65/49/81/949654981.db2.gz MRZKNXXXGLAWGU-FTGAXOIBSA-N -1 1 344.379 -0.721 20 0 EBADMM C[C@@H](NC(=O)CCCC(N)=O)[C@H](C)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575273574 949667412 /nfs/dbraw/zinc/66/74/12/949667412.db2.gz WUWCDINQWFFIHZ-DTWKUNHWSA-N -1 1 347.383 -0.961 20 0 EBADMM COC[C@@H](NC(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)C1CC1 ZINC001570950265 949678699 /nfs/dbraw/zinc/67/86/99/949678699.db2.gz GHOABQCHEGHOGJ-SNVBAGLBSA-N -1 1 349.351 -0.940 20 0 EBADMM C[C@H]1C[C@H](NC(=O)CCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)CCO1 ZINC001570950519 949689900 /nfs/dbraw/zinc/68/99/00/949689900.db2.gz KHOPQMGUPRRBDV-DTWKUNHWSA-N -1 1 349.351 -0.797 20 0 EBADMM CC(C)C[C@@H](C)C(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001574132988 949701924 /nfs/dbraw/zinc/70/19/24/949701924.db2.gz BQSSYJOIVKWXHI-SECBINFHSA-N -1 1 335.368 -0.073 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001574959101 949703878 /nfs/dbraw/zinc/70/38/78/949703878.db2.gz YZVSPKSFWTXHND-SVDPJWKOSA-N -1 1 344.379 -0.865 20 0 EBADMM C[C@@H](CN(C)C(=O)CC1(O)CCC1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574716787 949705926 /nfs/dbraw/zinc/70/59/26/949705926.db2.gz QOVXCYMWYLJKST-JTQLQIEISA-N -1 1 346.395 -0.358 20 0 EBADMM C[C@H](CNC(=O)[C@]12C[C@H]1COC2)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001574959184 949708237 /nfs/dbraw/zinc/70/82/37/949708237.db2.gz ZXHCGMURIDAIDL-FTGAXOIBSA-N -1 1 344.379 -0.366 20 0 EBADMM C[C@H](CNC(=O)[C@]12C[C@H]1COC2)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001574959184 949708260 /nfs/dbraw/zinc/70/82/60/949708260.db2.gz ZXHCGMURIDAIDL-FTGAXOIBSA-N -1 1 344.379 -0.366 20 0 EBADMM C[C@@]1(C(=O)N[C@H]2CCN(c3cnc(-c4nnn[n-]4)cn3)C2)CCOC1 ZINC001575571141 949949866 /nfs/dbraw/zinc/94/98/66/949949866.db2.gz XJXMEVDQIWWONG-ZUZCIYMTSA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@@]1(C(=O)N[C@H]2CCN(c3cnc(-c4nn[n-]n4)cn3)C2)CCOC1 ZINC001575571141 949949886 /nfs/dbraw/zinc/94/98/86/949949886.db2.gz XJXMEVDQIWWONG-ZUZCIYMTSA-N -1 1 344.379 -0.222 20 0 EBADMM CC1(C(=O)N[C@@H]2CCN(c3ccnc(-c4nn[n-]n4)n3)C[C@@H]2O)CC1 ZINC001575571350 949958137 /nfs/dbraw/zinc/95/81/37/949958137.db2.gz ZRJGZUHINJXLBP-ZJUUUORDSA-N -1 1 344.379 -0.487 20 0 EBADMM CC1(C(=O)NC[C@H](CO)Nc2nccnc2-c2nnn[n-]2)CCC1 ZINC001575571791 949961268 /nfs/dbraw/zinc/96/12/68/949961268.db2.gz ANJHVZMHRYBDTD-SECBINFHSA-N -1 1 332.368 -0.264 20 0 EBADMM CC1(C(=O)NC[C@H](CO)Nc2nccnc2-c2nn[n-]n2)CCC1 ZINC001575571791 949961278 /nfs/dbraw/zinc/96/12/78/949961278.db2.gz ANJHVZMHRYBDTD-SECBINFHSA-N -1 1 332.368 -0.264 20 0 EBADMM C[C@@H](CN(C)C(=O)Cn1ccnc1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574733280 950106350 /nfs/dbraw/zinc/10/63/50/950106350.db2.gz XOVIFHDIVLQPSL-JTQLQIEISA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@@H](CN(C)C(=O)Cn1ccnc1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574733280 950106362 /nfs/dbraw/zinc/10/63/62/950106362.db2.gz XOVIFHDIVLQPSL-JTQLQIEISA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1CCC(=O)N1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574734462 950138666 /nfs/dbraw/zinc/13/86/66/950138666.db2.gz NIYDWSLUSBLNOV-RKDXNWHRSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1CCC(=O)N1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574734462 950138675 /nfs/dbraw/zinc/13/86/75/950138675.db2.gz NIYDWSLUSBLNOV-RKDXNWHRSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](CN(C)C(=O)c1c[nH]c(-c2nn[nH]n2)c1)NCc1n[nH]c(=O)[n-]1 ZINC001574739732 950204967 /nfs/dbraw/zinc/20/49/67/950204967.db2.gz WRXHRPGWQMQDMV-SSDOTTSWSA-N -1 1 346.355 -0.731 20 0 EBADMM CC1(C(=O)N[C@@H]2CN(c3nccnc3-c3nnn[n-]3)C[C@H]2O)CC1 ZINC001575605803 950480237 /nfs/dbraw/zinc/48/02/37/950480237.db2.gz VDEJUSFYVAYQNV-RKDXNWHRSA-N -1 1 330.352 -0.878 20 0 EBADMM CC1(C(=O)N[C@@H]2CN(c3nccnc3-c3nn[n-]n3)C[C@H]2O)CC1 ZINC001575605803 950480254 /nfs/dbraw/zinc/48/02/54/950480254.db2.gz VDEJUSFYVAYQNV-RKDXNWHRSA-N -1 1 330.352 -0.878 20 0 EBADMM CC(C)(C)OCC(=O)N1CC[C@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001573866733 950511871 /nfs/dbraw/zinc/51/18/71/950511871.db2.gz KMCZVQARKSHFSM-JTQLQIEISA-N -1 1 346.395 -0.094 20 0 EBADMM C[C@]1(C(N)=O)CC[N@@H+](Cc2cc(=O)n3[n-]cc(-c4nnn[n-]4)c3n2)C1 ZINC001575614558 950539023 /nfs/dbraw/zinc/53/90/23/950539023.db2.gz IBWCVMYMXJAASN-AWEZNQCLSA-N -1 1 343.351 -0.688 20 0 EBADMM CC(C)(C)OCCS(=O)(=O)NCCn1cnc(-c2nn[n-]n2)n1 ZINC001573873685 950654334 /nfs/dbraw/zinc/65/43/34/950654334.db2.gz YDGJDPCXKWPMCC-UHFFFAOYSA-N -1 1 344.401 -0.807 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cn(C)nn1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574760564 950669590 /nfs/dbraw/zinc/66/95/90/950669590.db2.gz JHXVRFAIGPQWKC-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cn(C)nn1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574760564 950669606 /nfs/dbraw/zinc/66/96/06/950669606.db2.gz JHXVRFAIGPQWKC-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CNC(=O)c1ccn[nH]1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575005369 950681308 /nfs/dbraw/zinc/68/13/08/950681308.db2.gz CCZNYQRROLFVEJ-QMMMGPOBSA-N -1 1 328.340 -0.366 20 0 EBADMM C[C@@H](CNC(=O)c1ccn[nH]1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575005369 950681314 /nfs/dbraw/zinc/68/13/14/950681314.db2.gz CCZNYQRROLFVEJ-QMMMGPOBSA-N -1 1 328.340 -0.366 20 0 EBADMM C[C@H](CN(C)C(=O)c1cn(C)cn1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574761112 950692291 /nfs/dbraw/zinc/69/22/91/950692291.db2.gz QORYZKKVRONRSY-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CN(C)C(=O)c1cn(C)cn1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574761112 950692297 /nfs/dbraw/zinc/69/22/97/950692297.db2.gz QORYZKKVRONRSY-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H]1[C@H](Nc2cnc(-c3nn[n-]n3)cn2)CCN1C(=O)c1cn[nH]n1 ZINC001575926428 950708175 /nfs/dbraw/zinc/70/81/75/950708175.db2.gz KALVXQQAQZADHR-JGVFFNPUSA-N -1 1 341.339 -0.510 20 0 EBADMM CC1(C)CC(C(=O)NC[C@H](CO)Nc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001575629945 950754264 /nfs/dbraw/zinc/75/42/64/950754264.db2.gz VVKKUEIBEKPGFG-SNVBAGLBSA-N -1 1 346.395 -0.018 20 0 EBADMM CC1(C)CC(C(=O)NC[C@H](CO)Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001575629945 950754280 /nfs/dbraw/zinc/75/42/80/950754280.db2.gz VVKKUEIBEKPGFG-SNVBAGLBSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H]1[C@H](Nc2cncc(-c3nnn[n-]3)n2)CCN1C(=O)c1nc[nH]n1 ZINC001575930008 950791011 /nfs/dbraw/zinc/79/10/11/950791011.db2.gz DEPSNCCFXMUNEM-HTQZYQBOSA-N -1 1 341.339 -0.510 20 0 EBADMM C[C@@H]1[C@H](Nc2cncc(-c3nn[n-]n3)n2)CCN1C(=O)c1nc[nH]n1 ZINC001575930008 950791016 /nfs/dbraw/zinc/79/10/16/950791016.db2.gz DEPSNCCFXMUNEM-HTQZYQBOSA-N -1 1 341.339 -0.510 20 0 EBADMM CC1(C)C[C@@H](CNC(=O)NCCn2cnc(-c3nn[n-]n3)n2)CCO1 ZINC001575639057 950856803 /nfs/dbraw/zinc/85/68/03/950856803.db2.gz OFYPGIVCVAYTLO-JTQLQIEISA-N -1 1 349.399 -0.037 20 0 EBADMM C[C@H]1C[C@H](C(=O)N(C)CCN(C)c2ccnc(-c3nn[n-]n3)n2)CO1 ZINC001575938391 950975303 /nfs/dbraw/zinc/97/53/03/950975303.db2.gz KPQAOFDMFUPIRK-QWRGUYRKSA-N -1 1 346.395 -0.024 20 0 EBADMM C[C@H](CNC(=O)c1cccnn1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575032350 951223082 /nfs/dbraw/zinc/22/30/82/951223082.db2.gz XOLGPBKCQBNXQJ-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1cccnn1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575032350 951223088 /nfs/dbraw/zinc/22/30/88/951223088.db2.gz XOLGPBKCQBNXQJ-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1ccnnc1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575034184 951240015 /nfs/dbraw/zinc/24/00/15/951240015.db2.gz IYMPLRMIZGUCIP-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@H](CNC(=O)c1ccnnc1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575034184 951240025 /nfs/dbraw/zinc/24/00/25/951240025.db2.gz IYMPLRMIZGUCIP-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@H](CNC(=O)c1ccnn1C)Nc1nccnc1-c1nnn[n-]1 ZINC001575034324 951243206 /nfs/dbraw/zinc/24/32/06/951243206.db2.gz MHBIUQOJFDDVOT-MRVPVSSYSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@H](CNC(=O)c1ccnn1C)Nc1nccnc1-c1nn[n-]n1 ZINC001575034324 951243212 /nfs/dbraw/zinc/24/32/12/951243212.db2.gz MHBIUQOJFDDVOT-MRVPVSSYSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)nn1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575043362 951389414 /nfs/dbraw/zinc/38/94/14/951389414.db2.gz REOAVTRXFFGHBL-MRVPVSSYSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)nn1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575043362 951389428 /nfs/dbraw/zinc/38/94/28/951389428.db2.gz REOAVTRXFFGHBL-MRVPVSSYSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)cn1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575044362 951414108 /nfs/dbraw/zinc/41/41/08/951414108.db2.gz ZFTAUEPKKMJEPR-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)cn1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575044362 951414119 /nfs/dbraw/zinc/41/41/19/951414119.db2.gz ZFTAUEPKKMJEPR-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1cnccn1)CNc1nccnc1-c1nnn[n-]1 ZINC001575046199 951438399 /nfs/dbraw/zinc/43/83/99/951438399.db2.gz KZTZREHGZLFBPD-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@H](CNC(=O)c1cnccn1)CNc1nccnc1-c1nn[n-]n1 ZINC001575046199 951438412 /nfs/dbraw/zinc/43/84/12/951438412.db2.gz KZTZREHGZLFBPD-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1cnccn1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575046405 951441160 /nfs/dbraw/zinc/44/11/60/951441160.db2.gz NSSYZNJQFKOCAN-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1cnccn1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575046405 951441165 /nfs/dbraw/zinc/44/11/65/951441165.db2.gz NSSYZNJQFKOCAN-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@H](CNC(=O)c1cncn1C)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001575046722 951444946 /nfs/dbraw/zinc/44/49/46/951444946.db2.gz RLAOGHKJQXVXES-VIFPVBQESA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@H](CNC(=O)c1cncn1C)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575046722 951444950 /nfs/dbraw/zinc/44/49/50/951444950.db2.gz RLAOGHKJQXVXES-VIFPVBQESA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@H](CNC(=O)c1cncnc1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001575046650 951445810 /nfs/dbraw/zinc/44/58/10/951445810.db2.gz QJLQRWZPLIAZOX-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@H](CNC(=O)c1cncnc1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575046650 951445815 /nfs/dbraw/zinc/44/58/15/951445815.db2.gz QJLQRWZPLIAZOX-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@H](CNC(=O)c1cncnc1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575047209 951454042 /nfs/dbraw/zinc/45/40/42/951454042.db2.gz ZMXMCLCFDBTDOA-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@H](CNC(=O)c1cncnc1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575047209 951454046 /nfs/dbraw/zinc/45/40/46/951454046.db2.gz ZMXMCLCFDBTDOA-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1cnns1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575053419 951478402 /nfs/dbraw/zinc/47/84/02/951478402.db2.gz CKEAHSWPLBXLIM-ZETCQYMHSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@@H](CNC(=O)c1cnns1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575053419 951478410 /nfs/dbraw/zinc/47/84/10/951478410.db2.gz CKEAHSWPLBXLIM-ZETCQYMHSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@@H](CNC(=O)c1cnsn1)CNc1nccnc1-c1nnn[n-]1 ZINC001575054765 951497607 /nfs/dbraw/zinc/49/76/07/951497607.db2.gz KVJSOXWPHCRKCA-SSDOTTSWSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@@H](CNC(=O)c1cnsn1)CNc1nccnc1-c1nn[n-]n1 ZINC001575054765 951497612 /nfs/dbraw/zinc/49/76/12/951497612.db2.gz KVJSOXWPHCRKCA-SSDOTTSWSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@@H](CNC(=O)c1cnsn1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575055101 951499758 /nfs/dbraw/zinc/49/97/58/951499758.db2.gz NVFPXMCKNZTTKO-LURJTMIESA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@@H](CNC(=O)c1cnsn1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575055101 951499761 /nfs/dbraw/zinc/49/97/61/951499761.db2.gz NVFPXMCKNZTTKO-LURJTMIESA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@@H](CNC(=O)c1cnns1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001575055240 951501998 /nfs/dbraw/zinc/50/19/98/951501998.db2.gz OPOLRUYFGFHYSV-ZETCQYMHSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@@H](CNC(=O)c1cnns1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575055240 951502004 /nfs/dbraw/zinc/50/20/04/951502004.db2.gz OPOLRUYFGFHYSV-ZETCQYMHSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@@H](CNC(=O)c1n[nH]cc1F)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575060724 951547552 /nfs/dbraw/zinc/54/75/52/951547552.db2.gz FALLQGOTPAWFKN-SSDOTTSWSA-N -1 1 346.330 -0.003 20 0 EBADMM C[C@@H](CNC(=O)c1n[nH]cc1F)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575060724 951547560 /nfs/dbraw/zinc/54/75/60/951547560.db2.gz FALLQGOTPAWFKN-SSDOTTSWSA-N -1 1 346.330 -0.003 20 0 EBADMM C[C@@H](CNC(=O)c1csnn1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575060896 951553208 /nfs/dbraw/zinc/55/32/08/951553208.db2.gz JDTOUDOWFCMLDK-LURJTMIESA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@@H](CNC(=O)c1csnn1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575060896 951553220 /nfs/dbraw/zinc/55/32/20/951553220.db2.gz JDTOUDOWFCMLDK-LURJTMIESA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@@H](CNC(=O)c1csnn1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575061945 951562871 /nfs/dbraw/zinc/56/28/71/951562871.db2.gz RPDBBERFOVJXHW-ZETCQYMHSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@H](CNC(=O)c1n[nH]cc1F)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575062566 951572122 /nfs/dbraw/zinc/57/21/22/951572122.db2.gz XTLKRZYHULKLQW-SSDOTTSWSA-N -1 1 346.330 -0.226 20 0 EBADMM C[C@H](CNC(=O)c1ncccn1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001575065253 951580947 /nfs/dbraw/zinc/58/09/47/951580947.db2.gz APQORPSWOFJRPY-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1ncccn1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575065253 951580950 /nfs/dbraw/zinc/58/09/50/951580950.db2.gz APQORPSWOFJRPY-MRVPVSSYSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1ncccn1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575067221 951606650 /nfs/dbraw/zinc/60/66/50/951606650.db2.gz KNGFYFLYTQHHCF-VIFPVBQESA-N -1 1 340.351 -0.653 20 0 EBADMM C[C@@H](CNC(=O)c1ncn(C)n1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001575067626 951619360 /nfs/dbraw/zinc/61/93/60/951619360.db2.gz NEKWWWKJIMIFFL-ZETCQYMHSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@@H](CNC(=O)c1ncn(C)n1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575067626 951619372 /nfs/dbraw/zinc/61/93/72/951619372.db2.gz NEKWWWKJIMIFFL-ZETCQYMHSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@@H](CNC(=O)c1ncccn1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575068021 951629132 /nfs/dbraw/zinc/62/91/32/951629132.db2.gz VPEUKZYJDLUSGY-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1ncccn1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575068021 951629135 /nfs/dbraw/zinc/62/91/35/951629135.db2.gz VPEUKZYJDLUSGY-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM O=C([O-])CNC(=O)CN1CC[C@H]2CN(C(=O)C(F)(F)F)C[C@@H]2C1 ZINC001595098269 951836546 /nfs/dbraw/zinc/83/65/46/951836546.db2.gz ACPHTWPTMBKVIJ-IUCAKERBSA-N -1 1 337.298 -0.470 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)c1ccc(-c2nn[nH]n2)[nH]1 ZINC001575117062 951975088 /nfs/dbraw/zinc/97/50/88/951975088.db2.gz KVWOVJFWIHJSHA-ZETCQYMHSA-N -1 1 346.355 -0.731 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)c1c[nH]c(-c2nn[nH]n2)c1 ZINC001575117351 951978321 /nfs/dbraw/zinc/97/83/21/951978321.db2.gz MQNRNAQFANMJMO-ZETCQYMHSA-N -1 1 346.355 -0.731 20 0 EBADMM C[C@@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)[C@H]1CCC(=O)N1 ZINC001575132593 952094911 /nfs/dbraw/zinc/09/49/11/952094911.db2.gz HARRAACEPDJUBM-WCBMZHEXSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)[C@H]1CCC(=O)N1 ZINC001575132593 952094919 /nfs/dbraw/zinc/09/49/19/952094919.db2.gz HARRAACEPDJUBM-WCBMZHEXSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)Cn1ccnc1 ZINC001575132610 952096372 /nfs/dbraw/zinc/09/63/72/952096372.db2.gz HKHYZUCNJLILPO-JTQLQIEISA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)C1=COCCO1 ZINC001575140688 952143773 /nfs/dbraw/zinc/14/37/73/952143773.db2.gz FFXQLZOEAFIWQA-SECBINFHSA-N -1 1 346.351 -0.774 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)C[C@@H]1CCCO1 ZINC001575142142 952147198 /nfs/dbraw/zinc/14/71/98/952147198.db2.gz JEPRUFCPFQMXSJ-MNOVXSKESA-N -1 1 346.395 -0.094 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)[C@H]1CCCOC1 ZINC001575143079 952150291 /nfs/dbraw/zinc/15/02/91/952150291.db2.gz MSLRKSPQJPGZGL-MNOVXSKESA-N -1 1 346.395 -0.236 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1ccnnc1 ZINC001575144709 952170891 /nfs/dbraw/zinc/17/08/91/952170891.db2.gz KQILIOKZKLJPEW-VIFPVBQESA-N -1 1 340.351 -0.559 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1ccn(C)n1 ZINC001575144754 952171172 /nfs/dbraw/zinc/17/11/72/952171172.db2.gz LBDBRAPMDCKLKS-VIFPVBQESA-N -1 1 342.367 -0.615 20 0 EBADMM O=C([O-])[C@@H]1CCCN1S(=O)(=O)N[C@@H]1CCCN(CCCO)C1 ZINC001595169082 952216992 /nfs/dbraw/zinc/21/69/92/952216992.db2.gz HQQKXECSYAZEGA-NEPJUHHUSA-N -1 1 335.426 -0.783 20 0 EBADMM C[C@@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)c1ccnn1C ZINC001575155017 952233252 /nfs/dbraw/zinc/23/32/52/952233252.db2.gz JKZRYJDMMYGYAL-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)c1ccnn1C ZINC001575155017 952233259 /nfs/dbraw/zinc/23/32/59/952233259.db2.gz JKZRYJDMMYGYAL-VIFPVBQESA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)[C@H]1COCCO1 ZINC001575156720 952256454 /nfs/dbraw/zinc/25/64/54/952256454.db2.gz HTYLFLFGLVRKBS-MWLCHTKSSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)[C@H]1COCCO1 ZINC001575156720 952256458 /nfs/dbraw/zinc/25/64/58/952256458.db2.gz HTYLFLFGLVRKBS-MWLCHTKSSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)CCCC(N)=O ZINC001575157700 952266959 /nfs/dbraw/zinc/26/69/59/952266959.db2.gz OVNMSBIRWJEOSO-VIFPVBQESA-N -1 1 347.383 -0.429 20 0 EBADMM C[C@@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)CCCC(N)=O ZINC001575157700 952266964 /nfs/dbraw/zinc/26/69/64/952266964.db2.gz OVNMSBIRWJEOSO-VIFPVBQESA-N -1 1 347.383 -0.429 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)c1cn[nH]c1 ZINC001575180198 952416081 /nfs/dbraw/zinc/41/60/81/952416081.db2.gz SZZSVIWJDWXYOS-QMMMGPOBSA-N -1 1 328.340 -0.048 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)c1cn[nH]c1 ZINC001575180198 952416088 /nfs/dbraw/zinc/41/60/88/952416088.db2.gz SZZSVIWJDWXYOS-QMMMGPOBSA-N -1 1 328.340 -0.048 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)Cn1ccnc1 ZINC001575181156 952421765 /nfs/dbraw/zinc/42/17/65/952421765.db2.gz ZLXFBHMPAIPUDU-JTQLQIEISA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)Cn1ccnc1 ZINC001575181156 952421771 /nfs/dbraw/zinc/42/17/71/952421771.db2.gz ZLXFBHMPAIPUDU-JTQLQIEISA-N -1 1 342.367 -0.188 20 0 EBADMM O=C(NCCCCN1CCOCC1)C(=O)N[C@H]1C[C@@H](C(=O)[O-])C1 ZINC001594757150 953472131 /nfs/dbraw/zinc/47/21/31/953472131.db2.gz IXBGMIPPQHYFCG-TXEJJXNPSA-N -1 1 327.381 -0.806 20 0 EBADMM O=C([O-])C1CCN(C(=O)C(=O)NCCCCN2CCOCC2)CC1 ZINC001594757328 953476285 /nfs/dbraw/zinc/47/62/85/953476285.db2.gz UZJBHSMIACSVCX-UHFFFAOYSA-N -1 1 341.408 -0.462 20 0 EBADMM O=C(N[C@H]1C[C@@H](C(=O)[O-])C1)C(=O)N1CC[C@H]2[C@@H]1CCCN2CCO ZINC001594792615 953697703 /nfs/dbraw/zinc/69/77/03/953697703.db2.gz SCPXVROXBCSPJF-RNJOBUHISA-N -1 1 339.392 -0.977 20 0 EBADMM C[C@@H]1CCN(CN2CC3(CCOCC3)[C@H](O)C2=O)C[C@@H]1C(=O)[O-] ZINC001589354983 954120370 /nfs/dbraw/zinc/12/03/70/954120370.db2.gz KHNJQGMEVRPQPZ-FRRDWIJNSA-N -1 1 326.393 -0.014 20 0 EBADMM O=C([O-])C1(C(=O)N2CCN([C@@H]3CCC[C@H]3O)CC2)CCOCC1 ZINC001594851446 954194878 /nfs/dbraw/zinc/19/48/78/954194878.db2.gz TXEQWSJOQOFEQN-CHWSQXEVSA-N -1 1 326.393 -0.075 20 0 EBADMM COC(=O)[C@@H]1CN(C)CCN(C(=O)NCC2(C(=O)[O-])CCC2)C1 ZINC001593828756 955127780 /nfs/dbraw/zinc/12/77/80/955127780.db2.gz SMONNQNAJAJQOL-LLVKDONJSA-N -1 1 327.381 -0.013 20 0 EBADMM Cn1cc([C@@H]2C[C@H](C(=O)[O-])CN(CC(=O)N3CCOCC3)C2)cn1 ZINC001594534671 955560346 /nfs/dbraw/zinc/56/03/46/955560346.db2.gz AGRDBAUMHOKVBT-OLZOCXBDSA-N -1 1 336.392 -0.231 20 0 EBADMM Cn1cnnc1CN1CCC[C@H](NC(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC001594559212 955792504 /nfs/dbraw/zinc/79/25/04/955792504.db2.gz ATDUPJQUONZFSZ-CBAPKCEASA-N -1 1 335.286 -0.089 20 0 EBADMM COC(OC)C(=O)N1CCN(CC2(O)CCC(C(=O)[O-])CC2)CC1 ZINC001593944953 956319557 /nfs/dbraw/zinc/31/95/57/956319557.db2.gz RHVNVRUWDULSLU-UHFFFAOYSA-N -1 1 344.408 -0.245 20 0 EBADMM COC[C@]1(C(=O)[O-])CCN(CC(=O)NCC(=O)N2CCCC2)C1 ZINC001593966333 956545436 /nfs/dbraw/zinc/54/54/36/956545436.db2.gz IBHHGRDAYBEONH-HNNXBMFYSA-N -1 1 327.381 -0.852 20 0 EBADMM COCCN1CC[C@@H](NC(=O)N2C[C@H]3COC[C@@]3(C(=O)[O-])C2)C1 ZINC001594015062 957025746 /nfs/dbraw/zinc/02/57/46/957025746.db2.gz NZOYANHIXVHADE-ZOWXZIJZSA-N -1 1 327.381 -0.550 20 0 EBADMM COCCO[C@@H]1C[C@@H](CO)CN(Cc2c(C(=O)[O-])cnn2C)C1 ZINC001594019301 957071131 /nfs/dbraw/zinc/07/11/31/957071131.db2.gz YVSFHGQXTRMQBF-VXGBXAGGSA-N -1 1 327.381 -0.036 20 0 EBADMM C[C@@H](CNC(=O)C(=O)N1CCC(CN2CCOCC2)CC1)C(=O)[O-] ZINC001603158896 972259327 /nfs/dbraw/zinc/25/93/27/972259327.db2.gz JVHILTVOZDGHLF-LBPRGKRZSA-N -1 1 341.408 -0.606 20 0 EBADMM C[C@@H](CNC(=O)C(=O)NC[C@H]1CCN1C1CCOCC1)C(=O)[O-] ZINC001603166710 972264383 /nfs/dbraw/zinc/26/43/83/972264383.db2.gz SJRLFSCVLCQAHW-CMPLNLGQSA-N -1 1 327.381 -0.807 20 0 EBADMM C[C@H](NC(=O)NCCOCCOCC(=O)[O-])[C@H]1CN(C)CCN1C ZINC001603232793 972358609 /nfs/dbraw/zinc/35/86/09/972358609.db2.gz XVWDAFXPMBIOFG-QWHCGFSZSA-N -1 1 346.428 -0.962 20 0 EBADMM C[C@H](O)[C@H](O)C[N@H+]1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC001603266261 972458588 /nfs/dbraw/zinc/45/85/88/972458588.db2.gz SMLIRDXHBPNLLQ-MAUMQABQSA-N -1 1 328.287 -0.676 20 0 EBADMM CN(C)S(=O)(=O)CCN1C[C@H](C(=O)[O-])C[C@@H](c2cnn(C)c2)C1 ZINC001604075638 972473148 /nfs/dbraw/zinc/47/31/48/972473148.db2.gz OWFCUBDSFVDMKK-VXGBXAGGSA-N -1 1 344.437 -0.198 20 0 EBADMM CC(C)[C@@H](CNC(=O)c1cn(CC(=O)[O-])nn1)N1CCN(C)CC1 ZINC001588830035 961697766 /nfs/dbraw/zinc/69/77/66/961697766.db2.gz HZBITXVTFVLKJD-CYBMUJFWSA-N -1 1 338.412 -0.636 20 0 EBADMM CC(C)[C@H](CNC(=O)c1cn(CC(=O)[O-])nn1)N1CCN(C)CC1 ZINC001588830036 961698166 /nfs/dbraw/zinc/69/81/66/961698166.db2.gz HZBITXVTFVLKJD-ZDUSSCGKSA-N -1 1 338.412 -0.636 20 0 EBADMM C[C@@H](O)CN1CCN(C(=O)NC[C@H]2CCO[C@H]2C(=O)[O-])[C@@H](C)C1 ZINC001571186775 962216723 /nfs/dbraw/zinc/21/67/23/962216723.db2.gz WBSCGWIVGRUZIW-UMSGYPCISA-N -1 1 329.397 -0.427 20 0 EBADMM COCC[N@H+]1CC[C@@H](NC(=O)N2CCO[C@](COC)(C(=O)[O-])C2)C1 ZINC001574163502 962711202 /nfs/dbraw/zinc/71/12/02/962711202.db2.gz SOOZTTXGANDXHK-IUODEOHRSA-N -1 1 345.396 -0.781 20 0 EBADMM COCCN1CC[C@@H](NC(=O)N2CCO[C@](COC)(C(=O)[O-])C2)C1 ZINC001574163502 962711219 /nfs/dbraw/zinc/71/12/19/962711219.db2.gz SOOZTTXGANDXHK-IUODEOHRSA-N -1 1 345.396 -0.781 20 0 EBADMM O=C([O-])[C@@H]1CN(CC(=O)N2CCNC(=O)C2)C[C@@H]1c1ccccc1 ZINC001549037356 962935804 /nfs/dbraw/zinc/93/58/04/962935804.db2.gz PVCKCTPWSRECNF-ZIAGYGMSSA-N -1 1 331.372 -0.255 20 0 EBADMM CN(Cc1cc(C(=O)[O-])nn1C)C1CCN(S(C)(=O)=O)CC1 ZINC001604181586 972609929 /nfs/dbraw/zinc/60/99/29/972609929.db2.gz STGLMYHHHUMHTH-UHFFFAOYSA-N -1 1 330.410 -0.026 20 0 EBADMM CC1(N2CCOCC2)CCN(C(=O)C(=O)NCCCC(=O)[O-])CC1 ZINC001603346720 972752068 /nfs/dbraw/zinc/75/20/68/972752068.db2.gz SPXQYFUFVDNISY-UHFFFAOYSA-N -1 1 341.408 -0.319 20 0 EBADMM CNC(=O)[C@H](Cc1cnc[nH]1)NC(=O)c1cccc(OCC(=O)[O-])c1 ZINC001604240020 972753954 /nfs/dbraw/zinc/75/39/54/972753954.db2.gz OAHBIDPVNHAQFW-ZDUSSCGKSA-N -1 1 346.343 -0.040 20 0 EBADMM O=C([O-])[C@]1(C(=O)N[C@H]2CCN(CCN3CCOCC3)C2)CCCO1 ZINC001605922601 972807762 /nfs/dbraw/zinc/80/77/62/972807762.db2.gz DJYAAPPYVNVRJA-XJKSGUPXSA-N -1 1 341.408 -0.857 20 0 EBADMM COC(=O)CC[C@@]1(C)NC(=O)N(CN2CC[C@H](C(=O)[O-])C2)C1=O ZINC001604304721 972957871 /nfs/dbraw/zinc/95/78/71/972957871.db2.gz YKEKZFXIQQJPJA-LKFCYVNXSA-N -1 1 327.337 -0.386 20 0 EBADMM C[C@@H]1CN(CCCOC(=O)[C@@H]2CCCN(CC(=O)[O-])C2=O)CCO1 ZINC001603461191 973297193 /nfs/dbraw/zinc/29/71/93/973297193.db2.gz OOHSUVBDBCXTQO-CHWSQXEVSA-N -1 1 342.392 -0.036 20 0 EBADMM O=C([O-])CCCNC(=O)C(=O)N1CCC[C@H](CN2CCOCC2)C1 ZINC001606175131 973423401 /nfs/dbraw/zinc/42/34/01/973423401.db2.gz BDQYHOABSJRTQN-CYBMUJFWSA-N -1 1 341.408 -0.462 20 0 EBADMM O=C([O-])CN1CC[C@@H](N2CC[C@H](C(=O)NCC(F)(F)F)C2)C1=O ZINC001606232370 973498895 /nfs/dbraw/zinc/49/88/95/973498895.db2.gz SUEXOCYYOOFLIG-DTWKUNHWSA-N -1 1 337.298 -0.328 20 0 EBADMM COC[C@@]1(C(=O)[O-])CN(C(=O)NCCN2CCCOCC2)CCO1 ZINC001604550766 973562083 /nfs/dbraw/zinc/56/20/83/973562083.db2.gz ORTOXGCHDQUALF-OAHLLOKOSA-N -1 1 345.396 -0.780 20 0 EBADMM COCCn1cc(S(=O)(=O)N(CCN(C)C)CC(=O)[O-])cn1 ZINC001604630094 973763179 /nfs/dbraw/zinc/76/31/79/973763179.db2.gz XJRBZGAHEVKHQD-UHFFFAOYSA-N -1 1 334.398 -0.834 20 0 EBADMM NC(=O)[C@@H]1CCCN1CCCNC(=O)N1CCC(C(=O)[O-])CC1 ZINC001605635279 973992053 /nfs/dbraw/zinc/99/20/53/973992053.db2.gz PZQBIHZEIWFPLK-LBPRGKRZSA-N -1 1 326.397 -0.168 20 0 EBADMM CC(C)[C@H](NC(=O)CN1C[C@@]2(C)C(=O)NC(=O)[C@]2(C)C1)C(=O)[O-] ZINC001591867099 976180194 /nfs/dbraw/zinc/18/01/94/976180194.db2.gz PJCTZGSPJBHXJO-LKTVYLICSA-N -1 1 325.365 -0.804 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)CCS(=O)(=O)N(C)C)CC1 ZINC001592410790 978005573 /nfs/dbraw/zinc/00/55/73/978005573.db2.gz QOCKCULHVHAQLH-LLVKDONJSA-N -1 1 335.426 -0.725 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)c2ccc(-n3cnnn3)cn2)CC1 ZINC001592416125 978017914 /nfs/dbraw/zinc/01/79/14/978017914.db2.gz YSAFFPSZCUHMOB-LLVKDONJSA-N -1 1 345.363 -0.322 20 0 EBADMM C[C@H](C[C@H](C)NC(=O)Cc1nnc[nH]1)Nc1ccnc(C(=O)[O-])n1 ZINC001592454275 978162560 /nfs/dbraw/zinc/16/25/60/978162560.db2.gz VHGAZWNCYFNKJS-BDAKNGLRSA-N -1 1 333.352 -0.347 20 0 EBADMM C[C@H](CCNC(=O)CCc1c[nH]nn1)Nc1ccnc(C(=O)[O-])n1 ZINC001592513403 978345566 /nfs/dbraw/zinc/34/55/66/978345566.db2.gz OSMSDLBLQXFRQY-SECBINFHSA-N -1 1 333.352 -0.346 20 0 EBADMM C[C@H](CCNC(=O)CCc1cnn[nH]1)Nc1ccnc(C(=O)[O-])n1 ZINC001592513403 978345573 /nfs/dbraw/zinc/34/55/73/978345573.db2.gz OSMSDLBLQXFRQY-SECBINFHSA-N -1 1 333.352 -0.346 20 0 EBADMM C[C@@H](CCN1CCN(C)CC1)NS(=O)(=O)N(C)CCC(=O)[O-] ZINC001592513659 978348266 /nfs/dbraw/zinc/34/82/66/978348266.db2.gz JDELTASYLMOXPU-LBPRGKRZSA-N -1 1 336.458 -0.747 20 0 EBADMM C[C@H](CN1CCN(C)CC1)NC(=O)CN1CCC[C@H](C(=O)[O-])C1=O ZINC001592615991 978577972 /nfs/dbraw/zinc/57/79/72/978577972.db2.gz OTRGVIOIZORESL-OLZOCXBDSA-N -1 1 340.424 -0.938 20 0 EBADMM C[C@H](CNC(=O)C1=NC(=O)N(C)C1)N(C)c1ncc(C(=O)[O-])cn1 ZINC001592701450 979162531 /nfs/dbraw/zinc/16/25/31/979162531.db2.gz PWPYUSBFRFZROB-MRVPVSSYSA-N -1 1 334.336 -0.131 20 0 EBADMM C[C@@H](CNc1ccnc(C(=O)[O-])n1)N(C)C(=O)C1=NC(=O)N(C)C1 ZINC001592729721 979244320 /nfs/dbraw/zinc/24/43/20/979244320.db2.gz PRDFFZYLFYJYJA-QMMMGPOBSA-N -1 1 334.336 -0.392 20 0 EBADMM C[C@@H](CS(=O)(=O)N(CCN1CCN(C)CC1)CC(=O)[O-])C1CC1 ZINC001592790150 979437631 /nfs/dbraw/zinc/43/76/31/979437631.db2.gz WTVLBDXQFHFFIG-ZDUSSCGKSA-N -1 1 347.481 -0.004 20 0 EBADMM CCCC(=O)N1CSC[C@H]1C(=O)N1CCN(CC(=O)[O-])CC1 ZINC001595525972 981352428 /nfs/dbraw/zinc/35/24/28/981352428.db2.gz DVBIZYSJLQYCKS-NSHDSACASA-N -1 1 329.422 -0.083 20 0 EBADMM CCOC(=O)C1(CC(=O)N2CCN(CC(=O)[O-])CC2)CCOCC1 ZINC001596551219 984412378 /nfs/dbraw/zinc/41/23/78/984412378.db2.gz FOTQIKVGVFXFMY-UHFFFAOYSA-N -1 1 342.392 -0.035 20 0 EBADMM CCOC(=O)[C@H]1CCCCN1C(=O)CN1CC[C@](O)(C(=O)[O-])C1 ZINC001596570763 984464765 /nfs/dbraw/zinc/46/47/65/984464765.db2.gz SUIXELPNMWXVKC-IAQYHMDHSA-N -1 1 328.365 -0.548 20 0 EBADMM CCOC(=O)[C@@H]1CNCCN1CC(=O)N[C@@H](C(=O)[O-])[C@H](C)CC ZINC001596585376 984509887 /nfs/dbraw/zinc/50/98/87/984509887.db2.gz NHRRWZHILYWMOX-NTZNESFSSA-N -1 1 329.397 -0.561 20 0 EBADMM CCOC(=O)[C@@H]1CNCCN1CC(=O)N[C@H](C(=O)[O-])[C@H](C)CC ZINC001596585375 984510334 /nfs/dbraw/zinc/51/03/34/984510334.db2.gz NHRRWZHILYWMOX-MDZLAQPJSA-N -1 1 329.397 -0.561 20 0 EBADMM CCOC(=O)N1CCN(C(=O)CN2CCC(O)(C(=O)[O-])CC2)CC1 ZINC001596651597 984681230 /nfs/dbraw/zinc/68/12/30/984681230.db2.gz DULTWSOVNYRWFZ-UHFFFAOYSA-N -1 1 343.380 -0.801 20 0 EBADMM CC(=O)N[C@@H]1CCCN(C(=O)CN[C@@H](C[C@H]2CCCO2)C(=O)[O-])C1 ZINC001589119889 985381784 /nfs/dbraw/zinc/38/17/84/985381784.db2.gz JVHVYGVLTPPEKU-MCIONIFRSA-N -1 1 341.408 -0.275 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)COCc1nncn1C ZINC001594516192 985896895 /nfs/dbraw/zinc/89/68/95/985896895.db2.gz VALNHVHLXQDICC-LLVKDONJSA-N -1 1 325.369 -0.661 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1CC(=O)N(C)Cc1cnn(C)c1 ZINC001594521891 985998317 /nfs/dbraw/zinc/99/83/17/985998317.db2.gz LCZMDYUVXACAMG-CYBMUJFWSA-N -1 1 337.424 -0.141 20 0 EBADMM C[C@@H](C(=O)N(C)CC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-])n1cccn1 ZINC001589336550 986222665 /nfs/dbraw/zinc/22/26/65/986222665.db2.gz JMPYXIKUXFSALZ-JQWIXIFHSA-N -1 1 348.363 -0.562 20 0 EBADMM CC(C)(C(=O)N1CC(CNC(=O)[C@H]2C[C@H]2C(=O)[O-])C1)c1c[nH]cn1 ZINC001589560728 987316309 /nfs/dbraw/zinc/31/63/09/987316309.db2.gz OHZCZPVKSHFXAR-WDEREUQCSA-N -1 1 334.376 -0.017 20 0 EBADMM CC(C)(C(=O)[O-])N1CCN(C(=O)C(=O)N2CCC(CO)CC2)CC1 ZINC001589620959 987500707 /nfs/dbraw/zinc/50/07/07/987500707.db2.gz ROMORTDDUWSBPO-UHFFFAOYSA-N -1 1 341.408 -0.775 20 0 EBADMM CCc1nn(C)cc1NC(=O)C(=O)N1CCN(C)C[C@H](C(=O)[O-])C1 ZINC001597722985 989004630 /nfs/dbraw/zinc/00/46/30/989004630.db2.gz SOIMGBHBMFOUHI-JTQLQIEISA-N -1 1 337.380 -0.604 20 0 EBADMM CN(C(=O)[C@H]1C[C@@H]1C(=O)[O-])C1CCN(C(=O)Cc2ncn[nH]2)CC1 ZINC001597998608 990126025 /nfs/dbraw/zinc/12/60/25/990126025.db2.gz QMIVMDKAISXRAK-QWRGUYRKSA-N -1 1 335.364 -0.483 20 0 EBADMM CN(C)CC(=O)N1CCN(CC(=O)N2CCC(C(=O)[O-])CC2)CC1 ZINC001598196338 990801083 /nfs/dbraw/zinc/80/10/83/990801083.db2.gz DVCXBQACHFNZNQ-UHFFFAOYSA-N -1 1 340.424 -0.985 20 0 EBADMM CN(C)CC(=O)N1CCN(C(=O)CC[C@@H]2C[C@H](C(=O)[O-])CO2)CC1 ZINC001598197260 990806362 /nfs/dbraw/zinc/80/63/62/990806362.db2.gz YGDPRQWKOWJBIF-QWHCGFSZSA-N -1 1 341.408 -0.511 20 0 EBADMM CN(C)Cc1ccc(C(N)=O)cc1NC(=O)NC[C@H](O)CC(=O)[O-] ZINC001598252512 991033640 /nfs/dbraw/zinc/03/36/40/991033640.db2.gz JSIOPKMQNNMHFX-LLVKDONJSA-N -1 1 338.364 -0.196 20 0 EBADMM CN(CC(=O)NC[C@@H](C(=O)[O-])[C@@H]1CCOC1)c1ncnc2[nH]cnc21 ZINC001598391956 991638888 /nfs/dbraw/zinc/63/88/88/991638888.db2.gz GIBNUORZEZXSFZ-NXEZZACHSA-N -1 1 348.363 -0.357 20 0 EBADMM COc1ncccc1CN1C[C@@H](N2C(=O)CNC2=O)C[C@H]1C(=O)[O-] ZINC001599737169 991792503 /nfs/dbraw/zinc/79/25/03/991792503.db2.gz HPBFIMYUOJFEBG-QWRGUYRKSA-N -1 1 334.332 -0.331 20 0 EBADMM CS(=O)(=O)C1(C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])CCCC1 ZINC001599743080 991888791 /nfs/dbraw/zinc/88/87/91/991888791.db2.gz CDTQGHCRJFYTGL-JTQLQIEISA-N -1 1 329.378 -0.121 20 0 EBADMM CC(C)C[C@@H](NC(=O)CN1CCN(CCO)C(C)(C)C1)C(=O)[O-] ZINC001591167261 991931900 /nfs/dbraw/zinc/93/19/00/991931900.db2.gz BWMWXGBSKWVQAP-CYBMUJFWSA-N -1 1 329.441 -0.010 20 0 EBADMM CS(=O)(=O)Cc1n[n-]c(C[NH2+][C@@H]2C[C@@H]3C[C@H](C(=O)[O-])C[C@H]23)n1 ZINC001599753414 992021069 /nfs/dbraw/zinc/02/10/69/992021069.db2.gz PPSWDVLJAWAZAF-AATLWQCWSA-N -1 1 328.394 -0.062 20 0 EBADMM CN(CCNC(=O)C(=O)NC[C@H](F)C(=O)[O-])Cc1ccccc1 ZINC001598488662 992248486 /nfs/dbraw/zinc/24/84/86/992248486.db2.gz IYVDDCFRPGRUTP-LBPRGKRZSA-N -1 1 325.340 -0.227 20 0 EBADMM CN(C[C@H]1CCCO1)C(=O)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC001598503299 992464803 /nfs/dbraw/zinc/46/48/03/992464803.db2.gz KAZVJYLEGQXZEB-GFCCVEGCSA-N -1 1 341.408 -0.369 20 0 EBADMM CN1CC(=O)N(CCN2CCC[C@@H](n3cc(C(=O)[O-])nn3)C2)C1=O ZINC001598559901 993079291 /nfs/dbraw/zinc/07/92/91/993079291.db2.gz FNTBRTWXAOALKH-SNVBAGLBSA-N -1 1 336.352 -0.493 20 0 EBADMM Cn1ccc(-c2cc(C(=O)N3CC(=O)N(C)C[C@@H]3C(=O)[O-])n[nH]2)c1 ZINC001598565399 993138091 /nfs/dbraw/zinc/13/80/91/993138091.db2.gz UAWQBSFLIPXULW-GFCCVEGCSA-N -1 1 331.332 -0.217 20 0 EBADMM CN1CC[C@](O)(CNS(=O)(=O)c2cc(F)ccc2C(=O)[O-])C1 ZINC001598586243 993386830 /nfs/dbraw/zinc/38/68/30/993386830.db2.gz OSNDHVSWISGTTR-ZDUSSCGKSA-N -1 1 332.353 -0.131 20 0 EBADMM C[C@H](NC(=O)NC[C@H]1CCO[C@H]1C(=O)[O-])[C@H]1CN(C)CCN1C ZINC001593100023 993731871 /nfs/dbraw/zinc/73/18/71/993731871.db2.gz YLXLZNJPMYFOSI-UMSGYPCISA-N -1 1 328.413 -0.590 20 0 EBADMM C[C@@H](NC(=O)NC[C@H]1CCO[C@H]1C(=O)[O-])[C@@H]1CN(C)CCN1C ZINC001593100021 993732222 /nfs/dbraw/zinc/73/22/22/993732222.db2.gz YLXLZNJPMYFOSI-FVCCEPFGSA-N -1 1 328.413 -0.590 20 0 EBADMM Cn1nc(C(=O)N(CCN2CCN(C)CC2)CC(=O)[O-])cc1Cl ZINC001598615579 993873612 /nfs/dbraw/zinc/87/36/12/993873612.db2.gz FMQJKMQHKLQASG-UHFFFAOYSA-N -1 1 343.815 -0.152 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)c2ccc(C=O)cn2)CC1 ZINC001598617239 993910277 /nfs/dbraw/zinc/91/02/77/993910277.db2.gz WCQCUGBAVMIAQW-UHFFFAOYSA-N -1 1 334.376 -0.332 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)[C@@H]2CCO[C@H]2C2CC2)CC1 ZINC001598617432 993916860 /nfs/dbraw/zinc/91/68/60/993916860.db2.gz ZJZPDNVOFCYNOO-ZBFHGGJFSA-N -1 1 339.436 -0.038 20 0 EBADMM CN1CCN(CCCS(=O)(=O)N2CC[C@@](C)(C(=O)[O-])C2)CC1 ZINC001598617950 993927996 /nfs/dbraw/zinc/92/79/96/993927996.db2.gz NACWZJZQZOVXNH-CQSZACIVSA-N -1 1 333.454 -0.250 20 0 EBADMM CN1CCN(CCCS(=O)(=O)N2CC[C@](C)(C(=O)[O-])C2)CC1 ZINC001598617949 993929058 /nfs/dbraw/zinc/92/90/58/993929058.db2.gz NACWZJZQZOVXNH-AWEZNQCLSA-N -1 1 333.454 -0.250 20 0 EBADMM C[C@H](NC(=O)c1n[nH]c2ccccc21)C(=O)N1C[C@H](O)C[C@H]1C(=O)[O-] ZINC001593141618 993930125 /nfs/dbraw/zinc/93/01/25/993930125.db2.gz WYGTZQFOBKUKIH-SBMIAAHKSA-N -1 1 346.343 -0.272 20 0 EBADMM CN1CCN(c2cc(C(=O)N3CCC[C@@H](O)[C@@H]3C(=O)[O-])ccn2)CC1 ZINC001598620188 993991653 /nfs/dbraw/zinc/99/16/53/993991653.db2.gz UVERKBBELNANNM-UKRRQHHQSA-N -1 1 348.403 -0.117 20 0 EBADMM CN1CCN(c2cc(CNC(=O)N3CC(O)(C(=O)[O-])C3)ccn2)CC1 ZINC001598622433 994025717 /nfs/dbraw/zinc/02/57/17/994025717.db2.gz JRZKGVQBQYRUEA-UHFFFAOYSA-N -1 1 349.391 -0.826 20 0 EBADMM C[C@@H](O)CN1CCN(C(=O)NCCC(=O)NCCC(=O)[O-])[C@@H](C)C1 ZINC001593463449 995394110 /nfs/dbraw/zinc/39/41/10/995394110.db2.gz UPZQKYNAVISSJI-NWDGAFQWSA-N -1 1 344.412 -0.936 20 0 EBADMM COC(=O)C1CCC(NC(=O)C[C@H]2COCCN2)(C(=O)[O-])CC1 ZINC001598784386 996015750 /nfs/dbraw/zinc/01/57/50/996015750.db2.gz HLPURDHHLOGEDN-VTWZXRTESA-N -1 1 328.365 -0.332 20 0 EBADMM COC(=O)[C@H]1CN(CC(=O)N(C)Cc2cnn(C)c2)C[C@H]1C(=O)[O-] ZINC001598823722 996358848 /nfs/dbraw/zinc/35/88/48/996358848.db2.gz WWYCWPHLPWEMTB-NEPJUHHUSA-N -1 1 338.364 -0.816 20 0 EBADMM COC(=O)C[C@H](C)CC(=O)N1CCN([C@@]2(C(=O)[O-])CCOC2)CC1 ZINC001598836138 996486285 /nfs/dbraw/zinc/48/62/85/996486285.db2.gz UUEFNXIGNOTUNY-WBMJQRKESA-N -1 1 342.392 -0.036 20 0 EBADMM COc1cc(C(=O)[O-])ccc1OC[C@@H](O)CN(C)[C@H]1CCNC1=O ZINC001599381214 996852054 /nfs/dbraw/zinc/85/20/54/996852054.db2.gz UHPRJARQBBQNDB-RYUDHWBXSA-N -1 1 338.360 -0.047 20 0 EBADMM COC[C@]1(C(=O)NC[C@@H](Cc2ccncc2)C(=O)[O-])CNCCO1 ZINC001599183719 996988022 /nfs/dbraw/zinc/98/80/22/996988022.db2.gz IMFULEYFAQJSTO-CJNGLKHVSA-N -1 1 337.376 -0.554 20 0 EBADMM COC(=O)[C@@H](NS(=O)(=O)CC1(C(=O)[O-])CCCCC1)[C@@H](C)O ZINC001598893950 997043565 /nfs/dbraw/zinc/04/35/65/997043565.db2.gz MDABYXFOOAYSFQ-ZJUUUORDSA-N -1 1 337.394 -0.137 20 0 EBADMM COC(=O)[C@@H]1O[C@@H](C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])C[C@H]1C ZINC001598902443 997197730 /nfs/dbraw/zinc/19/77/30/997197730.db2.gz XLCIKAFOCRWONQ-APHKKCJPSA-N -1 1 325.321 -0.512 20 0 EBADMM COCCN1CC[C@@H](NC(=O)C(=O)N[C@@H]2C[C@H]3C[C@@]3(C(=O)[O-])C2)C1 ZINC001599240586 998033683 /nfs/dbraw/zinc/03/36/83/998033683.db2.gz QSVMGIXTBNVYEN-XSUJLISDSA-N -1 1 339.392 -0.807 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)N2CCC[C@@H]2CC(=O)[O-])C1 ZINC001599240945 998040263 /nfs/dbraw/zinc/04/02/63/998040263.db2.gz VENMCRIVFISONO-NWDGAFQWSA-N -1 1 327.381 -0.711 20 0 EBADMM COCCN1C[C@@H](C(=O)N2CCN(CCC(=O)[O-])C[C@H]2C)CC1=O ZINC001599241319 998048753 /nfs/dbraw/zinc/04/87/53/998048753.db2.gz XLJXTTYIFMQEQD-OLZOCXBDSA-N -1 1 341.408 -0.511 20 0 EBADMM COc1cc(S(=O)(=O)NCc2n[nH]c(=O)n2C)ccc1C(=O)[O-] ZINC001599461309 998278606 /nfs/dbraw/zinc/27/86/06/998278606.db2.gz RQKNQAMVYBZYSI-UHFFFAOYSA-N -1 1 342.333 -0.294 20 0 EBADMM COC[C@@H](NC(=O)Cn1c(=O)c2ccccc2[nH]c1=S)C(=O)[O-] ZINC001599169942 998596739 /nfs/dbraw/zinc/59/67/39/998596739.db2.gz JDOXPSKJNKZQTD-SNVBAGLBSA-N -1 1 337.357 -0.099 20 0 EBADMM COC(=O)c1cnn(CC(=O)N2CCN(CCC(=O)[O-])[C@H](C)C2)c1 ZINC001599011185 998899914 /nfs/dbraw/zinc/89/99/14/998899914.db2.gz JQRHNXDMDJFBCA-LLVKDONJSA-N -1 1 338.364 -0.323 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)[C@H](C)O1 ZINC001594249079 998951237 /nfs/dbraw/zinc/95/12/37/998951237.db2.gz JLYFBWGXWONARO-WOPDTQHZSA-N -1 1 341.408 -0.324 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)CCO1 ZINC001594249867 998956533 /nfs/dbraw/zinc/95/65/33/998956533.db2.gz PVVFXCKFTUBBBX-RYUDHWBXSA-N -1 1 341.408 -0.323 20 0 EBADMM C[C@H]1CN(C(=O)C(=O)Nc2cnn(C(C)(C)C(=O)[O-])c2)[C@@H](C)CN1 ZINC001594458450 999665089 /nfs/dbraw/zinc/66/50/89/999665089.db2.gz HDBRDXBWLQMKOW-UWVGGRQHSA-N -1 1 337.380 -0.150 20 0 EBADMM C[C@@H]1CN(C(=O)C[N@H+]2C[C@H](C(=O)[O-])C3(CC(C(=O)[O-])C3)C2)CCO1 ZINC001594464973 999681406 /nfs/dbraw/zinc/68/14/06/999681406.db2.gz HNBWWLGBFLPJJP-QZCIIZHISA-N -1 1 340.376 -0.269 20 0 EBADMM C[C@H]1CN(C(=O)CN2CCN([C@]3(C(=O)[O-])CCOC3)CC2)CCO1 ZINC001594469067 999698235 /nfs/dbraw/zinc/69/82/35/999698235.db2.gz SHAPDFUZFUPSSD-XJKSGUPXSA-N -1 1 341.408 -0.905 20 0 EBADMM C[C@@H]1CN(C(=O)Cn2cccc(C(=O)[O-])c2=O)[C@H](C)CN1CCO ZINC001594470137 999700493 /nfs/dbraw/zinc/70/04/93/999700493.db2.gz CLDNZPMJSKNYGC-VXGBXAGGSA-N -1 1 337.376 -0.540 20 0 EBADMM CCOC(=O)CC(C(F)(F)F)S(=O)(=O)[N-]c1nnn(C)n1 ZINC001364914721 1132482239 /nfs/dbraw/zinc/48/22/39/1132482239.db2.gz DCTWUBOFTBZEDF-RXMQYKEDSA-N -1 1 331.276 -0.164 20 0 EBADMM CC(C)=CC(=O)NCc1nc(CNCc2nc(=O)n(C)[n-]2)cc(=O)[nH]1 ZINC001691415031 1125857666 /nfs/dbraw/zinc/85/76/66/1125857666.db2.gz PAMJWIFGJRJTFU-UHFFFAOYSA-N -1 1 347.379 -0.524 20 0 EBADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)c1cccc(C(N)=O)n1 ZINC001408555253 1125862533 /nfs/dbraw/zinc/86/25/33/1125862533.db2.gz VFOZJHAXGYYQTA-UHFFFAOYSA-N -1 1 343.343 -0.217 20 0 EBADMM CC(C)S(=O)(=O)CC(=O)N(C)CCNC(=O)c1ncccc1[O-] ZINC001408555125 1125862578 /nfs/dbraw/zinc/86/25/78/1125862578.db2.gz QRPHDMISFPLSAR-UHFFFAOYSA-N -1 1 343.405 -0.201 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)CCCNC(=O)C(F)F ZINC001687359941 1125869865 /nfs/dbraw/zinc/86/98/65/1125869865.db2.gz OLQCWNWVFWERLA-UHFFFAOYSA-N -1 1 334.279 -0.260 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC(C(=O)NC)CC2)o1 ZINC000032918358 1125884753 /nfs/dbraw/zinc/88/47/53/1125884753.db2.gz BMZYJWDBAVELDT-UHFFFAOYSA-N -1 1 329.378 -0.214 20 0 EBADMM CCc1c[nH]c(CC(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001481045285 1125912668 /nfs/dbraw/zinc/91/26/68/1125912668.db2.gz YTCACCHDHAFNQR-LBPRGKRZSA-N -1 1 347.423 -0.283 20 0 EBADMM CC(=O)N(C)CC(=O)NCCCN(Cc1n[nH]c(=O)[n-]1)C(C)C ZINC001481346623 1125977716 /nfs/dbraw/zinc/97/77/16/1125977716.db2.gz DRXKBJMOPYMDQG-UHFFFAOYSA-N -1 1 326.401 -0.295 20 0 EBADMM CCCN(C(=O)[C@H](O)C(C)C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001481398357 1125982060 /nfs/dbraw/zinc/98/20/60/1125982060.db2.gz XPFBKBDQCAQCGM-GXTWGEPZSA-N -1 1 339.440 -0.062 20 0 EBADMM CC[C@H](CNC(=O)c1cnc2n1CCOC2)NCc1n[nH]c(=O)[n-]1 ZINC001481821020 1126059064 /nfs/dbraw/zinc/05/90/64/1126059064.db2.gz LMKXUEWQJNGGCS-SECBINFHSA-N -1 1 335.368 -0.465 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)NCCC1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001482048250 1126073831 /nfs/dbraw/zinc/07/38/31/1126073831.db2.gz JYGGWEZJGLXEIV-MNOVXSKESA-N -1 1 336.396 -0.650 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)[N-]CC[C@H](O)C(F)(F)F)n[nH]1 ZINC001414254419 1126109041 /nfs/dbraw/zinc/10/90/41/1126109041.db2.gz IFAKNHCFZQJLJI-LURJTMIESA-N -1 1 331.272 -0.212 20 0 EBADMM CN(C)[S@@](C)(=O)=NS(=O)(=O)[N-]Cc1noc2c1COCC2 ZINC001414342494 1126239323 /nfs/dbraw/zinc/23/93/23/1126239323.db2.gz CULDOGGQALQODN-FQEVSTJZSA-N -1 1 338.411 -0.344 20 0 EBADMM O=C(C[C@@H]1CCC(F)(F)C1)NC[C@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001482733906 1126263588 /nfs/dbraw/zinc/26/35/88/1126263588.db2.gz AEQADUBUEYUHID-DTWKUNHWSA-N -1 1 333.339 -0.098 20 0 EBADMM CCc1cnc(CNCC[C@@H](C)NC(=O)Cn2c(=O)[n-][nH]c2=O)o1 ZINC001482890637 1126300880 /nfs/dbraw/zinc/30/08/80/1126300880.db2.gz MVSJQOCBJAXKLU-SECBINFHSA-N -1 1 338.368 -0.076 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1cccc(C(N)=O)c1 ZINC001482934366 1126303840 /nfs/dbraw/zinc/30/38/40/1126303840.db2.gz QXENFXZXRZOLRC-UHFFFAOYSA-N -1 1 332.364 -0.139 20 0 EBADMM CCc1nc(S(=O)(=O)Cc2nnnn2CC(F)(F)F)n[n-]1 ZINC001649772420 1126317027 /nfs/dbraw/zinc/31/70/27/1126317027.db2.gz MSHDRZGPPPVWCB-UHFFFAOYSA-N -1 1 325.276 -0.110 20 0 EBADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)CNC(=O)C1CC1 ZINC001409262083 1126319997 /nfs/dbraw/zinc/31/99/97/1126319997.db2.gz ZEQMHONKPJMHSW-LLVKDONJSA-N -1 1 334.376 -0.062 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N(C)CCOCCN(C)Cc1nc(=O)n(C)[n-]1 ZINC001691600122 1126324487 /nfs/dbraw/zinc/32/44/87/1126324487.db2.gz IFWPZRGCWWXDBK-VXGBXAGGSA-N -1 1 325.413 -0.329 20 0 EBADMM C[C@H](CNCc1cnns1)NC(=O)c1c[n-]n2c1nccc2=O ZINC001483173722 1126350144 /nfs/dbraw/zinc/35/01/44/1126350144.db2.gz VWXHWPOOWLMTGO-MRVPVSSYSA-N -1 1 333.377 -0.218 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CNC(=O)c1ccccc1 ZINC001483188043 1126351562 /nfs/dbraw/zinc/35/15/62/1126351562.db2.gz QTCCJUMZDFECMK-SNVBAGLBSA-N -1 1 332.364 -0.465 20 0 EBADMM CNC(=O)NCC(=O)NC1(CNC(=O)c2ncccc2[O-])CCCC1 ZINC001409437491 1126367055 /nfs/dbraw/zinc/36/70/55/1126367055.db2.gz FCXABGPKBRBYNX-UHFFFAOYSA-N -1 1 349.391 -0.125 20 0 EBADMM CCOc1cc(C(=O)NC[C@H](C)N(C)Cc2nc(=O)n(C)[n-]2)on1 ZINC001409584265 1126379889 /nfs/dbraw/zinc/37/98/89/1126379889.db2.gz QGKZGARJHNZULH-VIFPVBQESA-N -1 1 338.368 -0.255 20 0 EBADMM Cc1nn(C)c(C)c1C(=O)NC[C@@H](C)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001409603702 1126382729 /nfs/dbraw/zinc/38/27/29/1126382729.db2.gz XWCKWNHOBSCYER-SECBINFHSA-N -1 1 335.412 -0.291 20 0 EBADMM C[C@H](CNC(=O)CCn1cncn1)CNC(=O)c1ncccc1[O-] ZINC001409655588 1126389024 /nfs/dbraw/zinc/38/90/24/1126389024.db2.gz SONNPZABERHBTQ-LLVKDONJSA-N -1 1 332.364 -0.049 20 0 EBADMM C[C@H](CNC(=O)C[C@@H]1CCC(=O)N1)CNC(=O)c1ncccc1[O-] ZINC001409677508 1126391240 /nfs/dbraw/zinc/39/12/40/1126391240.db2.gz CUHBVXBJKBSDJQ-MNOVXSKESA-N -1 1 334.376 -0.062 20 0 EBADMM CC(=O)NC1CCC(C(=O)N[C@@H](C)CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001483300476 1126415527 /nfs/dbraw/zinc/41/55/27/1126415527.db2.gz RTTUXXKHEQUKJW-GCVQQVDUSA-N -1 1 338.412 -0.201 20 0 EBADMM C[C@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)C(=O)Nc1ccccc1 ZINC001483359523 1126420534 /nfs/dbraw/zinc/42/05/34/1126420534.db2.gz FARNYNAJQKARJC-LLVKDONJSA-N -1 1 346.391 -0.316 20 0 EBADMM C[C@@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)c1nccn2ccnc12 ZINC001483386998 1126422261 /nfs/dbraw/zinc/42/22/61/1126422261.db2.gz DAOAQIXZJIJKEU-JTQLQIEISA-N -1 1 344.379 -0.599 20 0 EBADMM C[C@@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)CCc1ncccn1 ZINC001483398184 1126423687 /nfs/dbraw/zinc/42/36/87/1126423687.db2.gz QIHACVSLFNXSJH-NSHDSACASA-N -1 1 333.396 -0.532 20 0 EBADMM Cc1cc(CNC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C2CC2)nn1C ZINC001483572153 1126436269 /nfs/dbraw/zinc/43/62/69/1126436269.db2.gz JYGPKXJAJIVZLK-NSHDSACASA-N -1 1 347.379 -0.377 20 0 EBADMM Cn1[n-]c(CN2CCCCC[C@H]2CNC(=O)Cn2cncn2)nc1=O ZINC001409830156 1126444874 /nfs/dbraw/zinc/44/48/74/1126444874.db2.gz FZUKNGDNWXSJKK-LBPRGKRZSA-N -1 1 348.411 -0.739 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)CCc2cccnc2)nc1=O ZINC001483667117 1126455329 /nfs/dbraw/zinc/45/53/29/1126455329.db2.gz XMWMENGPQMMJIG-ZDUSSCGKSA-N -1 1 330.392 -0.173 20 0 EBADMM Cn1[n-]c(CN2CCC(C)(NC(=O)CC(C)(C)O)CC2)nc1=O ZINC001483965666 1126494100 /nfs/dbraw/zinc/49/41/00/1126494100.db2.gz PZHZCBVBTUBKJL-UHFFFAOYSA-N -1 1 325.413 -0.260 20 0 EBADMM COCCC(=O)NC[C@@]1(C)CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001484148342 1126509733 /nfs/dbraw/zinc/50/97/33/1126509733.db2.gz MBPOTWXUUJDYDN-OAHLLOKOSA-N -1 1 325.413 -0.137 20 0 EBADMM C[C@H](C(=O)NC[C@]1(O)CCN(Cc2nc(=O)n(C)[n-]2)C1)n1cccc1 ZINC001484217254 1126520071 /nfs/dbraw/zinc/52/00/71/1126520071.db2.gz ZZPWUZXEJUPFII-MLGOLLRUSA-N -1 1 348.407 -0.776 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](O)(CNC(=O)[C@H]3CCCC34CC4)C2)nc1=O ZINC001484226709 1126528343 /nfs/dbraw/zinc/52/83/43/1126528343.db2.gz ZXPACHLQPNYYOU-SJKOYZFVSA-N -1 1 349.435 -0.258 20 0 EBADMM C[C@H](C(=O)NCC1(O)CN(Cc2nc(=O)n(C)[n-]2)C1)c1ccccc1 ZINC001484306131 1126560418 /nfs/dbraw/zinc/56/04/18/1126560418.db2.gz ZKKMZJAATXYURV-LBPRGKRZSA-N -1 1 345.403 -0.425 20 0 EBADMM CC[C@@H](F)C(=O)NC[C@@H]1CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001358628920 1131236866 /nfs/dbraw/zinc/23/68/66/1131236866.db2.gz MKZJNGRHWDSTJF-WDEREUQCSA-N -1 1 340.355 -0.608 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)CNC(=O)C(C)(C)C ZINC001485224064 1126683286 /nfs/dbraw/zinc/68/32/86/1126683286.db2.gz CSNSOIZHPIEYLQ-IUCAKERBSA-N -1 1 326.401 -0.345 20 0 EBADMM CN(C(=O)c1ccc(=O)[nH]n1)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001485799165 1126839566 /nfs/dbraw/zinc/83/95/66/1126839566.db2.gz ZRWJYZDUZNLYRB-JTQLQIEISA-N -1 1 333.352 -0.354 20 0 EBADMM CNC(=O)NCCC(=O)NCCCN(C)C(=O)c1ncccc1[O-] ZINC001687456893 1126872063 /nfs/dbraw/zinc/87/20/63/1126872063.db2.gz UQUKCVFUCMOYSU-UHFFFAOYSA-N -1 1 337.380 -0.315 20 0 EBADMM CN(C(=O)c1cccn(C)c1=O)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001485831863 1126881285 /nfs/dbraw/zinc/88/12/85/1126881285.db2.gz IJLJDXJJTMXVJV-GFCCVEGCSA-N -1 1 346.391 -0.151 20 0 EBADMM CC[C@H](C(=O)N(C)C[C@H](C)NCc1n[nH]c(=O)[n-]1)N1CCCC1=O ZINC001485958378 1126938688 /nfs/dbraw/zinc/93/86/88/1126938688.db2.gz IQGWXKAOSBXULJ-WDEREUQCSA-N -1 1 338.412 -0.152 20 0 EBADMM C[C@@H](C(=O)N(C)C[C@@H](O)CN(C)Cc1nc(=O)n(C)[n-]1)C1CCC1 ZINC001486340843 1127143223 /nfs/dbraw/zinc/14/32/23/1127143223.db2.gz LMNQELKDNFRCAR-YPMHNXCESA-N -1 1 339.440 -0.204 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H](O)CN(C)C(=O)[C@@H]1CC12CCC2 ZINC001486351528 1127145806 /nfs/dbraw/zinc/14/58/06/1127145806.db2.gz VBNNRVGRQVAWLA-RYUDHWBXSA-N -1 1 337.424 -0.450 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N(C)C[C@@H](O)CN(C)Cc1cc(=O)n2[n-]ccc2n1 ZINC001486345722 1127158145 /nfs/dbraw/zinc/15/81/45/1127158145.db2.gz WZTQFQQTAHGQBT-UBHSHLNASA-N -1 1 347.419 -0.070 20 0 EBADMM C[C@H](C(=O)N(C)C[C@@H](O)CN(C)Cc1nc(=O)n(C)[n-]1)C(C)(F)F ZINC001486348119 1127159495 /nfs/dbraw/zinc/15/94/95/1127159495.db2.gz AIGQFIANLLOVTG-ZJUUUORDSA-N -1 1 349.382 -0.349 20 0 EBADMM Cc1cc(C(=O)N(C)CCOCCNCc2n[nH]c(=O)[n-]2)ncn1 ZINC001486494073 1127187627 /nfs/dbraw/zinc/18/76/27/1127187627.db2.gz WTOAVLLDCPQEHN-UHFFFAOYSA-N -1 1 335.368 -0.513 20 0 EBADMM Cc1nc(C)c(C(=O)N(C)CCOCCNCc2n[nH]c(=O)[n-]2)o1 ZINC001486493283 1127187651 /nfs/dbraw/zinc/18/76/51/1127187651.db2.gz RZQASZPJOZJTTJ-UHFFFAOYSA-N -1 1 338.368 -0.006 20 0 EBADMM CN(C(=O)C(C)(C)C(N)=O)C1CCC(NC(=O)c2cnn[nH]2)CC1 ZINC001486740306 1127250236 /nfs/dbraw/zinc/25/02/36/1127250236.db2.gz SHCWDEHTNGIRSU-UHFFFAOYSA-N -1 1 336.396 -0.184 20 0 EBADMM C[C@H](CNC(=O)CC(N)=O)N(C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001486830532 1127268184 /nfs/dbraw/zinc/26/81/84/1127268184.db2.gz KHGOMVXKYNJPTJ-MRVPVSSYSA-N -1 1 335.364 -0.488 20 0 EBADMM CN(CCN(C)C(=O)c1ncccc1[O-])C(=O)CCS(C)(=O)=O ZINC001409979894 1127291931 /nfs/dbraw/zinc/29/19/31/1127291931.db2.gz YBTSIDBWXBZHAY-UHFFFAOYSA-N -1 1 343.405 -0.248 20 0 EBADMM CN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)c1cccc(F)n1 ZINC001487402018 1127490432 /nfs/dbraw/zinc/49/04/32/1127490432.db2.gz ZLZDAJRWAGDKJF-UHFFFAOYSA-N -1 1 335.339 -0.287 20 0 EBADMM CC(C)C(=O)NC[C@H]1C[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001487437240 1127509615 /nfs/dbraw/zinc/50/96/15/1127509615.db2.gz LPVGSTMINXHROI-HAQNSBGRSA-N -1 1 336.392 -0.406 20 0 EBADMM CSC[C@H](C)C(=O)NC[C@H](O)CNC(=O)c1ncccc1[O-] ZINC001410096899 1127558789 /nfs/dbraw/zinc/55/87/89/1127558789.db2.gz VIOYERXEOSEQNG-UWVGGRQHSA-N -1 1 327.406 -0.007 20 0 EBADMM CC(C)[C@H](C)C(=O)N[C@H](C)CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001410307850 1127671953 /nfs/dbraw/zinc/67/19/53/1127671953.db2.gz SMRZXJRPYYFETO-ZJUUUORDSA-N -1 1 334.380 -0.056 20 0 EBADMM O=S(=O)(N=S1(=O)CCCC1)[N-]C[C@@H]1CCCS(=O)(=O)C1 ZINC001364852819 1127686709 /nfs/dbraw/zinc/68/67/09/1127686709.db2.gz QMGGMBKQBQXDQF-JTQLQIEISA-N -1 1 344.480 -0.093 20 0 EBADMM C[C@@H](C(=O)NC[C@H](CO)NCc1cc(=O)n2[n-]ccc2n1)C1CC1 ZINC001410586873 1127761672 /nfs/dbraw/zinc/76/16/72/1127761672.db2.gz UCDXACPMWDHOHC-ZWNOBZJWSA-N -1 1 333.392 -0.365 20 0 EBADMM Cn1[n-]c(CN[C@H](CO)CNC(=O)[C@H]2CCCC3(CC3)C2)nc1=O ZINC001410647895 1127788474 /nfs/dbraw/zinc/78/84/74/1127788474.db2.gz GGSYXRMBJJNZCV-RYUDHWBXSA-N -1 1 337.424 -0.355 20 0 EBADMM CN1C(=O)CC[C@@H]1C(=O)NC1(CNC(=O)c2ncccc2[O-])CC1 ZINC001410694584 1127818650 /nfs/dbraw/zinc/81/86/50/1127818650.db2.gz PMUUJBKGAGUJCC-SNVBAGLBSA-N -1 1 332.360 -0.213 20 0 EBADMM CN(C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)CCc1cscn1 ZINC001411128535 1128023068 /nfs/dbraw/zinc/02/30/68/1128023068.db2.gz PZKADTZMWFCXAR-JTQLQIEISA-N -1 1 340.409 -0.492 20 0 EBADMM CN(C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)c1ccc(Cl)cn1 ZINC001411138097 1128032476 /nfs/dbraw/zinc/03/24/76/1128032476.db2.gz GNZUZXYBCJVASW-SECBINFHSA-N -1 1 340.771 -0.219 20 0 EBADMM C[C@H](C(=O)N(C)C[C@H](O)CN(C)Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001411140003 1128034239 /nfs/dbraw/zinc/03/42/39/1128034239.db2.gz AALYCTUXIWBSGE-CMPLNLGQSA-N -1 1 325.413 -0.594 20 0 EBADMM CN(C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)c1cc2c([nH]1)CCC2 ZINC001411155230 1128048738 /nfs/dbraw/zinc/04/87/38/1128048738.db2.gz VOGYHQXTZYOSPW-SNVBAGLBSA-N -1 1 334.380 -0.450 20 0 EBADMM CS[C@H](C)CC(=O)N(C)C[C@H](O)CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001411163306 1128060790 /nfs/dbraw/zinc/06/07/90/1128060790.db2.gz PQLNDNOFVNDYKD-GHMZBOCLSA-N -1 1 345.469 -0.499 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H](O)CN(C)C(=O)[C@@H]1CCC1(F)F ZINC001411168455 1128064476 /nfs/dbraw/zinc/06/44/76/1128064476.db2.gz PVLMEMACVYVESB-ZJUUUORDSA-N -1 1 347.366 -0.595 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)Cc4cnoc4)C[C@]3(C)C2)nc1=O ZINC001091947037 1128171616 /nfs/dbraw/zinc/17/16/16/1128171616.db2.gz WYECCQWGOPRHHC-WBMJQRKESA-N -1 1 346.391 -0.381 20 0 EBADMM C[C@@]12CN(CCF)C[C@@H]1CN(C(=O)Cn1[n-]cc3c(=O)ncnc1-3)C2 ZINC001092106104 1128181705 /nfs/dbraw/zinc/18/17/05/1128181705.db2.gz FPHUXCAKMXBBGV-BZNIZROVSA-N -1 1 348.382 -0.179 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCCNC(=O)C2CCC2)c(=O)[nH]c1=O ZINC001187778296 1128355536 /nfs/dbraw/zinc/35/55/36/1128355536.db2.gz GHSJXGQUQPHFMW-UHFFFAOYSA-N -1 1 344.393 -0.929 20 0 EBADMM CCC(=O)N(C)[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)C1CC1 ZINC001487624875 1128382308 /nfs/dbraw/zinc/38/23/08/1128382308.db2.gz HMPVDFIIGCDIQQ-GFCCVEGCSA-N -1 1 336.392 -0.310 20 0 EBADMM CCOCC1(C(=O)N[C@@H](CO)CNC(=O)c2ncccc2[O-])CC1 ZINC001487975266 1128470820 /nfs/dbraw/zinc/47/08/20/1128470820.db2.gz CNIHNRRGKUAJGZ-LLVKDONJSA-N -1 1 337.376 -0.189 20 0 EBADMM C/C=C(/C)C(=O)N1CC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)[C@@H]1C ZINC001488125729 1128628977 /nfs/dbraw/zinc/62/89/77/1128628977.db2.gz STYIRKYPOCMSMY-WIGWWYOCSA-N -1 1 348.403 -0.002 20 0 EBADMM CNC(=O)NCC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@H]1C ZINC001488128574 1128630071 /nfs/dbraw/zinc/63/00/71/1128630071.db2.gz HQSKPUZNRZECOZ-VHSXEESVSA-N -1 1 335.364 -0.565 20 0 EBADMM O=C(Cn1ncnn1)NC[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001488290434 1128663047 /nfs/dbraw/zinc/66/30/47/1128663047.db2.gz FSVSBRRRWHFVIA-QWRGUYRKSA-N -1 1 345.363 -0.511 20 0 EBADMM Cc1nn(C)cc1C(=O)NC[C@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001488352123 1128690148 /nfs/dbraw/zinc/69/01/48/1128690148.db2.gz YEKFKDLYJIVKKH-NSHDSACASA-N -1 1 347.375 -0.308 20 0 EBADMM COCC(=O)NC[C@@H](O)CN(C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001488360455 1128698563 /nfs/dbraw/zinc/69/85/63/1128698563.db2.gz JSCDEQGCMZGFHV-SNVBAGLBSA-N -1 1 338.364 -0.745 20 0 EBADMM CN(C[C@H]1CCN1CCOCC1CC1)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001488640470 1128809201 /nfs/dbraw/zinc/80/92/01/1128809201.db2.gz HIRQLEHPXKTIDQ-LLVKDONJSA-N -1 1 337.380 -0.144 20 0 EBADMM O=C(CC[C@@H]1CCOC1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001489322236 1128955595 /nfs/dbraw/zinc/95/55/95/1128955595.db2.gz JJTYLUKILOFLLF-YRGRVCCFSA-N -1 1 349.387 -0.095 20 0 EBADMM CCOCCC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001490178640 1129134720 /nfs/dbraw/zinc/13/47/20/1129134720.db2.gz MKZJVMVRZGUNDQ-WCQYABFASA-N -1 1 337.376 -0.095 20 0 EBADMM CC(C)NC(=O)CN(C)CCCNC(=O)c1c[n-]n2c1nccc2=O ZINC001490256940 1129153857 /nfs/dbraw/zinc/15/38/57/1129153857.db2.gz CTYXDKKWLXXMSN-UHFFFAOYSA-N -1 1 348.407 -0.401 20 0 EBADMM CO[C@H](C)CC(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001490402871 1129172684 /nfs/dbraw/zinc/17/26/84/1129172684.db2.gz XXUWZECRCZFETI-LLVKDONJSA-N -1 1 325.413 -0.044 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1(NC(=O)CCCF)CC1 ZINC001358676753 1131253623 /nfs/dbraw/zinc/25/36/23/1131253623.db2.gz HLYIBWSJHXVGOL-UHFFFAOYSA-N -1 1 340.355 -0.559 20 0 EBADMM CCCC(=O)N[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1OC ZINC001414021062 1131321129 /nfs/dbraw/zinc/32/11/29/1131321129.db2.gz OUDYIPWJTYBNBY-GHMZBOCLSA-N -1 1 348.363 -0.827 20 0 EBADMM C[C@@H](NC(=O)c1ccc(=O)n(C)n1)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001411399057 1129287306 /nfs/dbraw/zinc/28/73/06/1129287306.db2.gz VCGZJHZBMXJEIO-NXEZZACHSA-N -1 1 345.359 -0.182 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H](C)NC(=O)CCn1cnnn1 ZINC001411398399 1129287536 /nfs/dbraw/zinc/28/75/36/1129287536.db2.gz UIDIDJUKUJHRHS-VHSXEESVSA-N -1 1 333.352 -0.513 20 0 EBADMM COCC(=O)NC[C@@H](C)N(C)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001411479611 1129301143 /nfs/dbraw/zinc/30/11/43/1129301143.db2.gz PASJJJTYYUPMML-SSDOTTSWSA-N -1 1 328.325 -0.881 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)c1ccc(=O)n(C)n1 ZINC001411538469 1129313848 /nfs/dbraw/zinc/31/38/48/1129313848.db2.gz WAHKXELQFVGRQC-SNVBAGLBSA-N -1 1 345.359 -0.229 20 0 EBADMM O=C(NC1(CCO)CN(C(=O)[C@@H]2CC[C@@H]3C[C@@H]3C2)C1)c1cnn[nH]1 ZINC001411792001 1129386488 /nfs/dbraw/zinc/38/64/88/1129386488.db2.gz YEVXSFAALSBKMS-IJLUTSLNSA-N -1 1 333.392 -0.066 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(c2cnn(C)c2)C(=O)C1 ZINC001411850094 1129393473 /nfs/dbraw/zinc/39/34/73/1129393473.db2.gz OVNDNMALGFEFKG-UHFFFAOYSA-N -1 1 348.319 -0.881 20 0 EBADMM CC(C)(C)S(=O)(=O)N1CCC(NC(=O)CCc2nn[n-]n2)CC1 ZINC001412026719 1129430681 /nfs/dbraw/zinc/43/06/81/1129430681.db2.gz KDJRVFPRGOQDLD-UHFFFAOYSA-N -1 1 344.441 -0.159 20 0 EBADMM COc1ccccc1[C@H](O)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001412058486 1129437580 /nfs/dbraw/zinc/43/75/80/1129437580.db2.gz SGMJIKHBESEQAP-LBPRGKRZSA-N -1 1 333.348 -0.248 20 0 EBADMM COC(=O)c1ccc(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)nc1 ZINC001412059280 1129437610 /nfs/dbraw/zinc/43/76/10/1129437610.db2.gz UVYXYQNXOFEXMR-UHFFFAOYSA-N -1 1 332.320 -0.495 20 0 EBADMM CSc1ncc(C(=O)NCC2CN(S(C)(=O)=O)C2)c(=O)[n-]1 ZINC001412059606 1129437970 /nfs/dbraw/zinc/43/79/70/1129437970.db2.gz OVXAINWBVRGRQU-UHFFFAOYSA-N -1 1 332.407 -0.475 20 0 EBADMM CNS(=O)(=O)N1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC001412110226 1129451898 /nfs/dbraw/zinc/45/18/98/1129451898.db2.gz MSFRAKPJPLQBPS-UHFFFAOYSA-N -1 1 341.393 -0.718 20 0 EBADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)N1C[C@H](O)[C@H](CO)C1 ZINC001412229865 1129481785 /nfs/dbraw/zinc/48/17/85/1129481785.db2.gz ZOLFRQSDMNGTCT-JSGCOSHPSA-N -1 1 344.371 -0.093 20 0 EBADMM C[C@@H]1[C@H](C)N(C(=O)CNC(=O)c2ncccc2[O-])[C@@H](C)C(=O)N1C ZINC001412296746 1129498119 /nfs/dbraw/zinc/49/81/19/1129498119.db2.gz LMWHQGSFVRQKNM-VWYCJHECSA-N -1 1 334.376 -0.017 20 0 EBADMM CCOC(=O)c1nc([C@H](C)NC(=O)C2(CO)CCOCC2)n[n-]1 ZINC001412324972 1129502859 /nfs/dbraw/zinc/50/28/59/1129502859.db2.gz ACEPSYYZMNBYMU-VIFPVBQESA-N -1 1 326.353 -0.052 20 0 EBADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C2(CO)CCOCC2)[n-]1 ZINC001412324972 1129502863 /nfs/dbraw/zinc/50/28/63/1129502863.db2.gz ACEPSYYZMNBYMU-VIFPVBQESA-N -1 1 326.353 -0.052 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C2(CO)CCOCC2)n1 ZINC001412324972 1129502868 /nfs/dbraw/zinc/50/28/68/1129502868.db2.gz ACEPSYYZMNBYMU-VIFPVBQESA-N -1 1 326.353 -0.052 20 0 EBADMM C[C@@H](O)c1cn(CC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)nn1 ZINC001412482745 1129538538 /nfs/dbraw/zinc/53/85/38/1129538538.db2.gz DVCZKCHFSZMMDI-MRVPVSSYSA-N -1 1 334.336 -0.784 20 0 EBADMM COCCn1cc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)c(=O)cc1C ZINC001412483768 1129539648 /nfs/dbraw/zinc/53/96/48/1129539648.db2.gz AVPOZOXYFWYURS-LLVKDONJSA-N -1 1 332.364 -0.054 20 0 EBADMM Cn1nc2c(c1C(=O)N1CCO[C@@H](c3nn[n-]n3)C1)CSCC2 ZINC001412526497 1129551316 /nfs/dbraw/zinc/55/13/16/1129551316.db2.gz BUBFTFHSSRSESA-SNVBAGLBSA-N -1 1 335.393 -0.064 20 0 EBADMM Cc1cc(=O)[nH]c(N2CCC[C@@H](NC(=O)CCc3nn[n-]n3)C2)n1 ZINC001412605120 1129573947 /nfs/dbraw/zinc/57/39/47/1129573947.db2.gz DQTWTYUTIKKGSV-SNVBAGLBSA-N -1 1 332.368 -0.278 20 0 EBADMM CCOC(=O)c1cc2n(n1)C[C@H](C)N(C(=O)CCc1nn[n-]n1)C2 ZINC001412643493 1129583777 /nfs/dbraw/zinc/58/37/77/1129583777.db2.gz UWTNODUOSSGBJD-VIFPVBQESA-N -1 1 333.352 -0.064 20 0 EBADMM Cc1nc(N2CCOCC2)[n-]c(=O)c1CCC(=O)N1CC[C@H](O)C1 ZINC001412642869 1129583906 /nfs/dbraw/zinc/58/39/06/1129583906.db2.gz MEHUQKUBNSJOBM-LBPRGKRZSA-N -1 1 336.392 -0.147 20 0 EBADMM CCS(=O)(=O)N[C@@H](C)C(=O)N(C)CCc1nc([O-])c(C)c(=O)[nH]1 ZINC001412684763 1129593197 /nfs/dbraw/zinc/59/31/97/1129593197.db2.gz CNDWGTSTPNTJMH-VIFPVBQESA-N -1 1 346.409 -0.475 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCCCS1(=O)=O ZINC001412729274 1129603546 /nfs/dbraw/zinc/60/35/46/1129603546.db2.gz KCMKPYUREKGZFF-SSDOTTSWSA-N -1 1 331.350 -0.407 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCCCS1(=O)=O ZINC001412729287 1129603592 /nfs/dbraw/zinc/60/35/92/1129603592.db2.gz KCMKPYUREKGZFF-ZETCQYMHSA-N -1 1 331.350 -0.407 20 0 EBADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@@H]1CCN(C)C(=O)C1 ZINC001649174862 1129619443 /nfs/dbraw/zinc/61/94/43/1129619443.db2.gz PTZGYQARTRPABY-SNVBAGLBSA-N -1 1 333.348 -0.320 20 0 EBADMM COC(=O)[C@]1(CNC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)CCOC1 ZINC001412814441 1129670375 /nfs/dbraw/zinc/67/03/75/1129670375.db2.gz SFLLDBGGCCEYBW-ZDUSSCGKSA-N -1 1 327.293 -0.794 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)CCN1Cc1ccon1 ZINC001691735458 1129687445 /nfs/dbraw/zinc/68/74/45/1129687445.db2.gz JHNIVAHWWQBORK-YPMHNXCESA-N -1 1 347.375 -0.306 20 0 EBADMM Cn1nnc2c1C[C@@H](C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)CC2 ZINC001412839622 1129715573 /nfs/dbraw/zinc/71/55/73/1129715573.db2.gz NFKXFLSFGCIQPS-LURJTMIESA-N -1 1 325.354 -0.920 20 0 EBADMM Cn1nnc2c1C[C@@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)CC2 ZINC001412839622 1129715577 /nfs/dbraw/zinc/71/55/77/1129715577.db2.gz NFKXFLSFGCIQPS-LURJTMIESA-N -1 1 325.354 -0.920 20 0 EBADMM CCN1CCO[C@@H](C(=O)Nc2n[n-]c(OCCO)c2C(=O)OC)C1 ZINC001412854211 1129731157 /nfs/dbraw/zinc/73/11/57/1129731157.db2.gz PLFFCPYDLFNTQB-SECBINFHSA-N -1 1 342.352 -0.773 20 0 EBADMM CCN1CCO[C@@H](C(=O)Nc2[n-]nc(OCCO)c2C(=O)OC)C1 ZINC001412854211 1129731161 /nfs/dbraw/zinc/73/11/61/1129731161.db2.gz PLFFCPYDLFNTQB-SECBINFHSA-N -1 1 342.352 -0.773 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1(S(C)(=O)=O)CCCC1 ZINC001412932535 1129795736 /nfs/dbraw/zinc/79/57/36/1129795736.db2.gz FUIOQYQLJZYPBU-UHFFFAOYSA-N -1 1 345.377 -0.016 20 0 EBADMM Cc1nc[nH]c1CC(=O)NC[C@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001413242783 1129965405 /nfs/dbraw/zinc/96/54/05/1129965405.db2.gz DDAAEQPJAHVSFN-NSHDSACASA-N -1 1 347.375 -0.389 20 0 EBADMM CCO[C@@H](C)C(=O)NC[C@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001413251975 1129972256 /nfs/dbraw/zinc/97/22/56/1129972256.db2.gz SFXJRDBRJUWORP-QWRGUYRKSA-N -1 1 325.365 -0.239 20 0 EBADMM CN(C[C@@H](O)CNC(=O)c1ncccc1[O-])C(=O)Cc1cccnc1 ZINC001413257578 1129977077 /nfs/dbraw/zinc/97/70/77/1129977077.db2.gz OABFMIVNNSTKMI-ZDUSSCGKSA-N -1 1 344.371 -0.026 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCOC3(CCOCC3)C2)[n-]n1 ZINC001413308123 1130004450 /nfs/dbraw/zinc/00/44/50/1130004450.db2.gz OTSADMGDZGQIMG-UHFFFAOYSA-N -1 1 345.377 -0.234 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCOC3(CCOCC3)C2)n[n-]1 ZINC001413308123 1130004451 /nfs/dbraw/zinc/00/44/51/1130004451.db2.gz OTSADMGDZGQIMG-UHFFFAOYSA-N -1 1 345.377 -0.234 20 0 EBADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@H](C)c1ncn(C)n1 ZINC001413323060 1130013342 /nfs/dbraw/zinc/01/33/42/1130013342.db2.gz BJCNLUUREZRXNP-SSDOTTSWSA-N -1 1 328.354 -0.327 20 0 EBADMM COc1ccc(S(=O)(=O)N[C@@H](C)C(=O)N(C)c2nn[n-]n2)cc1 ZINC001413324925 1130017764 /nfs/dbraw/zinc/01/77/64/1130017764.db2.gz GDTWBZREBFNSQL-QMMMGPOBSA-N -1 1 340.365 -0.462 20 0 EBADMM COc1ccc(C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)nc1 ZINC001413349329 1130037288 /nfs/dbraw/zinc/03/72/88/1130037288.db2.gz MSLBGGVJOHYTKX-UHFFFAOYSA-N -1 1 326.334 -0.632 20 0 EBADMM COC(=O)C(C)(C)CS(=O)(=O)[N-]CC(=O)N=S(C)(C)=O ZINC001413377792 1130059902 /nfs/dbraw/zinc/05/99/02/1130059902.db2.gz AWFULPITKVPQPP-UHFFFAOYSA-N -1 1 328.412 -0.641 20 0 EBADMM CCO[C@@H]1COCC[C@@H]1CC(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC001413390363 1130068845 /nfs/dbraw/zinc/06/88/45/1130068845.db2.gz WWOFBFYIQVTHBN-GRYCIOLGSA-N -1 1 325.369 -0.069 20 0 EBADMM O=C(c1cnc2c(c1)NC(=O)CO2)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001413390821 1130069468 /nfs/dbraw/zinc/06/94/68/1130069468.db2.gz ZVVPFAOAHAYCMB-SECBINFHSA-N -1 1 331.292 -0.861 20 0 EBADMM COC(=O)[C@@H]1CCC[C@@H]1[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001413401854 1130073130 /nfs/dbraw/zinc/07/31/30/1130073130.db2.gz LWJJEJXFADGCNT-SFYZADRCSA-N -1 1 331.350 -0.894 20 0 EBADMM COC(=O)C[C@@H](O)C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC001413406455 1130074694 /nfs/dbraw/zinc/07/46/94/1130074694.db2.gz WKZJWAYIZLQHOQ-RXMQYKEDSA-N -1 1 331.272 -0.369 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCc1cc(C)n(C)n1 ZINC001413431438 1130086015 /nfs/dbraw/zinc/08/60/15/1130086015.db2.gz LJPYLUMNOIEIHH-UHFFFAOYSA-N -1 1 327.366 -0.241 20 0 EBADMM COc1cc(S(=O)(=O)[N-]CCN2CCC3(C2)OCCO3)sn1 ZINC001413436582 1130089002 /nfs/dbraw/zinc/08/90/02/1130089002.db2.gz KHBKGSUELYNRFP-UHFFFAOYSA-N -1 1 349.434 -0.121 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C(C)(C)C(=O)N2CCCC2)c(=O)[nH]c1=O ZINC001413447406 1130091411 /nfs/dbraw/zinc/09/14/11/1130091411.db2.gz UVIBNPFGFCDPBD-UHFFFAOYSA-N -1 1 344.393 -0.835 20 0 EBADMM C[C@@H](C(=O)N(C)CC(=O)N1CC[C@@H](c2nn[n-]n2)C1)n1cccn1 ZINC001413469044 1130098785 /nfs/dbraw/zinc/09/87/85/1130098785.db2.gz NKKRBTDYUUXUFI-WDEREUQCSA-N -1 1 332.368 -0.568 20 0 EBADMM Cn1cc(C(=O)NC[C@H](NC(=O)c2cnn[nH]2)C(C)(C)C)n(C)c1=O ZINC001413473738 1130101243 /nfs/dbraw/zinc/10/12/43/1130101243.db2.gz YQSWCXODFFVXSA-NSHDSACASA-N -1 1 349.395 -0.584 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)NC[C@@]3(CO)COCCN3C)ccnc1-2 ZINC001413565095 1130135811 /nfs/dbraw/zinc/13/58/11/1130135811.db2.gz ZJAVKAAGANVSGT-OAHLLOKOSA-N -1 1 334.380 -0.844 20 0 EBADMM CC(C)C[N@H+]1CCOC[C@@H]1c1nnc(CNC(=O)c2nnc[n-]2)[n-]1 ZINC001070592013 1130214992 /nfs/dbraw/zinc/21/49/92/1130214992.db2.gz WUGGURVXDINHIP-SNVBAGLBSA-N -1 1 334.384 -0.118 20 0 EBADMM C[C@@H](NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)c1nncn1C ZINC001413695654 1130231932 /nfs/dbraw/zinc/23/19/32/1130231932.db2.gz WKNRWUZEBVEADI-MRVPVSSYSA-N -1 1 333.352 -0.056 20 0 EBADMM C[C@H](C(=O)NC1CCC(NCc2n[nH]c(=O)[n-]2)CC1)S(C)(=O)=O ZINC001491355360 1130408740 /nfs/dbraw/zinc/40/87/40/1130408740.db2.gz AHJAKJZUPTYXHM-XNWIYYODSA-N -1 1 345.425 -0.540 20 0 EBADMM COCCn1ncc(C(=O)NC[C@@H](C)NCc2n[nH]c(=O)[n-]2)c1C ZINC001491456466 1130451623 /nfs/dbraw/zinc/45/16/23/1130451623.db2.gz XVWQMHSWDVOAHC-SECBINFHSA-N -1 1 337.384 -0.430 20 0 EBADMM CC(C)(C(=O)NCCNC(=O)CCn1cc[n-]c(=O)c1=O)C1CC1 ZINC001491459075 1130453450 /nfs/dbraw/zinc/45/34/50/1130453450.db2.gz AMPOMRNMDMLZBA-UHFFFAOYSA-N -1 1 336.392 -0.405 20 0 EBADMM C[C@@H](CNC(=O)CCCn1c(=O)[n-][nH]c1=O)NCc1ccn(C)n1 ZINC001491507007 1130476300 /nfs/dbraw/zinc/47/63/00/1130476300.db2.gz GMXZLFUCMHPJBJ-JTQLQIEISA-N -1 1 337.384 -0.503 20 0 EBADMM CN(CCNC(=O)Cc1cn2ccccc2n1)Cc1nc(=O)n(C)[n-]1 ZINC001492304803 1130620696 /nfs/dbraw/zinc/62/06/96/1130620696.db2.gz DKNINAAMPAMZRV-UHFFFAOYSA-N -1 1 343.391 -0.453 20 0 EBADMM C/C=C(/C)C(=O)NCCCN(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001492314674 1130624641 /nfs/dbraw/zinc/62/46/41/1130624641.db2.gz IIFKVADLOARRAZ-QCDXTXTGSA-N -1 1 336.392 -0.142 20 0 EBADMM C[C@@H](CO)N(C)C(=O)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC001329360681 1130709908 /nfs/dbraw/zinc/70/99/08/1130709908.db2.gz JNAFOXJAHBIBAR-UFBFGSQYSA-N -1 1 339.314 -0.505 20 0 EBADMM O=C(CCn1ccnc1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001413969930 1130874107 /nfs/dbraw/zinc/87/41/07/1130874107.db2.gz QNAIGPKMIRTDIZ-DGCLKSJQSA-N -1 1 345.359 -0.625 20 0 EBADMM CC[C@@H]1CN(C(=O)[C@@]2(C(=O)[O-])CNCCO2)CCN1CC(F)F ZINC001357535120 1131098717 /nfs/dbraw/zinc/09/87/17/1131098717.db2.gz LNPOWJNGRKKGQB-QMTHXVAHSA-N -1 1 335.351 -0.383 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC=CCNC(=O)[C@@H]1C[C@@H]1C ZINC001357530770 1131098760 /nfs/dbraw/zinc/09/87/60/1131098760.db2.gz RPHLMXSEXJNPJS-DXMIZCBPSA-N -1 1 336.348 -0.045 20 0 EBADMM C[C@H](CNC(=O)c1ccn(C)c1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001358270196 1131177005 /nfs/dbraw/zinc/17/70/05/1131177005.db2.gz DZJNPPZZUOCQPD-LLVKDONJSA-N -1 1 347.375 -0.800 20 0 EBADMM COC1(C(=O)Nc2nc3c(c(=O)[n-]2)CCCC3)CS(=O)(=O)C1 ZINC001361604974 1131426805 /nfs/dbraw/zinc/42/68/05/1131426805.db2.gz NPRZYPSXEIMOOS-UHFFFAOYSA-N -1 1 327.362 -0.187 20 0 EBADMM Cc1cc(=O)n2[n-]c(NC(=O)C[C@@]3(C)CCS(=O)(=O)N3)nc2n1 ZINC001361846503 1131465908 /nfs/dbraw/zinc/46/59/08/1131465908.db2.gz BNTDIFWCSFITOE-GFCCVEGCSA-N -1 1 340.365 -0.864 20 0 EBADMM O=C([C@H]1COc2ccc(O)cc2O1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001361921564 1131479539 /nfs/dbraw/zinc/47/95/39/1131479539.db2.gz FRKSRKKIOILBOB-NWDGAFQWSA-N -1 1 333.304 -0.355 20 0 EBADMM CCO[C@@H]1COCC[C@@H]1CC(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001361923237 1131480440 /nfs/dbraw/zinc/48/04/40/1131480440.db2.gz JKHLCAFFXGSGRL-GRYCIOLGSA-N -1 1 325.369 -0.069 20 0 EBADMM CCO[C@H](C(=O)N1CCO[C@@H](c2nn[n-]n2)C1)C1CCOCC1 ZINC001361924505 1131481032 /nfs/dbraw/zinc/48/10/32/1131481032.db2.gz NKMOVZQAZCSJJB-NEPJUHHUSA-N -1 1 325.369 -0.069 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(CC[S@](C)=O)CC1 ZINC001362009333 1131495782 /nfs/dbraw/zinc/49/57/82/1131495782.db2.gz BCFPTRNKWWAFOO-QHCPKHFHSA-N -1 1 344.393 -0.967 20 0 EBADMM CC(C)(O)CN1CCN(C(=O)CCCn2c(=O)[n-][nH]c2=O)CC1 ZINC001362012692 1131496593 /nfs/dbraw/zinc/49/65/93/1131496593.db2.gz UVWWGRCFJWLXBT-UHFFFAOYSA-N -1 1 327.385 -0.615 20 0 EBADMM CS(=O)(=O)c1ccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)s1 ZINC001362058724 1131506448 /nfs/dbraw/zinc/50/64/48/1131506448.db2.gz DCKAUHDECUEQME-SSDOTTSWSA-N -1 1 343.390 -0.122 20 0 EBADMM O=C([C@@H]1CC12CCS(=O)(=O)CC2)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001362065426 1131508004 /nfs/dbraw/zinc/50/80/04/1131508004.db2.gz UOVNVWZNTGDTNW-UWVGGRQHSA-N -1 1 341.393 -0.686 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCS(=O)(=O)[C@@H](C)[C@H]1C ZINC001362117357 1131518835 /nfs/dbraw/zinc/51/88/35/1131518835.db2.gz GSLZBLVVQQCTRX-RQJHMYQMSA-N -1 1 331.350 -0.456 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCN2C(=O)OCC[C@@H]2C1 ZINC001362151425 1131526040 /nfs/dbraw/zinc/52/60/40/1131526040.db2.gz BJIAVJGVIYXXMR-SNVBAGLBSA-N -1 1 334.332 -0.430 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(CCS(C)(=O)=O)C(C)C ZINC001362221242 1131539089 /nfs/dbraw/zinc/53/90/89/1131539089.db2.gz GOVJQZVSYMZLBS-UHFFFAOYSA-N -1 1 333.366 -0.208 20 0 EBADMM CCOC[C@@H](C(=O)OC)N(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC001362281633 1131551397 /nfs/dbraw/zinc/55/13/97/1131551397.db2.gz BYDLQGHDXKSXEC-JTQLQIEISA-N -1 1 339.348 -0.447 20 0 EBADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N[C@H]1CN(C)NC1=O ZINC001362284332 1131552136 /nfs/dbraw/zinc/55/21/36/1131552136.db2.gz IJHFQIQBKGPNIK-LBPRGKRZSA-N -1 1 342.359 -0.856 20 0 EBADMM CC1=NS(=O)(=O)N(C)C=C1C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC001362297366 1131554770 /nfs/dbraw/zinc/55/47/70/1131554770.db2.gz DTSWKGHLJNQMFT-SSDOTTSWSA-N -1 1 327.370 -0.703 20 0 EBADMM CNC(=O)CNC(=O)c1cccc(NC(=O)c2cnncc2[O-])c1 ZINC001362387297 1131573374 /nfs/dbraw/zinc/57/33/74/1131573374.db2.gz IBALHIGPDPGWMV-UHFFFAOYSA-N -1 1 329.316 -0.090 20 0 EBADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@@H]1CC[C@H](C(N)=O)O1 ZINC001362468394 1131589549 /nfs/dbraw/zinc/58/95/49/1131589549.db2.gz BIMQWALBFZGRBR-JGVFFNPUSA-N -1 1 326.378 -0.025 20 0 EBADMM Cc1nn(C)cc1C1=NO[C@@H](C(=O)N(C)C[C@@H](C)c2nn[n-]n2)C1 ZINC001362505976 1131597788 /nfs/dbraw/zinc/59/77/88/1131597788.db2.gz YPNVJZJZPGOVEL-PRHODGIISA-N -1 1 332.368 -0.003 20 0 EBADMM Cc1cc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)ncc1C(N)=O ZINC001362520868 1131600742 /nfs/dbraw/zinc/60/07/42/1131600742.db2.gz RJKCCJOLLRSRBF-JTQLQIEISA-N -1 1 333.377 -0.068 20 0 EBADMM COC(=O)[C@H](COC(C)C)N(C)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001362554176 1131609570 /nfs/dbraw/zinc/60/95/70/1131609570.db2.gz BDFHRRUNTCPNOO-QMMMGPOBSA-N -1 1 343.336 -0.065 20 0 EBADMM CS(=O)(=O)N[C@H]1CCCC[C@H]1CNC(=O)CCCc1nn[n-]n1 ZINC001362642699 1131631066 /nfs/dbraw/zinc/63/10/66/1131631066.db2.gz YKYZVIHKVNVLQD-QWRGUYRKSA-N -1 1 344.441 -0.253 20 0 EBADMM CS(=O)(=O)N[C@H]1CCCC[C@@H]1CNC(=O)CCCc1nn[n-]n1 ZINC001362642692 1131631272 /nfs/dbraw/zinc/63/12/72/1131631272.db2.gz YKYZVIHKVNVLQD-MNOVXSKESA-N -1 1 344.441 -0.253 20 0 EBADMM CCOC(=O)c1nc([C@H](C)NC(=O)C2(S(C)(=O)=O)CC2)n[n-]1 ZINC001362659915 1131634749 /nfs/dbraw/zinc/63/47/49/1131634749.db2.gz LLRIQCJBNFRMRU-ZETCQYMHSA-N -1 1 330.366 -0.264 20 0 EBADMM CCOC(=O)c1nnc([C@H](C)NC(=O)C2(S(C)(=O)=O)CC2)[n-]1 ZINC001362659915 1131634753 /nfs/dbraw/zinc/63/47/53/1131634753.db2.gz LLRIQCJBNFRMRU-ZETCQYMHSA-N -1 1 330.366 -0.264 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)C2(S(C)(=O)=O)CC2)n1 ZINC001362659915 1131634756 /nfs/dbraw/zinc/63/47/56/1131634756.db2.gz LLRIQCJBNFRMRU-ZETCQYMHSA-N -1 1 330.366 -0.264 20 0 EBADMM CS(=O)(=O)N1CC(C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)C1 ZINC001362701249 1131645719 /nfs/dbraw/zinc/64/57/19/1131645719.db2.gz FGXYERDVVKUHTQ-MRVPVSSYSA-N -1 1 330.366 -0.627 20 0 EBADMM CS(=O)(=O)CC1(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)COC1 ZINC001362701395 1131645747 /nfs/dbraw/zinc/64/57/47/1131645747.db2.gz GTCFIAKGOSCAEP-VIFPVBQESA-N -1 1 345.377 -0.458 20 0 EBADMM O=C(CCCc1nn[n-]n1)N[C@@H]1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001362710634 1131648812 /nfs/dbraw/zinc/64/88/12/1131648812.db2.gz DHOMQQYBKFWOIR-MFKMUULPSA-N -1 1 343.409 -0.625 20 0 EBADMM CCO[C@@H](C(=O)N1CCC(O)(c2nn[n-]n2)CC1)[C@H]1CCOC1 ZINC001362726894 1131655456 /nfs/dbraw/zinc/65/54/56/1131655456.db2.gz PBWWTMYZKJAUSK-WDEREUQCSA-N -1 1 325.369 -0.549 20 0 EBADMM O=C([C@H]1CC(=O)N[C@@H]2CCCC[C@H]21)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362727497 1131656144 /nfs/dbraw/zinc/65/61/44/1131656144.db2.gz SAUAGKWKQQPRQF-SDDRHHMPSA-N -1 1 348.407 -0.295 20 0 EBADMM COC(=O)[C@H](C[C@@H]1CCCOC1)NC(=O)CCCc1nn[n-]n1 ZINC001362759068 1131665191 /nfs/dbraw/zinc/66/51/91/1131665191.db2.gz SNAAEARQNHLHLE-QWRGUYRKSA-N -1 1 325.369 -0.003 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H]1CCc2nnnn2CC1 ZINC001362780217 1131670984 /nfs/dbraw/zinc/67/09/84/1131670984.db2.gz QQLGANXPQJHMCD-SECBINFHSA-N -1 1 331.336 -0.975 20 0 EBADMM C[C@@](O)(Cn1ccccc1=O)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001362803139 1131679433 /nfs/dbraw/zinc/67/94/33/1131679433.db2.gz JMSCNKIJTJLJPG-OAHLLOKOSA-N -1 1 332.364 -0.481 20 0 EBADMM CCC[C@H](C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)S(N)(=O)=O ZINC001362811952 1131683028 /nfs/dbraw/zinc/68/30/28/1131683028.db2.gz SZIHQCUISRUTOF-MRVPVSSYSA-N -1 1 345.343 -0.420 20 0 EBADMM CNC(=O)c1cccc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)n1 ZINC001362812406 1131683109 /nfs/dbraw/zinc/68/31/09/1131683109.db2.gz XVILFSOHUFSTPY-UHFFFAOYSA-N -1 1 343.343 -0.061 20 0 EBADMM CCOC(=O)c1c[n-]c(NC(=O)C2(CS(C)(=O)=O)COC2)n1 ZINC001362816702 1131684163 /nfs/dbraw/zinc/68/41/63/1131684163.db2.gz KJHUHJQAHLJCCH-UHFFFAOYSA-N -1 1 331.350 -0.414 20 0 EBADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@H]1CCCN(S(N)(=O)=O)C1 ZINC001362828242 1131687791 /nfs/dbraw/zinc/68/77/91/1131687791.db2.gz AORKXGUDBBOKMG-SNVBAGLBSA-N -1 1 345.429 -0.900 20 0 EBADMM COc1cc2[n-]cc(C(=O)N3CC(CO)(CO)C3)c(=O)c2c(OC)c1 ZINC001362874533 1131701882 /nfs/dbraw/zinc/70/18/82/1131701882.db2.gz CEFTXNDOUKARKU-UHFFFAOYSA-N -1 1 348.355 -0.028 20 0 EBADMM CC(C)[C@H](NS(C)(=O)=O)C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001362903995 1131708388 /nfs/dbraw/zinc/70/83/88/1131708388.db2.gz IWOBCOCESASTCU-JQWIXIFHSA-N -1 1 344.441 -0.445 20 0 EBADMM COC(=O)[C@@H]1C[C@@H](O)CN(C(=O)c2cnc(SC)[n-]c2=O)C1 ZINC001362912175 1131711549 /nfs/dbraw/zinc/71/15/49/1131711549.db2.gz MPMWBIXAXNQFNW-HTQZYQBOSA-N -1 1 327.362 -0.100 20 0 EBADMM CSc1nc(=O)[n-]c(C)c1C(=O)N(C)N1CCS(=O)(=O)CC1 ZINC001362916363 1131712780 /nfs/dbraw/zinc/71/27/80/1131712780.db2.gz JNKKAGSIPNDPGW-UHFFFAOYSA-N -1 1 346.434 -0.070 20 0 EBADMM COC(=O)[C@]1(N2CCN(C(=O)c3ccc([O-])cn3)CC2)CCOC1 ZINC001362993291 1131736438 /nfs/dbraw/zinc/73/64/38/1131736438.db2.gz YQBFSPVTKUSONP-INIZCTEOSA-N -1 1 335.360 -0.123 20 0 EBADMM CCS(=O)(=O)N1CCN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)CC1 ZINC001363019450 1131748847 /nfs/dbraw/zinc/74/88/47/1131748847.db2.gz HELKMSJWZCZMGJ-UHFFFAOYSA-N -1 1 346.365 -0.996 20 0 EBADMM CN(Cc1ccccc1S(N)(=O)=O)C(=O)CCCc1nn[n-]n1 ZINC001363071830 1131771029 /nfs/dbraw/zinc/77/10/29/1131771029.db2.gz JGVWXLCIVQZXMW-UHFFFAOYSA-N -1 1 338.393 -0.172 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCO[C@@H](Cn2ccnn2)C1 ZINC001363097497 1131783533 /nfs/dbraw/zinc/78/35/33/1131783533.db2.gz BGTULIWOTWUXQH-LLVKDONJSA-N -1 1 346.347 -0.964 20 0 EBADMM CCOC(=O)N1CCC(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)CC1 ZINC001363116085 1131790048 /nfs/dbraw/zinc/79/00/48/1131790048.db2.gz IWNFGBPZOGYFRU-LLVKDONJSA-N -1 1 338.368 -0.032 20 0 EBADMM CNS(=O)(=O)c1ccc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)cn1 ZINC001363215174 1131835135 /nfs/dbraw/zinc/83/51/35/1131835135.db2.gz BTHTWPQAUQNNJH-VIFPVBQESA-N -1 1 337.365 -0.868 20 0 EBADMM CNC(=O)NC[C@@H]1CCCCN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001363275076 1131864948 /nfs/dbraw/zinc/86/49/48/1131864948.db2.gz UYQPMECBYSFLNL-QMMMGPOBSA-N -1 1 339.352 -0.180 20 0 EBADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@H]1C[C@H](O)CN1c1ccncn1 ZINC001363275518 1131866082 /nfs/dbraw/zinc/86/60/82/1131866082.db2.gz HYRDPAASSGQQFU-RYUDHWBXSA-N -1 1 346.395 -0.386 20 0 EBADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@H]1C[C@@H](O)CN1c1ccncn1 ZINC001363275510 1131866388 /nfs/dbraw/zinc/86/63/88/1131866388.db2.gz HYRDPAASSGQQFU-NEPJUHHUSA-N -1 1 346.395 -0.386 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCN(CCO)C[C@@H]2C)o1 ZINC001363290190 1131876621 /nfs/dbraw/zinc/87/66/21/1131876621.db2.gz XAOYVDJOCLQPAC-JTQLQIEISA-N -1 1 331.394 -0.674 20 0 EBADMM O=C(NCc1ccc([O-])c(Cl)c1)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001363310042 1131888904 /nfs/dbraw/zinc/88/89/04/1131888904.db2.gz DAQFWUVGJWPDNF-VIFPVBQESA-N -1 1 332.765 -0.425 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NCCS(=O)(=O)C1CC1 ZINC001363312450 1131891097 /nfs/dbraw/zinc/89/10/97/1131891097.db2.gz WKKPBRAEEIPEPL-UHFFFAOYSA-N -1 1 327.362 -0.790 20 0 EBADMM Nc1nc2nc(CN3CCC4(CCNC(=O)O4)CC3)cc(=O)n2[n-]1 ZINC001363320015 1131896174 /nfs/dbraw/zinc/89/61/74/1131896174.db2.gz XBQBQGGMDZCIRA-UHFFFAOYSA-N -1 1 333.352 -0.536 20 0 EBADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)COCC(=O)OC ZINC001363349757 1131910169 /nfs/dbraw/zinc/91/01/69/1131910169.db2.gz JPNQFGKAONMWIW-SECBINFHSA-N -1 1 340.336 -0.166 20 0 EBADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)COCC(=O)OC ZINC001363349757 1131910177 /nfs/dbraw/zinc/91/01/77/1131910177.db2.gz JPNQFGKAONMWIW-SECBINFHSA-N -1 1 340.336 -0.166 20 0 EBADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)COCC(=O)OC ZINC001363349757 1131910182 /nfs/dbraw/zinc/91/01/82/1131910182.db2.gz JPNQFGKAONMWIW-SECBINFHSA-N -1 1 340.336 -0.166 20 0 EBADMM CC(C)S(=O)(=O)CCNC(=O)CNC(=O)c1ncccc1[O-] ZINC001363383136 1131924001 /nfs/dbraw/zinc/92/40/01/1131924001.db2.gz YNPNUFITVRJCMW-UHFFFAOYSA-N -1 1 329.378 -0.544 20 0 EBADMM CC1=C(C(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)S(=O)(=O)CCO1 ZINC001363403096 1131933881 /nfs/dbraw/zinc/93/38/81/1131933881.db2.gz XGBSFHVRIUFCQO-SNVBAGLBSA-N -1 1 341.393 -0.343 20 0 EBADMM COC(=O)CC[C@@H]1CC[C@H](C(=O)N2CCOC[C@@H]2c2nn[n-]n2)O1 ZINC001363407594 1131937230 /nfs/dbraw/zinc/93/72/30/1131937230.db2.gz KKURHBCXVAEDLN-HBNTYKKESA-N -1 1 339.352 -0.400 20 0 EBADMM O=C(COc1ccccc1)NCC(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC001363413070 1131939421 /nfs/dbraw/zinc/93/94/21/1131939421.db2.gz YJPPMYWZDYVLDZ-LBPRGKRZSA-N -1 1 346.347 -0.705 20 0 EBADMM COC[C@@H](NC(=O)Cc1cc(OC)c(O)c(OC)c1)c1nn[n-]n1 ZINC001363419085 1131942058 /nfs/dbraw/zinc/94/20/58/1131942058.db2.gz VDMMGBCLDVCRGG-SECBINFHSA-N -1 1 337.336 -0.031 20 0 EBADMM COC[C@H](NC(=O)Cc1cc(OC)c(O)c(OC)c1)c1nn[n-]n1 ZINC001363419089 1131942390 /nfs/dbraw/zinc/94/23/90/1131942390.db2.gz VDMMGBCLDVCRGG-VIFPVBQESA-N -1 1 337.336 -0.031 20 0 EBADMM COC[C@@H](NC(=O)CCCN(C)C(=O)c1ccncc1)c1nn[n-]n1 ZINC001363435119 1131948633 /nfs/dbraw/zinc/94/86/33/1131948633.db2.gz LHCWFWDSOQPQBD-GFCCVEGCSA-N -1 1 347.379 -0.049 20 0 EBADMM COC[C@H](NC(=O)[C@@H]1CN(C(=O)C(C)(C)C)CCO1)c1nn[n-]n1 ZINC001363439531 1131950717 /nfs/dbraw/zinc/95/07/17/1131950717.db2.gz WXJWHMJWVNGJSF-UWVGGRQHSA-N -1 1 340.384 -0.723 20 0 EBADMM C[C@@H]1CO[C@@H](C)CN1CCNC(=O)CNC(=O)c1ncccc1[O-] ZINC001363473148 1131963782 /nfs/dbraw/zinc/96/37/82/1131963782.db2.gz FGTANKFTKDVGLF-NEPJUHHUSA-N -1 1 336.392 -0.258 20 0 EBADMM CSCC[C@H](NC(=O)[C@H]1CCCN(C(N)=O)C1)c1nn[n-]n1 ZINC001363490505 1131971660 /nfs/dbraw/zinc/97/16/60/1131971660.db2.gz IFFLTXVIEJRHOO-IUCAKERBSA-N -1 1 327.414 -0.099 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCN[C@H](c3cn[nH]c3)C2)co1 ZINC001363496209 1131975384 /nfs/dbraw/zinc/97/53/84/1131975384.db2.gz BFMCSBFUUSUYFZ-NSHDSACASA-N -1 1 339.377 -0.303 20 0 EBADMM O=C(CS[C@H]1CCS(=O)(=O)C1)N1CCOC[C@H]1c1nn[n-]n1 ZINC001363509835 1131983424 /nfs/dbraw/zinc/98/34/24/1131983424.db2.gz CKFMBTKAQZVVAE-IUCAKERBSA-N -1 1 347.422 -0.980 20 0 EBADMM O=C(CCC(=O)N1CCC(c2nn[n-]n2)CC1)N1CCC(O)CC1 ZINC001363518255 1131987143 /nfs/dbraw/zinc/98/71/43/1131987143.db2.gz DWEPLSMCYYSCIQ-UHFFFAOYSA-N -1 1 336.396 -0.331 20 0 EBADMM CC(C)(C)[C@@H](NS(C)(=O)=O)C(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001363521815 1131989513 /nfs/dbraw/zinc/98/95/13/1131989513.db2.gz BBZMWLFBEBONLF-BDAKNGLRSA-N -1 1 330.414 -0.521 20 0 EBADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)CCNC(=O)NC ZINC001363553458 1132010951 /nfs/dbraw/zinc/01/09/51/1132010951.db2.gz BFWZYHCOBXNVTN-VIFPVBQESA-N -1 1 338.368 -0.036 20 0 EBADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)CCNC(=O)NC ZINC001363553458 1132010957 /nfs/dbraw/zinc/01/09/57/1132010957.db2.gz BFWZYHCOBXNVTN-VIFPVBQESA-N -1 1 338.368 -0.036 20 0 EBADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)CCNC(=O)NC ZINC001363553458 1132010965 /nfs/dbraw/zinc/01/09/65/1132010965.db2.gz BFWZYHCOBXNVTN-VIFPVBQESA-N -1 1 338.368 -0.036 20 0 EBADMM O=C(CCCc1nn[n-]n1)Nc1ccn(CCN2CC[NH+]=C2[O-])n1 ZINC001363576029 1132019570 /nfs/dbraw/zinc/01/95/70/1132019570.db2.gz KFYNMWHPFRUZOQ-UHFFFAOYSA-N -1 1 333.356 -0.617 20 0 EBADMM CCN1CCN(C2CN(C(=O)c3c[n-]n4c3nccc4=O)C2)CC1 ZINC001363622737 1132035217 /nfs/dbraw/zinc/03/52/17/1132035217.db2.gz LPGHJNUCXRBRPB-UHFFFAOYSA-N -1 1 330.392 -0.516 20 0 EBADMM CCS(=O)(=O)N(C)CC(=O)NC(C)(C)c1ncc(OC)c(=O)[n-]1 ZINC001363634872 1132039215 /nfs/dbraw/zinc/03/92/15/1132039215.db2.gz XCHKAHHQTNFHMF-UHFFFAOYSA-N -1 1 346.409 -0.176 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@H](C3OCCO3)C2)[n-]n1 ZINC001363768375 1132081329 /nfs/dbraw/zinc/08/13/29/1132081329.db2.gz FZWXYNOCROGXTF-VIFPVBQESA-N -1 1 345.377 -0.030 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@H](C3OCCO3)C2)n[n-]1 ZINC001363768375 1132081334 /nfs/dbraw/zinc/08/13/34/1132081334.db2.gz FZWXYNOCROGXTF-VIFPVBQESA-N -1 1 345.377 -0.030 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@H](O)COCC2CC2)[n-]n1 ZINC001363785210 1132087226 /nfs/dbraw/zinc/08/72/26/1132087226.db2.gz OUFDUSXBFBOSJD-VIFPVBQESA-N -1 1 333.366 -0.738 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@H](O)COCC2CC2)n[n-]1 ZINC001363785210 1132087238 /nfs/dbraw/zinc/08/72/38/1132087238.db2.gz OUFDUSXBFBOSJD-VIFPVBQESA-N -1 1 333.366 -0.738 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2c3ccccc3C[C@@H]2O)c(=O)[nH]c1=O ZINC001363816481 1132098436 /nfs/dbraw/zinc/09/84/36/1132098436.db2.gz ALHCECQOQFUGCI-JQWIXIFHSA-N -1 1 337.357 -0.578 20 0 EBADMM CCOC(=O)[C@H]1CCCN(CC(=O)NC2(c3nn[n-]n3)CCC2)C1 ZINC001363821876 1132101049 /nfs/dbraw/zinc/10/10/49/1132101049.db2.gz PVICUYPQQGSXDR-NSHDSACASA-N -1 1 336.396 -0.030 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H](C)[S@](=O)CC2)[n-]n1 ZINC001363865493 1132114813 /nfs/dbraw/zinc/11/48/13/1132114813.db2.gz IFWQGMCBCBROPD-FFVOIRBGSA-N -1 1 335.407 -0.272 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H](C)[S@](=O)CC2)n[n-]1 ZINC001363865493 1132114816 /nfs/dbraw/zinc/11/48/16/1132114816.db2.gz IFWQGMCBCBROPD-FFVOIRBGSA-N -1 1 335.407 -0.272 20 0 EBADMM CCn1ccnc1[C@@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC001363883844 1132119298 /nfs/dbraw/zinc/11/92/98/1132119298.db2.gz WKBKTQNTBKQTPG-SECBINFHSA-N -1 1 341.393 -0.660 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1Cc2ccccc2C[C@H]1C(N)=O ZINC001363901052 1132124791 /nfs/dbraw/zinc/12/47/91/1132124791.db2.gz MXHUBIGBXHNUAH-JTQLQIEISA-N -1 1 344.327 -0.051 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])Nc1cnc2c(c1)CNC2=O ZINC001363908728 1132126955 /nfs/dbraw/zinc/12/69/55/1132126955.db2.gz QNRAGCLBZIOOPW-UHFFFAOYSA-N -1 1 327.300 -0.206 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)CC(=O)NC(C)(C)C)[n-]n1 ZINC001363925878 1132131836 /nfs/dbraw/zinc/13/18/36/1132131836.db2.gz BSKFJOMKKUZKRP-UHFFFAOYSA-N -1 1 332.382 -0.269 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)CC(=O)NC(C)(C)C)n[n-]1 ZINC001363925878 1132131840 /nfs/dbraw/zinc/13/18/40/1132131840.db2.gz BSKFJOMKKUZKRP-UHFFFAOYSA-N -1 1 332.382 -0.269 20 0 EBADMM CCNC(=O)[C@@H](C)[N-]S(=O)(=O)c1nc[nH]c1Br ZINC001363942515 1132137564 /nfs/dbraw/zinc/13/75/64/1132137564.db2.gz YKHQBZUUYXJIQN-RXMQYKEDSA-N -1 1 325.188 -0.025 20 0 EBADMM Cc1nn(C)c(C)c1[C@@H](O)CNC(=O)CNC(=O)c1ncccc1[O-] ZINC001363944826 1132138761 /nfs/dbraw/zinc/13/87/61/1132138761.db2.gz RCHZMZMFMBPGBS-LBPRGKRZSA-N -1 1 347.375 -0.283 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@H](OCCO)C2)[n-]n1 ZINC001363955176 1132142162 /nfs/dbraw/zinc/14/21/62/1132142162.db2.gz ORSGEEVCCQREIH-VIFPVBQESA-N -1 1 333.366 -0.642 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@H](OCCO)C2)n[n-]1 ZINC001363955176 1132142165 /nfs/dbraw/zinc/14/21/65/1132142165.db2.gz ORSGEEVCCQREIH-VIFPVBQESA-N -1 1 333.366 -0.642 20 0 EBADMM CN(C)S(=O)(=O)CCS(=O)(=O)[N-]CC(=O)OC(C)(C)C ZINC001363958778 1132143033 /nfs/dbraw/zinc/14/30/33/1132143033.db2.gz UZDOMRKIYSRUDA-UHFFFAOYSA-N -1 1 330.428 -0.861 20 0 EBADMM CCOC(=O)[C@@H]1CCC[C@@H]1[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001364005860 1132162589 /nfs/dbraw/zinc/16/25/89/1132162589.db2.gz IBDFJFBPYTZRIM-BDAKNGLRSA-N -1 1 345.377 -0.504 20 0 EBADMM CO[C@H](C[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)C1CCCC1 ZINC001364008676 1132164242 /nfs/dbraw/zinc/16/42/42/1132164242.db2.gz IFCPGYMKNSFNEI-SNVBAGLBSA-N -1 1 331.394 -0.031 20 0 EBADMM O=S(=O)(C[C@H]1CC2(CCC2)CO1)[N-][C@@H]1CS(=O)(=O)C[C@H]1O ZINC001364019304 1132167615 /nfs/dbraw/zinc/16/76/15/1132167615.db2.gz BVLWYUMTOVHWFH-GMTAPVOTSA-N -1 1 339.435 -0.977 20 0 EBADMM COC[C@H](NC(=O)c1cccc(CS(C)(=O)=O)c1)c1nn[n-]n1 ZINC001364024652 1132171200 /nfs/dbraw/zinc/17/12/00/1132171200.db2.gz JOVUBBORWFGRQD-NSHDSACASA-N -1 1 339.377 -0.138 20 0 EBADMM COC[C@@H](NC(=O)CNS(=O)(=O)c1cccs1)c1nn[n-]n1 ZINC001364030684 1132173895 /nfs/dbraw/zinc/17/38/95/1132173895.db2.gz LRZNRYARWGVQNL-SSDOTTSWSA-N -1 1 346.394 -0.957 20 0 EBADMM COC[C@H](NC(=O)c1ccnc(O[C@@H]2CCOC2)c1)c1nn[n-]n1 ZINC001364030835 1132174047 /nfs/dbraw/zinc/17/40/47/1132174047.db2.gz LXDJMZMAARKXII-MNOVXSKESA-N -1 1 334.336 -0.120 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[S@](=O)C[C@@H](C)C2)[n-]n1 ZINC001364041948 1132179194 /nfs/dbraw/zinc/17/91/94/1132179194.db2.gz CSXGPTSSHDASLU-FHZGZLOMSA-N -1 1 335.407 -0.415 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[S@](=O)C[C@@H](C)C2)n[n-]1 ZINC001364041948 1132179199 /nfs/dbraw/zinc/17/91/99/1132179199.db2.gz CSXGPTSSHDASLU-FHZGZLOMSA-N -1 1 335.407 -0.415 20 0 EBADMM CCC[C@H](C(=O)OCC)S(=O)(=O)[N-][C@@H](CO)c1nncn1C ZINC001364043872 1132180051 /nfs/dbraw/zinc/18/00/51/1132180051.db2.gz IXPDYQCAIKDCOH-VHSXEESVSA-N -1 1 334.398 -0.500 20 0 EBADMM COc1nscc1S(=O)(=O)[N-][C@H]1CS(=O)(=O)C[C@H]1C ZINC001364052355 1132184840 /nfs/dbraw/zinc/18/48/40/1132184840.db2.gz XDSLMUVUHAWCLK-RQJHMYQMSA-N -1 1 326.421 -0.137 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)N2CC3(C2)C[C@H](O)CNC3=O)c1 ZINC001364080563 1132200108 /nfs/dbraw/zinc/20/01/08/1132200108.db2.gz AZOKFYRMGQDQKW-VIFPVBQESA-N -1 1 342.373 -0.728 20 0 EBADMM CCOC(=O)[C@@H](CSC)[N-]S(=O)(=O)CCS(C)(=O)=O ZINC001364082901 1132201215 /nfs/dbraw/zinc/20/12/15/1132201215.db2.gz PXOOYLCYWDFHKK-MRVPVSSYSA-N -1 1 333.453 -0.755 20 0 EBADMM COc1ncc(CCNC(=O)CNC(=O)c2ncccc2[O-])cn1 ZINC001364311512 1132271927 /nfs/dbraw/zinc/27/19/27/1132271927.db2.gz RCUJOEWHLXPXLF-UHFFFAOYSA-N -1 1 331.332 -0.326 20 0 EBADMM CS(=O)(=O)c1cc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)co1 ZINC001364382432 1132300288 /nfs/dbraw/zinc/30/02/88/1132300288.db2.gz DBYVOYVAEVJZHI-MRVPVSSYSA-N -1 1 327.322 -0.590 20 0 EBADMM CNC(=O)NC[C@H]1COCCN1Cc1nc2c(c(=O)[n-]1)COCC2 ZINC001364473376 1132329844 /nfs/dbraw/zinc/32/98/44/1132329844.db2.gz HALQHQFFZYDWBH-JTQLQIEISA-N -1 1 337.380 -0.615 20 0 EBADMM CCO[C@H](C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)C1CCOCC1 ZINC001364483654 1132334259 /nfs/dbraw/zinc/33/42/59/1132334259.db2.gz FREQDPQMFKHJFM-VIFPVBQESA-N -1 1 332.382 -0.022 20 0 EBADMM CCO[C@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)C1CCOCC1 ZINC001364483654 1132334267 /nfs/dbraw/zinc/33/42/67/1132334267.db2.gz FREQDPQMFKHJFM-VIFPVBQESA-N -1 1 332.382 -0.022 20 0 EBADMM O=c1[n-]c(CN2CCS(=O)(=O)C[C@@H]2CCO)nc2c1COCC2 ZINC001364500748 1132342645 /nfs/dbraw/zinc/34/26/45/1132342645.db2.gz XHXUMWYAICRCMR-JTQLQIEISA-N -1 1 343.405 -0.764 20 0 EBADMM O=C(NCc1nnnn1C1CC1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001364541876 1132358143 /nfs/dbraw/zinc/35/81/43/1132358143.db2.gz GRRCXKHMZSPKEE-UHFFFAOYSA-N -1 1 346.351 -0.424 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@@H]2C(=O)NC2CC2)[n-]n1 ZINC001364764230 1132418862 /nfs/dbraw/zinc/41/88/62/1132418862.db2.gz YOYNLDIBTQSDBZ-SNVBAGLBSA-N -1 1 342.377 -0.372 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@@H]2C(=O)NC2CC2)n[n-]1 ZINC001364764230 1132418867 /nfs/dbraw/zinc/41/88/67/1132418867.db2.gz YOYNLDIBTQSDBZ-SNVBAGLBSA-N -1 1 342.377 -0.372 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H](O)COCC1CC1 ZINC001364780626 1132424524 /nfs/dbraw/zinc/42/45/24/1132424524.db2.gz ABYNWBXZUOZVCO-VIFPVBQESA-N -1 1 333.366 -0.738 20 0 EBADMM CNC(=O)N[C@H]1CCCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC001364788304 1132426541 /nfs/dbraw/zinc/42/65/41/1132426541.db2.gz GWUHZSVJHINLPB-JTQLQIEISA-N -1 1 335.364 -0.563 20 0 EBADMM CCC(=O)N1CC[C@@H](NS(=O)(=O)c2cc(C(=O)OC)n[n-]2)C1 ZINC001364794062 1132428516 /nfs/dbraw/zinc/42/85/16/1132428516.db2.gz CZDFEFKXTXEFCD-MRVPVSSYSA-N -1 1 330.366 -0.515 20 0 EBADMM CCC(=O)N1CC[C@@H](NS(=O)(=O)c2cc(C(=O)OC)[n-]n2)C1 ZINC001364794062 1132428518 /nfs/dbraw/zinc/42/85/18/1132428518.db2.gz CZDFEFKXTXEFCD-MRVPVSSYSA-N -1 1 330.366 -0.515 20 0 EBADMM C[C@@H](C[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)CC1(C)OCCO1 ZINC001364804649 1132432916 /nfs/dbraw/zinc/43/29/16/1132432916.db2.gz NRVTYOOWJWITLO-SECBINFHSA-N -1 1 347.393 -0.447 20 0 EBADMM COC[C@H](NC(=O)[C@@H]1CC12CCS(=O)(=O)CC2)c1nn[n-]n1 ZINC001364812628 1132435246 /nfs/dbraw/zinc/43/52/46/1132435246.db2.gz FBPYAMALWYCRSJ-IUCAKERBSA-N -1 1 329.382 -0.782 20 0 EBADMM CNC(=O)[C@@H](C)[N-]S(=O)(=O)N=[S@](=O)(c1ccccc1)N(C)C ZINC001364815621 1132437555 /nfs/dbraw/zinc/43/75/55/1132437555.db2.gz POIIDFBRNKRWIY-LADRHHBVSA-N -1 1 348.450 -0.041 20 0 EBADMM CCN1CCCN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)CC1=O ZINC001364849731 1132452820 /nfs/dbraw/zinc/45/28/20/1132452820.db2.gz IXYKCBYJYVBXTQ-UHFFFAOYSA-N -1 1 330.366 -0.561 20 0 EBADMM CCN1CCCN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)CC1=O ZINC001364849731 1132452824 /nfs/dbraw/zinc/45/28/24/1132452824.db2.gz IXYKCBYJYVBXTQ-UHFFFAOYSA-N -1 1 330.366 -0.561 20 0 EBADMM COC[C@H]1CN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)C[C@H](C)O1 ZINC001364850798 1132453538 /nfs/dbraw/zinc/45/35/38/1132453538.db2.gz NODCLWCURDJGLF-DTWKUNHWSA-N -1 1 333.366 -0.379 20 0 EBADMM COC[C@H]1CN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)C[C@H](C)O1 ZINC001364850798 1132453543 /nfs/dbraw/zinc/45/35/43/1132453543.db2.gz NODCLWCURDJGLF-DTWKUNHWSA-N -1 1 333.366 -0.379 20 0 EBADMM CCn1cc([C@@H](C)[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)cn1 ZINC001364859820 1132457926 /nfs/dbraw/zinc/45/79/26/1132457926.db2.gz UUJJEUNKRTXBAI-SECBINFHSA-N -1 1 341.393 -0.660 20 0 EBADMM CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@@H](CF)C(=O)OC ZINC001364871131 1132462072 /nfs/dbraw/zinc/46/20/72/1132462072.db2.gz LZIIEGUXVAWGBN-ZETCQYMHSA-N -1 1 337.329 -0.316 20 0 EBADMM CSCC[C@H]([N-]S(=O)(=O)N=[S@](C)(=O)N(C)C)C(N)=O ZINC001364887883 1132470122 /nfs/dbraw/zinc/47/01/22/1132470122.db2.gz JVTDJRNLBDZQLQ-VIIUKITBSA-N -1 1 332.473 -0.998 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C1CCN(OC)CC1 ZINC001364888901 1132471027 /nfs/dbraw/zinc/47/10/27/1132471027.db2.gz QOTYOFUQCCVSKK-UHFFFAOYSA-N -1 1 332.382 -0.110 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1[C@H]2C[C@H]3CO[C@H]1[C@H]3C2 ZINC001364901922 1132475662 /nfs/dbraw/zinc/47/56/62/1132475662.db2.gz UPEMIPYFXDCBKS-ASJMHXKMSA-N -1 1 327.362 -0.102 20 0 EBADMM O=S(=O)(C[C@H]1CCC2(CCC2)O1)[N-][C@@H]1CS(=O)(=O)C[C@H]1O ZINC001364902416 1132475693 /nfs/dbraw/zinc/47/56/93/1132475693.db2.gz JBOJZBAVKAEHTA-GMTAPVOTSA-N -1 1 339.435 -0.835 20 0 EBADMM O=S(=O)([N-][C@@H]1COCC[C@H]1O)c1nc[nH]c1Br ZINC001364929156 1132490238 /nfs/dbraw/zinc/49/02/38/1132490238.db2.gz VOTQEAMAKBJJSM-PHDIDXHHSA-N -1 1 326.172 -0.400 20 0 EBADMM COc1cnc(C(C)(C)NC(=O)[C@H](C)NS(C)(=O)=O)[n-]c1=O ZINC001364948091 1132498066 /nfs/dbraw/zinc/49/80/66/1132498066.db2.gz JJQYJRYSPHTFKT-ZETCQYMHSA-N -1 1 332.382 -0.520 20 0 EBADMM CCOC(=O)CN(C1CC1)S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001365030864 1132523827 /nfs/dbraw/zinc/52/38/27/1132523827.db2.gz JGLPWLVIZRQQLW-UHFFFAOYSA-N -1 1 331.350 -0.088 20 0 EBADMM CCOC(=O)CN(C1CC1)S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001365030864 1132523836 /nfs/dbraw/zinc/52/38/36/1132523836.db2.gz JGLPWLVIZRQQLW-UHFFFAOYSA-N -1 1 331.350 -0.088 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2CCOC3(CCC3)C2)c(=O)[nH]c1=O ZINC001365134796 1132555772 /nfs/dbraw/zinc/55/57/72/1132555772.db2.gz MMJDILATSSKNJH-VIFPVBQESA-N -1 1 329.378 -0.134 20 0 EBADMM CNC(=O)[C@]1(C)CCN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)C1 ZINC001365394009 1132649841 /nfs/dbraw/zinc/64/98/41/1132649841.db2.gz DLRWURRIWJYLIN-GFCCVEGCSA-N -1 1 330.366 -0.657 20 0 EBADMM CNC(=O)[C@]1(C)CCN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)C1 ZINC001365394009 1132649848 /nfs/dbraw/zinc/64/98/48/1132649848.db2.gz DLRWURRIWJYLIN-GFCCVEGCSA-N -1 1 330.366 -0.657 20 0 EBADMM COC(=O)c1cccc(CS(=O)(=O)[N-][C@](C)(CO)C(=O)OC)c1 ZINC001365416510 1132660085 /nfs/dbraw/zinc/66/00/85/1132660085.db2.gz GHPFZKWQMWUFNV-CQSZACIVSA-N -1 1 345.373 -0.183 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)N2CC(NS(C)(=O)=O)C2)c1 ZINC001365446862 1132680842 /nfs/dbraw/zinc/68/08/42/1132680842.db2.gz VPPAMYPVNZVPLI-UHFFFAOYSA-N -1 1 336.391 -0.677 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H](C2CCC2)[C@@H]2CCOC2)c(=O)[nH]c1=O ZINC001365454682 1132686345 /nfs/dbraw/zinc/68/63/45/1132686345.db2.gz TVAHDRZCHZJSCW-ZYHUDNBSSA-N -1 1 343.405 -0.031 20 0 EBADMM Cn1cnnc1[C@H](CO)[N-]S(=O)(=O)c1cc(Cl)cnc1N ZINC001365458274 1132690316 /nfs/dbraw/zinc/69/03/16/1132690316.db2.gz VWDIQKGLGQQNGD-ZETCQYMHSA-N -1 1 332.773 -0.542 20 0 EBADMM COc1cc(S(=O)(=O)[N-][C@@H]2C(=O)NCC23CCOCC3)sn1 ZINC001365459190 1132691707 /nfs/dbraw/zinc/69/17/07/1132691707.db2.gz RIMROBOODZJFAY-SNVBAGLBSA-N -1 1 347.418 -0.275 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC(=O)c1cnn(C)c1 ZINC001365468159 1132697504 /nfs/dbraw/zinc/69/75/04/1132697504.db2.gz PNONEZWTLNCZEV-UHFFFAOYSA-N -1 1 327.322 -0.909 20 0 EBADMM Cc1noc(C2([N-]S(=O)(=O)CCS(=O)(=O)N(C)C)CC2)n1 ZINC001365469349 1132698535 /nfs/dbraw/zinc/69/85/35/1132698535.db2.gz BDYHSSXCLUJQKE-UHFFFAOYSA-N -1 1 338.411 -0.822 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)NC(C)(C)CNS(C)(=O)=O)ccnc1-2 ZINC001365508892 1132706662 /nfs/dbraw/zinc/70/66/62/1132706662.db2.gz AFSWVSCYERKIIK-UHFFFAOYSA-N -1 1 340.409 -0.209 20 0 EBADMM COC[C@](C)(CC(=O)OC)[N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C ZINC001365673059 1132758216 /nfs/dbraw/zinc/75/82/16/1132758216.db2.gz LQLNTJKPOCFHFH-WVDJIFEKSA-N -1 1 345.443 -0.637 20 0 EBADMM CN(C)[S@@](C)(=O)=NS(=O)(=O)[N-][C@](C)(C(N)=O)c1ccccc1 ZINC001365680922 1132760564 /nfs/dbraw/zinc/76/05/64/1132760564.db2.gz XQHCIQNYOVBLLA-QKVFXAPYSA-N -1 1 348.450 -0.204 20 0 EBADMM C[C@@H](CS(C)(=O)=O)[N-]S(=O)(=O)c1nc[nH]c1Br ZINC001365682268 1132761170 /nfs/dbraw/zinc/76/11/70/1132761170.db2.gz LMOSVOKKOXELDS-YFKPBYRVSA-N -1 1 346.228 -0.116 20 0 EBADMM CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-]C1(C(=O)OC)CC1 ZINC001365682442 1132761303 /nfs/dbraw/zinc/76/13/03/1132761303.db2.gz QHNSZSJKQCFFJR-UHFFFAOYSA-N -1 1 331.350 -0.121 20 0 EBADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)[C@@H]1COC[C@H]1O)C1CCOCC1 ZINC001365697284 1132766655 /nfs/dbraw/zinc/76/66/55/1132766655.db2.gz LLEUBINPCDYJIP-UTUOFQBUSA-N -1 1 337.394 -0.976 20 0 EBADMM COC(=O)[C@@H]1OCC[C@@H]1[N-]S(=O)(=O)Cc1noc(C2CC2)n1 ZINC001365720102 1132775424 /nfs/dbraw/zinc/77/54/24/1132775424.db2.gz ASOWARVDZRDFHE-WCBMZHEXSA-N -1 1 331.350 -0.303 20 0 EBADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]CCn1cnccc1=O ZINC001365725471 1132777691 /nfs/dbraw/zinc/77/76/91/1132777691.db2.gz NTBLYHXTEYYEEZ-FQEVSTJZSA-N -1 1 336.439 -0.026 20 0 EBADMM CC[C@@H](C)NC(=O)CC[N-]S(=O)(=O)c1n[nH]cc1C(=O)OC ZINC001365735980 1132784384 /nfs/dbraw/zinc/78/43/84/1132784384.db2.gz CNMBQPANVAGMHA-MRVPVSSYSA-N -1 1 332.382 -0.221 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)CCCn3cncn3)C2)nc1=O ZINC001365948028 1132906128 /nfs/dbraw/zinc/90/61/28/1132906128.db2.gz GMXVNNFTGXACGL-LBPRGKRZSA-N -1 1 348.411 -0.739 20 0 EBADMM CCN1CCOC[C@H]1C(=O)NC[C@@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001366045155 1132979434 /nfs/dbraw/zinc/97/94/34/1132979434.db2.gz QTUBDPTZVLDBKV-NEPJUHHUSA-N -1 1 338.412 -0.785 20 0 EBADMM Cc1nnc(CC(=O)NC[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)o1 ZINC001366167641 1133036384 /nfs/dbraw/zinc/03/63/84/1133036384.db2.gz AJHUFFBUZTWIPF-NSHDSACASA-N -1 1 349.395 -0.629 20 0 EBADMM Cc1nccc(CCC(=O)NCCN(C)Cc2nc(=O)n(C)[n-]2)n1 ZINC001366545262 1133178791 /nfs/dbraw/zinc/17/87/91/1133178791.db2.gz LDTMHISVYOHFGI-UHFFFAOYSA-N -1 1 333.396 -0.612 20 0 EBADMM CN(CCNC(=O)CCc1ccc(=O)[nH]c1)Cc1nc(=O)n(C)[n-]1 ZINC001366563878 1133190336 /nfs/dbraw/zinc/19/03/36/1133190336.db2.gz JORRPODRZHBAKE-UHFFFAOYSA-N -1 1 334.380 -0.610 20 0 EBADMM CCN(CCNC(=O)[C@H]1CCn2cncc2C1)Cc1nc(=O)n(C)[n-]1 ZINC001366620639 1133214385 /nfs/dbraw/zinc/21/43/85/1133214385.db2.gz KLXVLIYGZJCCTR-LBPRGKRZSA-N -1 1 347.423 -0.494 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCN(C)c1cc(F)ncn1 ZINC001366805834 1133301863 /nfs/dbraw/zinc/30/18/63/1133301863.db2.gz CZSXILFPWILPOG-UHFFFAOYSA-N -1 1 338.299 -0.308 20 0 EBADMM CCO[C@@H](C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)C(C)C ZINC001367015051 1133427351 /nfs/dbraw/zinc/42/73/51/1133427351.db2.gz YHXJZGYWXZTZGR-WCQYABFASA-N -1 1 325.413 -0.140 20 0 EBADMM CN1C[C@H](C(=O)NC2(CNCc3n[nH]c(=O)[n-]3)CCCC2)NC1=O ZINC001367159517 1133490822 /nfs/dbraw/zinc/49/08/22/1133490822.db2.gz SAFVAAWVNCIYIA-SECBINFHSA-N -1 1 337.384 -0.948 20 0 EBADMM C[C@@](CNCc1n[nH]c(=O)[n-]1)(NC(=O)[C@@H]1COCCO1)C1CC1 ZINC001367166421 1133491704 /nfs/dbraw/zinc/49/17/04/1133491704.db2.gz FETOPQIRKXBGRN-HZMBPMFUSA-N -1 1 325.369 -0.700 20 0 EBADMM CC[C@H](C(=O)N(C)[C@H](C)CNCc1n[nH]c(=O)[n-]1)N1CCCC1=O ZINC001367396996 1133578010 /nfs/dbraw/zinc/57/80/10/1133578010.db2.gz CKRXDKRDTRPBEX-GHMZBOCLSA-N -1 1 338.412 -0.152 20 0 EBADMM CC(=O)N[C@@](C)(C(=O)N(C)[C@H](C)CNCc1n[nH]c(=O)[n-]1)C(C)C ZINC001367410183 1133583343 /nfs/dbraw/zinc/58/33/43/1133583343.db2.gz HJHWAOORINCTOE-MEBBXXQBSA-N -1 1 340.428 -0.002 20 0 EBADMM COC(=O)NCC(=O)N1CCC[C@@H](C)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001367443545 1133590815 /nfs/dbraw/zinc/59/08/15/1133590815.db2.gz HWTMNROYFROUJC-ZJUUUORDSA-N -1 1 340.384 -0.417 20 0 EBADMM COc1ccnc(C(=O)N(C)CCN(C)Cc2nc(=O)n(C)[n-]2)c1 ZINC001367533765 1133617434 /nfs/dbraw/zinc/61/74/34/1133617434.db2.gz HGHWTSBYQLSAQT-UHFFFAOYSA-N -1 1 334.380 -0.284 20 0 EBADMM CC(=O)N[C@H](CC(C)C)C(=O)N[C@@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001367767015 1133777520 /nfs/dbraw/zinc/77/75/20/1133777520.db2.gz YVECTDSQDMZTFB-GXSJLCMTSA-N -1 1 326.401 -0.345 20 0 EBADMM COc1cc(C(=O)N[C@H](C)CN(C)Cc2nc(=O)n(C)[n-]2)ccn1 ZINC001367810958 1133795026 /nfs/dbraw/zinc/79/50/26/1133795026.db2.gz ADKSZUCLPNLIJZ-SNVBAGLBSA-N -1 1 334.380 -0.238 20 0 EBADMM C[C@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)C[C@H](C)n1cccn1 ZINC001367846932 1133810415 /nfs/dbraw/zinc/81/04/15/1133810415.db2.gz UBNJYBQDLRUTEY-NEPJUHHUSA-N -1 1 335.412 -0.107 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)CCc2ccncn2)nc1=O ZINC001367952722 1133860074 /nfs/dbraw/zinc/86/00/74/1133860074.db2.gz QHHVDSQJLAEZLG-GFCCVEGCSA-N -1 1 331.380 -0.778 20 0 EBADMM C[C@@H](Cn1cncn1)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001368000437 1133886798 /nfs/dbraw/zinc/88/67/98/1133886798.db2.gz PSJMNCLAWFYOHH-VIFPVBQESA-N -1 1 333.352 -0.266 20 0 EBADMM Cc1cc(C)n(CCC(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001368081193 1133929377 /nfs/dbraw/zinc/92/93/77/1133929377.db2.gz XIUYJUUFJHFMFF-UHFFFAOYSA-N -1 1 347.423 -0.345 20 0 EBADMM CCO[C@H]1C[C@H]1C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCOCC1 ZINC001368222510 1133995801 /nfs/dbraw/zinc/99/58/01/1133995801.db2.gz JXWOSRSANIFJIR-MNOVXSKESA-N -1 1 339.396 -0.310 20 0 EBADMM CCC[C@H](C)CC(=O)NCC1(O)CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001368279808 1134094447 /nfs/dbraw/zinc/09/44/47/1134094447.db2.gz AOUMZJSUJJIOGJ-NSHDSACASA-N -1 1 325.413 -0.402 20 0 EBADMM Cc1ccsc1C(=O)NCC1(O)CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001368317699 1134140868 /nfs/dbraw/zinc/14/08/68/1134140868.db2.gz VSQPGICWTSOMEB-UHFFFAOYSA-N -1 1 337.405 -0.545 20 0 EBADMM CC(C)OCCN1CC[C@H]1CN(C)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001368402336 1134207058 /nfs/dbraw/zinc/20/70/58/1134207058.db2.gz YDGXRPXANVVEQZ-JTQLQIEISA-N -1 1 325.369 -0.146 20 0 EBADMM CCCn1cc(C(=O)NCC2(NCc3n[nH]c(=O)[n-]3)CCC2)nn1 ZINC001368490761 1134250804 /nfs/dbraw/zinc/25/08/04/1134250804.db2.gz RILXZEBDNRTZQW-UHFFFAOYSA-N -1 1 334.384 -0.046 20 0 EBADMM CC[C@@H](F)C(=O)N[C@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001368589877 1134311010 /nfs/dbraw/zinc/31/10/10/1134311010.db2.gz AWUKCLNRIDLSKV-NXEZZACHSA-N -1 1 327.360 -0.684 20 0 EBADMM C[C@@H](CCNC(=O)Cn1[n-]cc2c(=O)ncnc1-2)NCc1ccon1 ZINC001368741287 1134414728 /nfs/dbraw/zinc/41/47/28/1134414728.db2.gz OERFMKUAZUAKSK-JTQLQIEISA-N -1 1 345.363 -0.256 20 0 EBADMM COc1c(C(=O)N[C@@H](C)[C@@H](C)NCc2n[nH]c(=O)[n-]2)c(C)nn1C ZINC001368863147 1134468627 /nfs/dbraw/zinc/46/86/27/1134468627.db2.gz AFBHYDBILMHGKB-SFYZADRCSA-N -1 1 337.384 -0.143 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@@H](C)N(C)Cc1cc(=O)n2[n-]ccc2n1 ZINC001368935195 1134488090 /nfs/dbraw/zinc/48/80/90/1134488090.db2.gz HMHPKCUOOFLVMB-LLVKDONJSA-N -1 1 348.407 -0.563 20 0 EBADMM C[C@@H](CNC(=O)[C@@H]1CCCOCC1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001368947610 1134492787 /nfs/dbraw/zinc/49/27/87/1134492787.db2.gz NPSYKEBMCOMAEO-NWDGAFQWSA-N -1 1 325.413 -0.138 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)N[C@H](C)CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001416863153 1134505485 /nfs/dbraw/zinc/50/54/85/1134505485.db2.gz OYXXQMLKNBKBPQ-OPRDCNLKSA-N -1 1 332.364 -0.302 20 0 EBADMM C[C@H](CNC(=O)[C@@H]1CCC(=O)N1)N(C)Cc1cc(=O)n2[n-]ccc2n1 ZINC001368993172 1134512198 /nfs/dbraw/zinc/51/21/98/1134512198.db2.gz HHCHIVDOJOEPJJ-PWSUYJOCSA-N -1 1 346.391 -0.762 20 0 EBADMM Cc1coc(C)c1C(=O)NC1(CCO)CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001369020281 1134525757 /nfs/dbraw/zinc/52/57/57/1134525757.db2.gz XUMSJMZTKDJACL-UHFFFAOYSA-N -1 1 349.391 -0.315 20 0 EBADMM CCC1(C(=O)NC2(CCO)CN(Cc3nc(=O)n(C)[n-]3)C2)CCC1 ZINC001369028795 1134535570 /nfs/dbraw/zinc/53/55/70/1134535570.db2.gz PTNSXDNQKALFRH-UHFFFAOYSA-N -1 1 337.424 -0.258 20 0 EBADMM CC1(C)CN(CC(=O)NC(N)=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001369123134 1134576826 /nfs/dbraw/zinc/57/68/26/1134576826.db2.gz MHBMCIZKRIFYHZ-SNVBAGLBSA-N -1 1 335.364 -0.578 20 0 EBADMM C[C@H](CN(C)C(=O)Cn1cc(C2CC2)nn1)NCc1n[nH]c(=O)[n-]1 ZINC001369253860 1134629974 /nfs/dbraw/zinc/62/99/74/1134629974.db2.gz ZYEBIHHSYWDHGJ-SECBINFHSA-N -1 1 334.384 -0.384 20 0 EBADMM Cn1[n-]c(CN[C@H](CO)CNC(=O)CC2CC(C)(C)C2)nc1=O ZINC001369352748 1134702767 /nfs/dbraw/zinc/70/27/67/1134702767.db2.gz LGVGJBZUPAYZRM-NSHDSACASA-N -1 1 325.413 -0.499 20 0 EBADMM Cn1[n-]c(CN[C@H](CO)CNC(=O)c2ccncc2Cl)nc1=O ZINC001369358295 1134709175 /nfs/dbraw/zinc/70/91/75/1134709175.db2.gz JGWAVNPFPSYXKK-QMMMGPOBSA-N -1 1 340.771 -0.963 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)COCc1ccnn1C ZINC001369393078 1134753135 /nfs/dbraw/zinc/75/31/35/1134753135.db2.gz FBPIERRXQBQVMG-GHMZBOCLSA-N -1 1 349.395 -0.460 20 0 EBADMM COC[C@@H](CNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)OC ZINC001369399870 1134759406 /nfs/dbraw/zinc/75/94/06/1134759406.db2.gz LLAGNBMUTKFDHP-SECBINFHSA-N -1 1 326.353 -0.285 20 0 EBADMM CCc1ccc(CC(=O)N(C)C[C@@H](O)CNCc2n[nH]c(=O)[n-]2)nc1 ZINC001369476869 1134837800 /nfs/dbraw/zinc/83/78/00/1134837800.db2.gz SWVBRTNACGZVOW-ZDUSSCGKSA-N -1 1 348.407 -0.381 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H](O)CN(C)C(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001369494625 1134870730 /nfs/dbraw/zinc/87/07/30/1134870730.db2.gz GBSYFMDRYQBFKW-NMKXLXIOSA-N -1 1 337.424 -0.594 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H](O)CN(C)C(=O)c1cccs1 ZINC001369498949 1134881245 /nfs/dbraw/zinc/88/12/45/1134881245.db2.gz VEPYEGKRVBWNMI-JTQLQIEISA-N -1 1 339.421 -0.265 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)CS(C)(=O)=O ZINC001369822675 1135034039 /nfs/dbraw/zinc/03/40/39/1135034039.db2.gz ARUSMTCKAABSEY-SECBINFHSA-N -1 1 329.378 -0.592 20 0 EBADMM CSCC(=O)N1CC(CCO)(NC(=O)c2ncccc2[O-])C1 ZINC001369985167 1135134807 /nfs/dbraw/zinc/13/48/07/1135134807.db2.gz UVNUJNQDPQJRPT-UHFFFAOYSA-N -1 1 325.390 -0.157 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)CCc3ccncc3)C2)nc1=O ZINC001370001436 1135162741 /nfs/dbraw/zinc/16/27/41/1135162741.db2.gz ZLHKVYRMLLHGCE-CYBMUJFWSA-N -1 1 330.392 -0.173 20 0 EBADMM CC(=O)N1CCC[C@@H]1C(=O)NC[C@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001370279398 1135281211 /nfs/dbraw/zinc/28/12/11/1135281211.db2.gz WJJIEZMPEMXXEN-NWDGAFQWSA-N -1 1 336.396 -0.494 20 0 EBADMM CN(CC(N)=O)C[C@H]1CCCN(C(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001370316066 1135300474 /nfs/dbraw/zinc/30/04/74/1135300474.db2.gz CQFNKYXPIRHPCH-LLVKDONJSA-N -1 1 346.391 -0.708 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)CN1CCCNC1=O ZINC001370475311 1135366663 /nfs/dbraw/zinc/36/66/63/1135366663.db2.gz SYXUOITUDUWZOY-NSHDSACASA-N -1 1 349.391 -0.221 20 0 EBADMM COCC(=O)N[C@@H](C)CN(C)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001370574620 1135415593 /nfs/dbraw/zinc/41/55/93/1135415593.db2.gz ZSWMWYLOJKMEDA-ZETCQYMHSA-N -1 1 328.325 -0.881 20 0 EBADMM CCc1c(C(=O)N[C@H](CO)CNC(=O)c2ncccc2[O-])cnn1C ZINC001370680851 1135489363 /nfs/dbraw/zinc/48/93/63/1135489363.db2.gz OQHHDVIFHXCXTN-JTQLQIEISA-N -1 1 347.375 -0.396 20 0 EBADMM O=C([N-][C@@H](CO)CNC(=O)c1ccnn1CC(F)(F)F)C(F)F ZINC001370732280 1135560239 /nfs/dbraw/zinc/56/02/39/1135560239.db2.gz NOVZURVINNVMDE-ZCFIWIBFSA-N -1 1 344.240 -0.083 20 0 EBADMM Cc1nn(C)cc1CC(=O)NC[C@H](CO)NC(=O)c1ncccc1[O-] ZINC001370738264 1135571966 /nfs/dbraw/zinc/57/19/66/1135571966.db2.gz ZUYMZRJHMXHSSK-GFCCVEGCSA-N -1 1 347.375 -0.721 20 0 EBADMM COC[C@H](OC)C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001370930663 1135698266 /nfs/dbraw/zinc/69/82/66/1135698266.db2.gz OYURMLNRQZEGIE-MNOVXSKESA-N -1 1 327.385 -0.358 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])[C@H](C)CNC(=O)c1nnn(C)n1 ZINC001371361976 1135896480 /nfs/dbraw/zinc/89/64/80/1135896480.db2.gz MEUMXQBWNZCOGR-SECBINFHSA-N -1 1 333.352 -0.409 20 0 EBADMM Cn1ccnc1COCC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001371516091 1135944139 /nfs/dbraw/zinc/94/41/39/1135944139.db2.gz ZWDSNQWZQMDFHX-JTQLQIEISA-N -1 1 335.368 -0.849 20 0 EBADMM CCOCC1(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)CC1 ZINC001371528579 1135953390 /nfs/dbraw/zinc/95/33/90/1135953390.db2.gz GSONVJGZACVBRH-YPMHNXCESA-N -1 1 349.387 -0.095 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCO[C@@](C)(CNC(C)=O)C1 ZINC001371906026 1136054061 /nfs/dbraw/zinc/05/40/61/1136054061.db2.gz SBSQCGRAXRWQNU-AWEZNQCLSA-N -1 1 340.336 -0.736 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)S(C)(=O)=O ZINC001372010822 1136085274 /nfs/dbraw/zinc/08/52/74/1136085274.db2.gz AGIMUGYFDBQSFE-NXEZZACHSA-N -1 1 341.389 -0.449 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001372063771 1136102981 /nfs/dbraw/zinc/10/29/81/1136102981.db2.gz VIJGMFPXSPXFBC-JQWIXIFHSA-N -1 1 334.376 -0.015 20 0 EBADMM CCN(CCNC(=O)c1snnc1C)Cc1nc(=O)n(C)[n-]1 ZINC001372276192 1136162464 /nfs/dbraw/zinc/16/24/64/1136162464.db2.gz XGPSMAYALWPVRI-UHFFFAOYSA-N -1 1 325.398 -0.480 20 0 EBADMM CCC(=O)NCC(=O)N[C@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001372377340 1136185094 /nfs/dbraw/zinc/18/50/94/1136185094.db2.gz FIXMOAIWFLOEHV-LLVKDONJSA-N -1 1 334.376 -0.062 20 0 EBADMM CCN(CCNC(=O)C[C@H](C)n1cccn1)Cc1nc(=O)n(C)[n-]1 ZINC001372449343 1136203794 /nfs/dbraw/zinc/20/37/94/1136203794.db2.gz RKWNHFMDPULICL-LBPRGKRZSA-N -1 1 335.412 -0.106 20 0 EBADMM Cc1ncc(CC(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)o1 ZINC001372521833 1136222373 /nfs/dbraw/zinc/22/23/73/1136222373.db2.gz LMZOCOYHJFOMAM-LLVKDONJSA-N -1 1 334.380 -0.272 20 0 EBADMM CCCN(C(=O)c1cn[nH]c1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001373092026 1136369767 /nfs/dbraw/zinc/36/97/67/1136369767.db2.gz NPKFOLVSCYRPBF-GFCCVEGCSA-N -1 1 333.396 -0.042 20 0 EBADMM O=C(C[C@H]1COC(=O)C1)NCC[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001373172731 1136389539 /nfs/dbraw/zinc/38/95/39/1136389539.db2.gz FRLGOOWTNOTTIE-GHMZBOCLSA-N -1 1 337.380 -0.208 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)CCNC(=O)c1ccccn1 ZINC001373350141 1136432822 /nfs/dbraw/zinc/43/28/22/1136432822.db2.gz VYRRMZCGONHGDR-UHFFFAOYSA-N -1 1 347.331 -0.207 20 0 EBADMM CCO[C@@H]1C[C@@H]1C(=O)NCCN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001373363123 1136435483 /nfs/dbraw/zinc/43/54/83/1136435483.db2.gz QOTJUSBIHAEKCP-GXSJLCMTSA-N -1 1 348.363 -0.969 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)CCCc3ccccn3)C2)nc1=O ZINC001373470255 1136466615 /nfs/dbraw/zinc/46/66/15/1136466615.db2.gz KBCWLWJGRPMZBZ-UHFFFAOYSA-N -1 1 330.392 -0.173 20 0 EBADMM CC[C@@H](F)C(=O)N(C)CCNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001373573980 1136500399 /nfs/dbraw/zinc/50/03/99/1136500399.db2.gz MLTGMEQJSQMMIM-SSDOTTSWSA-N -1 1 330.316 -0.167 20 0 EBADMM CC[C@H](CNC(=O)CS(=O)(=O)C(C)(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001373821685 1136567161 /nfs/dbraw/zinc/56/71/61/1136567161.db2.gz OTSWTXSQVARBKX-SECBINFHSA-N -1 1 347.441 -0.292 20 0 EBADMM Cc1cnc(CNC[C@@H](C)N(C)C(=O)c2n[nH]c(=O)[n-]c2=O)cn1 ZINC001374253427 1136659117 /nfs/dbraw/zinc/65/91/17/1136659117.db2.gz JXBFGOONRPIXCH-SECBINFHSA-N -1 1 333.352 -0.368 20 0 EBADMM CN(CCN(C)C(=O)C[C@H]1CCCC(=O)N1)Cc1nc(=O)n(C)[n-]1 ZINC001374517954 1136707509 /nfs/dbraw/zinc/70/75/09/1136707509.db2.gz OXYDBRJIZSZZRT-LLVKDONJSA-N -1 1 338.412 -0.943 20 0 EBADMM CC(C)(C(=O)NC[C@H](O)CNCc1n[nH]c(=O)[n-]1)C1CCOCC1 ZINC001374622878 1136755478 /nfs/dbraw/zinc/75/54/78/1136755478.db2.gz AQSQHAQYRTTZJP-LLVKDONJSA-N -1 1 341.412 -0.470 20 0 EBADMM Cc1cncc(CCC(=O)NC[C@@H](O)CNCc2n[nH]c(=O)[n-]2)c1 ZINC001374626868 1136758506 /nfs/dbraw/zinc/75/85/06/1136758506.db2.gz QXIVIXNRLRCYTF-LBPRGKRZSA-N -1 1 334.380 -0.587 20 0 EBADMM O=C(NC[C@H](O)CNCc1n[nH]c(=O)[n-]1)c1ccc2c(c1)COC2 ZINC001374659422 1136782154 /nfs/dbraw/zinc/78/21/54/1136782154.db2.gz WWRLMSJZBIUPAO-GFCCVEGCSA-N -1 1 333.348 -0.579 20 0 EBADMM O=C(NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1)c1ccc2c(c1)CCC2 ZINC001374671860 1136790930 /nfs/dbraw/zinc/79/09/30/1136790930.db2.gz CLAHUFPBNXLCTM-ZDUSSCGKSA-N -1 1 331.376 -0.121 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CCc2nncn2CC1 ZINC001374791032 1136834309 /nfs/dbraw/zinc/83/43/09/1136834309.db2.gz ONMVFYGKGOBMQM-NXEZZACHSA-N -1 1 334.384 -0.651 20 0 EBADMM CC(=O)NC1CCC(C(=O)N[C@H](C)CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001374853446 1136853955 /nfs/dbraw/zinc/85/39/55/1136853955.db2.gz RTTUXXKHEQUKJW-OIKLOGQESA-N -1 1 338.412 -0.201 20 0 EBADMM COc1cccc(C[C@@H](C(=O)NCc2nn[n-]n2)n2nnnc2C)c1 ZINC000600494724 376419053 /nfs/dbraw/zinc/41/90/53/376419053.db2.gz JXHBCHDUEMZHHG-LBPRGKRZSA-N -1 1 343.351 -0.397 20 0 EBADMM Cc1ccc(S(=O)(=O)N(C)CC(=O)NCc2nn[n-]n2)cc1C ZINC000600496838 376422114 /nfs/dbraw/zinc/42/21/14/376422114.db2.gz GZXVGLLFSACGSV-UHFFFAOYSA-N -1 1 338.393 -0.247 20 0 EBADMM O=C(NCc1nn[n-]n1)[C@@H]1CC(=O)N(c2ccc3c(c2)OCCO3)C1 ZINC000600500926 376423770 /nfs/dbraw/zinc/42/37/70/376423770.db2.gz GHIPLFKEGXQSOS-SECBINFHSA-N -1 1 344.331 -0.360 20 0 EBADMM COc1cccc(S([O-])=CC(=O)NCCN2CC[C@H](O)C2)c1 ZINC000600808110 376504098 /nfs/dbraw/zinc/50/40/98/376504098.db2.gz IHRPJFIFZKFSFL-AMXDTQDGSA-N -1 1 326.418 -0.014 20 0 EBADMM COC(=O)CCNC(=O)CCNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000600693084 376482772 /nfs/dbraw/zinc/48/27/72/376482772.db2.gz DGSMXXJYWJXNNH-UHFFFAOYSA-N -1 1 346.343 -0.278 20 0 EBADMM O=C([C@H]1CC(=O)N(C2CCCC2)C1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370863661 376592144 /nfs/dbraw/zinc/59/21/44/376592144.db2.gz XKERDNKAHOCKBS-JQWIXIFHSA-N -1 1 334.380 -0.109 20 0 EBADMM CCOC(=O)N1CCC[C@H](C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)C1 ZINC000370859332 376592786 /nfs/dbraw/zinc/59/27/86/376592786.db2.gz GGKRUXRLDYXVKH-WDEREUQCSA-N -1 1 338.368 -0.032 20 0 EBADMM O=C([C@@H]1CC(=O)N(CC(F)(F)F)C1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370863564 376592984 /nfs/dbraw/zinc/59/29/84/376592984.db2.gz WSIOPIYEVGVDDL-HTQZYQBOSA-N -1 1 348.285 -0.490 20 0 EBADMM C[C@H](NC(=O)c1cccs1)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370861677 376593758 /nfs/dbraw/zinc/59/37/58/376593758.db2.gz OCRGFZZPGNQBFB-IUCAKERBSA-N -1 1 336.377 -0.020 20 0 EBADMM C[C@@]1(C2CC2)NC(=O)N(CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)C1=O ZINC000560316625 376674315 /nfs/dbraw/zinc/67/43/15/376674315.db2.gz KSZOWRWEDQAJCL-PSLIRLAXSA-N -1 1 347.379 -0.374 20 0 EBADMM CCOC(=O)C[C@@H](CO)NC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000590392173 377486371 /nfs/dbraw/zinc/48/63/71/377486371.db2.gz RVBNAKCNXRKCMA-VIFPVBQESA-N -1 1 334.350 -0.768 20 0 EBADMM COC(=O)[C@@H](O)C[N-]S(=O)(=O)c1ncccc1Br ZINC000594768026 377952757 /nfs/dbraw/zinc/95/27/57/377952757.db2.gz ZMVMYLGSIQSGQF-ZETCQYMHSA-N -1 1 339.167 -0.344 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@@H]2CN(C)CCN2C)cc1C ZINC000595310720 377995063 /nfs/dbraw/zinc/99/50/63/377995063.db2.gz DQYPEBKFMBFYRV-LLVKDONJSA-N -1 1 345.421 -0.101 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@H]2CN(C)CCN2C)cc1C ZINC000595310722 377995080 /nfs/dbraw/zinc/99/50/80/377995080.db2.gz DQYPEBKFMBFYRV-NSHDSACASA-N -1 1 345.421 -0.101 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H]2CCS(=O)(=O)C2)cc1C ZINC000595305139 377995698 /nfs/dbraw/zinc/99/56/98/377995698.db2.gz WOHFSQJYXPOFPV-QMMMGPOBSA-N -1 1 337.375 -0.160 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-]CCNC(=O)N(C)C)cc1C ZINC000595320127 377996736 /nfs/dbraw/zinc/99/67/36/377996736.db2.gz CWWRUDSPBBQPCA-UHFFFAOYSA-N -1 1 333.366 -0.076 20 0 EBADMM COC[C@@]1(C(=O)OC)CCCN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000597968720 378146440 /nfs/dbraw/zinc/14/64/40/378146440.db2.gz JMQMPGILQNLYIE-CQSZACIVSA-N -1 1 341.320 -0.309 20 0 EBADMM COC(=O)C[C@@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)C(=O)OC ZINC000027970402 378107558 /nfs/dbraw/zinc/10/75/58/378107558.db2.gz XPUIGPXQFTXJQY-ZCFIWIBFSA-N -1 1 339.757 -0.544 20 0 EBADMM O=C(NCc1nn[n-]n1)c1cccc(S(=O)(=O)NCC2CC2)c1 ZINC000599334124 378231426 /nfs/dbraw/zinc/23/14/26/378231426.db2.gz FXLPWRHABDOBLX-UHFFFAOYSA-N -1 1 336.377 -0.182 20 0 EBADMM C[C@H]1C[C@]2(CC(C)(C)C1)NC(=O)N(CC(=O)NCc1nn[n-]n1)C2=O ZINC000599334969 378232247 /nfs/dbraw/zinc/23/22/47/378232247.db2.gz VVFUUWPNUDZWOJ-RFAUZJTJSA-N -1 1 349.395 -0.047 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCOCC(=O)OC ZINC000599447160 378241434 /nfs/dbraw/zinc/24/14/34/378241434.db2.gz SBQLBZHLDRBIIP-UHFFFAOYSA-N -1 1 335.338 -0.946 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1cccc(Cn2cccn2)c1 ZINC000606117958 378532219 /nfs/dbraw/zinc/53/22/19/378532219.db2.gz YATGBIRGGLAUMD-UHFFFAOYSA-N -1 1 326.320 -0.301 20 0 EBADMM CCS(=O)(=O)NCC[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000338212592 378748448 /nfs/dbraw/zinc/74/84/48/378748448.db2.gz PANSJPWLOBVIJC-UHFFFAOYSA-N -1 1 340.379 -0.716 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@@H](n2cncn2)C1 ZINC000338252016 378748754 /nfs/dbraw/zinc/74/87/54/378748754.db2.gz QEQVEUYHXCWNFO-LLVKDONJSA-N -1 1 330.348 -0.028 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]CCCCS(N)(=O)=O)c1 ZINC000339021535 378842299 /nfs/dbraw/zinc/84/22/99/378842299.db2.gz BETQLAOEDZUPPP-UHFFFAOYSA-N -1 1 340.379 -0.587 20 0 EBADMM O=C(Cn1nc2ccccn2c1=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000339192457 378861288 /nfs/dbraw/zinc/86/12/88/378861288.db2.gz FTQOQXVRWKQTBN-UHFFFAOYSA-N -1 1 344.331 -0.009 20 0 EBADMM COC(=O)c1cc(NC(=O)C(=O)NCc2nn[n-]n2)c(C)cc1C ZINC000615998427 379101340 /nfs/dbraw/zinc/10/13/40/379101340.db2.gz GZJHJZATGMALAC-UHFFFAOYSA-N -1 1 332.320 -0.142 20 0 EBADMM COC(=O)C1(CS(=O)(=O)[N-][C@]2(C(N)=O)CCOC2)CCCCC1 ZINC000571298169 379124441 /nfs/dbraw/zinc/12/44/41/379124441.db2.gz SQXURAYNYKLFNI-CQSZACIVSA-N -1 1 348.421 -0.326 20 0 EBADMM CN(C)C(=O)N[C@H]1CCCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000456924833 379173814 /nfs/dbraw/zinc/17/38/14/379173814.db2.gz PVATZADHQRALOJ-NSHDSACASA-N -1 1 349.391 -0.221 20 0 EBADMM COC[C@](C)([N-]S(=O)(=O)c1ccc(C(=O)OC)o1)C(=O)OC ZINC000268254095 379288555 /nfs/dbraw/zinc/28/85/55/379288555.db2.gz PFNGUWJOKXCMRQ-LBPRGKRZSA-N -1 1 335.334 -0.077 20 0 EBADMM CO[C@H]1CCCC[C@H]1[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000266155895 379261463 /nfs/dbraw/zinc/26/14/63/379261463.db2.gz GLCWEGSYVHPJIC-ZJUUUORDSA-N -1 1 331.394 -0.680 20 0 EBADMM CCC[C@@H](NC(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)c1nn[n-]n1 ZINC000268180134 379282797 /nfs/dbraw/zinc/28/27/97/379282797.db2.gz LFHMPNFKSLHHRK-SECBINFHSA-N -1 1 344.335 -0.182 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CC[C@@H](C(N)=O)O2)o1 ZINC000268347100 379296104 /nfs/dbraw/zinc/29/61/04/379296104.db2.gz MELWBQHMLLUDOU-YUMQZZPRSA-N -1 1 332.334 -0.623 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)CN2C[C@@H](C)O[C@@H](C(N)=O)C2)cc1 ZINC000268471919 379306538 /nfs/dbraw/zinc/30/65/38/379306538.db2.gz QBSCARMLEQRMQM-ZWNOBZJWSA-N -1 1 335.360 -0.474 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@@H]2CC(=O)N[C@@H]3CCCC[C@@H]32)n1 ZINC000621792365 379351499 /nfs/dbraw/zinc/35/14/99/379351499.db2.gz BZLKZAYJGJEHRT-IWSPIJDZSA-N -1 1 341.393 -0.158 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)[C@@H]2CC(=O)N[C@@H]3CCCC[C@@H]32)[n-]1 ZINC000621792365 379351510 /nfs/dbraw/zinc/35/15/10/379351510.db2.gz BZLKZAYJGJEHRT-IWSPIJDZSA-N -1 1 341.393 -0.158 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)CCN2CCOCC2)co1 ZINC000270132491 379432515 /nfs/dbraw/zinc/43/25/15/379432515.db2.gz YBKGSPRLOFXHFE-UHFFFAOYSA-N -1 1 331.394 -0.408 20 0 EBADMM CCS(=O)(=O)CC[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000269889766 379415253 /nfs/dbraw/zinc/41/52/53/379415253.db2.gz BGHBELQHHMWADK-UHFFFAOYSA-N -1 1 325.364 -0.221 20 0 EBADMM O=C(CN1CCCS1(=O)=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000622610033 379452537 /nfs/dbraw/zinc/45/25/37/379452537.db2.gz NZWDUMZKZPANDM-LBPRGKRZSA-N -1 1 336.377 -0.559 20 0 EBADMM Cn1[n-]c(C(=O)N[C@@H]2CCCN(CCN3CCOCC3)C2)cc1=O ZINC000641667920 379462071 /nfs/dbraw/zinc/46/20/71/379462071.db2.gz BADRTCRKVOZGJT-CYBMUJFWSA-N -1 1 337.424 -0.348 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H](CO)C(=O)OCC)o1 ZINC000351955977 379490526 /nfs/dbraw/zinc/49/05/26/379490526.db2.gz FZEORLMAEZSCRS-QMMMGPOBSA-N -1 1 335.334 -0.341 20 0 EBADMM C[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)[C@@H]1CCCCO1 ZINC000272102912 379558582 /nfs/dbraw/zinc/55/85/82/379558582.db2.gz XETSMPOUWJQBDH-UWVGGRQHSA-N -1 1 331.394 -0.680 20 0 EBADMM C[C@H](CN(C)C(=O)CN1C(=O)N[C@@](C)(C2CC2)C1=O)c1nn[n-]n1 ZINC000273554802 379651237 /nfs/dbraw/zinc/65/12/37/379651237.db2.gz WHDWKWFGHVRADI-CLAHSXSESA-N -1 1 335.368 -0.518 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCO[C@]3(CCOC3)C2)co1 ZINC000274397787 379688600 /nfs/dbraw/zinc/68/86/00/379688600.db2.gz DJTJHESYGZPKQB-CYBMUJFWSA-N -1 1 330.362 -0.181 20 0 EBADMM CC(C)(NC(=O)C=Cc1ccc(S(N)(=O)=O)cc1)c1nn[n-]n1 ZINC000493770844 379689797 /nfs/dbraw/zinc/68/97/97/379689797.db2.gz KWWMCMOUWUIEHN-VMPITWQZSA-N -1 1 336.377 -0.088 20 0 EBADMM COC(=O)CC1(CS(=O)(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])CC1 ZINC000274886255 379719502 /nfs/dbraw/zinc/71/95/02/379719502.db2.gz DXRFNVMOHZRVPN-JTQLQIEISA-N -1 1 345.377 -0.332 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1C[C@H](C)O[C@H](CO)C1 ZINC000191868025 379796614 /nfs/dbraw/zinc/79/66/14/379796614.db2.gz BBXCGJVEBSXFDY-CABZTGNLSA-N -1 1 334.376 -0.210 20 0 EBADMM Cc1cc(S(=O)(=O)[N-]CCN2CCCS2(=O)=O)sn1 ZINC000631844164 379764872 /nfs/dbraw/zinc/76/48/72/379764872.db2.gz FTZFZPNPGQYUEP-UHFFFAOYSA-N -1 1 325.437 -0.235 20 0 EBADMM COCCN1CN(S(=O)(=O)c2cc(OC)ccc2[O-])CC1=O ZINC000632313708 379843490 /nfs/dbraw/zinc/84/34/90/379843490.db2.gz NHNXEHVJDWJGPW-UHFFFAOYSA-N -1 1 330.362 -0.162 20 0 EBADMM CO[C@@H]1CCC[C@H]1S(=O)(=O)[N-][C@@H]1CS(=O)(=O)C[C@@H]1OC ZINC000632552037 379880151 /nfs/dbraw/zinc/88/01/51/379880151.db2.gz LLQWXKGLTBHZGX-CHWFTXMASA-N -1 1 327.424 -0.715 20 0 EBADMM CO[C@@H]1CCC[C@H]1S(=O)(=O)[N-][C@H]1CS(=O)(=O)C[C@H]1OC ZINC000632552040 379880612 /nfs/dbraw/zinc/88/06/12/379880612.db2.gz LLQWXKGLTBHZGX-LNFKQOIKSA-N -1 1 327.424 -0.715 20 0 EBADMM CCOC1CC(O)(CNC(=O)c2ccc(S(=O)(=O)[N-]C)o2)C1 ZINC000277838065 379907057 /nfs/dbraw/zinc/90/70/57/379907057.db2.gz WQIVVANWFJMSJP-UHFFFAOYSA-N -1 1 332.378 -0.153 20 0 EBADMM CNS(=O)(=O)c1cc(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)co1 ZINC000538226994 379966361 /nfs/dbraw/zinc/96/63/61/379966361.db2.gz CJJZUZNMXTVORV-QMMMGPOBSA-N -1 1 340.365 -0.279 20 0 EBADMM CN(CC(=O)N1CCC[C@H](c2nn[n-]n2)C1)c1ncnc2nc[nH]c21 ZINC000538223847 379967047 /nfs/dbraw/zinc/96/70/47/379967047.db2.gz DCIBIELLVLCCEV-VIFPVBQESA-N -1 1 342.367 -0.292 20 0 EBADMM CC(C)C(=O)N[C@@H](CO)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614473080 379933441 /nfs/dbraw/zinc/93/34/41/379933441.db2.gz GZVIOJLWWVYANB-JTQLQIEISA-N -1 1 326.353 -0.386 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@](C)(C(=O)NC)C2)co1 ZINC000280860856 379994982 /nfs/dbraw/zinc/99/49/82/379994982.db2.gz XXKFZUUWVZYUBQ-ZDUSSCGKSA-N -1 1 329.378 -0.214 20 0 EBADMM CCOC(=O)c1cc(NC(=O)c2cc(S(N)(=O)=O)cn2C)n[nH]1 ZINC000540975914 380020511 /nfs/dbraw/zinc/02/05/11/380020511.db2.gz PIHCOLJVMCUTOQ-UHFFFAOYSA-N -1 1 341.349 -0.175 20 0 EBADMM CCOC(=O)[C@@H](CCOC)NC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000281532073 380027536 /nfs/dbraw/zinc/02/75/36/380027536.db2.gz JGOOLBXGKGYJAW-SNVBAGLBSA-N -1 1 348.377 -0.114 20 0 EBADMM CC(C)(NC(=O)CCN1C(=O)NC2(CCCC2)C1=O)c1nn[n-]n1 ZINC000354760726 380093977 /nfs/dbraw/zinc/09/39/77/380093977.db2.gz PDFBUSGSOVWVTG-UHFFFAOYSA-N -1 1 335.368 -0.194 20 0 EBADMM CC(C)[C@H](C(=O)[O-])C(=O)N1CCN(C(=O)N2CCNCC2)CC1 ZINC000630575276 380103730 /nfs/dbraw/zinc/10/37/30/380103730.db2.gz OCUGIBKKHHIWRX-LBPRGKRZSA-N -1 1 326.397 -0.487 20 0 EBADMM CN1CCN(C(=O)CCNC(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000283134174 380105725 /nfs/dbraw/zinc/10/57/25/380105725.db2.gz ROKPFCLDIDSTQE-UHFFFAOYSA-N -1 1 348.432 -0.088 20 0 EBADMM COC(=O)CN(CC(=O)OC)C(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000283155780 380107636 /nfs/dbraw/zinc/10/76/36/380107636.db2.gz SYJHUQUPEGYBAN-UHFFFAOYSA-N -1 1 338.345 -0.194 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCc2cnn(CCOC)c2)o1 ZINC000283286544 380112861 /nfs/dbraw/zinc/11/28/61/380112861.db2.gz MKNBEGAQVBLRHR-UHFFFAOYSA-N -1 1 342.377 -0.039 20 0 EBADMM Cc1cccc(NC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)n1 ZINC000355382001 380193422 /nfs/dbraw/zinc/19/34/22/380193422.db2.gz IHHKPWJWAFKKSM-UHFFFAOYSA-N -1 1 338.345 -0.001 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC(F)(F)[C@@H](CO)C1 ZINC000360847579 380267843 /nfs/dbraw/zinc/26/78/43/380267843.db2.gz SVHCOOVTQWMHAG-SECBINFHSA-N -1 1 329.303 -0.007 20 0 EBADMM O=C(CN1C(=O)[C@@H]2CC=CC[C@@H]2C1=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000551735762 380269621 /nfs/dbraw/zinc/26/96/21/380269621.db2.gz DXNHNWCYOLEUSG-SDDRHHMPSA-N -1 1 344.375 -0.143 20 0 EBADMM CCC[C@]1([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCOC1 ZINC000285199950 380230440 /nfs/dbraw/zinc/23/04/40/380230440.db2.gz CYXDRXMNQNISMO-ZDUSSCGKSA-N -1 1 331.394 -0.679 20 0 EBADMM COCC(C)(C)CCC[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000286854861 380325907 /nfs/dbraw/zinc/32/59/07/380325907.db2.gz XNSRPZOZLIZRJL-UHFFFAOYSA-N -1 1 347.437 -0.185 20 0 EBADMM O=C(CCc1nn[n-]n1)N1CSC[C@@H]1C(=O)N1CCSCC1 ZINC000630860521 380285343 /nfs/dbraw/zinc/28/53/43/380285343.db2.gz NDGPHTOLOGVPNG-SECBINFHSA-N -1 1 342.450 -0.391 20 0 EBADMM CCCCn1nnnc1CS(=O)(=O)c1nc(C(=O)OC)c[n-]1 ZINC000552020145 380286227 /nfs/dbraw/zinc/28/62/27/380286227.db2.gz REGCBZMBPLZZEK-UHFFFAOYSA-N -1 1 328.354 -0.043 20 0 EBADMM COC(=O)C[C@@H]1CCCN1C(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000288841866 380423241 /nfs/dbraw/zinc/42/32/41/380423241.db2.gz PJLJHQQIKOWFAT-FZUCCHQLSA-N -1 1 345.359 -0.166 20 0 EBADMM COc1ccc(C(=O)Nc2nn[nH]c2C(N)=O)cc1-n1cnnn1 ZINC000362425242 380401987 /nfs/dbraw/zinc/40/19/87/380401987.db2.gz YUXXQFGSJGZPQH-UHFFFAOYSA-N -1 1 329.280 -0.860 20 0 EBADMM Cn1[n-]cc2/c(=N\C(=O)C(=O)N3CC(=O)N(CC4CC4)C3)ccnc1-2 ZINC000288461897 380409676 /nfs/dbraw/zinc/40/96/76/380409676.db2.gz QHNSBSSZXAOYHM-UNOMPAQXSA-N -1 1 342.359 -0.681 20 0 EBADMM CS(=O)(=O)CCS(=O)(=O)[N-][C@H]1COc2ccccc2[C@H]1O ZINC000288498296 380409903 /nfs/dbraw/zinc/40/99/03/380409903.db2.gz WYJMPBAQVGJXJC-CMPLNLGQSA-N -1 1 335.403 -0.555 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H]3CCC[C@@H]3C2)o1 ZINC000362589156 380413920 /nfs/dbraw/zinc/41/39/20/380413920.db2.gz OGPQIQXVVNGCIP-AOOOYVTPSA-N -1 1 341.389 -0.085 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC2(O)CCCCC2)c(=O)n(C)c1=O ZINC000269759455 380523163 /nfs/dbraw/zinc/52/31/63/380523163.db2.gz CFTNBNXPVKHNMX-UHFFFAOYSA-N -1 1 331.394 -0.943 20 0 EBADMM CS(=O)(=O)[N-]c1nnc(NC(=O)[C@@H]2C[N@H+]3CCN2CCC3)s1 ZINC000535001759 380597551 /nfs/dbraw/zinc/59/75/51/380597551.db2.gz FRURUSYYCIBJLJ-QMMMGPOBSA-N -1 1 346.438 -0.762 20 0 EBADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)C[C@H](C)O1 ZINC000535051750 380602109 /nfs/dbraw/zinc/60/21/09/380602109.db2.gz OEKMPOLSJBBRCD-ILWJIGKKSA-N -1 1 331.394 -0.682 20 0 EBADMM O=C(CNC(=O)c1cccc(F)c1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000371701156 380670163 /nfs/dbraw/zinc/67/01/63/380670163.db2.gz RZAWCALTRUPAEE-NSHDSACASA-N -1 1 334.311 -0.331 20 0 EBADMM COC(=O)Cn1ccc([N-]S(=O)(=O)N2C[C@@H](C)OC[C@H]2C)n1 ZINC000340976309 380659336 /nfs/dbraw/zinc/65/93/36/380659336.db2.gz NJIQLSZUDVLKTG-NXEZZACHSA-N -1 1 332.382 -0.178 20 0 EBADMM COC(=O)Cn1ccc([N-]S(=O)(=O)N2C[C@H](C)OC[C@@H]2C)n1 ZINC000340976311 380659598 /nfs/dbraw/zinc/65/95/98/380659598.db2.gz NJIQLSZUDVLKTG-UWVGGRQHSA-N -1 1 332.382 -0.178 20 0 EBADMM COC(=O)Cn1ccc([N-]S(=O)(=O)N2C[C@H](C)OC[C@H]2C)n1 ZINC000340976315 380660199 /nfs/dbraw/zinc/66/01/99/380660199.db2.gz NJIQLSZUDVLKTG-ZJUUUORDSA-N -1 1 332.382 -0.178 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCc1cnccn1 ZINC000341210148 380762490 /nfs/dbraw/zinc/76/24/90/380762490.db2.gz DYYHQSKYXWHIJF-UHFFFAOYSA-N -1 1 325.350 -0.103 20 0 EBADMM CC[C@@](COC)([N-]S(=O)(=O)N1CCOC(C)(C)C1)C(=O)OC ZINC000416517831 380783265 /nfs/dbraw/zinc/78/32/65/380783265.db2.gz SLSUIPFXRPOYEP-ZDUSSCGKSA-N -1 1 338.426 -0.100 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]c2ccc3[nH]ncc3c2)c(=O)n(C)c1=O ZINC000192890734 380809798 /nfs/dbraw/zinc/80/97/98/380809798.db2.gz RWQLPDMEDPQMED-UHFFFAOYSA-N -1 1 335.345 -0.239 20 0 EBADMM O=C(CNC(=O)c1nn(-c2ccccc2)cc1[O-])N1CCNCC1 ZINC000577730483 380839561 /nfs/dbraw/zinc/83/95/61/380839561.db2.gz QEXUAPCHJQJJSJ-UHFFFAOYSA-N -1 1 329.360 -0.261 20 0 EBADMM CN(C)S(=O)(=O)N1CCC[C@@H](NC(=O)c2cncc([O-])c2)C1 ZINC000346404821 381064175 /nfs/dbraw/zinc/06/41/75/381064175.db2.gz NCTFLWKBTFIHHB-LLVKDONJSA-N -1 1 328.394 -0.212 20 0 EBADMM CSCCCCNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000346653617 381095404 /nfs/dbraw/zinc/09/54/04/381095404.db2.gz DUWOEUBHLXCMNL-UHFFFAOYSA-N -1 1 349.434 -0.084 20 0 EBADMM COC(=O)c1ccc([O-])c(NC(=O)CN(C)S(=O)(=O)N(C)C)c1 ZINC000581948291 381120902 /nfs/dbraw/zinc/12/09/02/381120902.db2.gz FZEDVUMIGJVULR-UHFFFAOYSA-N -1 1 345.377 -0.145 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCOC[C@@H]1[C@@H]1CCC[C@H]1O ZINC000347198870 381191612 /nfs/dbraw/zinc/19/16/12/381191612.db2.gz NLSNXSVPNYLVMB-YNEHKIRRSA-N -1 1 349.387 -0.095 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCCOC2CCCC2)c(=O)n(C)c1=O ZINC000057925811 381237651 /nfs/dbraw/zinc/23/76/51/381237651.db2.gz JTZFCVQAEONFEI-UHFFFAOYSA-N -1 1 345.421 -0.288 20 0 EBADMM O=C(NC1(c2nn[n-]n2)CC1)c1ccc(CN2C(=O)CNC2=O)cc1 ZINC000348272802 381352208 /nfs/dbraw/zinc/35/22/08/381352208.db2.gz DNAGWYILOLFQOC-UHFFFAOYSA-N -1 1 341.331 -0.329 20 0 EBADMM CS(=O)(=O)N1CCc2cc(C(=O)NC3(c4nn[n-]n4)CC3)ccc21 ZINC000348273450 381353149 /nfs/dbraw/zinc/35/31/49/381353149.db2.gz FHORTZZCCHDRGK-UHFFFAOYSA-N -1 1 348.388 -0.059 20 0 EBADMM Cn1cnc(C[N-]S(=O)(=O)c2ccccc2S(C)(=O)=O)n1 ZINC000350900340 381573206 /nfs/dbraw/zinc/57/32/06/381573206.db2.gz XRMQODCIWGANHV-UHFFFAOYSA-N -1 1 330.391 -0.303 20 0 EBADMM C[C@H](O)CNS(=O)(=O)c1ccc([N-]S(C)(=O)=O)cc1F ZINC000350944713 381598089 /nfs/dbraw/zinc/59/80/89/381598089.db2.gz VFIILHFPEQXBLZ-ZETCQYMHSA-N -1 1 326.371 -0.144 20 0 EBADMM CCOC(=O)COc1ccc(NC(=O)C(=O)NCc2nn[n-]n2)cc1 ZINC000612224057 381801964 /nfs/dbraw/zinc/80/19/64/381801964.db2.gz CJCKZZFHTIGWIL-UHFFFAOYSA-N -1 1 348.319 -0.604 20 0 EBADMM Cn1c(CNC(=O)CNC(=O)c2ncccc2[O-])nnc1C1CC1 ZINC000352108641 381871512 /nfs/dbraw/zinc/87/15/12/381871512.db2.gz YHROBOLCSAYKSJ-UHFFFAOYSA-N -1 1 330.348 -0.161 20 0 EBADMM NC(=O)[C@H](CO)[N-]S(=O)(=O)c1cc(Br)ccc1F ZINC000352596268 382008762 /nfs/dbraw/zinc/00/87/62/382008762.db2.gz JSLRIAPKUVERLQ-ZETCQYMHSA-N -1 1 341.158 -0.287 20 0 EBADMM CC(C)[C@@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)N1CCOCC1 ZINC000352430356 381982034 /nfs/dbraw/zinc/98/20/34/381982034.db2.gz GBIGZCZOBMRBKP-GFCCVEGCSA-N -1 1 334.380 -0.496 20 0 EBADMM COc1ccccc1COC[C@H](O)C[N@H+]1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000352771835 382030996 /nfs/dbraw/zinc/03/09/96/382030996.db2.gz OAPNDASIWQLQJN-ZIAGYGMSSA-N -1 1 349.387 -0.191 20 0 EBADMM CCc1nc(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)cs1 ZINC000107703792 382107968 /nfs/dbraw/zinc/10/79/68/382107968.db2.gz QOHYQHMTEKMEAR-UHFFFAOYSA-N -1 1 344.418 -0.419 20 0 EBADMM COC(=O)CCc1ccc(NC(=O)C(=O)NCc2nn[n-]n2)cc1 ZINC000609953366 382092500 /nfs/dbraw/zinc/09/25/00/382092500.db2.gz AGRQDAWSSXFQSF-UHFFFAOYSA-N -1 1 332.320 -0.440 20 0 EBADMM CC(C)C[C@@H]1C(=O)NCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000353275076 382133924 /nfs/dbraw/zinc/13/39/24/382133924.db2.gz SWVSCOSWHDCQSV-LLVKDONJSA-N -1 1 334.376 -0.110 20 0 EBADMM Cc1ccc(S(=O)(=O)NCCN(C)Cc2nc(=O)n(C)[n-]2)cc1 ZINC000353499394 382152135 /nfs/dbraw/zinc/15/21/35/382152135.db2.gz JQTCGNXVVQMZLP-UHFFFAOYSA-N -1 1 339.421 -0.173 20 0 EBADMM Cc1ccc(C(=O)NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)cc1 ZINC000353753423 382169919 /nfs/dbraw/zinc/16/99/19/382169919.db2.gz KYPZZIMSVPQWAS-UHFFFAOYSA-N -1 1 340.343 -0.114 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)Cn1cncn1 ZINC000298828616 155136878 /nfs/dbraw/zinc/13/68/78/155136878.db2.gz URGOWRHCKDENTH-QMMMGPOBSA-N -1 1 328.354 -0.455 20 0 EBADMM Cc1cc(CS(=O)(=O)[N-][C@@H]2CCN(c3cnn(C)c3)C2=O)on1 ZINC000330132668 155256395 /nfs/dbraw/zinc/25/63/95/155256395.db2.gz LPGDWRKQINMTFT-GFCCVEGCSA-N -1 1 339.377 -0.059 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H](C)C(=O)N2CCOCC2)o1 ZINC000330382664 155267469 /nfs/dbraw/zinc/26/74/69/155267469.db2.gz JDSMAZPYGHDTFR-VIFPVBQESA-N -1 1 345.377 -0.835 20 0 EBADMM Cc1cnc(C(=O)N2CCN(C(=O)C(=O)NC(C)C)CC2)c([O-])c1 ZINC000330876543 155317014 /nfs/dbraw/zinc/31/70/14/155317014.db2.gz JXBWUQUAOHZNCD-UHFFFAOYSA-N -1 1 334.376 -0.095 20 0 EBADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CNC(=O)C2)o1 ZINC000330885505 155318713 /nfs/dbraw/zinc/31/87/13/155318713.db2.gz LQVAPWKDXWZEKH-MRVPVSSYSA-N -1 1 329.378 -0.025 20 0 EBADMM CCS(=O)(=O)NCC[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000331222691 155350872 /nfs/dbraw/zinc/35/08/72/155350872.db2.gz CSBXHHPUFRFKEM-UHFFFAOYSA-N -1 1 327.453 -0.022 20 0 EBADMM CCC[C@H](NCC(=O)N1CCN(CC(=O)N(C)C)CC1)C(=O)[O-] ZINC000262272730 154221883 /nfs/dbraw/zinc/22/18/83/154221883.db2.gz ITCGGFXHSZVXTH-LBPRGKRZSA-N -1 1 328.413 -0.938 20 0 EBADMM C[C@](O)(CNC(=O)CCNC(=O)c1n[nH]c2ccccc21)C(=O)[O-] ZINC000262370430 154228938 /nfs/dbraw/zinc/22/89/38/154228938.db2.gz ILXUYYDBUISXMQ-HNNXBMFYSA-N -1 1 334.332 -0.365 20 0 EBADMM CC(C)CCC(=O)NCC(=O)N1CCO[C@@H](C[N@@H+](C)CC(=O)[O-])C1 ZINC000262929414 154251810 /nfs/dbraw/zinc/25/18/10/154251810.db2.gz LXYAJTJPSKJJSK-ZDUSSCGKSA-N -1 1 343.424 -0.217 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCCC[C@@H]2CNC(N)=O)o1 ZINC000263742232 154262551 /nfs/dbraw/zinc/26/25/51/154262551.db2.gz RCBISDFSAYPXMG-SECBINFHSA-N -1 1 344.393 -0.149 20 0 EBADMM Cc1ccc([C@@]2(C)NC(=O)N(CC(=O)Nc3nnn[n-]3)C2=O)cc1 ZINC000263981774 154271886 /nfs/dbraw/zinc/27/18/86/154271886.db2.gz BMYYKVCHCOVTKE-CQSZACIVSA-N -1 1 329.320 -0.086 20 0 EBADMM Cc1ccc([C@@]2(C)NC(=O)N(CC(=O)Nc3nn[n-]n3)C2=O)cc1 ZINC000263981774 154271887 /nfs/dbraw/zinc/27/18/87/154271887.db2.gz BMYYKVCHCOVTKE-CQSZACIVSA-N -1 1 329.320 -0.086 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)NC)CC2)o1 ZINC000266972335 154359529 /nfs/dbraw/zinc/35/95/29/154359529.db2.gz OTKQNZKXZFLCII-UHFFFAOYSA-N -1 1 330.366 -0.715 20 0 EBADMM O=c1cc(CN2CCN3C(=O)C(=O)NC[C@H]3C2)c2ccc([O-])cc2o1 ZINC000267463298 154377016 /nfs/dbraw/zinc/37/70/16/154377016.db2.gz MGPAXUVOFCWFTN-NSHDSACASA-N -1 1 343.339 -0.359 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@@](F)(C(=O)OC)C2)o1 ZINC000267689360 154387958 /nfs/dbraw/zinc/38/79/58/154387958.db2.gz JTDWRRYMHANRHZ-LBPRGKRZSA-N -1 1 334.325 -0.085 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]Cc1ncccc1S(C)(=O)=O ZINC000289766734 154993730 /nfs/dbraw/zinc/99/37/30/154993730.db2.gz HIQKMWCISVLCDJ-UHFFFAOYSA-N -1 1 346.390 -0.158 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@H]1COC2(CCOCC2)O1 ZINC000290643671 155006216 /nfs/dbraw/zinc/00/62/16/155006216.db2.gz DNEMIDDYJZYHDY-VIFPVBQESA-N -1 1 333.366 -0.234 20 0 EBADMM Cc1cnn(C)c1S(=O)(=O)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000293137484 155042421 /nfs/dbraw/zinc/04/24/21/155042421.db2.gz QDUWSTZSWZWLSU-VIFPVBQESA-N -1 1 326.382 -0.292 20 0 EBADMM CCNC(=O)NCCNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000294159436 155057710 /nfs/dbraw/zinc/05/77/10/155057710.db2.gz VDCWPDLFPVZIED-UHFFFAOYSA-N -1 1 334.380 -0.383 20 0 EBADMM CCS(=O)(=O)NCCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000294995738 155065685 /nfs/dbraw/zinc/06/56/85/155065685.db2.gz ZMMXTCXTZDHVDL-UHFFFAOYSA-N -1 1 329.407 -0.313 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCn1ccccc1=O ZINC000342244059 156019884 /nfs/dbraw/zinc/01/98/84/156019884.db2.gz XYZSTIOIKIETCQ-UHFFFAOYSA-N -1 1 340.361 -0.273 20 0 EBADMM C[C@]1(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])CCC(=O)NC1 ZINC000343593838 156146913 /nfs/dbraw/zinc/14/69/13/156146913.db2.gz GQHBYYAZSUOZIQ-ZDUSSCGKSA-N -1 1 327.362 -0.106 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC[C@@H]1C(=O)NC1CC1 ZINC000343668380 156154592 /nfs/dbraw/zinc/15/45/92/156154592.db2.gz MBAZWHXXNUUDHD-LLVKDONJSA-N -1 1 332.360 -0.213 20 0 EBADMM NC(=O)[C@H]1CCCN(C(=O)CN2CCC(c3n[nH]c(=O)[n-]3)CC2)C1 ZINC000343725573 156161334 /nfs/dbraw/zinc/16/13/34/156161334.db2.gz GCGVVEUZCYHPKM-NSHDSACASA-N -1 1 336.396 -0.586 20 0 EBADMM COC(=O)C[C@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])CCO1 ZINC000343806666 156170759 /nfs/dbraw/zinc/17/07/59/156170759.db2.gz ROVNXJYMKQNVGO-JTQLQIEISA-N -1 1 337.332 -0.693 20 0 EBADMM CCOC(=O)c1n[n-]c(-c2cccc(CNC(=O)CNC(N)=O)c2)n1 ZINC000344112357 156203981 /nfs/dbraw/zinc/20/39/81/156203981.db2.gz IHEATQIZDPFVDD-UHFFFAOYSA-N -1 1 346.347 -0.067 20 0 EBADMM COCCN(C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)C1CC1 ZINC000344478369 156230909 /nfs/dbraw/zinc/23/09/09/156230909.db2.gz CLGZBCJSMYTXAO-UHFFFAOYSA-N -1 1 345.377 -0.706 20 0 EBADMM COCC(C)(C)N(C)C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000345434919 156333115 /nfs/dbraw/zinc/33/31/15/156333115.db2.gz FXPQJRVXSCLDJG-UHFFFAOYSA-N -1 1 347.393 -0.460 20 0 EBADMM CCO[C@H]1C[C@H]1C(=O)NCC[N-]S(=O)(=O)c1sc(=O)[nH]c1C ZINC000345482970 156336158 /nfs/dbraw/zinc/33/61/58/156336158.db2.gz KLCHJUZLDNXINR-BDAKNGLRSA-N -1 1 349.434 -0.023 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CCC[C@H]2C(=O)NC)co1 ZINC000345818699 156363802 /nfs/dbraw/zinc/36/38/02/156363802.db2.gz WDDIBEGWEFNABP-NXEZZACHSA-N -1 1 329.378 -0.168 20 0 EBADMM C[C@@H]1C[C@@H](C)N(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C1 ZINC000346336255 156398642 /nfs/dbraw/zinc/39/86/42/156398642.db2.gz LKFWIFPMQROEOT-RKDXNWHRSA-N -1 1 329.378 -0.086 20 0 EBADMM C[C@H]1CCCN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C1 ZINC000355390027 157001026 /nfs/dbraw/zinc/00/10/26/157001026.db2.gz WUFKCOSQFCPEHH-VIFPVBQESA-N -1 1 329.378 -0.085 20 0 EBADMM C[C@H](C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C(F)(F)F ZINC000356703081 157087613 /nfs/dbraw/zinc/08/76/13/157087613.db2.gz RIJOLGRBPOCHQG-ZCFIWIBFSA-N -1 1 329.300 -0.439 20 0 EBADMM COc1ccc(C(=O)NC2(c3nn[n-]n3)CC2)cc1-n1cnnn1 ZINC000357059747 157117229 /nfs/dbraw/zinc/11/72/29/157117229.db2.gz SCFYGLFMLNANRB-UHFFFAOYSA-N -1 1 327.308 -0.397 20 0 EBADMM CCN(CCCNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)S(C)(=O)=O ZINC000357175193 157123166 /nfs/dbraw/zinc/12/31/66/157123166.db2.gz FSAQIOXLAUTZBM-UHFFFAOYSA-N -1 1 348.381 -0.702 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C(N)=O)c1ccc(F)cc1 ZINC000357638952 157164379 /nfs/dbraw/zinc/16/43/79/157164379.db2.gz WRHWDIYZGPDYMF-MRVPVSSYSA-N -1 1 336.279 -0.008 20 0 EBADMM CC(C)NS(=O)(=O)CCNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000358330272 157233290 /nfs/dbraw/zinc/23/32/90/157233290.db2.gz ILOMHDGOFDRFNH-UHFFFAOYSA-N -1 1 341.393 -0.269 20 0 EBADMM CN(C)C(=O)COC1CN(C(=O)c2c[n-]c3c(cnn3C)c2=O)C1 ZINC000358403558 157240575 /nfs/dbraw/zinc/24/05/75/157240575.db2.gz WGBALXPQMJYKGU-UHFFFAOYSA-N -1 1 333.348 -0.397 20 0 EBADMM CNS(=O)(=O)c1cccc(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)c1 ZINC000358768615 157277175 /nfs/dbraw/zinc/27/71/75/157277175.db2.gz NSJUXGKVEANTES-SECBINFHSA-N -1 1 338.393 -0.017 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CC(=O)NCCOC)o1 ZINC000358833801 157280640 /nfs/dbraw/zinc/28/06/40/157280640.db2.gz SJQULTMWEYYNIJ-UHFFFAOYSA-N -1 1 334.350 -0.503 20 0 EBADMM COc1c(CNC(=O)CNC(=O)c2ncccc2[O-])c(C)nn1C ZINC000359308542 157311844 /nfs/dbraw/zinc/31/18/44/157311844.db2.gz LTKSHWHVEZMXAD-UHFFFAOYSA-N -1 1 333.348 -0.116 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2cc(C(N)=O)c[nH]2)c1 ZINC000359668153 157336587 /nfs/dbraw/zinc/33/65/87/157336587.db2.gz SWNVBIGWIXXGBD-UHFFFAOYSA-N -1 1 338.345 -0.020 20 0 EBADMM CC(C)(NC(=O)CNC(=O)c1ccc2c(c1)OCO2)c1nn[n-]n1 ZINC000359853265 157346883 /nfs/dbraw/zinc/34/68/83/157346883.db2.gz GFCWZQDMMVJCIZ-UHFFFAOYSA-N -1 1 332.320 -0.290 20 0 EBADMM CC(C)(NC(=O)c1ccc([C@]2(C)NC(=O)NC2=O)cc1)c1nn[n-]n1 ZINC000360125189 157358897 /nfs/dbraw/zinc/35/88/97/157358897.db2.gz WHZREMMCYPXTDN-HNNXBMFYSA-N -1 1 343.347 -0.081 20 0 EBADMM CCOC(=O)[C@H](CO)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000360595743 157387688 /nfs/dbraw/zinc/38/76/88/157387688.db2.gz KUURUBUFSGSJNN-NSHDSACASA-N -1 1 336.348 -0.366 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CC(NC(=O)C2CCC2)C1 ZINC000362501060 157457263 /nfs/dbraw/zinc/45/72/63/157457263.db2.gz UAPJKXXWZCFBAP-UHFFFAOYSA-N -1 1 332.360 -0.356 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@H]3C(=O)NC[C@H]32)o1 ZINC000374160048 157654015 /nfs/dbraw/zinc/65/40/15/157654015.db2.gz HEQINILOWLIAPM-RKDXNWHRSA-N -1 1 327.362 -0.462 20 0 EBADMM CCC[C@H](NC(=O)C[C@H]1CS(=O)(=O)CCN1C)c1nn[n-]n1 ZINC000644383182 419155583 /nfs/dbraw/zinc/15/55/83/419155583.db2.gz KIHFKQQNBLXQIN-UWVGGRQHSA-N -1 1 330.414 -0.724 20 0 EBADMM O=C(CN1C(=O)N[C@]2(CCc3ccccc32)C1=O)Nc1nnn[n-]1 ZINC000264030242 419314596 /nfs/dbraw/zinc/31/45/96/419314596.db2.gz RYLFEUXLOCXPNL-AWEZNQCLSA-N -1 1 327.304 -0.468 20 0 EBADMM O=C(CN1C(=O)N[C@]2(CCc3ccccc32)C1=O)Nc1nn[n-]n1 ZINC000264030242 419314599 /nfs/dbraw/zinc/31/45/99/419314599.db2.gz RYLFEUXLOCXPNL-AWEZNQCLSA-N -1 1 327.304 -0.468 20 0 EBADMM O=S(=O)(CCCS(=O)(=O)N[C@H]1CCOC1)[N-]c1ccccn1 ZINC000349566968 545936199 /nfs/dbraw/zinc/93/61/99/545936199.db2.gz FLGHGBHTXTVIPN-NSHDSACASA-N -1 1 349.434 -0.078 20 0 EBADMM Cn1ncc2c1[n-]cc(C(=O)N[C@@H]1CCc3nnnn3CC1)c2=O ZINC000422076508 419864560 /nfs/dbraw/zinc/86/45/60/419864560.db2.gz ZDJNJGLOGOUIDI-MRVPVSSYSA-N -1 1 328.336 -0.205 20 0 EBADMM C[C@H]1COCCN1C1CCN(C(=O)c2cnc([O-])n(C)c2=O)CC1 ZINC000646319437 420547306 /nfs/dbraw/zinc/54/73/06/420547306.db2.gz VZOLTDYTZDPAMC-NSHDSACASA-N -1 1 336.392 -0.189 20 0 EBADMM COC(=O)[C@@H](CO)[N-]S(=O)(=O)CC[C@@H]1CCCCN1C(C)=O ZINC000489708372 420548786 /nfs/dbraw/zinc/54/87/86/420548786.db2.gz BNJFCXJCCYBBFN-NWDGAFQWSA-N -1 1 336.410 -0.769 20 0 EBADMM CCC[C@@H](NC(=O)C=Cc1cn(C)c(=O)n(C)c1=O)c1nn[n-]n1 ZINC000492081239 420568851 /nfs/dbraw/zinc/56/88/51/420568851.db2.gz DVLQXRQEZBRZFT-JYESYGNLSA-N -1 1 333.352 -0.732 20 0 EBADMM Cc1nc([N-]C(=O)c2nc3ncc(Br)cn3n2)nn1C ZINC000344541460 420572046 /nfs/dbraw/zinc/57/20/46/420572046.db2.gz DGAPGOTVSNCHBR-UHFFFAOYSA-N -1 1 337.141 0.576 20 0 EBADMM COCCO[C@@H]1COCC[C@H]1[N-]S(=O)(=O)c1c(C)onc1N ZINC000349583227 420625105 /nfs/dbraw/zinc/62/51/05/420625105.db2.gz QFMQVDZMGKUINP-NXEZZACHSA-N -1 1 335.382 -0.336 20 0 EBADMM CO[C@H](CS(=O)(=O)[N-]Cc1nnn(C(C)(C)C)n1)[C@@H]1CCOC1 ZINC000657024038 420910390 /nfs/dbraw/zinc/91/03/90/420910390.db2.gz YEUHPOROOQTDSX-GHMZBOCLSA-N -1 1 347.441 -0.101 20 0 EBADMM NC(=O)c1coc(C[N-]S(=O)(=O)c2cnn(CC(F)F)c2)n1 ZINC000657072089 420914214 /nfs/dbraw/zinc/91/42/14/420914214.db2.gz XUUYDELBGWKBTQ-UHFFFAOYSA-N -1 1 335.292 -0.286 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C(C)(C)C(C)(F)F)c(=O)n(C)c1=O ZINC000656186336 420882338 /nfs/dbraw/zinc/88/23/38/420882338.db2.gz SWUIICMRCXALMH-UHFFFAOYSA-N -1 1 325.337 -0.204 20 0 EBADMM Cc1cc(C(=O)Nc2ccn(CC(N)=O)n2)[nH]c2nc(=O)[n-]c(=O)c1-2 ZINC000344041009 421221410 /nfs/dbraw/zinc/22/14/10/421221410.db2.gz NQBFWQYZLCQKKI-UHFFFAOYSA-N -1 1 343.303 -0.321 20 0 EBADMM Cc1cc(C(=O)Nc2ccn(CC(N)=O)n2)[n-]c2nc(=O)[nH]c(=O)c1-2 ZINC000344041009 421221411 /nfs/dbraw/zinc/22/14/11/421221411.db2.gz NQBFWQYZLCQKKI-UHFFFAOYSA-N -1 1 343.303 -0.321 20 0 EBADMM Cn1c(=O)oc2cc(CN3Cc4n[nH]c(=O)n4C[C@@H]3C(=O)[O-])ccc21 ZINC000424419039 265060713 /nfs/dbraw/zinc/06/07/13/265060713.db2.gz ZPWKAIOCPJUJPC-SNVBAGLBSA-N -1 1 345.315 -0.102 20 0 EBADMM CC(C)(NC(=O)c1cccc([C@@]2(C)NC(=O)NC2=O)c1)c1nn[n-]n1 ZINC000426855893 265100329 /nfs/dbraw/zinc/10/03/29/265100329.db2.gz HZKAGKCAJGTWJB-OAHLLOKOSA-N -1 1 343.347 -0.081 20 0 EBADMM CCN1CCN(C(=O)c2coc(S(=O)(=O)[N-]C)c2)[C@@H](C)C1=O ZINC000428185519 265121328 /nfs/dbraw/zinc/12/13/28/265121328.db2.gz QZDOWLIINOOUMQ-VIFPVBQESA-N -1 1 329.378 -0.120 20 0 EBADMM C[C@H]1CCN(C(=O)CNC(=O)c2ncccc2[O-])CCS1(=O)=O ZINC000430388656 265148075 /nfs/dbraw/zinc/14/80/75/265148075.db2.gz YRUCRPARTQCIKR-JTQLQIEISA-N -1 1 341.389 -0.447 20 0 EBADMM Cc1nc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])c(=O)[nH]c1C ZINC000436868592 265243368 /nfs/dbraw/zinc/24/33/68/265243368.db2.gz AJIJJANTYSOUCN-UHFFFAOYSA-N -1 1 338.345 -0.008 20 0 EBADMM CNS(=O)(=O)CCNC(=O)CCc1c(C)nc(SC)[n-]c1=O ZINC000440170718 265280287 /nfs/dbraw/zinc/28/02/87/265280287.db2.gz CJZSIKHAHKZZOW-UHFFFAOYSA-N -1 1 348.450 -0.189 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCc2ccc(C(N)=O)nc2)o1 ZINC000440681884 265293218 /nfs/dbraw/zinc/29/32/18/265293218.db2.gz KPFVQLWCNQLOQZ-UHFFFAOYSA-N -1 1 338.345 -0.388 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CC[C@H](F)C2)o1 ZINC000488883519 265499979 /nfs/dbraw/zinc/49/99/79/265499979.db2.gz WPILPYBIYKJMJL-YUMQZZPRSA-N -1 1 333.341 -0.336 20 0 EBADMM O=C(NCCN1CCCS1(=O)=O)c1ccc2n[n-]c(=S)n2c1 ZINC000282886952 272807139 /nfs/dbraw/zinc/80/71/39/272807139.db2.gz BSPLIACBEPLWSD-UHFFFAOYSA-N -1 1 341.418 -0.217 20 0 EBADMM C[C@H]1CN(C(=O)c2ccc3c(c2)S(=O)(=O)[N-]c2ncnn2-3)CCN1 ZINC000269222631 300195324 /nfs/dbraw/zinc/19/53/24/300195324.db2.gz RZJWPJUMFQQOLP-VIFPVBQESA-N -1 1 348.388 -0.185 20 0 EBADMM C[C@H](C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1ccncc1 ZINC000674087302 545970021 /nfs/dbraw/zinc/97/00/21/545970021.db2.gz OSPCBXCDLBFALE-SNVBAGLBSA-N -1 1 338.389 -0.439 20 0 EBADMM O=C(c1nc2ccccc2c(=O)[n-]1)N(CCO)CCN1CCOCC1 ZINC000347659129 545970420 /nfs/dbraw/zinc/97/04/20/545970420.db2.gz XQQRQKNBQXHHQY-UHFFFAOYSA-N -1 1 346.387 -0.310 20 0 EBADMM COc1cc(OC)cc(N2C[C@H](C(=O)NCc3nn[n-]n3)CC2=O)c1 ZINC000674551505 546168516 /nfs/dbraw/zinc/16/85/16/546168516.db2.gz AYBVPSZJBSPAIK-SECBINFHSA-N -1 1 346.347 -0.114 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@H]1CC[C@H](C(N)=O)O1 ZINC000675369222 546183303 /nfs/dbraw/zinc/18/33/03/546183303.db2.gz VMQRERHDUFVGQY-ZYHUDNBSSA-N -1 1 347.375 -0.257 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1CCCCN1S(C)(=O)=O)c1nn[n-]n1 ZINC000681353414 546303757 /nfs/dbraw/zinc/30/37/57/546303757.db2.gz LVCHFLASNTYTLZ-NXEZZACHSA-N -1 1 330.414 -0.424 20 0 EBADMM Cc1cc(-n2cccn2)ccc1NC(=O)C(=O)NCc1nn[n-]n1 ZINC000682783961 546339746 /nfs/dbraw/zinc/33/97/46/546339746.db2.gz FEOPYJNRWMYCQR-UHFFFAOYSA-N -1 1 326.320 -0.051 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]CCCN(C)S(C)(=O)=O ZINC000685491548 546405715 /nfs/dbraw/zinc/40/57/15/546405715.db2.gz HLOXWONIFPITDX-UHFFFAOYSA-N -1 1 326.400 -0.875 20 0 EBADMM CNC(=O)CC[C@H]1CCCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000804740089 582534496 /nfs/dbraw/zinc/53/44/96/582534496.db2.gz DCCJRXMYEZDEIT-GFCCVEGCSA-N -1 1 336.392 -0.166 20 0 EBADMM NC(=O)[C@@H](c1ccc(-c2nn[nH]n2)cc1)[N@@H+]1CCC[C@@H](OCCO)C1 ZINC000737739280 582544643 /nfs/dbraw/zinc/54/46/43/582544643.db2.gz NAGLRMKKLBHBDF-ZIAGYGMSSA-N -1 1 346.391 -0.134 20 0 EBADMM NC(=O)[C@@H](c1ccc(-c2nn[nH]n2)cc1)[N@H+]1CCC[C@@H](OCCO)C1 ZINC000737739280 582544644 /nfs/dbraw/zinc/54/46/44/582544644.db2.gz NAGLRMKKLBHBDF-ZIAGYGMSSA-N -1 1 346.391 -0.134 20 0 EBADMM COC(=O)CCN(CC(C)C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000726867531 582622532 /nfs/dbraw/zinc/62/25/32/582622532.db2.gz LZXIZKJAXCBXTM-UHFFFAOYSA-N -1 1 325.365 -0.026 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(c2ccc(O)cc2)CC1 ZINC000727847608 582659695 /nfs/dbraw/zinc/65/96/95/582659695.db2.gz KDLCWCRRPYYQBP-UHFFFAOYSA-N -1 1 344.371 -0.019 20 0 EBADMM C[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)NCc1ccco1 ZINC000727852750 582661366 /nfs/dbraw/zinc/66/13/66/582661366.db2.gz AZMZWLLFSGKNER-JTQLQIEISA-N -1 1 334.332 -0.659 20 0 EBADMM CN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)OC(C)(C)C ZINC000728971155 582680738 /nfs/dbraw/zinc/68/07/38/582680738.db2.gz CSSOVKPZAKWIGQ-UHFFFAOYSA-N -1 1 340.380 -0.090 20 0 EBADMM CC(C)(CN1CCOCC1)C(=O)N1C[C@@H]2COC[C@]2(C(=O)[O-])C1 ZINC000902060446 582799694 /nfs/dbraw/zinc/79/96/94/582799694.db2.gz PHPKRCIFUXTXRY-MLGOLLRUSA-N -1 1 326.393 -0.096 20 0 EBADMM CN(CCc1ccccc1)CC(=O)N1CCN(C(=O)C(=O)[O-])CC1 ZINC000902773304 582806257 /nfs/dbraw/zinc/80/62/57/582806257.db2.gz PTSLPXYWKKJAQD-UHFFFAOYSA-N -1 1 333.388 -0.084 20 0 EBADMM CC(C)(C)n1cc(C(=O)C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cn1 ZINC000732090450 582844046 /nfs/dbraw/zinc/84/40/46/582844046.db2.gz JUXYTUFOBBSNGW-SNVBAGLBSA-N -1 1 333.352 -0.066 20 0 EBADMM O=C(NCCCCN1CCN(c2ncccn2)CC1)c1cc(=O)[nH][n-]1 ZINC000732287260 582847385 /nfs/dbraw/zinc/84/73/85/582847385.db2.gz SQAGGPHJKGHEAN-UHFFFAOYSA-N -1 1 345.407 -0.629 20 0 EBADMM CCOC(=O)CN(CC(C)C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000733141615 582856010 /nfs/dbraw/zinc/85/60/10/582856010.db2.gz BUFQLDALYVQJFL-UHFFFAOYSA-N -1 1 325.365 -0.026 20 0 EBADMM CNC(=O)c1ccc(OC)c(NC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000733134640 582856321 /nfs/dbraw/zinc/85/63/21/582856321.db2.gz WMEGSIKYKWUYQL-UHFFFAOYSA-N -1 1 346.343 -0.066 20 0 EBADMM CC(C)(C)OC(=O)NC1CCN(CC(=O)NCc2nn[n-]n2)CC1 ZINC000735632659 582901809 /nfs/dbraw/zinc/90/18/09/582901809.db2.gz FEQCZKVZVPYCSK-UHFFFAOYSA-N -1 1 339.400 -0.195 20 0 EBADMM CC(C)Cc1nc(-c2nn[n-]n2)c(NNC(=S)NCC(N)=O)o1 ZINC000735850795 582908217 /nfs/dbraw/zinc/90/82/17/582908217.db2.gz IOWZRHQHBYHTBX-UHFFFAOYSA-N -1 1 339.385 -0.671 20 0 EBADMM CC(C)NC(=O)CN1CCN(c2nccnc2-c2nnn[n-]2)CC1 ZINC000735884944 582909690 /nfs/dbraw/zinc/90/96/90/582909690.db2.gz RUIFCFVJWJSWQQ-UHFFFAOYSA-N -1 1 331.384 -0.697 20 0 EBADMM CC(C)NC(=O)CN1CCN(c2nccnc2-c2nn[n-]n2)CC1 ZINC000735884944 582909691 /nfs/dbraw/zinc/90/96/91/582909691.db2.gz RUIFCFVJWJSWQQ-UHFFFAOYSA-N -1 1 331.384 -0.697 20 0 EBADMM C[C@H](Cn1cccn1)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736076930 582916041 /nfs/dbraw/zinc/91/60/41/582916041.db2.gz DWHCTGUKHYZIQW-SECBINFHSA-N -1 1 334.365 -0.175 20 0 EBADMM C[C@H](Cn1cccn1)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736076930 582916044 /nfs/dbraw/zinc/91/60/44/582916044.db2.gz DWHCTGUKHYZIQW-SECBINFHSA-N -1 1 334.365 -0.175 20 0 EBADMM CC(=O)N1CCN([C@@H]2CN([C@@H](C)C(=O)NCC(=O)[O-])C[C@H]2C)CC1 ZINC000736133719 582919480 /nfs/dbraw/zinc/91/94/80/582919480.db2.gz CFBSWFZQRMEROZ-MBNYWOFBSA-N -1 1 340.424 -0.940 20 0 EBADMM CC[C@H](C)[C@@](C)(O)CNc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000736328831 582930618 /nfs/dbraw/zinc/93/06/18/582930618.db2.gz IFXMJYDRIACWKW-RTHLEPHNSA-N -1 1 337.384 -0.527 20 0 EBADMM CCCC[C@@H](COC)Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000736438611 582934381 /nfs/dbraw/zinc/93/43/81/582934381.db2.gz OGBWUCJXJBEVBL-VIFPVBQESA-N -1 1 337.384 -0.119 20 0 EBADMM CCNC(=O)N1CCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000736575827 582943434 /nfs/dbraw/zinc/94/34/34/582943434.db2.gz KOMMCWYNCDPVQI-UHFFFAOYSA-N -1 1 330.352 -0.251 20 0 EBADMM CCNC(=O)N1CCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)CC1 ZINC000736575827 582943438 /nfs/dbraw/zinc/94/34/38/582943438.db2.gz KOMMCWYNCDPVQI-UHFFFAOYSA-N -1 1 330.352 -0.251 20 0 EBADMM C[C@@H](CN1CCN(C)CC1)NS(=O)(=O)N1CCC(C(=O)[O-])CC1 ZINC000736583793 582944057 /nfs/dbraw/zinc/94/40/57/582944057.db2.gz BUEFGRMJNCTBGC-LBPRGKRZSA-N -1 1 348.469 -0.747 20 0 EBADMM C[C@H](CN1CCN(C)CC1)NS(=O)(=O)N1CCC(C(=O)[O-])CC1 ZINC000736583789 582944230 /nfs/dbraw/zinc/94/42/30/582944230.db2.gz BUEFGRMJNCTBGC-GFCCVEGCSA-N -1 1 348.469 -0.747 20 0 EBADMM CN(C)C(=O)[C@@H]1CSCN1C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736747421 582952669 /nfs/dbraw/zinc/95/26/69/582952669.db2.gz RZWJBMKLUSMNHZ-JTQLQIEISA-N -1 1 333.377 -0.135 20 0 EBADMM CN(C)C(=O)[C@@H]1CSCN1C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736747421 582952672 /nfs/dbraw/zinc/95/26/72/582952672.db2.gz RZWJBMKLUSMNHZ-JTQLQIEISA-N -1 1 333.377 -0.135 20 0 EBADMM CN1CC[N@@H+](C)C[C@@H]1CNC(=O)COc1cccc(-c2nn[nH]n2)c1 ZINC000736857326 582963547 /nfs/dbraw/zinc/96/35/47/582963547.db2.gz QOOJCXZQOIKXII-ZDUSSCGKSA-N -1 1 345.407 -0.393 20 0 EBADMM CN1CCN(C)[C@@H](CNC(=O)COc2cccc(-c3nn[nH]n3)c2)C1 ZINC000736857326 582963550 /nfs/dbraw/zinc/96/35/50/582963550.db2.gz QOOJCXZQOIKXII-ZDUSSCGKSA-N -1 1 345.407 -0.393 20 0 EBADMM CN1CCN(S(=O)(=O)c2ccc(F)c(-c3nn[n-]n3)c2)CC1=O ZINC000736866053 582964734 /nfs/dbraw/zinc/96/47/34/582964734.db2.gz BZCJFXWNYZPLNM-UHFFFAOYSA-N -1 1 340.340 -0.532 20 0 EBADMM COC(=O)[C@H](C)CN(C)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736890053 582969263 /nfs/dbraw/zinc/96/92/63/582969263.db2.gz ZZDMSVSGCKFDMH-MRVPVSSYSA-N -1 1 340.365 -0.309 20 0 EBADMM COC(=O)[C@H](C)CN(C)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736890053 582969264 /nfs/dbraw/zinc/96/92/64/582969264.db2.gz ZZDMSVSGCKFDMH-MRVPVSSYSA-N -1 1 340.365 -0.309 20 0 EBADMM COC(=O)CCCc1nc(Cn2cccc(-c3nn[n-]n3)c2=O)no1 ZINC000736913381 582972084 /nfs/dbraw/zinc/97/20/84/582972084.db2.gz OQEUKAABFLXKSJ-UHFFFAOYSA-N -1 1 345.319 -0.045 20 0 EBADMM COCCN(C)CCNC(=O)C(=O)Nc1cccc(-c2nn[nH]n2)c1 ZINC000736989346 582980229 /nfs/dbraw/zinc/98/02/29/582980229.db2.gz AKSAADJWYXJVMW-UHFFFAOYSA-N -1 1 347.379 -0.500 20 0 EBADMM CCCc1nnc([C@@H]2CN([C@H](C)C(=O)NCC(=O)[O-])CCO2)[nH]1 ZINC000737051534 582985976 /nfs/dbraw/zinc/98/59/76/582985976.db2.gz UILKZTLJYWKSIV-ZJUUUORDSA-N -1 1 325.369 -0.280 20 0 EBADMM CCCc1n[nH]c([C@@H]2CN([C@H](C)C(=O)NCC(=O)[O-])CCO2)n1 ZINC000737051534 582985977 /nfs/dbraw/zinc/98/59/77/582985977.db2.gz UILKZTLJYWKSIV-ZJUUUORDSA-N -1 1 325.369 -0.280 20 0 EBADMM Cc1noc(CCCNc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)n1 ZINC000737533889 583013202 /nfs/dbraw/zinc/01/32/02/583013202.db2.gz HLNDFXCBRWLNAI-UHFFFAOYSA-N -1 1 347.339 -1.000 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NC[C@@H](O)CC(C)(C)C)n(C)c1=O ZINC000737595082 583018356 /nfs/dbraw/zinc/01/83/56/583018356.db2.gz FLCKLOWIABEKTO-QMMMGPOBSA-N -1 1 337.384 -0.527 20 0 EBADMM NC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000737747705 583025502 /nfs/dbraw/zinc/02/55/02/583025502.db2.gz WKDNJMLIWOFMKJ-MRVPVSSYSA-N -1 1 337.365 -0.852 20 0 EBADMM NC(=O)[C@@H]1CCCN(S(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000737747705 583025503 /nfs/dbraw/zinc/02/55/03/583025503.db2.gz WKDNJMLIWOFMKJ-MRVPVSSYSA-N -1 1 337.365 -0.852 20 0 EBADMM O=C(Nc1nnn[n-]1)[C@H]1CCCN1c1ccc(-c2nn[nH]n2)cc1 ZINC000738210717 583045436 /nfs/dbraw/zinc/04/54/36/583045436.db2.gz UFVNJIRPZAHXAF-SNVBAGLBSA-N -1 1 326.324 -0.013 20 0 EBADMM O=C(Nc1nn[n-]n1)[C@H]1CCCN1c1ccc(-c2nn[nH]n2)cc1 ZINC000738210717 583045437 /nfs/dbraw/zinc/04/54/37/583045437.db2.gz UFVNJIRPZAHXAF-SNVBAGLBSA-N -1 1 326.324 -0.013 20 0 EBADMM CN1CCO[C@H](CS(=O)(=O)[N-][C@H]2CCCCC23OCCO3)C1 ZINC000866991684 583056537 /nfs/dbraw/zinc/05/65/37/583056537.db2.gz KDGKNUQNOJYRBB-STQMWFEESA-N -1 1 334.438 -0.078 20 0 EBADMM O=C(NN1CC(=O)[N-]C1=O)[C@H]1CC(=O)N(CCc2ccc(F)cc2)C1 ZINC000839470002 583067197 /nfs/dbraw/zinc/06/71/97/583067197.db2.gz PLXWDXPUGOKPNF-NSHDSACASA-N -1 1 348.334 -0.200 20 0 EBADMM CCOC(=O)[C@H]1CN(C(=O)c2ccc(S(=O)(=O)[N-]C)o2)CCO1 ZINC000741221666 583070436 /nfs/dbraw/zinc/07/04/36/583070436.db2.gz QWCJLJJSSKXKCW-SNVBAGLBSA-N -1 1 346.361 -0.408 20 0 EBADMM CSC1(CNC(=O)CCn2cc[n-]c(=O)c2=O)CCOCC1 ZINC000742110180 583076114 /nfs/dbraw/zinc/07/61/14/583076114.db2.gz WPKDYQWGJVOYMW-UHFFFAOYSA-N -1 1 327.406 -0.045 20 0 EBADMM CC(C)N1C(=O)CN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1(C)C ZINC000742820649 583082814 /nfs/dbraw/zinc/08/28/14/583082814.db2.gz ZNUWMEAAEKKLME-UHFFFAOYSA-N -1 1 336.392 -0.216 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)CC1(C)OCCO1 ZINC000743490554 583085473 /nfs/dbraw/zinc/08/54/73/583085473.db2.gz IORXEUIDQQLSBD-NSHDSACASA-N -1 1 325.365 -0.168 20 0 EBADMM COC[C@](C)(O)C(=O)OCC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000745319699 583096674 /nfs/dbraw/zinc/09/66/74/583096674.db2.gz XIZHDINHKRKRCH-HNNXBMFYSA-N -1 1 325.317 -0.108 20 0 EBADMM CSCC[C@@H](C)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000746283234 583104699 /nfs/dbraw/zinc/10/46/99/583104699.db2.gz CSPIHPARFGHALN-MRVPVSSYSA-N -1 1 349.434 -0.085 20 0 EBADMM O=C([C@H]1CCCN1c1ncccn1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000747386517 583113133 /nfs/dbraw/zinc/11/31/33/583113133.db2.gz PHROGNSUGLGNRU-MNOVXSKESA-N -1 1 330.352 -0.441 20 0 EBADMM CC(C)N1C(=O)C[C@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)C1=O ZINC000748672143 583122440 /nfs/dbraw/zinc/12/24/40/583122440.db2.gz NPJABIDRDXVNHN-ZETCQYMHSA-N -1 1 334.785 -0.112 20 0 EBADMM COC[C@@](C)(CC(=O)OC)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000749429466 583129248 /nfs/dbraw/zinc/12/92/48/583129248.db2.gz SJDOGCKYCNYCIM-CQSZACIVSA-N -1 1 327.337 -0.989 20 0 EBADMM CC(C)(C)NC(=O)CN1CCC(CNC(=O)c2cc(=O)[nH][n-]2)CC1 ZINC000751070506 583141998 /nfs/dbraw/zinc/14/19/98/583141998.db2.gz VBSRKCDFJBDFRR-UHFFFAOYSA-N -1 1 337.424 -0.395 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C2CCC(C)(O)CC2)c(=O)n(C)c1=O ZINC000751477827 583145433 /nfs/dbraw/zinc/14/54/33/583145433.db2.gz BLTWGDMIAJZVBJ-UHFFFAOYSA-N -1 1 331.394 -0.944 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@@]1(O)CCc2ccccc21 ZINC000752561228 583154790 /nfs/dbraw/zinc/15/47/90/583154790.db2.gz FQURFTDQJYFLFP-KRWDZBQOSA-N -1 1 329.356 -0.123 20 0 EBADMM O=C(CNC(=O)c1ccccc1OC(F)F)NN1CC(=O)[N-]C1=O ZINC000752696440 583155487 /nfs/dbraw/zinc/15/54/87/583155487.db2.gz BIKSRAXJMDGVGI-UHFFFAOYSA-N -1 1 342.258 -0.399 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC(=O)N2CCO[C@@H](C)C2)co1 ZINC000756404978 583183083 /nfs/dbraw/zinc/18/30/83/583183083.db2.gz OLGQSENEWQIFGX-VIFPVBQESA-N -1 1 346.361 -0.408 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC(=O)NCCCOC)co1 ZINC000756406014 583183658 /nfs/dbraw/zinc/18/36/58/583183658.db2.gz TXCFIGJIRKKOCB-UHFFFAOYSA-N -1 1 334.350 -0.503 20 0 EBADMM C[C@H](O)c1cn(CC(=O)OCC(=O)[N-]C(=O)c2ccccc2)nn1 ZINC000762959568 583254849 /nfs/dbraw/zinc/25/48/49/583254849.db2.gz WFGVMKRELMQRAM-JTQLQIEISA-N -1 1 332.316 -0.169 20 0 EBADMM O=C([C@H]1CC(=O)N(c2cn[nH]c2)C1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000765109539 583278428 /nfs/dbraw/zinc/27/84/28/583278428.db2.gz LFBFXUGPZRHSRL-UWVGGRQHSA-N -1 1 330.352 -0.318 20 0 EBADMM Cn1[n-]c(COC(=O)CCn2c3ccccc3n(C)c2=O)nc1=O ZINC000765409097 583281180 /nfs/dbraw/zinc/28/11/80/583281180.db2.gz KMGVWZNQVZMTBD-UHFFFAOYSA-N -1 1 331.332 -0.105 20 0 EBADMM Cn1[n-]c(COC(=O)CNS(=O)(=O)c2cccs2)nc1=O ZINC000765434171 583282459 /nfs/dbraw/zinc/28/24/59/583282459.db2.gz DQWIHFRJCGTBEC-UHFFFAOYSA-N -1 1 332.363 -0.808 20 0 EBADMM CCCS(=O)(=O)N1CCCC[C@@H]1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765459600 583282902 /nfs/dbraw/zinc/28/29/02/583282902.db2.gz HIZULIVUGTZMHQ-SNVBAGLBSA-N -1 1 346.409 -0.254 20 0 EBADMM C[C@H](CNC(=O)OC(C)(C)C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000769392808 583323156 /nfs/dbraw/zinc/32/31/56/583323156.db2.gz JAANMHDPUPIIND-SNVBAGLBSA-N -1 1 340.380 -0.044 20 0 EBADMM C[C@H]1CCCN1S(=O)(=O)NNc1nc(=O)c2cnn(C)c2[n-]1 ZINC000769869552 583329629 /nfs/dbraw/zinc/32/96/29/583329629.db2.gz CFKCFERUEPYREY-ZETCQYMHSA-N -1 1 327.370 -0.286 20 0 EBADMM Cc1cc(S(N)(=O)=O)cc(NC(=O)NN2CC(=O)[N-]C2=O)c1C ZINC000770483695 583338061 /nfs/dbraw/zinc/33/80/61/583338061.db2.gz FDXKESBSFUZIQI-UHFFFAOYSA-N -1 1 341.349 -0.461 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(c2cccc(O)c2)CC1 ZINC000770754073 583341434 /nfs/dbraw/zinc/34/14/34/583341434.db2.gz OXWLTQRHFNSQHV-UHFFFAOYSA-N -1 1 344.371 -0.019 20 0 EBADMM C[C@H]1CCN(S(=O)(=O)[N-]c2ccn(CCS(C)(=O)=O)n2)C1 ZINC000777935444 583416334 /nfs/dbraw/zinc/41/63/34/583416334.db2.gz NUGUOVFCDVENIJ-JTQLQIEISA-N -1 1 336.439 -0.074 20 0 EBADMM CC(C)[C@H](OC(=O)c1cn[n-]n1)C(=O)N[C@]1(C)CCS(=O)(=O)C1 ZINC000805603425 583419029 /nfs/dbraw/zinc/41/90/29/583419029.db2.gz MRAWKNFWYBYGET-GXFFZTMASA-N -1 1 344.393 -0.321 20 0 EBADMM O=C(Nc1ccccc1CN1C(=O)CNC1=O)NN1CC(=O)[N-]C1=O ZINC000783592456 583480205 /nfs/dbraw/zinc/48/02/05/583480205.db2.gz GAGSTWKDXUXMPY-UHFFFAOYSA-N -1 1 346.303 -0.673 20 0 EBADMM COc1cccc(C[C@H](O)C(=O)N2CCO[C@H](c3nn[n-]n3)C2)c1 ZINC000785410764 583497404 /nfs/dbraw/zinc/49/74/04/583497404.db2.gz GGCWOXNDDLRIPI-STQMWFEESA-N -1 1 333.348 -0.288 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@H]2CCCCO2)o1 ZINC000786195718 583506653 /nfs/dbraw/zinc/50/66/53/583506653.db2.gz ALRZRTKWIIIHJA-SECBINFHSA-N -1 1 346.361 -0.231 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)OC[C@H]2CCCOC2)o1 ZINC000786194261 583506708 /nfs/dbraw/zinc/50/67/08/583506708.db2.gz GUZCIFCCGVWNBB-VIFPVBQESA-N -1 1 346.361 -0.373 20 0 EBADMM COCC[C@@H](C)OC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000786197227 583506988 /nfs/dbraw/zinc/50/69/88/583506988.db2.gz LNOGETCEVGNRPU-MRVPVSSYSA-N -1 1 334.350 -0.375 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)OCC(=O)CC2CC2)o1 ZINC000786201757 583507187 /nfs/dbraw/zinc/50/71/87/583507187.db2.gz FUHSLSFODUUODX-UHFFFAOYSA-N -1 1 344.345 -0.431 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)OCc2cscn2)o1 ZINC000786201170 583507208 /nfs/dbraw/zinc/50/72/08/583507208.db2.gz QQNAHTOAYOGSMD-UHFFFAOYSA-N -1 1 345.358 -0.143 20 0 EBADMM COCCO[N-]C(=O)C(=O)NC1CCN(Cc2ccccn2)CC1 ZINC000787143809 583516369 /nfs/dbraw/zinc/51/63/69/583516369.db2.gz MEFSPBNFQKLQDK-UHFFFAOYSA-N -1 1 336.392 -0.144 20 0 EBADMM CN1C[C@H](C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c2ccccc2C1=O ZINC000787338208 583518125 /nfs/dbraw/zinc/51/81/25/583518125.db2.gz XGNZXMVVMSOKBX-QWHCGFSZSA-N -1 1 342.359 -0.031 20 0 EBADMM O=C([C@H]1CC(c2cccnc2)=NO1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000798270083 583603647 /nfs/dbraw/zinc/60/36/47/583603647.db2.gz JDDOBEGRMYMTNJ-GFCCVEGCSA-N -1 1 343.347 -0.402 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)O[C@@H]1CCC(=O)NC1=O ZINC000798612344 583606257 /nfs/dbraw/zinc/60/62/57/583606257.db2.gz MFNMFAAYSSPMQE-SNVBAGLBSA-N -1 1 332.316 -0.057 20 0 EBADMM C[C@H](OC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)C(=O)C1CC1 ZINC000798660973 583606956 /nfs/dbraw/zinc/60/69/56/583606956.db2.gz PHSBGHHAIXTESP-ZETCQYMHSA-N -1 1 344.345 -0.432 20 0 EBADMM CC(C)(C)OC(=O)NC[C@@H]1CC(C(=O)NCc2nn[n-]n2)=NO1 ZINC000798962305 583608846 /nfs/dbraw/zinc/60/88/46/583608846.db2.gz LJAUDIOGVLHAPZ-ZETCQYMHSA-N -1 1 325.329 -0.515 20 0 EBADMM COC(=O)c1cccc(NC(=O)CCn2cc[n-]c(=O)c2=O)c1N ZINC000801979555 583622992 /nfs/dbraw/zinc/62/29/92/583622992.db2.gz PNHWKIYECMMYIL-UHFFFAOYSA-N -1 1 332.316 -0.066 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)NCCCNS(C)(=O)=O)ccnc1-2 ZINC000806489256 583650416 /nfs/dbraw/zinc/65/04/16/583650416.db2.gz ZPBDWSVPRWBGFO-UHFFFAOYSA-N -1 1 326.382 -0.597 20 0 EBADMM CCOCCOC[C@@H](O)CNC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806493540 583650806 /nfs/dbraw/zinc/65/08/06/583650806.db2.gz XPXIIWOEQIQRPZ-NSHDSACASA-N -1 1 337.380 -0.123 20 0 EBADMM CC(C)COC[C@H](O)CNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806852774 583654984 /nfs/dbraw/zinc/65/49/84/583654984.db2.gz NFSAXTHBXUXVEB-LLVKDONJSA-N -1 1 349.391 -0.570 20 0 EBADMM CC(C)N1CC[C@H](NC(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1=O ZINC000806898566 583655229 /nfs/dbraw/zinc/65/52/29/583655229.db2.gz HXEBQJZSCORHKN-LBPRGKRZSA-N -1 1 344.375 -0.594 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCC3(C(N)=O)CCCC3)ccnc1-2 ZINC000806899604 583655326 /nfs/dbraw/zinc/65/53/26/583655326.db2.gz LFVREKRGNWYNMR-UHFFFAOYSA-N -1 1 344.375 -0.558 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CC[C@@]4(CCOC4)C3)ccnc1-2 ZINC000806937808 583656056 /nfs/dbraw/zinc/65/60/56/583656056.db2.gz TZBKPQHRUQYBRT-MRXNPFEDSA-N -1 1 329.360 -0.081 20 0 EBADMM CNC(=O)[C@@]1(C)CCN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000807071219 583657544 /nfs/dbraw/zinc/65/75/44/583657544.db2.gz BATFNULDRYNVJO-INIZCTEOSA-N -1 1 344.375 -0.735 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCO[C@@H](C(F)F)C3)ccnc1-2 ZINC000807076923 583657849 /nfs/dbraw/zinc/65/78/49/583657849.db2.gz YRHIDHSGZYBZEV-SNVBAGLBSA-N -1 1 339.302 -0.227 20 0 EBADMM NC(=O)CN1CCN(Cn2[n-]c(-c3ccccn3)nc2=S)CC1=O ZINC000840160804 583674839 /nfs/dbraw/zinc/67/48/39/583674839.db2.gz XNBGLFLBWYLBTC-UHFFFAOYSA-N -1 1 347.404 -0.410 20 0 EBADMM CC(=O)N1C[C@@H]2CCC[C@@H](NC(=O)CCn3cc[n-]c(=O)c3=O)[C@@H]2C1 ZINC000809196929 583675508 /nfs/dbraw/zinc/67/55/08/583675508.db2.gz ZWKUFUJVSTVTPP-BFHYXJOUSA-N -1 1 348.403 -0.310 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1COc2ccccc2[C@@H]1O ZINC000811580779 583692421 /nfs/dbraw/zinc/69/24/21/583692421.db2.gz MIAAQRKAHIXNQU-FZMZJTMJSA-N -1 1 331.328 -0.463 20 0 EBADMM Cn1nnc(C[N-]S(=O)(=O)c2ccc(Cl)c3nonc32)n1 ZINC000814392203 583705491 /nfs/dbraw/zinc/70/54/91/583705491.db2.gz GRSGELKGKVIOJV-UHFFFAOYSA-N -1 1 329.729 -0.122 20 0 EBADMM COC[C@@H]([N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F)C(N)=O ZINC000815028764 583707157 /nfs/dbraw/zinc/70/71/57/583707157.db2.gz FSIVOJICIWSLQG-RXMQYKEDSA-N -1 1 330.288 -0.783 20 0 EBADMM Cn1cnn(CCC(=O)OCC(=O)[N-]C(=O)c2ccccc2)c1=O ZINC000815270202 583709521 /nfs/dbraw/zinc/70/95/21/583709521.db2.gz NURWHOHCBQITQD-UHFFFAOYSA-N -1 1 332.316 -0.528 20 0 EBADMM CC[C@H]1CN(C)CCN1C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000815871488 583712713 /nfs/dbraw/zinc/71/27/13/583712713.db2.gz NKZYNCOTNQYTNL-NSHDSACASA-N -1 1 330.392 -0.167 20 0 EBADMM CC[C@H](C)CONC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000816975946 583724718 /nfs/dbraw/zinc/72/47/18/583724718.db2.gz SMZWCHBNIUADSR-QMMMGPOBSA-N -1 1 333.366 -0.249 20 0 EBADMM COc1ccccc1[C@@H](N)CNC(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC000818559073 583745597 /nfs/dbraw/zinc/74/55/97/583745597.db2.gz YAPQRHJHQUXLKW-LBPRGKRZSA-N -1 1 342.359 -0.104 20 0 EBADMM CC(C)(CNC(=O)c1ccc(-c2nnn[n-]2)s1)NS(C)(=O)=O ZINC000819980910 583764341 /nfs/dbraw/zinc/76/43/41/583764341.db2.gz IVHXDBGETYUTNB-UHFFFAOYSA-N -1 1 344.422 -0.014 20 0 EBADMM CC(C)(CNC(=O)c1ccc(-c2nn[n-]n2)s1)NS(C)(=O)=O ZINC000819980910 583764343 /nfs/dbraw/zinc/76/43/43/583764343.db2.gz IVHXDBGETYUTNB-UHFFFAOYSA-N -1 1 344.422 -0.014 20 0 EBADMM O=C(N[C@@H](CO)CN1CCOCC1)c1ccc2n[n-]c(=S)n2c1 ZINC000820015870 583764883 /nfs/dbraw/zinc/76/48/83/583764883.db2.gz OIAFGNLWKDNAMI-LLVKDONJSA-N -1 1 337.405 -0.559 20 0 EBADMM CC(C)OC(=O)CSc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000820142786 583767139 /nfs/dbraw/zinc/76/71/39/583767139.db2.gz WBDPKDNYDUMFRR-UHFFFAOYSA-N -1 1 340.365 -0.692 20 0 EBADMM C[C@@H](CNC(=O)Nc1nn(C)cc1-c1nnn[n-]1)N1CCOCC1 ZINC000820270305 583769811 /nfs/dbraw/zinc/76/98/11/583769811.db2.gz ZVYDXTDMSNEXHV-VIFPVBQESA-N -1 1 335.372 -0.558 20 0 EBADMM C[C@@H](CNC(=O)Nc1nn(C)cc1-c1nn[n-]n1)N1CCOCC1 ZINC000820270305 583769813 /nfs/dbraw/zinc/76/98/13/583769813.db2.gz ZVYDXTDMSNEXHV-VIFPVBQESA-N -1 1 335.372 -0.558 20 0 EBADMM CC(C)[N@H+]1C[C@@H](C)[C@H](Nc2c(-c3nnn[n-]3)c(=O)n(C)c(=O)n2C)C1 ZINC000820752873 583779147 /nfs/dbraw/zinc/77/91/47/583779147.db2.gz SZPJKUTWCRBPGE-NXEZZACHSA-N -1 1 348.411 -0.595 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nnn[n-]2)CCN1C(=O)C1=COCC1 ZINC000820777144 583779464 /nfs/dbraw/zinc/77/94/64/583779464.db2.gz PTJCOEKKJRPBOT-SNVBAGLBSA-N -1 1 342.363 -0.002 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1C(=O)C1=COCC1 ZINC000820777144 583779466 /nfs/dbraw/zinc/77/94/66/583779466.db2.gz PTJCOEKKJRPBOT-SNVBAGLBSA-N -1 1 342.363 -0.002 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nnn[n-]2)CCN1CC(=O)N1CCC1 ZINC000820788465 583780223 /nfs/dbraw/zinc/78/02/23/583780223.db2.gz HRIYKLCGEWFHPW-LLVKDONJSA-N -1 1 343.395 -0.601 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1CC(=O)N1CCC1 ZINC000820788465 583780224 /nfs/dbraw/zinc/78/02/24/583780224.db2.gz HRIYKLCGEWFHPW-LLVKDONJSA-N -1 1 343.395 -0.601 20 0 EBADMM CS(=O)(=O)Nc1cc(F)ccc1C(=O)OCC(=O)NCC(=O)[O-] ZINC000820891040 583782569 /nfs/dbraw/zinc/78/25/69/583782569.db2.gz VWTHNCMMICIONW-UHFFFAOYSA-N -1 1 348.308 -0.445 20 0 EBADMM CCCNC(=O)CN1CCN(c2nccnc2-c2nn[n-]n2)C[C@H]1C ZINC000821114429 583785223 /nfs/dbraw/zinc/78/52/23/583785223.db2.gz RPYCENLLXACVMX-LLVKDONJSA-N -1 1 345.411 -0.307 20 0 EBADMM CCCNC(=O)[C@H](C)[N@@H+](C)CCCc1[nH]nc(N)c1-c1nn[nH]n1 ZINC000821114144 583785256 /nfs/dbraw/zinc/78/52/56/583785256.db2.gz JNLCVNXFUZKOJU-VIFPVBQESA-N -1 1 335.416 -0.049 20 0 EBADMM CCCNC(=O)[C@H](C)[N@H+](C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000821114144 583785257 /nfs/dbraw/zinc/78/52/57/583785257.db2.gz JNLCVNXFUZKOJU-VIFPVBQESA-N -1 1 335.416 -0.049 20 0 EBADMM CCOC(=O)C1CCC(NC(=O)Cn2cnc(-c3nn[n-]n3)n2)CC1 ZINC000821321179 583788930 /nfs/dbraw/zinc/78/89/30/583788930.db2.gz VMWXRWANPKLGCD-UHFFFAOYSA-N -1 1 348.367 -0.304 20 0 EBADMM CN(C)C(=O)[C@H]1CCC[C@H](NC(=O)Cn2cnc(-c3nn[n-]n3)n2)C1 ZINC000821575541 583793417 /nfs/dbraw/zinc/79/34/17/583793417.db2.gz GLXMHAQWXUSLED-UWVGGRQHSA-N -1 1 347.383 -0.779 20 0 EBADMM CN(Cc1cnn(C)c1)C(=O)Cn1cccc(-c2nn[n-]n2)c1=O ZINC000821617045 583795268 /nfs/dbraw/zinc/79/52/68/583795268.db2.gz UFAMCWAHWOUSIQ-UHFFFAOYSA-N -1 1 328.336 -0.580 20 0 EBADMM CN(Cc1ccc2c(c1)OCO2)C(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821612355 583795337 /nfs/dbraw/zinc/79/53/37/583795337.db2.gz RWBATWPRHMINII-UHFFFAOYSA-N -1 1 342.319 -0.155 20 0 EBADMM C[N@H+]1CCC[C@@H]1C(=O)N1CCN(c2nccnc2-c2nnn[n-]2)CC1 ZINC000821623416 583795636 /nfs/dbraw/zinc/79/56/36/583795636.db2.gz LEFJTYGMOGEREX-LLVKDONJSA-N -1 1 343.395 -0.601 20 0 EBADMM C[N@@H+]1CCC[C@@H]1C(=O)N1CCN(c2nccnc2-c2nn[n-]n2)CC1 ZINC000821623416 583795638 /nfs/dbraw/zinc/79/56/38/583795638.db2.gz LEFJTYGMOGEREX-LLVKDONJSA-N -1 1 343.395 -0.601 20 0 EBADMM CNC(=O)CN(C)C(=O)CCc1c(C)[nH]c(=O)c(-c2nn[n-]n2)c1C ZINC000821632865 583797905 /nfs/dbraw/zinc/79/79/05/583797905.db2.gz MJKZBJCHFBMYID-UHFFFAOYSA-N -1 1 347.379 -0.279 20 0 EBADMM CNC(=O)c1cccnc1NCCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000821636316 583798483 /nfs/dbraw/zinc/79/84/83/583798483.db2.gz NNSHYTGVOSIACM-UHFFFAOYSA-N -1 1 342.367 -0.029 20 0 EBADMM COC(=O)C[C@H]1CN(C(=O)c2ccc(-c3nnn[n-]3)nc2)CCO1 ZINC000821660470 583799699 /nfs/dbraw/zinc/79/96/99/583799699.db2.gz SMLABYBYOGKKSX-JTQLQIEISA-N -1 1 332.320 -0.334 20 0 EBADMM COC(=O)C[C@H]1CN(C(=O)c2ccc(-c3nn[n-]n3)nc2)CCO1 ZINC000821660470 583799700 /nfs/dbraw/zinc/79/97/00/583799700.db2.gz SMLABYBYOGKKSX-JTQLQIEISA-N -1 1 332.320 -0.334 20 0 EBADMM COC(=O)CN(C1CC1)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821662599 583800114 /nfs/dbraw/zinc/80/01/14/583800114.db2.gz JWPFDEYGXWXJGV-UHFFFAOYSA-N -1 1 338.349 -0.412 20 0 EBADMM COC(=O)CN(C1CC1)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821662599 583800116 /nfs/dbraw/zinc/80/01/16/583800116.db2.gz JWPFDEYGXWXJGV-UHFFFAOYSA-N -1 1 338.349 -0.412 20 0 EBADMM COC(=O)c1cnc(NCCCc2[nH]nc(N)c2-c2nn[n-]n2)cn1 ZINC000821671429 583800336 /nfs/dbraw/zinc/80/03/36/583800336.db2.gz VQMFINRGKOPWRT-UHFFFAOYSA-N -1 1 344.339 -0.207 20 0 EBADMM CO[C@H]1C[C@H](Nc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)C1(C)C ZINC000821678335 583800361 /nfs/dbraw/zinc/80/03/61/583800361.db2.gz MPPKSQSYBMDFQK-YUMQZZPRSA-N -1 1 335.368 -0.511 20 0 EBADMM COCCN(Cc1cccnc1)C(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821690415 583801611 /nfs/dbraw/zinc/80/16/11/583801611.db2.gz KUQVZFLPEFTWQS-UHFFFAOYSA-N -1 1 343.351 -0.472 20 0 EBADMM COCCOc1ncccc1NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821694380 583802034 /nfs/dbraw/zinc/80/20/34/583802034.db2.gz KNQYBERRVSBWCL-UHFFFAOYSA-N -1 1 345.323 -0.483 20 0 EBADMM Cc1oc(NC(=O)CN2CCO[C@@H](CO)C2)c(-c2nn[n-]n2)c1C ZINC000822548826 583812445 /nfs/dbraw/zinc/81/24/45/583812445.db2.gz ORRWUIOBXFRYJG-SNVBAGLBSA-N -1 1 336.352 -0.292 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N[C@@H]2CCCS(=O)(=O)C2)n1 ZINC000822613146 583814088 /nfs/dbraw/zinc/81/40/88/583814088.db2.gz BJUWEERIGGQUTG-SSDOTTSWSA-N -1 1 340.369 -0.701 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N[C@@H]2CCCS(=O)(=O)C2)n1 ZINC000822613146 583814089 /nfs/dbraw/zinc/81/40/89/583814089.db2.gz BJUWEERIGGQUTG-SSDOTTSWSA-N -1 1 340.369 -0.701 20 0 EBADMM NC(=O)CC1(NS(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)CCC1 ZINC000822864937 583819756 /nfs/dbraw/zinc/81/97/56/583819756.db2.gz PSEDNSOHELIGJZ-UHFFFAOYSA-N -1 1 337.365 -0.662 20 0 EBADMM NC(=O)CC1(NS(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)CCC1 ZINC000822864937 583819758 /nfs/dbraw/zinc/81/97/58/583819758.db2.gz PSEDNSOHELIGJZ-UHFFFAOYSA-N -1 1 337.365 -0.662 20 0 EBADMM NC(=O)NC(=O)C1CCC(Nc2nccnc2-c2nnn[n-]2)CC1 ZINC000822873728 583820186 /nfs/dbraw/zinc/82/01/86/583820186.db2.gz HDXSCRFLMYYUNC-UHFFFAOYSA-N -1 1 331.340 -0.178 20 0 EBADMM NC(=O)NC(=O)C1CCC(Nc2nccnc2-c2nn[n-]n2)CC1 ZINC000822873728 583820187 /nfs/dbraw/zinc/82/01/87/583820187.db2.gz HDXSCRFLMYYUNC-UHFFFAOYSA-N -1 1 331.340 -0.178 20 0 EBADMM NC(=O)c1cncc(NCCCc2[nH]nc(N)c2-c2nn[n-]n2)n1 ZINC000822890064 583820972 /nfs/dbraw/zinc/82/09/72/583820972.db2.gz LNOCZABMQANIGK-UHFFFAOYSA-N -1 1 329.328 -0.894 20 0 EBADMM Nc1n[nH]c(CCCNc2nccn3cnnc23)c1-c1nn[n-]n1 ZINC000822899390 583821163 /nfs/dbraw/zinc/82/11/63/583821163.db2.gz IKJXKEPHFULJKL-UHFFFAOYSA-N -1 1 326.328 -0.346 20 0 EBADMM O=C(CN1CCCS1(=O)=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000822996575 583822186 /nfs/dbraw/zinc/82/21/86/583822186.db2.gz HMHOHFIFOCKGBW-UHFFFAOYSA-N -1 1 338.349 -0.660 20 0 EBADMM O=C(CN1CCCS1(=O)=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000822996575 583822187 /nfs/dbraw/zinc/82/21/87/583822187.db2.gz HMHOHFIFOCKGBW-UHFFFAOYSA-N -1 1 338.349 -0.660 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CC[C@@H](Oc2cccnc2)C1 ZINC000823122469 583824435 /nfs/dbraw/zinc/82/44/35/583824435.db2.gz BRDPEEOIAAMHQF-LLVKDONJSA-N -1 1 341.335 -0.467 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCC1(O)Cc2ccccc2C1 ZINC000823125543 583824807 /nfs/dbraw/zinc/82/48/07/583824807.db2.gz ALAYFLMOSARMHJ-UHFFFAOYSA-N -1 1 340.347 -0.896 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCOC[C@H]1C(F)(F)F ZINC000823127037 583825008 /nfs/dbraw/zinc/82/50/08/583825008.db2.gz RBTUFZYMQAVVAE-LURJTMIESA-N -1 1 332.246 -0.752 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCC(=O)Nc1cccc(F)c1 ZINC000823127286 583825221 /nfs/dbraw/zinc/82/52/21/583825221.db2.gz YYHQPQGZPZKLOQ-UHFFFAOYSA-N -1 1 345.298 -0.648 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCc1ccc2c(c1)OCCO2 ZINC000823131385 583825413 /nfs/dbraw/zinc/82/54/13/583825413.db2.gz GVFUTIDIDUVUKN-UHFFFAOYSA-N -1 1 342.319 -0.454 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCCc1cn2ccccc2n1 ZINC000823131900 583825626 /nfs/dbraw/zinc/82/56/26/583825626.db2.gz PSTKYUSKWKNFRZ-UHFFFAOYSA-N -1 1 338.335 -0.535 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)Nc1ccc2c(c1)NC(=O)CC2 ZINC000823135988 583825835 /nfs/dbraw/zinc/82/58/35/583825835.db2.gz DTZNGQWPHISOBQ-UHFFFAOYSA-N -1 1 339.319 -0.018 20 0 EBADMM O=C(c1cc(-c2nn[nH]n2)cs1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000823472652 583830010 /nfs/dbraw/zinc/83/00/10/583830010.db2.gz UIJOWJBWLAGKKH-SSDOTTSWSA-N -1 1 333.337 -0.345 20 0 EBADMM O=C1CN(S(=O)(=O)c2ccc(F)cc2-c2nn[n-]n2)CCCN1 ZINC000823536013 583831265 /nfs/dbraw/zinc/83/12/65/583831265.db2.gz OZRQEIXJCHUMMI-UHFFFAOYSA-N -1 1 340.340 -0.484 20 0 EBADMM O=S(=O)(N[C@@H]1CCc2c[nH]nc2C1)c1ccc(-c2nn[n-]n2)nc1 ZINC000823572802 583834048 /nfs/dbraw/zinc/83/40/48/583834048.db2.gz NDAVDHWTNUWCAL-SECBINFHSA-N -1 1 346.376 -0.179 20 0 EBADMM C[C@H](C(=O)N1CCC[C@H](C(N)=O)C1)N1CC[C@H](N(C)C(=O)[O-])C1 ZINC000823661723 583835295 /nfs/dbraw/zinc/83/52/95/583835295.db2.gz JVBFTSDWSIMZLF-WOPDTQHZSA-N -1 1 326.397 -0.217 20 0 EBADMM CC(=O)N[C@@H]1CCCN(C(=O)Cc2noc(C)c2-c2nnn[n-]2)C1 ZINC000823987629 583839814 /nfs/dbraw/zinc/83/98/14/583839814.db2.gz AQWYMAMJDFUXJM-SNVBAGLBSA-N -1 1 333.352 -0.167 20 0 EBADMM CC(=O)N[C@@H]1CCCN(C(=O)Cc2noc(C)c2-c2nn[n-]n2)C1 ZINC000823987629 583839815 /nfs/dbraw/zinc/83/98/15/583839815.db2.gz AQWYMAMJDFUXJM-SNVBAGLBSA-N -1 1 333.352 -0.167 20 0 EBADMM CC(C)(O)CN1CCN(C(=O)C[C@H]2CN(C(=O)[O-])CCO2)CC1 ZINC000824034644 583840278 /nfs/dbraw/zinc/84/02/78/583840278.db2.gz GNDSYFPDCQVHAF-LBPRGKRZSA-N -1 1 329.397 -0.330 20 0 EBADMM C[C@H]1C(=O)NCCN1S(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000824860840 583851436 /nfs/dbraw/zinc/85/14/36/583851436.db2.gz YCHJKHHBRCHBKO-ZETCQYMHSA-N -1 1 340.340 -0.485 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nnn[n-]2)CCN1C(=O)c1nc[nH]n1 ZINC000825001323 583853794 /nfs/dbraw/zinc/85/37/94/583853794.db2.gz ZCRMFFVQWZICSW-MRVPVSSYSA-N -1 1 341.339 -0.874 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1C(=O)c1nc[nH]n1 ZINC000825001323 583853795 /nfs/dbraw/zinc/85/37/95/583853795.db2.gz ZCRMFFVQWZICSW-MRVPVSSYSA-N -1 1 341.339 -0.874 20 0 EBADMM CC[C@@H](Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C)[C@@H]1CCCO1 ZINC000825149316 583855645 /nfs/dbraw/zinc/85/56/45/583855645.db2.gz XIUBHDFNQHSZHG-BDAKNGLRSA-N -1 1 335.368 -0.366 20 0 EBADMM CCN(Cc1cn(C)nn1)C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000825439431 583860786 /nfs/dbraw/zinc/86/07/86/583860786.db2.gz BZJWKMNRXRMRQR-UHFFFAOYSA-N -1 1 331.344 -0.217 20 0 EBADMM CCN(Cc1cn(C)nn1)C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000825439431 583860790 /nfs/dbraw/zinc/86/07/90/583860790.db2.gz BZJWKMNRXRMRQR-UHFFFAOYSA-N -1 1 331.344 -0.217 20 0 EBADMM CCOC(=O)N1CCN(C(=O)Cn2ccnc2-c2nnn[n-]2)CC1 ZINC000825518403 583862625 /nfs/dbraw/zinc/86/26/25/583862625.db2.gz YZOUXOFKCMBBLL-UHFFFAOYSA-N -1 1 334.340 -0.636 20 0 EBADMM CCOC(=O)N1CCN(C(=O)Cn2ccnc2-c2nn[n-]n2)CC1 ZINC000825518403 583862626 /nfs/dbraw/zinc/86/26/26/583862626.db2.gz YZOUXOFKCMBBLL-UHFFFAOYSA-N -1 1 334.340 -0.636 20 0 EBADMM CCOC(=O)CNS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000825517428 583862660 /nfs/dbraw/zinc/86/26/60/583862660.db2.gz DHYMTAXTFDJAAQ-UHFFFAOYSA-N -1 1 329.313 -0.153 20 0 EBADMM CCS(=O)(=O)NCC(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000825663065 583865120 /nfs/dbraw/zinc/86/51/20/583865120.db2.gz HMDSGOOPAPBSRA-UHFFFAOYSA-N -1 1 326.338 -0.756 20 0 EBADMM CCS(=O)(=O)NCC(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000825663065 583865122 /nfs/dbraw/zinc/86/51/22/583865122.db2.gz HMDSGOOPAPBSRA-UHFFFAOYSA-N -1 1 326.338 -0.756 20 0 EBADMM C[C@H](C(=O)N1CCCC1)N1CCN(CCC(=O)NCC(=O)[O-])CC1 ZINC000825983257 583872994 /nfs/dbraw/zinc/87/29/94/583872994.db2.gz UDDJMLGKYLVGDT-CYBMUJFWSA-N -1 1 340.424 -0.794 20 0 EBADMM CN1CN(S(=O)(=O)c2cccc(F)c2-c2nnn[n-]2)CC1=O ZINC000826045031 583874944 /nfs/dbraw/zinc/87/49/44/583874944.db2.gz NSGBUIWPWMNMFM-UHFFFAOYSA-N -1 1 326.313 -0.574 20 0 EBADMM CN1CN(S(=O)(=O)c2cccc(F)c2-c2nn[n-]n2)CC1=O ZINC000826045031 583874945 /nfs/dbraw/zinc/87/49/45/583874945.db2.gz NSGBUIWPWMNMFM-UHFFFAOYSA-N -1 1 326.313 -0.574 20 0 EBADMM C[C@@H]1CN(CCCNC(=O)[C@@H]2CN(C(=O)[O-])CCO2)C[C@@H](C)O1 ZINC000826056391 583875702 /nfs/dbraw/zinc/87/57/02/583875702.db2.gz CDAZTRIBKKRXJI-UPJWGTAASA-N -1 1 329.397 -0.019 20 0 EBADMM CNC(=O)[C@@H]1CN(c2c3ccccc3nnc2-c2nnn[n-]2)CCO1 ZINC000826060038 583876254 /nfs/dbraw/zinc/87/62/54/583876254.db2.gz FLQXAEKZYWUENT-NSHDSACASA-N -1 1 340.347 -0.239 20 0 EBADMM CNC(=O)[C@@H]1CN(c2c3ccccc3nnc2-c2nn[n-]n2)CCO1 ZINC000826060038 583876255 /nfs/dbraw/zinc/87/62/55/583876255.db2.gz FLQXAEKZYWUENT-NSHDSACASA-N -1 1 340.347 -0.239 20 0 EBADMM COC(=O)[C@@]1(NC(=O)Cc2noc(C)c2-c2nnn[n-]2)CCOC1 ZINC000826123797 583880183 /nfs/dbraw/zinc/88/01/83/583880183.db2.gz KTGZIVNFHOPXDG-CYBMUJFWSA-N -1 1 336.308 -0.846 20 0 EBADMM COC(=O)[C@@]1(NC(=O)Cc2noc(C)c2-c2nn[n-]n2)CCOC1 ZINC000826123797 583880184 /nfs/dbraw/zinc/88/01/84/583880184.db2.gz KTGZIVNFHOPXDG-CYBMUJFWSA-N -1 1 336.308 -0.846 20 0 EBADMM COC1(CNC(=O)Nc2nn(C)cc2-c2nnn[n-]2)CCOCC1 ZINC000826143504 583881345 /nfs/dbraw/zinc/88/13/45/583881345.db2.gz CMVZVNNFZOBDLJ-UHFFFAOYSA-N -1 1 336.356 -0.083 20 0 EBADMM COC1(CNC(=O)Nc2nn(C)cc2-c2nn[n-]n2)CCOCC1 ZINC000826143504 583881346 /nfs/dbraw/zinc/88/13/46/583881346.db2.gz CMVZVNNFZOBDLJ-UHFFFAOYSA-N -1 1 336.356 -0.083 20 0 EBADMM COC(=O)CN(CCN1CCOCC1)c1cccc(-c2nn[n-]n2)n1 ZINC000826138270 583882253 /nfs/dbraw/zinc/88/22/53/583882253.db2.gz NCEJGRSGFDGUQQ-UHFFFAOYSA-N -1 1 347.379 -0.427 20 0 EBADMM CO[C@@H]1CCC[C@@H]1CNc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000826146147 583883196 /nfs/dbraw/zinc/88/31/96/583883196.db2.gz QVMSPEWUYGRERT-RKDXNWHRSA-N -1 1 335.368 -0.509 20 0 EBADMM COC[C@@](C)(O)CNS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000826148809 583883381 /nfs/dbraw/zinc/88/33/81/583883381.db2.gz RGCLAQRYOAVHJN-LBPRGKRZSA-N -1 1 345.356 -0.319 20 0 EBADMM COC[C@@H](Cn1cncn1)OC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000826149748 583883839 /nfs/dbraw/zinc/88/38/39/583883839.db2.gz NTPYRIBOLIRQPX-SNVBAGLBSA-N -1 1 330.308 -0.275 20 0 EBADMM COC[C@@H](Cn1cncn1)OC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000826149748 583883840 /nfs/dbraw/zinc/88/38/40/583883840.db2.gz NTPYRIBOLIRQPX-SNVBAGLBSA-N -1 1 330.308 -0.275 20 0 EBADMM COCC[C@@](C)(O)CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000826154254 583885457 /nfs/dbraw/zinc/88/54/57/583885457.db2.gz LIGYWUWTJLMBSP-GFCCVEGCSA-N -1 1 342.381 -0.673 20 0 EBADMM COCC[C@@](C)(O)CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000826154254 583885460 /nfs/dbraw/zinc/88/54/60/583885460.db2.gz LIGYWUWTJLMBSP-GFCCVEGCSA-N -1 1 342.381 -0.673 20 0 EBADMM CS(=O)(=O)CCNS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000826222862 583888026 /nfs/dbraw/zinc/88/80/26/583888026.db2.gz QBTDFVNVVGASFU-UHFFFAOYSA-N -1 1 349.369 -0.671 20 0 EBADMM CS(=O)(=O)CCNS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000826222862 583888027 /nfs/dbraw/zinc/88/80/27/583888027.db2.gz QBTDFVNVVGASFU-UHFFFAOYSA-N -1 1 349.369 -0.671 20 0 EBADMM CSC[C@H](CCO)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000826228680 583889154 /nfs/dbraw/zinc/88/91/54/583889154.db2.gz XDMFCDYMHSRQAD-QMMMGPOBSA-N -1 1 344.422 -0.346 20 0 EBADMM CSC[C@H](CCO)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000826228680 583889156 /nfs/dbraw/zinc/88/91/56/583889156.db2.gz XDMFCDYMHSRQAD-QMMMGPOBSA-N -1 1 344.422 -0.346 20 0 EBADMM Cc1onc(CC(=O)N2C[C@@H](C(N)=O)CC[C@@H]2C)c1-c1nnn[n-]1 ZINC000826343572 583891998 /nfs/dbraw/zinc/89/19/98/583891998.db2.gz GPBVWIJUICMPKS-CBAPKCEASA-N -1 1 333.352 -0.182 20 0 EBADMM Cc1onc(CC(=O)N2C[C@@H](C(N)=O)CC[C@@H]2C)c1-c1nn[n-]n1 ZINC000826343572 583892000 /nfs/dbraw/zinc/89/20/00/583892000.db2.gz GPBVWIJUICMPKS-CBAPKCEASA-N -1 1 333.352 -0.182 20 0 EBADMM Cc1onc(CC(=O)N2C[C@@H]3OCCN(C)[C@H]3C2)c1-c1nn[n-]n1 ZINC000826344042 583892034 /nfs/dbraw/zinc/89/20/34/583892034.db2.gz VSGXPELCLNWZKQ-QWRGUYRKSA-N -1 1 333.352 -0.753 20 0 EBADMM Cc1onc(CC(=O)N(C)[C@@H]2CCCCNC2=O)c1-c1nnn[n-]1 ZINC000826343078 583892222 /nfs/dbraw/zinc/89/22/22/583892222.db2.gz LJLJKQHEJNEWCK-SNVBAGLBSA-N -1 1 333.352 -0.167 20 0 EBADMM Cc1onc(CC(=O)N(C)[C@@H]2CCCCNC2=O)c1-c1nn[n-]n1 ZINC000826343078 583892224 /nfs/dbraw/zinc/89/22/24/583892224.db2.gz LJLJKQHEJNEWCK-SNVBAGLBSA-N -1 1 333.352 -0.167 20 0 EBADMM Cc1onc(CC(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@@H]3C)C2)c1-c1nnn[n-]1 ZINC000826346419 583892885 /nfs/dbraw/zinc/89/28/85/583892885.db2.gz LVKROLSRDGDIIU-JVUFJMBOSA-N -1 1 345.363 -0.169 20 0 EBADMM Cc1onc(CC(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@@H]3C)C2)c1-c1nn[n-]n1 ZINC000826346419 583892886 /nfs/dbraw/zinc/89/28/86/583892886.db2.gz LVKROLSRDGDIIU-JVUFJMBOSA-N -1 1 345.363 -0.169 20 0 EBADMM Cc1onc(CC(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@H]3C)C2)c1-c1nnn[n-]1 ZINC000826346418 583892965 /nfs/dbraw/zinc/89/29/65/583892965.db2.gz LVKROLSRDGDIIU-BCMRRPTOSA-N -1 1 345.363 -0.169 20 0 EBADMM Cc1onc(CC(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@H]3C)C2)c1-c1nn[n-]n1 ZINC000826346418 583892968 /nfs/dbraw/zinc/89/29/68/583892968.db2.gz LVKROLSRDGDIIU-BCMRRPTOSA-N -1 1 345.363 -0.169 20 0 EBADMM Cc1onc(CC(=O)NCC2(C(N)=O)CCCC2)c1-c1nnn[n-]1 ZINC000826347449 583893318 /nfs/dbraw/zinc/89/33/18/583893318.db2.gz RLGVOJPPDWFBNI-UHFFFAOYSA-N -1 1 333.352 -0.132 20 0 EBADMM Cc1onc(CC(=O)NCC2(C(N)=O)CCCC2)c1-c1nn[n-]n1 ZINC000826347449 583893320 /nfs/dbraw/zinc/89/33/20/583893320.db2.gz RLGVOJPPDWFBNI-UHFFFAOYSA-N -1 1 333.352 -0.132 20 0 EBADMM Cn1c(Cn2c(=O)c(-c3nn[n-]n3)cn(C)c2=O)nnc1C1CCC1 ZINC000826355850 583893400 /nfs/dbraw/zinc/89/34/00/583893400.db2.gz PTCQDLXFUKJCPD-UHFFFAOYSA-N -1 1 343.351 -0.829 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N2CCc3ncccc3C2)n(C)c1=O ZINC000826355969 583893419 /nfs/dbraw/zinc/89/34/19/583893419.db2.gz VJTDOAPZANOCHI-UHFFFAOYSA-N -1 1 340.347 -0.778 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(=O)n(Cc2nnc(C(C)(C)C)o2)c1=O ZINC000826357032 583893681 /nfs/dbraw/zinc/89/36/81/583893681.db2.gz OSMXPRKLMBWHMR-UHFFFAOYSA-N -1 1 332.324 -0.544 20 0 EBADMM NS(=O)(=O)NC[C@@H]1CCCN(c2cccc(-c3nnn[n-]3)n2)C1 ZINC000826394339 583898204 /nfs/dbraw/zinc/89/82/04/583898204.db2.gz GLYKPLCVMYIJGY-VIFPVBQESA-N -1 1 338.397 -0.729 20 0 EBADMM NS(=O)(=O)NC[C@@H]1CCCN(c2cccc(-c3nn[n-]n3)n2)C1 ZINC000826394339 583898205 /nfs/dbraw/zinc/89/82/05/583898205.db2.gz GLYKPLCVMYIJGY-VIFPVBQESA-N -1 1 338.397 -0.729 20 0 EBADMM O=C(CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)NCC1CC1 ZINC000826413216 583899823 /nfs/dbraw/zinc/89/98/23/583899823.db2.gz WLVUJGNLIVDWRK-UHFFFAOYSA-N -1 1 337.365 -0.934 20 0 EBADMM O=C(CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)NCC1CC1 ZINC000826413216 583899824 /nfs/dbraw/zinc/89/98/24/583899824.db2.gz WLVUJGNLIVDWRK-UHFFFAOYSA-N -1 1 337.365 -0.934 20 0 EBADMM O=C1NC(=O)N2CCN(c3ccc(Cl)c(-c4nnn[n-]4)n3)C[C@H]12 ZINC000826481648 583904431 /nfs/dbraw/zinc/90/44/31/583904431.db2.gz DNOWOGJZDSPRSF-SSDOTTSWSA-N -1 1 334.727 -0.345 20 0 EBADMM O=C1NC(=O)N2CCN(c3ccc(Cl)c(-c4nn[n-]n4)n3)C[C@H]12 ZINC000826481648 583904433 /nfs/dbraw/zinc/90/44/33/583904433.db2.gz DNOWOGJZDSPRSF-SSDOTTSWSA-N -1 1 334.727 -0.345 20 0 EBADMM O=C1OCC[C@@H]1[N-]S(=O)(=O)Cc1cc(-c2nn[nH]n2)ccc1F ZINC000826483627 583904711 /nfs/dbraw/zinc/90/47/11/583904711.db2.gz UISZNEDAACVRLX-JTQLQIEISA-N -1 1 341.324 -0.259 20 0 EBADMM O=C1N[C@H](c2cnc3c(-c4nnn[n-]4)cnn3c2)N2CCSC[C@H]12 ZINC000826482158 583904745 /nfs/dbraw/zinc/90/47/45/583904745.db2.gz UFZDABCBCCUVLW-KOLCDFICSA-N -1 1 343.376 -0.545 20 0 EBADMM O=C1N[C@H](c2cnc3c(-c4nn[n-]n4)cnn3c2)N2CCSC[C@H]12 ZINC000826482158 583904746 /nfs/dbraw/zinc/90/47/46/583904746.db2.gz UFZDABCBCCUVLW-KOLCDFICSA-N -1 1 343.376 -0.545 20 0 EBADMM C[C@@H]1CCN(C(=O)C[C@@H]2CC(=O)NC2=O)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000826687324 583909848 /nfs/dbraw/zinc/90/98/48/583909848.db2.gz ZWMIONYMDWLSGR-VGMNWLOBSA-N -1 1 349.309 -0.045 20 0 EBADMM O=C(c1cc(Br)n[nH]1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000826833232 583911099 /nfs/dbraw/zinc/91/10/99/583911099.db2.gz ADTCYIBYBYQKCB-ZCFIWIBFSA-N -1 1 328.130 -0.101 20 0 EBADMM O=C([C@H]1CC(=O)N[C@H]2CCCC[C@H]21)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000826834763 583911124 /nfs/dbraw/zinc/91/11/24/583911124.db2.gz WLQBSCMHYBWLGR-BJDJZHNGSA-N -1 1 334.380 -0.206 20 0 EBADMM O=C([C@H]1CC(=O)N[C@@H]2CCCC[C@H]21)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000826834766 583911333 /nfs/dbraw/zinc/91/13/33/583911333.db2.gz WLQBSCMHYBWLGR-YFKTTZPYSA-N -1 1 334.380 -0.206 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)CN2CC[C@@](F)(C(=O)[O-])C2)C1 ZINC000826886308 583911976 /nfs/dbraw/zinc/91/19/76/583911976.db2.gz UIFKEUGJRLNVPS-CJNGLKHVSA-N -1 1 345.415 -0.042 20 0 EBADMM CCN1CCN(CC(=O)N2CCO[C@@H]([C@@H](C)NC(=O)[O-])C2)CC1 ZINC000827223227 583916900 /nfs/dbraw/zinc/91/69/00/583916900.db2.gz QNIMFBNERZXOFI-CHWSQXEVSA-N -1 1 328.413 -0.493 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@@H]2CC(=O)N(c3cn[nH]c3)C2)CC1 ZINC000827355079 583919657 /nfs/dbraw/zinc/91/96/57/583919657.db2.gz IDGYSAGKWTXRQD-NWDGAFQWSA-N -1 1 349.391 -0.230 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)CN2C(=O)NC(C)(C)C2=O)CC1 ZINC000827362636 583919943 /nfs/dbraw/zinc/91/99/43/583919943.db2.gz RDLUFACWILQLGP-SNVBAGLBSA-N -1 1 340.380 -0.676 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=S)NCCN2CCOCC2)CC1 ZINC000827379381 583920077 /nfs/dbraw/zinc/92/00/77/583920077.db2.gz HHIDKJVWPHHQLG-ZDUSSCGKSA-N -1 1 344.481 -0.326 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1CC(=O)NC(=O)N1 ZINC000827704012 583926143 /nfs/dbraw/zinc/92/61/43/583926143.db2.gz AQVDBWVOHCJBKD-VIFPVBQESA-N -1 1 332.320 -0.734 20 0 EBADMM CN(C)CC(=O)N1CCN(C(=O)c2ccc(CNC(=O)[O-])o2)CC1 ZINC000828009094 583931503 /nfs/dbraw/zinc/93/15/03/583931503.db2.gz KANDENBQZKPHOC-UHFFFAOYSA-N -1 1 338.364 -0.107 20 0 EBADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1c(C)n[nH]c1C)c1ccnn1C ZINC000828127461 583934106 /nfs/dbraw/zinc/93/41/06/583934106.db2.gz NYPXCYHVPHKRSB-JTQLQIEISA-N -1 1 327.366 -0.047 20 0 EBADMM C[C@H]1CN(CC(=O)Nc2ccc(S(N)(=O)=O)cc2)C[C@@H]1C(=O)[O-] ZINC000828438887 583938847 /nfs/dbraw/zinc/93/88/47/583938847.db2.gz GRMITXGEEJRCSL-CABZTGNLSA-N -1 1 341.389 -0.075 20 0 EBADMM CC[C@H](CO)N1CCN(CC(=O)N[C@@H](CC(C)C)C(=O)[O-])CC1 ZINC000828890865 583948262 /nfs/dbraw/zinc/94/82/62/583948262.db2.gz OPPSZLYDTHDSRD-KGLIPLIRSA-N -1 1 329.441 -0.010 20 0 EBADMM CCN(C(=O)CN[C@](C)(C(=O)[O-])C1CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000829597147 583954808 /nfs/dbraw/zinc/95/48/08/583954808.db2.gz IYTXWHHKRVIWDI-RISCZKNCSA-N -1 1 332.422 -0.135 20 0 EBADMM Cn1cc(N2CC[C@@H]([N-]S(=O)(=O)c3c[nH]nc3Cl)C2=O)cn1 ZINC000830552836 583967905 /nfs/dbraw/zinc/96/79/05/583967905.db2.gz IXAMJWJYEWDWQQ-MRVPVSSYSA-N -1 1 344.784 -0.120 20 0 EBADMM CN(C)CCCN(C(=O)[C@@H]1C[C@@H]1C(=O)[O-])[C@@H]1CCS(=O)(=O)C1 ZINC000830601554 583968294 /nfs/dbraw/zinc/96/82/94/583968294.db2.gz ZBRIECADEVQWCI-UTUOFQBUSA-N -1 1 332.422 -0.326 20 0 EBADMM Cc1nnc([C@@H]2CN(C(=O)[C@@H]3COCCN3C(=O)[O-])CCO2)[nH]1 ZINC000830678324 583969499 /nfs/dbraw/zinc/96/94/99/583969499.db2.gz ODMYPEFNUQGYOB-UWVGGRQHSA-N -1 1 325.325 -0.608 20 0 EBADMM Cc1nc(CN2CCN(C(=O)COC3CN(C(=O)[O-])C3)CC2)n[nH]1 ZINC000830751263 583970463 /nfs/dbraw/zinc/97/04/63/583970463.db2.gz LCELPCOUSXOIRZ-UHFFFAOYSA-N -1 1 338.368 -0.864 20 0 EBADMM Cc1nc(CN2CCN(C(=O)[C@@H]3CN(C(=O)[O-])CCO3)CC2)n[nH]1 ZINC000830751852 583970630 /nfs/dbraw/zinc/97/06/30/583970630.db2.gz QLZRTGOXOFZITP-NSHDSACASA-N -1 1 338.368 -0.864 20 0 EBADMM CN1CCN(c2ccc(NC(=O)Cn3cc(C(=O)[O-])nn3)cn2)CC1 ZINC000830960716 583972743 /nfs/dbraw/zinc/97/27/43/583972743.db2.gz YPJDURQIYXEQKI-UHFFFAOYSA-N -1 1 345.363 -0.238 20 0 EBADMM CN1CCN(c2cc(C(=O)N[C@@]3(C(=O)[O-])CCOC3)ccn2)CC1 ZINC000830960674 583972805 /nfs/dbraw/zinc/97/28/05/583972805.db2.gz WQDXGQCRLPDEMJ-INIZCTEOSA-N -1 1 334.376 -0.193 20 0 EBADMM CN(C)C(=O)[C@@H]1CC[C@@H](C[N-]S(=O)(=O)c2c[nH]nc2Cl)O1 ZINC000831032506 583975262 /nfs/dbraw/zinc/97/52/62/583975262.db2.gz FHJJVWNPFNTIMM-YUMQZZPRSA-N -1 1 336.801 -0.023 20 0 EBADMM CC(C)(NS(C)(=O)=O)C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831144634 583977181 /nfs/dbraw/zinc/97/71/81/583977181.db2.gz KWLOCKSPTDZMFA-UHFFFAOYSA-N -1 1 345.343 -0.549 20 0 EBADMM O=C([O-])N1CCSC[C@H]1CC(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000831403555 583982393 /nfs/dbraw/zinc/98/23/93/583982393.db2.gz YIRYUDBRJIEXPD-RKDXNWHRSA-N -1 1 342.381 -0.415 20 0 EBADMM COC[C@]1(C)NC(=O)N(CN(CCC(=O)[O-])C2CCOCC2)C1=O ZINC000831660887 583989201 /nfs/dbraw/zinc/98/92/01/583989201.db2.gz SMIDQOWNVMSHRT-HNNXBMFYSA-N -1 1 343.380 -0.144 20 0 EBADMM Cc1nnc(SCCC(=O)N2CCNC(=O)[C@@H]2CC(=O)[O-])[nH]1 ZINC000833021164 584003066 /nfs/dbraw/zinc/00/30/66/584003066.db2.gz JDXRKDRDRICXNU-QMMMGPOBSA-N -1 1 327.366 -0.603 20 0 EBADMM O=C([O-])[C@@H]1COCCN1C(=O)CCN1CCN(c2ccccn2)CC1 ZINC000833192091 584006653 /nfs/dbraw/zinc/00/66/53/584006653.db2.gz KDQOHCCOWIXHRB-AWEZNQCLSA-N -1 1 348.403 -0.094 20 0 EBADMM CS[C@H]1CN(S(=O)(=O)N(C)CCC(=O)[O-])C[C@H]1N(C)C ZINC000833738958 584015726 /nfs/dbraw/zinc/01/57/26/584015726.db2.gz XZMORKXMAYAZDB-ZJUUUORDSA-N -1 1 325.456 -0.385 20 0 EBADMM O=C([O-])c1cc(C(=O)N[C@H]2CCN(CCN3CCOCC3)C2)on1 ZINC000833778366 584016240 /nfs/dbraw/zinc/01/62/40/584016240.db2.gz ZZRMKHZBRWXABK-NSHDSACASA-N -1 1 338.364 -0.491 20 0 EBADMM CNC(=O)CCCN(C)CN1C[C@@H]2CN(C(=O)[O-])CCN2C1=O ZINC000833875650 584017694 /nfs/dbraw/zinc/01/76/94/584017694.db2.gz YOGBCHDKGSYCHC-NSHDSACASA-N -1 1 327.385 -0.498 20 0 EBADMM Cn1nncc1CN1CCC[C@@H](C(=O)N2CCN(C(=O)[O-])CC2)C1 ZINC000833962034 584021438 /nfs/dbraw/zinc/02/14/38/584021438.db2.gz YLIOVHPHKMVGFH-GFCCVEGCSA-N -1 1 336.396 -0.151 20 0 EBADMM NC(=O)c1n[nH]c2ccc(NC(=O)[C@H]3CN(C(=O)[O-])CCO3)cc21 ZINC000833986913 584023201 /nfs/dbraw/zinc/02/32/01/584023201.db2.gz VHJSUWMIUWNDMG-SNVBAGLBSA-N -1 1 333.304 -0.021 20 0 EBADMM O=C([O-])NCC(=O)NCC(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000834198795 584029052 /nfs/dbraw/zinc/02/90/52/584029052.db2.gz OEXQDLMTPZQQCZ-UHFFFAOYSA-N -1 1 332.320 -0.528 20 0 EBADMM O=C([O-])NCC(=O)NCC(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000834198795 584029055 /nfs/dbraw/zinc/02/90/55/584029055.db2.gz OEXQDLMTPZQQCZ-UHFFFAOYSA-N -1 1 332.320 -0.528 20 0 EBADMM CC(C)[C@@H](CO)O[N-]C(=O)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000836900936 584046033 /nfs/dbraw/zinc/04/60/33/584046033.db2.gz YPDATGNKIRDOHJ-ZIAGYGMSSA-N -1 1 343.424 -0.768 20 0 EBADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)CCn2cnccc2=O)CCC1 ZINC000843012947 584069702 /nfs/dbraw/zinc/06/97/02/584069702.db2.gz ACMLVBADDDLMIB-UHFFFAOYSA-N -1 1 342.421 -0.436 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCO[C@]2(CCSC2)C1 ZINC000843946738 584084655 /nfs/dbraw/zinc/08/46/55/584084655.db2.gz RBGUGEDMESYPEF-CQSZACIVSA-N -1 1 325.390 -0.339 20 0 EBADMM CS(=O)(=O)N1CC[C@H]([N-]S(=O)(=O)c2c[nH]nc2Cl)C1 ZINC000845977966 584118985 /nfs/dbraw/zinc/11/89/85/584118985.db2.gz SQLJZOQPAOJKAZ-LURJTMIESA-N -1 1 328.803 -0.625 20 0 EBADMM CCC[C@@H](NC(=O)CCN1C(=O)CCNC1=S)c1nn[n-]n1 ZINC000847554326 584142346 /nfs/dbraw/zinc/14/23/46/584142346.db2.gz QTGMCUWSWNUZGN-MRVPVSSYSA-N -1 1 325.398 -0.346 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)N1C[C@@H](C)O[C@@H](C)C1 ZINC000848295925 584155039 /nfs/dbraw/zinc/15/50/39/584155039.db2.gz WBBQKJAYVOIZGW-UPJWGTAASA-N -1 1 338.408 -0.459 20 0 EBADMM CN(C)S(=O)(=O)N1CCC[C@H](NC(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC000849233070 584167247 /nfs/dbraw/zinc/16/72/47/584167247.db2.gz WGUHPBCCMRIKDG-BQBZGAKWSA-N -1 1 347.315 -0.611 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3C[C@@H]4COC[C@]4(C)C3)ccnc1-2 ZINC000850659625 584184515 /nfs/dbraw/zinc/18/45/15/584184515.db2.gz ZOBYZHGPWIFIRU-HWPZZCPQSA-N -1 1 329.360 -0.225 20 0 EBADMM CO[C@H](C(=O)[N-]S(=O)(=O)CC1(N(C)C)CCC1)[C@@H]1CCOC1 ZINC000851103215 584191919 /nfs/dbraw/zinc/19/19/19/584191919.db2.gz ARVSVUPRPBHZFK-NEPJUHHUSA-N -1 1 334.438 -0.032 20 0 EBADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)c2ccn3nnnc3c2)CCC1 ZINC000851104097 584192014 /nfs/dbraw/zinc/19/20/14/584192014.db2.gz IOTJJUKPLGCBGB-UHFFFAOYSA-N -1 1 338.393 -0.332 20 0 EBADMM Cn1nncc1C(=O)N1CCC[C@@H](NC(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC000851700572 584200494 /nfs/dbraw/zinc/20/04/94/584200494.db2.gz CAFASJPXBFYZQP-SVRRBLITSA-N -1 1 349.269 -0.449 20 0 EBADMM Cn1cc(C(=O)N2CCC[C@H](NC(=O)C(F)(F)F)[C@@H]2C(=O)[O-])nn1 ZINC000851704073 584200717 /nfs/dbraw/zinc/20/07/17/584200717.db2.gz SARDXDGBDKLBFX-POYBYMJQSA-N -1 1 349.269 -0.449 20 0 EBADMM O=C([O-])[C@H]1[C@@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1CCn1cncn1 ZINC000852734421 584214800 /nfs/dbraw/zinc/21/48/00/584214800.db2.gz AYEFEQCILSNLOE-DTWKUNHWSA-N -1 1 335.286 -0.126 20 0 EBADMM O=C([O-])[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1CCn1cncn1 ZINC000852734420 584214964 /nfs/dbraw/zinc/21/49/64/584214964.db2.gz AYEFEQCILSNLOE-BDAKNGLRSA-N -1 1 335.286 -0.126 20 0 EBADMM CCOC(=O)C(C)(C)O[N-]C(=O)[C@@H]1CCCN(S(N)(=O)=O)C1 ZINC000855133029 584255618 /nfs/dbraw/zinc/25/56/18/584255618.db2.gz NYKPYAOWRZFFIW-SECBINFHSA-N -1 1 337.398 -0.709 20 0 EBADMM COC[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C1CCOCC1 ZINC000855289111 584257559 /nfs/dbraw/zinc/25/75/59/584257559.db2.gz IZQPKRBRQGMMRV-LBPRGKRZSA-N -1 1 325.365 -0.516 20 0 EBADMM O=C(NC[C@]1(OCCO)CCOC1)c1ccc2n[n-]c(=S)n2c1 ZINC000855407247 584258286 /nfs/dbraw/zinc/25/82/86/584258286.db2.gz HRNJLFLRKALOGU-CQSZACIVSA-N -1 1 338.389 -0.084 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]CC(=O)N(C)OCC(F)(F)F ZINC000856763086 584270972 /nfs/dbraw/zinc/27/09/72/584270972.db2.gz YTOUDHAHVJHUNR-UHFFFAOYSA-N -1 1 346.287 -0.204 20 0 EBADMM CC(C)[C@H](CO)O[N-]C(=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000857287721 584276790 /nfs/dbraw/zinc/27/67/90/584276790.db2.gz SBTYAQSFNNSSNR-WOPDTQHZSA-N -1 1 348.421 -0.913 20 0 EBADMM CC(C)[C@H](CO)O[N-]C(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000857287715 584276860 /nfs/dbraw/zinc/27/68/60/584276860.db2.gz SBTYAQSFNNSSNR-SRVKXCTJSA-N -1 1 348.421 -0.913 20 0 EBADMM COC[C@H]([N-]S(=O)(=O)C[C@@H](OC)c1ccc(F)cc1)C(N)=O ZINC000859083565 584295512 /nfs/dbraw/zinc/29/55/12/584295512.db2.gz OCGYLHKDGGMMHK-NWDGAFQWSA-N -1 1 334.369 -0.067 20 0 EBADMM CCn1ncc(C[N-]S(=O)(=O)c2cccnc2C(=O)OC)n1 ZINC000859250033 584298093 /nfs/dbraw/zinc/29/80/93/584298093.db2.gz PKXLGCCCQUSYSL-UHFFFAOYSA-N -1 1 325.350 -0.042 20 0 EBADMM O=C(N1CCO[C@@H](c2nn[n-]n2)C1)[C@@]1(C2CCCC2)CCNC1=O ZINC000859278227 584298875 /nfs/dbraw/zinc/29/88/75/584298875.db2.gz NABOWVJLTDBOKP-IAQYHMDHSA-N -1 1 334.380 -0.204 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)CN2CCO[C@H](CC(N)=O)C2)cc1 ZINC000860016127 584311415 /nfs/dbraw/zinc/31/14/15/584311415.db2.gz HHYIOILYFZGFEC-CYBMUJFWSA-N -1 1 335.360 -0.472 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@@H]2CCCN2C(N)=O)co1 ZINC000860981600 584324464 /nfs/dbraw/zinc/32/44/64/584324464.db2.gz TTXJCMQJPRKRBY-VIFPVBQESA-N -1 1 331.350 -0.112 20 0 EBADMM O=C1OC[C@@]2(C[N-]S(=O)(=O)c3ccc(F)nc3F)COCCN12 ZINC000867750522 584416252 /nfs/dbraw/zinc/41/62/52/584416252.db2.gz XDFINQLQOCPOBY-GFCCVEGCSA-N -1 1 349.315 -0.141 20 0 EBADMM Cn1[n-]c(COC(=O)Cn2ccc(Br)cc2=O)nc1=O ZINC000869867987 584442274 /nfs/dbraw/zinc/44/22/74/584442274.db2.gz KIPZSSNPUPMKEF-UHFFFAOYSA-N -1 1 343.137 -0.224 20 0 EBADMM O=C([N-]CC1CN(C(=O)NCC[C@]2(O)CCOC2)C1)C(F)(F)F ZINC000875695338 584504538 /nfs/dbraw/zinc/50/45/38/584504538.db2.gz KCYFIVYLGMKSEG-LBPRGKRZSA-N -1 1 339.314 -0.152 20 0 EBADMM CC(C)N1CC[C@@H]([N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C)C1=O ZINC000881897300 584578973 /nfs/dbraw/zinc/57/89/73/584578973.db2.gz BTMWGRODLOTBGC-AYLIAGHASA-N -1 1 326.444 -0.596 20 0 EBADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-]CC(O)(C1CC1)C1CC1 ZINC000882400262 584589330 /nfs/dbraw/zinc/58/93/30/584589330.db2.gz DCACLGDFGOENRI-LJQANCHMSA-N -1 1 325.456 -0.054 20 0 EBADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-][C@H]1CCN(C2CCC2)C1=O ZINC000882466449 584589862 /nfs/dbraw/zinc/58/98/62/584589862.db2.gz QYWSMEOZFUEFTA-WVDJIFEKSA-N -1 1 338.455 -0.451 20 0 EBADMM CC[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)[C@@H]1CCCOC1 ZINC000882758098 584595163 /nfs/dbraw/zinc/59/51/63/584595163.db2.gz VQSACVKNOMTURK-GHMZBOCLSA-N -1 1 345.421 -0.433 20 0 EBADMM CC[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)[C@@H]1CCCOC1 ZINC000882758099 584595177 /nfs/dbraw/zinc/59/51/77/584595177.db2.gz VQSACVKNOMTURK-MNOVXSKESA-N -1 1 345.421 -0.433 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)OCC(=O)C(F)F)o1 ZINC000800220529 584613710 /nfs/dbraw/zinc/61/37/10/584613710.db2.gz UWDNDWKRJREDLB-UHFFFAOYSA-N -1 1 340.260 -0.966 20 0 EBADMM O=C1COC[C@]2(C[N-]S(=O)(=O)c3ccns3)COCCN12 ZINC000885344039 584649476 /nfs/dbraw/zinc/64/94/76/584649476.db2.gz WWKFOAYSRIFDPA-NSHDSACASA-N -1 1 333.391 -0.951 20 0 EBADMM O=C1NCC2(CCOCC2)[C@H]1[N-]S(=O)(=O)c1cccnc1F ZINC000885605608 584650780 /nfs/dbraw/zinc/65/07/80/584650780.db2.gz RUODYRMFKKLMQD-JTQLQIEISA-N -1 1 329.353 -0.206 20 0 EBADMM CN1CCN(Cc2cccc(NC(=O)C(=O)NCC(=O)[O-])c2)CC1 ZINC000739737325 597045871 /nfs/dbraw/zinc/04/58/71/597045871.db2.gz XFSNUMFNQYXSIA-UHFFFAOYSA-N -1 1 334.376 -0.427 20 0 EBADMM O=C([O-])[C@H]1CN(CC2=Nc3ccccc3S(=O)(=O)N2)CCO1 ZINC000738549227 599966785 /nfs/dbraw/zinc/96/67/85/599966785.db2.gz RLNGYXMBUNHJIN-SNVBAGLBSA-N -1 1 325.346 -0.206 20 0 EBADMM CN1CCN(C)[C@H](CNc2ccc(S(N)(=O)=O)cc2C(=O)[O-])C1 ZINC000320602774 600240019 /nfs/dbraw/zinc/24/00/19/600240019.db2.gz STUQSZXHHLLQRA-SNVBAGLBSA-N -1 1 342.421 -0.310 20 0 EBADMM CN(CCC(=O)[O-])S(=O)(=O)N1CCCN(C[C@@H]2CCCO2)CC1 ZINC000322359859 601256585 /nfs/dbraw/zinc/25/65/85/601256585.db2.gz WJBCHNGRGNKPIL-ZDUSSCGKSA-N -1 1 349.453 -0.176 20 0 EBADMM C[C@H](C(=O)N(C)CC(=O)NC1CC1)N1CC[C@H](CNC(=O)[O-])C1 ZINC000738602891 601941376 /nfs/dbraw/zinc/94/13/76/601941376.db2.gz OBAYXNZQSBYUBK-GHMZBOCLSA-N -1 1 326.397 -0.299 20 0 EBADMM CCN1CCCC[C@H]1C(=O)NCC(=O)N1CCN(C(=O)[O-])CC1 ZINC000739453768 602463120 /nfs/dbraw/zinc/46/31/20/602463120.db2.gz HWAIGRPZFUTDDM-LBPRGKRZSA-N -1 1 326.397 -0.201 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)NC[C@H]2CN(C)CCN2C)C1)C(=O)[O-] ZINC000739735812 602637310 /nfs/dbraw/zinc/63/73/10/602637310.db2.gz VGZBSTFDNZNIAF-STQMWFEESA-N -1 1 327.429 -0.127 20 0 EBADMM C[C@@H](CN1CCN(C)CC1)NC(=O)N1CC[C@H](CNC(=O)[O-])C1 ZINC000738913272 602717101 /nfs/dbraw/zinc/71/71/01/602717101.db2.gz SPKYAKHAADRYFX-QWHCGFSZSA-N -1 1 327.429 -0.079 20 0 EBADMM C[C@H](CN1CCN(C)CC1)NC(=O)N1CC[C@H](CNC(=O)[O-])C1 ZINC000738913270 602717273 /nfs/dbraw/zinc/71/72/73/602717273.db2.gz SPKYAKHAADRYFX-CHWSQXEVSA-N -1 1 327.429 -0.079 20 0 EBADMM COCCN(CC(=O)N1CCN([C@H]2CCC[C@@H]2O)CC1)C(=O)[O-] ZINC000739817505 603112104 /nfs/dbraw/zinc/11/21/04/603112104.db2.gz RGHDOLBEQHCMOM-STQMWFEESA-N -1 1 329.397 -0.330 20 0 EBADMM C[C@H]1OC(C)(C)N(C(=O)[O-])[C@@H]1C(=O)NCCN1CCN(C)CC1 ZINC000739203688 603132394 /nfs/dbraw/zinc/13/23/94/603132394.db2.gz SRIXERYRKYRBME-NEPJUHHUSA-N -1 1 328.413 -0.147 20 0 EBADMM O=C(COc1cccc(NC(=O)C2CC2)c1)NN1CC(=O)[N-]C1=O ZINC000029020277 649936193 /nfs/dbraw/zinc/93/61/93/649936193.db2.gz AQLQTYAADWDVJP-UHFFFAOYSA-N -1 1 332.316 -0.003 20 0 EBADMM O=C(CN1C(=S)N=NC1c1cccs1)NN1CC(=O)[N-]C1=O ZINC000041823142 649940508 /nfs/dbraw/zinc/94/05/08/649940508.db2.gz QAGZOPJFXXBWQP-UHFFFAOYSA-N -1 1 338.374 -0.122 20 0 EBADMM CN(C)S(=O)(=O)c1cccc(C(=O)N[N-]C(=O)c2cc[nH]n2)c1 ZINC000048015194 649948730 /nfs/dbraw/zinc/94/87/30/649948730.db2.gz KEGFEIFPLAICNR-UHFFFAOYSA-N -1 1 337.361 -0.265 20 0 EBADMM O=C(COC(=O)[C@@H]1CCC(=O)NC1)N[N-]C(=O)c1cccs1 ZINC000051570856 649952134 /nfs/dbraw/zinc/95/21/34/649952134.db2.gz WYZKRGSQNWWSDH-MRVPVSSYSA-N -1 1 325.346 -0.422 20 0 EBADMM CC(C)S(=O)(=O)CC(=O)OCC(=O)N[N-]C(=O)c1cccs1 ZINC000052274231 649954221 /nfs/dbraw/zinc/95/42/21/649954221.db2.gz LUPWNXSZPGWPNR-UHFFFAOYSA-N -1 1 348.402 -0.125 20 0 EBADMM Cn1c(CCNC(=O)[C@@H]2CCCCN2S(C)(=O)=O)n[n-]c1=S ZINC000067051766 649964441 /nfs/dbraw/zinc/96/44/41/649964441.db2.gz NZFKNCYUWAPYQI-VIFPVBQESA-N -1 1 347.466 -0.050 20 0 EBADMM CNC(=O)c1c(C)[n-][nH]c1=NC(=O)[C@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000337147855 649998440 /nfs/dbraw/zinc/99/84/40/649998440.db2.gz JAMYOHPZECABRP-QMMMGPOBSA-N -1 1 331.336 -0.786 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H](CO)CC2CCCC2)c(=O)n(C)c1=O ZINC000558701193 650013399 /nfs/dbraw/zinc/01/33/99/650013399.db2.gz WVKMGEQETMDQHE-LLVKDONJSA-N -1 1 345.421 -0.697 20 0 EBADMM Cn1cc(N2C[C@@H](C(=O)NC3(c4nn[n-]n4)CCCC3)CC2=O)cn1 ZINC000625706368 650024075 /nfs/dbraw/zinc/02/40/75/650024075.db2.gz DHYZZUJIHNJYPH-JTQLQIEISA-N -1 1 344.379 -0.128 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CCOC23CCCC3)c(=O)n(C)c1=O ZINC000908186177 650098025 /nfs/dbraw/zinc/09/80/25/650098025.db2.gz GRSIKXJHPKAXRZ-LLVKDONJSA-N -1 1 343.405 -0.536 20 0 EBADMM COC(=O)[C@@](C)(Cn1cccn1)[N-]S(=O)(=O)C[C@H]1CCOC1 ZINC000908397755 650100738 /nfs/dbraw/zinc/10/07/38/650100738.db2.gz VRINFVITYJXMMS-WCQYABFASA-N -1 1 331.394 -0.229 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCNc1cnccn1 ZINC000912533576 650206624 /nfs/dbraw/zinc/20/66/24/650206624.db2.gz DXADGNIJNZSAMG-UHFFFAOYSA-N -1 1 326.338 -0.623 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)CO[C@@H]1CCOC1 ZINC000912543408 650207645 /nfs/dbraw/zinc/20/76/45/650207645.db2.gz WKLUFRRIXYYFRP-DTWKUNHWSA-N -1 1 333.366 -0.331 20 0 EBADMM CS(=O)(=O)N1CC(C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)C1 ZINC000913781763 650246171 /nfs/dbraw/zinc/24/61/71/650246171.db2.gz YXFJZJNTMIMIEK-UHFFFAOYSA-N -1 1 343.327 -0.985 20 0 EBADMM CO[N-]C(=O)CNC(=O)NCc1ccc(N2CCN(C)CC2)nc1 ZINC000913983573 650249330 /nfs/dbraw/zinc/24/93/30/650249330.db2.gz QQTHVOLVBZDQSJ-UHFFFAOYSA-N -1 1 336.396 -0.690 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)O[C@H](C)C(=O)NCCCOC)o1 ZINC000915239242 650266843 /nfs/dbraw/zinc/26/68/43/650266843.db2.gz CKHHRZUMDRPIMA-SECBINFHSA-N -1 1 348.377 -0.114 20 0 EBADMM O=C1[C@@H]([N-]S(=O)(=O)[C@@H]2COC[C@H]2O)CCN1c1ccc(F)cc1 ZINC000916329988 650273856 /nfs/dbraw/zinc/27/38/56/650273856.db2.gz DKYRNSYWSMNOQV-YNEHKIRRSA-N -1 1 344.364 -0.390 20 0 EBADMM C[C@@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)[C@@H]1CN(C)CCN1C ZINC000920021818 650308155 /nfs/dbraw/zinc/30/81/55/650308155.db2.gz RZAFEXZMJIALEF-ZJUUUORDSA-N -1 1 335.861 -0.014 20 0 EBADMM CCOC(=O)[C@H](CSC)[N-]S(=O)(=O)[C@@H](C)C(=O)N(C)C ZINC000921377933 650318979 /nfs/dbraw/zinc/31/89/79/650318979.db2.gz KHOVDKUNVVGDAK-IUCAKERBSA-N -1 1 326.440 -0.323 20 0 EBADMM CN1CC(=O)N(CC(=O)OCC(=O)[N-]C(=O)c2ccccc2)C1=O ZINC000926780815 651495163 /nfs/dbraw/zinc/49/51/63/651495163.db2.gz IFOBGOGAZAHIMT-UHFFFAOYSA-N -1 1 333.300 -0.620 20 0 EBADMM Cc1nc(N2CCOCC2)[n-]c(=O)c1CCC(=O)N1CCOCN1 ZINC000928953599 651530234 /nfs/dbraw/zinc/53/02/34/651530234.db2.gz NEWFHULHKVNKIN-UHFFFAOYSA-N -1 1 337.380 -0.419 20 0 EBADMM CN(C(=O)[C@H]1CNC(=O)N1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937219177 651686020 /nfs/dbraw/zinc/68/60/20/651686020.db2.gz DQUQEZSHALNGIP-NXEZZACHSA-N -1 1 333.348 -0.859 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)c2cnnn2C)C1 ZINC000937299184 651721984 /nfs/dbraw/zinc/72/19/84/651721984.db2.gz XFIPSUIPDAAHFU-JTQLQIEISA-N -1 1 330.348 -0.098 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)c2ncn(C)n2)C1 ZINC000937311131 651729773 /nfs/dbraw/zinc/72/97/73/651729773.db2.gz GYUKAFJWZVDEFF-SNVBAGLBSA-N -1 1 330.348 -0.098 20 0 EBADMM CC(C)=CC(=O)N1C[C@@H]2C[C@H]1CN2C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000936292080 651759100 /nfs/dbraw/zinc/75/91/00/651759100.db2.gz IHGZJSKPIDLFKS-QWRGUYRKSA-N -1 1 342.359 -0.191 20 0 EBADMM O=C(CCn1cnnn1)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937860897 651957985 /nfs/dbraw/zinc/95/79/85/651957985.db2.gz ODEXQWHTNPUIQJ-JTQLQIEISA-N -1 1 331.336 -0.805 20 0 EBADMM CN1CC[C@@H](CC(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)C1=O ZINC000937865624 651959713 /nfs/dbraw/zinc/95/97/13/651959713.db2.gz LKYDXAATMUULJQ-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@H]1CCN(C(=O)C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000937864473 651959817 /nfs/dbraw/zinc/95/98/17/651959817.db2.gz RFZBEIFYTVMUMJ-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(CN1C(=O)CCC1=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937930466 652001446 /nfs/dbraw/zinc/00/14/46/652001446.db2.gz PLGWESPRUJICKI-JTQLQIEISA-N -1 1 346.343 -0.733 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@H]2COCCO2)C1 ZINC000936711607 652041647 /nfs/dbraw/zinc/04/16/47/652041647.db2.gz KSACQWYLAIRXKZ-WCQYABFASA-N -1 1 335.360 -0.125 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@@H]2COCCO2)C1 ZINC000936711608 652041756 /nfs/dbraw/zinc/04/17/56/652041756.db2.gz KSACQWYLAIRXKZ-YPMHNXCESA-N -1 1 335.360 -0.125 20 0 EBADMM Cc1cc(C(=O)N2CC[C@H](NC(=O)c3cnc([O-])n(C)c3=O)C2)n[nH]1 ZINC000938400364 652233388 /nfs/dbraw/zinc/23/33/88/652233388.db2.gz YMXRVUWHWYLMLX-VIFPVBQESA-N -1 1 346.347 -0.838 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000938409213 652244589 /nfs/dbraw/zinc/24/45/89/652244589.db2.gz SSKJVNNUPLPPCZ-GRYCIOLGSA-N -1 1 334.376 -0.700 20 0 EBADMM CC1(C(=O)N[C@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)CCC1 ZINC000938416305 652248989 /nfs/dbraw/zinc/24/89/89/652248989.db2.gz FRHPJUXRWYNMSB-JTQLQIEISA-N -1 1 344.375 -0.062 20 0 EBADMM CN(C(=O)C(N)=O)[C@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000938529149 652301867 /nfs/dbraw/zinc/30/18/67/652301867.db2.gz YVHIOISJQWFJRV-VIFPVBQESA-N -1 1 333.348 -0.782 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCNC1=O ZINC000936968040 652329561 /nfs/dbraw/zinc/32/95/61/652329561.db2.gz ZDWJJZHIEZCFEP-SDDRHHMPSA-N -1 1 344.371 -0.261 20 0 EBADMM O=C(Cn1ncnn1)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000944038049 652652267 /nfs/dbraw/zinc/65/22/67/652652267.db2.gz UMLVFIUVBOOJEL-SNVBAGLBSA-N -1 1 331.336 -0.805 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC000938663210 652658135 /nfs/dbraw/zinc/65/81/35/652658135.db2.gz MXPPYPSJOAXAQN-NEPJUHHUSA-N -1 1 346.387 -0.062 20 0 EBADMM Cn1[n-]c(CN2CC(N3CCN(C(=O)/C=C/C4CC4)CC3)C2)nc1=O ZINC000941357443 652690665 /nfs/dbraw/zinc/69/06/65/652690665.db2.gz VEIWUUWFMDWVOY-SNAWJCMRSA-N -1 1 346.435 -0.597 20 0 EBADMM C[C@@H](C(N)=O)N1CC(N2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC000941387939 652709489 /nfs/dbraw/zinc/70/94/89/652709489.db2.gz RLNVTAUEIUXCNL-NSHDSACASA-N -1 1 333.392 -0.897 20 0 EBADMM CN(C)C(=O)[C@@H]1C[C@@H]1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000938831830 652731020 /nfs/dbraw/zinc/73/10/20/652731020.db2.gz OEWXROZJTLWYRJ-SDDRHHMPSA-N -1 1 346.387 -0.158 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1[nH]nnc1C ZINC000944346157 652748417 /nfs/dbraw/zinc/74/84/17/652748417.db2.gz OQRQPUVUZWDFCZ-MWLCHTKSSA-N -1 1 346.347 -0.517 20 0 EBADMM NC(=O)C(=O)N1CCC2(CCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC000944409236 652756071 /nfs/dbraw/zinc/75/60/71/652756071.db2.gz ODLIIVZRUIRVAO-UHFFFAOYSA-N -1 1 332.360 -0.273 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H](N(C)C(=O)C2CC2)C1 ZINC000938901675 652765412 /nfs/dbraw/zinc/76/54/12/652765412.db2.gz NOJLWUZJUFSARR-VIFPVBQESA-N -1 1 336.348 -0.021 20 0 EBADMM CN(C(=O)C(F)F)[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000938901465 652765881 /nfs/dbraw/zinc/76/58/81/652765881.db2.gz JVMCQCITZWEWOU-ZETCQYMHSA-N -1 1 340.290 -0.644 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC[C@H](N(C)C(=O)C(N)=O)C1 ZINC000938990599 652793892 /nfs/dbraw/zinc/79/38/92/652793892.db2.gz HGJVHGJZMAHTEH-JTQLQIEISA-N -1 1 349.391 -0.228 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C1CC(=O)NC(=O)C1 ZINC000941552437 652799008 /nfs/dbraw/zinc/79/90/08/652799008.db2.gz RLRPORQHEXURJU-SNVBAGLBSA-N -1 1 346.343 -0.829 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1)c1cnsn1 ZINC000944496057 652799988 /nfs/dbraw/zinc/79/99/88/652799988.db2.gz TWQFCVSBMRYOIG-VIFPVBQESA-N -1 1 349.372 -0.090 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@H]2CN(C)C(=O)N2)C1 ZINC000939006088 652805456 /nfs/dbraw/zinc/80/54/56/652805456.db2.gz BXRGLXYSKIOKAX-GHMZBOCLSA-N -1 1 347.375 -0.516 20 0 EBADMM CO[C@@H]1CN(C(=O)[C@@H]2CCCO2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941601387 652826039 /nfs/dbraw/zinc/82/60/39/652826039.db2.gz VGBZQOCJJOYWRY-KGYLQXTDSA-N -1 1 335.360 -0.078 20 0 EBADMM CO[C@@H]1CN(C(=O)Cc2ncc[nH]2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941602509 652827141 /nfs/dbraw/zinc/82/71/41/652827141.db2.gz COIVFORJUJHOKB-ZYHUDNBSSA-N -1 1 345.359 -0.291 20 0 EBADMM CO[C@@H]1CN(C(=O)[C@H](O)C(C)C)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941603850 652827760 /nfs/dbraw/zinc/82/77/60/652827760.db2.gz ZGECDIXFDMRUDH-MPKXVKKWSA-N -1 1 337.376 -0.240 20 0 EBADMM C[C@@H]1CN(C(=O)c2nccn3ccnc23)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939168140 652851437 /nfs/dbraw/zinc/85/14/37/652851437.db2.gz KTMYNVHMHYFDQG-NXEZZACHSA-N -1 1 342.363 -0.197 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)[C@@H]2CCCO2)CCO1)c1ncccc1[O-] ZINC000944561313 652860725 /nfs/dbraw/zinc/86/07/25/652860725.db2.gz QQQHXWIAACGIIF-AAEUAGOBSA-N -1 1 335.360 -0.077 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCN(C(=O)c2cocn2)C1 ZINC000941662525 652863105 /nfs/dbraw/zinc/86/31/05/652863105.db2.gz BIZBHFGOMVNVHL-SSDOTTSWSA-N -1 1 349.303 -0.471 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCN(C(=O)c2cn[nH]c2)C1 ZINC000941663160 652864105 /nfs/dbraw/zinc/86/41/05/652864105.db2.gz NCSHCCYZVXSNQX-MRVPVSSYSA-N -1 1 348.319 -0.736 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCN(C(=O)c2ncc[nH]2)C1 ZINC000941662889 652864128 /nfs/dbraw/zinc/86/41/28/652864128.db2.gz HRDDYHRHHSOEEX-SSDOTTSWSA-N -1 1 348.319 -0.736 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2ccncn2)CCO1)c1ncccc1[O-] ZINC000944565567 652865133 /nfs/dbraw/zinc/86/51/33/652865133.db2.gz WDHKFORYJJNZRZ-NSHDSACASA-N -1 1 343.343 -0.152 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ccoc2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000941663788 652865256 /nfs/dbraw/zinc/86/52/56/652865256.db2.gz DGHIMIZTWKCORR-SNVBAGLBSA-N -1 1 342.315 -0.345 20 0 EBADMM C[C@H]1CN(C(=O)c2cnc3cccnn32)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939270085 652875139 /nfs/dbraw/zinc/87/51/39/652875139.db2.gz HPVDKYQLJRWFBC-UWVGGRQHSA-N -1 1 342.363 -0.197 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)[nH]n1 ZINC000941858610 652931987 /nfs/dbraw/zinc/93/19/87/652931987.db2.gz RJIFUEGCQBXZMH-ONGXEEELSA-N -1 1 333.396 -0.220 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)Cc1cnn(C)c1 ZINC000941881257 652940062 /nfs/dbraw/zinc/94/00/62/652940062.db2.gz GZJIRHSSWFBDRM-WCQYABFASA-N -1 1 347.423 -0.589 20 0 EBADMM Cc1cc(CC(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)no1 ZINC000941899505 652945438 /nfs/dbraw/zinc/94/54/38/652945438.db2.gz JCFRIFSTTRQOAS-GXFFZTMASA-N -1 1 348.407 -0.026 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)Cn1nccn1 ZINC000941943929 653005702 /nfs/dbraw/zinc/00/57/02/653005702.db2.gz ZHRAPNDBHKRXDS-ZYHUDNBSSA-N -1 1 346.347 -0.966 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)ncn1 ZINC000941965213 653008949 /nfs/dbraw/zinc/00/89/49/653008949.db2.gz WYHPJZFLWNHNHX-PWSUYJOCSA-N -1 1 345.407 -0.153 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@]2(C)CCNC2=O)C1 ZINC000939687352 653022892 /nfs/dbraw/zinc/02/28/92/653022892.db2.gz PZFMFORPRYGKKK-APPDUMDISA-N -1 1 346.387 -0.014 20 0 EBADMM CCn1ncc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)n1 ZINC000942038432 653025622 /nfs/dbraw/zinc/02/56/22/653025622.db2.gz ZLGMMHWSACZYLQ-MNOVXSKESA-N -1 1 348.411 -0.640 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1cncn1C ZINC000942304474 653078602 /nfs/dbraw/zinc/07/86/02/653078602.db2.gz PUITTXVLWBRJLX-WDEREUQCSA-N -1 1 333.396 -0.518 20 0 EBADMM CN1CCOC[C@H]1C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000940091169 653080465 /nfs/dbraw/zinc/08/04/65/653080465.db2.gz OJGDEHYKPQRWQA-NEPJUHHUSA-N -1 1 334.376 -0.552 20 0 EBADMM CN1C[C@@H](C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)NC1=O ZINC000940130293 653084676 /nfs/dbraw/zinc/08/46/76/653084676.db2.gz KQUIXGRFHQQGIB-ZJUUUORDSA-N -1 1 333.348 -0.859 20 0 EBADMM CC(=O)N1CC[C@H](C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000940132327 653084923 /nfs/dbraw/zinc/08/49/23/653084923.db2.gz SUYNUHLPWCXVLK-QWHCGFSZSA-N -1 1 346.387 -0.014 20 0 EBADMM CCN1CCOC[C@@H]1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940134385 653085204 /nfs/dbraw/zinc/08/52/04/653085204.db2.gz NLFYLNDMZWTCPH-CHWSQXEVSA-N -1 1 348.403 -0.161 20 0 EBADMM O=C(C[C@H]1CCNC1=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940139271 653085514 /nfs/dbraw/zinc/08/55/14/653085514.db2.gz YSVKSCJBUFMVDY-GHMZBOCLSA-N -1 1 332.360 -0.356 20 0 EBADMM C[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000942466667 653115650 /nfs/dbraw/zinc/11/56/50/653115650.db2.gz WRXZWBCABHOLIP-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@H]1C[C@H]1C(N)=O ZINC000940320566 653126579 /nfs/dbraw/zinc/12/65/79/653126579.db2.gz NKXNQYXPYUIXJW-CHWFTXMASA-N -1 1 332.360 -0.515 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)Cc1cnoc1 ZINC000942569245 653151998 /nfs/dbraw/zinc/15/19/98/653151998.db2.gz HWXATVAPLLDVQC-JQWIXIFHSA-N -1 1 334.380 -0.334 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@H]2CCNC2=O)C1 ZINC000942753307 653196989 /nfs/dbraw/zinc/19/69/89/653196989.db2.gz METAKLUFUAYOKH-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ccn[nH]3)C(C)(C)C2)nc1=O ZINC000940589921 653238333 /nfs/dbraw/zinc/23/83/33/653238333.db2.gz CLJRPSOTZDRBKE-NSHDSACASA-N -1 1 333.396 -0.138 20 0 EBADMM CCN(C(=O)c1n[nH]c(=O)[n-]c1=O)[C@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC000942880594 653248568 /nfs/dbraw/zinc/24/85/68/653248568.db2.gz AHNANRXHQHYHQQ-QMMMGPOBSA-N -1 1 347.335 -0.617 20 0 EBADMM CCN(C(=O)[C@@H]1CNC(=O)N1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000942950913 653272894 /nfs/dbraw/zinc/27/28/94/653272894.db2.gz SSRLQQKRLFWRDA-QWRGUYRKSA-N -1 1 347.375 -0.468 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@]34C[C@H]3COC4)C(C)(C)C2)nc1=O ZINC000940761252 653276990 /nfs/dbraw/zinc/27/69/90/653276990.db2.gz ZWISGXRAPPXDFA-PRXAMGSTSA-N -1 1 349.435 -0.138 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1ccnnc1 ZINC000942980269 653277734 /nfs/dbraw/zinc/27/77/34/653277734.db2.gz VDNNFHXLWLZLLP-JQWIXIFHSA-N -1 1 331.380 -0.461 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCCC[C@@H](NC(=O)C2CC2)C1 ZINC000943016677 653279964 /nfs/dbraw/zinc/27/99/64/653279964.db2.gz HREBKPVIXXQTAO-CYBMUJFWSA-N -1 1 348.403 -0.166 20 0 EBADMM NC(=O)CC(=O)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)CC1 ZINC000944892074 653286057 /nfs/dbraw/zinc/28/60/57/653286057.db2.gz WZXGCNRSUWCADW-UHFFFAOYSA-N -1 1 332.360 -0.273 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)C2=COCCO2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947005983 653290472 /nfs/dbraw/zinc/29/04/72/653290472.db2.gz XTOXCUBBJYPVPU-QWRGUYRKSA-N -1 1 337.380 -0.534 20 0 EBADMM Cc1cnn(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H](C)C2)c1 ZINC000947108636 653315356 /nfs/dbraw/zinc/31/53/56/653315356.db2.gz JOZDJJVAKWHXKU-OLZOCXBDSA-N -1 1 347.423 -0.217 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2cc[nH]c(=O)c2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947180960 653332058 /nfs/dbraw/zinc/33/20/58/653332058.db2.gz QXQLVKDYZNAABX-JQWIXIFHSA-N -1 1 346.391 -0.008 20 0 EBADMM C[C@H]1C[C@H](NC(=O)C2=CCOCC2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947236695 653344650 /nfs/dbraw/zinc/34/46/50/653344650.db2.gz WFAFEQTZSXLECT-WCQYABFASA-N -1 1 335.408 -0.076 20 0 EBADMM Cc1ccnc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H](C)C2)n1 ZINC000947245468 653346394 /nfs/dbraw/zinc/34/63/94/653346394.db2.gz SSGUOEPNHNWWQW-NWDGAFQWSA-N -1 1 345.407 -0.010 20 0 EBADMM O=C(CC1CC1)N1CCC(NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000943412535 653376583 /nfs/dbraw/zinc/37/65/83/653376583.db2.gz DNAGPDBJQOXNGM-UHFFFAOYSA-N -1 1 344.375 -0.062 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1CCCNC1=O ZINC000941011894 653377280 /nfs/dbraw/zinc/37/72/80/653377280.db2.gz GXNUFYJATUZDAW-MNOVXSKESA-N -1 1 332.360 -0.356 20 0 EBADMM C[C@H]1CN(C(=O)Cn2ncnn2)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC000945333937 653413397 /nfs/dbraw/zinc/41/33/97/653413397.db2.gz CGHXGFDHSCOMLX-QWRGUYRKSA-N -1 1 345.363 -0.559 20 0 EBADMM O=C(NC1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1)C1CC1 ZINC000947497737 653415357 /nfs/dbraw/zinc/41/53/57/653415357.db2.gz LQWDUMZQBZMOFG-UHFFFAOYSA-N -1 1 330.348 -0.452 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CCN1Cc1cnn(C)c1 ZINC000947505785 653418584 /nfs/dbraw/zinc/41/85/84/653418584.db2.gz FGBVWVNVJDHPAH-MWLCHTKSSA-N -1 1 347.379 -0.201 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CCN1Cc1cncn1C ZINC000947506154 653419171 /nfs/dbraw/zinc/41/91/71/653419171.db2.gz KSXSUSYWVINLRW-ZJUUUORDSA-N -1 1 347.379 -0.201 20 0 EBADMM Cc1n[nH]cc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@H](C)C1 ZINC000947593992 653435420 /nfs/dbraw/zinc/43/54/20/653435420.db2.gz SFMDFIAEJUVRRY-KOLCDFICSA-N -1 1 333.396 -0.077 20 0 EBADMM C[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC[C@H]1NC(=O)C1CC1 ZINC000945415910 653444286 /nfs/dbraw/zinc/44/42/86/653444286.db2.gz WPZFLIQKHCYQHA-GXSJLCMTSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1ccncn1 ZINC000943716909 653458357 /nfs/dbraw/zinc/45/83/57/653458357.db2.gz GFGSEMTUMOMALL-GHMZBOCLSA-N -1 1 331.380 -0.461 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1cccnn1 ZINC000943748613 653467336 /nfs/dbraw/zinc/46/73/36/653467336.db2.gz CABPZTWCQRIMAP-QWRGUYRKSA-N -1 1 331.380 -0.461 20 0 EBADMM Cn1[n-]c(CN2CC(N3CCN(C(=O)C4CCCC4)CC3)C2)nc1=O ZINC000941274669 653479669 /nfs/dbraw/zinc/47/96/69/653479669.db2.gz CVLRCQBGCJQOKL-UHFFFAOYSA-N -1 1 348.451 -0.373 20 0 EBADMM C[C@@H]1C[N@@H+](C)C[C@H](C(=O)N[C@@H]2CCN(C(=O)c3cnc[nH]c3=O)C2)O1 ZINC000941275945 653480038 /nfs/dbraw/zinc/48/00/38/653480038.db2.gz KRBFVOYVTVBSKE-NQBHXWOUSA-N -1 1 349.391 -0.768 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2ccncn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947824868 653489864 /nfs/dbraw/zinc/48/98/64/653489864.db2.gz LOVOFVSETJHIFE-WDEREUQCSA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2cccnn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947841173 653491515 /nfs/dbraw/zinc/49/15/15/653491515.db2.gz JAIPYGKKVICXBE-MNOVXSKESA-N -1 1 331.380 -0.319 20 0 EBADMM CC(=O)N[C@@H](C)[C@@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000945653735 653494667 /nfs/dbraw/zinc/49/46/67/653494667.db2.gz YITYHQFQCWFOMG-GXSJLCMTSA-N -1 1 332.364 -0.206 20 0 EBADMM CCn1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H](C)C2)nn1 ZINC000947866648 653495049 /nfs/dbraw/zinc/49/50/49/653495049.db2.gz DREBAKCSTILYCT-GHMZBOCLSA-N -1 1 348.411 -0.497 20 0 EBADMM CCn1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H](C)C2)nn1 ZINC000947866650 653495140 /nfs/dbraw/zinc/49/51/40/653495140.db2.gz DREBAKCSTILYCT-QWRGUYRKSA-N -1 1 348.411 -0.497 20 0 EBADMM CN1CCO[C@H](C(=O)N2CCC[C@@H]2CNC(=O)c2ncccc2[O-])C1 ZINC000943928367 653495892 /nfs/dbraw/zinc/49/58/92/653495892.db2.gz TZBMGERRORWNKT-OCCSQVGLSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2CCC(=O)N2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000947937283 653503390 /nfs/dbraw/zinc/50/33/90/653503390.db2.gz ADUHRPZPWQWYGB-GMTAPVOTSA-N -1 1 332.360 -0.358 20 0 EBADMM CC(=O)N1CC[C@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC[C@H]21 ZINC000945992395 653529333 /nfs/dbraw/zinc/52/93/33/653529333.db2.gz NQPSCOKWFIOBLP-CMPLNLGQSA-N -1 1 330.348 -0.500 20 0 EBADMM O=C(NC[C@@H]1CCC[C@@H]1NC(=O)C1CC1)c1cc(=O)n2[n-]cnc2n1 ZINC000946079558 653541237 /nfs/dbraw/zinc/54/12/37/653541237.db2.gz LMPYHWOLTGQGLJ-QWRGUYRKSA-N -1 1 344.375 -0.158 20 0 EBADMM Cc1n[nH]c(C(=O)NC2CCN(C(=O)CCc3nc[nH]n3)CC2)c1[O-] ZINC000946174868 653545415 /nfs/dbraw/zinc/54/54/15/653545415.db2.gz QWQHYFFTGTYFCF-UHFFFAOYSA-N -1 1 347.379 -0.105 20 0 EBADMM CC[C@H]1OCCC[C@H]1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000948703915 653567539 /nfs/dbraw/zinc/56/75/39/653567539.db2.gz XCLIXZYZUBREJC-CHWSQXEVSA-N -1 1 337.424 -0.042 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1)c1ccco1 ZINC000948703403 653567646 /nfs/dbraw/zinc/56/76/46/653567646.db2.gz OVXNHXHSWKEACT-VIFPVBQESA-N -1 1 342.315 -0.345 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H]1CNC(=O)c1cn[nH]c1 ZINC000948916870 653581979 /nfs/dbraw/zinc/58/19/79/653581979.db2.gz GKYLSIYEGIBLBN-QMMMGPOBSA-N -1 1 348.319 -0.736 20 0 EBADMM Cn1[n-]c(CN2CCC3(CC2)CN(C(=O)C2CC2)CCO3)nc1=O ZINC000948998878 653587575 /nfs/dbraw/zinc/58/75/75/653587575.db2.gz WFMAFUWPFVLERB-UHFFFAOYSA-N -1 1 335.408 -0.288 20 0 EBADMM O=C(c1ccn[nH]1)N1CCOC2(CCN(Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC000949037900 653590458 /nfs/dbraw/zinc/59/04/58/653590458.db2.gz GKUFXCMNEIDBGO-UHFFFAOYSA-N -1 1 347.379 -0.259 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCN(C[C@H]2C[C@@]23CCOC3)CC1 ZINC000949111281 653595522 /nfs/dbraw/zinc/59/55/22/653595522.db2.gz MICDIDGRTPCQAQ-MEBBXXQBSA-N -1 1 335.364 -0.533 20 0 EBADMM O=C(NCC1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1)C1CC1 ZINC000949174688 653821337 /nfs/dbraw/zinc/82/13/37/653821337.db2.gz JBJCBQKVZCTTKN-UHFFFAOYSA-N -1 1 344.375 -0.204 20 0 EBADMM O=C(NCC1CCN(C(=O)[C@H]2CNC(=O)N2)CC1)c1ncccc1[O-] ZINC000949367194 653874600 /nfs/dbraw/zinc/87/46/00/653874600.db2.gz SPRAQCMLMBSOLD-LLVKDONJSA-N -1 1 347.375 -0.563 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCN2C(=O)CCc2nc[nH]n2)c1[O-] ZINC000949425503 653891312 /nfs/dbraw/zinc/89/13/12/653891312.db2.gz JJYKMYNIJJBUKM-VIFPVBQESA-N -1 1 333.352 -0.495 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCN2C(=O)CCc2c[nH]nn2)c1[O-] ZINC000949430910 653892510 /nfs/dbraw/zinc/89/25/10/653892510.db2.gz QMSAMAZNCXZPCU-JTQLQIEISA-N -1 1 333.352 -0.495 20 0 EBADMM CC1(C)C[C@@H]1C(=O)NC[C@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000949455344 653898743 /nfs/dbraw/zinc/89/87/43/653898743.db2.gz APLWWOAAUVVPKX-NXEZZACHSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(CN1CCOCC1)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949534934 653922011 /nfs/dbraw/zinc/92/20/11/653922011.db2.gz JVXUNVMNAQILFH-LBPRGKRZSA-N -1 1 334.376 -0.550 20 0 EBADMM O=C(CCN1CCOCC1)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949535512 653922602 /nfs/dbraw/zinc/92/26/02/653922602.db2.gz WHRZDVGJIOKGAM-ZDUSSCGKSA-N -1 1 348.403 -0.160 20 0 EBADMM Cn1oc(C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])cc1=O ZINC000949612319 653934931 /nfs/dbraw/zinc/93/49/31/653934931.db2.gz ISXYRGMDHFGQME-VIFPVBQESA-N -1 1 332.316 -0.277 20 0 EBADMM C[C@@H]1CN(C(=O)C2(C)CC2)C[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000949771021 653972200 /nfs/dbraw/zinc/97/22/00/653972200.db2.gz KEQFDAWEZIFETA-MWLCHTKSSA-N -1 1 344.375 -0.206 20 0 EBADMM CO[C@H](C)C(=O)N1CCC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000950164099 654057712 /nfs/dbraw/zinc/05/77/12/654057712.db2.gz RRZNTBUVDGGSQN-ZJUUUORDSA-N -1 1 348.363 -0.827 20 0 EBADMM CN1CCO[C@@H](C(=O)N2CCC[C@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000950288251 654096039 /nfs/dbraw/zinc/09/60/39/654096039.db2.gz RMFKBKKQVQSDLL-GXTWGEPZSA-N -1 1 348.403 -0.161 20 0 EBADMM CN1CCO[C@@H](C(=O)N2CCC[C@@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000950288254 654096994 /nfs/dbraw/zinc/09/69/94/654096994.db2.gz RMFKBKKQVQSDLL-TZMCWYRMSA-N -1 1 348.403 -0.161 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CCCN(C(=O)CCc3nc[nH]n3)C2)c1[O-] ZINC000950327462 654104167 /nfs/dbraw/zinc/10/41/67/654104167.db2.gz PGTDFACZPZIOGN-SNVBAGLBSA-N -1 1 347.379 -0.105 20 0 EBADMM CCN1C[C@@H](C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])CC1=O ZINC000950405190 654120935 /nfs/dbraw/zinc/12/09/35/654120935.db2.gz WANVAEULCDAXOP-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3conc3C3CC3)CC2)nc1=O ZINC000950438722 654133703 /nfs/dbraw/zinc/13/37/03/654133703.db2.gz JGFRPDJSEKWPRY-UHFFFAOYSA-N -1 1 332.364 -0.068 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1ncccc1[O-])[C@@H]1CCCNC1=O ZINC000950492702 654145939 /nfs/dbraw/zinc/14/59/39/654145939.db2.gz TVCJDEGALAUIOQ-WDEREUQCSA-N -1 1 332.360 -0.356 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3ncn(C(C)(C)C)n3)CC2)nc1=O ZINC000950491770 654146273 /nfs/dbraw/zinc/14/62/73/654146273.db2.gz XUHOYRSCXOYPIW-UHFFFAOYSA-N -1 1 348.411 -0.587 20 0 EBADMM O=C(N[C@@H]1CCCN(C(=O)[C@@H]2CCC(=O)N2)C1)c1ncccc1[O-] ZINC000951494721 654161797 /nfs/dbraw/zinc/16/17/97/654161797.db2.gz QFOZQYHSULFGPP-MNOVXSKESA-N -1 1 332.360 -0.213 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1ccc2oc(=O)nc-2[n-]1)c1ncn[nH]1 ZINC000951582909 654197763 /nfs/dbraw/zinc/19/77/63/654197763.db2.gz NWADSTDGQPOWAB-ZETCQYMHSA-N -1 1 343.303 -0.309 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1ccc2oc(=O)nc-2[n-]1)c1nc[nH]n1 ZINC000951582909 654197766 /nfs/dbraw/zinc/19/77/66/654197766.db2.gz NWADSTDGQPOWAB-ZETCQYMHSA-N -1 1 343.303 -0.309 20 0 EBADMM CCN(C(=O)[C@H]1CCC[C@H]1OC)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950667423 654216042 /nfs/dbraw/zinc/21/60/42/654216042.db2.gz NTPMHSTWZXPABK-QWHCGFSZSA-N -1 1 337.424 -0.044 20 0 EBADMM CCN(C(=O)c1n[nH]c(=O)[n-]c1=O)C1CN(C[C@H]2C[C@@]23CCOC3)C1 ZINC000950766518 654255480 /nfs/dbraw/zinc/25/54/80/654255480.db2.gz KJAICYJIJODFRE-QLJPJBMISA-N -1 1 349.391 -0.144 20 0 EBADMM CCN(C(=O)c1ccc(OC)nc1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950815111 654273262 /nfs/dbraw/zinc/27/32/62/654273262.db2.gz RAKIIFPRLPETOF-UHFFFAOYSA-N -1 1 346.391 -0.142 20 0 EBADMM CC[C@H](F)CN1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000951777212 654278744 /nfs/dbraw/zinc/27/87/44/654278744.db2.gz BVFQSCSRGNRTDC-LBPRGKRZSA-N -1 1 326.372 -0.181 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(C/C=C/Cl)CC1 ZINC000951777564 654278953 /nfs/dbraw/zinc/27/89/53/654278953.db2.gz VSUDRRPPUDWZFH-HNQUOIGGSA-N -1 1 326.784 -0.177 20 0 EBADMM Cc1ncc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c(C)n1 ZINC000951793487 654285066 /nfs/dbraw/zinc/28/50/66/654285066.db2.gz VKXGYTXMMSCRTL-UHFFFAOYSA-N -1 1 331.380 -0.527 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)Cn3cc(Cl)cn3)CC2)nc1=O ZINC000950893767 654303720 /nfs/dbraw/zinc/30/37/20/654303720.db2.gz BHSPEMOVTHBDHX-UHFFFAOYSA-N -1 1 339.787 -0.697 20 0 EBADMM CN1CC[C@H](C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])CC1=O ZINC000950953402 654325221 /nfs/dbraw/zinc/32/52/21/654325221.db2.gz ZBBWOSAREDDUMA-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)C1(CF)CC1)c1cc(=O)n2[n-]cnc2n1 ZINC000951224884 654426106 /nfs/dbraw/zinc/42/61/06/654426106.db2.gz PQZQBLZQEAGVTN-VIFPVBQESA-N -1 1 348.338 -0.502 20 0 EBADMM C/C=C(\C)C(=O)N1CC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951224847 654426176 /nfs/dbraw/zinc/42/61/76/654426176.db2.gz OYMYHOBNIOSFPD-OTVCUZDGSA-N -1 1 330.348 -0.286 20 0 EBADMM O=C(NCC1CCN(C(=O)c2ncccc2[O-])CC1)[C@@H]1CCNC1=O ZINC000952416669 654455584 /nfs/dbraw/zinc/45/55/84/654455584.db2.gz FUVAAMPRNYPPOC-GFCCVEGCSA-N -1 1 346.387 -0.108 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H]2CCN(C(C)=O)C[C@@H]21 ZINC000953245412 654546467 /nfs/dbraw/zinc/54/64/67/654546467.db2.gz YDYZSIHJIDRXJG-ZJUUUORDSA-N -1 1 336.348 -0.021 20 0 EBADMM CC(=O)N1CC[C@@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]21 ZINC000953376992 654568664 /nfs/dbraw/zinc/56/86/64/654568664.db2.gz MMQVHLNXDZYVFP-CMPLNLGQSA-N -1 1 330.348 -0.500 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(N(C)C(=O)C2CCC2)C1 ZINC000953474967 654589984 /nfs/dbraw/zinc/58/99/84/654589984.db2.gz VRBJHUFPWUUYAM-UHFFFAOYSA-N -1 1 336.348 -0.021 20 0 EBADMM C[C@H]1C[C@H]1C(=O)N(C)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000953624067 654599931 /nfs/dbraw/zinc/59/99/31/654599931.db2.gz GXVJVROGOYHQBA-WCBMZHEXSA-N -1 1 330.348 -0.644 20 0 EBADMM CN(C(=O)c1ccoc1)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000953726953 654616051 /nfs/dbraw/zinc/61/60/51/654616051.db2.gz JXLSTHAMHMHUEU-UHFFFAOYSA-N -1 1 342.315 -0.393 20 0 EBADMM CN(C(=O)c1ccn[nH]1)C1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC000953776726 654622721 /nfs/dbraw/zinc/62/27/21/654622721.db2.gz VXXAAFPAUMLEPT-UHFFFAOYSA-N -1 1 347.335 -0.617 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CCN(C(=O)[C@H]2COC(=O)N2)CC1 ZINC000953888255 654648083 /nfs/dbraw/zinc/64/80/83/654648083.db2.gz MGPUVLOQJBKPSR-LLVKDONJSA-N -1 1 348.359 -0.041 20 0 EBADMM Cc1ncc(C(=O)N(C)C2CN(C(=O)c3cnc([O-])n(C)c3=O)C2)[nH]1 ZINC000953891896 654648868 /nfs/dbraw/zinc/64/88/68/654648868.db2.gz QQOZVOHFXFIEIO-UHFFFAOYSA-N -1 1 346.347 -0.886 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1COC2(CN(C(C)=O)C2)C1 ZINC000965755492 724480269 /nfs/dbraw/zinc/48/02/69/724480269.db2.gz MTOALRKQSXSYQO-MRVPVSSYSA-N -1 1 338.320 -0.984 20 0 EBADMM O=C(N[C@@H]1COC2(CN(C(=O)c3ncccc3[O-])C2)C1)c1nnc[nH]1 ZINC000965769298 724486957 /nfs/dbraw/zinc/48/69/57/724486957.db2.gz HUHNEMJDOJDILW-VIFPVBQESA-N -1 1 344.331 -0.681 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cncnc1 ZINC000965935721 724543118 /nfs/dbraw/zinc/54/31/18/724543118.db2.gz IPQJGOYDIYWGFF-CMPLNLGQSA-N -1 1 331.380 -0.461 20 0 EBADMM CCn1nncc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1C ZINC000965981069 724554428 /nfs/dbraw/zinc/55/44/28/724554428.db2.gz SXNIIQCNLQAPRU-GHMZBOCLSA-N -1 1 348.411 -0.640 20 0 EBADMM CC(C)C(=O)N1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H](C)C1 ZINC000966172796 724582039 /nfs/dbraw/zinc/58/20/39/724582039.db2.gz FUBLSUIPQHUXJZ-ONGXEEELSA-N -1 1 332.364 -0.350 20 0 EBADMM Cc1cc(C(=O)N2C[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)[C@@H](C)C2)n[nH]1 ZINC000966223504 724592453 /nfs/dbraw/zinc/59/24/53/724592453.db2.gz QDFFVCANYZKOEL-RCOVLWMOSA-N -1 1 347.335 -0.795 20 0 EBADMM CCC(=O)N1CCC(N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000954095950 719557617 /nfs/dbraw/zinc/55/76/17/719557617.db2.gz YHLPFEINFIDKPM-UHFFFAOYSA-N -1 1 332.364 -0.109 20 0 EBADMM CC(=O)N1CC[C@@H](C(=O)N2CC(N(C)C(=O)c3ncccc3[O-])C2)C1 ZINC000954124310 719569425 /nfs/dbraw/zinc/56/94/25/719569425.db2.gz VHQTWBNZHNMERX-GFCCVEGCSA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)CN2CCCNC2=O)C1 ZINC000954124256 719569668 /nfs/dbraw/zinc/56/96/68/719569668.db2.gz SMGCQXBQPJIPKX-UHFFFAOYSA-N -1 1 347.375 -0.515 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)C[C@H]2CCC(=O)NC2)C1 ZINC000954125583 719569942 /nfs/dbraw/zinc/56/99/42/719569942.db2.gz UCGBNWCKNKKTIU-LLVKDONJSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)C2CS(=O)(=O)C2)C1 ZINC000954125545 719569959 /nfs/dbraw/zinc/56/99/59/719569959.db2.gz RYNDTJCVGVNNBS-UHFFFAOYSA-N -1 1 339.373 -0.885 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC000954127912 719570903 /nfs/dbraw/zinc/57/09/03/719570903.db2.gz LIQULFINIOGZQR-KRWDZBQOSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)C[C@H]2CC(=O)NC2=O)C1 ZINC000954127742 719570965 /nfs/dbraw/zinc/57/09/65/719570965.db2.gz FEXICHNAMLUVQD-SECBINFHSA-N -1 1 346.343 -0.877 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC000954128159 719571565 /nfs/dbraw/zinc/57/15/65/719571565.db2.gz VDXXKUKNFPMCGE-NSHDSACASA-N -1 1 346.387 -0.014 20 0 EBADMM CC(=O)N1CC(C(=O)N(C)C2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000954152599 719581223 /nfs/dbraw/zinc/58/12/23/719581223.db2.gz KFIPVFFHZRWIQB-UHFFFAOYSA-N -1 1 332.360 -0.452 20 0 EBADMM C[C@H]1CN(C(=O)CN2CCOCC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966323978 724612697 /nfs/dbraw/zinc/61/26/97/724612697.db2.gz LQDFQODOKLUUPB-STQMWFEESA-N -1 1 348.403 -0.304 20 0 EBADMM C[C@H]1C[C@@H](C(=O)N(C)C2CN(C(=O)c3ncccc3[O-])C2)CC(=O)N1 ZINC000954690529 719842306 /nfs/dbraw/zinc/84/23/06/719842306.db2.gz JMUGPUCZWTVENJ-WDEREUQCSA-N -1 1 346.387 -0.015 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C1CN(C(=O)C(C)(C)F)C1 ZINC000954828354 719924060 /nfs/dbraw/zinc/92/40/60/719924060.db2.gz NWDGSUJKNWSKET-UHFFFAOYSA-N -1 1 342.327 -0.073 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)C2CC=CC2)C1 ZINC000954829870 719925297 /nfs/dbraw/zinc/92/52/97/719925297.db2.gz BCICSPYNJTVTDY-UHFFFAOYSA-N -1 1 342.359 -0.333 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)c2ccoc2)C1 ZINC000954831757 719925684 /nfs/dbraw/zinc/92/56/84/719925684.db2.gz LDLKUOZCDPEIMH-UHFFFAOYSA-N -1 1 342.315 -0.393 20 0 EBADMM C[C@H]1CN(C(=O)c2ncn(C)n2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966404607 724637862 /nfs/dbraw/zinc/63/78/62/724637862.db2.gz KYQISOHEGMLLTA-UWVGGRQHSA-N -1 1 330.348 -0.194 20 0 EBADMM CN(C(=O)C1(C)CCC1)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000954969400 719998433 /nfs/dbraw/zinc/99/84/33/719998433.db2.gz BMIAGQIDAKWPHS-UHFFFAOYSA-N -1 1 344.375 -0.109 20 0 EBADMM CCn1cc(C(=O)N(C)C2CN(C(=O)c3ncccc3[O-])C2)nn1 ZINC000955174723 720085886 /nfs/dbraw/zinc/08/58/86/720085886.db2.gz VLWBMELOYMTIES-UHFFFAOYSA-N -1 1 330.348 -0.005 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)Cn1cnnn1 ZINC000955535600 720186850 /nfs/dbraw/zinc/18/68/50/720186850.db2.gz JQWAPMHOXSLKPJ-GHMZBOCLSA-N -1 1 345.363 -0.417 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CCN1C(=O)C(N)=O ZINC000955806186 720268294 /nfs/dbraw/zinc/26/82/94/720268294.db2.gz VQDMAEGAZCMVLA-WPRPVWTQSA-N -1 1 347.375 -0.346 20 0 EBADMM NC(=O)CC(=O)N1CCC[C@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000955935572 720300454 /nfs/dbraw/zinc/30/04/54/720300454.db2.gz NHZQFVBOSYBNFC-JTQLQIEISA-N -1 1 347.375 -0.344 20 0 EBADMM O=C(NC[C@@H]1CCCN1C(=O)c1ncccc1[O-])c1c[nH]c(=O)cn1 ZINC000955978410 720314769 /nfs/dbraw/zinc/31/47/69/720314769.db2.gz HIGYOTVXYFQFTI-JTQLQIEISA-N -1 1 343.343 -0.095 20 0 EBADMM O=C(NC[C@@H]1CCCN1C(=O)c1ncccc1[O-])[C@H]1CCNC1=O ZINC000955979847 720315687 /nfs/dbraw/zinc/31/56/87/720315687.db2.gz SHUWMPYMJIETSD-QWRGUYRKSA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(Cc1nnc[nH]1)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000956238831 720405824 /nfs/dbraw/zinc/40/58/24/720405824.db2.gz IOSLYFTXEAERPA-JTQLQIEISA-N -1 1 330.348 -0.131 20 0 EBADMM CC1(NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN(C(=O)C2CC2)CC1 ZINC000956427051 720425980 /nfs/dbraw/zinc/42/59/80/720425980.db2.gz TVGDSGZGYMBHOK-UHFFFAOYSA-N -1 1 344.375 -0.062 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H](C)[C@H](NC(=O)C(F)F)C1 ZINC000966611372 724698394 /nfs/dbraw/zinc/69/83/94/724698394.db2.gz ORQZZEQZIKLEEZ-NTSWFWBYSA-N -1 1 346.290 -0.262 20 0 EBADMM CC(C)C(=O)N[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1C ZINC000966605597 724695934 /nfs/dbraw/zinc/69/59/34/724695934.db2.gz AOQSSEMHDFRXKI-GXSJLCMTSA-N -1 1 332.364 -0.350 20 0 EBADMM COC1CC(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)C1 ZINC000966893788 724777652 /nfs/dbraw/zinc/77/76/52/724777652.db2.gz OXROJUWBGFTRDK-KMFOADKKSA-N -1 1 335.360 -0.486 20 0 EBADMM CC(=O)N[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1(C)C ZINC000966913127 724781175 /nfs/dbraw/zinc/78/11/75/724781175.db2.gz LCLYXMQHCMYFIU-LBPRGKRZSA-N -1 1 336.392 -0.310 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@]2(C)CCN(C(=O)CCc3nc[nH]n3)C2)c1[O-] ZINC000956827397 722114184 /nfs/dbraw/zinc/11/41/84/722114184.db2.gz AIRHKQJGPARBRS-HNNXBMFYSA-N -1 1 347.379 -0.105 20 0 EBADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)[C@@H]2COC(=O)N2)C1 ZINC000956848632 722119093 /nfs/dbraw/zinc/11/90/93/722119093.db2.gz CVJQSQZMKUFGET-BJOHPYRUSA-N -1 1 334.332 -0.384 20 0 EBADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC000956851160 722119704 /nfs/dbraw/zinc/11/97/04/722119704.db2.gz WUSRVFZXHOBUHX-KCWFYHRYSA-N -1 1 332.360 -0.371 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@@H](C)[C@H](NC(=O)C2CC2)C1 ZINC000957048508 722147409 /nfs/dbraw/zinc/14/74/09/722147409.db2.gz FAJLGDHMRGNSIO-VXNVDRBHSA-N -1 1 336.348 -0.117 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cnn4c3CCCC4)CC2)nc1=O ZINC000957085366 722152900 /nfs/dbraw/zinc/15/29/00/722152900.db2.gz XDFSUQVSLSFMKN-UHFFFAOYSA-N -1 1 345.407 -0.401 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N[C@]1(C)CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000957274152 722188776 /nfs/dbraw/zinc/18/87/76/722188776.db2.gz UITWTNYLDQATLH-PSTGCABASA-N -1 1 348.403 -0.310 20 0 EBADMM Cn1nccc1CN1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000957315060 722193755 /nfs/dbraw/zinc/19/37/55/722193755.db2.gz VNIUFSAZGJXZLQ-UHFFFAOYSA-N -1 1 342.363 -0.891 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2CCNC2=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000957610061 722226735 /nfs/dbraw/zinc/22/67/35/722226735.db2.gz DCZZKVKHBMWOQD-GMTAPVOTSA-N -1 1 332.360 -0.500 20 0 EBADMM Cn1[n-]c(CN2C[C@H](O)[C@@H](CNC(=O)c3ccc(F)cc3)C2)nc1=O ZINC000957813133 722244860 /nfs/dbraw/zinc/24/48/60/722244860.db2.gz XFLZRMHJCFONPP-AAEUAGOBSA-N -1 1 349.366 -0.530 20 0 EBADMM CO[C@@H]1CCCC[C@H]1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000957866012 722254346 /nfs/dbraw/zinc/25/43/46/722254346.db2.gz QWELFLGBUATLOE-CHWSQXEVSA-N -1 1 337.424 -0.042 20 0 EBADMM Cc1cccc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)n1 ZINC000957879303 722256616 /nfs/dbraw/zinc/25/66/16/722256616.db2.gz ZLSRNXNTRVCKFR-WCQYABFASA-N -1 1 346.391 -0.965 20 0 EBADMM Cn1ncc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c1C1CC1 ZINC000957908867 722264895 /nfs/dbraw/zinc/26/48/95/722264895.db2.gz SOEWRXAHXSPRJX-UHFFFAOYSA-N -1 1 345.407 -0.323 20 0 EBADMM CCOc1cccnc1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000957956969 722277080 /nfs/dbraw/zinc/27/70/80/722277080.db2.gz FHJXYXBBNFLEPP-UHFFFAOYSA-N -1 1 346.391 -0.140 20 0 EBADMM Cc1cccnc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC000957970818 722279299 /nfs/dbraw/zinc/27/92/99/722279299.db2.gz OETXSQRVDVBFSC-NWDGAFQWSA-N -1 1 346.391 -0.965 20 0 EBADMM Cc1noc(CN2C[C@H](O)[C@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC000957990894 722284147 /nfs/dbraw/zinc/28/41/47/722284147.db2.gz PNEVTMWWAHUQLA-PWSUYJOCSA-N -1 1 333.348 -0.299 20 0 EBADMM CCn1ncc(CN2C[C@H](O)[C@@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC000957994905 722285145 /nfs/dbraw/zinc/28/51/45/722285145.db2.gz JWYZBUIGYAYGIU-FZMZJTMJSA-N -1 1 346.391 -0.379 20 0 EBADMM CCn1cc(CN2C[C@H](O)[C@@H](CNC(=O)c3ncccc3[O-])C2)nn1 ZINC000957997028 722285478 /nfs/dbraw/zinc/28/54/78/722285478.db2.gz WPOTTZSGVPGECI-FZMZJTMJSA-N -1 1 346.391 -0.379 20 0 EBADMM CCc1nnc(CN2C[C@H](O)[C@@H](CNC(=O)c3ncccc3[O-])C2)o1 ZINC000957996867 722285546 /nfs/dbraw/zinc/28/55/46/722285546.db2.gz VIUXRAYQGRNBJI-JQWIXIFHSA-N -1 1 347.375 -0.045 20 0 EBADMM CCc1nocc1C[N@H+]1C[C@@H](O)[C@@H](CNC(=O)c2cnc[nH]c2=O)C1 ZINC000958168265 722317374 /nfs/dbraw/zinc/31/73/74/722317374.db2.gz VALKMWOGFQHRCO-IINYFYTJSA-N -1 1 347.375 -0.045 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)n1cccn1 ZINC000958288863 722338249 /nfs/dbraw/zinc/33/82/49/722338249.db2.gz KVZDBAQIVHZGHB-MEWQQHAOSA-N -1 1 331.380 -0.888 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)nn1C ZINC000958340874 722348836 /nfs/dbraw/zinc/34/88/36/722348836.db2.gz XCMJVIHILXMWNY-IWIIMEHWSA-N -1 1 331.380 -0.989 20 0 EBADMM Cc1[nH]nc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)c1C ZINC000958427835 722363999 /nfs/dbraw/zinc/36/39/99/722363999.db2.gz OYAJEINOCSFTDS-IWIIMEHWSA-N -1 1 331.380 -0.691 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2cccc(=O)[nH]2)nc1=O ZINC000958487723 722376859 /nfs/dbraw/zinc/37/68/59/722376859.db2.gz WZTFOLVPDFQIHG-WOFXILAISA-N -1 1 330.348 -0.931 20 0 EBADMM CN(C(=O)Cc1ccon1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958761320 722428680 /nfs/dbraw/zinc/42/86/80/722428680.db2.gz OLPNKBWEMSFYKK-AAEUAGOBSA-N -1 1 346.343 -0.338 20 0 EBADMM Cc1cc(C(=O)N2C[C@H](O)[C@@H](N(C)C(=O)c3n[nH]c(C)c3[O-])C2)n[nH]1 ZINC000958825245 722600409 /nfs/dbraw/zinc/60/04/09/722600409.db2.gz XZCDXVLXKMLKER-QWRGUYRKSA-N -1 1 348.363 -0.587 20 0 EBADMM CC[C@@H](OC)C(=O)N1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000958830176 722603933 /nfs/dbraw/zinc/60/39/33/722603933.db2.gz IJVHKWJTPIVVIT-WCFLWFBJSA-N -1 1 337.376 -0.144 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)c2n[nH]cc2F)C[C@@H]1O ZINC000958830919 722604764 /nfs/dbraw/zinc/60/47/64/722604764.db2.gz QBGKZAPUOMLGPR-ONGXEEELSA-N -1 1 349.322 -0.393 20 0 EBADMM O=C(c1ncccc1[O-])N1CC2(C1)CCN(C(=O)[C@H]1CCC(=O)N1)C2 ZINC001018962268 728756747 /nfs/dbraw/zinc/75/67/47/728756747.db2.gz NGYUJGXOPCBQJQ-LLVKDONJSA-N -1 1 344.371 -0.260 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@]2(C)CCNC2=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000959645009 722753188 /nfs/dbraw/zinc/75/31/88/722753188.db2.gz DZMMUEZNHZCUOG-AGKHESDQSA-N -1 1 346.387 -0.110 20 0 EBADMM Cc1nnc(CC(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)[nH]1 ZINC000960003735 722782041 /nfs/dbraw/zinc/78/20/41/722782041.db2.gz JZCLMSVLJBYLME-JTQLQIEISA-N -1 1 334.384 -0.350 20 0 EBADMM CCc1ccnc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)c1 ZINC000960053784 722785957 /nfs/dbraw/zinc/78/59/57/722785957.db2.gz QTKVVTODMWLUMR-JYAVWHMHSA-N -1 1 342.403 -0.074 20 0 EBADMM NC(=O)c1cc(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)co1 ZINC000960069885 722788320 /nfs/dbraw/zinc/78/83/20/722788320.db2.gz GKPDEDMYKUMBGI-JTQLQIEISA-N -1 1 348.363 -0.013 20 0 EBADMM C[C@H](C(=O)N1CCC[C@@H]1CN(C)Cc1nc(=O)n(C)[n-]1)n1cccn1 ZINC000960447114 722830499 /nfs/dbraw/zinc/83/04/99/722830499.db2.gz VXJXGVHIJHLRCN-CHWSQXEVSA-N -1 1 347.423 -0.011 20 0 EBADMM Cc1cc(C(=O)N2CCC[C@@H]2CN(C)Cc2nc(=O)n(C)[n-]2)nn1C ZINC000960467792 722831725 /nfs/dbraw/zinc/83/17/25/722831725.db2.gz IELFDVIPHUXXEO-GFCCVEGCSA-N -1 1 347.423 -0.113 20 0 EBADMM Cc1cc(C(=O)N2CCC[C@H]2CN(C)Cc2nc(=O)n(C)[n-]2)n(C)n1 ZINC000960473327 722832198 /nfs/dbraw/zinc/83/21/98/722832198.db2.gz SHCJMOMSJALZBM-LBPRGKRZSA-N -1 1 347.423 -0.113 20 0 EBADMM CCOc1cc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)[nH]n1 ZINC000960499163 722834349 /nfs/dbraw/zinc/83/43/49/722834349.db2.gz NNYUNXRZGDOYTP-WOFXILAISA-N -1 1 347.379 -0.910 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)c1cnn(C)c1N ZINC000960571964 722840161 /nfs/dbraw/zinc/84/01/61/722840161.db2.gz DOUQFPJJQQXYPO-SNVBAGLBSA-N -1 1 348.411 -0.839 20 0 EBADMM Cc1ccc(C(=O)N2CCC[C@H]2CN(C)Cc2nc(=O)n(C)[n-]2)nn1 ZINC000960618104 722845559 /nfs/dbraw/zinc/84/55/59/722845559.db2.gz OFXOWEOWARDPJI-LBPRGKRZSA-N -1 1 345.407 -0.057 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)Cc2ccccc2)nc1=O ZINC000960631763 722846549 /nfs/dbraw/zinc/84/65/49/722846549.db2.gz WESFLJAZGCMNKV-VIKVFOODSA-N -1 1 327.388 -0.103 20 0 EBADMM Cc1nc(CN(C)C[C@H]2CCCN2C(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC000960739271 722859199 /nfs/dbraw/zinc/85/91/99/722859199.db2.gz PEPIUKWZAVSGRS-SECBINFHSA-N -1 1 348.367 -0.554 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)Cc1cnoc1 ZINC000960816043 722868099 /nfs/dbraw/zinc/86/80/99/722868099.db2.gz FGAOYBYHZPHQMC-GFCCVEGCSA-N -1 1 334.380 -0.238 20 0 EBADMM Cc1ccn(CC(=O)N2CCC[C@@H]2CN(C)Cc2nc(=O)n(C)[n-]2)n1 ZINC000960872483 722875078 /nfs/dbraw/zinc/87/50/78/722875078.db2.gz BZVDOHLQFKCGHD-CYBMUJFWSA-N -1 1 347.423 -0.264 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)CCc1cn[nH]c1 ZINC000960911798 722878775 /nfs/dbraw/zinc/87/87/75/722878775.db2.gz MDNGWJNTVRKMRK-CYBMUJFWSA-N -1 1 347.423 -0.113 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)CCc1cn[nH]c1 ZINC000960911800 722878809 /nfs/dbraw/zinc/87/88/09/722878809.db2.gz MDNGWJNTVRKMRK-ZDUSSCGKSA-N -1 1 347.423 -0.113 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)[C@@]1(C)CCOC1 ZINC000960954587 722881712 /nfs/dbraw/zinc/88/17/12/722881712.db2.gz HAFBCTSONDKFGA-LRDDRELGSA-N -1 1 337.424 -0.042 20 0 EBADMM Cn1ncc(C(=O)N2C[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]3C2)n1 ZINC000961423091 723016896 /nfs/dbraw/zinc/01/68/96/723016896.db2.gz UFPPBOYSHJZPGG-PHIMTYICSA-N -1 1 342.359 -0.240 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)on1 ZINC000961502301 723029723 /nfs/dbraw/zinc/02/97/23/723029723.db2.gz BPJPNPOPVJXQMU-KOLCDFICSA-N -1 1 332.316 -0.301 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1cnns1 ZINC000961736302 723066114 /nfs/dbraw/zinc/06/61/14/723066114.db2.gz RIKUFKSUVLYGLR-APPZFPTMSA-N -1 1 335.345 -0.746 20 0 EBADMM O=C([C@H]1CCc2cncn2C1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019061372 728787567 /nfs/dbraw/zinc/78/75/67/728787567.db2.gz ZWXFFQCWXFCKQO-QWRGUYRKSA-N -1 1 331.380 -0.340 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1cccc(=O)[nH]1 ZINC000962072552 723166146 /nfs/dbraw/zinc/16/61/46/723166146.db2.gz NOWNJOZWOPUODP-PWSUYJOCSA-N -1 1 344.327 -0.497 20 0 EBADMM Cc1noc(C)c1CN[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001019094644 728794473 /nfs/dbraw/zinc/79/44/73/728794473.db2.gz FNCVAEDERFOJRR-VIFPVBQESA-N -1 1 334.336 -0.108 20 0 EBADMM CCc1nc([C@@H](C)N[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001019094853 728794937 /nfs/dbraw/zinc/79/49/37/728794937.db2.gz PGWAGTJSVGXXFY-SFYZADRCSA-N -1 1 348.367 -0.471 20 0 EBADMM COc1ccccc1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000962378611 723235737 /nfs/dbraw/zinc/23/57/37/723235737.db2.gz FXBHPHWKZJZFNP-JYAVWHMHSA-N -1 1 343.387 -0.023 20 0 EBADMM C[C@H](c1cnccn1)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC000962404590 723241444 /nfs/dbraw/zinc/24/14/44/723241444.db2.gz KJAVSVSOQACIKK-DNJQJEMRSA-N -1 1 345.363 -0.713 20 0 EBADMM O=C(C[C@@H]1CCCO1)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962434955 723252007 /nfs/dbraw/zinc/25/20/07/723252007.db2.gz MQNJVIBMKAJTDW-LOWVWBTDSA-N -1 1 335.360 -0.342 20 0 EBADMM O=C(CCn1cccn1)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962435826 723252329 /nfs/dbraw/zinc/25/23/29/723252329.db2.gz VETVQVDIKGLDIU-YPMHNXCESA-N -1 1 345.359 -0.625 20 0 EBADMM Cc1cc(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n(C)n1 ZINC000962435905 723252584 /nfs/dbraw/zinc/25/25/84/723252584.db2.gz XCKZBRDORKAXJY-MFKMUULPSA-N -1 1 345.359 -0.556 20 0 EBADMM CC[C@@H]1CCO[C@@H]1C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962441298 723254541 /nfs/dbraw/zinc/25/45/41/723254541.db2.gz OQXYSESWPNUNLR-ZSEWYUTFSA-N -1 1 349.387 -0.096 20 0 EBADMM Cc1cncn1CC(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962443420 723254920 /nfs/dbraw/zinc/25/49/20/723254920.db2.gz JNUAGNOBINLWCV-YPMHNXCESA-N -1 1 345.359 -0.706 20 0 EBADMM O=C([C@@H]1OC[C@@H]2COCC[C@H]12)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019180368 728819101 /nfs/dbraw/zinc/81/91/01/728819101.db2.gz RHIRHMCGNWZALL-MRBYEJRBSA-N -1 1 337.380 -0.748 20 0 EBADMM Cc1n[nH]c(C(=O)NC2CC3(CC(NCc4nnnn4C)C3)C2)c1[O-] ZINC000963691983 723466398 /nfs/dbraw/zinc/46/63/98/723466398.db2.gz OWQOFBQRDODGRB-UHFFFAOYSA-N -1 1 346.395 -0.222 20 0 EBADMM CC(=O)NC[C@H]1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000963981058 723513353 /nfs/dbraw/zinc/51/33/53/723513353.db2.gz FNJMYCCILOEXDG-XYPYZODXSA-N -1 1 332.364 -0.158 20 0 EBADMM Cn1nccc1C(=O)NC[C@@H]1COCCN1C(=O)c1ncccc1[O-] ZINC000964113480 723812441 /nfs/dbraw/zinc/81/24/41/723812441.db2.gz VRSUOURNEMHTGV-LLVKDONJSA-N -1 1 345.359 -0.208 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1ncccc1[O-])c1cn[nH]c1 ZINC000964117933 723816253 /nfs/dbraw/zinc/81/62/53/723816253.db2.gz JCMWKUGGOWMXBH-LLVKDONJSA-N -1 1 331.332 -0.219 20 0 EBADMM O=C(Cc1cc[nH]n1)N1CCOC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000964151516 723838629 /nfs/dbraw/zinc/83/86/29/723838629.db2.gz AIGFLNAWYRUPEP-LBPRGKRZSA-N -1 1 345.359 -0.290 20 0 EBADMM O=C(Cc1ccn[nH]1)N1CCOC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000964151516 723838635 /nfs/dbraw/zinc/83/86/35/723838635.db2.gz AIGFLNAWYRUPEP-LBPRGKRZSA-N -1 1 345.359 -0.290 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)[C@H]1[C@@H]2COC[C@@H]21)c1ncccc1[O-] ZINC000964151500 723838649 /nfs/dbraw/zinc/83/86/49/723838649.db2.gz AAHHHKQUQYZRHA-NMKXLXIOSA-N -1 1 347.371 -0.363 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)[C@@H]1CCC(=O)N1)c1ncccc1[O-] ZINC000964151672 723838654 /nfs/dbraw/zinc/83/86/54/723838654.db2.gz BTWDVRBAXRPKNN-MNOVXSKESA-N -1 1 348.359 -0.977 20 0 EBADMM CC(=O)N1CCC2(C[C@H]2NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000964406990 724005832 /nfs/dbraw/zinc/00/58/32/724005832.db2.gz AMOCQGIKRWJTCD-LLVKDONJSA-N -1 1 330.348 -0.452 20 0 EBADMM O=C(Cn1cnnn1)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000964732058 724052273 /nfs/dbraw/zinc/05/22/73/724052273.db2.gz LBJQQPYVMGNDEC-LLVKDONJSA-N -1 1 345.363 -0.415 20 0 EBADMM Cc1cnc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)cn1 ZINC000964808524 724061799 /nfs/dbraw/zinc/06/17/99/724061799.db2.gz SSXJXMJDDHYUPN-MFKMUULPSA-N -1 1 345.407 -0.153 20 0 EBADMM COc1cc(=O)[nH]cc1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019402515 728879339 /nfs/dbraw/zinc/87/93/39/728879339.db2.gz OKOHQRDQZBOOSN-QMMMGPOBSA-N -1 1 334.336 -0.376 20 0 EBADMM O=C(c1nc[nH]n1)N1CC2(C1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC000965361671 724198070 /nfs/dbraw/zinc/19/80/70/724198070.db2.gz OTKPJWHEBRRTIH-UHFFFAOYSA-N -1 1 344.331 -0.728 20 0 EBADMM CC(C)[C@@H](O)C(=O)N1CC2(C1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC000965362907 724198947 /nfs/dbraw/zinc/19/89/47/724198947.db2.gz JCWBFJMDEOZLKW-CQSZACIVSA-N -1 1 349.387 -0.143 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1cnns1 ZINC000965398648 724218334 /nfs/dbraw/zinc/21/83/34/724218334.db2.gz YDJBFFWVCLDADW-BDAKNGLRSA-N -1 1 337.409 -0.400 20 0 EBADMM O=C(c1nc[nH]n1)N1CCOC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000965443353 724245295 /nfs/dbraw/zinc/24/52/95/724245295.db2.gz XZRBRQXLZDLGHI-UHFFFAOYSA-N -1 1 344.331 -0.728 20 0 EBADMM O=C(N[C@@H]1COC2(CN(C(=O)c3nc[nH]n3)C2)C1)c1ncccc1[O-] ZINC000965679351 724361173 /nfs/dbraw/zinc/36/11/73/724361173.db2.gz CVEXVEVSGKCDEO-VIFPVBQESA-N -1 1 344.331 -0.681 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1nccnc1N ZINC000965685813 724365710 /nfs/dbraw/zinc/36/57/10/724365710.db2.gz CBNLZNUIBOORCB-VHSXEESVSA-N -1 1 346.395 -0.879 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1csnn1 ZINC000967493986 724877160 /nfs/dbraw/zinc/87/71/60/724877160.db2.gz HITUXLGIJZOTRN-DTWKUNHWSA-N -1 1 337.409 -0.400 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CCNC(=O)C2)C1 ZINC000967898424 724927707 /nfs/dbraw/zinc/92/77/07/724927707.db2.gz HLGXSMJTWHJLOE-LLVKDONJSA-N -1 1 346.387 -0.014 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@]2(C)CCNC2=O)C1 ZINC000967908200 724929008 /nfs/dbraw/zinc/92/90/08/724929008.db2.gz POXSLHBWFMSXDB-KRWDZBQOSA-N -1 1 346.387 -0.014 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CCN(C)C2=O)C1 ZINC000967909711 724929522 /nfs/dbraw/zinc/92/95/22/724929522.db2.gz ZELYLAZNXSPLSX-LBPRGKRZSA-N -1 1 346.387 -0.062 20 0 EBADMM Cc1ncc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)n1C ZINC000968015006 724946331 /nfs/dbraw/zinc/94/63/31/724946331.db2.gz GVRANLRUKIQMOO-PWSUYJOCSA-N -1 1 347.423 -0.209 20 0 EBADMM CCN(C(=O)c1[nH]c(=O)[n-]c(=O)c1OC)C1CN(C(=O)C(F)F)C1 ZINC000968157317 724970927 /nfs/dbraw/zinc/97/09/27/724970927.db2.gz AGWYRNWNQZQVGI-UHFFFAOYSA-N -1 1 346.290 -0.166 20 0 EBADMM CCn1nc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)ccc1=O ZINC001019531311 728910570 /nfs/dbraw/zinc/91/05/70/728910570.db2.gz NPKZXGWDSSESHC-VIFPVBQESA-N -1 1 333.352 -0.909 20 0 EBADMM CCN(C(=O)[C@@H]1CN(C)C(=O)N1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000968212750 724981234 /nfs/dbraw/zinc/98/12/34/724981234.db2.gz JQQJNABXDRPPGO-NSHDSACASA-N -1 1 347.375 -0.516 20 0 EBADMM CC[C@@H]1CCO[C@@H]1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000968376147 725057299 /nfs/dbraw/zinc/05/72/99/725057299.db2.gz UPGIVEKSBWKKIF-ZSEWYUTFSA-N -1 1 349.387 -0.096 20 0 EBADMM CCn1ccc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)n1 ZINC000968382296 725057736 /nfs/dbraw/zinc/05/77/36/725057736.db2.gz NGWVDGIPUYCMBK-AAEUAGOBSA-N -1 1 347.423 -0.035 20 0 EBADMM Cc1nonc1CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1C ZINC000968493758 725073626 /nfs/dbraw/zinc/07/36/26/725073626.db2.gz XCJJNASRTOQEGN-BXKDBHETSA-N -1 1 349.395 -0.631 20 0 EBADMM CCc1cncc(C(=O)N2C[C@@H](NC(=O)c3cnn[nH]3)[C@@H](O)C2)c1 ZINC000968704851 725106268 /nfs/dbraw/zinc/10/62/68/725106268.db2.gz ZZCGQVZTJVLDQL-OLZOCXBDSA-N -1 1 330.348 -0.623 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ccc(F)s2)C[C@@H]1O)c1cnn[nH]1 ZINC000968707115 725106347 /nfs/dbraw/zinc/10/63/47/725106347.db2.gz BCAXRIHQXWWNTF-SFYZADRCSA-N -1 1 325.325 -0.379 20 0 EBADMM COc1cc(CCC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)on1 ZINC000968815251 725116706 /nfs/dbraw/zinc/11/67/06/725116706.db2.gz ZTOQWVVBQUNZLG-SECBINFHSA-N -1 1 336.352 -0.170 20 0 EBADMM CC(=O)Nc1ccc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)nc1 ZINC000968867029 725122698 /nfs/dbraw/zinc/12/26/98/725122698.db2.gz PYMKPRBIHJNQPD-LLVKDONJSA-N -1 1 345.363 -0.132 20 0 EBADMM O=C(c1ncccc1[O-])N1[C@@H]2CC[C@H]1CN(C(=O)[C@H]1CCNC1=O)C2 ZINC000968886097 725125722 /nfs/dbraw/zinc/12/57/22/725125722.db2.gz FAHKLZJLSXCQAO-TUAOUCFPSA-N -1 1 344.371 -0.261 20 0 EBADMM COc1ccc(CN[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)nn1 ZINC000969211587 725162037 /nfs/dbraw/zinc/16/20/37/725162037.db2.gz HUYHRWLAXNQRAT-SECBINFHSA-N -1 1 347.335 -0.914 20 0 EBADMM CCc1nocc1CN[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000969211552 725162048 /nfs/dbraw/zinc/16/20/48/725162048.db2.gz FRHGZGASUGTDOR-SECBINFHSA-N -1 1 334.336 -0.163 20 0 EBADMM Cc1nn(C)c(C)c1CC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969509506 725186745 /nfs/dbraw/zinc/18/67/45/725186745.db2.gz OUKUZQNAIZWDQR-LLVKDONJSA-N -1 1 333.396 -0.206 20 0 EBADMM C[C@H](N[C@@H]1CCN(C(=O)c2cnc([O-])n(C)c2=O)C1)c1cnccn1 ZINC000969553448 725192341 /nfs/dbraw/zinc/19/23/41/725192341.db2.gz UHXUMGUIIVIWEU-WDEREUQCSA-N -1 1 344.375 -0.159 20 0 EBADMM Cn1cnc2c1nccc2C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969903645 725226690 /nfs/dbraw/zinc/22/66/90/725226690.db2.gz FPCVGBPHDZPUDD-SECBINFHSA-N -1 1 342.363 -0.204 20 0 EBADMM CCc1nn(C)cc1C(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969910752 725227654 /nfs/dbraw/zinc/22/76/54/725227654.db2.gz HYYFQQHBNQTTEB-SNVBAGLBSA-N -1 1 347.423 -0.345 20 0 EBADMM C[C@@H](NC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970180256 725259360 /nfs/dbraw/zinc/25/93/60/725259360.db2.gz TXIAMNRPXRWYJT-KEFKHEOASA-N -1 1 347.419 -0.532 20 0 EBADMM Cc1nocc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000970213140 725263514 /nfs/dbraw/zinc/26/35/14/725263514.db2.gz GGHUGSMIDGNWQA-PWSUYJOCSA-N -1 1 332.316 -0.301 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H](NCc2ncccn2)C1 ZINC000970278173 725272638 /nfs/dbraw/zinc/27/26/38/725272638.db2.gz PRVMHVKUTBEMMU-GFCCVEGCSA-N -1 1 344.375 -0.893 20 0 EBADMM CCc1ncoc1C(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970395901 725286008 /nfs/dbraw/zinc/28/60/08/725286008.db2.gz REWBQNOGTATEAR-VIFPVBQESA-N -1 1 334.380 -0.091 20 0 EBADMM CCc1ncoc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000970410623 725287854 /nfs/dbraw/zinc/28/78/54/725287854.db2.gz DVLYTYSHWXTKPW-PWSUYJOCSA-N -1 1 346.343 -0.047 20 0 EBADMM COc1cc[nH]c(=O)c1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970494241 725295733 /nfs/dbraw/zinc/29/57/33/725295733.db2.gz NBXIREWTWGXIBG-MRVPVSSYSA-N -1 1 334.336 -0.376 20 0 EBADMM CCn1ncc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC000970639987 725309600 /nfs/dbraw/zinc/30/96/00/725309600.db2.gz LUMMHLVQVUSBQV-SNVBAGLBSA-N -1 1 347.423 -0.116 20 0 EBADMM CC(C)n1cc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC000970657719 725312373 /nfs/dbraw/zinc/31/23/73/725312373.db2.gz OZOGJIKJSJXMNS-SNVBAGLBSA-N -1 1 348.411 -0.469 20 0 EBADMM C[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(Cc2ccon2)C1 ZINC000970738640 725322203 /nfs/dbraw/zinc/32/22/03/725322203.db2.gz XOMGJXVFGZNGQJ-VIFPVBQESA-N -1 1 343.347 -0.344 20 0 EBADMM CCn1nc(C)c(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000970983907 725346914 /nfs/dbraw/zinc/34/69/14/725346914.db2.gz ASNUDHMISHMIJK-SECBINFHSA-N -1 1 348.411 -0.721 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)Cc2cnn(C)c2)C1 ZINC000971198288 725353614 /nfs/dbraw/zinc/35/36/14/725353614.db2.gz RLXMNEAQHHJITA-LBPRGKRZSA-N -1 1 333.396 -0.883 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)C[C@@H]2COC(=O)C2)C1 ZINC000971344757 725359885 /nfs/dbraw/zinc/35/98/85/725359885.db2.gz VBXGFJKJKGVZAE-WDEREUQCSA-N -1 1 337.380 -0.906 20 0 EBADMM Cc1ccnc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000971437068 725366002 /nfs/dbraw/zinc/36/60/02/725366002.db2.gz YUXGSXZONTVKJI-NSHDSACASA-N -1 1 331.380 -0.447 20 0 EBADMM Cc1ccc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC000971521826 725370972 /nfs/dbraw/zinc/37/09/72/725370972.db2.gz DSYCLYIEJAAWBP-NSHDSACASA-N -1 1 331.380 -0.447 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)c2cnn3c2CCC3)C1 ZINC000971646423 725428032 /nfs/dbraw/zinc/42/80/32/725428032.db2.gz FVFBHFCEQQQKAI-LLVKDONJSA-N -1 1 345.407 -0.402 20 0 EBADMM CCn1nnc(C)c1C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000971667117 725429266 /nfs/dbraw/zinc/42/92/66/725429266.db2.gz JAVKRXGEHDYOQQ-NSHDSACASA-N -1 1 348.411 -0.625 20 0 EBADMM Cc1ncc(CC(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)o1 ZINC000971672374 725429647 /nfs/dbraw/zinc/42/96/47/725429647.db2.gz VSQBHMKPWZKNCT-LLVKDONJSA-N -1 1 334.380 -0.320 20 0 EBADMM Cc1ncn(C)c1C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000971735218 725434271 /nfs/dbraw/zinc/43/42/71/725434271.db2.gz WRCIWAWAPSLMLZ-NSHDSACASA-N -1 1 333.396 -0.503 20 0 EBADMM Cc1nc([C@H](C)N(C)[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC000971870971 725444719 /nfs/dbraw/zinc/44/47/19/725444719.db2.gz WTDPIDZIKQVTBL-IONNQARKSA-N -1 1 348.367 -0.383 20 0 EBADMM COc1cnc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)nc1 ZINC000971906687 725447490 /nfs/dbraw/zinc/44/74/90/725447490.db2.gz DLXAMJPKDLAYPE-JTQLQIEISA-N -1 1 347.379 -0.747 20 0 EBADMM Cc1c(CC(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)cnn1C ZINC001051647931 735289593 /nfs/dbraw/zinc/28/95/93/735289593.db2.gz DVAUIJOVAJKKDK-GFCCVEGCSA-N -1 1 349.395 -0.888 20 0 EBADMM Cc1ncc(C(=O)N2CC[C@@]3(C2)CN(Cc2n[nH]c(=O)[n-]2)CCO3)[nH]1 ZINC000972293666 725492407 /nfs/dbraw/zinc/49/24/07/725492407.db2.gz DYTQEJPJZFSPNT-HNNXBMFYSA-N -1 1 347.379 -0.341 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1csnn1 ZINC000991629642 725495340 /nfs/dbraw/zinc/49/53/40/725495340.db2.gz UDRYGZYTRNRPHR-KWQFWETISA-N -1 1 349.372 -0.356 20 0 EBADMM Cn1[n-]c(CN2CCO[C@]3(CCN(C(=O)/C=C/C4CC4)C3)C2)nc1=O ZINC000972317238 725498847 /nfs/dbraw/zinc/49/88/47/725498847.db2.gz SPPZUXLPQLWLPD-LAQIPUCWSA-N -1 1 347.419 -0.122 20 0 EBADMM CC[C@@H](C(N)=O)N1CCO[C@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000972355978 725505873 /nfs/dbraw/zinc/50/58/73/725505873.db2.gz SGCZZPRUCWQIKT-YVEFUNNKSA-N -1 1 348.403 -0.032 20 0 EBADMM Cn1cc(C(=O)N2CC(NC(=O)c3cnc(C4CC4)[n-]c3=O)C2)nn1 ZINC000991652778 725514827 /nfs/dbraw/zinc/51/48/27/725514827.db2.gz KRMXKANLVVTSLF-UHFFFAOYSA-N -1 1 343.347 -0.558 20 0 EBADMM O=C(NC1CN(C(=O)[C@@H]2CCC(=O)N2)C1)c1cnc(C2CC2)[n-]c1=O ZINC000991654277 725515872 /nfs/dbraw/zinc/51/58/72/725515872.db2.gz PWUDCAKEGBMCID-NSHDSACASA-N -1 1 345.359 -0.721 20 0 EBADMM Cc1nn[nH]c1C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC000991655133 725516719 /nfs/dbraw/zinc/51/67/19/725516719.db2.gz HCRZRFMQKHMBAW-MWLCHTKSSA-N -1 1 346.347 -0.781 20 0 EBADMM O=C(Cc1cc[nH]n1)N1CC(NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000991656684 725518053 /nfs/dbraw/zinc/51/80/53/725518053.db2.gz XIDXCQQHVCZMNP-UHFFFAOYSA-N -1 1 342.359 -0.034 20 0 EBADMM CC[C@@H](C(N)=O)N(C)[C@@H]1CCN(C(=O)c2c[n-]n3c2nccc3=O)C1 ZINC000972494516 725535830 /nfs/dbraw/zinc/53/58/30/725535830.db2.gz CTSJFBVWIWDBGT-PWSUYJOCSA-N -1 1 346.391 -0.567 20 0 EBADMM Cc1ncc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)c(C)n1 ZINC000972565130 725550839 /nfs/dbraw/zinc/55/08/39/725550839.db2.gz JDSIJUIJYKOPAT-GFCCVEGCSA-N -1 1 345.407 -0.138 20 0 EBADMM Cn1[n-]c(CN2CCO[C@]3(CCN(C(=O)[C@@H]4CC45CC5)C3)C2)nc1=O ZINC000972572013 725552327 /nfs/dbraw/zinc/55/23/27/725552327.db2.gz MUAPJNVOGFVVGO-YVEFUNNKSA-N -1 1 347.419 -0.288 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)c3ccc(=O)[nH]n3)C(C)(C)C2)nc1=O ZINC000972772591 725591837 /nfs/dbraw/zinc/59/18/37/725591837.db2.gz ZTHVNWLGSASMMK-SNVBAGLBSA-N -1 1 347.379 -0.756 20 0 EBADMM Cn1nccc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000972855134 725597854 /nfs/dbraw/zinc/59/78/54/725597854.db2.gz HCOGMSJGZTXZHI-LLVKDONJSA-N -1 1 333.396 -0.518 20 0 EBADMM NC(=O)c1cc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)c[nH]1 ZINC000991775454 725617646 /nfs/dbraw/zinc/61/76/46/725617646.db2.gz VFJCLJOLKQHJBI-UHFFFAOYSA-N -1 1 329.316 -0.531 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2C[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)c1C ZINC000973110953 725643925 /nfs/dbraw/zinc/64/39/25/725643925.db2.gz HJYYFFUCPPEYFC-ZKCHVHJHSA-N -1 1 347.335 -0.686 20 0 EBADMM O=C(C[C@@H]1CCC(=O)N1)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973199111 725667932 /nfs/dbraw/zinc/66/79/32/725667932.db2.gz PMBQGXKYYPCWIT-DCAQKATOSA-N -1 1 332.360 -0.167 20 0 EBADMM O=C(N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)c1c[nH]c(=O)cn1 ZINC000973199960 725668414 /nfs/dbraw/zinc/66/84/14/725668414.db2.gz UTEPKLVNJLYJSW-KYZUINATSA-N -1 1 329.316 -0.439 20 0 EBADMM O=C(Cn1ncnn1)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC000992055391 725710681 /nfs/dbraw/zinc/71/06/81/725710681.db2.gz INAVBEACOUVPMZ-UHFFFAOYSA-N -1 1 331.336 -0.902 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)Cc4cnoc4)CCC[C@@H]23)nc1=O ZINC000992125659 725730769 /nfs/dbraw/zinc/73/07/69/725730769.db2.gz FBEOOCKUYGUNTN-MLGOLLRUSA-N -1 1 346.391 -0.048 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)c4n[nH]cc4F)CCC[C@@H]23)nc1=O ZINC000992155032 725737136 /nfs/dbraw/zinc/73/71/36/725737136.db2.gz SCURABSSZAVMBU-BMIGLBTASA-N -1 1 349.370 -0.102 20 0 EBADMM CCC(=O)N1C[C@H](C)[C@@H](CNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001051671875 735312566 /nfs/dbraw/zinc/31/25/66/735312566.db2.gz NFWQRHFVSKMYJZ-RYUDHWBXSA-N -1 1 336.392 -0.453 20 0 EBADMM O=C(N[C@H]1C[C@H](NC(=O)c2cnco2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000973465787 725755954 /nfs/dbraw/zinc/75/59/54/725755954.db2.gz QKEKXSXIKMVHJM-ZKCHVHJHSA-N -1 1 343.303 -0.904 20 0 EBADMM C[C@H]1C[C@@H]1CC(=O)N[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000973465781 725756194 /nfs/dbraw/zinc/75/61/94/725756194.db2.gz PPHXBRYIFKYQTN-VLEAKVRGSA-N -1 1 344.375 -0.159 20 0 EBADMM O=C(NCC1CC(NC(=O)C(F)F)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000992242954 725759228 /nfs/dbraw/zinc/75/92/28/725759228.db2.gz ZQTMINJEUMEKJK-UHFFFAOYSA-N -1 1 340.290 -0.693 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)NCC1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000992281721 725767177 /nfs/dbraw/zinc/76/71/77/725767177.db2.gz IWTGHPDVUNJGRA-AGVGLQIMSA-N -1 1 344.375 -0.302 20 0 EBADMM CC(=O)NC1C[C@H]2CC[C@@H](C1)N2C(=O)CCn1cc[n-]c(=O)c1=O ZINC000973556576 725778475 /nfs/dbraw/zinc/77/84/75/725778475.db2.gz QLYOOLFOTOPJAE-YHWZYXNKSA-N -1 1 334.376 -0.415 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@@H](NC(=O)C(C)(F)F)C1 ZINC000973793308 725803688 /nfs/dbraw/zinc/80/36/88/725803688.db2.gz LFNHHVFOPYBURQ-OLQVQODUSA-N -1 1 346.290 -0.071 20 0 EBADMM O=C(N[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1ccon1 ZINC000973912231 725822849 /nfs/dbraw/zinc/82/28/49/725822849.db2.gz IRWXIAMCULUJMZ-OCAPTIKFSA-N -1 1 343.303 -0.904 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@@H](NC(=O)c2cn[nH]c2)C1 ZINC000973919895 725824418 /nfs/dbraw/zinc/82/44/18/725824418.db2.gz HCJUCQNDUBAWJU-OCAPTIKFSA-N -1 1 348.319 -0.690 20 0 EBADMM COC(=O)[C@@H]1C[C@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000973951643 725831752 /nfs/dbraw/zinc/83/17/52/725831752.db2.gz LADYJXLMYPKPAP-LMLFDSFASA-N -1 1 333.344 -0.027 20 0 EBADMM Cc1c(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)cnn1C ZINC000993011242 725850530 /nfs/dbraw/zinc/85/05/30/725850530.db2.gz NHSCUZQXOJXMGG-AAEUAGOBSA-N -1 1 347.423 -0.067 20 0 EBADMM CN1CCOC[C@@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000974043480 725852918 /nfs/dbraw/zinc/85/29/18/725852918.db2.gz IOEXXBUGOHDXCN-GRYCIOLGSA-N -1 1 334.376 -0.505 20 0 EBADMM Cc1nn(C)cc1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1C ZINC000993113255 725855556 /nfs/dbraw/zinc/85/55/56/725855556.db2.gz VEMJLEWILKQGDB-WCQYABFASA-N -1 1 347.423 -0.067 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)n(C)n1 ZINC000993117803 725856240 /nfs/dbraw/zinc/85/62/40/725856240.db2.gz UAQUQOLXACYDQJ-NEPJUHHUSA-N -1 1 347.423 -0.067 20 0 EBADMM CN1CC(C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3O)C2)=NC1=O ZINC000974059074 725860210 /nfs/dbraw/zinc/86/02/10/725860210.db2.gz YKLIDQOAQXINDB-DTORHVGOSA-N -1 1 331.332 -0.083 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cn(C)cn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993193958 725860978 /nfs/dbraw/zinc/86/09/78/725860978.db2.gz CCWKLWQCJQBWGN-MNOVXSKESA-N -1 1 333.396 -0.375 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2cnnn2C)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993276893 725868642 /nfs/dbraw/zinc/86/86/42/725868642.db2.gz GCGOILOLFJZZAL-VHSXEESVSA-N -1 1 334.384 -0.980 20 0 EBADMM Cc1ncc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)n1C ZINC000993713635 725931035 /nfs/dbraw/zinc/93/10/35/725931035.db2.gz COQZYKWKDXLLNG-JQWIXIFHSA-N -1 1 347.423 -0.067 20 0 EBADMM O=C(N[C@H]1C[C@@H](NC(=O)c2cnn[nH]2)C1)c1ccc2oc(=O)nc-2[n-]1 ZINC000974297730 725935251 /nfs/dbraw/zinc/93/52/51/725935251.db2.gz NXILCZCCCJSTLU-KNVOCYPGSA-N -1 1 343.303 -0.263 20 0 EBADMM C[C@@H]1[C@H](NC(=O)C2=NC(=O)N(C)C2)CCCN1Cc1n[nH]c(=O)[n-]1 ZINC000993788764 725942857 /nfs/dbraw/zinc/94/28/57/725942857.db2.gz LCQJLOFHKVLRDB-RKDXNWHRSA-N -1 1 335.368 -0.268 20 0 EBADMM Cc1ccnn1CC(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC000993887592 725958130 /nfs/dbraw/zinc/95/81/30/725958130.db2.gz CNXRPSITJZORRK-OLZOCXBDSA-N -1 1 347.423 -0.217 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@H](CNC(=O)C2CC2)C1 ZINC000974416267 725964978 /nfs/dbraw/zinc/96/49/78/725964978.db2.gz OETWKYZNOPNBAD-GFCCVEGCSA-N -1 1 348.403 -0.309 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2cnn(C)n2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993995063 725969341 /nfs/dbraw/zinc/96/93/41/725969341.db2.gz PERGYKZCXJGLQH-NXEZZACHSA-N -1 1 334.384 -0.980 20 0 EBADMM C[C@H]1[C@H](NC(=O)Cc2ncc[nH]2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000994105111 725979342 /nfs/dbraw/zinc/97/93/42/725979342.db2.gz WJMKIFQJFBLQGT-WDEREUQCSA-N -1 1 333.396 -0.457 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cc2cncn2C)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000994210331 725988206 /nfs/dbraw/zinc/98/82/06/725988206.db2.gz OLTKWOCSSVMJAJ-YPMHNXCESA-N -1 1 347.423 -0.446 20 0 EBADMM Cc1ncc(CC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)o1 ZINC000974663500 726049499 /nfs/dbraw/zinc/04/94/99/726049499.db2.gz CXWODOANJNHKJT-GFCCVEGCSA-N -1 1 348.407 -0.026 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)c3cnc[nH]c3=O)C(C)(C)C2)nc1=O ZINC000974716353 726056729 /nfs/dbraw/zinc/05/67/29/726056729.db2.gz KHKHJWOYEVYCGV-SNVBAGLBSA-N -1 1 347.379 -0.756 20 0 EBADMM O=C(CCc1cccnc1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051702893 735337819 /nfs/dbraw/zinc/33/78/19/735337819.db2.gz KBJSQSBLJYMJKP-ZDUSSCGKSA-N -1 1 346.391 -0.145 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)c3csnn3)C(C)(C)C2)nc1=O ZINC000974883819 726077698 /nfs/dbraw/zinc/07/76/98/726077698.db2.gz MGUHBYRXYRPRKZ-SECBINFHSA-N -1 1 337.409 -0.400 20 0 EBADMM O=C(Cn1cnnn1)N1CCC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000975225738 726116429 /nfs/dbraw/zinc/11/64/29/726116429.db2.gz ORJJUMFNYOHRJG-NSHDSACASA-N -1 1 345.363 -0.558 20 0 EBADMM CCn1nc(C)c(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)n1 ZINC000994963793 726129411 /nfs/dbraw/zinc/12/94/11/726129411.db2.gz YHQUUXXAHOWFTP-UHFFFAOYSA-N -1 1 330.348 -0.039 20 0 EBADMM Cn1cnnc1CNC[C@H]1CC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000995009014 726142418 /nfs/dbraw/zinc/14/24/18/726142418.db2.gz VAMWYRKAXGJRSS-DTWKUNHWSA-N -1 1 348.367 -0.900 20 0 EBADMM Cn1cnnc1CNC[C@@H]1CC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000995009017 726142445 /nfs/dbraw/zinc/14/24/45/726142445.db2.gz VAMWYRKAXGJRSS-RKDXNWHRSA-N -1 1 348.367 -0.900 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1C[C@@H]1C ZINC000976091277 726200576 /nfs/dbraw/zinc/20/05/76/726200576.db2.gz AIUNBISOWZSSDE-RXKWGBCNSA-N -1 1 348.359 -0.261 20 0 EBADMM CC(C)C(=O)N[C@@H]1[C@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]21 ZINC000976187291 726218565 /nfs/dbraw/zinc/21/85/65/726218565.db2.gz IYCMMSOKTPIOBD-GDGBQDQQSA-N -1 1 330.348 -0.740 20 0 EBADMM CC1(C)CN(C(=O)c2cc[n+]([O-])cc2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995437143 726222453 /nfs/dbraw/zinc/22/24/53/726222453.db2.gz PSNAYRQZFPOSKS-LLVKDONJSA-N -1 1 332.364 -0.216 20 0 EBADMM NC(=O)N1CCC[C@@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000995443061 726224477 /nfs/dbraw/zinc/22/44/77/726224477.db2.gz UWJHXSRJONATHY-SNVBAGLBSA-N -1 1 347.375 -0.482 20 0 EBADMM C[C@@H]1CN(C(=O)C2CC2)C[C@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001051721745 735352284 /nfs/dbraw/zinc/35/22/84/735352284.db2.gz VJCJQRHPXQCQGK-DGCLKSJQSA-N -1 1 348.403 -0.453 20 0 EBADMM COc1c[nH]c(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)cc1=O ZINC000995611226 726280218 /nfs/dbraw/zinc/28/02/18/726280218.db2.gz JUNOWTBHEBSJTJ-UHFFFAOYSA-N -1 1 344.327 -0.262 20 0 EBADMM C[C@H](C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1)n1cncn1 ZINC000995686610 726287470 /nfs/dbraw/zinc/28/74/70/726287470.db2.gz AMCOLFFXHNVARB-NXEZZACHSA-N -1 1 334.384 -0.310 20 0 EBADMM O=C(Cc1ncc[nH]1)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976396779 726291977 /nfs/dbraw/zinc/29/19/77/726291977.db2.gz HYLBICRATCHTLG-MSRIBSCDSA-N -1 1 327.344 -0.060 20 0 EBADMM O=C(CCc1nnc[nH]1)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976401114 726293450 /nfs/dbraw/zinc/29/34/50/726293450.db2.gz MMWMYYJQNCKFQL-MSRIBSCDSA-N -1 1 342.359 -0.275 20 0 EBADMM O=C(CCc1nc[nH]n1)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976401114 726293454 /nfs/dbraw/zinc/29/34/54/726293454.db2.gz MMWMYYJQNCKFQL-MSRIBSCDSA-N -1 1 342.359 -0.275 20 0 EBADMM Cn1oc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)cc1=O ZINC000995732825 726295621 /nfs/dbraw/zinc/29/56/21/726295621.db2.gz ZMJYMUGCHKQPMQ-VIFPVBQESA-N -1 1 336.352 -0.558 20 0 EBADMM COc1cc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)ncn1 ZINC000995823211 726304269 /nfs/dbraw/zinc/30/42/69/726304269.db2.gz LHYWPNGFPRGSEV-JTQLQIEISA-N -1 1 347.379 -0.051 20 0 EBADMM CC1(C)CN(C(=O)c2cn[nH]c(=O)c2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995941484 726316158 /nfs/dbraw/zinc/31/61/58/726316158.db2.gz KSJIFWCFBJTTSV-SECBINFHSA-N -1 1 333.352 -0.354 20 0 EBADMM CC1(C)CN(C(=O)[C@H]2CCC(=O)NC2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995994102 726321821 /nfs/dbraw/zinc/32/18/21/726321821.db2.gz OSNAWGHVFUNAHW-UWVGGRQHSA-N -1 1 336.396 -0.637 20 0 EBADMM Cn1nncc1CN[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC1(C)C ZINC000996005678 726322810 /nfs/dbraw/zinc/32/28/10/726322810.db2.gz GSEJUYPMKRRMPR-VIFPVBQESA-N -1 1 348.367 -0.948 20 0 EBADMM COc1cc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)nn1C ZINC000996035792 726324998 /nfs/dbraw/zinc/32/49/98/726324998.db2.gz SSSFBVOQEYNCQY-SNVBAGLBSA-N -1 1 349.395 -0.107 20 0 EBADMM CN1C[C@@H](C(=O)N[C@@H]2[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)CC1=O ZINC000976664757 726378741 /nfs/dbraw/zinc/37/87/41/726378741.db2.gz WQHJLJDOZXFYOE-KZWBYHQPSA-N -1 1 344.371 -0.548 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)[C@@H]2CC2(F)F)C1 ZINC000996395746 726384176 /nfs/dbraw/zinc/38/41/76/726384176.db2.gz FBAJFTORBMXTJM-LURJTMIESA-N -1 1 344.274 -0.508 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)C2(CF)CC2)C1 ZINC000996397213 726385548 /nfs/dbraw/zinc/38/55/48/726385548.db2.gz NUVDPQPZQTUYRX-UHFFFAOYSA-N -1 1 340.311 -0.413 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)c2nc(C)c[nH]2)C1 ZINC000996397164 726385615 /nfs/dbraw/zinc/38/56/15/726385615.db2.gz NEFZXWCDSDEPMY-UHFFFAOYSA-N -1 1 348.319 -0.818 20 0 EBADMM C[C@H](CC(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC000996405012 726388501 /nfs/dbraw/zinc/38/85/01/726388501.db2.gz IKPPLZHMNVJEHH-SECBINFHSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(NC1CN(C(=O)[C@H]2CC2(F)F)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996409565 726389087 /nfs/dbraw/zinc/38/90/87/726389087.db2.gz UUOJQFSEAWCONJ-SSDOTTSWSA-N -1 1 338.274 -0.987 20 0 EBADMM C[C@@H](C(=O)N1CCC(NCc2n[nH]c(=O)[n-]2)CC1)S(C)(=O)=O ZINC000996721655 726423855 /nfs/dbraw/zinc/42/38/55/726423855.db2.gz GPLZOMHFCNWSRW-QMMMGPOBSA-N -1 1 331.398 -0.976 20 0 EBADMM Cc1cc(CNC2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)CC2)no1 ZINC000996762640 726425933 /nfs/dbraw/zinc/42/59/33/726425933.db2.gz KTBWBRWPKMXEAJ-UHFFFAOYSA-N -1 1 334.336 -0.026 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC1CN(C(=O)c2nc[nH]n2)C1 ZINC000997321203 726446118 /nfs/dbraw/zinc/44/61/18/726446118.db2.gz CWNYIYVXANWZNF-UHFFFAOYSA-N -1 1 345.363 -0.013 20 0 EBADMM Cn1cnnc1CNCC1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC000997888493 726469171 /nfs/dbraw/zinc/46/91/71/726469171.db2.gz MNSAWCQKEPHXSL-UHFFFAOYSA-N -1 1 348.367 -0.947 20 0 EBADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)c1nc2ncccn2n1 ZINC000998211306 726480977 /nfs/dbraw/zinc/48/09/77/726480977.db2.gz OIJKWGCYDPHZJZ-UHFFFAOYSA-N -1 1 339.315 -0.521 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC[C@@H](C(F)(F)F)[C@@H](NCC(N)=O)C2)c1[O-] ZINC000998255355 726482422 /nfs/dbraw/zinc/48/24/22/726482422.db2.gz JAMIMOQAWIKMFN-SFYZADRCSA-N -1 1 349.313 -0.108 20 0 EBADMM Cc1conc1CNC1CC(N(C)C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000999059280 726505999 /nfs/dbraw/zinc/50/59/99/726505999.db2.gz WAKUFJIXZAPWIZ-UHFFFAOYSA-N -1 1 334.336 -0.028 20 0 EBADMM C[C@H]1C[C@H]1CC(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000999097655 726507620 /nfs/dbraw/zinc/50/76/20/726507620.db2.gz XXJBKSDZWOWIPL-IUCAKERBSA-N -1 1 330.348 -0.596 20 0 EBADMM CN(C)c1cnc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC000999155519 726509162 /nfs/dbraw/zinc/50/91/62/726509162.db2.gz XMMOEQHMDJMMQD-SNVBAGLBSA-N -1 1 346.395 -0.239 20 0 EBADMM C[C@H]1CC(=O)N[C@@H](C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC000999185776 726510266 /nfs/dbraw/zinc/51/02/66/726510266.db2.gz LGLRIEGRFXCNBF-GMTAPVOTSA-N -1 1 336.396 -0.494 20 0 EBADMM Cn1nnc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3C(=O)c2ncccc2[O-])n1 ZINC000999408921 726518828 /nfs/dbraw/zinc/51/88/28/726518828.db2.gz DCZGKJODTBTYEY-UTLUCORTSA-N -1 1 343.347 -0.514 20 0 EBADMM CN1CC[C@@H](C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CC1=O ZINC000999529141 726521802 /nfs/dbraw/zinc/52/18/02/726521802.db2.gz CMDCDWWEGAIYMG-MNOVXSKESA-N -1 1 336.396 -0.541 20 0 EBADMM Cc1cc(CN[C@@H]2CCCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)nn1C ZINC000999608670 726524638 /nfs/dbraw/zinc/52/46/38/726524638.db2.gz IZMMVEDAFHCORX-SNVBAGLBSA-N -1 1 347.379 -0.281 20 0 EBADMM Cc1conc1CNC1CC(N(C)C(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC000999752663 726530948 /nfs/dbraw/zinc/53/09/48/726530948.db2.gz GUWOYTIOJGNAHI-UHFFFAOYSA-N -1 1 336.352 -0.235 20 0 EBADMM CN(C(=O)[C@@H]1CCCS1(=O)=O)C1CC(NCc2n[nH]c(=O)[n-]2)C1 ZINC000999855923 726535540 /nfs/dbraw/zinc/53/55/40/726535540.db2.gz DSQSZSUPGLHMRR-RTBKNWGFSA-N -1 1 343.409 -0.834 20 0 EBADMM O=C(c1cnn2cccnc12)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999871883 726537069 /nfs/dbraw/zinc/53/70/69/726537069.db2.gz OXAKEFVVMZXKIX-SNVBAGLBSA-N -1 1 342.363 -0.053 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](n3cc(CNC(=O)C4CC4)nn3)C2)nc1=O ZINC000999938495 726542779 /nfs/dbraw/zinc/54/27/79/726542779.db2.gz MBMCAHXDNOTBGV-GFCCVEGCSA-N -1 1 346.395 -0.827 20 0 EBADMM Cn1ncc(CCC(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001000213328 726559727 /nfs/dbraw/zinc/55/97/27/726559727.db2.gz CPRAXXRXROGDRD-NSHDSACASA-N -1 1 334.384 -0.648 20 0 EBADMM O=C(c1ccc2nncn2c1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000465300 726567725 /nfs/dbraw/zinc/56/77/25/726567725.db2.gz RTVRIUHKBXTXSF-LLVKDONJSA-N -1 1 342.363 -0.053 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(CNC(=O)C2CCCC2)C1 ZINC001000636838 726573489 /nfs/dbraw/zinc/57/34/89/726573489.db2.gz GAIYFQAODNWSJR-UHFFFAOYSA-N -1 1 348.403 -0.309 20 0 EBADMM C[C@@H]1CCN(C(=O)C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001000653384 726574239 /nfs/dbraw/zinc/57/42/39/726574239.db2.gz ZMWVNIMFPXSPHY-GHMZBOCLSA-N -1 1 336.396 -0.541 20 0 EBADMM C[C@H]1CCN(C(=O)C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001000653389 726574246 /nfs/dbraw/zinc/57/42/46/726574246.db2.gz ZMWVNIMFPXSPHY-WDEREUQCSA-N -1 1 336.396 -0.541 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(CNC(=O)c2ccco2)C1 ZINC001000663811 726574603 /nfs/dbraw/zinc/57/46/03/726574603.db2.gz UQFRMMNZAAEOIH-UHFFFAOYSA-N -1 1 346.343 -0.592 20 0 EBADMM CN1C(=O)CCC[C@@H]1C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000817608 726579330 /nfs/dbraw/zinc/57/93/30/726579330.db2.gz JJJYWNJTXFVFMF-WDEREUQCSA-N -1 1 336.396 -0.398 20 0 EBADMM NC(=O)c1cncc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001000949015 726584705 /nfs/dbraw/zinc/58/47/05/726584705.db2.gz IXJZYPHVQXYBQU-LLVKDONJSA-N -1 1 345.363 -0.601 20 0 EBADMM CCn1nc(C)c(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001001079398 726591204 /nfs/dbraw/zinc/59/12/04/726591204.db2.gz OEOJWVNWXAIRHI-SNVBAGLBSA-N -1 1 334.384 -0.175 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)C3CCOCC3)CC2)nc1=O ZINC001001134723 726593598 /nfs/dbraw/zinc/59/35/98/726593598.db2.gz FXFKUBOMPWWAOA-UHFFFAOYSA-N -1 1 337.424 -0.137 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)c3cnccn3)CC2)nc1=O ZINC001001155824 726594472 /nfs/dbraw/zinc/59/44/72/726594472.db2.gz CUMRRAANKIWGTQ-UHFFFAOYSA-N -1 1 331.380 -0.460 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)C3=COCCO3)CC2)nc1=O ZINC001001160171 726594576 /nfs/dbraw/zinc/59/45/76/726594576.db2.gz NAINXTDMYWHCLF-UHFFFAOYSA-N -1 1 337.380 -0.675 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)c3cc[n+]([O-])cc3)CC2)nc1=O ZINC001001166529 726594887 /nfs/dbraw/zinc/59/48/87/726594887.db2.gz FIUVBBGMNRMXOT-UHFFFAOYSA-N -1 1 346.391 -0.616 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)[C@@H]3C[C@@]34CCOC4)CC2)nc1=O ZINC001001348617 726603226 /nfs/dbraw/zinc/60/32/26/726603226.db2.gz FPBFKVSDIYRWRS-SUMWQHHRSA-N -1 1 347.419 -0.217 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)[C@]34C[C@H]3COC4)CC2)nc1=O ZINC001001422916 726605495 /nfs/dbraw/zinc/60/54/95/726605495.db2.gz QOQGORJBSPVJPT-LRDDRELGSA-N -1 1 335.408 -0.527 20 0 EBADMM Cc1nonc1C(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001001489138 726608674 /nfs/dbraw/zinc/60/86/74/726608674.db2.gz OFNMHFGPHHHIEP-UHFFFAOYSA-N -1 1 333.352 -0.638 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)o1 ZINC001001540942 726611262 /nfs/dbraw/zinc/61/12/62/726611262.db2.gz GVCHYVNMGSLQOB-UHFFFAOYSA-N -1 1 344.327 -0.019 20 0 EBADMM NC(=O)[C@@H]1CC[C@@H]1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001543659 726611366 /nfs/dbraw/zinc/61/13/66/726611366.db2.gz HSZKTLAFPDEODI-MNOVXSKESA-N -1 1 332.360 -0.513 20 0 EBADMM CN1C[C@H](C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)CC1=O ZINC001001544488 726611434 /nfs/dbraw/zinc/61/14/34/726611434.db2.gz OIEZKOKIGXLGOY-LLVKDONJSA-N -1 1 332.360 -0.546 20 0 EBADMM Cn1cnc(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)cc1=O ZINC001001551236 726611567 /nfs/dbraw/zinc/61/15/67/726611567.db2.gz GEZGYOADNWINCH-UHFFFAOYSA-N -1 1 343.343 -0.617 20 0 EBADMM CN1CC[C@H](C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)CC1=O ZINC001001552831 726611644 /nfs/dbraw/zinc/61/16/44/726611644.db2.gz QUMOAPDPLURORA-LBPRGKRZSA-N -1 1 346.387 -0.156 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)[C@H]3C[C@]34CCOC4)CC2)nc1=O ZINC001002027623 726630356 /nfs/dbraw/zinc/63/03/56/726630356.db2.gz VOECBELXXVFNHT-DYVFJYSZSA-N -1 1 349.435 -0.137 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)[C@@H]3C[C@@]34CCOC4)CC2)nc1=O ZINC001002027629 726630394 /nfs/dbraw/zinc/63/03/94/726630394.db2.gz VOECBELXXVFNHT-SUMWQHHRSA-N -1 1 349.435 -0.137 20 0 EBADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)C1CS(=O)(=O)C1 ZINC001002102325 726632413 /nfs/dbraw/zinc/63/24/13/726632413.db2.gz BAYQPEHPUXXLDT-UHFFFAOYSA-N -1 1 339.373 -0.980 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)C[C@H]3COC(=O)C3)CC2)nc1=O ZINC001002607620 726643572 /nfs/dbraw/zinc/64/35/72/726643572.db2.gz HNXGJLZLLXAONX-SNVBAGLBSA-N -1 1 337.380 -0.858 20 0 EBADMM CN(C[C@@H]1CCCN1C(=O)[C@@H]1CCNC1=O)C(=O)c1ncccc1[O-] ZINC001002761479 726646552 /nfs/dbraw/zinc/64/65/52/726646552.db2.gz BNAZMVLHAQGHSK-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM NC(=O)C(=O)N1CC[C@@H]([C@H]2CCCN(C(=O)c3ncccc3[O-])C2)C1 ZINC001003157735 726662426 /nfs/dbraw/zinc/66/24/26/726662426.db2.gz OWPODFCLGVXQCI-NWDGAFQWSA-N -1 1 346.387 -0.027 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)c3n[nH]c4c3CCC4)CC2)nc1=O ZINC001003237591 726666364 /nfs/dbraw/zinc/66/63/64/726666364.db2.gz XYZWOQAPJAOFPR-UHFFFAOYSA-N -1 1 345.407 -0.285 20 0 EBADMM C[C@H]1C[C@@H]1CC(=O)N1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001003319187 726669645 /nfs/dbraw/zinc/66/96/45/726669645.db2.gz MJIAVTNZRIHPLB-GXSJLCMTSA-N -1 1 344.375 -0.348 20 0 EBADMM COc1nc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)co1 ZINC001003385314 726672033 /nfs/dbraw/zinc/67/20/33/726672033.db2.gz HNXUKXKCJKLGBN-UHFFFAOYSA-N -1 1 336.352 -0.501 20 0 EBADMM COc1nn(C)cc1C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001003419568 726673946 /nfs/dbraw/zinc/67/39/46/726673946.db2.gz HFPLYOSCHUFJGE-UHFFFAOYSA-N -1 1 349.395 -0.755 20 0 EBADMM Cc1ncc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c(C)n1 ZINC001003806559 726691274 /nfs/dbraw/zinc/69/12/74/726691274.db2.gz VYPKRPWYDBNPRK-UHFFFAOYSA-N -1 1 345.407 -0.090 20 0 EBADMM CCn1cc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)nn1 ZINC001003864655 726693059 /nfs/dbraw/zinc/69/30/59/726693059.db2.gz NWRXIGUOONMWAO-UHFFFAOYSA-N -1 1 334.384 -0.886 20 0 EBADMM CCn1cc(CC(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cn1 ZINC001003941032 726695071 /nfs/dbraw/zinc/69/50/71/726695071.db2.gz SCPXDTWLCAJMRF-UHFFFAOYSA-N -1 1 347.423 -0.352 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)C(C)(C)c3c[nH]cn3)CC2)nc1=O ZINC001003969846 726696452 /nfs/dbraw/zinc/69/64/52/726696452.db2.gz CTKWDFQAQZQDPG-UHFFFAOYSA-N -1 1 347.423 -0.110 20 0 EBADMM O=C(NC1CCN(Cc2cnon2)CC1)c1cc(=O)n2[n-]cnc2n1 ZINC001003966150 726696475 /nfs/dbraw/zinc/69/64/75/726696475.db2.gz XUDPOWRGHVGFBE-UHFFFAOYSA-N -1 1 344.335 -0.805 20 0 EBADMM C[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1NC(=O)C1CC1 ZINC001004315949 726705187 /nfs/dbraw/zinc/70/51/87/726705187.db2.gz QNZDYQLTFAZUHJ-WCQYABFASA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H]1NC(=O)C1CC1 ZINC001004315951 726705212 /nfs/dbraw/zinc/70/52/12/726705212.db2.gz QNZDYQLTFAZUHJ-YPMHNXCESA-N -1 1 348.403 -0.310 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)NCC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001004617962 726712787 /nfs/dbraw/zinc/71/27/87/726712787.db2.gz PERICWBAQSUVKT-CHWSQXEVSA-N -1 1 348.403 -0.453 20 0 EBADMM C[C@H]1CCN(C(=O)Cn2cnnn2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001004750309 726714958 /nfs/dbraw/zinc/71/49/58/726714958.db2.gz JZNHEJMWQXXAFR-QWRGUYRKSA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@H]1CCN(C(=O)Cn2ncnn2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001004767618 726715568 /nfs/dbraw/zinc/71/55/68/726715568.db2.gz LDFHAEAIWRMLLP-WDEREUQCSA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)c1cnn(C)c1N ZINC001004816097 726717138 /nfs/dbraw/zinc/71/71/38/726717138.db2.gz ISRUKZDAGYBKQT-GXSJLCMTSA-N -1 1 348.411 -0.001 20 0 EBADMM C[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)Cn1ncnn1 ZINC001004883143 726718731 /nfs/dbraw/zinc/71/87/31/726718731.db2.gz MJAGGPJRPJPBGO-QWRGUYRKSA-N -1 1 345.363 -0.559 20 0 EBADMM CN(C(=O)c1cn(C)cn1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005248933 726730898 /nfs/dbraw/zinc/73/08/98/726730898.db2.gz UBVOVIZJILABRX-UHFFFAOYSA-N -1 1 333.396 -0.422 20 0 EBADMM C[C@@H](NC(=O)C1CC1)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001005539184 726735667 /nfs/dbraw/zinc/73/56/67/726735667.db2.gz BGCFOSUMURUFEU-SNVBAGLBSA-N -1 1 334.376 -0.700 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CCC(=O)N2C)C1 ZINC001005952375 726749724 /nfs/dbraw/zinc/74/97/24/726749724.db2.gz BPPFYIPBZNOFAG-PWSUYJOCSA-N -1 1 346.387 -0.015 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CN(C)CCO2)C1 ZINC001005954262 726749813 /nfs/dbraw/zinc/74/98/13/726749813.db2.gz XMGVYXYINGELMG-RISCZKNCSA-N -1 1 348.403 -0.306 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)C[C@@H]2CCNC2=O)C1 ZINC001005954175 726749881 /nfs/dbraw/zinc/74/98/81/726749881.db2.gz WMGWAAJDHFGPAU-MNOVXSKESA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)C[C@@H]2CCNC2=O)C1 ZINC001005954176 726749890 /nfs/dbraw/zinc/74/98/90/726749890.db2.gz WMGWAAJDHFGPAU-QWRGUYRKSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001005955482 726749930 /nfs/dbraw/zinc/74/99/30/726749930.db2.gz GGMFELXGRUNRGP-MNOVXSKESA-N -1 1 346.387 -0.158 20 0 EBADMM Cc1c(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001006751933 726782638 /nfs/dbraw/zinc/78/26/38/726782638.db2.gz PXTZZMLGWHFOOF-NSHDSACASA-N -1 1 333.396 -0.455 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)c3cc[nH]c(=O)c3)C2)nc1=O ZINC001007079744 726789097 /nfs/dbraw/zinc/78/90/97/726789097.db2.gz SXOVWXOYSKJZEJ-NSHDSACASA-N -1 1 332.364 -0.397 20 0 EBADMM CCn1nccc1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001007194012 726790257 /nfs/dbraw/zinc/79/02/57/726790257.db2.gz ISUHVTNDIJOFAM-LLVKDONJSA-N -1 1 333.396 -0.281 20 0 EBADMM CCn1nccc1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001007194013 726790266 /nfs/dbraw/zinc/79/02/66/726790266.db2.gz ISUHVTNDIJOFAM-NSHDSACASA-N -1 1 333.396 -0.281 20 0 EBADMM CCn1ccc(CC(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001007370791 726792896 /nfs/dbraw/zinc/79/28/96/726792896.db2.gz DANSAHIHOUZRME-ZDUSSCGKSA-N -1 1 347.423 -0.352 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)c3cnn4c3CCC4)C2)nc1=O ZINC001007467732 726794248 /nfs/dbraw/zinc/79/42/48/726794248.db2.gz ZCOJWMOAOTXREQ-LLVKDONJSA-N -1 1 345.407 -0.355 20 0 EBADMM CCOc1cc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC001007606947 726798753 /nfs/dbraw/zinc/79/87/53/726798753.db2.gz XCFRVVIRHAERDS-SNVBAGLBSA-N -1 1 349.395 -0.375 20 0 EBADMM Cc1ccnn1CC(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001008740640 726800140 /nfs/dbraw/zinc/80/01/40/726800140.db2.gz UIZKJNUXRIDHHX-LBPRGKRZSA-N -1 1 333.396 -0.606 20 0 EBADMM NC(=O)C1(C(=O)N2C[C@@H]3[C@@H](CNC(=O)c4ncccc4[O-])[C@@H]3C2)CC1 ZINC001008975661 726837708 /nfs/dbraw/zinc/83/77/08/726837708.db2.gz MERHPILQPYSQOZ-RTCCRHLQSA-N -1 1 344.371 -0.513 20 0 EBADMM O=C(NC[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)[C@H]1COC(=O)N1 ZINC001009022293 726846808 /nfs/dbraw/zinc/84/68/08/726846808.db2.gz WZEACZLHOUOJJV-CHWFTXMASA-N -1 1 346.343 -0.670 20 0 EBADMM COc1ncc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001009070773 726852966 /nfs/dbraw/zinc/85/29/66/726852966.db2.gz BZRCOIFUSOQTIA-NSHDSACASA-N -1 1 347.379 -0.699 20 0 EBADMM CCc1nc[nH]c1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001009363968 726898367 /nfs/dbraw/zinc/89/83/67/726898367.db2.gz NXLIPKMAIVULFY-JTQLQIEISA-N -1 1 333.396 -0.212 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H](C)[C@@H]2CN(C(=O)c3ccn[nH]3)CCO2)c1[O-] ZINC001009654336 726949984 /nfs/dbraw/zinc/94/99/84/726949984.db2.gz SHQCIDDAKNULRX-KWQFWETISA-N -1 1 348.363 -0.194 20 0 EBADMM CCc1ncoc1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051816192 735411769 /nfs/dbraw/zinc/41/17/69/735411769.db2.gz HURFRECVGITFNS-SECBINFHSA-N -1 1 336.352 -0.309 20 0 EBADMM Cc1ncccc1CC(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051821456 735413489 /nfs/dbraw/zinc/41/34/89/735413489.db2.gz BNYUIKPCDFVZDQ-ZDUSSCGKSA-N -1 1 346.391 -0.227 20 0 EBADMM C[C@@H](C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1)n1cncn1 ZINC001011023904 727180314 /nfs/dbraw/zinc/18/03/14/727180314.db2.gz XVEUPCVUHQIYRH-NSHDSACASA-N -1 1 330.348 -0.076 20 0 EBADMM C[C@H]1CCN(C(=O)C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC001011035779 727186202 /nfs/dbraw/zinc/18/62/02/727186202.db2.gz RITJNHSTLBBCQE-LBPRGKRZSA-N -1 1 346.387 -0.060 20 0 EBADMM NC(=O)[C@@H]1CC[C@H]1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011036663 727186779 /nfs/dbraw/zinc/18/67/79/727186779.db2.gz SPBUVOHBQJVPIN-GHMZBOCLSA-N -1 1 332.360 -0.417 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(C(=O)c2ncc[nH]2)CC1 ZINC001011099377 727207400 /nfs/dbraw/zinc/20/74/00/727207400.db2.gz PJMGARJWLUXCRC-UHFFFAOYSA-N -1 1 348.319 -0.782 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(C(=O)c2cc[nH]c2)CC1 ZINC001011244887 727498427 /nfs/dbraw/zinc/49/84/27/727498427.db2.gz ALWJWMUCFQXZHP-UHFFFAOYSA-N -1 1 347.331 -0.177 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN1C(=O)C(F)F ZINC001011350199 727518361 /nfs/dbraw/zinc/51/83/61/727518361.db2.gz VBLMZMDRJJAJDU-BQBZGAKWSA-N -1 1 340.290 -0.598 20 0 EBADMM Cc1ncc(C(=O)N2CC[C@@H](NC(=O)Cn3c(=O)[n-][nH]c3=O)[C@@H]2C)[nH]1 ZINC001011567452 727542906 /nfs/dbraw/zinc/54/29/06/727542906.db2.gz NSVVHCXPVLMUNS-IONNQARKSA-N -1 1 349.351 -0.860 20 0 EBADMM CC1(CC(=O)N2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC2)CC1 ZINC001011594446 727546865 /nfs/dbraw/zinc/54/68/65/727546865.db2.gz DABUDEJIBAUPPI-UHFFFAOYSA-N -1 1 344.375 -0.108 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001011637713 727552400 /nfs/dbraw/zinc/55/24/00/727552400.db2.gz MZAHRQJGFWSZFO-GHMZBOCLSA-N -1 1 344.375 -0.252 20 0 EBADMM CC(C)[C@@H](C)C(=O)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001011637531 727552405 /nfs/dbraw/zinc/55/24/05/727552405.db2.gz IHXNIWBEGJJXKL-LLVKDONJSA-N -1 1 346.391 -0.006 20 0 EBADMM C[C@H]1[C@@H](NC(=O)Cn2cncn2)CCN1C(=O)c1ncccc1[O-] ZINC001011676596 727557457 /nfs/dbraw/zinc/55/74/57/727557457.db2.gz MSBCWIQNSCACHM-QWRGUYRKSA-N -1 1 330.348 -0.202 20 0 EBADMM C[C@@H]1[C@H](NC(=O)Cn2nccn2)CCN1C(=O)c1ncccc1[O-] ZINC001011679718 727557881 /nfs/dbraw/zinc/55/78/81/727557881.db2.gz PIYOQDXKKODCDR-GHMZBOCLSA-N -1 1 330.348 -0.202 20 0 EBADMM O=C(c1c[nH]nc1C1CC1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051892133 735449730 /nfs/dbraw/zinc/44/97/30/735449730.db2.gz MEJSTIFALQNYTI-SNVBAGLBSA-N -1 1 347.379 -0.258 20 0 EBADMM CC(C)C(=O)N1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC001012306254 727652410 /nfs/dbraw/zinc/65/24/10/727652410.db2.gz AYSBWNUSUUFQOM-ZJUUUORDSA-N -1 1 332.364 -0.207 20 0 EBADMM C[C@H](CC(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)n1cccn1 ZINC001051896430 735451598 /nfs/dbraw/zinc/45/15/98/735451598.db2.gz HQVMXVJUXCMTMI-NEPJUHHUSA-N -1 1 349.395 -0.325 20 0 EBADMM C[C@H]1C[C@H](NC(=O)Cn2cncn2)CN1C(=O)c1ncccc1[O-] ZINC001012504747 727672935 /nfs/dbraw/zinc/67/29/35/727672935.db2.gz CINHDTRGJPPCND-QWRGUYRKSA-N -1 1 330.348 -0.202 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)Cc2nc[nH]n2)CN1C(=O)c1ncccc1[O-] ZINC001012513525 727673868 /nfs/dbraw/zinc/67/38/68/727673868.db2.gz VDXZEISBUKOOOA-NXEZZACHSA-N -1 1 330.348 -0.133 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)Cc2nnc[nH]2)CN1C(=O)c1ncccc1[O-] ZINC001012513525 727673870 /nfs/dbraw/zinc/67/38/70/727673870.db2.gz VDXZEISBUKOOOA-NXEZZACHSA-N -1 1 330.348 -0.133 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)Cn2ncnn2)CN1C(=O)c1ncccc1[O-] ZINC001012511467 727673917 /nfs/dbraw/zinc/67/39/17/727673917.db2.gz OCMNWTHGRHGYGJ-NXEZZACHSA-N -1 1 331.336 -0.807 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)CN2CN=NC2=O)CN1C(=O)c1ncccc1[O-] ZINC001012511819 727673962 /nfs/dbraw/zinc/67/39/62/727673962.db2.gz PPSFBRFMYOVNEU-VHSXEESVSA-N -1 1 346.347 -0.496 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2cnnn2C)CN1C(=O)c1ncccc1[O-] ZINC001012514388 727674262 /nfs/dbraw/zinc/67/42/62/727674262.db2.gz YDNFBWKNASLVHP-UWVGGRQHSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1cn(C)nn1 ZINC001012562261 727683246 /nfs/dbraw/zinc/68/32/46/727683246.db2.gz FSDAVWKROQTHSA-NXEZZACHSA-N -1 1 330.348 -0.051 20 0 EBADMM O=C(c1cc(Cl)no1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051909887 735458783 /nfs/dbraw/zinc/45/87/83/735458783.db2.gz DXFIEZYTRGXDLZ-SSDOTTSWSA-N -1 1 342.743 -0.218 20 0 EBADMM Cn1cncc1CCC(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051919672 735461975 /nfs/dbraw/zinc/46/19/75/735461975.db2.gz KVYFRFNGFCOBMQ-LBPRGKRZSA-N -1 1 349.395 -0.806 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)C(F)F)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001013325154 727794046 /nfs/dbraw/zinc/79/40/46/727794046.db2.gz IWSJKVYZBMUVQK-RQJHMYQMSA-N -1 1 340.290 -0.598 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1c[nH]c(=O)cn1 ZINC001013949272 727851849 /nfs/dbraw/zinc/85/18/49/727851849.db2.gz KHPOGHVDLJSVCL-VHSXEESVSA-N -1 1 343.343 -0.097 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CN1C(=O)C(N)=O ZINC001013984085 727863701 /nfs/dbraw/zinc/86/37/01/727863701.db2.gz SDFVBXXKXGASRY-APPZFPTMSA-N -1 1 333.348 -0.736 20 0 EBADMM CC(=O)N1CC[C@@]2(NC(=O)c3cc(=O)n4[n-]cnc4n3)CCC[C@H]12 ZINC001014345290 727929158 /nfs/dbraw/zinc/92/91/58/727929158.db2.gz XLLZFFDWLHDFHT-NHYWBVRUSA-N -1 1 330.348 -0.309 20 0 EBADMM CC(=O)N1C[C@@H]2CCC[C@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)[C@@H]2C1 ZINC001014518698 727965390 /nfs/dbraw/zinc/96/53/90/727965390.db2.gz PWVKULGUBZHQIB-TUAOUCFPSA-N -1 1 344.375 -0.206 20 0 EBADMM CC(=O)N[C@@H]1CCC[C@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@@H]21 ZINC001014597536 727975019 /nfs/dbraw/zinc/97/50/19/727975019.db2.gz JTIILJAKQZPSOO-MELADBBJSA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@@H](C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)c1cnn(C)c1 ZINC001051956409 735483425 /nfs/dbraw/zinc/48/34/25/735483425.db2.gz NHOTVVHWMKTSSA-PWSUYJOCSA-N -1 1 349.395 -0.636 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3snnc3C3CC3)C2)nc1=O ZINC001014813784 728014643 /nfs/dbraw/zinc/01/46/43/728014643.db2.gz GSLFUYVARGNGAA-SECBINFHSA-N -1 1 349.420 -0.158 20 0 EBADMM CCc1nn(C)cc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001014839632 728018520 /nfs/dbraw/zinc/01/85/20/728018520.db2.gz BLAKWBRBKUHUDV-SNVBAGLBSA-N -1 1 333.396 -0.591 20 0 EBADMM Cn1ncc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c1Cl ZINC001014951194 728039834 /nfs/dbraw/zinc/03/98/34/728039834.db2.gz NJQLRACPTXXJOQ-QMMMGPOBSA-N -1 1 339.787 -0.500 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cccc(C(N)=O)c3)C2)nc1=O ZINC001014967378 728044507 /nfs/dbraw/zinc/04/45/07/728044507.db2.gz BXXQCNFSSWQSRT-LBPRGKRZSA-N -1 1 344.375 -0.788 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@H]3CCCn4nccc43)C2)nc1=O ZINC001014987269 728050265 /nfs/dbraw/zinc/05/02/65/728050265.db2.gz KIHDLPOXJIZNAW-NEPJUHHUSA-N -1 1 345.407 -0.427 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@@H]3CCCn4nccc43)C2)nc1=O ZINC001014987270 728050513 /nfs/dbraw/zinc/05/05/13/728050513.db2.gz KIHDLPOXJIZNAW-NWDGAFQWSA-N -1 1 345.407 -0.427 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cnn(CCF)c3)C2)nc1=O ZINC001015031123 728060613 /nfs/dbraw/zinc/06/06/13/728060613.db2.gz CIGILDALXLTIRG-NSHDSACASA-N -1 1 337.359 -0.721 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CCCN1C(=O)c1ccn[nH]1 ZINC001015103916 728079406 /nfs/dbraw/zinc/07/94/06/728079406.db2.gz XLBGEXWTEMVUKP-SFYZADRCSA-N -1 1 347.335 -0.571 20 0 EBADMM O=C(c1ccn[nH]1)N1CC[C@@H](N2CCN(Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC001051990484 735493060 /nfs/dbraw/zinc/49/30/60/735493060.db2.gz QYCYAJFHMOEZDV-LLVKDONJSA-N -1 1 346.395 -0.734 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cc4n(n3)CCCO4)C2)nc1=O ZINC001015213884 728114789 /nfs/dbraw/zinc/11/47/89/728114789.db2.gz AMMSLVRWCFYROF-SNVBAGLBSA-N -1 1 347.379 -0.908 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@]34CCC[C@H]3OCC4)C2)nc1=O ZINC001015241581 728118758 /nfs/dbraw/zinc/11/87/58/728118758.db2.gz NNIUOENTZRMZSG-HSMVNMDESA-N -1 1 335.408 -0.242 20 0 EBADMM CCOc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)on1 ZINC001015303774 728396236 /nfs/dbraw/zinc/39/62/36/728396236.db2.gz AWNAZSAHHZDYSY-VIFPVBQESA-N -1 1 336.352 -0.501 20 0 EBADMM CCc1cc(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001015357376 728405015 /nfs/dbraw/zinc/40/50/15/728405015.db2.gz VUTAMMWUBXSUSX-LBPRGKRZSA-N -1 1 347.423 -0.663 20 0 EBADMM Cn1nccc1[C@@H]1C[C@H]1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001015362361 728405587 /nfs/dbraw/zinc/40/55/87/728405587.db2.gz UEKYTDRRBLYCDZ-QJPTWQEYSA-N -1 1 345.407 -0.664 20 0 EBADMM CC(C)n1cnc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001015389775 728409990 /nfs/dbraw/zinc/40/99/90/728409990.db2.gz WNYGWNBBMXNWGT-LLVKDONJSA-N -1 1 333.396 -0.110 20 0 EBADMM CN(C(=O)[C@@H]1CN(C)CCO1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016356916 728463158 /nfs/dbraw/zinc/46/31/58/728463158.db2.gz QZGGIUCWUOVKOR-YIZWMMSDSA-N -1 1 348.403 -0.163 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@@H]3COc4ccccc43)C2)nc1=O ZINC001016402980 728467971 /nfs/dbraw/zinc/46/79/71/728467971.db2.gz QNAQMYOMCKBDMV-WCQYABFASA-N -1 1 343.387 -0.025 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)[C@@H]2CCNC2=O)C1 ZINC001016426962 728471543 /nfs/dbraw/zinc/47/15/43/728471543.db2.gz BMSSGIUYHLWFIC-VQXHTEKXSA-N -1 1 332.360 -0.358 20 0 EBADMM CC(=O)N1CC(C(=O)NC2CC(N(C)C(=O)c3ncccc3[O-])C2)C1 ZINC001016430252 728472852 /nfs/dbraw/zinc/47/28/52/728472852.db2.gz HMAOPGXMNMCCIO-UHFFFAOYSA-N -1 1 346.387 -0.015 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)c2cnnn2C)C1 ZINC001016430959 728472888 /nfs/dbraw/zinc/47/28/88/728472888.db2.gz NQVHQYCXJLYACW-UHFFFAOYSA-N -1 1 330.348 -0.051 20 0 EBADMM CCOc1cccnc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001016434829 728473132 /nfs/dbraw/zinc/47/31/32/728473132.db2.gz YIRTVSPRFFIIDR-LLVKDONJSA-N -1 1 346.391 -0.094 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@H]3CC34CCOCC4)C2)nc1=O ZINC001016438107 728473768 /nfs/dbraw/zinc/47/37/68/728473768.db2.gz QLMDRYWXXIJCCO-VXGBXAGGSA-N -1 1 335.408 -0.384 20 0 EBADMM CN(C(=O)[C@@H]1CC(=O)N(C)C1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016617447 728484499 /nfs/dbraw/zinc/48/44/99/728484499.db2.gz XVNQDNGWJBDCPP-VOMCLLRMSA-N -1 1 346.387 -0.015 20 0 EBADMM CN(C(=O)c1c[nH]c(=O)cn1)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016940813 728528472 /nfs/dbraw/zinc/52/84/72/728528472.db2.gz GDYSYSOBNNHMRD-UHFFFAOYSA-N -1 1 343.343 -0.097 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)[C@@H]2COCCO2)C1 ZINC001017053581 728541840 /nfs/dbraw/zinc/54/18/40/728541840.db2.gz SLTYPTBHCLWYOT-NEPJUHHUSA-N -1 1 339.396 -0.404 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)[C@H]2COCCO2)C1 ZINC001017053584 728541890 /nfs/dbraw/zinc/54/18/90/728541890.db2.gz SLTYPTBHCLWYOT-VXGBXAGGSA-N -1 1 339.396 -0.404 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)c2cnn(C)c2N)C1 ZINC001017106631 728547288 /nfs/dbraw/zinc/54/72/88/728547288.db2.gz IINUQOJMQYKQCY-JTQLQIEISA-N -1 1 348.411 -0.190 20 0 EBADMM O=C(NCC1=CCN(C(=O)c2ncccc2[O-])CC1)[C@H]1COC(=O)N1 ZINC001017422750 728574136 /nfs/dbraw/zinc/57/41/36/728574136.db2.gz ZGKAQINUHKENBG-LLVKDONJSA-N -1 1 346.343 -0.216 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)[C@]23C[C@H]2COC3)nc1=O ZINC001017567588 728589390 /nfs/dbraw/zinc/58/93/90/728589390.db2.gz MERNBKKGJWTJLY-OVZMXSCWSA-N -1 1 333.392 -0.680 20 0 EBADMM O=C(NCC1=CCN(C(=O)c2ccn[nH]2)CC1)c1n[nH]c(=O)[n-]c1=O ZINC001017593617 728591910 /nfs/dbraw/zinc/59/19/10/728591910.db2.gz YQNFWRBGSMNCKF-UHFFFAOYSA-N -1 1 345.319 -0.792 20 0 EBADMM Cn1nnc(C(=O)NCC2=CCN(C(=O)c3ncccc3[O-])CC2)n1 ZINC001017647156 728600246 /nfs/dbraw/zinc/60/02/46/728600246.db2.gz VLRCCHKHXUDIQI-UHFFFAOYSA-N -1 1 343.347 -0.487 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)C2CC2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001017889298 728622195 /nfs/dbraw/zinc/62/21/95/728622195.db2.gz GRMDTDKLXRWRJN-ONGXEEELSA-N -1 1 344.375 -0.063 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1nnn(C)n1 ZINC001018104779 728633585 /nfs/dbraw/zinc/63/35/85/728633585.db2.gz OALMXSDALQNTJA-NXEZZACHSA-N -1 1 345.363 -0.266 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)C(N)=O)CN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001018379916 728665513 /nfs/dbraw/zinc/66/55/13/728665513.db2.gz PMHXGFHSIWCQJP-PSASIEDQSA-N -1 1 347.375 -0.346 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)C(N)=O)CN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001018379918 728665543 /nfs/dbraw/zinc/66/55/43/728665543.db2.gz PMHXGFHSIWCQJP-WCBMZHEXSA-N -1 1 347.375 -0.346 20 0 EBADMM O=C(c1ncccc1[O-])N1CC[C@H](NCc2nnc3n2CCOC3)C1 ZINC001018749824 728687625 /nfs/dbraw/zinc/68/76/25/728687625.db2.gz ATXDGNQMGSDUFC-NSHDSACASA-N -1 1 344.375 -0.087 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H](NCc2cnon2)C1 ZINC001019647524 728960644 /nfs/dbraw/zinc/96/06/44/728960644.db2.gz QNSAVOUAWBETKM-ZETCQYMHSA-N -1 1 336.308 -0.716 20 0 EBADMM Cc1ccnc2nc(C(=O)N3CC[C@H](NCc4n[nH]c(=O)[n-]4)C3)nn21 ZINC001019735064 728979799 /nfs/dbraw/zinc/97/97/99/728979799.db2.gz ZPRISFONNMWRJJ-VIFPVBQESA-N -1 1 343.351 -0.739 20 0 EBADMM COc1coc(C(=O)N[C@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC001020394514 729157515 /nfs/dbraw/zinc/15/75/15/729157515.db2.gz KPNTWGHURHUABT-ZKCHVHJHSA-N -1 1 335.320 -0.477 20 0 EBADMM CCn1ncnc1CN[C@H]1C[C@H](NC(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001020459512 729175354 /nfs/dbraw/zinc/17/53/54/729175354.db2.gz QAMOACYYKBNTGA-MGCOHNPYSA-N -1 1 347.379 -0.852 20 0 EBADMM O=C(CN1CCCC1=O)NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001021188069 729292786 /nfs/dbraw/zinc/29/27/86/729292786.db2.gz QRQGOTXKURLXJL-YOGCLGLASA-N -1 1 348.407 -0.256 20 0 EBADMM Cn1oc(C(=O)NC2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[n-]2)cc1=O ZINC001021243924 729297765 /nfs/dbraw/zinc/29/77/65/729297765.db2.gz AHCUUPVBAWGULZ-PBINXNQUSA-N -1 1 348.363 -0.273 20 0 EBADMM O=C(C[C@H]1CCNC1=O)NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001021280432 729302522 /nfs/dbraw/zinc/30/25/22/729302522.db2.gz YXIRZTRPDNHBML-WAAKLRNESA-N -1 1 348.407 -0.352 20 0 EBADMM O=C(NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1)c1cnc[nH]c1=O ZINC001021292571 729304411 /nfs/dbraw/zinc/30/44/11/729304411.db2.gz ZCCPDARZRBZFNS-PBINXNQUSA-N -1 1 345.363 -0.069 20 0 EBADMM C[C@@]1(C(=O)NC2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[n-]2)CCC(=O)N1 ZINC001021370202 729308902 /nfs/dbraw/zinc/30/89/02/729308902.db2.gz GDWCHSDQXGUUQW-MNVHQWBDSA-N -1 1 348.407 -0.209 20 0 EBADMM Cn1nnc(C(=O)N2C[C@@H]3CCN(C(=O)c4ncccc4[O-])[C@@H]3C2)n1 ZINC001021442305 729314028 /nfs/dbraw/zinc/31/40/28/729314028.db2.gz JVCOAZAPBMVNJY-VHSXEESVSA-N -1 1 343.347 -0.703 20 0 EBADMM CC(C)(C(N)=O)C(=O)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001021443856 729314396 /nfs/dbraw/zinc/31/43/96/729314396.db2.gz CQGXRGSRXFZYAV-WDEREUQCSA-N -1 1 346.387 -0.028 20 0 EBADMM O=C(Cn1cncn1)NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001021453818 729314860 /nfs/dbraw/zinc/31/48/60/729314860.db2.gz XKIRSZRCSFXVKU-FGWVZKOKSA-N -1 1 332.368 -0.586 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@H](CCCN3C(=O)c3cn[nH]c3)C2)nc1=O ZINC001021533665 729320302 /nfs/dbraw/zinc/32/03/02/729320302.db2.gz PQHWIAWZXUMPEV-YPMHNXCESA-N -1 1 345.407 -0.042 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@H](CCCN3C(=O)c3cnon3)C2)nc1=O ZINC001021694666 729331761 /nfs/dbraw/zinc/33/17/61/729331761.db2.gz XZFUPYQXZRCNSW-PWSUYJOCSA-N -1 1 347.379 -0.382 20 0 EBADMM CC(C)c1nnc(CN[C@H]2C[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC001022369255 729486030 /nfs/dbraw/zinc/48/60/30/729486030.db2.gz CNBQPGDKTKYPIO-OCAPTIKFSA-N -1 1 348.367 -0.425 20 0 EBADMM CCn1c(C)nnc1CN[C@H]1C[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001022370202 729486408 /nfs/dbraw/zinc/48/64/08/729486408.db2.gz ZHORQSZTVNCVOE-DTORHVGOSA-N -1 1 348.367 -0.747 20 0 EBADMM Cc1ncoc1CN[C@H]1C[C@@H](NC(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001022529568 729504134 /nfs/dbraw/zinc/50/41/34/729504134.db2.gz HIIHHRAOJLHIOL-AOOOYVTPSA-N -1 1 333.348 -0.167 20 0 EBADMM NC(=O)C(=O)N1CC[C@H]2CN(C(=O)c3cnc(C4CC4)[n-]c3=O)C[C@H]21 ZINC001022554737 729510678 /nfs/dbraw/zinc/51/06/78/729510678.db2.gz DIIMYKHFUXNJFU-GXSJLCMTSA-N -1 1 345.359 -0.782 20 0 EBADMM O=C(N[C@H]1C[C@@H](NCc2csnn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001022973518 729567290 /nfs/dbraw/zinc/56/72/90/729567290.db2.gz NPDJJWVWIDAKCY-OCAPTIKFSA-N -1 1 346.376 -0.680 20 0 EBADMM Cc1nc(CN[C@H]2C[C@@H](NC(=O)Cn3c(=O)[n-][nH]c3=O)C2)c(C)o1 ZINC001023027783 729580885 /nfs/dbraw/zinc/58/08/85/729580885.db2.gz VWAQOHNLUAIHIH-AOOOYVTPSA-N -1 1 336.352 -0.269 20 0 EBADMM Cc1cc(CC(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)no1 ZINC001023221316 729642665 /nfs/dbraw/zinc/64/26/65/729642665.db2.gz WZGOWOUBIDLQNQ-DGCLKSJQSA-N -1 1 346.343 -0.372 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](CNC(=O)[C@H]3CCCOC3)C2)nc1=O ZINC001023268509 729653670 /nfs/dbraw/zinc/65/36/70/729653670.db2.gz JGXXJEBVWKDCCD-STQMWFEESA-N -1 1 337.424 -0.137 20 0 EBADMM Cn1nnnc1CN1CCC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001023326572 729664134 /nfs/dbraw/zinc/66/41/34/729664134.db2.gz XVEYHPJYWZZPAP-NSHDSACASA-N -1 1 331.380 -0.047 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](CNC(=O)c3cncnc3)C2)nc1=O ZINC001023331354 729665067 /nfs/dbraw/zinc/66/50/67/729665067.db2.gz WPRCIQGBPRJPRQ-LLVKDONJSA-N -1 1 331.380 -0.460 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)[C@H]1CCCCO1 ZINC001023417380 729683608 /nfs/dbraw/zinc/68/36/08/729683608.db2.gz PLBBDOLNXYXTMX-RAIGVLPGSA-N -1 1 335.360 -0.342 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)[C@@H]1CCCCO1 ZINC001023417381 729683681 /nfs/dbraw/zinc/68/36/81/729683681.db2.gz PLBBDOLNXYXTMX-RTXFEEFZSA-N -1 1 335.360 -0.342 20 0 EBADMM Cn1cncc1CN1CCC[C@@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001023468008 729695808 /nfs/dbraw/zinc/69/58/08/729695808.db2.gz HCFKTSBNWMVWDT-JTQLQIEISA-N -1 1 347.379 -0.342 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)[C@H]2CC2(F)F)C[C@H]1O)c1ncccc1[O-] ZINC001023488285 729702092 /nfs/dbraw/zinc/70/20/92/729702092.db2.gz ANUCMZWYTAYJKN-NQMVMOMDSA-N -1 1 327.287 -0.256 20 0 EBADMM O=C(C[C@H]1CCCO1)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023488542 729702579 /nfs/dbraw/zinc/70/25/79/729702579.db2.gz MQNJVIBMKAJTDW-NQBHXWOUSA-N -1 1 335.360 -0.342 20 0 EBADMM CCc1nc(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)co1 ZINC001023488639 729702596 /nfs/dbraw/zinc/70/25/96/729702596.db2.gz QHWWMCGKUYGRHR-BXKDBHETSA-N -1 1 346.343 -0.047 20 0 EBADMM Cc1cc(CC(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)[nH]n1 ZINC001023488712 729702823 /nfs/dbraw/zinc/70/28/23/729702823.db2.gz SXRCIZBHULRWKT-DGCLKSJQSA-N -1 1 345.359 -0.637 20 0 EBADMM CCn1ccnc1C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023488737 729702897 /nfs/dbraw/zinc/70/28/97/729702897.db2.gz ULQBZYATNURNQT-ZYHUDNBSSA-N -1 1 345.359 -0.381 20 0 EBADMM Cc1cnoc1C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023490422 729703688 /nfs/dbraw/zinc/70/36/88/729703688.db2.gz JGUPZNKBOIOQMA-MWLCHTKSSA-N -1 1 332.316 -0.301 20 0 EBADMM Cc1ccn(CC(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001023493330 729703914 /nfs/dbraw/zinc/70/39/14/729703914.db2.gz ALFBUSQWDBGFIP-DGCLKSJQSA-N -1 1 345.359 -0.706 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ccnnc2)C[C@H]1O)c1ncccc1[O-] ZINC001023493806 729704371 /nfs/dbraw/zinc/70/43/71/729704371.db2.gz MQJRURLNAHQAQB-ZYHUDNBSSA-N -1 1 329.316 -0.808 20 0 EBADMM O=C(Cc1cscn1)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023494049 729704571 /nfs/dbraw/zinc/70/45/71/729704571.db2.gz XUFMJSWJJMZSRG-ZYHUDNBSSA-N -1 1 348.384 -0.212 20 0 EBADMM C[C@@H](C(N)=O)N1CCC[C@H](CNC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001023635603 729743696 /nfs/dbraw/zinc/74/36/96/729743696.db2.gz FVFXKHCLHHAOQM-WDEREUQCSA-N -1 1 346.391 -0.662 20 0 EBADMM CCc1oncc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001023744399 729791815 /nfs/dbraw/zinc/79/18/15/729791815.db2.gz ZDOJCADFGVMOEK-ZYHUDNBSSA-N -1 1 346.343 -0.047 20 0 EBADMM C[C@@H](C(=O)N1CCC[C@H](CNCc2n[nH]c(=O)[n-]2)C1)S(C)(=O)=O ZINC001024041174 729833365 /nfs/dbraw/zinc/83/33/65/729833365.db2.gz UWUQAIUUJFDWLJ-VHSXEESVSA-N -1 1 345.425 -0.728 20 0 EBADMM Cc1nnc(CNC[C@H]2CCCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC001024053474 729835261 /nfs/dbraw/zinc/83/52/61/729835261.db2.gz FPYSMGQGIBHZPH-SECBINFHSA-N -1 1 349.351 -0.384 20 0 EBADMM Cn1cnnc1CNC[C@H]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001024053364 729835378 /nfs/dbraw/zinc/83/53/78/729835378.db2.gz CCXJVFWYIMZDBV-SECBINFHSA-N -1 1 348.367 -0.947 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H]2CNC(=O)c2cnsn2)nc1=O ZINC001024341704 729874985 /nfs/dbraw/zinc/87/49/85/729874985.db2.gz JYJXJTVLFJGNNM-SECBINFHSA-N -1 1 337.409 -0.256 20 0 EBADMM Cn1nccc1C(=O)NC[C@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001024359775 729877000 /nfs/dbraw/zinc/87/70/00/729877000.db2.gz GSTKMSJGJSTAFN-LLVKDONJSA-N -1 1 333.396 -0.374 20 0 EBADMM Cc1ncc(C(=O)NC[C@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)[nH]1 ZINC001024364747 729877765 /nfs/dbraw/zinc/87/77/65/729877765.db2.gz URTVZHSQCGGMHV-LLVKDONJSA-N -1 1 333.396 -0.076 20 0 EBADMM Cn1nncc1C(=O)NC[C@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001024418547 729884977 /nfs/dbraw/zinc/88/49/77/729884977.db2.gz KHLKBIFJNSKJBS-SNVBAGLBSA-N -1 1 334.384 -0.979 20 0 EBADMM CCCC(=O)NC[C@@H]1COCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001061212346 738453985 /nfs/dbraw/zinc/45/39/85/738453985.db2.gz ZIPBLDBRFMPVEJ-SNVBAGLBSA-N -1 1 348.363 -0.825 20 0 EBADMM O=C(c1cc2n(n1)CCO2)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024982987 729981719 /nfs/dbraw/zinc/98/17/19/729981719.db2.gz IWRAZUHQYANDQS-SNVBAGLBSA-N -1 1 347.379 -0.116 20 0 EBADMM Cn1cnc(C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)cc1=O ZINC001024987763 729982739 /nfs/dbraw/zinc/98/27/39/729982739.db2.gz SRYAEHKDPJEOHW-SNVBAGLBSA-N -1 1 347.379 -0.612 20 0 EBADMM CN1C[C@@H](C(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)NC1=O ZINC001025040833 729989386 /nfs/dbraw/zinc/98/93/86/729989386.db2.gz NCBYNCQMUKUOFG-ZJUUUORDSA-N -1 1 337.384 -0.995 20 0 EBADMM Cn1ccc(CNC[C@H]2CCCCN2C(=O)Cn2c(=O)[n-][nH]c2=O)n1 ZINC001025150866 730006846 /nfs/dbraw/zinc/00/68/46/730006846.db2.gz YJVDPAFVIFPRCA-GFCCVEGCSA-N -1 1 349.395 -0.406 20 0 EBADMM CCc1ncoc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001025548448 730053535 /nfs/dbraw/zinc/05/35/35/730053535.db2.gz DVLYTYSHWXTKPW-ZYHUDNBSSA-N -1 1 346.343 -0.047 20 0 EBADMM C[C@H]1OCCC[C@@H]1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001025754952 730084351 /nfs/dbraw/zinc/08/43/51/730084351.db2.gz VAXZQLROCHFTHV-MOWSAHLDSA-N -1 1 335.408 -0.530 20 0 EBADMM CC[C@H]1OCCC[C@H]1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001025810539 730094506 /nfs/dbraw/zinc/09/45/06/730094506.db2.gz HNPBWMSWTACSKI-VVSAWPALSA-N -1 1 349.435 -0.140 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CC[C@H](CNCc2cscn2)C1 ZINC001026940729 730173603 /nfs/dbraw/zinc/17/36/03/730173603.db2.gz QHMDDMXBMVFYKF-MRVPVSSYSA-N -1 1 336.377 -0.009 20 0 EBADMM Cc1nonc1CNC[C@@H]1CCN(C(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001026986547 730178842 /nfs/dbraw/zinc/17/88/42/730178842.db2.gz RQDWZVFMNCKZRI-JTQLQIEISA-N -1 1 348.363 -0.571 20 0 EBADMM Cc1[nH]nc(C(=O)N2CCC[C@@H](N(C)[C@@H]3CCC(=O)NC3=O)C2)c1[O-] ZINC001027370198 730209784 /nfs/dbraw/zinc/20/97/84/730209784.db2.gz ITPLDZCNGWDCGB-GHMZBOCLSA-N -1 1 349.391 -0.235 20 0 EBADMM Cc1nc(CN(C)[C@@H]2CCCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001027479699 730218406 /nfs/dbraw/zinc/21/84/06/730218406.db2.gz NWYSMGDYXYHBQS-SECBINFHSA-N -1 1 348.367 -0.554 20 0 EBADMM Cn1[nH]c(CN2CCC[C@@H]2CNC(=O)c2ncccc2[O-])nc1=O ZINC001027844699 730242214 /nfs/dbraw/zinc/24/22/14/730242214.db2.gz WAGFFFYCBWBALY-SNVBAGLBSA-N -1 1 332.364 -0.397 20 0 EBADMM Cc1nc(CN2CCC[C@@H]2CNC(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC001027999680 730256026 /nfs/dbraw/zinc/25/60/26/730256026.db2.gz NGRXSYWXYBDQHC-MRVPVSSYSA-N -1 1 334.340 -0.896 20 0 EBADMM Cc1nn(C)c(C)c1C(=O)NC[C@@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001028060630 730261638 /nfs/dbraw/zinc/26/16/38/730261638.db2.gz DXUWRZSNYQQGMV-LBPRGKRZSA-N -1 1 347.423 -0.147 20 0 EBADMM COc1cccnc1C(=O)NC[C@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001028282145 730279448 /nfs/dbraw/zinc/27/94/48/730279448.db2.gz ADWCAPFZPZAZDK-LLVKDONJSA-N -1 1 346.391 -0.094 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H]2CNC(=O)c2cnn3cc[nH]c23)nc1=O ZINC001028284637 730279647 /nfs/dbraw/zinc/27/96/47/730279647.db2.gz INEQPUVZRXSRCF-JTQLQIEISA-N -1 1 344.379 -0.521 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)c3cncc(F)c3)C2)nc1=O ZINC001028476101 730298499 /nfs/dbraw/zinc/29/84/99/730298499.db2.gz XTPJRTKKHXCEKI-SNVBAGLBSA-N -1 1 334.355 -0.106 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)c3cnn4c3CCC4)C2)nc1=O ZINC001028580211 730316496 /nfs/dbraw/zinc/31/64/96/730316496.db2.gz SLRWVCRMWSBYQO-NSHDSACASA-N -1 1 345.407 -0.497 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)c3cc(C(N)=O)co3)C2)nc1=O ZINC001028795858 730357546 /nfs/dbraw/zinc/35/75/46/730357546.db2.gz KQHTUSBEVHJJFZ-VIFPVBQESA-N -1 1 348.363 -0.948 20 0 EBADMM CCO[C@H]1C[C@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029220494 730393571 /nfs/dbraw/zinc/39/35/71/730393571.db2.gz YDDHYORRRHTLCM-XQHKEYJVSA-N -1 1 335.408 -0.291 20 0 EBADMM Cc1c[nH]nc1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029301974 730397723 /nfs/dbraw/zinc/39/77/23/730397723.db2.gz OPSVLIICVCGQJC-PHIMTYICSA-N -1 1 331.380 -0.371 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)[C@H]2COCCO2)C1 ZINC001029656574 730480476 /nfs/dbraw/zinc/48/04/76/730480476.db2.gz TZDLKASVVMROIE-GHMZBOCLSA-N -1 1 325.369 -0.794 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC[C@H](C[N@H+](C)Cc3n[nH]c(=O)[n-]3)C2)c1[O-] ZINC001029707548 730485346 /nfs/dbraw/zinc/48/53/46/730485346.db2.gz BBEUZBWWJOXTDR-SECBINFHSA-N -1 1 335.368 -0.158 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)c2cnc[nH]c2=O)C1 ZINC001029764798 730497903 /nfs/dbraw/zinc/49/79/03/730497903.db2.gz PWILSDYCEGFHAQ-VIFPVBQESA-N -1 1 333.352 -0.400 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)[C@]2(F)CCOC2)C1 ZINC001029820264 730507763 /nfs/dbraw/zinc/50/77/63/730507763.db2.gz SWEBUVMRKLWLCQ-YGRLFVJLSA-N -1 1 327.360 -0.081 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)[C@@]2(C)CCNC2=O)C1 ZINC001029838995 730510850 /nfs/dbraw/zinc/51/08/50/730510850.db2.gz RXXAMEPIONCDTJ-BONVTDFDSA-N -1 1 336.396 -0.683 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)c2cnn(C)n2)CC1 ZINC001030003877 730534037 /nfs/dbraw/zinc/53/40/37/730534037.db2.gz XCWHNUQWYDTBEF-UHFFFAOYSA-N -1 1 334.384 -0.377 20 0 EBADMM CC(C)[C@@H]1OCCC[C@@H]1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030336567 730569564 /nfs/dbraw/zinc/56/95/64/730569564.db2.gz ZWPYLROEHAPVFP-JSGCOSHPSA-N -1 1 337.424 -0.140 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)C[C@@H]3Cc4ccccc4O3)C2)nc1=O ZINC001030358628 730573157 /nfs/dbraw/zinc/57/31/57/730573157.db2.gz CPTMSXKWGFOUIN-ZDUSSCGKSA-N -1 1 343.387 -0.197 20 0 EBADMM O=C(NC1CN(Cc2n[nH]c(=O)[n-]2)C1)c1cn[nH]c1-c1ccccn1 ZINC001030415527 730582390 /nfs/dbraw/zinc/58/23/90/730582390.db2.gz HKSUSBADBQDMHB-UHFFFAOYSA-N -1 1 340.347 -0.090 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cc(=O)[nH]c(C4CC4)c3)C2)nc1=O ZINC001030426515 730583305 /nfs/dbraw/zinc/58/33/05/730583305.db2.gz NBAAXQCRDMTBLH-UHFFFAOYSA-N -1 1 344.375 -0.299 20 0 EBADMM C[C@H]1CCn2cc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)nc2C1 ZINC001030522730 730599064 /nfs/dbraw/zinc/59/90/64/730599064.db2.gz CYXUZDVVCAOFEM-JTQLQIEISA-N -1 1 345.407 -0.499 20 0 EBADMM Cc1nc2c([nH]1)CC[C@H](C(=O)NC1CN(Cc3n[nH]c(=O)[n-]3)C1)C2 ZINC001030552124 730604290 /nfs/dbraw/zinc/60/42/90/730604290.db2.gz FJZRJIRNWVXACI-VIFPVBQESA-N -1 1 331.380 -0.353 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3nnc4ccccc4n3)C2)nc1=O ZINC001030686429 730623890 /nfs/dbraw/zinc/62/38/90/730623890.db2.gz BZDOBBHGJNMQSA-UHFFFAOYSA-N -1 1 340.347 -0.939 20 0 EBADMM Cc1nc2c(nccc2C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)[nH]1 ZINC001030716236 730627710 /nfs/dbraw/zinc/62/77/10/730627710.db2.gz PFNLXRIHJFLPPX-UHFFFAOYSA-N -1 1 342.363 -0.698 20 0 EBADMM Cc1nc([C@H](C)N2CC(NC(=O)c3cnc([O-])n(C)c3=O)C2)n[nH]1 ZINC001030779751 730637055 /nfs/dbraw/zinc/63/70/55/730637055.db2.gz IIFXNULRZGTRJC-ZETCQYMHSA-N -1 1 333.352 -0.912 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@H]3Cc4cc(F)ccc4O3)C2)nc1=O ZINC001031037449 730667272 /nfs/dbraw/zinc/66/72/72/730667272.db2.gz FMTTWOPOLDDRSM-CYBMUJFWSA-N -1 1 347.350 -0.448 20 0 EBADMM CCn1ncc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1C1CC1 ZINC001031232402 730690338 /nfs/dbraw/zinc/69/03/38/730690338.db2.gz PTCQDQYXIFECBF-UHFFFAOYSA-N -1 1 345.407 -0.184 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(Cc2cscn2)C1 ZINC001031249903 730693510 /nfs/dbraw/zinc/69/35/10/730693510.db2.gz LFEJKSNPVRMJOD-UHFFFAOYSA-N -1 1 337.361 -0.033 20 0 EBADMM Cc1nc([C@@H](C)N2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)no1 ZINC001031251631 730695082 /nfs/dbraw/zinc/69/50/82/730695082.db2.gz SOJSPYOHBTYDJE-SSDOTTSWSA-N -1 1 344.335 -0.716 20 0 EBADMM CC1(C)CO[C@@H](CN2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)C1 ZINC001031252454 730695554 /nfs/dbraw/zinc/69/55/54/730695554.db2.gz GWAFLTDGJOWDRS-LLVKDONJSA-N -1 1 346.391 -0.353 20 0 EBADMM CN(C)c1ncccc1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031386958 730713703 /nfs/dbraw/zinc/71/37/03/730713703.db2.gz NCVLOCQSAQPRHW-UHFFFAOYSA-N -1 1 331.380 -0.816 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cc4n(c3)CCCC4)C2)nc1=O ZINC001031501741 730731314 /nfs/dbraw/zinc/73/13/14/730731314.db2.gz UWARNEFXWBDLST-UHFFFAOYSA-N -1 1 330.392 -0.140 20 0 EBADMM O=C(NCC1CN(Cc2nnnn2C2CC2)C1)c1ncccc1[O-] ZINC001031627127 730742425 /nfs/dbraw/zinc/74/24/25/730742425.db2.gz FLFKRDIRDHVQKU-UHFFFAOYSA-N -1 1 329.364 -0.030 20 0 EBADMM CC[C@@H]1OCCC[C@@H]1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031722310 730757430 /nfs/dbraw/zinc/75/74/30/730757430.db2.gz SJUNCZLZAKOBAO-STQMWFEESA-N -1 1 337.424 -0.138 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cnc(C4CC4)o3)C2)nc1=O ZINC001031739660 730759841 /nfs/dbraw/zinc/75/98/41/730759841.db2.gz YGJNUHHNHKFJQZ-UHFFFAOYSA-N -1 1 332.364 -0.164 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cnc4cc[nH]c4c3)C2)nc1=O ZINC001031758855 730764147 /nfs/dbraw/zinc/76/41/47/730764147.db2.gz AFYVRXLFZRSCBY-UHFFFAOYSA-N -1 1 341.375 -0.154 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cnc4n[nH]cc4c3)C2)nc1=O ZINC001031761731 730764712 /nfs/dbraw/zinc/76/47/12/730764712.db2.gz ZJDRGDXHSQFQBR-UHFFFAOYSA-N -1 1 342.363 -0.759 20 0 EBADMM CN(C)c1ccc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001031804645 730771892 /nfs/dbraw/zinc/77/18/92/730771892.db2.gz HNEFWMXXIBVRAU-UHFFFAOYSA-N -1 1 345.407 -0.569 20 0 EBADMM COc1ccc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001031829163 730776704 /nfs/dbraw/zinc/77/67/04/730776704.db2.gz HHMOGKGIIANMBP-UHFFFAOYSA-N -1 1 332.364 -0.626 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3nc4c(s3)CCC4)C2)nc1=O ZINC001031847128 730779404 /nfs/dbraw/zinc/77/94/04/730779404.db2.gz JDNZFXZJYDZHRK-UHFFFAOYSA-N -1 1 348.432 -0.085 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cnc4n3CCCC4)C2)nc1=O ZINC001031921227 730792214 /nfs/dbraw/zinc/79/22/14/730792214.db2.gz BMLGWLVIUOGPIR-UHFFFAOYSA-N -1 1 345.407 -0.497 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@H]3Cc4ccncc4C3)C2)nc1=O ZINC001031976339 730798854 /nfs/dbraw/zinc/79/88/54/730798854.db2.gz JJBVSSQRWJFNGO-ZDUSSCGKSA-N -1 1 342.403 -0.534 20 0 EBADMM COc1cc(=O)[nH]cc1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031984309 730800721 /nfs/dbraw/zinc/80/07/21/730800721.db2.gz GQULQTSEBXXMMN-UHFFFAOYSA-N -1 1 348.363 -0.921 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@@H]3CCc4n[nH]cc4C3)C2)nc1=O ZINC001032124451 730815343 /nfs/dbraw/zinc/81/53/43/730815343.db2.gz WLRCRWKBZVNRNL-LLVKDONJSA-N -1 1 345.407 -0.815 20 0 EBADMM Cc1ccc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)c(C)n1 ZINC001032203469 730828770 /nfs/dbraw/zinc/82/87/70/730828770.db2.gz IHBVSPSBPUCKEM-UHFFFAOYSA-N -1 1 330.392 -0.018 20 0 EBADMM CCOc1cccnc1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032233146 730833436 /nfs/dbraw/zinc/83/34/36/730833436.db2.gz SUBDUZRTLKDHLJ-UHFFFAOYSA-N -1 1 346.391 -0.236 20 0 EBADMM Cc1c(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)cnn1C ZINC001032280775 730837053 /nfs/dbraw/zinc/83/70/53/730837053.db2.gz XQAVAIODGFVDIU-QWRGUYRKSA-N -1 1 331.380 -0.751 20 0 EBADMM Cc1ncc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)s1 ZINC001032308741 730839190 /nfs/dbraw/zinc/83/91/90/730839190.db2.gz UTDZJFLTJNJRBK-UWVGGRQHSA-N -1 1 334.405 -0.028 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)CC2CCOCC2)nc1=O ZINC001032325000 730841429 /nfs/dbraw/zinc/84/14/29/730841429.db2.gz DHGJTOFGKWKOCE-STQMWFEESA-N -1 1 335.408 -0.290 20 0 EBADMM Cc1ncc(CC(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)o1 ZINC001032443920 730861939 /nfs/dbraw/zinc/86/19/39/730861939.db2.gz WUUXWYZAKRCZKV-QWRGUYRKSA-N -1 1 332.364 -0.567 20 0 EBADMM COC[C@@H](C)N1C[C@@H]2C[C@H]1CN2C(=O)CCc1n[nH]c(=O)[n-]c1=O ZINC001032495694 730874912 /nfs/dbraw/zinc/87/49/12/730874912.db2.gz VRGIWHHJGKBMJQ-VWYCJHECSA-N -1 1 337.380 -0.465 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)CC2=CCOCC2)nc1=O ZINC001032606994 730898891 /nfs/dbraw/zinc/89/88/91/730898891.db2.gz VHVKXCVVFBOYQV-STQMWFEESA-N -1 1 333.392 -0.370 20 0 EBADMM Cc1cc(CCC(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)no1 ZINC001032620611 730900135 /nfs/dbraw/zinc/90/01/35/730900135.db2.gz JKDHOSIYPUOSCN-STQMWFEESA-N -1 1 346.391 -0.177 20 0 EBADMM Cc1nc(C)c(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)[nH]1 ZINC001032634347 730903725 /nfs/dbraw/zinc/90/37/25/730903725.db2.gz NLOJZFZDSHUSIH-QWRGUYRKSA-N -1 1 331.380 -0.453 20 0 EBADMM CCn1ncc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)c1C ZINC001032696199 730913137 /nfs/dbraw/zinc/91/31/37/730913137.db2.gz RIPZUYMQGDCUJF-RYUDHWBXSA-N -1 1 345.407 -0.268 20 0 EBADMM C[C@@H](CC(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1)n1cccn1 ZINC001032722606 730919024 /nfs/dbraw/zinc/91/90/24/730919024.db2.gz ZOZIEVSOPOMKLU-AVGNSLFASA-N -1 1 345.407 -0.259 20 0 EBADMM Cc1ccc(CC(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)cn1 ZINC001032784545 730931114 /nfs/dbraw/zinc/93/11/14/730931114.db2.gz BKGLHWWGUVMGSI-KBPBESRZSA-N -1 1 342.403 -0.160 20 0 EBADMM Cc1cc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC001032936349 730950432 /nfs/dbraw/zinc/95/04/32/730950432.db2.gz VJJZQHJKLRZGIG-LLVKDONJSA-N -1 1 333.396 -0.503 20 0 EBADMM CCn1nccc1C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033034483 730960350 /nfs/dbraw/zinc/96/03/50/730960350.db2.gz ALJDZWYQXBBVQM-NSHDSACASA-N -1 1 333.396 -0.329 20 0 EBADMM CN(C(=O)Cc1cncnc1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033040762 730961437 /nfs/dbraw/zinc/96/14/37/730961437.db2.gz DZIXPHZXRICROS-GFCCVEGCSA-N -1 1 331.380 -0.826 20 0 EBADMM COc1cc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)ccn1 ZINC001033220608 730990130 /nfs/dbraw/zinc/99/01/30/730990130.db2.gz AINLMOCFQPFUAE-LBPRGKRZSA-N -1 1 346.391 -0.142 20 0 EBADMM CN(C(=O)Cc1ccc(=O)[nH]c1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033274335 730998950 /nfs/dbraw/zinc/99/89/50/730998950.db2.gz FVOBOKRBJPEEHA-LBPRGKRZSA-N -1 1 346.391 -0.516 20 0 EBADMM Cc1nc(CN2CC[C@@H](N(C)C(=O)c3cnc([O-])n(C)c3=O)C2)n[nH]1 ZINC001033324234 731007046 /nfs/dbraw/zinc/00/70/46/731007046.db2.gz OWCYREVEFGWJOW-SNVBAGLBSA-N -1 1 347.379 -0.741 20 0 EBADMM CN(C(=O)Cc1ncccc1F)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033359852 731014088 /nfs/dbraw/zinc/01/40/88/731014088.db2.gz DENWPAZZFBDZGL-NSHDSACASA-N -1 1 348.382 -0.082 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033509180 731033369 /nfs/dbraw/zinc/03/33/69/731033369.db2.gz DNJFMRRVMFGYJR-NSHDSACASA-N -1 1 333.396 -0.205 20 0 EBADMM CC(C)n1cc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001033540136 731037615 /nfs/dbraw/zinc/03/76/15/731037615.db2.gz NREXTAKYWJCMAR-NSHDSACASA-N -1 1 348.411 -0.373 20 0 EBADMM COc1cccc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001033550715 731038155 /nfs/dbraw/zinc/03/81/55/731038155.db2.gz IHEZGIHUNABWOQ-LLVKDONJSA-N -1 1 346.391 -0.142 20 0 EBADMM Cc1nccc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001033672719 731061186 /nfs/dbraw/zinc/06/11/86/731061186.db2.gz ZEAJKCQDQFQMHY-LLVKDONJSA-N -1 1 331.380 -0.447 20 0 EBADMM C[C@H](C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)c1cnn(C)c1 ZINC001033723531 731070229 /nfs/dbraw/zinc/07/02/29/731070229.db2.gz PULREBUNTIESKX-AAEUAGOBSA-N -1 1 347.423 -0.322 20 0 EBADMM CCN(C(=O)c1cncnc1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033803152 731075357 /nfs/dbraw/zinc/07/53/57/731075357.db2.gz FELITRRSHAFUPL-LBPRGKRZSA-N -1 1 331.380 -0.365 20 0 EBADMM CCN(C(=O)c1cnn(CC)n1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033820724 731076635 /nfs/dbraw/zinc/07/66/35/731076635.db2.gz OEFNIRFBMVBRAS-LLVKDONJSA-N -1 1 348.411 -0.544 20 0 EBADMM CCN(C(=O)[C@H]1OCC[C@H]1C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033953935 731094247 /nfs/dbraw/zinc/09/42/47/731094247.db2.gz IYQCKTWGTRNPGY-BZPMIXESSA-N -1 1 337.424 -0.044 20 0 EBADMM Cc1cnc(C(=O)N[C@@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001034083693 731102075 /nfs/dbraw/zinc/10/20/75/731102075.db2.gz GKAAFAFKWDTTME-GFCCVEGCSA-N -1 1 345.407 -0.009 20 0 EBADMM Cc1nn(C)cc1C(=O)N[C@@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034135010 731104892 /nfs/dbraw/zinc/10/48/92/731104892.db2.gz SWMBDDSROMSKRR-GFCCVEGCSA-N -1 1 347.423 -0.065 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H](NC(=O)c3nccnc3N)C2)nc1=O ZINC001034140722 731105236 /nfs/dbraw/zinc/10/52/36/731105236.db2.gz BCXOYMUJNAZMPF-JTQLQIEISA-N -1 1 346.395 -0.735 20 0 EBADMM C[C@H](C(=O)N[C@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1)n1cncn1 ZINC001034171497 731106923 /nfs/dbraw/zinc/10/69/23/731106923.db2.gz RTHBSWXWIIUMJH-NEPJUHHUSA-N -1 1 348.411 -0.568 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H](NC(=O)Cc3cncnc3)C2)nc1=O ZINC001034188325 731109142 /nfs/dbraw/zinc/10/91/42/731109142.db2.gz NWXHETKQCIMNIM-ZDUSSCGKSA-N -1 1 345.407 -0.388 20 0 EBADMM Cc1ccnc(C(=O)N[C@@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001034190333 731109200 /nfs/dbraw/zinc/10/92/00/731109200.db2.gz JBXQQZOBKKRXML-GFCCVEGCSA-N -1 1 345.407 -0.009 20 0 EBADMM CNC(=O)[C@H](C)N1CCCC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001034290127 731117954 /nfs/dbraw/zinc/11/79/54/731117954.db2.gz DYYDVDADWFHBPL-IUCAKERBSA-N -1 1 338.368 -0.998 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H](NC(=O)Cc3ncc[nH]3)C2)nc1=O ZINC001034457508 731132944 /nfs/dbraw/zinc/13/29/44/731132944.db2.gz FBKLXXWWHJXDQK-NSHDSACASA-N -1 1 333.396 -0.455 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N[C@H]1CC[C@H](NCc2cnon2)CC1 ZINC001034784904 731156605 /nfs/dbraw/zinc/15/66/05/731156605.db2.gz WTYXSFHOTNGFAB-KYZUINATSA-N -1 1 337.340 -0.711 20 0 EBADMM O=C(CCn1ccccc1=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034953505 731167376 /nfs/dbraw/zinc/16/73/76/731167376.db2.gz CDKVSKIIIPCGJO-GFCCVEGCSA-N -1 1 346.391 -0.157 20 0 EBADMM O=C(c1cnc2n1CCOC2)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034962604 731168999 /nfs/dbraw/zinc/16/89/99/731168999.db2.gz IYYJGIYFGUTKGG-SNVBAGLBSA-N -1 1 347.379 -0.369 20 0 EBADMM COCCn1nccc1C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034967214 731169873 /nfs/dbraw/zinc/16/98/73/731169873.db2.gz FEZKYGKQKQBVLN-LLVKDONJSA-N -1 1 349.395 -0.252 20 0 EBADMM C[C@@]1(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CCNC(=O)C1 ZINC001034971795 731170322 /nfs/dbraw/zinc/17/03/22/731170322.db2.gz VEKMDYPANRFQEX-MEBBXXQBSA-N -1 1 336.396 -0.493 20 0 EBADMM CCn1ccc(CNC[C@H]2CCCN2C(=O)c2n[nH]c(=O)[n-]c2=O)n1 ZINC001034982301 731171992 /nfs/dbraw/zinc/17/19/92/731171992.db2.gz BXOKEFISEPFCPW-LLVKDONJSA-N -1 1 347.379 -0.106 20 0 EBADMM O=C(C[C@@H]1CCCC(=O)N1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034989684 731173698 /nfs/dbraw/zinc/17/36/98/731173698.db2.gz OJMFHRSSPWKEJB-WDEREUQCSA-N -1 1 336.396 -0.350 20 0 EBADMM CC(C)(C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)S(C)(=O)=O ZINC001035067782 731182229 /nfs/dbraw/zinc/18/22/29/731182229.db2.gz QDCAENQINWEWBY-SECBINFHSA-N -1 1 345.425 -0.586 20 0 EBADMM O=C(CCN1CCCC1=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035130387 731190284 /nfs/dbraw/zinc/19/02/84/731190284.db2.gz JNEFVRMUGHVQJA-LLVKDONJSA-N -1 1 336.396 -0.397 20 0 EBADMM Cn1nncc1C(=O)N1CCC2(CCN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035184228 731195169 /nfs/dbraw/zinc/19/51/69/731195169.db2.gz RCQKZCZEYJNFNE-UHFFFAOYSA-N -1 1 346.395 -0.233 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)c3ccccn3)C2)nc1=O ZINC001035261860 731201893 /nfs/dbraw/zinc/20/18/93/731201893.db2.gz HQFGPAGQTNRBIF-LLVKDONJSA-N -1 1 332.364 -0.866 20 0 EBADMM O=C(NC[C@H]1CN([C@H]2CCCNC2=O)CCO1)c1ncccc1[O-] ZINC001035342242 731221302 /nfs/dbraw/zinc/22/13/02/731221302.db2.gz BUEACUYCZGLYGY-RYUDHWBXSA-N -1 1 334.376 -0.504 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)C3C=CC=CC=C3)C2)nc1=O ZINC001035383020 731235410 /nfs/dbraw/zinc/23/54/10/731235410.db2.gz FPDIPCQJVHZUOM-CQSZACIVSA-N -1 1 345.403 -0.276 20 0 EBADMM Cc1cc(C)c(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)[nH]1 ZINC001035417310 731248495 /nfs/dbraw/zinc/24/84/95/731248495.db2.gz VSEZNRNCZOLDJH-LBPRGKRZSA-N -1 1 348.407 -0.316 20 0 EBADMM C[C@@H]1CCCN(C(=O)CCn2ccnn2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036542598 731261926 /nfs/dbraw/zinc/26/19/26/731261926.db2.gz DBQPTLPVUXQLRS-VXGBXAGGSA-N -1 1 348.411 -0.091 20 0 EBADMM O=C(NC[C@@H]1CCN1C[C@H](O)CN1CCCC1=O)c1ncccc1[O-] ZINC001038194238 731292513 /nfs/dbraw/zinc/29/25/13/731292513.db2.gz PGBHLKOLOLJLBC-STQMWFEESA-N -1 1 348.403 -0.425 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2ccnc3[nH]cnc32)nc1=O ZINC001038303722 731304535 /nfs/dbraw/zinc/30/45/35/731304535.db2.gz CEPNXSJAAQLWSP-VIFPVBQESA-N -1 1 342.363 -0.616 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cnc3n2CCC3)nc1=O ZINC001038361957 731312565 /nfs/dbraw/zinc/31/25/65/731312565.db2.gz ZIIQZKHALNRBCH-JTQLQIEISA-N -1 1 331.380 -0.745 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cnc3[nH]ccc3c2)nc1=O ZINC001038382505 731316484 /nfs/dbraw/zinc/31/64/84/731316484.db2.gz DAMUENMOQKDIHG-GFCCVEGCSA-N -1 1 341.375 -0.059 20 0 EBADMM COc1cc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)ccn1 ZINC001038443961 731326091 /nfs/dbraw/zinc/32/60/91/731326091.db2.gz KEVPKVDKLPWGLM-LLVKDONJSA-N -1 1 332.364 -0.484 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cncc3[nH]ccc32)nc1=O ZINC001038465394 731331000 /nfs/dbraw/zinc/33/10/00/731331000.db2.gz LSWRCXCXNWQYJU-JTQLQIEISA-N -1 1 341.375 -0.011 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@@H]2Cc3ccccc3O2)nc1=O ZINC001038474150 731332177 /nfs/dbraw/zinc/33/21/77/731332177.db2.gz DGEORBHFKGXUMM-JSGCOSHPSA-N -1 1 343.387 -0.197 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cc(C3CC3)on2)nc1=O ZINC001038575420 731345227 /nfs/dbraw/zinc/34/52/27/731345227.db2.gz OIAYRUQXWPKPDC-SNVBAGLBSA-N -1 1 332.364 -0.022 20 0 EBADMM COc1ccnc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)c1 ZINC001038595178 731350613 /nfs/dbraw/zinc/35/06/13/731350613.db2.gz ZYNPFXXNBRQUMN-JTQLQIEISA-N -1 1 332.364 -0.484 20 0 EBADMM COc1cc(=O)[nH]cc1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038708082 731367929 /nfs/dbraw/zinc/36/79/29/731367929.db2.gz LPPDIXICEKYTEV-VIFPVBQESA-N -1 1 348.363 -0.778 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2nccn3ccnc23)nc1=O ZINC001038712402 731368384 /nfs/dbraw/zinc/36/83/84/731368384.db2.gz KVJARCQWJCIPBS-SNVBAGLBSA-N -1 1 342.363 -0.845 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cc(C3CC3)no2)nc1=O ZINC001038752285 731371398 /nfs/dbraw/zinc/37/13/98/731371398.db2.gz OKVXCRQWKIZUMY-SNVBAGLBSA-N -1 1 332.364 -0.022 20 0 EBADMM CCCn1cc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001038768203 731374136 /nfs/dbraw/zinc/37/41/36/731374136.db2.gz OTHBAMZENGNQFY-LBPRGKRZSA-N -1 1 333.396 -0.281 20 0 EBADMM Cn1nnnc1CN1CC[C@@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001038783253 731376257 /nfs/dbraw/zinc/37/62/57/731376257.db2.gz ZZTGSIKXVILZRJ-SNVBAGLBSA-N -1 1 344.379 -0.413 20 0 EBADMM Cc1c(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)nnn1C(C)C ZINC001038857271 731385612 /nfs/dbraw/zinc/38/56/12/731385612.db2.gz WFPYUORCZQIFAY-NSHDSACASA-N -1 1 348.411 -0.406 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2c[nH]nc2C2CC2)nc1=O ZINC001038867808 731386767 /nfs/dbraw/zinc/38/67/67/731386767.db2.gz WFYCQWYKXQDCHY-SNVBAGLBSA-N -1 1 331.380 -0.287 20 0 EBADMM COCc1nc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)co1 ZINC001038866544 731387011 /nfs/dbraw/zinc/38/70/11/731387011.db2.gz CGVZAKBSJQEIBD-SECBINFHSA-N -1 1 336.352 -0.753 20 0 EBADMM COCc1nc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)co1 ZINC001038866556 731387017 /nfs/dbraw/zinc/38/70/17/731387017.db2.gz CGVZAKBSJQEIBD-VIFPVBQESA-N -1 1 336.352 -0.753 20 0 EBADMM COc1cc[nH]c(=O)c1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038919228 731392616 /nfs/dbraw/zinc/39/26/16/731392616.db2.gz HPZJRVAEHFKYLQ-SECBINFHSA-N -1 1 348.363 -0.778 20 0 EBADMM Cn1ccnc1CN1CC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001038919507 731392737 /nfs/dbraw/zinc/39/27/37/731392737.db2.gz DAMINPQNRZFMPJ-SNVBAGLBSA-N -1 1 342.363 -0.845 20 0 EBADMM Cc1nonc1CN1CC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001038923320 731393654 /nfs/dbraw/zinc/39/36/54/731393654.db2.gz RPUIFRIIHIODHD-VIFPVBQESA-N -1 1 344.335 -0.887 20 0 EBADMM Cn1cc(CN2CC[C@@H]2CNC(=O)c2cc(=O)n3[n-]cnc3n2)cn1 ZINC001038922860 731393750 /nfs/dbraw/zinc/39/37/50/731393750.db2.gz QYIDNYGVTYINMU-LLVKDONJSA-N -1 1 342.363 -0.845 20 0 EBADMM Cc1nc(CN2CC[C@@H]2CNC(=O)c2cc(=O)n3[n-]cnc3n2)co1 ZINC001038923911 731393768 /nfs/dbraw/zinc/39/37/68/731393768.db2.gz VXCXYZJQWAWGNN-LLVKDONJSA-N -1 1 343.347 -0.282 20 0 EBADMM CCOc1ncccc1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038973898 731398721 /nfs/dbraw/zinc/39/87/21/731398721.db2.gz BSHYFGWTWCUKEK-NSHDSACASA-N -1 1 346.391 -0.094 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CC[C@@H](C2)N3C(=O)Cn2cccn2)nc1=O ZINC001039332678 731427915 /nfs/dbraw/zinc/42/79/15/731427915.db2.gz SZMIOTDIBXATEP-OLZOCXBDSA-N -1 1 345.407 -0.430 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CC[C@@H](C2)N3C(=O)[C@@H]2CCOC2)nc1=O ZINC001039336760 731428150 /nfs/dbraw/zinc/42/81/50/731428150.db2.gz OTKJDZCOMPAMHR-UPJWGTAASA-N -1 1 335.408 -0.290 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CC[C@@H](C2)N3C(=O)c2cocn2)nc1=O ZINC001039346091 731428181 /nfs/dbraw/zinc/42/81/81/731428181.db2.gz YBMNSNQKXYZQBJ-MNOVXSKESA-N -1 1 332.364 -0.025 20 0 EBADMM Cn1[n-]c(CN2CCC[C@]3(CCN(C(=O)c4cn[nH]n4)C3)C2)nc1=O ZINC001040272645 731677133 /nfs/dbraw/zinc/67/71/33/731677133.db2.gz GVIQVFDHEPDAOV-HNNXBMFYSA-N -1 1 346.395 -0.645 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(CCN(C(=O)C[C@H]4CCOC4)C3)C2)nc1=O ZINC001041163776 732015470 /nfs/dbraw/zinc/01/54/70/732015470.db2.gz HXOMNWKDWZLJPE-CXAGYDPISA-N -1 1 349.435 -0.041 20 0 EBADMM Cc1nnsc1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001041418917 732120539 /nfs/dbraw/zinc/12/05/39/732120539.db2.gz ATLMLPJGQZLYLH-UHFFFAOYSA-N -1 1 349.372 -0.436 20 0 EBADMM O=C(CCn1cccn1)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001041540139 732151145 /nfs/dbraw/zinc/15/11/45/732151145.db2.gz OIWAZRXNVIKHEP-UHFFFAOYSA-N -1 1 345.359 -0.623 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(CCN(C(=O)c4n[nH]cc4F)C3)C2)nc1=O ZINC001041645176 732181562 /nfs/dbraw/zinc/18/15/62/732181562.db2.gz IZHSQTDBLXCWLF-OAHLLOKOSA-N -1 1 349.370 -0.291 20 0 EBADMM CCO[C@H]1C[C@H]1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001041684829 732194102 /nfs/dbraw/zinc/19/41/02/732194102.db2.gz DIGGKDCWAADRFD-PWSUYJOCSA-N -1 1 335.360 -0.485 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(CCN(C(=O)c4cccnn4)C3)C2)nc1=O ZINC001041751948 732214660 /nfs/dbraw/zinc/21/46/60/732214660.db2.gz RZGSERAQGPFXSB-MRXNPFEDSA-N -1 1 343.391 -0.363 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(CCN(C(=O)c4ncccn4)C3)C2)nc1=O ZINC001041751949 732214693 /nfs/dbraw/zinc/21/46/93/732214693.db2.gz SCJJKXVYPCHMGY-INIZCTEOSA-N -1 1 343.391 -0.363 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(CCN(C(=O)[C@@]4(C)CCOC4)C3)C2)nc1=O ZINC001041757941 732215859 /nfs/dbraw/zinc/21/58/59/732215859.db2.gz FLOMVWRBOUPWFD-IRXDYDNUSA-N -1 1 349.435 -0.041 20 0 EBADMM O=C(Cc1ccn[nH]1)N1CC[C@@H]2CCN(Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001041994673 732272262 /nfs/dbraw/zinc/27/22/62/732272262.db2.gz DUZGTUGJPDCQSB-JQWIXIFHSA-N -1 1 331.380 -0.101 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)c4cnco4)C[C@@H]32)nc1=O ZINC001042169975 732341183 /nfs/dbraw/zinc/34/11/83/732341183.db2.gz OQCRULRQRAHEPD-MNOVXSKESA-N -1 1 332.364 -0.167 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)c4cnco4)C[C@H]32)nc1=O ZINC001042169974 732341299 /nfs/dbraw/zinc/34/12/99/732341299.db2.gz OQCRULRQRAHEPD-GHMZBOCLSA-N -1 1 332.364 -0.167 20 0 EBADMM O=C(CCc1c[nH]nn1)N1CC[C@H]2CCN(Cc3n[nH]c(=O)[n-]3)[C@H]2C1 ZINC001042240877 732372107 /nfs/dbraw/zinc/37/21/07/732372107.db2.gz OPMVITDHSILIKO-PWSUYJOCSA-N -1 1 346.395 -0.316 20 0 EBADMM Cn1ccc(C(=O)N2CC[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)[C@@H]3C2)n1 ZINC001042354686 732414437 /nfs/dbraw/zinc/41/44/37/732414437.db2.gz CGFFWUJNPCYDQO-DGCLKSJQSA-N -1 1 345.407 -0.422 20 0 EBADMM Cc1nc[nH]c1C(=O)N1CC[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1 ZINC001042383296 732422662 /nfs/dbraw/zinc/42/26/62/732422662.db2.gz PSELCKOTXNPGIK-RYUDHWBXSA-N -1 1 345.407 -0.123 20 0 EBADMM Cn1ccc(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)c1 ZINC001042541399 732469147 /nfs/dbraw/zinc/46/91/47/732469147.db2.gz OQAGOJUCLINBJL-UHFFFAOYSA-N -1 1 330.344 -0.257 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ccncc2)C1)c1ncccc1[O-] ZINC001042712621 732545919 /nfs/dbraw/zinc/54/59/19/732545919.db2.gz DYEVHILNYFQWNL-UHFFFAOYSA-N -1 1 328.328 -0.201 20 0 EBADMM Cc1c(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)cnn1C ZINC001042712850 732546269 /nfs/dbraw/zinc/54/62/69/732546269.db2.gz JGYJNQIIZGBXQZ-UHFFFAOYSA-N -1 1 345.359 -0.554 20 0 EBADMM CCn1nccc1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042712887 732546708 /nfs/dbraw/zinc/54/67/08/732546708.db2.gz KHPBPCDCPSYPTQ-UHFFFAOYSA-N -1 1 345.359 -0.380 20 0 EBADMM CCn1ncc(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)n1 ZINC001042713073 732546757 /nfs/dbraw/zinc/54/67/57/732546757.db2.gz NOCNMNXZBLRGKF-UHFFFAOYSA-N -1 1 346.347 -0.985 20 0 EBADMM Cc1nc(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)co1 ZINC001042713076 732546795 /nfs/dbraw/zinc/54/67/95/732546795.db2.gz NRXFITNDELWWJF-UHFFFAOYSA-N -1 1 332.316 -0.299 20 0 EBADMM O=C(NCC1(O)CN(C(=O)C2CCC(O)CC2)C1)c1ncccc1[O-] ZINC001042713409 732547278 /nfs/dbraw/zinc/54/72/78/732547278.db2.gz XKYXYHPVSAWFSW-UHFFFAOYSA-N -1 1 349.387 -0.359 20 0 EBADMM C[C@@]1(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)CCOC1 ZINC001042715793 732547799 /nfs/dbraw/zinc/54/77/99/732547799.db2.gz AHGDYBYSLVSDEN-OAHLLOKOSA-N -1 1 335.360 -0.483 20 0 EBADMM Cc1conc1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042716199 732548442 /nfs/dbraw/zinc/54/84/42/732548442.db2.gz IPLXEYPXMGJVOC-UHFFFAOYSA-N -1 1 332.316 -0.299 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2F)C1)c1ncccc1[O-] ZINC001042716419 732548465 /nfs/dbraw/zinc/54/84/65/732548465.db2.gz MMYWTLPTDKGFHG-UHFFFAOYSA-N -1 1 346.318 -0.062 20 0 EBADMM CCC[C@H](OC)C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042719526 732550017 /nfs/dbraw/zinc/55/00/17/732550017.db2.gz DRIATKGNLXXNTC-LBPRGKRZSA-N -1 1 337.376 -0.095 20 0 EBADMM Cc1ncc(CC(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)o1 ZINC001042719955 732550532 /nfs/dbraw/zinc/55/05/32/732550532.db2.gz OOFAXWYJLJINRH-UHFFFAOYSA-N -1 1 346.343 -0.371 20 0 EBADMM CO[C@H]1CC[C@@H](C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)C1 ZINC001042719717 732550717 /nfs/dbraw/zinc/55/07/17/732550717.db2.gz IFZSRVDJKLZWSD-NEPJUHHUSA-N -1 1 349.387 -0.095 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2csnn2)C1)c1ncccc1[O-] ZINC001042720334 732551279 /nfs/dbraw/zinc/55/12/79/732551279.db2.gz VDJMCUHOLCVWMZ-UHFFFAOYSA-N -1 1 335.345 -0.744 20 0 EBADMM Cn1cncc1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042720107 732551344 /nfs/dbraw/zinc/55/13/44/732551344.db2.gz QTLVFHUNCAUCPH-UHFFFAOYSA-N -1 1 331.332 -0.862 20 0 EBADMM CC(C)[C@@H]1CCO[C@@H]1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042737240 732558454 /nfs/dbraw/zinc/55/84/54/732558454.db2.gz YZXFXDOWCBBMRO-JSGCOSHPSA-N -1 1 337.424 -0.188 20 0 EBADMM CCOc1ccc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001042845002 732624030 /nfs/dbraw/zinc/62/40/30/732624030.db2.gz TWQUOXJJVFIFLV-UHFFFAOYSA-N -1 1 346.391 -0.142 20 0 EBADMM COc1ccncc1CC(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043066003 732738984 /nfs/dbraw/zinc/73/89/84/732738984.db2.gz VICZCXOCDHUUGC-UHFFFAOYSA-N -1 1 346.391 -0.603 20 0 EBADMM CCCc1c(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001043212433 732825472 /nfs/dbraw/zinc/82/54/72/732825472.db2.gz ALYZBIGKCAVDJT-UHFFFAOYSA-N -1 1 347.423 -0.249 20 0 EBADMM CN(C(=O)c1n[nH]c(=O)[n-]c1=O)C1CN(C[C@H]2C[C@@]23CCOC3)C1 ZINC001043230852 732836917 /nfs/dbraw/zinc/83/69/17/732836917.db2.gz GFYGRROCMTYEQG-RFAUZJTJSA-N -1 1 335.364 -0.534 20 0 EBADMM O=C(CCc1c[nH]cn1)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001043525810 732990682 /nfs/dbraw/zinc/99/06/82/732990682.db2.gz QZWWMHJAKQHNKF-UHFFFAOYSA-N -1 1 345.359 -0.554 20 0 EBADMM CO[C@@H]1CCC[C@@H](C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001043691096 733062020 /nfs/dbraw/zinc/06/20/20/733062020.db2.gz HZCDWVWJUQXUOT-DGCLKSJQSA-N -1 1 337.424 -0.044 20 0 EBADMM CCc1c(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)ccn1C ZINC001043869166 733164265 /nfs/dbraw/zinc/16/42/65/733164265.db2.gz UYZUUQFLCYBFAS-UHFFFAOYSA-N -1 1 332.408 -0.034 20 0 EBADMM CCn1nc(C)c(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC001044058271 733266823 /nfs/dbraw/zinc/26/68/23/733266823.db2.gz MQTGNZXOPLROIJ-UHFFFAOYSA-N -1 1 347.423 -0.102 20 0 EBADMM COCc1nc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)co1 ZINC001044116812 733290949 /nfs/dbraw/zinc/29/09/49/733290949.db2.gz KJTJLPQTHYWJOP-UHFFFAOYSA-N -1 1 336.352 -0.801 20 0 EBADMM Cc1nc(CN2CC(N(C)C(=O)c3cc(=O)n4nc[n-]c4n3)C2)co1 ZINC001044181899 733321606 /nfs/dbraw/zinc/32/16/06/733321606.db2.gz KXRYYXHVLWKDRT-UHFFFAOYSA-N -1 1 343.347 -0.330 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(Cc2nccs2)C1 ZINC001044181683 733321830 /nfs/dbraw/zinc/32/18/30/733321830.db2.gz GMLOYHMTAAIYII-UHFFFAOYSA-N -1 1 345.388 -0.170 20 0 EBADMM CN(C)c1ccc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)nc1 ZINC001044347733 733394588 /nfs/dbraw/zinc/39/45/88/733394588.db2.gz PUDBGYZIPNEITC-UHFFFAOYSA-N -1 1 345.407 -0.474 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CS(C)(=O)=O ZINC001044489341 733437649 /nfs/dbraw/zinc/43/76/49/733437649.db2.gz IIZAAUSHWLQXGF-BDAKNGLRSA-N -1 1 331.398 -0.976 20 0 EBADMM Cc1nnc(CN[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)[C@@H](C)C2)o1 ZINC001044663843 733474839 /nfs/dbraw/zinc/47/48/39/733474839.db2.gz NWSZAZSRDKVHRC-CBAPKCEASA-N -1 1 349.351 -0.243 20 0 EBADMM O=C(CO[C@H]1CCOC1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045034593 733561832 /nfs/dbraw/zinc/56/18/32/733561832.db2.gz OOWMMCUVNUPSLN-QWRGUYRKSA-N -1 1 325.369 -0.604 20 0 EBADMM O=C(Cn1cc(C2CC2)nn1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045067830 733571005 /nfs/dbraw/zinc/57/10/05/733571005.db2.gz AIGSRPLUHSJZKM-NSHDSACASA-N -1 1 346.395 -0.240 20 0 EBADMM O=C(c1cnn2ncccc12)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045072918 733572603 /nfs/dbraw/zinc/57/26/03/733572603.db2.gz VTIXNSBFVKEBPO-JTQLQIEISA-N -1 1 342.363 -0.053 20 0 EBADMM Cc1cc(=O)c(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)cn1C ZINC001045080030 733574680 /nfs/dbraw/zinc/57/46/80/733574680.db2.gz AKRSMNMEPUJVGM-NSHDSACASA-N -1 1 346.391 -0.088 20 0 EBADMM O=C(c1ccc2nncn2c1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045239831 733632339 /nfs/dbraw/zinc/63/23/39/733632339.db2.gz HSPIFOYOAGVDLO-NSHDSACASA-N -1 1 342.363 -0.053 20 0 EBADMM C[C@@H]1CCN(C(=O)C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)C1 ZINC001045269592 733643641 /nfs/dbraw/zinc/64/36/41/733643641.db2.gz WOLRKMNWHXVNLW-MNOVXSKESA-N -1 1 336.396 -0.541 20 0 EBADMM COc1c(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)cnn1C ZINC001045289707 733652050 /nfs/dbraw/zinc/65/20/50/733652050.db2.gz RDDLVMZCSBDNLV-VIFPVBQESA-N -1 1 335.368 -0.353 20 0 EBADMM C[C@@H]1OCC[C@H]1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001045293859 733654965 /nfs/dbraw/zinc/65/49/65/733654965.db2.gz SAUXJABRPPEKCR-WDEREUQCSA-N -1 1 335.360 -0.485 20 0 EBADMM Cc1n[nH]cc1C(=O)NC1(C)CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001045518363 733711862 /nfs/dbraw/zinc/71/18/62/733711862.db2.gz YDUVPXJFHAJEQX-UHFFFAOYSA-N -1 1 333.396 -0.076 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)c1 ZINC001045752829 733768394 /nfs/dbraw/zinc/76/83/94/733768394.db2.gz GIZVRFUPZQOCCC-NEPJUHHUSA-N -1 1 345.407 -0.279 20 0 EBADMM CCc1cc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC001046153380 733868057 /nfs/dbraw/zinc/86/80/57/733868057.db2.gz SMEPKCHKWYOZNC-OAHLLOKOSA-N -1 1 333.396 -0.212 20 0 EBADMM O=C(Cc1ncc[nH]1)NC[C@@H]1COCCN1C(=O)c1ncccc1[O-] ZINC001061817639 738767200 /nfs/dbraw/zinc/76/72/00/738767200.db2.gz INVOOCLBRRBAQI-LLVKDONJSA-N -1 1 345.359 -0.290 20 0 EBADMM Cc1nn(C)c(C)c1C(=O)N[C@@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001046523504 733953459 /nfs/dbraw/zinc/95/34/59/733953459.db2.gz DOGQPAGJJCDBPP-INIZCTEOSA-N -1 1 347.423 -0.147 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](C)(NC(=O)c3cccc(=O)n3C)C2)nc1=O ZINC001046607998 733979725 /nfs/dbraw/zinc/97/97/25/733979725.db2.gz DYRJZFPGTMIWJS-MRXNPFEDSA-N -1 1 346.391 -0.799 20 0 EBADMM CCc1nc[nH]c1C(=O)N[C@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001046803276 734029555 /nfs/dbraw/zinc/02/95/55/734029555.db2.gz SUTSZSQVYMVYEM-OAHLLOKOSA-N -1 1 333.396 -0.212 20 0 EBADMM NC(=O)C1(C(=O)NC[C@@H]2COCCN2C(=O)c2ncccc2[O-])CC1 ZINC001061838355 738776294 /nfs/dbraw/zinc/77/62/94/738776294.db2.gz IOAKFHFTZOQLJO-SNVBAGLBSA-N -1 1 348.359 -0.990 20 0 EBADMM Cn1cncc1CC(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047181447 734107901 /nfs/dbraw/zinc/10/79/01/734107901.db2.gz LUMNHJGOXJEHLD-SRVKXCTJSA-N -1 1 345.407 -0.044 20 0 EBADMM CN(C(=O)c1cccs1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047288009 734117153 /nfs/dbraw/zinc/11/71/53/734117153.db2.gz JHZPWLGETRHBAG-UWVGGRQHSA-N -1 1 337.405 -0.513 20 0 EBADMM NC(=O)NCC(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(C(=O)c1ncccc1[O-])C2 ZINC001047304229 734123807 /nfs/dbraw/zinc/12/38/07/734123807.db2.gz WVQSKNLBNJTXDH-AXFHLTTASA-N -1 1 347.375 -0.435 20 0 EBADMM CN(C(=O)[C@H]1[C@@H]2CCC[C@@H]21)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047340089 734142620 /nfs/dbraw/zinc/14/26/20/734142620.db2.gz PCNAHPAYBHNTHJ-XJBAAKRRSA-N -1 1 335.408 -0.842 20 0 EBADMM Cc1[nH]nc(C(=O)N(C)[C@H]2CN(CCc3cnn(C)c3)C[C@@H]2O)c1[O-] ZINC001047351043 734146718 /nfs/dbraw/zinc/14/67/18/734146718.db2.gz WFIFQAVKBAAWDX-STQMWFEESA-N -1 1 348.407 -0.483 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(Cc2csnn2)C[C@@H]1O ZINC001047358524 734151945 /nfs/dbraw/zinc/15/19/45/734151945.db2.gz BQXWEFHJBHNCGO-JQWIXIFHSA-N -1 1 335.389 -0.044 20 0 EBADMM Cc1cc[nH]c1C(=O)N(C)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047368448 734157709 /nfs/dbraw/zinc/15/77/09/734157709.db2.gz CFMGZZNDVMQLDE-QWRGUYRKSA-N -1 1 334.380 -0.938 20 0 EBADMM Cc1cnccc1C(=O)N(C)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047372369 734160785 /nfs/dbraw/zinc/16/07/85/734160785.db2.gz KEMCPVCRVIRFPY-STQMWFEESA-N -1 1 346.391 -0.871 20 0 EBADMM NC(=O)CC(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(C(=O)c1ncccc1[O-])C2 ZINC001047417406 734183626 /nfs/dbraw/zinc/18/36/26/734183626.db2.gz VAATUTMWTAMLKJ-AXFHLTTASA-N -1 1 332.360 -0.228 20 0 EBADMM CN(C(=O)[C@H]1CCC1(C)C)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047495171 734224913 /nfs/dbraw/zinc/22/49/13/734224913.db2.gz XOFCPTWNEPNRGS-WOPDTQHZSA-N -1 1 337.424 -0.452 20 0 EBADMM CCC(=O)N[C@@H]1CC[C@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]21 ZINC000979866764 805591821 /nfs/dbraw/zinc/59/18/21/805591821.db2.gz JNDIKTKTRUECLS-HBNTYKKESA-N -1 1 344.375 -0.206 20 0 EBADMM CN(C(=O)[C@@H]1C[C@H]1C1CCC1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047560153 734253367 /nfs/dbraw/zinc/25/33/67/734253367.db2.gz BCRHRVRMXAEWRE-CRWXNKLISA-N -1 1 349.435 -0.452 20 0 EBADMM CCCCN1C[C@H](O)[C@@H](N(C)C(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001047567952 734258248 /nfs/dbraw/zinc/25/82/48/734258248.db2.gz FDVTZVRJFWUSJR-STQMWFEESA-N -1 1 348.407 -0.625 20 0 EBADMM Cc1cc(C(=O)N(C)[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)ccn1 ZINC001047571559 734260151 /nfs/dbraw/zinc/26/01/51/734260151.db2.gz KVOVDAGQSGTKLU-STQMWFEESA-N -1 1 346.391 -0.871 20 0 EBADMM C[C@@H](NCc1nnn(C)n1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001047806173 734309402 /nfs/dbraw/zinc/30/94/02/734309402.db2.gz BEUOXGVWZJSHFX-MNOVXSKESA-N -1 1 331.380 -0.049 20 0 EBADMM C[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@H]1CNCc1cnon1 ZINC001048305880 734386623 /nfs/dbraw/zinc/38/66/23/734386623.db2.gz VBMSXBPEKDISAN-SFYZADRCSA-N -1 1 335.324 -0.836 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4ccccn4)C[C@@H]3C2)nc1=O ZINC001048642649 734460073 /nfs/dbraw/zinc/46/00/73/734460073.db2.gz JSQNHCYWAOGMCH-TXEJJXNPSA-N -1 1 328.376 -0.293 20 0 EBADMM COCC1(C(=O)N2C[C@H](O)[C@@H](N(C)C(=O)c3ncccc3[O-])C2)CC1 ZINC001048722845 734483506 /nfs/dbraw/zinc/48/35/06/734483506.db2.gz WRFBEHOJAMKGTR-AAEUAGOBSA-N -1 1 349.387 -0.143 20 0 EBADMM Cc1cc(CN2C[C@@H]3CN(C(=O)c4n[nH]c(=O)[n-]c4=O)C[C@@H]3C2)on1 ZINC001048918693 734570613 /nfs/dbraw/zinc/57/06/13/734570613.db2.gz CLPDIMAPPDPRGE-AOOOYVTPSA-N -1 1 346.347 -0.217 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@@H]2CCCN3C(=O)c2cnccn2)nc1=O ZINC001049301684 734705131 /nfs/dbraw/zinc/70/51/31/734705131.db2.gz KNUXOXSVVSBQDF-QWHCGFSZSA-N -1 1 343.391 -0.223 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@@H]2CCCN3C(=O)Cn2cccn2)nc1=O ZINC001049323695 734709648 /nfs/dbraw/zinc/70/96/48/734709648.db2.gz WDXPJNQKOBFEJS-STQMWFEESA-N -1 1 345.407 -0.430 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@@H]2CCCN3C(=O)c2cnns2)nc1=O ZINC001049355108 734713783 /nfs/dbraw/zinc/71/37/83/734713783.db2.gz WASBIAVWHUUWCX-UWVGGRQHSA-N -1 1 349.420 -0.161 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@@H]2CCCN3C(=O)c2cn[nH]n2)nc1=O ZINC001049570428 734756272 /nfs/dbraw/zinc/75/62/72/734756272.db2.gz MWXKSDMDHDHRMM-QWRGUYRKSA-N -1 1 332.368 -0.894 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CCC[C@H]2[C@@H]1CCN2Cc1n[nH]c(=O)[n-]1 ZINC001049630388 734767742 /nfs/dbraw/zinc/76/77/42/734767742.db2.gz LJHLCLNNRSLJCX-UWVGGRQHSA-N -1 1 332.368 -0.184 20 0 EBADMM CCC(=O)N1CC[C@H]([C@@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001049640779 734771316 /nfs/dbraw/zinc/77/13/16/734771316.db2.gz ZMJHYEILLFRRLR-NEPJUHHUSA-N -1 1 336.392 -0.310 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@H]2CCCN3C(=O)Cc2cnoc2)nc1=O ZINC001049666278 734774075 /nfs/dbraw/zinc/77/40/75/734774075.db2.gz YEFGORPLGTUEQZ-OLZOCXBDSA-N -1 1 346.391 -0.096 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@@H]2CCCN3C(=O)c2n[nH]cc2F)nc1=O ZINC001049701739 734780948 /nfs/dbraw/zinc/78/09/48/734780948.db2.gz DQILPDZGTXPCGL-QWRGUYRKSA-N -1 1 349.370 -0.150 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@H]2CCCN3C(=O)c2cnon2)nc1=O ZINC001049801649 734791832 /nfs/dbraw/zinc/79/18/32/734791832.db2.gz IOXXNRARBGBVQI-GHMZBOCLSA-N -1 1 333.352 -0.630 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@H]([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001049839470 734796325 /nfs/dbraw/zinc/79/63/25/734796325.db2.gz QNWMKSYCOTVUDS-PWSUYJOCSA-N -1 1 334.376 -0.110 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCCN(C(=O)[C@H]4CCCO4)[C@@H]3C2)nc1=O ZINC001049964498 734812426 /nfs/dbraw/zinc/81/24/26/734812426.db2.gz JEMRDRZZPLNCEA-YNEHKIRRSA-N -1 1 335.408 -0.290 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCCN(C(=O)Cc4ccon4)[C@@H]3C2)nc1=O ZINC001049999930 734821847 /nfs/dbraw/zinc/82/18/47/734821847.db2.gz OXXGLVLJXFDEKF-WCQYABFASA-N -1 1 346.391 -0.238 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050530403 734919471 /nfs/dbraw/zinc/91/94/71/734919471.db2.gz MYWSXIKRJIABJT-JQWIXIFHSA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@H](NC(=O)Cn1ncnn1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050532014 734919709 /nfs/dbraw/zinc/91/97/09/734919709.db2.gz VZJBYSXSZOZOCV-WDEREUQCSA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@@H](NC(=O)Cn1cnnn1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050532055 734919871 /nfs/dbraw/zinc/91/98/71/734919871.db2.gz WOQWUGJBUVRYKR-GHMZBOCLSA-N -1 1 345.363 -0.559 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)c2ccsc2)nc1=O ZINC001050800041 734961707 /nfs/dbraw/zinc/96/17/07/734961707.db2.gz AJGRNJHRUVGFOL-NSHDSACASA-N -1 1 337.405 -0.199 20 0 EBADMM Cc1ccncc1C(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001050853313 734978557 /nfs/dbraw/zinc/97/85/57/734978557.db2.gz YRDJMCHQQZCRSJ-LBPRGKRZSA-N -1 1 346.391 -0.557 20 0 EBADMM Cc1cc(C)c(C(=O)NC[C@@H]2COCCN2Cc2nc(=O)n(C)[n-]2)o1 ZINC001050856609 734979817 /nfs/dbraw/zinc/97/98/17/734979817.db2.gz JTZNLIOMSWXNOZ-GFCCVEGCSA-N -1 1 349.391 -0.051 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2COCCN2Cc2nc(=O)n(C)[n-]2)oc1C ZINC001050863248 734982580 /nfs/dbraw/zinc/98/25/80/734982580.db2.gz GMKVBRCBBCSIOJ-GFCCVEGCSA-N -1 1 349.391 -0.051 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2COCCN2Cc2nc(=O)n(C)[n-]2)co1 ZINC001050879835 734987374 /nfs/dbraw/zinc/98/73/74/734987374.db2.gz IMPYQZBOINVCLJ-GFCCVEGCSA-N -1 1 335.364 -0.359 20 0 EBADMM Cc1ccn(C)c1C(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001050882327 734987965 /nfs/dbraw/zinc/98/79/65/734987965.db2.gz OUNDSZZBEGQJPY-LBPRGKRZSA-N -1 1 348.407 -0.614 20 0 EBADMM Cc1cnccc1C(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001050914365 734999045 /nfs/dbraw/zinc/99/90/45/734999045.db2.gz AWIGKNHYDSPIBU-LBPRGKRZSA-N -1 1 346.391 -0.557 20 0 EBADMM O=C(NC[C@@H]1COCCN1CC1CCC1)c1cc(=O)n2[n-]cnc2n1 ZINC001051209785 735100059 /nfs/dbraw/zinc/10/00/59/735100059.db2.gz NIFPGVVDWIADNH-GFCCVEGCSA-N -1 1 346.391 -0.352 20 0 EBADMM COCC(=O)N[C@H](C)[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001051248537 735111489 /nfs/dbraw/zinc/11/14/89/735111489.db2.gz CIEODIISWNORGY-ZJUUUORDSA-N -1 1 348.363 -0.969 20 0 EBADMM O=C([C@@H]1CCCOC1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051488947 735172283 /nfs/dbraw/zinc/17/22/83/735172283.db2.gz WVOIOUNRPLNRAH-MNOVXSKESA-N -1 1 325.369 -0.746 20 0 EBADMM Cc1cc(CC(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)no1 ZINC001051498970 735199291 /nfs/dbraw/zinc/19/92/91/735199291.db2.gz XVRDFAUPWVPCQW-NSHDSACASA-N -1 1 336.352 -0.634 20 0 EBADMM Cc1nscc1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051558400 735231535 /nfs/dbraw/zinc/23/15/35/735231535.db2.gz KQRVBYPDMUDEQU-SECBINFHSA-N -1 1 338.393 -0.094 20 0 EBADMM CCc1nc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)co1 ZINC001051559128 735232418 /nfs/dbraw/zinc/23/24/18/735232418.db2.gz DXQDCLQTORLMSY-SECBINFHSA-N -1 1 336.352 -0.309 20 0 EBADMM CCn1nccc1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051566907 735238180 /nfs/dbraw/zinc/23/81/80/735238180.db2.gz BLZXTVOXDOIBLD-SNVBAGLBSA-N -1 1 335.368 -0.643 20 0 EBADMM Cn1cc(CNC[C@H]2CN(C(=O)c3ncccc3[O-])CCO2)nn1 ZINC001051566676 735238308 /nfs/dbraw/zinc/23/83/08/735238308.db2.gz PMTAFJROORLMRU-LBPRGKRZSA-N -1 1 332.364 -0.454 20 0 EBADMM C[C@@H](NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1)c1nnnn1C ZINC001051566654 735238470 /nfs/dbraw/zinc/23/84/70/735238470.db2.gz PDIHTEMRBNATCX-MNOVXSKESA-N -1 1 347.379 -0.498 20 0 EBADMM Cc1ccn(C)c1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051574234 735241550 /nfs/dbraw/zinc/24/15/50/735241550.db2.gz ZKTUZDPATZXCIT-LLVKDONJSA-N -1 1 334.380 -0.212 20 0 EBADMM CNC(=O)CC(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001052421455 735611140 /nfs/dbraw/zinc/61/11/40/735611140.db2.gz KYQUQJWYLTUJCT-QWRGUYRKSA-N -1 1 334.376 -0.252 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNC(=O)Cn1nccn1 ZINC001052420887 735611251 /nfs/dbraw/zinc/61/12/51/735611251.db2.gz HKJNIMLEIWMHEK-NEPJUHHUSA-N -1 1 344.375 -0.097 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)c3csnn3)CC2)nc1=O ZINC001052597573 735650321 /nfs/dbraw/zinc/65/03/21/735650321.db2.gz BBDFESATWVFTNY-VIFPVBQESA-N -1 1 337.409 -0.256 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)c3cccnn3)CC2)nc1=O ZINC001052815760 735698919 /nfs/dbraw/zinc/69/89/19/735698919.db2.gz GKVSHJBZSKZTNU-LLVKDONJSA-N -1 1 331.380 -0.317 20 0 EBADMM NC(=O)CN1CCC[C@@H](NC(=O)c2ccc3oc(=O)nc-3[n-]2)CC1 ZINC001052866414 735707343 /nfs/dbraw/zinc/70/73/43/735707343.db2.gz XQZZSEYLUJIISS-SECBINFHSA-N -1 1 333.348 -0.002 20 0 EBADMM NC(=O)C(=O)N1CCC[C@@H]([C@@H]2CCCN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001053038737 735741981 /nfs/dbraw/zinc/74/19/81/735741981.db2.gz BDLFRUPQOFEMAT-GHMZBOCLSA-N -1 1 336.396 -0.554 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)C(C)(F)F)CCO3)nc1=O ZINC001053124354 735753618 /nfs/dbraw/zinc/75/36/18/735753618.db2.gz WXWQCLDVYSVRJL-UHFFFAOYSA-N -1 1 331.323 -0.823 20 0 EBADMM CC[C@H](C(N)=O)N1CC2(C1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC001053232653 735786265 /nfs/dbraw/zinc/78/62/65/735786265.db2.gz UQTATKFQOARUBX-LLVKDONJSA-N -1 1 334.376 -0.422 20 0 EBADMM CC[C@@H](C(N)=O)N1CC2(C1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC001053232654 735786283 /nfs/dbraw/zinc/78/62/83/735786283.db2.gz UQTATKFQOARUBX-NSHDSACASA-N -1 1 334.376 -0.422 20 0 EBADMM Cc1coc(C(=O)N2CCOC3(CN(Cc4nc(=O)n(C)[n-]4)C3)C2)c1 ZINC001053386869 735857007 /nfs/dbraw/zinc/85/70/07/735857007.db2.gz NHQCTZLSUARMCP-UHFFFAOYSA-N -1 1 347.375 -0.263 20 0 EBADMM CCC1(C(=O)N2CCOC3(CN(Cc4nc(=O)n(C)[n-]4)C3)C2)CC1 ZINC001053412080 735865233 /nfs/dbraw/zinc/86/52/33/735865233.db2.gz QEEVTZHCHYDLSQ-UHFFFAOYSA-N -1 1 335.408 -0.288 20 0 EBADMM CC(C)[C@@H](C)C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053457285 735880593 /nfs/dbraw/zinc/88/05/93/735880593.db2.gz YNIBYMZINMCHRZ-GFCCVEGCSA-N -1 1 337.424 -0.186 20 0 EBADMM CCC(=O)N[C@@H]1CC[C@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@H]21 ZINC000979876802 805599772 /nfs/dbraw/zinc/59/97/72/805599772.db2.gz ZSAWWMITOCTNLX-YNEHKIRRSA-N -1 1 348.403 -0.310 20 0 EBADMM CC(C)[C@@H]1C[C@@H]1C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053490707 735901049 /nfs/dbraw/zinc/90/10/49/735901049.db2.gz QGSLOACYAVXOLS-STQMWFEESA-N -1 1 349.435 -0.186 20 0 EBADMM CCCCN1CC2(C1)CN(C(=O)c1cc(=O)n3[n-]cnc3n1)CCO2 ZINC001053507331 735908495 /nfs/dbraw/zinc/90/84/95/735908495.db2.gz KWDGZHFOQRMRLZ-UHFFFAOYSA-N -1 1 346.391 -0.256 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)C2CC=CC2)CO3)nc1=O ZINC001053762420 736002130 /nfs/dbraw/zinc/00/21/30/736002130.db2.gz YQWVJTGFXQCRGJ-GFCCVEGCSA-N -1 1 333.392 -0.466 20 0 EBADMM CN1CC[C@H](N2CC3(C2)C[C@H](NC(=O)c2ncccc2[O-])CO3)C1=O ZINC001053784359 736014099 /nfs/dbraw/zinc/01/40/99/736014099.db2.gz MFVOZEOMQGBCQG-RYUDHWBXSA-N -1 1 346.387 -0.409 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)Cc2ccco2)CO3)nc1=O ZINC001053963178 736120106 /nfs/dbraw/zinc/12/01/06/736120106.db2.gz IYIHAECLCRCMEH-NSHDSACASA-N -1 1 347.375 -0.596 20 0 EBADMM CC[C@H](C)CN1CC2(C1)C[C@H](NC(=O)Cn1c(=O)[n-][nH]c1=O)CO2 ZINC001053993216 736138185 /nfs/dbraw/zinc/13/81/85/736138185.db2.gz HVJLDMOWAGVBMY-QWRGUYRKSA-N -1 1 339.396 -0.305 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(C2)CCCN(C(=O)c2cn[nH]c2)C3)nc1=O ZINC001054094556 736162737 /nfs/dbraw/zinc/16/27/37/736162737.db2.gz ROFLZUOXBAHMKJ-MRXNPFEDSA-N -1 1 345.407 -0.040 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(C2)CCCN(C(=O)c2ncc[nH]2)C3)nc1=O ZINC001054129142 736166720 /nfs/dbraw/zinc/16/67/20/736166720.db2.gz LHOFOCBUKGAUCO-MRXNPFEDSA-N -1 1 345.407 -0.040 20 0 EBADMM COc1cc(C(=O)N2C[C@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)ncn1 ZINC001054670540 736228863 /nfs/dbraw/zinc/22/88/63/736228863.db2.gz SBQFLIWECKRHRH-WCBMZHEXSA-N -1 1 333.352 -0.441 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnn3c2CCC3)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054714627 736234687 /nfs/dbraw/zinc/23/46/87/736234687.db2.gz WNPHDKKUSVDAGJ-MWLCHTKSSA-N -1 1 331.380 -0.097 20 0 EBADMM C[C@H]1CN(C(=O)c2cccn3nnnc23)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054715014 736234844 /nfs/dbraw/zinc/23/48/44/736234844.db2.gz KLRDJCFZUYMTPV-WCBMZHEXSA-N -1 1 343.351 -0.802 20 0 EBADMM Cc1nc(C(=O)N2C[C@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)c(=O)[nH]c1C ZINC001054791082 736247133 /nfs/dbraw/zinc/24/71/33/736247133.db2.gz MZQFQWPQIWOBEQ-OIBJUYFYSA-N -1 1 347.379 -0.539 20 0 EBADMM C[C@H]1CN(C(=O)c2ccn(C)c(=O)c2)C[C@@H]1[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001054811777 736248800 /nfs/dbraw/zinc/24/88/00/736248800.db2.gz DBAWBOXVNKKWLA-JQWIXIFHSA-N -1 1 346.391 -0.943 20 0 EBADMM C[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@H]1NCc1cnns1 ZINC001054860903 736257534 /nfs/dbraw/zinc/25/75/34/736257534.db2.gz SHYNRDDQEGVAIM-SVRRBLITSA-N -1 1 337.365 -0.615 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccnc3n[nH]nc32)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054886630 736263171 /nfs/dbraw/zinc/26/31/71/736263171.db2.gz XXBVNIWGPFBPRD-APPZFPTMSA-N -1 1 343.351 -0.573 20 0 EBADMM C[C@H]1CN(C(=O)c2ccc3nnnn3c2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054894960 736264791 /nfs/dbraw/zinc/26/47/91/736264791.db2.gz BODUCTPBKANYCH-WPRPVWTQSA-N -1 1 343.351 -0.802 20 0 EBADMM Cc1cc(CC(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)n(C)n1 ZINC001054898604 736265429 /nfs/dbraw/zinc/26/54/29/736265429.db2.gz OQZUYRNTBSYENR-BXKDBHETSA-N -1 1 333.396 -0.269 20 0 EBADMM C[C@H]1CN(C(=O)Cc2ccc(=O)[nH]c2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054918126 736267618 /nfs/dbraw/zinc/26/76/18/736267618.db2.gz TUYQTQLJVVGSKF-ONGXEEELSA-N -1 1 332.364 -0.210 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccoc2CC(N)=O)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001055016159 736281508 /nfs/dbraw/zinc/28/15/08/736281508.db2.gz WKGDBSFZEOOKIW-PSASIEDQSA-N -1 1 348.363 -0.619 20 0 EBADMM Cc1ccc(N2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)CC2)nn1 ZINC001055851474 736520889 /nfs/dbraw/zinc/52/08/89/736520889.db2.gz ZULBTBVTIBVSCG-UHFFFAOYSA-N -1 1 344.375 -0.626 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2[C@H]3CN(c4ncnc5[nH]cnc54)C[C@H]32)c1[O-] ZINC001056171341 736661185 /nfs/dbraw/zinc/66/11/85/736661185.db2.gz FJKUEIXPOXAIKK-BRPSZJMVSA-N -1 1 340.347 -0.045 20 0 EBADMM CNC(=O)CC(=O)N1CCC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001056650793 736761360 /nfs/dbraw/zinc/76/13/60/736761360.db2.gz WANIHTCOFGVUME-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM Cc1ccc(N[C@@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)nn1 ZINC001056669661 736766384 /nfs/dbraw/zinc/76/63/84/736766384.db2.gz VUNZSICUXDKGRQ-SNVBAGLBSA-N -1 1 340.347 -0.157 20 0 EBADMM Cn1cccc1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001056987238 736908630 /nfs/dbraw/zinc/90/86/30/736908630.db2.gz YAEZYQRAAMWLAF-UHFFFAOYSA-N -1 1 332.360 -0.010 20 0 EBADMM O=C(Cc1cc[nH]n1)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057256279 737120377 /nfs/dbraw/zinc/12/03/77/737120377.db2.gz ADOGWWQYYFWCMT-UHFFFAOYSA-N -1 1 333.348 -0.696 20 0 EBADMM O=C(Cc1ccn[nH]1)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057256279 737120382 /nfs/dbraw/zinc/12/03/82/737120382.db2.gz ADOGWWQYYFWCMT-UHFFFAOYSA-N -1 1 333.348 -0.696 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1cccc(=O)[nH]1)c1ncccc1[O-] ZINC001057278649 737138368 /nfs/dbraw/zinc/13/83/68/737138368.db2.gz LDBXAKWPLNRQDU-UHFFFAOYSA-N -1 1 346.343 -0.248 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccc1[O-])c1cncnc1 ZINC001057410265 737229966 /nfs/dbraw/zinc/22/99/66/737229966.db2.gz KICPVRBQLUFNHZ-UHFFFAOYSA-N -1 1 331.332 -0.558 20 0 EBADMM COC[C@@H](C)C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410220 737230107 /nfs/dbraw/zinc/23/01/07/737230107.db2.gz IMGPLSXNRIBNLA-LLVKDONJSA-N -1 1 325.365 -0.380 20 0 EBADMM Cc1cnoc1C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410274 737230175 /nfs/dbraw/zinc/23/01/75/737230175.db2.gz KNGKOFRPBQMJEU-UHFFFAOYSA-N -1 1 334.332 -0.052 20 0 EBADMM Cc1nonc1CC(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410350 737230456 /nfs/dbraw/zinc/23/04/56/737230456.db2.gz NOCCVAIBGROHOK-UHFFFAOYSA-N -1 1 349.347 -0.728 20 0 EBADMM Cc1n[nH]cc1C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057416036 737235750 /nfs/dbraw/zinc/23/57/50/737235750.db2.gz BVKTYFMDLBCRDK-UHFFFAOYSA-N -1 1 333.348 -0.317 20 0 EBADMM O=C(CCn1ccnn1)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057433012 737247330 /nfs/dbraw/zinc/24/73/30/737247330.db2.gz CVBHPVYBMOQVCI-UHFFFAOYSA-N -1 1 348.363 -0.980 20 0 EBADMM Cc1ccc(C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])nn1 ZINC001057542233 737321223 /nfs/dbraw/zinc/32/12/23/737321223.db2.gz BOECWJGPBGMPFP-UHFFFAOYSA-N -1 1 345.359 -0.250 20 0 EBADMM Cc1n[nH]c(C(=O)NCCN(CCO)C(=O)CN2CCCC2)c1[O-] ZINC001057640066 737380771 /nfs/dbraw/zinc/38/07/71/737380771.db2.gz AOBBADSISVSBMU-UHFFFAOYSA-N -1 1 339.396 -0.930 20 0 EBADMM COCC1(C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])CC1 ZINC001058085780 737638190 /nfs/dbraw/zinc/63/81/90/737638190.db2.gz VSERQVJQQCLATN-UHFFFAOYSA-N -1 1 337.376 -0.236 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)CCc4ncc[nH]4)[C@@H]3C2)nc1=O ZINC001075744153 742287616 /nfs/dbraw/zinc/28/76/16/742287616.db2.gz AZCZRDRAIRGOPU-NWDGAFQWSA-N -1 1 345.407 -0.503 20 0 EBADMM O=C(NCC[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCNC1=O ZINC001059519097 738187847 /nfs/dbraw/zinc/18/78/47/738187847.db2.gz ZOFODGQZTKSTLA-RYUDHWBXSA-N -1 1 346.387 -0.108 20 0 EBADMM C[C@H](NC(N)=O)C(=O)NCC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059516435 738188036 /nfs/dbraw/zinc/18/80/36/738188036.db2.gz MDWSBBDJFHGHDF-WDEREUQCSA-N -1 1 349.391 -0.188 20 0 EBADMM O=C(NCC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CNC(=O)N1 ZINC001059516949 738188141 /nfs/dbraw/zinc/18/81/41/738188141.db2.gz YFYVFVQPVDRSTH-MNOVXSKESA-N -1 1 347.375 -0.563 20 0 EBADMM O=C(NCC[C@H]1CCN(C(=O)[C@H]2COC(=O)N2)C1)c1ncccc1[O-] ZINC001059573458 738200048 /nfs/dbraw/zinc/20/00/48/738200048.db2.gz CKNNCGYEIPLVCL-WDEREUQCSA-N -1 1 348.359 -0.136 20 0 EBADMM O=C(N[C@H]1C[C@@H](Nc2ncc(F)cn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001059680827 738226287 /nfs/dbraw/zinc/22/62/87/738226287.db2.gz LVKUDRKQUBUMGS-DTORHVGOSA-N -1 1 344.310 -0.280 20 0 EBADMM CC(=O)NC[C@H]1CC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001060762782 738394250 /nfs/dbraw/zinc/39/42/50/738394250.db2.gz RXLGQCNHOQDLDW-JOCQHMNTSA-N -1 1 336.392 -0.262 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)NCC1(NC(=O)c2cc(=O)n3[n-]cnc3n2)CCC1 ZINC001062434856 738915671 /nfs/dbraw/zinc/91/56/71/738915671.db2.gz DOEDYWZYGRECAJ-ZJUUUORDSA-N -1 1 344.375 -0.158 20 0 EBADMM O=C(CN1CCOCC1)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839332 739002730 /nfs/dbraw/zinc/00/27/30/739002730.db2.gz MLRMMKQKNAEPLC-UHFFFAOYSA-N -1 1 348.403 -0.112 20 0 EBADMM CN1CCOC[C@H]1C(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839118 739002805 /nfs/dbraw/zinc/00/28/05/739002805.db2.gz IKQMXOFEXPSOOG-LBPRGKRZSA-N -1 1 348.403 -0.114 20 0 EBADMM CCCC(=O)NC1(CNC(=O)CCn2cc[n-]c(=O)c2=O)CCC1 ZINC001063650682 739172250 /nfs/dbraw/zinc/17/22/50/739172250.db2.gz DMIWXLGEBXHTNC-UHFFFAOYSA-N -1 1 336.392 -0.118 20 0 EBADMM O=C(NC1(CNC(=O)[C@H]2CCNC2=O)CCC1)c1ncccc1[O-] ZINC001063906758 739211027 /nfs/dbraw/zinc/21/10/27/739211027.db2.gz GGIATNYGRUMUEL-JTQLQIEISA-N -1 1 332.360 -0.308 20 0 EBADMM NC(=O)[C@@H]1CC[C@H](C(=O)NCC2(NC(=O)c3cnn[nH]3)CCC2)C1 ZINC001064559924 739298819 /nfs/dbraw/zinc/29/88/19/739298819.db2.gz KPNRUFISDZRDHG-ZJUUUORDSA-N -1 1 334.380 -0.525 20 0 EBADMM C[C@H](NC(N)=O)C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001066084647 739909958 /nfs/dbraw/zinc/90/99/58/739909958.db2.gz CPEHFHREQBTDRB-WDEREUQCSA-N -1 1 349.391 -0.045 20 0 EBADMM CN(CCCN(C)C(=O)c1ncccc1[O-])C(=O)Cn1cncn1 ZINC001067254583 740113748 /nfs/dbraw/zinc/11/37/48/740113748.db2.gz DOFOQZGQELAXRW-UHFFFAOYSA-N -1 1 332.364 -0.001 20 0 EBADMM O=C(NC[C@H]1CN(c2nccnc2F)C[C@H]1O)c1ncccc1[O-] ZINC001067665265 740177531 /nfs/dbraw/zinc/17/75/31/740177531.db2.gz LQGOGYJQKJNTEA-GXSJLCMTSA-N -1 1 333.323 -0.057 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C3(C)CC3)[C@@H](n3ccnn3)C2)nc1=O ZINC001069934414 740624292 /nfs/dbraw/zinc/62/42/92/740624292.db2.gz NYDHZUYWXSQFRT-MNOVXSKESA-N -1 1 346.395 -0.958 20 0 EBADMM NC(=O)CC(=O)NCC1(NC(=O)c2ncccc2[O-])CCOCC1 ZINC001070084935 740690166 /nfs/dbraw/zinc/69/01/66/740690166.db2.gz DAFQUDBNQHFPEQ-UHFFFAOYSA-N -1 1 336.348 -0.942 20 0 EBADMM O=C(NCC1(NC(=O)c2ncccc2[O-])CCOCC1)c1cnon1 ZINC001070086069 740690629 /nfs/dbraw/zinc/69/06/29/740690629.db2.gz DSMLGNAWDQELRP-UHFFFAOYSA-N -1 1 347.331 -0.121 20 0 EBADMM CC[C@@H](C)C(=O)N1C[C@@H](NCc2nc(=O)n(C)[n-]2)[C@@H](n2ccnn2)C1 ZINC001070145719 740718675 /nfs/dbraw/zinc/71/86/75/740718675.db2.gz PLDVXIIFNJRURA-UTUOFQBUSA-N -1 1 348.411 -0.712 20 0 EBADMM C/C=C(/C)C(=O)N1C[C@@H](NCc2nc(=O)n(C)[n-]2)[C@@H](n2ccnn2)C1 ZINC001070170568 740733006 /nfs/dbraw/zinc/73/30/06/740733006.db2.gz OPNOOCMHSMGNMC-JUTKWBCOSA-N -1 1 346.395 -0.792 20 0 EBADMM C[C@@H](CC(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1)NC(N)=O ZINC001070648648 740908746 /nfs/dbraw/zinc/90/87/46/740908746.db2.gz RRKNZXZNNBJMHR-WDEREUQCSA-N -1 1 349.391 -0.045 20 0 EBADMM O=C(CCn1cnnn1)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648675 740908783 /nfs/dbraw/zinc/90/87/83/740908783.db2.gz SMWZLUHIHOQBRP-LLVKDONJSA-N -1 1 345.363 -0.415 20 0 EBADMM C[C@H](O)CN1CCNC(=O)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001070958731 740996855 /nfs/dbraw/zinc/99/68/55/740996855.db2.gz PKXDRKMQOXCHCH-LBPRGKRZSA-N -1 1 336.392 -0.568 20 0 EBADMM COCCC(=O)N[C@@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001071014043 741037088 /nfs/dbraw/zinc/03/70/88/741037088.db2.gz WMLBQPRRJGQQGE-SNVBAGLBSA-N -1 1 348.363 -0.825 20 0 EBADMM CNC(=O)CN1CC[C@]2(C1)CN(C(=O)c1ncccc1[O-])C[C@@H](C)O2 ZINC001071161666 741072198 /nfs/dbraw/zinc/07/21/98/741072198.db2.gz NSBUHHRVPSIJBE-PXAZEXFGSA-N -1 1 348.403 -0.161 20 0 EBADMM O=C(c1ncccc1[O-])N1CCC[C@@H](N2CCN(CCO)CC2=O)C1 ZINC001071262655 741109222 /nfs/dbraw/zinc/10/92/22/741109222.db2.gz PUQKEKRFKFRWFR-CYBMUJFWSA-N -1 1 348.403 -0.472 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2cc[n+]([O-])cc2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071332453 741122673 /nfs/dbraw/zinc/12/26/73/741122673.db2.gz QRIUJJPBDPDOFT-YPMHNXCESA-N -1 1 346.391 -0.475 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC001071362999 741127486 /nfs/dbraw/zinc/12/74/86/741127486.db2.gz FMPZMLUXRBOJQB-QWRGUYRKSA-N -1 1 333.396 -0.077 20 0 EBADMM Cc1nn(C)cc1C(=O)N[C@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071386101 741130723 /nfs/dbraw/zinc/13/07/23/741130723.db2.gz KPZRDLNVBALMFH-PWSUYJOCSA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)Cc2ccon2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071383787 741130989 /nfs/dbraw/zinc/13/09/89/741130989.db2.gz MRMHMJKAUYJMNM-ZYHUDNBSSA-N -1 1 334.380 -0.192 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)Cc2cc[nH]n2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071399072 741132837 /nfs/dbraw/zinc/13/28/37/741132837.db2.gz NRKNJYKWWODKPW-CMPLNLGQSA-N -1 1 333.396 -0.457 20 0 EBADMM Cc1ccc(C(=O)N[C@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001071505397 741148501 /nfs/dbraw/zinc/14/85/01/741148501.db2.gz VOVWWYWLLMISDC-RYUDHWBXSA-N -1 1 345.407 -0.010 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)Cc2ccn(C)n2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071504844 741148523 /nfs/dbraw/zinc/14/85/23/741148523.db2.gz MDNZKPLPWDBUBP-DGCLKSJQSA-N -1 1 347.423 -0.446 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2cnc[nH]c2=O)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071599815 741175705 /nfs/dbraw/zinc/17/57/05/741175705.db2.gz ATXLOGRITPCLPX-NXEZZACHSA-N -1 1 347.379 -0.613 20 0 EBADMM Cc1nc(CN2C[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)CC[C@@H]2C)n[nH]1 ZINC001071646849 741180878 /nfs/dbraw/zinc/18/08/78/741180878.db2.gz BXVRDMZPANNIGN-IONNQARKSA-N -1 1 348.367 -0.508 20 0 EBADMM COC(=O)NCC(=O)N1C[C@@H](C)[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001071715586 741201419 /nfs/dbraw/zinc/20/14/19/741201419.db2.gz YXGVYADOWRXLPS-ZJUUUORDSA-N -1 1 336.348 -0.280 20 0 EBADMM Cc1ccn(CC(=O)N[C@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001071831600 741228381 /nfs/dbraw/zinc/22/83/81/741228381.db2.gz JFJOEOGEMGLOKV-OLZOCXBDSA-N -1 1 347.423 -0.217 20 0 EBADMM Cc1nonc1CC(=O)N[C@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071951390 741263238 /nfs/dbraw/zinc/26/32/38/741263238.db2.gz XNEUMINOBZSIQG-ONGXEEELSA-N -1 1 349.395 -0.488 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)Cc2cncn2C)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071987734 741276367 /nfs/dbraw/zinc/27/63/67/741276367.db2.gz FNWJGDJHCZBJIS-VXGBXAGGSA-N -1 1 347.423 -0.446 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)Cn2ccnc2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001072123306 741309748 /nfs/dbraw/zinc/30/97/48/741309748.db2.gz QUIACKVXIIAQQA-NEPJUHHUSA-N -1 1 333.396 -0.526 20 0 EBADMM CCO[C@@H]1C[C@H]1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072493505 741416246 /nfs/dbraw/zinc/41/62/46/741416246.db2.gz XMXGFZHWKLMZRE-VXGBXAGGSA-N -1 1 335.408 -0.432 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC3(C2)CCN([C@H]2CCC(=O)NC2=O)C3)c1[O-] ZINC001072625555 741446187 /nfs/dbraw/zinc/44/61/87/741446187.db2.gz PSJQTKPTMJMBAM-JTQLQIEISA-N -1 1 347.375 -0.623 20 0 EBADMM Cn1nnnc1CN1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001072653512 741453673 /nfs/dbraw/zinc/45/36/73/741453673.db2.gz FRFSONVPZAAVQD-UHFFFAOYSA-N -1 1 329.364 -0.341 20 0 EBADMM Cc1cc(C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)cnn1 ZINC001072666104 741456498 /nfs/dbraw/zinc/45/64/98/741456498.db2.gz WZLBTCBDQYAILV-UHFFFAOYSA-N -1 1 343.391 -0.445 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)Cc4cncnc4)C3)C2)nc1=O ZINC001072670118 741457525 /nfs/dbraw/zinc/45/75/25/741457525.db2.gz MDKPGJFMCDUPSB-UHFFFAOYSA-N -1 1 343.391 -0.825 20 0 EBADMM Cc1ncc(CC(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)o1 ZINC001072810784 741491619 /nfs/dbraw/zinc/49/16/19/741491619.db2.gz AZZBCLLUEMJFPM-UHFFFAOYSA-N -1 1 346.391 -0.318 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)CO1 ZINC001072871430 741509250 /nfs/dbraw/zinc/50/92/50/741509250.db2.gz IIAIGXNJEFZXNL-VXGBXAGGSA-N -1 1 335.408 -0.432 20 0 EBADMM CC1(NC(=O)Cn2ncnn2)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001072967985 741528822 /nfs/dbraw/zinc/52/88/22/741528822.db2.gz SPIFHRHBBYAXHH-UHFFFAOYSA-N -1 1 345.363 -0.415 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)N1CC2(C1)CCN(CCF)C2 ZINC001073065081 741552500 /nfs/dbraw/zinc/55/25/00/741552500.db2.gz WQHHEYJWLKKJRO-UHFFFAOYSA-N -1 1 334.355 -0.425 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)Cc4ccc[nH]4)C3)C2)nc1=O ZINC001073443504 741614801 /nfs/dbraw/zinc/61/48/01/741614801.db2.gz RVPUIRDQPJKBAI-UHFFFAOYSA-N -1 1 330.392 -0.287 20 0 EBADMM CC(=O)NCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001073656918 741699057 /nfs/dbraw/zinc/69/90/57/741699057.db2.gz WRRQKGAIKGKBFM-JQWIXIFHSA-N -1 1 334.376 -0.110 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@H](CNC(=O)[C@H]3CC34CC4)C2)nc1=O ZINC001073788704 741743478 /nfs/dbraw/zinc/74/34/78/741743478.db2.gz OXQOACMWKFQHDE-VXGBXAGGSA-N -1 1 335.408 -0.384 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001073899683 741780930 /nfs/dbraw/zinc/78/09/30/741780930.db2.gz ABVWRJKCPAYKSB-JQWIXIFHSA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@H]1CN(C(=O)CCNC(N)=O)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC001073901245 741782615 /nfs/dbraw/zinc/78/26/15/741782615.db2.gz UDVIMBNBRRSLSX-WDEREUQCSA-N -1 1 349.391 -0.188 20 0 EBADMM CNC(=O)CC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001073910550 741786611 /nfs/dbraw/zinc/78/66/11/741786611.db2.gz WHXREMOCZYTDPQ-GHMZBOCLSA-N -1 1 334.376 -0.110 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H]3CCN(C(=O)C(C)(C)F)C[C@H]32)nc1=O ZINC001074131650 741837953 /nfs/dbraw/zinc/83/79/53/741837953.db2.gz OSCFDTCTTSEDBA-MNOVXSKESA-N -1 1 341.387 -0.342 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H]3CCN(C(=O)c4ccco4)C[C@@H]32)nc1=O ZINC001074144374 741839580 /nfs/dbraw/zinc/83/95/80/741839580.db2.gz OZZYSMPHGXZQSY-NWDGAFQWSA-N -1 1 347.375 -0.183 20 0 EBADMM Cc1cc(C(=O)N2CC[C@H]3OCCN(Cc4n[nH]c(=O)[n-]4)[C@@H]3C2)n[nH]1 ZINC001074188208 741855099 /nfs/dbraw/zinc/85/50/99/741855099.db2.gz PBVPTFZMVYFLAM-VXGBXAGGSA-N -1 1 347.379 -0.343 20 0 EBADMM CC(C)CN1CCO[C@H]2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)C[C@H]21 ZINC001074392963 741925566 /nfs/dbraw/zinc/92/55/66/741925566.db2.gz SSGSFUHOBXOYCA-NEPJUHHUSA-N -1 1 339.396 -0.353 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CO[C@@H]1CCOC1 ZINC001074650715 742047202 /nfs/dbraw/zinc/04/72/02/742047202.db2.gz SMFHMFOGBRFPKD-AXFHLTTASA-N -1 1 325.369 -0.605 20 0 EBADMM CCN1C[C@@H](C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)CC1=O ZINC001074675674 742060853 /nfs/dbraw/zinc/06/08/53/742060853.db2.gz FWLMNDZWRFLCRU-DCAQKATOSA-N -1 1 336.396 -0.542 20 0 EBADMM C[C@@H](C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C)S(C)(=O)=O ZINC001074712319 742083029 /nfs/dbraw/zinc/08/30/29/742083029.db2.gz YEAWDVVAZWJYKF-CIUDSAMLSA-N -1 1 331.398 -0.978 20 0 EBADMM CC(=O)N1CCC[C@H]1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001075056609 742170086 /nfs/dbraw/zinc/17/00/86/742170086.db2.gz MFNZFOGZTPGYDN-DLOVCJGASA-N -1 1 336.396 -0.400 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@H]1CCc2nncn2C1 ZINC001075126181 742184634 /nfs/dbraw/zinc/18/46/34/742184634.db2.gz KXSIUDNJSVEKPZ-DCAQKATOSA-N -1 1 346.395 -0.557 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)c4ccc(F)nc4)[C@@H]3C2)nc1=O ZINC001075622352 742262241 /nfs/dbraw/zinc/26/22/41/742262241.db2.gz RCAWOBRMOAPILW-NWDGAFQWSA-N -1 1 346.366 -0.011 20 0 EBADMM Cc1cc(CC(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)no1 ZINC001075626987 742262851 /nfs/dbraw/zinc/26/28/51/742262851.db2.gz AWYNWSQQKQYTLZ-WCQYABFASA-N -1 1 346.391 -0.320 20 0 EBADMM Cc1nc(C(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)co1 ZINC001075711634 742279764 /nfs/dbraw/zinc/27/97/64/742279764.db2.gz CPBJUAIGXVADGB-CMPLNLGQSA-N -1 1 332.364 -0.249 20 0 EBADMM CO[C@@H](C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)C1CC1 ZINC001075745231 742287415 /nfs/dbraw/zinc/28/74/15/742287415.db2.gz ALMMAEZHASVSBD-OUCADQQQSA-N -1 1 335.408 -0.434 20 0 EBADMM C[C@@H](CCNC(=O)[C@@H]1CCNC(=O)C1)NC(=O)c1ncccc1[O-] ZINC001076135865 742383927 /nfs/dbraw/zinc/38/39/27/742383927.db2.gz OBFQULQXVXPZQX-WDEREUQCSA-N -1 1 334.376 -0.062 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CCNC(=O)C1 ZINC001076244127 742418961 /nfs/dbraw/zinc/41/89/61/742418961.db2.gz JGLXCTWLLGGQOH-QWRGUYRKSA-N -1 1 334.376 -0.062 20 0 EBADMM CNC(=O)NCCC(=O)N[C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001076244814 742418972 /nfs/dbraw/zinc/41/89/72/742418972.db2.gz AVZGSTQMWJOFSZ-JTQLQIEISA-N -1 1 337.380 -0.269 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)c1ccc(=O)n(C)n1 ZINC001076245258 742419180 /nfs/dbraw/zinc/41/91/80/742419180.db2.gz VBVOHNWSXYPZIV-JTQLQIEISA-N -1 1 345.359 -0.181 20 0 EBADMM COCCN1C[C@@H]2CCN(C(=O)Cn3[n-]cc4c(=O)ncnc3-4)[C@@H]2C1 ZINC001076370089 742450952 /nfs/dbraw/zinc/45/09/52/742450952.db2.gz AWWVQWVOEKMCAE-WCQYABFASA-N -1 1 346.391 -0.750 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)[C@H]3[C@@H]4CCCC[C@@H]43)C2)nc1=O ZINC001076843296 742599166 /nfs/dbraw/zinc/59/91/66/742599166.db2.gz DLDOYTOSJZAEOY-OJROKELRSA-N -1 1 335.408 -0.794 20 0 EBADMM CCc1nnc(CN2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)o1 ZINC001076917034 742641842 /nfs/dbraw/zinc/64/18/42/742641842.db2.gz NNSHXGXLXZZRJF-MWLCHTKSSA-N -1 1 333.348 -0.292 20 0 EBADMM CC(C)n1ncnc1CN1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001076916911 742641884 /nfs/dbraw/zinc/64/18/84/742641884.db2.gz IBHXNDNUNZWDRC-DGCLKSJQSA-N -1 1 346.391 -0.065 20 0 EBADMM COCc1noc(CN2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001076916375 742642108 /nfs/dbraw/zinc/64/21/08/742642108.db2.gz IALIHNMQUWUHQM-MWLCHTKSSA-N -1 1 349.347 -0.708 20 0 EBADMM CCc1occc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001076940859 742655855 /nfs/dbraw/zinc/65/58/55/742655855.db2.gz GAQOATWJMITNAB-GHMZBOCLSA-N -1 1 335.364 -0.761 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)[C@@H]3CCCC4(CC4)C3)C2)nc1=O ZINC001076993624 742684008 /nfs/dbraw/zinc/68/40/08/742684008.db2.gz RSZKNRVQUODPSL-JHJVBQTASA-N -1 1 349.435 -0.260 20 0 EBADMM Cc1cc(C)c(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)[nH]1 ZINC001077239907 742805487 /nfs/dbraw/zinc/80/54/87/742805487.db2.gz WCNSNOXMJQPVDB-GHMZBOCLSA-N -1 1 334.380 -0.972 20 0 EBADMM C[C@@H](CCNC(=O)[C@H](C)S(C)(=O)=O)NC(=O)c1ncccc1[O-] ZINC001077262442 742818944 /nfs/dbraw/zinc/81/89/44/742818944.db2.gz KQFDARVBQFLRFH-UWVGGRQHSA-N -1 1 343.405 -0.155 20 0 EBADMM C[C@@H](CCNC(=O)[C@H]1CCC(=O)NC1)NC(=O)c1ncccc1[O-] ZINC001077283239 742836918 /nfs/dbraw/zinc/83/69/18/742836918.db2.gz TVWJLVMCKJHPDV-QWRGUYRKSA-N -1 1 334.376 -0.062 20 0 EBADMM O=C(N[C@@H]1CN(Cc2ccccc2)C[C@H]1O)c1n[nH]c(=O)[n-]c1=O ZINC001077310114 742873687 /nfs/dbraw/zinc/87/36/87/742873687.db2.gz XEYXQRCQHYPQRB-GHMZBOCLSA-N -1 1 331.332 -0.742 20 0 EBADMM Cc1ccccc1CN1C[C@@H](O)[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001077309692 742873778 /nfs/dbraw/zinc/87/37/78/742873778.db2.gz AWRGXVBZWSQOCX-VXGBXAGGSA-N -1 1 345.359 -0.434 20 0 EBADMM C[C@@H](CCNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)[C@@H]1C[C@H]1C ZINC001077402589 742955815 /nfs/dbraw/zinc/95/58/15/742955815.db2.gz UGWNRLSRCFFPLU-GRYCIOLGSA-N -1 1 336.392 -0.406 20 0 EBADMM C[C@@H](CCNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)c1cocn1 ZINC001077402736 742956985 /nfs/dbraw/zinc/95/69/85/742956985.db2.gz ZXEJGNHZQVRHIK-JTQLQIEISA-N -1 1 349.347 -0.761 20 0 EBADMM C[C@@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)c1ccco1 ZINC001077487459 743011560 /nfs/dbraw/zinc/01/15/60/743011560.db2.gz UYLAUIIILPCGAS-VIFPVBQESA-N -1 1 344.331 -0.051 20 0 EBADMM C[C@@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)[C@H]1C[C@@H]1C ZINC001077488437 743012977 /nfs/dbraw/zinc/01/29/77/743012977.db2.gz QWQBNELNKHJUQT-GUBZILKMSA-N -1 1 332.364 -0.302 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)[C@@H]3Cc4ccccc43)C2)nc1=O ZINC001077600763 743113366 /nfs/dbraw/zinc/11/33/66/743113366.db2.gz WRWBOWCLZSSSKU-MGPQQGTHSA-N -1 1 343.387 -0.890 20 0 EBADMM Cc1ccc([C@H](C)C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)o1 ZINC001077800265 743256529 /nfs/dbraw/zinc/25/65/29/743256529.db2.gz WKORFHSMMXCRCH-QJPTWQEYSA-N -1 1 349.391 -0.525 20 0 EBADMM C[C@@H](CCNC(=O)[C@@H]1CCN(C)C1=O)NC(=O)c1ncccc1[O-] ZINC001077908703 743342462 /nfs/dbraw/zinc/34/24/62/743342462.db2.gz VXWPRVIRUXVTRZ-QWRGUYRKSA-N -1 1 334.376 -0.110 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)[C@H]3CC4CCC3CC4)C2)nc1=O ZINC001078236372 743549610 /nfs/dbraw/zinc/54/96/10/743549610.db2.gz HAFSBICBEBLIRZ-PNKMZZHYSA-N -1 1 349.435 -0.404 20 0 EBADMM O=C(C[C@H]1CCCO1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078343811 743592146 /nfs/dbraw/zinc/59/21/46/743592146.db2.gz BTJSFLZKNWUCIR-NEPJUHHUSA-N -1 1 339.396 -0.214 20 0 EBADMM Cc1cc(CC(=O)N2CCCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC001078375416 743613979 /nfs/dbraw/zinc/61/39/79/743613979.db2.gz LLCBPCYSCDFPPV-LBPRGKRZSA-N -1 1 349.395 -0.508 20 0 EBADMM CC(C)[C@@H](O)C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078394896 743628626 /nfs/dbraw/zinc/62/86/26/743628626.db2.gz NJQYGIBCOYGMEV-ZYHUDNBSSA-N -1 1 327.385 -0.766 20 0 EBADMM Cc1ccc(C(=O)N2CCCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)nn1 ZINC001078400933 743631684 /nfs/dbraw/zinc/63/16/84/743631684.db2.gz SGONXHFXELXDMT-LLVKDONJSA-N -1 1 347.379 -0.370 20 0 EBADMM Cc1cn(C)nc1C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078400890 743631720 /nfs/dbraw/zinc/63/17/20/743631720.db2.gz RLNVEUAKOXUDFN-NSHDSACASA-N -1 1 349.395 -0.427 20 0 EBADMM O=C(c1ccns1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078409641 743635243 /nfs/dbraw/zinc/63/52/43/743635243.db2.gz FGSRYKFTJZYSQN-SECBINFHSA-N -1 1 338.393 -0.012 20 0 EBADMM O=C(N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1)[C@]1(F)CCOC1 ZINC001078455620 743656641 /nfs/dbraw/zinc/65/66/41/743656641.db2.gz QSOMSJHVWMVEKI-YGRLFVJLSA-N -1 1 343.359 -0.654 20 0 EBADMM O=C(c1ccnnc1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078476557 743666498 /nfs/dbraw/zinc/66/64/98/743666498.db2.gz BMGFETDQCZUZMR-LLVKDONJSA-N -1 1 333.352 -0.679 20 0 EBADMM O=C(c1ccc(=O)[nH]c1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078504015 743682562 /nfs/dbraw/zinc/68/25/62/743682562.db2.gz GQUVLQPKKWOWKU-LLVKDONJSA-N -1 1 348.363 -0.368 20 0 EBADMM O=C(N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2)C1=COCCO1 ZINC001078544741 743690624 /nfs/dbraw/zinc/69/06/24/743690624.db2.gz AALFJHCXDOYGBJ-QWRGUYRKSA-N -1 1 335.364 -0.085 20 0 EBADMM CCn1ncc(C(=O)N[C@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)n1 ZINC001078620211 743709532 /nfs/dbraw/zinc/70/95/32/743709532.db2.gz VGBOYIVKSRQSSA-MNOVXSKESA-N -1 1 346.395 -0.048 20 0 EBADMM Cn1cnc(C(=O)N[C@@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)n1 ZINC001078620119 743709599 /nfs/dbraw/zinc/70/95/99/743709599.db2.gz SZSLWZKEMFBHTR-DTWKUNHWSA-N -1 1 332.368 -0.530 20 0 EBADMM O=C(Cn1nccn1)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078637096 743713500 /nfs/dbraw/zinc/71/35/00/743713500.db2.gz JDPYZFDZXKPGBX-NXEZZACHSA-N -1 1 332.368 -0.681 20 0 EBADMM O=C(Cn1nccn1)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078637097 743713795 /nfs/dbraw/zinc/71/37/95/743713795.db2.gz JDPYZFDZXKPGBX-UWVGGRQHSA-N -1 1 332.368 -0.681 20 0 EBADMM Cn1cc(C(=O)N[C@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)[nH]c1=O ZINC001078721309 743724651 /nfs/dbraw/zinc/72/46/51/743724651.db2.gz ZQIUWISAOOCFRN-ZJUUUORDSA-N -1 1 347.379 -0.220 20 0 EBADMM O=C(Cn1ccnc1)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078830432 743740733 /nfs/dbraw/zinc/74/07/33/743740733.db2.gz YZNNIGHLTCZZCS-WDEREUQCSA-N -1 1 331.380 -0.076 20 0 EBADMM CN1C[C@@H](C(=O)NC[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)NC1=O ZINC000980109687 805740693 /nfs/dbraw/zinc/74/06/93/805740693.db2.gz HSXRIKSHRUBEHI-DCAQKATOSA-N -1 1 347.375 -0.565 20 0 EBADMM CN1C[C@H](C(=O)NC[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)NC1=O ZINC000980109692 805740977 /nfs/dbraw/zinc/74/09/77/805740977.db2.gz HSXRIKSHRUBEHI-GMTAPVOTSA-N -1 1 347.375 -0.565 20 0 EBADMM COc1cccnc1C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000980161150 805772777 /nfs/dbraw/zinc/77/27/77/805772777.db2.gz XJKNUBUVOQTMGT-NSHDSACASA-N -1 1 346.391 -0.142 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)C[C@@H]2CCCOC2)C1 ZINC000980419374 805887103 /nfs/dbraw/zinc/88/71/03/805887103.db2.gz SWXYZOCXHAZPQS-QWHCGFSZSA-N -1 1 337.424 -0.042 20 0 EBADMM C[C@@H](C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1)c1cnn(C)c1 ZINC000980583774 805953249 /nfs/dbraw/zinc/95/32/49/805953249.db2.gz QYVIKZFTVXBBPG-DGCLKSJQSA-N -1 1 347.423 -0.322 20 0 EBADMM C[C@H]1C[C@H](CNCc2cnn(C)n2)CN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000980642761 805973507 /nfs/dbraw/zinc/97/35/07/805973507.db2.gz UAKGCBCKNOKQFZ-DTWKUNHWSA-N -1 1 348.367 -0.948 20 0 EBADMM CCc1cc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)[nH]n1 ZINC000980723636 806000547 /nfs/dbraw/zinc/00/05/47/806000547.db2.gz NNIMMDRKBQJCIM-UHFFFAOYSA-N -1 1 333.396 -0.258 20 0 EBADMM O=C(CN1CCCN(C(=O)c2ncccc2[O-])CC1)N1CCOCC1 ZINC000981027134 806063299 /nfs/dbraw/zinc/06/32/99/806063299.db2.gz RHVXNEGHALEHFU-UHFFFAOYSA-N -1 1 348.403 -0.206 20 0 EBADMM O=C(c1ncccc1[O-])N1CCCN(CCN2CCNC2=O)CC1 ZINC000981027516 806063451 /nfs/dbraw/zinc/06/34/51/806063451.db2.gz ZHAZRHBPLOGUGH-UHFFFAOYSA-N -1 1 333.392 -0.040 20 0 EBADMM CO[C@H]1CCC[C@H]1C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000981487181 806181970 /nfs/dbraw/zinc/18/19/70/806181970.db2.gz QBYTXZCWRAVXIW-OLZOCXBDSA-N -1 1 337.424 -0.042 20 0 EBADMM COc1cc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)ccn1 ZINC000981525204 806192221 /nfs/dbraw/zinc/19/22/21/806192221.db2.gz LXKMKHYWGQGECW-UHFFFAOYSA-N -1 1 346.391 -0.140 20 0 EBADMM CCO[C@H]1C[C@@H]1C(=O)N1CC2(C1)CCCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC000981640698 806221771 /nfs/dbraw/zinc/22/17/71/806221771.db2.gz JLLNYDXNCPJOPO-STQMWFEESA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)c4cnco4)C3)C2)nc1=O ZINC000981923973 806324659 /nfs/dbraw/zinc/32/46/59/806324659.db2.gz OYAHWSMMUYLUNH-UHFFFAOYSA-N -1 1 332.364 -0.165 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)c4csnn4)C3)C2)nc1=O ZINC000981920158 806325777 /nfs/dbraw/zinc/32/57/77/806325777.db2.gz BFYNBHPZAOMURD-UHFFFAOYSA-N -1 1 349.420 -0.302 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)c4ccnnc4)C3)C2)nc1=O ZINC000982035028 806377139 /nfs/dbraw/zinc/37/71/39/806377139.db2.gz VZPFUVHMRVUWTR-UHFFFAOYSA-N -1 1 343.391 -0.363 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000982238070 806430720 /nfs/dbraw/zinc/43/07/20/806430720.db2.gz SFLLPAMHKSDVFN-UHFFFAOYSA-N -1 1 333.396 -0.204 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)[C@H]2CCNC(=O)C2)C1)c1ncccc1[O-] ZINC000982633621 806597451 /nfs/dbraw/zinc/59/74/51/806597451.db2.gz OBCFBFUXGISZEE-RYUDHWBXSA-N -1 1 346.387 -0.108 20 0 EBADMM O=C(CN1CN=NC1=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982666923 806622437 /nfs/dbraw/zinc/62/24/37/806622437.db2.gz GXVPMUPIWBZGHH-JTQLQIEISA-N -1 1 346.347 -0.637 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1COC(=O)N1 ZINC000982672035 806628766 /nfs/dbraw/zinc/62/87/66/806628766.db2.gz URYWTIHMHLCZGZ-ZJUUUORDSA-N -1 1 334.332 -0.526 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1COC(=O)N1 ZINC000982672031 806628862 /nfs/dbraw/zinc/62/88/62/806628862.db2.gz URYWTIHMHLCZGZ-NXEZZACHSA-N -1 1 334.332 -0.526 20 0 EBADMM Cn1nnc(C(=O)NC[C@H]2CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC000982675179 806631164 /nfs/dbraw/zinc/63/11/64/806631164.db2.gz JTCXVYUOFYAFPH-SECBINFHSA-N -1 1 331.336 -0.797 20 0 EBADMM CN1C(=O)CC[C@@H]1C(=O)NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000982676436 806631355 /nfs/dbraw/zinc/63/13/55/806631355.db2.gz SASXBMIEEWDTGV-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM CN1C(=O)CC[C@@H]1C(=O)NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000982676438 806631491 /nfs/dbraw/zinc/63/14/91/806631491.db2.gz SASXBMIEEWDTGV-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)[C@@H]2CNC(=O)N2)C1)c1ncccc1[O-] ZINC000983197051 806937136 /nfs/dbraw/zinc/93/71/36/806937136.db2.gz GWLRICAUPSFKCD-UWVGGRQHSA-N -1 1 333.348 -0.953 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)[C@@H]2CNC(=O)N2)C1)c1ncccc1[O-] ZINC000983197053 806937218 /nfs/dbraw/zinc/93/72/18/806937218.db2.gz GWLRICAUPSFKCD-ZJUUUORDSA-N -1 1 333.348 -0.953 20 0 EBADMM NC(=O)[C@H]1CCCN(c2ccc(C(=O)NN3CC(=O)[N-]C3=O)cc2)C1 ZINC000053762189 803747885 /nfs/dbraw/zinc/74/78/85/803747885.db2.gz UTIZSXYVLMPPPI-NSHDSACASA-N -1 1 345.359 -0.415 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-][C@H]2CCN(S(C)(=O)=O)C2)c1Cl ZINC000400193689 803776683 /nfs/dbraw/zinc/77/66/83/803776683.db2.gz NIINQCPDUOIOCK-ZETCQYMHSA-N -1 1 342.830 -0.614 20 0 EBADMM C[C@H]1C[C@H]1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000976858811 803909762 /nfs/dbraw/zinc/90/97/62/803909762.db2.gz JQEBBVGWEGXOKY-TURJHENQSA-N -1 1 342.359 -0.740 20 0 EBADMM CC[C@H](F)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000976858871 803909881 /nfs/dbraw/zinc/90/98/81/803909881.db2.gz KONCPOXHPSZASY-KIKITERTSA-N -1 1 348.338 -0.648 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1[C@H]2CN(C(=O)C3(C)CC3)C[C@H]21 ZINC000976862100 803915448 /nfs/dbraw/zinc/91/54/48/803915448.db2.gz NVPNLEMESFOQTF-BRPSZJMVSA-N -1 1 348.359 -0.117 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H]1CN(C)C(=O)C1CC1 ZINC000977247712 804253568 /nfs/dbraw/zinc/25/35/68/804253568.db2.gz QMZVVPJYARIWNG-SECBINFHSA-N -1 1 336.348 -0.021 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1)C(=O)C1CCC1 ZINC000977266483 804261173 /nfs/dbraw/zinc/26/11/73/804261173.db2.gz XZAAMUABVOUBNX-NSHDSACASA-N -1 1 344.375 -0.109 20 0 EBADMM CN(C[C@H]1CCN1C(=O)Cn1cncn1)C(=O)c1ncccc1[O-] ZINC000977596064 804404123 /nfs/dbraw/zinc/40/41/23/804404123.db2.gz AOIOCXDKZHRRGT-LLVKDONJSA-N -1 1 330.348 -0.248 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ncn(C)n1)C(=O)c1ncccc1[O-] ZINC000977622067 804418797 /nfs/dbraw/zinc/41/87/97/804418797.db2.gz VPKJRYOKRKXMEO-JTQLQIEISA-N -1 1 330.348 -0.098 20 0 EBADMM CN(C[C@H]1CCN1C(=O)[C@@H]1CN(C)C(=O)N1)C(=O)c1ncccc1[O-] ZINC000977622035 804418970 /nfs/dbraw/zinc/41/89/70/804418970.db2.gz VPAGAUFMUBYUND-MNOVXSKESA-N -1 1 347.375 -0.516 20 0 EBADMM CN(C[C@H]1CCN1C(=O)[C@@H]1CCC(=O)NC1)C(=O)c1ncccc1[O-] ZINC000977623766 804420042 /nfs/dbraw/zinc/42/00/42/804420042.db2.gz ZQMOWALHFDAPMT-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@@H]1COC(=O)N1 ZINC000977660677 804449342 /nfs/dbraw/zinc/44/93/42/804449342.db2.gz VIQMPKQDXHUEMP-UWVGGRQHSA-N -1 1 334.332 -0.432 20 0 EBADMM COCC(=O)N1CC[C@H]1CN(C)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000978055294 804636621 /nfs/dbraw/zinc/63/66/21/804636621.db2.gz PFPOLKBPOZCESR-QMMMGPOBSA-N -1 1 340.336 -0.784 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)C1(C)CC1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000978055644 804636739 /nfs/dbraw/zinc/63/67/39/804636739.db2.gz QMPDWLKXAKSMGT-JTQLQIEISA-N -1 1 344.375 -0.109 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@H]1CN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000978058849 804638265 /nfs/dbraw/zinc/63/82/65/804638265.db2.gz VICLCJHULVBIMS-OUAUKWLOSA-N -1 1 344.375 -0.254 20 0 EBADMM O=C(NC[C@H]1C[C@@H](NC(=O)[C@@H]2CCCNC2=O)C1)c1ncccc1[O-] ZINC000978741963 804954196 /nfs/dbraw/zinc/95/41/96/804954196.db2.gz VZGINNJQSWMVHC-GRYCIOLGSA-N -1 1 346.387 -0.062 20 0 EBADMM NC(=O)[C@@H]1CC[C@@H]1C(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000978751524 804958076 /nfs/dbraw/zinc/95/80/76/804958076.db2.gz DGTGUCNZXAVYCY-KXNHARMFSA-N -1 1 346.387 -0.077 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)no1 ZINC000979201581 805206977 /nfs/dbraw/zinc/20/69/77/805206977.db2.gz OJJGFBGVGWWOEF-MWLCHTKSSA-N -1 1 336.352 -0.174 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)Cc2ccon2)CCO1 ZINC000979232091 805224724 /nfs/dbraw/zinc/22/47/24/805224724.db2.gz SOFKISBYKYYAIQ-MWLCHTKSSA-N -1 1 336.352 -0.554 20 0 EBADMM CCn1cc(C(=O)N2CCO[C@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC000979241670 805233486 /nfs/dbraw/zinc/23/34/86/805233486.db2.gz MBJPWIZNKQYMJF-PWSUYJOCSA-N -1 1 349.395 -0.254 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2cnsn2)CCO1 ZINC000979259089 805245168 /nfs/dbraw/zinc/24/51/68/805245168.db2.gz RXAWWRHBQNJLEI-APPZFPTMSA-N -1 1 339.381 -0.619 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2cncnc2)CCO1 ZINC000979283264 805260849 /nfs/dbraw/zinc/26/08/49/805260849.db2.gz XVMXWEXJKYKEDM-ONGXEEELSA-N -1 1 333.352 -0.680 20 0 EBADMM Cc1ccnc(C(=O)N2CCO[C@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000979303825 805278759 /nfs/dbraw/zinc/27/87/59/805278759.db2.gz QDDZUTVETKAXLV-QWRGUYRKSA-N -1 1 347.379 -0.372 20 0 EBADMM Cc1c[nH]nc1C(=O)N1CCO[C@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979330696 805299105 /nfs/dbraw/zinc/29/91/05/805299105.db2.gz JTDJHJNKPZZCRL-ZJUUUORDSA-N -1 1 335.368 -0.439 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2csnn2)CCO1 ZINC000979357217 805321450 /nfs/dbraw/zinc/32/14/50/805321450.db2.gz DWJPJQQWMBORRE-APPZFPTMSA-N -1 1 339.381 -0.619 20 0 EBADMM CC(=O)N1C[C@@H]2CC[C@@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)[C@@H]2C1 ZINC000979558741 805429564 /nfs/dbraw/zinc/42/95/64/805429564.db2.gz FIBBKFDDRAQHLT-HBNTYKKESA-N -1 1 330.348 -0.596 20 0 EBADMM Cc1nc(CC(=O)N[C@H]2C[C@H](CNC(=O)c3[nH]nc(C)c3[O-])C2)n[nH]1 ZINC000979653244 805467967 /nfs/dbraw/zinc/46/79/67/805467967.db2.gz OIBRYBLIEIXGML-MGCOHNPYSA-N -1 1 347.379 -0.282 20 0 EBADMM NC(=O)C(=O)N[C@H]1C[C@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000979777963 805542915 /nfs/dbraw/zinc/54/29/15/805542915.db2.gz NTGJSZISJNUPED-XWEPSHTISA-N -1 1 333.348 -0.831 20 0 EBADMM O=C(N[C@H]1CCC[C@@H](NC(=O)C2CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000983408351 807013937 /nfs/dbraw/zinc/01/39/37/807013937.db2.gz SXMLXYSVUYMFBE-MNOVXSKESA-N -1 1 344.375 -0.015 20 0 EBADMM NC(=O)C(=O)N[C@@H]1CCC[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000983602060 807053437 /nfs/dbraw/zinc/05/34/37/807053437.db2.gz WQZKVEJLQISESK-VHSXEESVSA-N -1 1 347.375 -0.298 20 0 EBADMM CN(C(=O)[C@H]1COC(=O)N1)[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984116509 807168422 /nfs/dbraw/zinc/16/84/22/807168422.db2.gz UIKHRXDRWLSWMG-GHMZBOCLSA-N -1 1 348.359 -0.041 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCCN(C(=O)Cn2ncnn2)C1 ZINC000984227501 807224093 /nfs/dbraw/zinc/22/40/93/807224093.db2.gz MAKNBIHIAGYHOA-NSHDSACASA-N -1 1 345.363 -0.463 20 0 EBADMM COc1c[nH]c(C(=O)N[C@H]2C[C@H](CNCc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC000984337143 807261293 /nfs/dbraw/zinc/26/12/93/807261293.db2.gz QUGAAGNWRWHXAR-KYZUINATSA-N -1 1 348.363 -0.495 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)C2CC2)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000984388601 807276372 /nfs/dbraw/zinc/27/63/72/807276372.db2.gz RJEBDVZMCAFTER-CMPLNLGQSA-N -1 1 344.375 -0.063 20 0 EBADMM CN(C(=O)c1ccn[nH]1)[C@H]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000984427234 807287070 /nfs/dbraw/zinc/28/70/70/807287070.db2.gz BAJWPMVMTDZLAU-QMMMGPOBSA-N -1 1 347.335 -0.617 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCCN(C(=O)[C@H]2CNC(=O)N2)C1 ZINC000984570513 807338108 /nfs/dbraw/zinc/33/81/08/807338108.db2.gz UQAIQQQHJWPNRG-WDEREUQCSA-N -1 1 347.375 -0.468 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)[C@@H]2COCCO2)CC1 ZINC000985289529 807479828 /nfs/dbraw/zinc/47/98/28/807479828.db2.gz ADDUAWIWIUNGCR-NSHDSACASA-N -1 1 325.369 -0.652 20 0 EBADMM COC(=O)[C@@H]1C[C@@H]1C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC000985327991 807485442 /nfs/dbraw/zinc/48/54/42/807485442.db2.gz PIVKSLUCHBGTGY-WDEREUQCSA-N -1 1 337.380 -0.258 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)CN2CCOCC2)CC1 ZINC000985385897 807501830 /nfs/dbraw/zinc/50/18/30/807501830.db2.gz NFWRBTDXLONEEM-UHFFFAOYSA-N -1 1 338.412 -0.735 20 0 EBADMM COc1nn(C)cc1C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC000985568808 807536910 /nfs/dbraw/zinc/53/69/10/807536910.db2.gz NVZVTEKXSDLHMM-UHFFFAOYSA-N -1 1 349.395 -0.011 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)[C@@]2(C)CCNC2=O)CC1 ZINC000985645944 807555447 /nfs/dbraw/zinc/55/54/47/807555447.db2.gz MQHAYLZABNALEO-HNNXBMFYSA-N -1 1 336.396 -0.541 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1nnn(C(C)(C)C)n1 ZINC000986293427 807668779 /nfs/dbraw/zinc/66/87/79/807668779.db2.gz JKGDGHVMQPSARZ-IUCAKERBSA-N -1 1 349.399 -0.355 20 0 EBADMM COc1cc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)ncn1 ZINC000986297821 807670013 /nfs/dbraw/zinc/67/00/13/807670013.db2.gz GTVLXKBKZODUJU-DTWKUNHWSA-N -1 1 333.352 -0.298 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccnc2ccnn21 ZINC000986359269 807684766 /nfs/dbraw/zinc/68/47/66/807684766.db2.gz NYGDWOUQXKXKOI-VHSXEESVSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnc2n[nH]nc2c1 ZINC000986360440 807686252 /nfs/dbraw/zinc/68/62/52/807686252.db2.gz XMUYNWDLNTXBBC-CBAPKCEASA-N -1 1 343.351 -0.431 20 0 EBADMM Cc1nn(C)cc1CC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000986367567 807687504 /nfs/dbraw/zinc/68/75/04/807687504.db2.gz ZKIZKARFHZAKLK-CMPLNLGQSA-N -1 1 333.396 -0.126 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc(=O)n(C)c1 ZINC000986476057 807712299 /nfs/dbraw/zinc/71/22/99/807712299.db2.gz CROQCBWYKFPRNS-MWLCHTKSSA-N -1 1 332.364 -0.398 20 0 EBADMM Cc1nocc1CN[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)[C@@H]1C ZINC000986487867 807717211 /nfs/dbraw/zinc/71/72/11/807717211.db2.gz MCSPZIDIIHGASM-SCZZXKLOSA-N -1 1 334.336 -0.028 20 0 EBADMM C[C@H]1[C@H](NCc2nccn2C)CCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000986490793 807719992 /nfs/dbraw/zinc/71/99/92/807719992.db2.gz YBJKNWNMMAJGQV-DTWKUNHWSA-N -1 1 333.352 -0.591 20 0 EBADMM COc1coc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)cc1=O ZINC000986559398 807734856 /nfs/dbraw/zinc/73/48/56/807734856.db2.gz HYMFXYUHUPKRJR-DTWKUNHWSA-N -1 1 349.347 -0.135 20 0 EBADMM Cc1noc(CCC(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)n1 ZINC000986636251 807754172 /nfs/dbraw/zinc/75/41/72/807754172.db2.gz RNRWGFDICJURLH-WPRPVWTQSA-N -1 1 335.368 -0.086 20 0 EBADMM COc1nn(C)cc1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000986662116 807759130 /nfs/dbraw/zinc/75/91/30/807759130.db2.gz HIPZHFIROJXWLD-WPRPVWTQSA-N -1 1 335.368 -0.355 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc2nncn2c1 ZINC000987177641 807858901 /nfs/dbraw/zinc/85/89/01/807858901.db2.gz FULKCCHQEHDDSP-KOLCDFICSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cn(CC2CC2)nn1 ZINC000987282635 807883674 /nfs/dbraw/zinc/88/36/74/807883674.db2.gz YEAHLPRYWZYMKO-MWLCHTKSSA-N -1 1 346.395 -0.095 20 0 EBADMM Cc1cc(=O)c(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)c[nH]1 ZINC000987297239 807884663 /nfs/dbraw/zinc/88/46/63/807884663.db2.gz VJNPISSWMIYZGZ-KOLCDFICSA-N -1 1 332.364 -0.100 20 0 EBADMM Cc1ccc(=O)n(CC(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)n1 ZINC000987383713 807906792 /nfs/dbraw/zinc/90/67/92/807906792.db2.gz HQTULCYWPGARLS-QWRGUYRKSA-N -1 1 347.379 -0.845 20 0 EBADMM C[C@H]1[C@@H](NCc2nccs2)CCN1C(=O)Cn1c(=O)[n-][nH]c1=O ZINC000987423852 807916142 /nfs/dbraw/zinc/91/61/42/807916142.db2.gz XZLGPYHMOANHHD-IUCAKERBSA-N -1 1 338.393 -0.075 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)Cn1cncn1 ZINC000987681565 807989840 /nfs/dbraw/zinc/98/98/40/807989840.db2.gz RUGVTFBCEXAJSX-MNOVXSKESA-N -1 1 330.348 -0.202 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@H]1CN(C)C(=O)N1 ZINC000987689197 807991403 /nfs/dbraw/zinc/99/14/03/807991403.db2.gz UQRPMLQIUHBDGX-OUAUKWLOSA-N -1 1 347.375 -0.470 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)Cn2c(=O)[n-][nH]c2=O)C1)C(=O)c1ccn[nH]1 ZINC000988174479 808136274 /nfs/dbraw/zinc/13/62/74/808136274.db2.gz VESZVZBGEIZJRS-VIFPVBQESA-N -1 1 349.351 -0.967 20 0 EBADMM CN(C[C@H]1CCN(C(=O)C2(C(N)=O)CC2)C1)C(=O)c1ncccc1[O-] ZINC000988281765 808186352 /nfs/dbraw/zinc/18/63/52/808186352.db2.gz SXJLRCKUHIOPBD-LLVKDONJSA-N -1 1 346.387 -0.027 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)[C@H]2CC(=O)N(C)C2)CCN1C(=O)c1ncccc1[O-] ZINC000988499618 808230389 /nfs/dbraw/zinc/23/03/89/808230389.db2.gz SMVWXVCXPIBFAU-WOPDTQHZSA-N -1 1 346.387 -0.015 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cccn2nnnc12 ZINC000988893064 808320616 /nfs/dbraw/zinc/32/06/16/808320616.db2.gz UVGKSYOGQJOHER-IUCAKERBSA-N -1 1 343.351 -0.659 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc2n[nH]nc2n1 ZINC000988933586 808329311 /nfs/dbraw/zinc/32/93/11/808329311.db2.gz MCIRSJGIQZYSGP-SFYZADRCSA-N -1 1 343.351 -0.431 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnn2ccncc12 ZINC000988957289 808335863 /nfs/dbraw/zinc/33/58/63/808335863.db2.gz XUUABIHDPKGONW-NXEZZACHSA-N -1 1 342.363 -0.054 20 0 EBADMM Cc1ncoc1CN[C@@H]1C[C@@H](C)N(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000989114005 808378599 /nfs/dbraw/zinc/37/85/99/808378599.db2.gz WJSPDIXHLWQVLL-VXNVDRBHSA-N -1 1 334.336 -0.028 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1nccn2ccnc12 ZINC000989462316 808482588 /nfs/dbraw/zinc/48/25/88/808482588.db2.gz QAIAHSPYTBGKGK-VHSXEESVSA-N -1 1 342.363 -0.054 20 0 EBADMM O=C([C@@H]1CCc2[nH]nnc2C1)N1CCCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC000989474372 808486637 /nfs/dbraw/zinc/48/66/37/808486637.db2.gz HPSKAOMYAHXUET-SNVBAGLBSA-N -1 1 346.395 -0.532 20 0 EBADMM O=C([C@@H]1CCc2nn[nH]c2C1)N1CCCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC000989474372 808486639 /nfs/dbraw/zinc/48/66/39/808486639.db2.gz HPSKAOMYAHXUET-SNVBAGLBSA-N -1 1 346.395 -0.532 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)COC1CCOCC1 ZINC000989510460 808492594 /nfs/dbraw/zinc/49/25/94/808492594.db2.gz GRFSYZBLBIWFNL-MNOVXSKESA-N -1 1 339.396 -0.215 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCn1cnccc1=O ZINC000989619946 808535310 /nfs/dbraw/zinc/53/53/10/808535310.db2.gz YSIGXDQHFDZIEL-QWRGUYRKSA-N -1 1 347.379 -0.764 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCn1cnccc1=O ZINC000989619945 808535467 /nfs/dbraw/zinc/53/54/67/808535467.db2.gz YSIGXDQHFDZIEL-MNOVXSKESA-N -1 1 347.379 -0.764 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCn1cnccc1=O ZINC000989619948 808535492 /nfs/dbraw/zinc/53/54/92/808535492.db2.gz YSIGXDQHFDZIEL-WDEREUQCSA-N -1 1 347.379 -0.764 20 0 EBADMM Cc1cc(CN[C@H]2C[C@H](C)N(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)nn1C ZINC000989721310 808567353 /nfs/dbraw/zinc/56/73/53/808567353.db2.gz ASQKFCORSAJCFY-JQWIXIFHSA-N -1 1 349.395 -0.490 20 0 EBADMM COc1c(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)cnn1C ZINC000989733885 808572795 /nfs/dbraw/zinc/57/27/95/808572795.db2.gz NETSGBDEJQQPQF-BDAKNGLRSA-N -1 1 335.368 -0.355 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCc1cn(C)nn1 ZINC000989860355 808613575 /nfs/dbraw/zinc/61/35/75/808613575.db2.gz GWQINJFWFSYQFY-ONGXEEELSA-N -1 1 334.384 -0.649 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)Cn4cccn4)CCC[C@H]23)nc1=O ZINC000989951344 808625680 /nfs/dbraw/zinc/62/56/80/808625680.db2.gz MGCCYDOBYZEXIW-BLLLJJGKSA-N -1 1 345.407 -0.382 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1ccccn1 ZINC000990055836 808645199 /nfs/dbraw/zinc/64/51/99/808645199.db2.gz ATIAURXBJGMQGI-UHFFFAOYSA-N -1 1 339.315 -0.933 20 0 EBADMM O=C(CC1CCCC1)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000990106790 808656651 /nfs/dbraw/zinc/65/66/51/808656651.db2.gz CCTUTGOOXNWZCT-UHFFFAOYSA-N -1 1 344.375 -0.062 20 0 EBADMM CCC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1n1ccnn1 ZINC000990763462 808905943 /nfs/dbraw/zinc/90/59/43/808905943.db2.gz FCYNEYIBICICGC-MNOVXSKESA-N -1 1 330.348 -0.029 20 0 EBADMM Cn1ccc(C(=O)NC2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)c1 ZINC000990814315 808932567 /nfs/dbraw/zinc/93/25/67/808932567.db2.gz LANMZGABQMZUOX-UHFFFAOYSA-N -1 1 341.331 -0.990 20 0 EBADMM CC(=O)N1CC[C@](C)(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC000990969129 809018651 /nfs/dbraw/zinc/01/86/51/809018651.db2.gz AJBNZDRVJKTBQG-KRWDZBQOSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(NC1CN(C(=O)[C@H]2CCCc3nn[nH]c32)C1)c1ncccc1[O-] ZINC000990972526 809019296 /nfs/dbraw/zinc/01/92/96/809019296.db2.gz RPNLQATXAXZPMB-JTQLQIEISA-N -1 1 342.359 -0.034 20 0 EBADMM O=C(Cn1cc(C2CC2)nn1)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990972516 809020032 /nfs/dbraw/zinc/02/00/32/809020032.db2.gz RKSWXBKYCQWCST-UHFFFAOYSA-N -1 1 342.359 -0.103 20 0 EBADMM Cc1ccn(CC(=O)N2CC(NC(=O)c3ncccc3[O-])C2)c(=O)c1 ZINC000990977658 809025008 /nfs/dbraw/zinc/02/50/08/809025008.db2.gz KBVGMYDDHANIMJ-UHFFFAOYSA-N -1 1 342.355 -0.102 20 0 EBADMM C[C@@H](C(=O)N1CC(NC(=O)c2ncccc2[O-])C1)N1C(=O)CCC1=O ZINC000990978361 809025585 /nfs/dbraw/zinc/02/55/85/809025585.db2.gz NMFKCVPZNHKPHF-VIFPVBQESA-N -1 1 346.343 -0.735 20 0 EBADMM C[C@@H]1C[C@H](C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)CC(=O)N1 ZINC000990980003 809027086 /nfs/dbraw/zinc/02/70/86/809027086.db2.gz XKPCSEDGKICQLF-ZJUUUORDSA-N -1 1 332.360 -0.358 20 0 EBADMM Cn1cc(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]2O)cn1 ZINC000991262934 809210836 /nfs/dbraw/zinc/21/08/36/809210836.db2.gz ZWYJXLCAPORMFK-AAEUAGOBSA-N -1 1 345.359 -0.474 20 0 EBADMM O=C(Cc1cc[nH]n1)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000991363872 809361421 /nfs/dbraw/zinc/36/14/21/809361421.db2.gz MPPPFVRDSLBXAY-AAEUAGOBSA-N -1 1 345.359 -0.555 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CCN(C(=O)Cc3ccn[nH]3)C[C@@H]2O)c1[O-] ZINC000991398284 809409281 /nfs/dbraw/zinc/40/92/81/809409281.db2.gz YGHURBAPQSTSML-QWRGUYRKSA-N -1 1 348.363 -0.919 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2n[nH]cc2F)C[C@@H]1O)c1ncccc1[O-] ZINC000991407365 809425043 /nfs/dbraw/zinc/42/50/43/809425043.db2.gz DUULILWRGXSXGP-KOLCDFICSA-N -1 1 349.322 -0.345 20 0 EBADMM C[C@H]1CC[C@H](C(=O)NC2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)C1 ZINC000991411761 809429931 /nfs/dbraw/zinc/42/99/31/809429931.db2.gz REQVABRHRTZQLK-UWVGGRQHSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(Cc1ccon1)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991413967 809432380 /nfs/dbraw/zinc/43/23/80/809432380.db2.gz GQBVSNBERNBGER-DGCLKSJQSA-N -1 1 346.343 -0.291 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cncnc2)C[C@@H]1O)c1ncccc1[O-] ZINC000991425230 809450052 /nfs/dbraw/zinc/45/00/52/809450052.db2.gz KSBLBSXUEVKCAK-AAEUAGOBSA-N -1 1 343.343 -0.417 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccn2)C[C@@H]1O)c1ncccc1[O-] ZINC000991426695 809452471 /nfs/dbraw/zinc/45/24/71/809452471.db2.gz NKKDGJUVHSYGSG-PWSUYJOCSA-N -1 1 343.343 -0.417 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)C[C@H]1O)c1ncccc1[O-] ZINC000991426195 809452608 /nfs/dbraw/zinc/45/26/08/809452608.db2.gz NBSNTMPYJGQLSD-FUVSOYRFSA-N -1 1 347.371 -0.629 20 0 EBADMM CC(C)(O)CC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991427460 809454193 /nfs/dbraw/zinc/45/41/93/809454193.db2.gz OHLWKNJNQNROQW-PWSUYJOCSA-N -1 1 337.376 -0.360 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)C1=CCOCC1 ZINC000991427438 809454564 /nfs/dbraw/zinc/45/45/64/809454564.db2.gz OGHQONGSHHRNKR-TZMCWYRMSA-N -1 1 347.371 -0.175 20 0 EBADMM O=C(Cc1ncc[nH]1)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991428461 809455931 /nfs/dbraw/zinc/45/59/31/809455931.db2.gz QOXFQAPCVWPPLO-ZYHUDNBSSA-N -1 1 345.359 -0.555 20 0 EBADMM Cn1nccc1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991430213 809459582 /nfs/dbraw/zinc/45/95/82/809459582.db2.gz SSTFPCNFASTPBE-GWCFXTLKSA-N -1 1 345.359 -0.474 20 0 EBADMM Cn1cncc1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC000991575258 809661622 /nfs/dbraw/zinc/66/16/22/809661622.db2.gz WVEFRARRSZNKFW-GXFFZTMASA-N -1 1 345.359 -0.474 20 0 EBADMM Cn1cncc1C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC000991575261 809661866 /nfs/dbraw/zinc/66/18/66/809661866.db2.gz WVEFRARRSZNKFW-ZWNOBZJWSA-N -1 1 345.359 -0.474 20 0 EBADMM CCN1C[C@@H](C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC001002450067 809712317 /nfs/dbraw/zinc/71/23/17/809712317.db2.gz NIPZUMAKDXRXTK-LBPRGKRZSA-N -1 1 346.387 -0.156 20 0 EBADMM Cn1cc(C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)[nH]c1=O ZINC001004108751 809815423 /nfs/dbraw/zinc/81/54/23/809815423.db2.gz VVPUININEBNWFY-UHFFFAOYSA-N -1 1 331.332 -0.272 20 0 EBADMM CCC(=O)N[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)CC[C@@H]1C ZINC001004258576 809817689 /nfs/dbraw/zinc/81/76/89/809817689.db2.gz FZHDTVMAKUYVJM-RYUDHWBXSA-N -1 1 336.392 -0.310 20 0 EBADMM Cc1nnc(CC(=O)N(C)C2CCN(Cc3nc(=O)n(C)[n-]3)CC2)[nH]1 ZINC001005364068 809852750 /nfs/dbraw/zinc/85/27/50/809852750.db2.gz BPDGRKAJFLUZAI-UHFFFAOYSA-N -1 1 348.411 -0.800 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H](C)C2CN(C(=O)CCc3c[nH]nn3)C2)c1[O-] ZINC001005922416 809871601 /nfs/dbraw/zinc/87/16/01/809871601.db2.gz NUHRWIMKBDIBJW-QMMMGPOBSA-N -1 1 347.379 -0.249 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)c3ccn(C)c(=O)c3)C2)nc1=O ZINC001007673554 809976337 /nfs/dbraw/zinc/97/63/37/809976337.db2.gz KEIIOYPWQRKYQP-LBPRGKRZSA-N -1 1 346.391 -0.799 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)[C@]3(F)CCOC3)C2)nc1=O ZINC001008314361 810133037 /nfs/dbraw/zinc/13/30/37/810133037.db2.gz OGHPGKUWYZZVFZ-YGRLFVJLSA-N -1 1 327.360 -0.682 20 0 EBADMM CC1(C)CN(C(=O)c2ccn[nH]2)C[C@@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001008372755 810146804 /nfs/dbraw/zinc/14/68/04/810146804.db2.gz RNSQJOJRVAFWFO-QMMMGPOBSA-N -1 1 347.335 -0.714 20 0 EBADMM C[C@@H]1CN(C(=O)CCCCNC(N)=O)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001215088473 810306729 /nfs/dbraw/zinc/30/67/29/810306729.db2.gz XLVRJZTYCLWLJE-NXEZZACHSA-N -1 1 339.400 -0.715 20 0 EBADMM COC[C@@]1(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)CCOC1 ZINC001215242594 810317004 /nfs/dbraw/zinc/31/70/04/810317004.db2.gz QBQGHOYNHBZFLS-HFAKWTLXSA-N -1 1 339.396 -0.500 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@@H](C)N(C(=O)C(F)F)C1 ZINC001012121433 810368465 /nfs/dbraw/zinc/36/84/65/810368465.db2.gz MHFMHDIQMQOLMI-RITPCOANSA-N -1 1 346.290 -0.119 20 0 EBADMM C[C@@H]1CN(C(=O)COC[C@@H]2CCCO2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001217065872 810402216 /nfs/dbraw/zinc/40/22/16/810402216.db2.gz AUSFCBNTYFIIPQ-GRYCIOLGSA-N -1 1 339.396 -0.358 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)Cc1nnc[nH]1 ZINC001014082937 810412289 /nfs/dbraw/zinc/41/22/89/810412289.db2.gz MAIPLQRHMZVIBW-ZJUUUORDSA-N -1 1 330.348 -0.133 20 0 EBADMM CC(C)[C@@H]1CCO[C@@H]1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001014452320 810433128 /nfs/dbraw/zinc/43/31/28/810433128.db2.gz OYKJNKQSALINHD-DYEKYZERSA-N -1 1 337.424 -0.140 20 0 EBADMM Cn1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c(C2CC2)n1 ZINC001014579514 810438686 /nfs/dbraw/zinc/43/86/86/810438686.db2.gz KDWYFTDTGNVYJC-NSHDSACASA-N -1 1 345.407 -0.276 20 0 EBADMM CC(C)Cn1ccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001014583998 810440870 /nfs/dbraw/zinc/44/08/70/810440870.db2.gz HRJUIJVSYQOAEV-LBPRGKRZSA-N -1 1 347.423 -0.035 20 0 EBADMM Cc1nn(C)c(C)c1CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001015439553 810476039 /nfs/dbraw/zinc/47/60/39/810476039.db2.gz XMZSHFRIURMSDK-LBPRGKRZSA-N -1 1 347.423 -0.608 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cccc4n[nH]cc43)C2)nc1=O ZINC001015469044 810484435 /nfs/dbraw/zinc/48/44/35/810484435.db2.gz CJMRBDYCADUIFF-JTQLQIEISA-N -1 1 341.375 -0.011 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2CC[C@@H](NC(=O)Cc3nnc[nH]3)C2)c1[O-] ZINC001015582240 810529736 /nfs/dbraw/zinc/52/97/36/810529736.db2.gz PROZCYKYMWTPMM-NXEZZACHSA-N -1 1 347.379 -0.201 20 0 EBADMM O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCCc2n[nH]nc21 ZINC001015619929 810545957 /nfs/dbraw/zinc/54/59/57/810545957.db2.gz FVHHFPIQUWVFLM-RKDXNWHRSA-N -1 1 332.368 -0.561 20 0 EBADMM [NH3+][C@H](Cc1c[nH]cn1)C(=O)Nc1ccc2c(c1)S(=O)(=O)[N-]C2=O ZINC001218964414 810549577 /nfs/dbraw/zinc/54/95/77/810549577.db2.gz RSOGULOUSPHIPD-SNVBAGLBSA-N -1 1 335.345 -0.650 20 0 EBADMM C[S@@](=O)CC[C@H](N)C(=O)Nc1ccc2c(c1)S(=O)(=O)[N-]C2=O ZINC001218961887 810550073 /nfs/dbraw/zinc/55/00/73/810550073.db2.gz FFSCTFKSRNCFKD-JLTPVHFHSA-N -1 1 345.402 -0.847 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cnc(C4CC4)nc3)C2)nc1=O ZINC001015803131 810585158 /nfs/dbraw/zinc/58/51/58/810585158.db2.gz NLYPTUFOLFIPJQ-LBPRGKRZSA-N -1 1 343.391 -0.220 20 0 EBADMM CC(C)[C@@H](C)CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001219330351 810662239 /nfs/dbraw/zinc/66/22/39/810662239.db2.gz IXMQSJONCQMESR-TUAOUCFPSA-N -1 1 325.413 -0.548 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N[C@H]1CCN(CCO[C@H]2CC2(F)F)C1 ZINC001016111540 810667912 /nfs/dbraw/zinc/66/79/12/810667912.db2.gz SDQYDYAWTCLXMU-IUCAKERBSA-N -1 1 347.322 -0.696 20 0 EBADMM Cc1nc([C@H](C)N2CC[C@H](NC(=O)Cn3c(=O)[n-][nH]c3=O)C2)n[nH]1 ZINC001016110638 810667939 /nfs/dbraw/zinc/66/79/39/810667939.db2.gz LOWIWXOCAPJJAV-CBAPKCEASA-N -1 1 336.356 -0.932 20 0 EBADMM Cc1cc(C)n([C@@H](C)C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001016382954 810723533 /nfs/dbraw/zinc/72/35/33/810723533.db2.gz YCGZPRJFXMOZFC-STQMWFEESA-N -1 1 347.423 -0.127 20 0 EBADMM CCCn1cc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001033543336 810777680 /nfs/dbraw/zinc/77/76/80/810777680.db2.gz GAGMTNFBBHYAHG-NSHDSACASA-N -1 1 348.411 -0.544 20 0 EBADMM CC[C@H](C)CN1CCO[C@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001035432387 810795806 /nfs/dbraw/zinc/79/58/06/810795806.db2.gz PHXUMCVUUZOPHB-VHSXEESVSA-N -1 1 325.369 -0.241 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)c3cc(F)c[nH]3)C2)nc1=O ZINC001035450136 810809084 /nfs/dbraw/zinc/80/90/84/810809084.db2.gz QAKIIHZWAUZRMX-JTQLQIEISA-N -1 1 338.343 -0.794 20 0 EBADMM Cc1nocc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035493870 810852900 /nfs/dbraw/zinc/85/29/00/810852900.db2.gz PQFRIOOQTQUDFQ-JTQLQIEISA-N -1 1 336.352 -0.964 20 0 EBADMM Cc1c(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)ccn1C ZINC001035500529 810857375 /nfs/dbraw/zinc/85/73/75/810857375.db2.gz UPUZAERBVYRFKN-LBPRGKRZSA-N -1 1 348.407 -0.614 20 0 EBADMM NCC(=O)N[C@@H](Cc1ccccc1)C(=O)N[C@H]1SC(=O)[N-]C1=O ZINC001219904066 810901933 /nfs/dbraw/zinc/90/19/33/810901933.db2.gz PBIFFSPGAWYLSV-ZANVPECISA-N -1 1 336.373 -0.902 20 0 EBADMM CCO[C@@H]1C[C@H]1C(=O)N1CCC2(CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001035656816 810981001 /nfs/dbraw/zinc/98/10/01/810981001.db2.gz WHWJWLMIVJMZII-CHWSQXEVSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1nccc1C(=O)N1CCC2(CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001035667012 810983763 /nfs/dbraw/zinc/98/37/63/810983763.db2.gz FQABSBKFRZHLGT-UHFFFAOYSA-N -1 1 345.407 -0.420 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)c2cncnc2)CC3)nc1=O ZINC001035689513 810989011 /nfs/dbraw/zinc/98/90/11/810989011.db2.gz BDSNBEHBIQFAPU-UHFFFAOYSA-N -1 1 343.391 -0.363 20 0 EBADMM Cc1c(C(=O)N2CCC[C@@H](C)[C@@H]2CNCc2n[nH]c(=O)[n-]2)nnn1C ZINC001036530008 811171650 /nfs/dbraw/zinc/17/16/50/811171650.db2.gz QQAJIOXQXLVFEJ-KOLCDFICSA-N -1 1 348.411 -0.022 20 0 EBADMM C[C@@H]1CCCN(C(=O)c2cn(C)nn2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036545756 811173050 /nfs/dbraw/zinc/17/30/50/811173050.db2.gz ACNHLNWAGGUPFJ-KOLCDFICSA-N -1 1 334.384 -0.331 20 0 EBADMM Cc1c(C(=O)N2CC[C@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)nnn1C ZINC001036674517 811205039 /nfs/dbraw/zinc/20/50/39/811205039.db2.gz HBMAHHSIOGAZIL-QWRGUYRKSA-N -1 1 346.395 -0.316 20 0 EBADMM Cn1ncc(C(=O)N2CC[C@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)c1N ZINC001036694288 811211075 /nfs/dbraw/zinc/21/10/75/811211075.db2.gz JQPDSNAWUFKHAA-KOLCDFICSA-N -1 1 346.395 -0.437 20 0 EBADMM O=C(Cc1cncnc1)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036703168 811214495 /nfs/dbraw/zinc/21/44/95/811214495.db2.gz XWSDMKBMZIDOAX-OLZOCXBDSA-N -1 1 343.391 -0.034 20 0 EBADMM Cn1cc(C(=O)N2CC[C@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)nn1 ZINC001036704958 811216944 /nfs/dbraw/zinc/21/69/44/811216944.db2.gz AYKJXFLQUFAEDO-ONGXEEELSA-N -1 1 332.368 -0.625 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036744058 811237155 /nfs/dbraw/zinc/23/71/55/811237155.db2.gz CVZCDNFMESHRQB-ZJUUUORDSA-N -1 1 347.379 -0.016 20 0 EBADMM CC(C)(C(N)=O)C(=O)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036740766 811238457 /nfs/dbraw/zinc/23/84/57/811238457.db2.gz ADVVTJAYZOVDEO-ZJUUUORDSA-N -1 1 336.396 -0.555 20 0 EBADMM C[C@H](CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O)n1cccc1 ZINC001220795825 811244936 /nfs/dbraw/zinc/24/49/36/811244936.db2.gz MIJDPLJBZSFFBJ-UPJWGTAASA-N -1 1 348.407 -0.778 20 0 EBADMM Cn1cc(C(=O)N2CC[C@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)[nH]c1=O ZINC001036809398 811269720 /nfs/dbraw/zinc/26/97/20/811269720.db2.gz KIBHEIZSIMVTFY-ONGXEEELSA-N -1 1 347.379 -0.314 20 0 EBADMM NC(=O)C1(C(=O)N2CC[C@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001036808576 811270769 /nfs/dbraw/zinc/27/07/69/811270769.db2.gz WMGWYDRVYTZPBJ-UWVGGRQHSA-N -1 1 334.380 -0.801 20 0 EBADMM O=C(Cn1cncn1)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036886936 811301444 /nfs/dbraw/zinc/30/14/44/811301444.db2.gz JUFIGYCFBWLIAI-MNOVXSKESA-N -1 1 332.368 -0.775 20 0 EBADMM Cc1noc(CNC[C@H]2CCC[C@H]2NC(=O)c2n[nH]c(=O)[n-]c2=O)n1 ZINC001037038922 811346997 /nfs/dbraw/zinc/34/69/97/811346997.db2.gz WNPFWBXSDSBOOT-RKDXNWHRSA-N -1 1 349.351 -0.337 20 0 EBADMM CCN(C(=O)C(F)F)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001079185858 811441502 /nfs/dbraw/zinc/44/15/02/811441502.db2.gz LGCRWSJXEDCHCG-UHFFFAOYSA-N -1 1 344.318 -0.749 20 0 EBADMM Cc1noc(C)c1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001079407359 811528208 /nfs/dbraw/zinc/52/82/08/811528208.db2.gz VBUFLPGZUQOVGW-LDYMZIIASA-N -1 1 334.380 -0.036 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cccc(=O)[nH]1 ZINC001079533142 811578009 /nfs/dbraw/zinc/57/80/09/811578009.db2.gz WOAKKMVNUCHGIV-MWLCHTKSSA-N -1 1 332.364 -0.541 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[nH]2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001079611119 811608442 /nfs/dbraw/zinc/60/84/42/811608442.db2.gz OODDPTYFKSPKAW-NXEZZACHSA-N -1 1 332.364 -0.541 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cc1cncnc1 ZINC001079634728 811618241 /nfs/dbraw/zinc/61/82/41/811618241.db2.gz PLRGMWLEQWAVJK-ZYHUDNBSSA-N -1 1 331.380 -0.922 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)C[C@H](C)NC(N)=O)C1 ZINC001079654103 811638765 /nfs/dbraw/zinc/63/87/65/811638765.db2.gz IUEPWKFTZKDUSI-JTQLQIEISA-N -1 1 349.391 -0.093 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H](C)NC(C)=O)C1 ZINC001079653169 811638791 /nfs/dbraw/zinc/63/87/91/811638791.db2.gz VZIXKKNFVBPENP-JTQLQIEISA-N -1 1 334.376 -0.015 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H](C)CC(N)=O)C1 ZINC001079655551 811639758 /nfs/dbraw/zinc/63/97/58/811639758.db2.gz GWEVFNUAYBKXFC-SNVBAGLBSA-N -1 1 334.376 -0.028 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)CNC(=O)NC)C1 ZINC001079655841 811639988 /nfs/dbraw/zinc/63/99/88/811639988.db2.gz QHWIOROVQNWIKI-UHFFFAOYSA-N -1 1 335.364 -0.611 20 0 EBADMM Cc1c(CC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)cnn1C ZINC001079827656 811744843 /nfs/dbraw/zinc/74/48/43/811744843.db2.gz LVTLBIWZUHVQRR-ZWNOBZJWSA-N -1 1 347.423 -0.670 20 0 EBADMM CCN(C(=O)Cn1nccn1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079846809 811754943 /nfs/dbraw/zinc/75/49/43/811754943.db2.gz DFXKBOXJHOZUGX-UHFFFAOYSA-N -1 1 330.348 -0.248 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)CCc3cn[nH]c3)CC2)nc1=O ZINC001224804135 811777502 /nfs/dbraw/zinc/77/75/02/811777502.db2.gz BBIPJJDRIIIHPJ-UHFFFAOYSA-N -1 1 347.423 -0.208 20 0 EBADMM CCN(C(=O)C[C@@H]1CCNC1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079897507 811777882 /nfs/dbraw/zinc/77/78/82/811777882.db2.gz XTSHLTVMWHYUJP-NSHDSACASA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(CNC(=O)C1CC1)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001225049005 811788945 /nfs/dbraw/zinc/78/89/45/811788945.db2.gz CXQASGFDRPHBCW-GHMZBOCLSA-N -1 1 336.396 -0.447 20 0 EBADMM Cc1nc([C@H](C)N2C[C@@H](C)[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001080051072 811866749 /nfs/dbraw/zinc/86/67/49/811866749.db2.gz MHOOGORZNJBLCP-BKPPORCPSA-N -1 1 348.367 -0.479 20 0 EBADMM CCN(C(=O)CCn1cc[n-]c(=O)c1=O)C1CN(C(=O)C=C(C)C)C1 ZINC001080099803 811897270 /nfs/dbraw/zinc/89/72/70/811897270.db2.gz AMCLDYGHYRGFMK-UHFFFAOYSA-N -1 1 348.403 -0.048 20 0 EBADMM C[C@@H](Cn1cnc2c1c(=O)n(C)c(=O)n2C)Oc1cnc[n-]c1=O ZINC001227048661 811900927 /nfs/dbraw/zinc/90/09/27/811900927.db2.gz IKTMAKACHNWENS-QMMMGPOBSA-N -1 1 332.320 -0.603 20 0 EBADMM CC(=O)OCC(COC(C)=O)Oc1nc2c([n-]1)[nH]c(=O)[nH]c2=O ZINC001227430443 811922013 /nfs/dbraw/zinc/92/20/13/811922013.db2.gz KYEGIWLHVIYKMF-UHFFFAOYSA-N -1 1 326.265 -0.362 20 0 EBADMM CC1(C)O[C@H]2O[C@@H]3[C@H](OC4=NC(=O)CC([O-])=N4)C(=O)O[C@@H]3[C@H]2O1 ZINC001228387505 811977951 /nfs/dbraw/zinc/97/79/51/811977951.db2.gz BXVKTHFTYAIMTB-HTFKAIDBSA-N -1 1 326.261 -0.563 20 0 EBADMM COc1ncc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)cn1 ZINC001080711844 812160414 /nfs/dbraw/zinc/16/04/14/812160414.db2.gz METUGGBLMZUKOQ-MWLCHTKSSA-N -1 1 347.379 -0.843 20 0 EBADMM CCCC(=O)NCC(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001231013939 812264116 /nfs/dbraw/zinc/26/41/16/812264116.db2.gz CRFSHCBEIOCXIQ-NSHDSACASA-N -1 1 338.412 -0.943 20 0 EBADMM CCNC(=O)NC(=O)CN1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001233838054 812355169 /nfs/dbraw/zinc/35/51/69/812355169.db2.gz SBYCJIVZKRVQAV-NSHDSACASA-N -1 1 349.391 -0.221 20 0 EBADMM CCO[C@H](C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001234334965 812413103 /nfs/dbraw/zinc/41/31/03/812413103.db2.gz SXJPXJLQBSQCSV-JSGCOSHPSA-N -1 1 337.424 -0.044 20 0 EBADMM C[S@](=O)CCCNC(=S)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001246662069 812579353 /nfs/dbraw/zinc/57/93/53/812579353.db2.gz JHHNIWLTKYRKQY-NRFANRHFSA-N -1 1 330.395 -0.181 20 0 EBADMM CSCC(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001081237906 812602358 /nfs/dbraw/zinc/60/23/58/812602358.db2.gz VOSCCLGQZYXHPB-ONGXEEELSA-N -1 1 325.390 -0.301 20 0 EBADMM CN(C)S(=O)(=O)[N-]c1ccc(S(=O)(=O)N(C)C)c2nonc21 ZINC001251098428 812623344 /nfs/dbraw/zinc/62/33/44/812623344.db2.gz OSUDFHSFWWVJMQ-UHFFFAOYSA-N -1 1 349.394 -0.309 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cc(C)on1 ZINC001081317713 812721857 /nfs/dbraw/zinc/72/18/57/812721857.db2.gz WRJMYHOENPAXFO-GHMZBOCLSA-N -1 1 336.352 -0.966 20 0 EBADMM COC(=O)CS(=O)(=O)[N-]c1ccc(N2CCC(O)CC2)nc1 ZINC001259018022 812801170 /nfs/dbraw/zinc/80/11/70/812801170.db2.gz PKLSJGGEVWVDPE-UHFFFAOYSA-N -1 1 329.378 -0.043 20 0 EBADMM COC(=O)CS(=O)(=O)[N-]c1ccnn1C1CCN(C(C)=O)CC1 ZINC001259018389 812801418 /nfs/dbraw/zinc/80/14/18/812801418.db2.gz IXTHUDFQTNZTTR-UHFFFAOYSA-N -1 1 344.393 -0.019 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ccccc1O ZINC001081370056 812822567 /nfs/dbraw/zinc/82/25/67/812822567.db2.gz JUOSSEJKEQHJJL-DGCLKSJQSA-N -1 1 347.375 -0.557 20 0 EBADMM O=C([O-])CCC(=O)CNS(=O)(=O)c1nc2nc(Cl)ccn2n1 ZINC001260181049 812830470 /nfs/dbraw/zinc/83/04/70/812830470.db2.gz IYOFTOXMTFMVEE-UHFFFAOYSA-N -1 1 347.740 -0.510 20 0 EBADMM CN1CCC(CO)(NS(=O)(=O)c2ccc(O)c(C(=O)[O-])c2)CC1 ZINC001260405648 812833202 /nfs/dbraw/zinc/83/32/02/812833202.db2.gz NNSVUXLSEVAPFI-UHFFFAOYSA-N -1 1 344.389 -0.175 20 0 EBADMM O=S(=O)(Cc1ccc(C(F)(F)F)cc1)[N-]C(CO)(CO)CO ZINC001260899249 812848408 /nfs/dbraw/zinc/84/84/08/812848408.db2.gz SUJMTVFSYAZWES-UHFFFAOYSA-N -1 1 343.323 -0.160 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCc1cc2c([nH]c1=O)CCC2 ZINC001261829701 812995596 /nfs/dbraw/zinc/99/55/96/812995596.db2.gz YSPHFVDZWDWNIA-UHFFFAOYSA-N -1 1 330.344 -0.168 20 0 EBADMM CO[C@@H]1CN(CC(=O)N2CCC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001081578599 813226588 /nfs/dbraw/zinc/22/65/88/813226588.db2.gz METYRYOCDNYYKN-DGCLKSJQSA-N -1 1 334.376 -0.552 20 0 EBADMM CO[C@@H]1CN(CC(=O)NC2CC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001081578718 813226990 /nfs/dbraw/zinc/22/69/90/813226990.db2.gz SHZDFPXDYWFRHT-DGCLKSJQSA-N -1 1 334.376 -0.505 20 0 EBADMM CCN(CCCNC(=O)C[C@@H]1CCCC(=O)N1)Cc1n[nH]c(=O)[n-]1 ZINC001265122007 813241303 /nfs/dbraw/zinc/24/13/03/813241303.db2.gz HVLJCPSTICTLOG-NSHDSACASA-N -1 1 338.412 -0.103 20 0 EBADMM CCN(CCCNC(=O)c1cccn2nnnc12)Cc1n[nH]c(=O)[n-]1 ZINC001266046091 813445744 /nfs/dbraw/zinc/44/57/44/813445744.db2.gz XGBYFCOPIKIEJO-UHFFFAOYSA-N -1 1 345.367 -0.410 20 0 EBADMM Cc1noc(C)c1CN(C)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001266340013 813538111 /nfs/dbraw/zinc/53/81/11/813538111.db2.gz GAFNBZDQZAVIJR-UHFFFAOYSA-N -1 1 349.391 -0.220 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cc(F)c[nH]1 ZINC001081957463 813690747 /nfs/dbraw/zinc/69/07/47/813690747.db2.gz RAXXCVHPZYCWHE-GHMZBOCLSA-N -1 1 338.343 -0.795 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1conc1C ZINC001082087745 813758180 /nfs/dbraw/zinc/75/81/80/813758180.db2.gz IJEOCDXMDPAFRQ-GHMZBOCLSA-N -1 1 336.352 -0.966 20 0 EBADMM CC(C)N(CCCNC(=O)[C@@H]1CC(=O)N(C)C1)Cc1n[nH]c(=O)[n-]1 ZINC001267264424 813811002 /nfs/dbraw/zinc/81/10/02/813811002.db2.gz BDSSCZFASLGPNQ-LLVKDONJSA-N -1 1 338.412 -0.295 20 0 EBADMM C[C@@H]1CN(C(=O)C[C@H]2CCN(C)C2=O)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082581714 813973079 /nfs/dbraw/zinc/97/30/79/813973079.db2.gz XUQKPUYOXHJVAU-GMTAPVOTSA-N -1 1 336.396 -0.685 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2CCc3cncn3C2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082604441 813977585 /nfs/dbraw/zinc/97/75/85/813977585.db2.gz PNQDURCIYZIAFO-NQBHXWOUSA-N -1 1 345.407 -0.094 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2CCN(C)C(=O)C2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082599767 813977808 /nfs/dbraw/zinc/97/78/08/813977808.db2.gz JMUPABUCPUCVNE-GMTAPVOTSA-N -1 1 336.396 -0.685 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2CCCCC(=O)N2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082734809 814002364 /nfs/dbraw/zinc/00/23/64/814002364.db2.gz ZIIKNSDWPDGOPW-OUAUKWLOSA-N -1 1 336.396 -0.494 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2C[C@H]2c2cncn2C)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082751281 814004440 /nfs/dbraw/zinc/00/44/40/814004440.db2.gz RUWJHKIXCZCUBF-DDHJBXDOSA-N -1 1 345.407 -0.016 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2CC[C@@H](C(N)=O)C2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082746695 814004695 /nfs/dbraw/zinc/00/46/95/814004695.db2.gz WIHJIPUUQRHNTM-CHWFTXMASA-N -1 1 336.396 -0.652 20 0 EBADMM O=C(CCCCc1cn[nH]n1)N[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001267746848 814021014 /nfs/dbraw/zinc/02/10/14/814021014.db2.gz ZPMZPMCDVZCSMR-LLVKDONJSA-N -1 1 334.384 -0.268 20 0 EBADMM C[C@@H](C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1)N1CCOCC1 ZINC001082964593 814033074 /nfs/dbraw/zinc/03/30/74/814033074.db2.gz LKNBGOPQXYTWBC-GRYCIOLGSA-N -1 1 338.412 -0.833 20 0 EBADMM C[C@@H](C(=O)N(C)C)N1C[C@H]2OCCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC001083059809 814098680 /nfs/dbraw/zinc/09/86/80/814098680.db2.gz XCYIWSJKYTYIDV-SGMGOOAPSA-N -1 1 348.403 -0.211 20 0 EBADMM CN1CC[C@H](C(=O)NCC2(NCc3n[nH]c(=O)[n-]3)CCCC2)C1=O ZINC001268037467 814108684 /nfs/dbraw/zinc/10/86/84/814108684.db2.gz JEIYWXKBQMSFTR-SNVBAGLBSA-N -1 1 336.396 -0.493 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)C4CC5(CC5)C4)[C@H]3C2)nc1=O ZINC001083188844 814192151 /nfs/dbraw/zinc/19/21/51/814192151.db2.gz ONSPHRNOCXPMGA-QWHCGFSZSA-N -1 1 347.419 -0.290 20 0 EBADMM CCn1ccc(CN2CC(NC(=O)CCn3cc[n-]c(=O)c3=O)C2)n1 ZINC001268463539 814252355 /nfs/dbraw/zinc/25/23/55/814252355.db2.gz PDRDWSLIUDPJCS-UHFFFAOYSA-N -1 1 346.391 -0.856 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CCc2n[nH]cc2C1 ZINC001268491604 814264726 /nfs/dbraw/zinc/26/47/26/814264726.db2.gz QNPZIZOUVOJZRK-JTQLQIEISA-N -1 1 333.396 -0.023 20 0 EBADMM CC(C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O)=C1CCCC1 ZINC001083400235 814371158 /nfs/dbraw/zinc/37/11/58/814371158.db2.gz DUHAJPMJSZEKCB-OLZOCXBDSA-N -1 1 335.408 -0.340 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CN(Cc3ccn(C)c(=O)c3)C[C@@H]2O)c1[O-] ZINC001083409651 814382736 /nfs/dbraw/zinc/38/27/36/814382736.db2.gz CIBBKPCUNHTYCX-NEPJUHHUSA-N -1 1 347.375 -0.902 20 0 EBADMM Cc1nc(C)n(CCN2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1 ZINC001083423186 814402883 /nfs/dbraw/zinc/40/28/83/814402883.db2.gz IZFXILNMPAZMOT-OCCSQVGLSA-N -1 1 346.391 -0.529 20 0 EBADMM Cc1nn(C)cc1CN1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001083423370 814404795 /nfs/dbraw/zinc/40/47/95/814404795.db2.gz RXXGFOVLNPMDLL-OCCSQVGLSA-N -1 1 331.376 -0.196 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C3C=CC=CC=C3)[C@@H](O)C2)nc1=O ZINC001083497752 814490797 /nfs/dbraw/zinc/49/07/97/814490797.db2.gz JOFLXQYCQRIOCI-OLZOCXBDSA-N -1 1 331.376 -0.932 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)nc1C ZINC001083645716 814656251 /nfs/dbraw/zinc/65/62/51/814656251.db2.gz PGSKIDREMIXJFZ-OLZOCXBDSA-N -1 1 346.391 -0.905 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@@H]3C[C@@H]4CCCC[C@H]34)[C@@H](O)C2)nc1=O ZINC001083659781 814667637 /nfs/dbraw/zinc/66/76/37/814667637.db2.gz SBVBIXDXOMRNLM-QNSTZXKLSA-N -1 1 349.435 -0.404 20 0 EBADMM CCOCCN1C[C@@]2(CC1=O)CCCCN2C(=O)Cc1nn[n-]n1 ZINC001269576535 814733681 /nfs/dbraw/zinc/73/36/81/814733681.db2.gz OILWZBRSNANYDG-HNNXBMFYSA-N -1 1 336.396 -0.238 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCOC[C@@]2(CC(=O)N(C3CCCC3)C2)C1 ZINC001269576599 814733972 /nfs/dbraw/zinc/73/39/72/814733972.db2.gz PUEOIXHXMPHXDL-INIZCTEOSA-N -1 1 348.407 -0.238 20 0 EBADMM CC(C)=CCN1C[C@]2(CC1=O)COCCN(C(=O)Cc1nn[n-]n1)C2 ZINC001269576887 814734492 /nfs/dbraw/zinc/73/44/92/814734492.db2.gz ZXRFPNNQNGSICV-INIZCTEOSA-N -1 1 348.407 -0.214 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@]2(CCN(Cc3cnoc3)C2=O)C1 ZINC001269577673 814736146 /nfs/dbraw/zinc/73/61/46/814736146.db2.gz NQXPFZAPOJPSCB-CQSZACIVSA-N -1 1 331.336 -0.619 20 0 EBADMM Cc1noc(CN2CC[C@@]3(CCN(C(=O)Cc4nn[n-]n4)C3)C2=O)n1 ZINC001269578258 814736251 /nfs/dbraw/zinc/73/62/51/814736251.db2.gz YKDWPDSLJJLBOR-AWEZNQCLSA-N -1 1 346.351 -0.915 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@@]34C[C@@H]3CCCC4)[C@@H](O)C2)nc1=O ZINC001083761408 814762842 /nfs/dbraw/zinc/76/28/42/814762842.db2.gz GGNCSJAATJLQAU-BUWBCJGYSA-N -1 1 335.408 -0.650 20 0 EBADMM Cc1cccc(C)c1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001083797032 815615126 /nfs/dbraw/zinc/61/51/26/815615126.db2.gz IIXMSVRRPPOVLP-OLZOCXBDSA-N -1 1 345.403 -0.300 20 0 EBADMM CN(C)C(=O)CN1CCO[C@H]2CN(C(=O)c3ccc([O-])cn3)C[C@@H]21 ZINC001269896029 815630818 /nfs/dbraw/zinc/63/08/18/815630818.db2.gz WDKHTEZYKSMZTN-KBPBESRZSA-N -1 1 334.376 -0.599 20 0 EBADMM CCn1ccnc1CN1C[C@H]2C[C@@H](C1)N(C(=O)Cc1nn[n-]n1)C2 ZINC001270183419 815714463 /nfs/dbraw/zinc/71/44/63/815714463.db2.gz VSLRXMDFYAXJSK-NEPJUHHUSA-N -1 1 330.396 -0.309 20 0 EBADMM CC1=CCC=C[C@H]1C(=O)N1CC2(CN(C(=O)Cc3nn[n-]n3)C2)C1 ZINC001270183151 815714649 /nfs/dbraw/zinc/71/46/49/815714649.db2.gz QYSVNEVWVAWNBA-GFCCVEGCSA-N -1 1 328.376 -0.065 20 0 EBADMM Cn1ncnc1C(=O)N1CC2(C1)CN(C(=O)c1ncccc1[O-])C2 ZINC001270258812 815736400 /nfs/dbraw/zinc/73/64/00/815736400.db2.gz XFSRARSIMLROOW-UHFFFAOYSA-N -1 1 328.332 -0.486 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)Cc3cccc(F)c3)[C@@H](O)C2)nc1=O ZINC001084029088 815779570 /nfs/dbraw/zinc/77/95/70/815779570.db2.gz SEXAZLXXKFQTEM-OLZOCXBDSA-N -1 1 349.366 -0.849 20 0 EBADMM CCCCCN1CCO[C@@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001270657009 815845407 /nfs/dbraw/zinc/84/54/07/815845407.db2.gz HGCWPBSVGBHDCB-JTQLQIEISA-N -1 1 325.369 -0.096 20 0 EBADMM Cc1c(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)cnn1C ZINC001084189185 815863471 /nfs/dbraw/zinc/86/34/71/815863471.db2.gz HBXLNMWFVKLJSB-DGCLKSJQSA-N -1 1 345.407 -0.503 20 0 EBADMM O=C(CCc1nc[nH]n1)N1C[C@H]2CCN(Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001084264036 815878254 /nfs/dbraw/zinc/87/82/54/815878254.db2.gz DSBZXUYLBZRGCF-NXEZZACHSA-N -1 1 332.368 -0.706 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4ccc(F)cn4)[C@@H]3C2)nc1=O ZINC001084295909 815884791 /nfs/dbraw/zinc/88/47/91/815884791.db2.gz QHOBENVSYKAGCM-ZWNOBZJWSA-N -1 1 346.366 -0.011 20 0 EBADMM CS(=O)(=O)CCN1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001084299922 815886314 /nfs/dbraw/zinc/88/63/14/815886314.db2.gz MPCVEYGMVIOBRJ-VXGBXAGGSA-N -1 1 339.417 -0.022 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC2(C[C@@H]2C(=O)Nc2ccc(O)cc2O)C1 ZINC001270785236 815888636 /nfs/dbraw/zinc/88/86/36/815888636.db2.gz RZFCICLGNUDGMI-SECBINFHSA-N -1 1 344.331 -0.359 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@@H]2C[C@@]21C(=O)NCCc1ccccn1 ZINC001270784984 815889692 /nfs/dbraw/zinc/88/96/92/815889692.db2.gz OQMMTMXMVJHWGX-BZNIZROVSA-N -1 1 341.375 -0.513 20 0 EBADMM O=C(C[C@@H]1C[C@@H]2CN(C(=O)Cc3nn[n-]n3)C[C@@H]2O1)Nc1cn[nH]c1 ZINC001270785901 815890394 /nfs/dbraw/zinc/89/03/94/815890394.db2.gz YABONCGOTZWAIU-MIMYLULJSA-N -1 1 346.351 -0.890 20 0 EBADMM COCCN(C)C(=O)[C@H]1CC12CCN(C(=O)Cc1nn[n-]n1)CC2 ZINC001270788295 815891024 /nfs/dbraw/zinc/89/10/24/815891024.db2.gz DGKYNNKWVALPFH-LLVKDONJSA-N -1 1 336.396 -0.524 20 0 EBADMM CCN(C(=O)c1n[nH]c2c1CCN(C(=O)Cc1nn[n-]n1)C2)C(C)C ZINC001270788617 815891221 /nfs/dbraw/zinc/89/12/21/815891221.db2.gz HUNDZANPMJQSRK-UHFFFAOYSA-N -1 1 346.395 -0.079 20 0 EBADMM CCn1nncc1C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001084326339 815894283 /nfs/dbraw/zinc/89/42/83/815894283.db2.gz BBMXZRKOTMJMCB-ZYHUDNBSSA-N -1 1 346.395 -0.934 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@@H](C(=O)N2CC=CC2)n2nccc2C1 ZINC001270790023 815894831 /nfs/dbraw/zinc/89/48/31/815894831.db2.gz ZWYCGKQIZBWDPN-LBPRGKRZSA-N -1 1 342.363 -0.689 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)CCn4ccnc4)[C@@H]3C2)nc1=O ZINC001084560410 815936679 /nfs/dbraw/zinc/93/66/79/815936679.db2.gz PATHFOQNHGQRFC-CHWSQXEVSA-N -1 1 345.407 -0.572 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)[C@H]4[C@@H]5COC[C@@H]54)[C@@H]3C2)nc1=O ZINC001084588306 815944908 /nfs/dbraw/zinc/94/49/08/815944908.db2.gz MMZRIEMEGQFOQB-DIACKHNESA-N -1 1 333.392 -0.967 20 0 EBADMM Cc1nocc1C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001084627290 815953086 /nfs/dbraw/zinc/95/30/86/815953086.db2.gz RKXPGVLBIYKVOR-ZYHUDNBSSA-N -1 1 332.364 -0.249 20 0 EBADMM Cc1cnoc1C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001084751772 815975461 /nfs/dbraw/zinc/97/54/61/815975461.db2.gz CKCLAAQSOAERDP-GHMZBOCLSA-N -1 1 332.364 -0.249 20 0 EBADMM O=C(C[C@@H]1CCNC1=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001085223186 816031160 /nfs/dbraw/zinc/03/11/60/816031160.db2.gz AVGGMBMIBHAHGV-HBNTYKKESA-N -1 1 336.396 -0.447 20 0 EBADMM O=C(N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)[C@@H]1CCC(=O)NC1 ZINC001085254771 816033687 /nfs/dbraw/zinc/03/36/87/816033687.db2.gz XKRCKWFYOVKLCO-GMTAPVOTSA-N -1 1 336.396 -0.447 20 0 EBADMM Cc1nnc(CN[C@@H]2CCCC[C@H]2NC(=O)c2n[nH]c(=O)[n-]c2=O)[nH]1 ZINC001085260656 816034565 /nfs/dbraw/zinc/03/45/65/816034565.db2.gz YOJNSCZQHKRVSI-RKDXNWHRSA-N -1 1 348.367 -0.460 20 0 EBADMM Cc1cc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)n(C)n1 ZINC001085503821 816059126 /nfs/dbraw/zinc/05/91/26/816059126.db2.gz IBOILBBBVJOJSC-NSHDSACASA-N -1 1 333.396 -0.503 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1cc2n(n1)CCC2 ZINC001085632531 816092949 /nfs/dbraw/zinc/09/29/49/816092949.db2.gz WRLRSZGQYYOOAU-GFCCVEGCSA-N -1 1 345.407 -0.402 20 0 EBADMM COc1ccc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001085710656 816119154 /nfs/dbraw/zinc/11/91/54/816119154.db2.gz OALJORHFSVGLFI-LBPRGKRZSA-N -1 1 346.391 -0.142 20 0 EBADMM COc1cnc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)nc1 ZINC001085714465 816121350 /nfs/dbraw/zinc/12/13/50/816121350.db2.gz KYCQEAHPGPDBOL-JTQLQIEISA-N -1 1 347.379 -0.747 20 0 EBADMM Cc1nn(C)c(C)c1C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085750471 816131094 /nfs/dbraw/zinc/13/10/94/816131094.db2.gz GSBBORGEWSFOAO-LBPRGKRZSA-N -1 1 347.423 -0.195 20 0 EBADMM O=C(c1cc([O-])cc(F)c1)N1CC2(C1)CN(C1COC1)C(=O)CO2 ZINC001271562496 816142026 /nfs/dbraw/zinc/14/20/26/816142026.db2.gz NJZCPHMOTVJHLP-UHFFFAOYSA-N -1 1 336.319 -0.017 20 0 EBADMM COc1cncc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001085857718 816163912 /nfs/dbraw/zinc/16/39/12/816163912.db2.gz GHVIHSFQNQNTQQ-JTQLQIEISA-N -1 1 347.379 -0.747 20 0 EBADMM COc1ncc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001085907108 816175498 /nfs/dbraw/zinc/17/54/98/816175498.db2.gz ZYKAAMACSCFNLF-NSHDSACASA-N -1 1 347.379 -0.747 20 0 EBADMM CN(C[C@@H]1CCN1Cc1ccon1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001085923618 816179862 /nfs/dbraw/zinc/17/98/62/816179862.db2.gz BXCYIDMOCQZPFS-NSHDSACASA-N -1 1 343.347 -0.248 20 0 EBADMM NC(=O)CC(=O)N(CCNCc1n[nH]c(=O)[n-]1)Cc1ccccc1 ZINC001271796399 816213567 /nfs/dbraw/zinc/21/35/67/816213567.db2.gz CBMVLKNURBPHKJ-UHFFFAOYSA-N -1 1 332.364 -0.496 20 0 EBADMM Cc1cc(CN[C@H]2C[C@@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)on1 ZINC001086138554 816244834 /nfs/dbraw/zinc/24/48/34/816244834.db2.gz PPOBGWIRMTWLGR-DTORHVGOSA-N -1 1 334.336 -0.123 20 0 EBADMM CCn1ncc(CNCCN(CCO)C(=O)c2ncccc2[O-])n1 ZINC001272150045 816326287 /nfs/dbraw/zinc/32/62/87/816326287.db2.gz MWJCBUUKSOQCIJ-UHFFFAOYSA-N -1 1 334.380 -0.377 20 0 EBADMM Cn1ccnc1CN[C@H]1C[C@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001086418453 816328041 /nfs/dbraw/zinc/32/80/41/816328041.db2.gz SKJMJEFZEDNODW-KYZUINATSA-N -1 1 333.352 -0.686 20 0 EBADMM CN(C)C(=O)c1[nH]nc2c1CN(C(=O)C[N-]C(=O)C(F)(F)F)C2 ZINC001272263286 816375013 /nfs/dbraw/zinc/37/50/13/816375013.db2.gz KRFKCCINTQFVJX-UHFFFAOYSA-N -1 1 333.270 -0.368 20 0 EBADMM O=C(c1cc(F)ccc1[O-])N1CC2(C1)CN(C1COC1)C(=O)CO2 ZINC001272388498 816416399 /nfs/dbraw/zinc/41/63/99/816416399.db2.gz KYWFPRLLCPPCFK-UHFFFAOYSA-N -1 1 336.319 -0.017 20 0 EBADMM C[C@@H]1CCN(C(=O)c2nccnc2N)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087137847 816468223 /nfs/dbraw/zinc/46/82/23/816468223.db2.gz KDHBTUKIHQFASU-ZJUUUORDSA-N -1 1 346.395 -0.087 20 0 EBADMM C[C@@]1(C(=O)N2CC[C@@H](CCNCc3n[nH]c(=O)[n-]3)C2)CCNC1=O ZINC001272564205 816476873 /nfs/dbraw/zinc/47/68/73/816476873.db2.gz GCJLGGGCTQXBSK-MEBBXXQBSA-N -1 1 336.396 -0.635 20 0 EBADMM Cn1ncnc1C(=O)N1C[C@H]2C[C@@H](C1)N2C(=O)c1cncc([O-])c1 ZINC001272672087 816504236 /nfs/dbraw/zinc/50/42/36/816504236.db2.gz DPGOQFRXCJACPY-PHIMTYICSA-N -1 1 328.332 -0.345 20 0 EBADMM COCCOCN1C[C@@H]2CN(C(=O)c3cncc([O-])c3)C[C@H](C1)O2 ZINC001272672438 816504404 /nfs/dbraw/zinc/50/44/04/816504404.db2.gz JCYFSZKQCJOGDS-GASCZTMLSA-N -1 1 337.376 -0.067 20 0 EBADMM CN(C)S(=O)(=O)N1CC2(CN(C(=O)c3cncc([O-])c3)C2)C1 ZINC001272673235 816504512 /nfs/dbraw/zinc/50/45/12/816504512.db2.gz SRJHKANSZBYFDW-UHFFFAOYSA-N -1 1 326.378 -0.649 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)Cc2ccnn2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087556688 816533291 /nfs/dbraw/zinc/53/32/91/816533291.db2.gz ZLJTVFPOOFPHOP-QWHCGFSZSA-N -1 1 347.423 -0.446 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2cccnn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087771607 816558214 /nfs/dbraw/zinc/55/82/14/816558214.db2.gz NLLOGKRDQGRUNA-CMPLNLGQSA-N -1 1 331.380 -0.319 20 0 EBADMM COC(CN1C(=O)COCC12CN(Cc1ccncc1[O-])C2)OC ZINC001273079946 816583023 /nfs/dbraw/zinc/58/30/23/816583023.db2.gz VKHBDEMSDGPTAH-UHFFFAOYSA-N -1 1 337.376 -0.181 20 0 EBADMM Cc1nocc1C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C1 ZINC001088089760 816625162 /nfs/dbraw/zinc/62/51/62/816625162.db2.gz HDCHOGAHWFOCNR-NEPJUHHUSA-N -1 1 346.391 -0.001 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4ccncn4)C[C@@H]3C2)nc1=O ZINC001088144016 816634308 /nfs/dbraw/zinc/63/43/08/816634308.db2.gz OZOXEYVOQKRDGG-NEPJUHHUSA-N -1 1 343.391 -0.508 20 0 EBADMM CCNC(=O)CN1C[C@@]2(CC[N@@H+](Cc3ncccc3O)C2)OCC1=O ZINC001273326006 816645557 /nfs/dbraw/zinc/64/55/57/816645557.db2.gz VJSZUNSXZFVITK-KRWDZBQOSA-N -1 1 348.403 -0.273 20 0 EBADMM Cn1nncc1C(=O)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088306921 816660609 /nfs/dbraw/zinc/66/06/09/816660609.db2.gz HUDZEVUOGHCELR-JTQLQIEISA-N -1 1 334.384 -0.187 20 0 EBADMM O=C(Cn1nccn1)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088320456 816663228 /nfs/dbraw/zinc/66/32/28/816663228.db2.gz FSTHTJZVRNVBKO-NSHDSACASA-N -1 1 334.384 -0.337 20 0 EBADMM O=C([C@H]1CCNC1=O)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088360324 816669407 /nfs/dbraw/zinc/66/94/07/816669407.db2.gz VYUFVFVEHWZDDQ-MNOVXSKESA-N -1 1 336.396 -0.493 20 0 EBADMM O=C([C@H]1CNC(=O)N1)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088371319 816672191 /nfs/dbraw/zinc/67/21/91/816672191.db2.gz FMWDGKZIQUADDY-VHSXEESVSA-N -1 1 337.384 -0.948 20 0 EBADMM O=C(Cc1nnc[nH]1)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088411305 816679555 /nfs/dbraw/zinc/67/95/55/816679555.db2.gz DJRQBJDTEHYRND-JTQLQIEISA-N -1 1 334.384 -0.268 20 0 EBADMM O=C([C@@H]1CCC(=O)N1)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088416453 816679657 /nfs/dbraw/zinc/67/96/57/816679657.db2.gz OHVSHWMEHVTOBH-MNOVXSKESA-N -1 1 336.396 -0.350 20 0 EBADMM COc1nc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)co1 ZINC001088775386 816737927 /nfs/dbraw/zinc/73/79/27/816737927.db2.gz RLFNSQYBGXEHHJ-BDAKNGLRSA-N -1 1 336.352 -0.502 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)[C@]2(F)CCOC2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088816513 816747219 /nfs/dbraw/zinc/74/72/19/816747219.db2.gz FUDKFDRMFSHODR-BFVZDQMLSA-N -1 1 327.360 -0.684 20 0 EBADMM Cc1nonc1CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001088951066 816768362 /nfs/dbraw/zinc/76/83/62/816768362.db2.gz XGMXDGOROBZVTO-ZJUUUORDSA-N -1 1 335.368 -0.878 20 0 EBADMM CCC(=O)N1CC[C@H](n2cc(CNCc3nc(=O)n(C)[n-]3)nn2)C1 ZINC001089574617 816875427 /nfs/dbraw/zinc/87/54/27/816875427.db2.gz PVPWFNNTBJEJNT-NSHDSACASA-N -1 1 334.384 -0.827 20 0 EBADMM Cn1nccc1CNC/C=C/CNC(=O)CCc1n[nH]c(=O)[n-]c1=O ZINC001274165504 816885027 /nfs/dbraw/zinc/88/50/27/816885027.db2.gz YHJNEPHRQNONPE-NSCUHMNNSA-N -1 1 347.379 -0.589 20 0 EBADMM Cc1cccc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c1 ZINC001090038838 816979306 /nfs/dbraw/zinc/97/93/06/816979306.db2.gz QATDNRUGWXRCBF-KGLIPLIRSA-N -1 1 345.403 -0.218 20 0 EBADMM CN(C(=O)CN1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1)C1CC1 ZINC001090214682 817132108 /nfs/dbraw/zinc/13/21/08/817132108.db2.gz YVVBTDCOAFOIGG-JSGCOSHPSA-N -1 1 348.403 -0.427 20 0 EBADMM O=C(CN1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1)N1CCC1 ZINC001090215761 817133416 /nfs/dbraw/zinc/13/34/16/817133416.db2.gz JGZAZWKQILBPHK-AAEUAGOBSA-N -1 1 334.376 -0.816 20 0 EBADMM Cc1nnc(CN2CC[C@H](NC(=O)c3ncccc3[O-])[C@H](O)C2)o1 ZINC001090217382 817136195 /nfs/dbraw/zinc/13/61/95/817136195.db2.gz QQRXAJXUGCLEFP-CMPLNLGQSA-N -1 1 333.348 -0.156 20 0 EBADMM Cc1ccn(C)c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001090218967 817141017 /nfs/dbraw/zinc/14/10/17/817141017.db2.gz LEJOUVLNSGOVOC-RYUDHWBXSA-N -1 1 348.407 -0.879 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)co1 ZINC001090224339 817146315 /nfs/dbraw/zinc/14/63/15/817146315.db2.gz DJQBRXLJXVCQMZ-VXGBXAGGSA-N -1 1 335.364 -0.625 20 0 EBADMM CN(C)S(=O)(=O)N1C[C@H]2CN(Cc3ccncc3[O-])C[C@@H](C1)O2 ZINC001275168609 817159126 /nfs/dbraw/zinc/15/91/26/817159126.db2.gz LOTVTMJZJNYJKV-BETUJISGSA-N -1 1 342.421 -0.521 20 0 EBADMM Cc1cnccc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001090235075 817164023 /nfs/dbraw/zinc/16/40/23/817164023.db2.gz CPLUUGGKJDKMFX-STQMWFEESA-N -1 1 346.391 -0.823 20 0 EBADMM CCc1[nH]ccc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001090245499 817177370 /nfs/dbraw/zinc/17/73/70/817177370.db2.gz DNXDDRPFJVVZHD-CHWSQXEVSA-N -1 1 348.407 -0.636 20 0 EBADMM CN(C)C(=O)CNC(=O)[C@@]12C[C@@H]1CN(C(=O)c1cncc([O-])c1)C2 ZINC001275624442 817263507 /nfs/dbraw/zinc/26/35/07/817263507.db2.gz ZDCNDWNOIFWFAU-BDJLRTHQSA-N -1 1 332.360 -0.546 20 0 EBADMM CCc1ccc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)o1 ZINC001090364269 817307043 /nfs/dbraw/zinc/30/70/43/817307043.db2.gz IATHISOQXOBWGJ-VXGBXAGGSA-N -1 1 349.391 -0.371 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C3(F)CCCC3)[C@H](O)C2)nc1=O ZINC001090371995 817315429 /nfs/dbraw/zinc/31/54/29/817315429.db2.gz OJQFDYGXNLEQFH-WDEREUQCSA-N -1 1 341.387 -0.558 20 0 EBADMM Cn1nnnc1NC(=O)[C@@]12C[C@@H]1CCN2C(=O)c1ccc(F)c(=O)[n-]1 ZINC001276297045 817382233 /nfs/dbraw/zinc/38/22/33/817382233.db2.gz IEFJNNLKAHZBSC-JKYUHCHBSA-N -1 1 347.310 -0.307 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3[C@@H](CNC(=O)C(=O)C(C)(C)C)[C@@H]3C2)nc1=O ZINC001276649686 817445985 /nfs/dbraw/zinc/44/59/85/817445985.db2.gz BQSSCXCMGXFJER-RTCCRHLQSA-N -1 1 335.408 -0.482 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)ccn1 ZINC001090654092 817623213 /nfs/dbraw/zinc/62/32/13/817623213.db2.gz FMIQIPAAUWAFPN-CHWSQXEVSA-N -1 1 346.391 -0.823 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cn2cccnc2n1)NCc1n[nH]c(=O)[n-]1 ZINC001277869762 817648860 /nfs/dbraw/zinc/64/88/60/817648860.db2.gz CACGEJZWLJFCFR-VIFPVBQESA-N -1 1 330.352 -0.197 20 0 EBADMM CC/C=C(\C)C(=O)N(C)C[C@@H](O)CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001278849310 817864135 /nfs/dbraw/zinc/86/41/35/817864135.db2.gz BFSQBLABDSIXRT-VNKGSWCUSA-N -1 1 325.413 -0.284 20 0 EBADMM C[C@@H]1C[C@@H](C)CC2(C1)NC(=O)N(CC(=O)N(C)c1nn[n-]n1)C2=O ZINC001279188455 817910539 /nfs/dbraw/zinc/91/05/39/817910539.db2.gz VOGJBCLLSULZMH-RKDXNWHRSA-N -1 1 335.368 -0.091 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@](CO)(NC(=O)[C@@H]3CC=CCC3)C2)nc1=O ZINC001279462164 817935988 /nfs/dbraw/zinc/93/59/88/817935988.db2.gz OQAOLAANBJIZNO-CXAGYDPISA-N -1 1 349.435 -0.092 20 0 EBADMM O=C([C@@H]1CC12CCOCC2)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001279920364 818006128 /nfs/dbraw/zinc/00/61/28/818006128.db2.gz SSROGRLSXOASTI-NSHDSACASA-N -1 1 339.396 -0.763 20 0 EBADMM NC(=O)CN1CC(OC2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC001093529708 818042949 /nfs/dbraw/zinc/04/29/49/818042949.db2.gz FMFPUOFBYYDKCH-UHFFFAOYSA-N -1 1 334.376 -0.422 20 0 EBADMM C[C@H](C(N)=O)N1CC(OC2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC001093530104 818044101 /nfs/dbraw/zinc/04/41/01/818044101.db2.gz RYNRWCSIKUKRSI-LLVKDONJSA-N -1 1 348.403 -0.034 20 0 EBADMM CCc1nc(C)cc(NCCNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001093739277 818092254 /nfs/dbraw/zinc/09/22/54/818092254.db2.gz VXEMJGKZWORDHF-UHFFFAOYSA-N -1 1 346.391 -0.184 20 0 EBADMM COc1cc(C)nc(NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001093761871 818096703 /nfs/dbraw/zinc/09/67/03/818096703.db2.gz XOOAZSJPQICVIP-UHFFFAOYSA-N -1 1 344.335 -0.633 20 0 EBADMM O=C(NCCNc1ncnc2c1CCC2)c1cc(=O)n2[n-]cnc2n1 ZINC001093761855 818097548 /nfs/dbraw/zinc/09/75/48/818097548.db2.gz WZRCAZHWYNNWHR-UHFFFAOYSA-N -1 1 340.347 -0.462 20 0 EBADMM O=C(NCCNc1ncnc2[nH]cnc21)c1c[n-]n2c1nccc2=O ZINC001094160439 818164432 /nfs/dbraw/zinc/16/44/32/818164432.db2.gz NXYCWQSLPOMRTH-UHFFFAOYSA-N -1 1 339.319 -0.518 20 0 EBADMM C[C@@H](CCNC(=O)c1c[n-]n2c1nccc2=O)NCc1cnon1 ZINC001281751493 818274733 /nfs/dbraw/zinc/27/47/33/818274733.db2.gz UKOLKCCZSVKEII-VIFPVBQESA-N -1 1 331.336 -0.296 20 0 EBADMM O=C(NCCCNc1cnc(F)cn1)c1cc(=O)n2[n-]cnc2n1 ZINC001094502663 818279107 /nfs/dbraw/zinc/27/91/07/818279107.db2.gz UWNRTGWZNDSHLG-UHFFFAOYSA-N -1 1 332.299 -0.421 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNC(=O)c1ccnc(F)c1 ZINC001282936306 818538459 /nfs/dbraw/zinc/53/84/59/818538459.db2.gz JEFUDRLBWJMBPE-UHFFFAOYSA-N -1 1 349.322 -0.993 20 0 EBADMM O=C(CNC(=O)C1CCCC1)NCCNC(=O)c1ncccc1[O-] ZINC001282983952 818563023 /nfs/dbraw/zinc/56/30/23/818563023.db2.gz YZVQQBAGSRHQIH-UHFFFAOYSA-N -1 1 334.376 -0.060 20 0 EBADMM CC(C(=O)NCCNC(=O)CCn1cc[n-]c(=O)c1=O)=C1CCC1 ZINC001283002186 818573566 /nfs/dbraw/zinc/57/35/66/818573566.db2.gz LRQRKFUKGBJSMN-UHFFFAOYSA-N -1 1 334.376 -0.341 20 0 EBADMM Cc1nnsc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001095163107 818577573 /nfs/dbraw/zinc/57/75/73/818577573.db2.gz QLUVDHQYXQDGFU-UTLUCORTSA-N -1 1 349.420 -0.196 20 0 EBADMM CN1CCCC[C@H]1C(=O)NCCNC(=O)c1c[n-]n2c1nccc2=O ZINC001283024795 818590735 /nfs/dbraw/zinc/59/07/35/818590735.db2.gz YCWBLBNYGNMTRK-LBPRGKRZSA-N -1 1 346.391 -0.647 20 0 EBADMM C[C@@H]1CCO[C@@H]1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001096032828 818722786 /nfs/dbraw/zinc/72/27/86/818722786.db2.gz RUCSEGLBXRMHPY-JLDUMIBSSA-N -1 1 335.408 -0.245 20 0 EBADMM Cc1c[nH]c(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)n1 ZINC001096134610 818732545 /nfs/dbraw/zinc/73/25/45/818732545.db2.gz IGXOKWSHZCQVPP-VWYCJHECSA-N -1 1 331.380 -0.325 20 0 EBADMM Cc1cc(N2CC[C@@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)ncn1 ZINC001096402695 818817742 /nfs/dbraw/zinc/81/77/42/818817742.db2.gz GQPCFZMCKGCTQG-GFCCVEGCSA-N -1 1 344.375 -0.580 20 0 EBADMM CCOCC(=O)NCCCN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001283485190 818824804 /nfs/dbraw/zinc/82/48/04/818824804.db2.gz SIVRDBOWAHRAJM-UHFFFAOYSA-N -1 1 336.352 -0.968 20 0 EBADMM C[C@H](CN(C)C(=O)c1cccc2ncnn21)NCc1n[nH]c(=O)[n-]1 ZINC001283639352 818892913 /nfs/dbraw/zinc/89/29/13/818892913.db2.gz LEJUWQVNSJYSJB-SECBINFHSA-N -1 1 330.352 -0.197 20 0 EBADMM CCN(CCCNC(=O)C(F)F)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001283681411 818905354 /nfs/dbraw/zinc/90/53/54/818905354.db2.gz QOOMFMQUGQZQDA-UHFFFAOYSA-N -1 1 346.334 -0.453 20 0 EBADMM CCn1nccc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001096998192 818922996 /nfs/dbraw/zinc/92/29/96/818922996.db2.gz NBUDFABGDGBIHJ-UTUOFQBUSA-N -1 1 345.407 -0.140 20 0 EBADMM CC(C)(F)C(=O)N[C@H]1C[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001284038126 819075338 /nfs/dbraw/zinc/07/53/38/819075338.db2.gz VMIVXHSOGGHALV-MGCOHNPYSA-N -1 1 340.355 -0.562 20 0 EBADMM CCC(CC)C(=O)NC[C@@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001284202160 819131042 /nfs/dbraw/zinc/13/10/42/819131042.db2.gz XJXLFRFTHHWBSY-LLVKDONJSA-N -1 1 338.408 -0.016 20 0 EBADMM Cc1c[nH]cc1C(=O)NC[C@@H](C)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001284242183 819145185 /nfs/dbraw/zinc/14/51/85/819145185.db2.gz FPLQXFVQDYGEFP-SECBINFHSA-N -1 1 343.347 -0.398 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CC2(CCN(CC(N)=O)CC2)O1 ZINC001098589689 819263412 /nfs/dbraw/zinc/26/34/12/819263412.db2.gz KWDGVLCMZFUFSI-GFCCVEGCSA-N -1 1 348.403 -0.032 20 0 EBADMM CN(CCNC(=O)c1cccn2nnnc12)C(=O)c1ncccc1[O-] ZINC001284528941 819274223 /nfs/dbraw/zinc/27/42/23/819274223.db2.gz XXAYQNCFVBNGDM-UHFFFAOYSA-N -1 1 341.331 -0.273 20 0 EBADMM CCN(CCNC(=O)[C@@H]1C[C@@H]1C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001284597452 819300701 /nfs/dbraw/zinc/30/07/01/819300701.db2.gz BSYLEWIXLLLDAE-NWDGAFQWSA-N -1 1 336.392 -0.453 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCC[C@]3(NC(=O)c3ncc[nH]3)C2)nc1=O ZINC001098828557 819377357 /nfs/dbraw/zinc/37/73/57/819377357.db2.gz BXFGLUVCBRKVBT-BONVTDFDSA-N -1 1 331.380 -0.384 20 0 EBADMM CC[C@@H](CNC(=O)[C@@H]1CCCNC1=O)NC(=O)c1ncccc1[O-] ZINC001285067833 819469873 /nfs/dbraw/zinc/46/98/73/819469873.db2.gz VGQSJIRVCIWUIK-WDEREUQCSA-N -1 1 334.376 -0.062 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)CNC(=O)C(F)F ZINC001285205808 819509965 /nfs/dbraw/zinc/50/99/65/819509965.db2.gz ZLLYCUMWQYRVEE-QMMMGPOBSA-N -1 1 332.307 -0.940 20 0 EBADMM C[C@@H](CNC(=O)C(=O)NCC(F)F)CNC(=O)c1ncccc1[O-] ZINC001285223463 819516202 /nfs/dbraw/zinc/51/62/02/819516202.db2.gz XXHKPNUBWHWQSK-MRVPVSSYSA-N -1 1 344.318 -0.349 20 0 EBADMM O=C(NC/C=C\CNC(=O)C1CS(=O)(=O)C1)c1ncccc1[O-] ZINC001285529752 819645141 /nfs/dbraw/zinc/64/51/41/819645141.db2.gz ILDXGIUFFYFBNQ-UPHRSURJSA-N -1 1 339.373 -0.766 20 0 EBADMM CCCNC(=O)CN1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001099783001 819690714 /nfs/dbraw/zinc/69/07/14/819690714.db2.gz JYPWTEZHCHRZBA-YPMHNXCESA-N -1 1 336.392 -0.522 20 0 EBADMM CC(C)NC(=O)CN1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001099782982 819691200 /nfs/dbraw/zinc/69/12/00/819691200.db2.gz JOIVYVWHWSDYAG-AAEUAGOBSA-N -1 1 336.392 -0.523 20 0 EBADMM O=C(CN1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1)NCC1CC1 ZINC001099782620 819691553 /nfs/dbraw/zinc/69/15/53/819691553.db2.gz DIKBSDOFVMRFBJ-OCCSQVGLSA-N -1 1 348.403 -0.522 20 0 EBADMM CS[C@H](C)C(=O)N[C@H](C)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285628249 819698828 /nfs/dbraw/zinc/69/88/28/819698828.db2.gz PSMVUPRIRRTBBF-NXEZZACHSA-N -1 1 342.421 -0.701 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)N(C)C(=O)/C=C\C1CC1 ZINC001285748192 819752226 /nfs/dbraw/zinc/75/22/26/819752226.db2.gz CDRQAYYORXIVAC-FOQNGQEVSA-N -1 1 348.403 -0.144 20 0 EBADMM CC(C)=C(F)C(=O)NC[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285756420 819759251 /nfs/dbraw/zinc/75/92/51/819759251.db2.gz RHZQQONDKVTXCG-JTQLQIEISA-N -1 1 340.355 -0.189 20 0 EBADMM CCCOCC(=O)NCC1(NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001285912388 819818940 /nfs/dbraw/zinc/81/89/40/819818940.db2.gz IJGZWLZQQAFCOI-UHFFFAOYSA-N -1 1 348.363 -0.777 20 0 EBADMM CN(C(=O)CCn1cc[n-]c(=O)c1=O)C1CN(C(=O)C2(C)CCC2)C1 ZINC001286025466 819874901 /nfs/dbraw/zinc/87/49/01/819874901.db2.gz NZUMZVHULXVNSP-UHFFFAOYSA-N -1 1 348.403 -0.214 20 0 EBADMM CCC(C)(C)C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001099981788 819987538 /nfs/dbraw/zinc/98/75/38/819987538.db2.gz SVNAYIMQYBNUCD-GHMZBOCLSA-N -1 1 325.413 -0.404 20 0 EBADMM C[C@H](CN(C)C(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)CCCF ZINC001287664351 820092608 /nfs/dbraw/zinc/09/26/08/820092608.db2.gz WJQDXGYZCZYKJC-LLVKDONJSA-N -1 1 342.371 -0.361 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)CCn3cccc3)[C@H](O)C2)nc1=O ZINC001100050234 820125764 /nfs/dbraw/zinc/12/57/64/820125764.db2.gz FJKWVJRWSPCTHD-CHWSQXEVSA-N -1 1 348.407 -0.948 20 0 EBADMM CC(C)C(=O)N1C[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H]1C ZINC001287911905 820193836 /nfs/dbraw/zinc/19/38/36/820193836.db2.gz XDLSMBORTFAPSA-NWDGAFQWSA-N -1 1 336.392 -0.312 20 0 EBADMM CCC(=O)N1CCC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)[C@@H]1C ZINC001288011004 820211497 /nfs/dbraw/zinc/21/14/97/820211497.db2.gz SEFUXWKRTMHXDT-NWDGAFQWSA-N -1 1 336.392 -0.168 20 0 EBADMM CN(C(=O)CCn1cc[n-]c(=O)c1=O)C1CC(NC(=O)C(F)F)C1 ZINC001288330774 820283974 /nfs/dbraw/zinc/28/39/74/820283974.db2.gz SEHLNMHXCPKPHW-UHFFFAOYSA-N -1 1 344.318 -0.703 20 0 EBADMM CCN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)c1ncccn1 ZINC001100571941 820476643 /nfs/dbraw/zinc/47/66/43/820476643.db2.gz FCQNJODHHNIQOJ-UHFFFAOYSA-N -1 1 332.364 -0.641 20 0 EBADMM C[C@]1(C(=O)NCCNC(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]2C[C@H]2C1 ZINC001292981674 820680354 /nfs/dbraw/zinc/68/03/54/820680354.db2.gz DDYNDWLSVFSMAW-PBKGOJFUSA-N -1 1 348.403 -0.405 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)COCC4CC4)C[C@]3(C)C2)nc1=O ZINC001101142413 820699450 /nfs/dbraw/zinc/69/94/50/820699450.db2.gz YUKZULHBYRDICA-DYVFJYSZSA-N -1 1 349.435 -0.185 20 0 EBADMM Cc1nccc(NCCN(C)C(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001101699184 820883711 /nfs/dbraw/zinc/88/37/11/820883711.db2.gz NOHRLTRHBXJWFH-UHFFFAOYSA-N -1 1 328.336 -0.300 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)CCCn1ccnn1 ZINC001102038265 821007874 /nfs/dbraw/zinc/00/78/74/821007874.db2.gz BQKLQIVXHKQZHC-VXGBXAGGSA-N -1 1 348.411 -0.234 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CC[C@H](NC(=O)CC(N)=O)C1 ZINC001295060330 821044788 /nfs/dbraw/zinc/04/47/88/821044788.db2.gz YLICQAQFBCHQBL-JTQLQIEISA-N -1 1 349.391 -0.180 20 0 EBADMM CC[C@H](F)C(=O)N1CC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001295060808 821044806 /nfs/dbraw/zinc/04/48/06/821044806.db2.gz JCGKIFUYUDCEMX-QWRGUYRKSA-N -1 1 340.355 -0.608 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1C[C@@H](NC(=O)c2ccc[nH]2)C1 ZINC001295167119 821057013 /nfs/dbraw/zinc/05/70/13/821057013.db2.gz MMKKYQAOVZOPFS-PHIMTYICSA-N -1 1 345.359 -0.668 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)/C=C\C1CC1 ZINC001295569489 821126467 /nfs/dbraw/zinc/12/64/67/821126467.db2.gz MYSXEDJRZQXVAO-WYGGZMRJSA-N -1 1 334.376 -0.486 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)C1=COCCO1 ZINC001102648968 821180213 /nfs/dbraw/zinc/18/02/13/821180213.db2.gz GCODRWIMRQXAGS-GHMZBOCLSA-N -1 1 337.380 -0.027 20 0 EBADMM CC(=O)N(C)CC(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102678487 821187975 /nfs/dbraw/zinc/18/79/75/821187975.db2.gz VBHXUQSWNJNAIM-ZYHUDNBSSA-N -1 1 338.412 -0.437 20 0 EBADMM Cc1c(C(=O)NCC[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C)nnn1C ZINC001102814832 821217012 /nfs/dbraw/zinc/21/70/12/821217012.db2.gz BXESRELCKDOWAJ-MWLCHTKSSA-N -1 1 348.411 -0.165 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)N(C)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001296153123 821217832 /nfs/dbraw/zinc/21/78/32/821217832.db2.gz ITFPKWSJMAGOIX-VXGBXAGGSA-N -1 1 336.392 -0.453 20 0 EBADMM Cc1c[nH]cc1C(=O)N(C)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001296153140 821218089 /nfs/dbraw/zinc/21/80/89/821218089.db2.gz JJDILFDZEMARMQ-UHFFFAOYSA-N -1 1 347.375 -0.548 20 0 EBADMM CN(CCNC(=O)[C@]1(C)C=CCC1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001296193837 821222506 /nfs/dbraw/zinc/22/25/06/821222506.db2.gz AKXXWCKUWRYTCO-MRXNPFEDSA-N -1 1 344.375 -0.038 20 0 EBADMM CCN(CCNC(=O)c1ccc[nH]1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001296268578 821231736 /nfs/dbraw/zinc/23/17/36/821231736.db2.gz KPVCCWZZHOQWFR-UHFFFAOYSA-N -1 1 347.375 -0.467 20 0 EBADMM CC[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)Nc1ncc(F)cn1 ZINC001103135709 821264793 /nfs/dbraw/zinc/26/47/93/821264793.db2.gz MBROGTYCHQGQJP-SECBINFHSA-N -1 1 346.326 -0.033 20 0 EBADMM C[C@@H]1CN(C(=O)CS(C)(=O)=O)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001103953569 821374547 /nfs/dbraw/zinc/37/45/47/821374547.db2.gz CTJRSWBFXQOLDH-NXEZZACHSA-N -1 1 345.425 -0.871 20 0 EBADMM CNC(=O)C1(C(=O)NC[C@@H](C)CNC(=O)c2ncccc2[O-])CC1 ZINC001297745169 821410681 /nfs/dbraw/zinc/41/06/81/821410681.db2.gz XRGTZGXJCKMLKC-JTQLQIEISA-N -1 1 334.376 -0.205 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)CNC(=O)[C@@H]1CC12CC2 ZINC001297773291 821415957 /nfs/dbraw/zinc/41/59/57/821415957.db2.gz XAVKQFQRYZNXHV-NEPJUHHUSA-N -1 1 348.403 -0.405 20 0 EBADMM CNC(=O)NCC(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001104264959 821433725 /nfs/dbraw/zinc/43/37/25/821433725.db2.gz MIGKNIBJMCOJGR-NXEZZACHSA-N -1 1 339.400 -0.987 20 0 EBADMM C[C@@H]1CN(C(=O)c2c[nH]c(=O)cn2)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001104595004 821517871 /nfs/dbraw/zinc/51/78/71/821517871.db2.gz ZUCCBRIJZOVTOG-NXEZZACHSA-N -1 1 347.379 -0.518 20 0 EBADMM Cc1[nH]ccc1C(=O)N[C@H](C)CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001298568433 821568884 /nfs/dbraw/zinc/56/88/84/821568884.db2.gz VOMGXOGTFMENNW-MRVPVSSYSA-N -1 1 343.347 -0.398 20 0 EBADMM C[C@@H]1CN(C(=O)CCNC(N)=O)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001104990062 821569121 /nfs/dbraw/zinc/56/91/21/821569121.db2.gz GJWSTVHXJKBFEX-NXEZZACHSA-N -1 1 339.400 -0.857 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C[C@H]1CC(=O)NC1=O ZINC001298642630 821579925 /nfs/dbraw/zinc/57/99/25/821579925.db2.gz MKJKCDATYCGJHE-BDAKNGLRSA-N -1 1 334.332 -0.926 20 0 EBADMM Cc1cc(C(=O)NC[C@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)no1 ZINC001298825896 821605066 /nfs/dbraw/zinc/60/50/66/821605066.db2.gz NDMFVAVVBNSDTM-VIFPVBQESA-N -1 1 349.347 -0.842 20 0 EBADMM C[C@@H](CNC(=O)c1cnc(C2CC2)[n-]c1=O)NC(=O)[C@@H]1CCNC1=O ZINC001298837109 821606321 /nfs/dbraw/zinc/60/63/21/821606321.db2.gz RQRXMSITAIMGAE-WCBMZHEXSA-N -1 1 347.375 -0.570 20 0 EBADMM O=C(CN1C(=O)CCC1=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC001299026436 821628526 /nfs/dbraw/zinc/62/85/26/821628526.db2.gz YXZJJEQWDJEBCS-SNVBAGLBSA-N -1 1 346.343 -0.733 20 0 EBADMM CNC(=O)CC(=O)N1CC[C@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC001299305316 821675239 /nfs/dbraw/zinc/67/52/39/821675239.db2.gz YLRSYKBILHMDNQ-KRWDZBQOSA-N -1 1 346.387 -0.012 20 0 EBADMM Cc1cc(NC[C@@H](O)CNC(=O)c2ncccc2[O-])nc(CO)n1 ZINC001105802275 821873470 /nfs/dbraw/zinc/87/34/70/821873470.db2.gz KAZFMFIDFSCDPP-SNVBAGLBSA-N -1 1 333.348 -0.419 20 0 EBADMM O=C(NC[C@H](NCc1n[nH]c(=O)[n-]1)C1CC1)c1cnc2nccn2c1 ZINC001317018417 821975884 /nfs/dbraw/zinc/97/58/84/821975884.db2.gz FZMXISOACQMSON-NSHDSACASA-N -1 1 342.363 -0.149 20 0 EBADMM Cc1cc(CN[C@@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)no1 ZINC001317278680 822059432 /nfs/dbraw/zinc/05/94/32/822059432.db2.gz WKNGHRJNYFGZNN-JTQLQIEISA-N -1 1 335.364 -0.482 20 0 EBADMM C[C@@H](CCNc1ncc(F)cn1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001106382285 822261018 /nfs/dbraw/zinc/26/10/18/822261018.db2.gz BQJQUSCMBLXVFT-QMMMGPOBSA-N -1 1 346.326 -0.033 20 0 EBADMM CN1CC[C@H](C(=O)N[C@@](C)(CNCc2n[nH]c(=O)[n-]2)C2CC2)C1=O ZINC001318711441 822354106 /nfs/dbraw/zinc/35/41/06/822354106.db2.gz NZYPLLJHADOYJI-BMIGLBTASA-N -1 1 336.396 -0.637 20 0 EBADMM CCCC(=O)NCc1cnn2c1CN(Cc1nc(=O)n(C)[n-]1)CC2 ZINC001128153288 828422259 /nfs/dbraw/zinc/42/22/59/828422259.db2.gz GIDTYGPEAJJXJX-UHFFFAOYSA-N -1 1 333.396 -0.263 20 0 EBADMM CC[C@H](C)C(=O)NCc1cnn2c1CN(Cc1nc(=O)n(C)[n-]1)CC2 ZINC001128203131 828427883 /nfs/dbraw/zinc/42/78/83/828427883.db2.gz QYUZXPZQDADIMO-NSHDSACASA-N -1 1 347.423 -0.017 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cn(-c2cccnc2)nn1 ZINC001128914456 828547791 /nfs/dbraw/zinc/54/77/91/828547791.db2.gz FAUZQGIRDZBASH-UHFFFAOYSA-N -1 1 329.324 -0.994 20 0 EBADMM Cc1ccnc(NC/C=C\CNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001107257558 823831021 /nfs/dbraw/zinc/83/10/21/823831021.db2.gz NKLMATJPOUQROI-IHWYPQMZSA-N -1 1 344.375 -0.190 20 0 EBADMM O=C(NC/C=C\CNc1ncc(F)cn1)c1cc(=O)n2[n-]cnc2n1 ZINC001107262980 823832301 /nfs/dbraw/zinc/83/23/01/823832301.db2.gz NFVZHFKEBNWRIH-UPHRSURJSA-N -1 1 344.310 -0.255 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)NCC=CCNc1ncnc2[nH]cnc21 ZINC001107286719 823837415 /nfs/dbraw/zinc/83/74/15/823837415.db2.gz BEUJUSVXXCPDLE-OWOJBTEDSA-N -1 1 345.323 -0.908 20 0 EBADMM CCO[C@@H](C)C(=O)NC[C@@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107761129 823945347 /nfs/dbraw/zinc/94/53/47/823945347.db2.gz LOEFSKZYVSCLDG-NHYWBVRUSA-N -1 1 341.412 -0.760 20 0 EBADMM Cn1[n-]c(CN2CCO[C@](C)(CNC(=O)c3ccon3)C2)nc1=O ZINC001107773552 823955985 /nfs/dbraw/zinc/95/59/85/823955985.db2.gz OBZKQZIPERIGCO-CQSZACIVSA-N -1 1 336.352 -0.883 20 0 EBADMM CCCOCC(=O)NC[C@@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107790758 823966469 /nfs/dbraw/zinc/96/64/69/823966469.db2.gz NLKXXKPENGRWRR-HNNXBMFYSA-N -1 1 341.412 -0.758 20 0 EBADMM CO[C@H](C)CC(=O)NC[C@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107799266 823970164 /nfs/dbraw/zinc/97/01/64/823970164.db2.gz BKNUFHDHSBSBBX-IAQYHMDHSA-N -1 1 341.412 -0.760 20 0 EBADMM Cc1cc(CC(=O)NC[C@]2(C)CN(Cc3n[nH]c(=O)[n-]3)CCO2)[nH]n1 ZINC001107860186 824001879 /nfs/dbraw/zinc/00/18/79/824001879.db2.gz MIVLPBPNQIJCCW-OAHLLOKOSA-N -1 1 349.395 -0.508 20 0 EBADMM Cn1cnnc1CN1CCO[C@@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001107889693 824020388 /nfs/dbraw/zinc/02/03/88/824020388.db2.gz BKWHFAWXKALPEU-INIZCTEOSA-N -1 1 346.391 -0.063 20 0 EBADMM CCNC(=O)CN1CCO[C@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001107890449 824021539 /nfs/dbraw/zinc/02/15/39/824021539.db2.gz ODXAMFNYJGGMPO-MRXNPFEDSA-N -1 1 336.392 -0.256 20 0 EBADMM Cn1ncc(CN2CCO[C@@](C)(CNC(=O)c3ncccc3[O-])C2)n1 ZINC001107890760 824021892 /nfs/dbraw/zinc/02/18/92/824021892.db2.gz VMRSSEPRIWDRKO-INIZCTEOSA-N -1 1 346.391 -0.063 20 0 EBADMM CNC(=O)[C@H](C)N1CCO[C@@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001107890883 824022189 /nfs/dbraw/zinc/02/21/89/824022189.db2.gz ZAAYCXCOZUDTQV-ZBEGNZNMSA-N -1 1 336.392 -0.258 20 0 EBADMM Cc1c[nH]nc1C(=O)NC[C@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107935591 824047998 /nfs/dbraw/zinc/04/79/98/824047998.db2.gz FZCOPYFYDXXENJ-OAHLLOKOSA-N -1 1 349.395 -0.839 20 0 EBADMM Cn1c2cccc(C(=O)NCCNCc3n[nH]c(=O)[n-]3)c2oc1=O ZINC001129405950 828614905 /nfs/dbraw/zinc/61/49/05/828614905.db2.gz FQPFRTMFHACMNK-UHFFFAOYSA-N -1 1 332.320 -0.525 20 0 EBADMM Cn1[n-]c(CN2CCO[C@](C)(CNC(=O)CCCF)C2)nc1=O ZINC001108124672 824135330 /nfs/dbraw/zinc/13/53/30/824135330.db2.gz PVAPMSQMRKGXSG-CQSZACIVSA-N -1 1 329.376 -0.435 20 0 EBADMM C[C@@]1(CNC(=O)Cc2cnc[nH]2)CN(Cc2n[nH]c(=O)[n-]2)CCO1 ZINC001108244982 824179439 /nfs/dbraw/zinc/17/94/39/824179439.db2.gz QGVLBVKKCYCSHJ-CQSZACIVSA-N -1 1 335.368 -0.817 20 0 EBADMM C[C@]1(CNC(=O)CN2CCCC2)CN(Cc2n[nH]c(=O)[n-]2)CCO1 ZINC001108398171 824261645 /nfs/dbraw/zinc/26/16/45/824261645.db2.gz CAYUXKAWNGCPOJ-HNNXBMFYSA-N -1 1 338.412 -0.687 20 0 EBADMM COCCCN1CC(N2C[C@@H](NC(=O)c3ncccc3[O-])CC2=O)C1 ZINC001108534609 824347079 /nfs/dbraw/zinc/34/70/79/824347079.db2.gz PJPYVXSUQUPNCT-LBPRGKRZSA-N -1 1 348.403 -0.161 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H](CNc1ncccn1)C1CC1 ZINC001109873894 824617742 /nfs/dbraw/zinc/61/77/42/824617742.db2.gz RHMQUKQWRRDCQF-GFCCVEGCSA-N -1 1 344.375 -0.276 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)COCC(F)F)C3)nc1=O ZINC001110855860 824802996 /nfs/dbraw/zinc/80/29/96/824802996.db2.gz KZHOTSFTZIEERE-BBBLOLIVSA-N -1 1 345.350 -0.388 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)CCc2cn[nH]c2)C3)nc1=O ZINC001111124216 824969060 /nfs/dbraw/zinc/96/90/60/824969060.db2.gz UXWADGGWZUZHFY-UPJWGTAASA-N -1 1 345.407 -0.314 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1sccc1-n1ccnn1 ZINC001130053658 828723878 /nfs/dbraw/zinc/72/38/78/828723878.db2.gz BCCAHQLBDFESTL-UHFFFAOYSA-N -1 1 334.365 -0.328 20 0 EBADMM CCCNC(=O)NC(=O)CN1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001112782517 825636896 /nfs/dbraw/zinc/63/68/96/825636896.db2.gz YFTSXPPWTBEBOL-UHFFFAOYSA-N -1 1 349.391 -0.219 20 0 EBADMM CS(=O)(=O)NCCN1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001112783877 825637029 /nfs/dbraw/zinc/63/70/29/825637029.db2.gz HAMHDOHRJSKJMF-UHFFFAOYSA-N -1 1 328.394 -0.906 20 0 EBADMM CCO[C@@H](C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1)C(C)C ZINC001112839598 825657675 /nfs/dbraw/zinc/65/76/75/825657675.db2.gz OSSODQNXIMXESL-CYBMUJFWSA-N -1 1 325.413 -0.186 20 0 EBADMM COC[C@@H](C)[C@H](C)C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001112944671 825700952 /nfs/dbraw/zinc/70/09/52/825700952.db2.gz JKJJCTKYMZCHFA-NEPJUHHUSA-N -1 1 325.413 -0.329 20 0 EBADMM CCO[C@H](CN1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC1)C1CC1 ZINC001113071396 825748896 /nfs/dbraw/zinc/74/88/96/825748896.db2.gz VVYKEPASRNKYGV-LLVKDONJSA-N -1 1 337.380 -0.144 20 0 EBADMM Cc1cc(N(C)[C@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)ncn1 ZINC001113655810 825966833 /nfs/dbraw/zinc/96/68/33/825966833.db2.gz RHBMHGNFEHZENX-GFCCVEGCSA-N -1 1 346.391 -0.334 20 0 EBADMM CC[C@H](OC)C(=O)N[C@@H]1[C@H]2CN(Cc3cc(=O)n4[n-]ccc4n3)C[C@H]21 ZINC001114009645 826112296 /nfs/dbraw/zinc/11/22/96/826112296.db2.gz PCYRYUJSXGPQBG-RSUWNVLCSA-N -1 1 345.403 -0.006 20 0 EBADMM CC(C)[C@@H](O)C(=O)N[C@@H]1[C@H]2CN(Cc3cc(=O)n4[n-]ccc4n3)C[C@H]21 ZINC001114228389 826179974 /nfs/dbraw/zinc/17/99/74/826179974.db2.gz BEMQOMCMXKSXKI-GUYJKWIASA-N -1 1 345.403 -0.414 20 0 EBADMM Cn1ccc(CCC(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)n1 ZINC001114354896 826211661 /nfs/dbraw/zinc/21/16/61/826211661.db2.gz BDAFKJPLFZWQJE-JYAVWHMHSA-N -1 1 345.407 -0.979 20 0 EBADMM CCNC(=O)CCCC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001179949977 833029243 /nfs/dbraw/zinc/02/92/43/833029243.db2.gz BZPFZVFBOBMBAZ-QWRGUYRKSA-N -1 1 338.412 -0.104 20 0 EBADMM CCOCC1(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)CC1 ZINC001114491677 826265005 /nfs/dbraw/zinc/26/50/05/826265005.db2.gz ZXYSCJXSDIZOAL-PJXYFTJBSA-N -1 1 335.408 -0.529 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CCc2cncnc2)nc1=O ZINC001114574489 826291023 /nfs/dbraw/zinc/29/10/23/826291023.db2.gz URUGOSVYIWBNAA-JYAVWHMHSA-N -1 1 343.391 -0.922 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CCC2CCOCC2)nc1=O ZINC001114926809 826373384 /nfs/dbraw/zinc/37/33/84/826373384.db2.gz CDUYFSMZSJLVDV-VIKVFOODSA-N -1 1 349.435 -0.138 20 0 EBADMM CO[C@H](C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)C1CCCC1 ZINC001115179079 826440727 /nfs/dbraw/zinc/44/07/27/826440727.db2.gz VACGODVYNUPOAP-MXYBEHONSA-N -1 1 349.435 -0.140 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1cnn(CC(=O)NC2CC2)c1 ZINC001115957008 826592860 /nfs/dbraw/zinc/59/28/60/826592860.db2.gz BNCNUEZRPHWSEI-UHFFFAOYSA-N -1 1 346.347 -0.960 20 0 EBADMM COCCOC1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001116079429 826597922 /nfs/dbraw/zinc/59/79/22/826597922.db2.gz KZEWFFTYGQBDGQ-UHFFFAOYSA-N -1 1 325.365 -0.419 20 0 EBADMM COCCOCC(=O)NC1CCC(C(=O)Nc2nnn[n-]2)CC1 ZINC001116099627 826598497 /nfs/dbraw/zinc/59/84/97/826598497.db2.gz AOZMDKCTFPPURB-UHFFFAOYSA-N -1 1 326.357 -0.524 20 0 EBADMM COCCOCC(=O)NC1CCC(C(=O)Nc2nn[n-]n2)CC1 ZINC001116099627 826598501 /nfs/dbraw/zinc/59/85/01/826598501.db2.gz AOZMDKCTFPPURB-UHFFFAOYSA-N -1 1 326.357 -0.524 20 0 EBADMM CC1(C)CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CCS1(=O)=O ZINC001116817602 826644146 /nfs/dbraw/zinc/64/41/46/826644146.db2.gz XVJRVRRITDCVIM-UHFFFAOYSA-N -1 1 343.405 -0.648 20 0 EBADMM O=C(c1ccccc1-n1cnnn1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001116895988 826649440 /nfs/dbraw/zinc/64/94/40/826649440.db2.gz LNZIQZKHVFNGDT-UHFFFAOYSA-N -1 1 341.335 -0.701 20 0 EBADMM C[C@]1(C(=O)NCCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])CCCO1 ZINC001180473624 833083642 /nfs/dbraw/zinc/08/36/42/833083642.db2.gz LFOYGYZZYZLKTE-XHDPSFHLSA-N -1 1 338.364 -0.403 20 0 EBADMM O=C([O-])[C@@]1(C(=O)NC[C@]2(C(F)(F)F)CCCN2)CNCCO1 ZINC001122198051 827543741 /nfs/dbraw/zinc/54/37/41/827543741.db2.gz BLKYPUNZMXUAOC-QWRGUYRKSA-N -1 1 325.287 -0.770 20 0 EBADMM C[C@H]1CCCN(C(=O)CCNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001124082176 827755701 /nfs/dbraw/zinc/75/57/01/827755701.db2.gz JPDYTBPBNAYEIN-LBPRGKRZSA-N -1 1 336.392 -0.309 20 0 EBADMM Cc1cc(COCC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)on1 ZINC001183568862 833295213 /nfs/dbraw/zinc/29/52/13/833295213.db2.gz KXYUBEWNJYTHNE-SNVBAGLBSA-N -1 1 336.352 -0.286 20 0 EBADMM CC(C)(C)OC(=O)N1CCOC[C@@]1(C)C(=O)NCc1nn[n-]n1 ZINC001183633088 833297442 /nfs/dbraw/zinc/29/74/42/833297442.db2.gz ANXFDZIHJSFJAW-ZDUSSCGKSA-N -1 1 326.357 -0.158 20 0 EBADMM COCCC(=O)N1C[C@@H](c2cn(C)cn2)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001130483374 828862707 /nfs/dbraw/zinc/86/27/07/828862707.db2.gz XLSFTVJNOYWWHD-CMPLNLGQSA-N -1 1 349.395 -0.636 20 0 EBADMM CC(=O)[C@@H](C)CC(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001184345080 833327991 /nfs/dbraw/zinc/32/79/91/833327991.db2.gz SAALVEFHSJYLEE-CABZTGNLSA-N -1 1 338.364 -0.357 20 0 EBADMM O=C(CNCCNC(=O)c1n[nH]c(=O)[n-]c1=O)Nc1ccccc1 ZINC001130863922 828981493 /nfs/dbraw/zinc/98/14/93/828981493.db2.gz ZEIJSIBYLRLYAP-UHFFFAOYSA-N -1 1 332.320 -0.759 20 0 EBADMM O=C(NCCNCc1nc(-c2ccco2)no1)c1nnc([O-])[nH]c1=O ZINC001130863896 828981713 /nfs/dbraw/zinc/98/17/13/828981713.db2.gz XXESQSHHVTYKSD-UHFFFAOYSA-N -1 1 347.291 -0.555 20 0 EBADMM COCCC(=O)N1C[C@@H](c2cncn2C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001130894167 828991739 /nfs/dbraw/zinc/99/17/39/828991739.db2.gz VIGNNLMFJMEQDB-GHMZBOCLSA-N -1 1 349.395 -0.636 20 0 EBADMM CSc1ncc(O)c(C(=O)N[C@@H]2C(=O)N(S(=O)(=O)[O-])[C@H]2C)n1 ZINC001185187918 833349147 /nfs/dbraw/zinc/34/91/47/833349147.db2.gz OHYVJGCRNPTBCR-NJGYIYPDSA-N -1 1 348.362 -0.964 20 0 EBADMM Cn1ncc(C(N)=O)c1[N-]S(=O)(=O)c1ccc(-c2nn[nH]n2)cc1 ZINC001185566484 833361531 /nfs/dbraw/zinc/36/15/31/833361531.db2.gz MYQSCPHAVJSTCP-UHFFFAOYSA-N -1 1 348.348 -0.500 20 0 EBADMM CCO[C@@H](C(=O)NCCNCc1n[nH]c(=O)[n-]1)C1CCOCC1 ZINC001131889245 829261078 /nfs/dbraw/zinc/26/10/78/829261078.db2.gz KELUHDHZGAUWBP-GFCCVEGCSA-N -1 1 327.385 -0.452 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1ccc(-n2cncn2)nc1 ZINC001132120987 829343839 /nfs/dbraw/zinc/34/38/39/829343839.db2.gz FGHDOWTWNWTRNS-UHFFFAOYSA-N -1 1 329.324 -0.994 20 0 EBADMM CC(C)(C)C(=O)NCCCC(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001132122855 829344468 /nfs/dbraw/zinc/34/44/68/829344468.db2.gz HAKFYLOGKQQALT-UHFFFAOYSA-N -1 1 326.401 -0.341 20 0 EBADMM C[C@@H]1O[C@@H](OCCNC(=O)c2ccc([O-])cc2F)[C@@H](O)[C@H](O)[C@@H]1O ZINC001186348143 833397882 /nfs/dbraw/zinc/39/78/82/833397882.db2.gz ITAVJXKWBNTFMN-BBOGJPIGSA-N -1 1 345.323 -0.895 20 0 EBADMM CC(C)CCC(=O)NCC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001186396088 833400240 /nfs/dbraw/zinc/40/02/40/833400240.db2.gz QHNKTXOBMUATAH-LLVKDONJSA-N -1 1 338.412 -0.247 20 0 EBADMM COc1ccnc(CNCCNC(=O)c2cnc([O-])n(C)c2=O)c1 ZINC001132242008 829391974 /nfs/dbraw/zinc/39/19/74/829391974.db2.gz GEZUTSCWNGLBAY-UHFFFAOYSA-N -1 1 333.348 -0.591 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1ccnc(-n2cccn2)c1 ZINC001133691005 829662355 /nfs/dbraw/zinc/66/23/55/829662355.db2.gz ZFJLVRGSTVPTBS-UHFFFAOYSA-N -1 1 328.336 -0.389 20 0 EBADMM O=C(CCOC1CCOCC1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001186974990 833446444 /nfs/dbraw/zinc/44/64/44/833446444.db2.gz HXAGPZSWXWUHIZ-LLVKDONJSA-N -1 1 339.396 -0.214 20 0 EBADMM C[C@H](C[C@@H](C)NC(=O)c1cnc([O-])n(C)c1=O)NCc1cnn(C)n1 ZINC001135165868 829912644 /nfs/dbraw/zinc/91/26/44/829912644.db2.gz IRVCNRHJOOVLRH-NXEZZACHSA-N -1 1 349.395 -0.699 20 0 EBADMM C[C@H](C[C@@H](C)NC(=O)C(C)(C)S(C)(=O)=O)NCc1n[nH]c(=O)[n-]1 ZINC001135596318 829992403 /nfs/dbraw/zinc/99/24/03/829992403.db2.gz MTDSZUXKXCUAGI-RKDXNWHRSA-N -1 1 347.441 -0.294 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cccc(-n2cncn2)n1 ZINC001136019610 830064197 /nfs/dbraw/zinc/06/41/97/830064197.db2.gz QTQKZDPFMKLFOL-UHFFFAOYSA-N -1 1 329.324 -0.994 20 0 EBADMM Cc1cnn(CCC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)c1 ZINC001211359144 837430918 /nfs/dbraw/zinc/43/09/18/837430918.db2.gz UCEZJZCPWTVLRY-CHWSQXEVSA-N -1 1 347.423 -0.360 20 0 EBADMM CCOCCOCC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001211379022 837432431 /nfs/dbraw/zinc/43/24/31/837432431.db2.gz WSVABWUOFKJWSO-VXGBXAGGSA-N -1 1 341.412 -0.902 20 0 EBADMM CCS(=O)(=O)CC(=O)N[C@@H](C)C[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001146595238 830211763 /nfs/dbraw/zinc/21/17/63/830211763.db2.gz XWZZUGFWNNZKHJ-IUCAKERBSA-N -1 1 333.414 -0.682 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1coc(C2CCOCC2)n1 ZINC001146896088 830237500 /nfs/dbraw/zinc/23/75/00/830237500.db2.gz IAJMOJFQFMRXKU-UHFFFAOYSA-N -1 1 336.352 -0.088 20 0 EBADMM O=C(CCc1nc2cc[nH]cc-2n1)NCCNCc1n[nH]c(=O)[n-]1 ZINC001147015599 830266879 /nfs/dbraw/zinc/26/68/79/830266879.db2.gz NSOIBZPWEZRFBY-UHFFFAOYSA-N -1 1 330.352 -0.380 20 0 EBADMM C[C@H](OCC1CC1)C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001147133310 830290973 /nfs/dbraw/zinc/29/09/73/830290973.db2.gz UZOBXERQTOINGE-LBPRGKRZSA-N -1 1 349.435 -0.042 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)c1ccc(=O)[nH]c1 ZINC001147154759 830293480 /nfs/dbraw/zinc/29/34/80/830293480.db2.gz HLYADKZUPYYOGZ-NSHDSACASA-N -1 1 347.331 -0.558 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)c1ccnnc1 ZINC001147206697 830299841 /nfs/dbraw/zinc/29/98/41/830299841.db2.gz UUJZWLPTCSKMIM-NSHDSACASA-N -1 1 332.320 -0.868 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)c1ccc(=O)oc1 ZINC001147593950 830366671 /nfs/dbraw/zinc/36/66/71/830366671.db2.gz IGHHCWBPDUWYHW-NSHDSACASA-N -1 1 348.315 -0.705 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCNCc1nncs1 ZINC001147700472 830397816 /nfs/dbraw/zinc/39/78/16/830397816.db2.gz ZWVNGQLYHXIBEH-UHFFFAOYSA-N -1 1 326.338 -0.733 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCN[C@H](C)c1nc(C)no1 ZINC001147706513 830399072 /nfs/dbraw/zinc/39/90/72/830399072.db2.gz YRZKLGYSSRKQSX-ZCFIWIBFSA-N -1 1 338.324 -0.332 20 0 EBADMM Cc1nnc([C@H](C)NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)o1 ZINC001147725273 830402649 /nfs/dbraw/zinc/40/26/49/830402649.db2.gz DTXVTIKBWHZURZ-ZETCQYMHSA-N -1 1 332.324 -0.810 20 0 EBADMM COC(=O)[C@@]12COC[C@@H]1CN(C(=O)c1c(CO)cnc(C)c1[O-])C2 ZINC001147836828 830418991 /nfs/dbraw/zinc/41/89/91/830418991.db2.gz QPBGHWRIYNWAHR-ZBEGNZNMSA-N -1 1 336.344 -0.150 20 0 EBADMM COCc1nc(C)c(C(=O)NCCNCc2n[nH]c(=O)[n-]2)s1 ZINC001149294588 830634284 /nfs/dbraw/zinc/63/42/84/830634284.db2.gz UOOVPVFYDMNMRJ-UHFFFAOYSA-N -1 1 326.382 -0.059 20 0 EBADMM CCCOCC(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149317212 830640026 /nfs/dbraw/zinc/64/00/26/830640026.db2.gz JTKVROGFKBWITA-GFCCVEGCSA-N -1 1 341.412 -0.758 20 0 EBADMM COC[C@H](C)C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149390130 830654098 /nfs/dbraw/zinc/65/40/98/830654098.db2.gz CKWUUWSJKDJSFU-RYUDHWBXSA-N -1 1 341.412 -0.902 20 0 EBADMM COc1ccc(CC(=O)NCCNCc2n[nH]c(=O)[n-]2)cc1OC ZINC001149653991 830722023 /nfs/dbraw/zinc/72/20/23/830722023.db2.gz JMTYJTXFIMYERP-UHFFFAOYSA-N -1 1 335.364 -0.024 20 0 EBADMM CN1C(=O)C[C@H]([N-]S(=O)(=O)Cc2noc3c2CCCC3)C1=O ZINC001211831919 837474630 /nfs/dbraw/zinc/47/46/30/837474630.db2.gz QAJFFHNPFBYKBF-VIFPVBQESA-N -1 1 327.362 -0.270 20 0 EBADMM O=C(CNC(=O)c1ccc(F)cc1)NCCNCc1n[nH]c(=O)[n-]1 ZINC001149875170 830772744 /nfs/dbraw/zinc/77/27/44/830772744.db2.gz LGVGNUKNLYAQEY-UHFFFAOYSA-N -1 1 336.327 -0.715 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@H](CNC(=O)CCCF)C2)nc1=O ZINC001150110380 830818699 /nfs/dbraw/zinc/81/86/99/830818699.db2.gz YCLQZIVSECRNFM-LLVKDONJSA-N -1 1 329.376 -0.435 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@@H]1CN(CCF)CCCO1 ZINC001150445437 830901280 /nfs/dbraw/zinc/90/12/80/830901280.db2.gz UQBNLXIVOFVYIE-GFCCVEGCSA-N -1 1 342.371 -0.897 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@H](CNC(=O)Cc3ccco3)C2)nc1=O ZINC001150479462 830912823 /nfs/dbraw/zinc/91/28/23/830912823.db2.gz GKDCBPJMVSUXIM-CYBMUJFWSA-N -1 1 349.391 -0.349 20 0 EBADMM C[C@@H]1Oc2ccccc2O[C@H]1C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001150733985 830974639 /nfs/dbraw/zinc/97/46/39/830974639.db2.gz QUAOVYKLWLXSFM-TVQRCGJNSA-N -1 1 333.348 -0.055 20 0 EBADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)CN2CCC(CO)CC2)c1 ZINC001152169651 831139362 /nfs/dbraw/zinc/13/93/62/831139362.db2.gz XFAKTYBYLTVKHU-UHFFFAOYSA-N -1 1 343.405 -0.318 20 0 EBADMM O=C(Cc1csc(-c2nc[nH]n2)n1)N1CC[C@H](c2nn[n-]n2)C1 ZINC001153000085 831201776 /nfs/dbraw/zinc/20/17/76/831201776.db2.gz CSECHIYSOOABTC-ZETCQYMHSA-N -1 1 331.365 0.000 20 0 EBADMM CCN(Cc1n[nH]c(C)n1)[C@H](C)CNC(=O)c1cnc([O-])n(C)c1=O ZINC001154570003 831348629 /nfs/dbraw/zinc/34/86/29/831348629.db2.gz XLGHIFQHGYTKAE-SECBINFHSA-N -1 1 349.395 -0.447 20 0 EBADMM O=C(CCCN1C(=O)CCC1=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001155134991 831387746 /nfs/dbraw/zinc/38/77/46/831387746.db2.gz PLHOVJKYTMHCER-LLVKDONJSA-N -1 1 334.380 -0.090 20 0 EBADMM CC(=O)[N-]S(=O)(=O)c1ccc(-n2c(C)ncc(CN)c2=N)nc1 ZINC001156830977 831505623 /nfs/dbraw/zinc/50/56/23/831505623.db2.gz JKLINHIKCLGAKS-UHFFFAOYSA-N -1 1 336.377 -0.661 20 0 EBADMM Cc1cc2c(c(N3CCN(c4ncncn4)CC3)n1)C(=O)[N-]C2=O ZINC001157855705 831598426 /nfs/dbraw/zinc/59/84/26/831598426.db2.gz AUPDQNKKNIGRAU-UHFFFAOYSA-N -1 1 325.332 -0.215 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C[C@H](C)SC ZINC001212143544 837555025 /nfs/dbraw/zinc/55/50/25/837555025.db2.gz IJSISLNHHYAGCZ-HBNTYKKESA-N -1 1 343.453 -0.435 20 0 EBADMM O=C(CCc1nc[nH]n1)NCCC1=CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001159745238 831777603 /nfs/dbraw/zinc/77/76/03/831777603.db2.gz PRPPMUSQEWEWDE-UHFFFAOYSA-N -1 1 346.395 -0.100 20 0 EBADMM Cc1nc(CC(=O)NCCC2=CCN(Cc3n[nH]c(=O)[n-]3)CC2)n[nH]1 ZINC001160084202 831811901 /nfs/dbraw/zinc/81/19/01/831811901.db2.gz JEHHZXNYOYXXKN-UHFFFAOYSA-N -1 1 346.395 -0.182 20 0 EBADMM CC[C@@H](C)CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1OC ZINC001212182721 837571640 /nfs/dbraw/zinc/57/16/40/837571640.db2.gz KYEYIPZPRONVCA-IJLUTSLNSA-N -1 1 325.413 -0.140 20 0 EBADMM Cn1[n-]c(CN2CC=C(CCNC(=O)[C@]34C[C@H]3COC4)CC2)nc1=O ZINC001160183378 831823652 /nfs/dbraw/zinc/82/36/52/831823652.db2.gz ABININQAARLCNC-GUYCJALGSA-N -1 1 347.419 -0.217 20 0 EBADMM CCOC(=O)c1cnc(OC)nc1Nc1c(O)[nH]c(=O)[n-]c1=S ZINC001160849910 831895132 /nfs/dbraw/zinc/89/51/32/831895132.db2.gz UZSMYAXTNQOFAB-LURJTMIESA-N -1 1 339.333 -0.391 20 0 EBADMM C[C@@H](NC(=O)Cn1cnnn1)C(=O)Nc1nc(Cl)ccc1[O-] ZINC001161178952 831935622 /nfs/dbraw/zinc/93/56/22/831935622.db2.gz GLIDKJRTOOUDOQ-ZCFIWIBFSA-N -1 1 325.716 -0.430 20 0 EBADMM O=C(NCCCNCc1nnc2n1CCCC2)c1n[nH]c(=O)[n-]c1=O ZINC001161879322 832000309 /nfs/dbraw/zinc/00/03/09/832000309.db2.gz HATQKZFFNZRZQG-UHFFFAOYSA-N -1 1 348.367 -0.880 20 0 EBADMM COCCOCC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001163849816 832145487 /nfs/dbraw/zinc/14/54/87/832145487.db2.gz WDURQCGNDOYJGL-UHFFFAOYSA-N -1 1 327.385 -0.451 20 0 EBADMM C[C@@H]1C[C@@H]1CC(=O)NCCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001350292144 832149389 /nfs/dbraw/zinc/14/93/89/832149389.db2.gz OOFFNRLYUVNCLI-VXGBXAGGSA-N -1 1 336.392 -0.405 20 0 EBADMM CC1CC(C(=O)N2CC[C@@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)C1 ZINC001350483688 832170191 /nfs/dbraw/zinc/17/01/91/832170191.db2.gz DWSGJDDJIICVRZ-WXRRBKDZSA-N -1 1 348.403 -0.310 20 0 EBADMM Cn1c(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)c[nH]c1=O ZINC001166566454 832270673 /nfs/dbraw/zinc/27/06/73/832270673.db2.gz JEKMBOLVBKXEEZ-UHFFFAOYSA-N -1 1 335.368 -0.361 20 0 EBADMM CN(CCCNC(=O)[C@H]1CN(C)C(=O)N1)C(=O)c1ncccc1[O-] ZINC001351803279 832280609 /nfs/dbraw/zinc/28/06/09/832280609.db2.gz QGJFWFQOBMWZFC-SNVBAGLBSA-N -1 1 335.364 -0.611 20 0 EBADMM O=C(NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)c1c[nH]c(=O)cn1 ZINC001166863928 832294860 /nfs/dbraw/zinc/29/48/60/832294860.db2.gz TZFIHBCIKCCMNT-UHFFFAOYSA-N -1 1 333.352 -0.717 20 0 EBADMM CCn1ncnc1CNCCCNC(=O)c1c[n-]n2c1nccc2=O ZINC001167783525 832372997 /nfs/dbraw/zinc/37/29/97/832372997.db2.gz HMSDEUGGVNTTQG-UHFFFAOYSA-N -1 1 344.379 -0.456 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2CCN(Cc3cccs3)CC2)CNCCO1 ZINC001353448414 832428620 /nfs/dbraw/zinc/42/86/20/832428620.db2.gz PYBGCFCATGIITI-HNNXBMFYSA-N -1 1 339.417 -0.165 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1C[C@@H](NC(=O)C2=CCCC2)C1 ZINC001353752333 832443973 /nfs/dbraw/zinc/44/39/73/832443973.db2.gz UMZVPMLMGDMBFW-BETUJISGSA-N -1 1 346.387 -0.200 20 0 EBADMM C[C@H](CNC(=O)c1ccn(C)c1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001354333546 832478834 /nfs/dbraw/zinc/47/88/34/832478834.db2.gz GVUHQXRAVNXTAC-SECBINFHSA-N -1 1 343.347 -0.696 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)CC(C)(C)C ZINC001354557689 832501713 /nfs/dbraw/zinc/50/17/13/832501713.db2.gz SGAFTVROVGQBOE-NSHDSACASA-N -1 1 338.408 -0.016 20 0 EBADMM C[C@H](CCNC(=O)c1ccc[nH]1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001355073174 832547104 /nfs/dbraw/zinc/54/71/04/832547104.db2.gz ZUPQRBMRQMZWPB-LLVKDONJSA-N -1 1 347.375 -0.420 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1C[C@@H](O)[C@H](Oc2ccccc2)C1 ZINC001171113505 832547272 /nfs/dbraw/zinc/54/72/72/832547272.db2.gz RSAREOUDAVENQM-ZIAGYGMSSA-N -1 1 345.355 -0.423 20 0 EBADMM CN(CCNC(=O)C1CC=CC1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001355526332 832563976 /nfs/dbraw/zinc/56/39/76/832563976.db2.gz PHQQWTBCRTZLAF-UHFFFAOYSA-N -1 1 334.376 -0.533 20 0 EBADMM O=C(CC[C@H]1NC(=O)NC1=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC001175389078 832623578 /nfs/dbraw/zinc/62/35/78/832623578.db2.gz LMQDCKBVLPRGPC-SSDOTTSWSA-N -1 1 336.270 -0.889 20 0 EBADMM O=C(CN1C(=O)c2ccccc2C1=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001175553192 832629247 /nfs/dbraw/zinc/62/92/47/832629247.db2.gz UIQGCIDXAYLJBT-SECBINFHSA-N -1 1 326.316 -0.188 20 0 EBADMM Nc1ccc(I)c(NC(=O)Cc2nn[n-]n2)n1 ZINC001176837730 832693523 /nfs/dbraw/zinc/69/35/23/832693523.db2.gz DHUZRMAQMDMTCY-UHFFFAOYSA-N -1 1 345.104 -0.037 20 0 EBADMM O=C(Cc1nn[n-]n1)N1C[C@@H]2COC[C@]2(COc2ncccc2F)C1 ZINC001176839998 832694345 /nfs/dbraw/zinc/69/43/45/832694345.db2.gz JJUDCYRVJZNMIG-BMIGLBTASA-N -1 1 348.338 -0.170 20 0 EBADMM CC(C)N(C)C(=O)c1noc2c1CN(C(=O)Cc1nn[n-]n1)CC2 ZINC001176840642 832694555 /nfs/dbraw/zinc/69/45/55/832694555.db2.gz VDXXKYSRIZOBRA-UHFFFAOYSA-N -1 1 333.352 -0.205 20 0 EBADMM CC(C)(C)OC(=O)N[C@H](Cc1cnc[nH]1)C(=O)NCS(=O)(=O)[O-] ZINC001177089409 832749543 /nfs/dbraw/zinc/74/95/43/832749543.db2.gz WBDHIAPIXJKZKH-SECBINFHSA-N -1 1 348.381 -0.193 20 0 EBADMM NS(=O)(=O)CCCCCC(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001177869442 832806669 /nfs/dbraw/zinc/80/66/69/832806669.db2.gz AXHPVHJGXKCWRH-LLVKDONJSA-N -1 1 344.441 -0.170 20 0 EBADMM CC(C)(C)OC(=O)N1CC2(C1)CC[C@H](C(=O)NCc1nn[n-]n1)O2 ZINC001179138136 832955609 /nfs/dbraw/zinc/95/56/09/832955609.db2.gz HBYIVSUIKJKDJE-SECBINFHSA-N -1 1 338.368 -0.016 20 0 EBADMM CC(C)(C)OC(=O)N1C[C@H]2COC[C@@]2(C(=O)NCc2nn[n-]n2)C1 ZINC001179148277 832957004 /nfs/dbraw/zinc/95/70/04/832957004.db2.gz UKQGSTBRWNJRGY-XPTSAGLGSA-N -1 1 338.368 -0.301 20 0 EBADMM CCCNC(=O)CCCC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001187202275 833909670 /nfs/dbraw/zinc/90/96/70/833909670.db2.gz WHZYBJRISJIUAN-LLVKDONJSA-N -1 1 338.412 -0.103 20 0 EBADMM CN1CCN(C(=O)OC(C)(C)C)C[C@@H]1C(=O)NCc1nn[n-]n1 ZINC001187313855 833918619 /nfs/dbraw/zinc/91/86/19/833918619.db2.gz UMWNWYJGNSJFCL-SECBINFHSA-N -1 1 325.373 -0.633 20 0 EBADMM CSc1nc(NC(=O)CNC(=O)[C@@H]2CCC(=O)N2)cc(=O)[n-]1 ZINC001187510648 833934209 /nfs/dbraw/zinc/93/42/09/833934209.db2.gz JNSVDPRPVSGGEG-LURJTMIESA-N -1 1 325.350 -0.763 20 0 EBADMM Cc1c[nH]cc1C(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001187806334 833969744 /nfs/dbraw/zinc/96/97/44/833969744.db2.gz OKHDATUCAZFWIL-LBPRGKRZSA-N -1 1 333.348 -0.022 20 0 EBADMM NC(=O)C[C@H]([N-]S(=O)(=O)c1ccc(Cl)nc1Cl)C(N)=O ZINC001187989095 833992400 /nfs/dbraw/zinc/99/24/00/833992400.db2.gz WZYIDNDTVRRSAR-BYPYZUCNSA-N -1 1 341.176 -0.604 20 0 EBADMM CCOCCO[C@H](C)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001188090041 833999622 /nfs/dbraw/zinc/99/96/22/833999622.db2.gz AVOMXXBNGPTAKT-GHMZBOCLSA-N -1 1 327.385 -0.358 20 0 EBADMM CNS(=O)(=O)c1ccc(C(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)cc1 ZINC001188106324 834004101 /nfs/dbraw/zinc/00/41/01/834004101.db2.gz RVTGAGMQQWRPSH-UHFFFAOYSA-N -1 1 339.333 -0.370 20 0 EBADMM Nc1cn(C(=O)c2cnc(-c3ccccn3)[n-]c2=O)c(N)nc1=O ZINC001190637966 834305760 /nfs/dbraw/zinc/30/57/60/834305760.db2.gz RECYDAFPVUSKJC-UHFFFAOYSA-N -1 1 325.288 -0.104 20 0 EBADMM COc1cc(C(=O)N[C@@H]2C[C@H](CO)[C@@H](O)[C@H]2O)cc(Cl)c1[O-] ZINC001191155467 834425021 /nfs/dbraw/zinc/42/50/21/834425021.db2.gz IJBWZVHHQRLPKR-IBDKYWQNSA-N -1 1 331.752 -0.113 20 0 EBADMM CCCC[C@@H](C(N)=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001192420172 834665341 /nfs/dbraw/zinc/66/53/41/834665341.db2.gz MQVVNLZXFZNWCP-NTZNESFSSA-N -1 1 336.392 -0.394 20 0 EBADMM CC(C)CNC(=O)CN1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001192419479 834665569 /nfs/dbraw/zinc/66/55/69/834665569.db2.gz DRKIZLWKHUYROM-DGCLKSJQSA-N -1 1 336.392 -0.666 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)CC3(C)CCCC3)C2)nc1=O ZINC001192648049 834709968 /nfs/dbraw/zinc/70/99/68/834709968.db2.gz ULZLKLDPVNFZNJ-VXGBXAGGSA-N -1 1 337.424 -0.260 20 0 EBADMM CCCC[C@@](C)(F)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001194258212 835064486 /nfs/dbraw/zinc/06/44/86/835064486.db2.gz FBRPMYRKYCPOGU-UEKVPHQBSA-N -1 1 343.403 -0.312 20 0 EBADMM CN(C)c1nc(NC(=O)c2cn(C)c(=O)n(C)c2=O)c(N=O)c(=O)[n-]1 ZINC001195530576 835238987 /nfs/dbraw/zinc/23/89/87/835238987.db2.gz HGBRHXANDCLMRQ-UHFFFAOYSA-N -1 1 349.307 -0.704 20 0 EBADMM CCOC(=O)CS(=O)(=O)[N-]c1ccc(N2CC[C@H](O)C2)nc1 ZINC001195941279 835313014 /nfs/dbraw/zinc/31/30/14/835313014.db2.gz AQQHMHBCXQYXJY-NSHDSACASA-N -1 1 329.378 -0.043 20 0 EBADMM COC(=O)[C@@H]1CN(C(=O)c2c[nH]c(=S)[n-]c2=O)CCN1C(C)=O ZINC001196016200 835327081 /nfs/dbraw/zinc/32/70/81/835327081.db2.gz QPLBVXZOTCHTKW-VIFPVBQESA-N -1 1 340.361 -0.683 20 0 EBADMM O=C(NCCOCCN1C(=O)C=CC1=O)c1c[nH]c(=S)[n-]c1=O ZINC001196026648 835329587 /nfs/dbraw/zinc/32/95/87/835329587.db2.gz AHOIGBVEBIDWLZ-UHFFFAOYSA-N -1 1 338.345 -0.858 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)CCCCC(N)=O)CC2)nc1=O ZINC001197760109 835605597 /nfs/dbraw/zinc/60/55/97/835605597.db2.gz JLBBGHKJVAXXFD-UHFFFAOYSA-N -1 1 338.412 -0.812 20 0 EBADMM COCC(=O)NC[C@H](NCc1nc(=O)n(C)[n-]1)c1ccccc1OC ZINC001198433143 835684147 /nfs/dbraw/zinc/68/41/47/835684147.db2.gz FXLMIVCHUCGMJY-LBPRGKRZSA-N -1 1 349.391 -0.290 20 0 EBADMM COCCCC(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001199956124 835886411 /nfs/dbraw/zinc/88/64/11/835886411.db2.gz CRCBCKFKFCAPAH-NSHDSACASA-N -1 1 327.385 -0.356 20 0 EBADMM CC(C)OCC(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001200019665 835896688 /nfs/dbraw/zinc/89/66/88/835896688.db2.gz JKEWUATVEVJCPF-LLVKDONJSA-N -1 1 327.385 -0.358 20 0 EBADMM COC[C@H](C)C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001200122494 835913373 /nfs/dbraw/zinc/91/33/73/835913373.db2.gz FFGVLSZURDEVQD-QWRGUYRKSA-N -1 1 327.385 -0.500 20 0 EBADMM COc1cc(S(=O)(=O)Nc2c([O-])[nH]c(=O)[nH]c2=S)ccc1F ZINC001200614196 835996356 /nfs/dbraw/zinc/99/63/56/835996356.db2.gz HPDJTKQCJZOCOZ-QMMMGPOBSA-N -1 1 347.349 -0.352 20 0 EBADMM COC(=O)CCCS(=O)(=O)[N-][C@@H](CO)C(=O)OC(C)(C)C ZINC001201921327 836215129 /nfs/dbraw/zinc/21/51/29/836215129.db2.gz WPTJNOFRXZZFDH-VIFPVBQESA-N -1 1 325.383 -0.438 20 0 EBADMM CS(=O)(=O)CC(=O)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202574617 836320542 /nfs/dbraw/zinc/32/05/42/836320542.db2.gz QXYHKUNHCDHCBX-BDAKNGLRSA-N -1 1 343.409 -0.928 20 0 EBADMM COCCOCC(=O)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202655802 836330159 /nfs/dbraw/zinc/33/01/59/836330159.db2.gz PJHVFTQOXDVSSI-GHMZBOCLSA-N -1 1 339.396 -0.310 20 0 EBADMM O=C(CCn1ccnn1)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202816010 836352885 /nfs/dbraw/zinc/35/28/85/836352885.db2.gz ZISJDCOPTPYWJD-GHMZBOCLSA-N -1 1 346.395 -0.291 20 0 EBADMM CC(C)(C(N)=O)C(=O)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001203059333 836391853 /nfs/dbraw/zinc/39/18/53/836391853.db2.gz LPULFGYVXCQGNH-BDAKNGLRSA-N -1 1 336.396 -0.461 20 0 EBADMM NC(=O)CCCC(=O)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001203834965 836481870 /nfs/dbraw/zinc/48/18/70/836481870.db2.gz NHMKVKZPABFPIN-UWVGGRQHSA-N -1 1 336.396 -0.317 20 0 EBADMM CS(=O)(=O)CCC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001208432408 837035314 /nfs/dbraw/zinc/03/53/14/837035314.db2.gz AZCFJXFVRQZNEJ-VIFPVBQESA-N -1 1 331.398 -0.974 20 0 EBADMM CS(=O)(=O)C[C@@H](O)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC001213912739 837899093 /nfs/dbraw/zinc/89/90/93/837899093.db2.gz KYJLARGPVHVWRE-LURJTMIESA-N -1 1 347.336 -0.212 20 0 EBADMM CS(=O)(=O)C[C@H](O)C[N-]S(=O)(=O)c1ccc(F)c(F)c1F ZINC001213912740 837900261 /nfs/dbraw/zinc/90/02/61/837900261.db2.gz KYJLARGPVHVWRE-ZCFIWIBFSA-N -1 1 347.336 -0.212 20 0 EBADMM CN1C(=O)CCc2cc(NC(=O)C(=O)NCc3nn[n-]n3)ccc21 ZINC001318738356 838030389 /nfs/dbraw/zinc/03/03/89/838030389.db2.gz HIZXYWZJHHGHCY-UHFFFAOYSA-N -1 1 329.320 -0.636 20 0 EBADMM Cn1cc(C=CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)c(=O)n(C)c1=O ZINC001319663984 838164385 /nfs/dbraw/zinc/16/43/85/838164385.db2.gz ZQKUEMWIVFQFKO-WWQCOOJYSA-N -1 1 345.363 -0.984 20 0 EBADMM COCCOCc1noc(C[N-]S(=O)(=O)c2cnn(C)c2)n1 ZINC001320219132 838713622 /nfs/dbraw/zinc/71/36/22/838713622.db2.gz QDEIWYGFUHSYQS-UHFFFAOYSA-N -1 1 331.354 -0.555 20 0 EBADMM Cn1cc(-c2n[nH]cc2C(=O)N2CCO[C@H](c3nn[n-]n3)C2)cn1 ZINC001320517433 838783183 /nfs/dbraw/zinc/78/31/83/838783183.db2.gz ZRIIZRMZWXUJAV-JTQLQIEISA-N -1 1 329.324 -0.463 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1cnc2n1CCOC2 ZINC001320758769 838832787 /nfs/dbraw/zinc/83/27/87/838832787.db2.gz RQQABCJQJZMOCJ-UHFFFAOYSA-N -1 1 335.368 -0.511 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1cc2ncccn2n1 ZINC001320878352 838853605 /nfs/dbraw/zinc/85/36/05/838853605.db2.gz KJRHJVOQJXTSAA-UHFFFAOYSA-N -1 1 330.352 -0.195 20 0 EBADMM Cc1[nH]c2ccnn2c(=O)c1CC(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC001321048765 838892172 /nfs/dbraw/zinc/89/21/72/838892172.db2.gz NVNWYGUSDHJSPI-MRVPVSSYSA-N -1 1 330.352 -0.351 20 0 EBADMM CSC[C@@H](C)C(=O)N1CCC(C(=O)NN2CC(=O)[N-]C2=O)CC1 ZINC001322625651 839221421 /nfs/dbraw/zinc/22/14/21/839221421.db2.gz ICQKXEYMZGLBHF-SECBINFHSA-N -1 1 342.421 -0.193 20 0 EBADMM CN1CCN(C)[C@@H](CNC(=O)C(=O)[N-]OCCC(F)(F)F)C1 ZINC001322960961 839307714 /nfs/dbraw/zinc/30/77/14/839307714.db2.gz CDDBVOQXWFULKR-VIFPVBQESA-N -1 1 326.319 -0.651 20 0 EBADMM C[C@@H](Cn1cncn1)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001322994928 839316744 /nfs/dbraw/zinc/31/67/44/839316744.db2.gz ZMMVIJCSMYIINU-VIFPVBQESA-N -1 1 328.336 -0.923 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCO[C@]4(CCOC4)C3)ccnc1-2 ZINC001323003461 839318271 /nfs/dbraw/zinc/31/82/71/839318271.db2.gz ASQXCSGELFPTDT-MRXNPFEDSA-N -1 1 345.359 -0.702 20 0 EBADMM C[C@@H](NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@H]1CN(C)CCO1 ZINC001323676349 839498285 /nfs/dbraw/zinc/49/82/85/839498285.db2.gz XNVRCIHOYXQXER-ZWNOBZJWSA-N -1 1 346.391 -0.884 20 0 EBADMM O=C(Nc1cnn(Cc2ccccc2)c1)C(=O)NN1CC(=O)[N-]C1=O ZINC001323710655 839506402 /nfs/dbraw/zinc/50/64/02/839506402.db2.gz JFDYAAZIYGBMNW-UHFFFAOYSA-N -1 1 342.315 -0.547 20 0 EBADMM Cn1cnnc1-c1cncc(NC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC001324395759 839649129 /nfs/dbraw/zinc/64/91/29/839649129.db2.gz VXUDUQCBYLFTIH-UHFFFAOYSA-N -1 1 341.331 -0.244 20 0 EBADMM CCc1nc2cc(NC(=O)C(=O)NN3CC(=O)[N-]C3=O)ccc2o1 ZINC001324893333 839732392 /nfs/dbraw/zinc/73/23/92/839732392.db2.gz OXQOPGZCMZMVRH-UHFFFAOYSA-N -1 1 331.288 -0.088 20 0 EBADMM COCCOc1cc(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)ccn1 ZINC001325254532 839830913 /nfs/dbraw/zinc/83/09/13/839830913.db2.gz NAUDGSXKDZLYEE-UHFFFAOYSA-N -1 1 348.363 -0.256 20 0 EBADMM Cn1ncc(C(=O)N2CC[C@@H](CCNCc3n[nH]c(=O)[n-]3)C2)c1N ZINC001326246075 840070662 /nfs/dbraw/zinc/07/06/62/840070662.db2.gz ZVISUZSMGDNWEK-SECBINFHSA-N -1 1 334.384 -0.532 20 0 EBADMM Cn1ncc(C(=O)N2CC[C@H](CCNCc3n[nH]c(=O)[n-]3)C2)c1N ZINC001326246076 840071019 /nfs/dbraw/zinc/07/10/19/840071019.db2.gz ZVISUZSMGDNWEK-VIFPVBQESA-N -1 1 334.384 -0.532 20 0 EBADMM Cn1c(=O)cccc1C(=O)N1CC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001326365203 840091606 /nfs/dbraw/zinc/09/16/06/840091606.db2.gz OJKJKGRBADOJCA-LLVKDONJSA-N -1 1 346.391 -0.149 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCC3CCC(O)CC3)ccnc1-2 ZINC001327384549 840395113 /nfs/dbraw/zinc/39/51/13/840395113.db2.gz WYAOSWZNWHOZTK-UHFFFAOYSA-N -1 1 331.376 -0.052 20 0 EBADMM CCc1cc(=O)n2[n-]c(NC(=O)Cc3nnn(C(C)(C)C)n3)nc2n1 ZINC001328634339 840719853 /nfs/dbraw/zinc/71/98/53/840719853.db2.gz QOUMZWOQCVUJAL-UHFFFAOYSA-N -1 1 345.367 -0.097 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H](C)NC(=O)c1ccnc2ccnn21 ZINC001329057268 840828406 /nfs/dbraw/zinc/82/84/06/840828406.db2.gz PXVTUGYRMNANTJ-RKDXNWHRSA-N -1 1 330.352 -0.150 20 0 EBADMM CCN(C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@@H]1CCNC1=O ZINC001329119220 840847600 /nfs/dbraw/zinc/84/76/00/840847600.db2.gz KCITZNCMCWLTCE-LLVKDONJSA-N -1 1 330.348 -0.983 20 0 EBADMM O=C([O-])c1ccc(CNC(=O)C(=O)N2CCN3C[C@H](O)C[C@H]3C2)cc1 ZINC001329120225 840847734 /nfs/dbraw/zinc/84/77/34/840847734.db2.gz HIMGDGIRTUUBCG-UONOGXRCSA-N -1 1 347.371 -0.722 20 0 EBADMM C[C@@H](CNC(=O)c1cnc2cccnn21)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001329573265 840955989 /nfs/dbraw/zinc/95/59/89/840955989.db2.gz LXUWCNQREONBRX-JTQLQIEISA-N -1 1 344.379 -0.599 20 0 EBADMM CN(CCOCCNC(=O)C1CC(F)(F)C1)Cc1nc(=O)n(C)[n-]1 ZINC001331112192 841338572 /nfs/dbraw/zinc/33/85/72/841338572.db2.gz WFOMBVINNOZZBH-UHFFFAOYSA-N -1 1 347.366 -0.282 20 0 EBADMM CCCc1cc(=O)n2[n-]c(NC(=O)c3cn(CCOC)nn3)nc2n1 ZINC001331209236 841371019 /nfs/dbraw/zinc/37/10/19/841371019.db2.gz FPFVZJQGNILITL-UHFFFAOYSA-N -1 1 346.351 -0.140 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)CS1(=O)=O ZINC001331265720 841382303 /nfs/dbraw/zinc/38/23/03/841382303.db2.gz YYAKEHJGNCORJE-ZJUUUORDSA-N -1 1 329.378 -0.991 20 0 EBADMM CN1CC[C@@H](C(=O)N[C@@H](CNCc2n[nH]c(=O)[n-]2)C(C)(C)C)C1=O ZINC001332842838 841750987 /nfs/dbraw/zinc/75/09/87/841750987.db2.gz NFWOFFZAVKNQFT-UWVGGRQHSA-N -1 1 338.412 -0.391 20 0 EBADMM C[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)[C@H](C)NC(=O)c1cc[nH]c1 ZINC001333916871 841966019 /nfs/dbraw/zinc/96/60/19/841966019.db2.gz QDUIRHTWQHODNE-QWRGUYRKSA-N -1 1 347.375 -0.422 20 0 EBADMM Cn1cc(/C=C/C(=O)N2CCN([C@]3(C(=O)[O-])CCOC3)CC2)cn1 ZINC001335428789 842278092 /nfs/dbraw/zinc/27/80/92/842278092.db2.gz HULSVPWLAVKGLT-WOMSROEHSA-N -1 1 334.376 -0.179 20 0 EBADMM C[C@@H](CN(C)C(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)c1ccon1 ZINC001337314114 842601535 /nfs/dbraw/zinc/60/15/35/842601535.db2.gz UMAZAGQVBACRDW-JTQLQIEISA-N -1 1 349.347 -0.808 20 0 EBADMM COc1ccc(CCNC(=O)[C@@]2(C(=O)[O-])CNCCO2)cc1F ZINC001340046277 842965521 /nfs/dbraw/zinc/96/55/21/842965521.db2.gz OCHYAOGQPHWELM-OAHLLOKOSA-N -1 1 326.324 -0.064 20 0 EBADMM C[C@H](CNC(=O)[C@]1(C(=O)[O-])CNCCO1)N1CCc2ccccc21 ZINC001340044613 842965571 /nfs/dbraw/zinc/96/55/71/842965571.db2.gz KYZMBWVIXMBPBZ-PXAZEXFGSA-N -1 1 333.388 -0.003 20 0 EBADMM C[C@@H](c1cccnc1)N1CCN(C(=O)[C@]2(C(=O)[O-])CNCCO2)CC1 ZINC001340118641 842972777 /nfs/dbraw/zinc/97/27/77/842972777.db2.gz KCDAMVDSQFNIKN-GUYCJALGSA-N -1 1 348.403 -0.270 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)C(=O)[N-]OC[C@@H]2CCOC2)C1 ZINC001340247189 842984602 /nfs/dbraw/zinc/98/46/02/842984602.db2.gz HHBMESKPSPUTCU-ZIAGYGMSSA-N -1 1 343.424 -0.456 20 0 EBADMM Cn1c(=O)c2ccc(C(=O)N3CCNC[C@H]3CO)cc2[n-]c1=S ZINC001342438268 843161271 /nfs/dbraw/zinc/16/12/71/843161271.db2.gz GQXPIWBLDWLIAL-JTQLQIEISA-N -1 1 334.401 -0.372 20 0 EBADMM COC(=O)c1c(F)ccc(NC(=O)C(=O)NCc2nn[n-]n2)c1F ZINC001343517273 843244655 /nfs/dbraw/zinc/24/46/55/843244655.db2.gz BBWITUIEMLTTDX-UHFFFAOYSA-N -1 1 340.246 -0.481 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCNC(=O)CSC(C)C ZINC001348498890 843702158 /nfs/dbraw/zinc/70/21/58/843702158.db2.gz GGQPNNUTPXSTEU-UHFFFAOYSA-N -1 1 344.393 -0.116 20 0 EBADMM Cn1nnc2c1nccc2C(=O)NCCNC(=O)c1ncccc1[O-] ZINC001348839188 843756757 /nfs/dbraw/zinc/75/67/57/843756757.db2.gz SSICHRXVBVZIID-UHFFFAOYSA-N -1 1 341.331 -0.376 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)[C@@H]1CCCN1c1ncccn1 ZINC000323938708 971063755 /nfs/dbraw/zinc/06/37/55/971063755.db2.gz IYOSZZHZTOYJTF-RYUDHWBXSA-N -1 1 330.348 -0.019 20 0 EBADMM CC(=O)NC(C)(C)C(=O)NC[C@@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001573462152 945931826 /nfs/dbraw/zinc/93/18/26/945931826.db2.gz AZCGMIKWCRYYGV-MRVPVSSYSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NC(C)(C)C(=O)NC[C@@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001573462152 945931838 /nfs/dbraw/zinc/93/18/38/945931838.db2.gz AZCGMIKWCRYYGV-MRVPVSSYSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC1CN(Cc2ccc(-c3nn[n-]n3)s2)C1 ZINC001573476398 946118512 /nfs/dbraw/zinc/11/85/12/946118512.db2.gz GKSMEWPWJSGMHD-QMMMGPOBSA-N -1 1 349.420 -0.247 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@H](C)CN(C)c1nccnc1-c1nnn[n-]1 ZINC001573476412 946119374 /nfs/dbraw/zinc/11/93/74/946119374.db2.gz GOIJLVMFVCCKLI-RKDXNWHRSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@H](C)CN(C)c1nccnc1-c1nn[n-]n1 ZINC001573476412 946119382 /nfs/dbraw/zinc/11/93/82/946119382.db2.gz GOIJLVMFVCCKLI-RKDXNWHRSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC1CN(Cc2ccc(-c3nn[n-]n3)s2)C1 ZINC001573476397 946119414 /nfs/dbraw/zinc/11/94/14/946119414.db2.gz GKSMEWPWJSGMHD-MRVPVSSYSA-N -1 1 349.420 -0.247 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@H](C)CN(C)c1cncc(-c2nnn[n-]2)n1 ZINC001573477057 946128426 /nfs/dbraw/zinc/12/84/26/946128426.db2.gz LUVMZWWOZKNJMP-BDAKNGLRSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@H](C)CN(C)c1cncc(-c2nn[n-]n2)n1 ZINC001573477057 946128430 /nfs/dbraw/zinc/12/84/30/946128430.db2.gz LUVMZWWOZKNJMP-BDAKNGLRSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001573477484 946137079 /nfs/dbraw/zinc/13/70/79/946137079.db2.gz REVCCIOXONCKBD-YUMQZZPRSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001573477484 946137083 /nfs/dbraw/zinc/13/70/83/946137083.db2.gz REVCCIOXONCKBD-YUMQZZPRSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@@H](C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001573478785 946151707 /nfs/dbraw/zinc/15/17/07/946151707.db2.gz YQYHGNPQBCLNBW-SFYZADRCSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@@H](C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001573478785 946151717 /nfs/dbraw/zinc/15/17/17/946151717.db2.gz YQYHGNPQBCLNBW-SFYZADRCSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NCC1(Nc2ccc(-c3nnn[n-]3)nn2)CC1 ZINC001573482618 946201111 /nfs/dbraw/zinc/20/11/11/946201111.db2.gz YSKPVKXMSQXDCG-MRVPVSSYSA-N -1 1 345.367 -0.758 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NCC1(Nc2ccc(-c3nn[n-]n3)nn2)CC1 ZINC001573482618 946201122 /nfs/dbraw/zinc/20/11/22/946201122.db2.gz YSKPVKXMSQXDCG-MRVPVSSYSA-N -1 1 345.367 -0.758 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@H](C)CNc1nc(C)cc(-c2nnn[n-]2)n1 ZINC001573485373 946222618 /nfs/dbraw/zinc/22/26/18/946222618.db2.gz RJPRMPWDVVGVGG-RKDXNWHRSA-N -1 1 347.383 -0.594 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@H](C)CNc1nc(C)cc(-c2nn[n-]n2)n1 ZINC001573485373 946222623 /nfs/dbraw/zinc/22/26/23/946222623.db2.gz RJPRMPWDVVGVGG-RKDXNWHRSA-N -1 1 347.383 -0.594 20 0 EBADMM CC(=O)N[C@H]1CCN(S(=O)(=O)c2ccc(-c3nn[n-]n3)o2)C1 ZINC001573496799 946330751 /nfs/dbraw/zinc/33/07/51/946330751.db2.gz DCIGVMMOBLKFAA-QMMMGPOBSA-N -1 1 326.338 -0.641 20 0 EBADMM CC(=O)NCC(=O)N(C)C1CC(Nc2cncc(-c3nnn[n-]3)n2)C1 ZINC001573497280 946344930 /nfs/dbraw/zinc/34/49/30/946344930.db2.gz PDLKRVQJQOQLKY-UHFFFAOYSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N(C)C1CC(Nc2cncc(-c3nn[n-]n3)n2)C1 ZINC001573497280 946344938 /nfs/dbraw/zinc/34/49/38/946344938.db2.gz PDLKRVQJQOQLKY-UHFFFAOYSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N(C)CCCN(C)c1nccnc1-c1nnn[n-]1 ZINC001573499991 946371076 /nfs/dbraw/zinc/37/10/76/946371076.db2.gz IADWUJSLSWCTFO-UHFFFAOYSA-N -1 1 347.383 -0.923 20 0 EBADMM CC(=O)NCC(=O)N(C)CCCN(C)c1nccnc1-c1nn[n-]n1 ZINC001573499991 946371088 /nfs/dbraw/zinc/37/10/88/946371088.db2.gz IADWUJSLSWCTFO-UHFFFAOYSA-N -1 1 347.383 -0.923 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@H](CNc2cncc(-c3nnn[n-]3)n2)C1 ZINC001573503884 946399597 /nfs/dbraw/zinc/39/95/97/946399597.db2.gz CNOIMICNFRGEHD-SNVBAGLBSA-N -1 1 345.367 -0.947 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@H](CNc2cncc(-c3nn[n-]n3)n2)C1 ZINC001573503884 946399609 /nfs/dbraw/zinc/39/96/09/946399609.db2.gz CNOIMICNFRGEHD-SNVBAGLBSA-N -1 1 345.367 -0.947 20 0 EBADMM CC(=O)NCC(=O)N1CCC(Nc2cncc(-c3nnn[n-]3)n2)CC1 ZINC001573503753 946399928 /nfs/dbraw/zinc/39/99/28/946399928.db2.gz BVIABKTVYHGNJA-UHFFFAOYSA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)NCC(=O)N1CCC(Nc2cncc(-c3nn[n-]n3)n2)CC1 ZINC001573503753 946399939 /nfs/dbraw/zinc/39/99/39/946399939.db2.gz BVIABKTVYHGNJA-UHFFFAOYSA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)C[C@@H](C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001573510295 946476221 /nfs/dbraw/zinc/47/62/21/946476221.db2.gz DPICTOGMJWYELR-RKDXNWHRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NCC(=O)N[C@H](C)C[C@@H](C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001573510295 946476228 /nfs/dbraw/zinc/47/62/28/946476228.db2.gz DPICTOGMJWYELR-RKDXNWHRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)CCNc1ccc(-c2nnn[n-]2)nn1 ZINC001573510487 946479115 /nfs/dbraw/zinc/47/91/15/946479115.db2.gz INNDYSCAKAKCIF-QMMMGPOBSA-N -1 1 333.356 -0.901 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)CCNc1ccc(-c2nn[n-]n2)nn1 ZINC001573510487 946479123 /nfs/dbraw/zinc/47/91/23/946479123.db2.gz INNDYSCAKAKCIF-QMMMGPOBSA-N -1 1 333.356 -0.901 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)[C@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001573511129 946483191 /nfs/dbraw/zinc/48/31/91/946483191.db2.gz NCIDNJHKOMQAKI-YUMQZZPRSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)[C@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001573511129 946483197 /nfs/dbraw/zinc/48/31/97/946483197.db2.gz NCIDNJHKOMQAKI-YUMQZZPRSA-N -1 1 333.356 -0.902 20 0 EBADMM C[C@@H](C(=O)N(C)C[C@H](O)CNc1ccnc(-c2nn[n-]n2)n1)C1CC1 ZINC001573596922 947435125 /nfs/dbraw/zinc/43/51/25/947435125.db2.gz MADPULSVSZLDIO-MWLCHTKSSA-N -1 1 346.395 -0.644 20 0 EBADMM C[C@H](C(=O)N(C)CCNc1ccc(-c2nnn[n-]2)nn1)n1cccn1 ZINC001573604726 947515439 /nfs/dbraw/zinc/51/54/39/947515439.db2.gz QJKZKHBAAXXAGN-SNVBAGLBSA-N -1 1 342.367 -0.015 20 0 EBADMM C[C@H](C(=O)N(C)CCNc1ccc(-c2nn[n-]n2)nn1)n1cccn1 ZINC001573604726 947515448 /nfs/dbraw/zinc/51/54/48/947515448.db2.gz QJKZKHBAAXXAGN-SNVBAGLBSA-N -1 1 342.367 -0.015 20 0 EBADMM C[C@H](C(=O)N1CC[C@]2(CCOC2=O)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573629272 947777629 /nfs/dbraw/zinc/77/76/29/947777629.db2.gz NEERUOXLWMASKM-OQPBUACISA-N -1 1 332.324 -0.815 20 0 EBADMM CC(=O)CCCC(=O)NC[C@H](O)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001573380333 947859762 /nfs/dbraw/zinc/85/97/62/947859762.db2.gz BDVUXFGFZXTARK-SNVBAGLBSA-N -1 1 348.367 -0.695 20 0 EBADMM CC(=O)CCCC(=O)NC[C@H](O)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001573380333 947859771 /nfs/dbraw/zinc/85/97/71/947859771.db2.gz BDVUXFGFZXTARK-SNVBAGLBSA-N -1 1 348.367 -0.695 20 0 EBADMM C[C@H](C(=O)NC(C)(C)[C@@H](O)C(F)(F)F)n1cnc(-c2nn[n-]n2)n1 ZINC001573650463 947911731 /nfs/dbraw/zinc/91/17/31/947911731.db2.gz CCXFGOMTAXWMDM-MLUIRONXSA-N -1 1 348.289 -0.163 20 0 EBADMM C[C@@H](C(=O)N[C@@H](CCC(C)(C)C)C(N)=O)n1cnc(-c2nn[n-]n2)n1 ZINC001573653972 947961777 /nfs/dbraw/zinc/96/17/77/947961777.db2.gz OWUHUZZXJPHIEK-IUCAKERBSA-N -1 1 349.399 -0.184 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)[C@@H](C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001573398087 947976015 /nfs/dbraw/zinc/97/60/15/947976015.db2.gz GNRAPZTYDJQUIB-RKDXNWHRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)[C@@H](C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001573398087 947976018 /nfs/dbraw/zinc/97/60/18/947976018.db2.gz GNRAPZTYDJQUIB-RKDXNWHRSA-N -1 1 347.383 -0.560 20 0 EBADMM C[C@H](C(=O)N[C@H](CO)c1cccnc1)n1cnc(-c2nn[n-]n2)n1 ZINC001573657021 947997458 /nfs/dbraw/zinc/99/74/58/947997458.db2.gz JSZPNLVNZIMSCG-PSASIEDQSA-N -1 1 329.324 -0.736 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@H](C)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001573404348 948022042 /nfs/dbraw/zinc/02/20/42/948022042.db2.gz SGPZJNDVKCOXQQ-VIFPVBQESA-N -1 1 347.383 -0.924 20 0 EBADMM C[C@@H](C(=O)NC[C@H](CO)Cc1ccoc1)n1cnc(-c2nn[n-]n2)n1 ZINC001573680666 948183805 /nfs/dbraw/zinc/18/38/05/948183805.db2.gz REAOPVVDAKVDGL-GXSJLCMTSA-N -1 1 346.351 -0.420 20 0 EBADMM CC(=O)NCCN(C(=O)C1CN([C@@H]2CCOC2)C1)[C@H](C)C(=O)[O-] ZINC001602753916 971463236 /nfs/dbraw/zinc/46/32/36/971463236.db2.gz VOCXBHUKBJOJIV-ZWNOBZJWSA-N -1 1 327.381 -0.855 20 0 EBADMM C[C@H](C(=O)NC[C@@H](CO)Nc1ccnc(-c2nn[n-]n2)n1)C(C)(C)C ZINC001573681951 948219580 /nfs/dbraw/zinc/21/95/80/948219580.db2.gz ZMEHWNPRYLRHIS-ZJUUUORDSA-N -1 1 348.411 -0.350 20 0 EBADMM CC(=O)N1CC[C@@]2(C1)CN(c1ccnc(-c3nn[n-]n3)n1)CCO2 ZINC001573439543 948246841 /nfs/dbraw/zinc/24/68/41/948246841.db2.gz MAAIZLHALVBTMU-CQSZACIVSA-N -1 1 330.352 -0.516 20 0 EBADMM C[C@H](C(=O)NC[C@@H](O)CNc1cnc(-c2nnn[n-]2)cn1)C1CCC1 ZINC001573694422 948294353 /nfs/dbraw/zinc/29/43/53/948294353.db2.gz VJUPVRYALWNNFX-ONGXEEELSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](C(=O)NC[C@@H](O)CNc1cnc(-c2nn[n-]n2)cn1)C1CCC1 ZINC001573694422 948294362 /nfs/dbraw/zinc/29/43/62/948294362.db2.gz VJUPVRYALWNNFX-ONGXEEELSA-N -1 1 346.395 -0.018 20 0 EBADMM CC(=O)N1CCC[C@@H](N(CCO)c2nccnc2-c2nnn[n-]2)C1 ZINC001573445283 948323548 /nfs/dbraw/zinc/32/35/48/948323548.db2.gz ZLTFSZCGEHADHF-LLVKDONJSA-N -1 1 332.368 -0.534 20 0 EBADMM CC(=O)N1CCC[C@@H](N(CCO)c2nccnc2-c2nn[n-]n2)C1 ZINC001573445283 948323554 /nfs/dbraw/zinc/32/35/54/948323554.db2.gz ZLTFSZCGEHADHF-LLVKDONJSA-N -1 1 332.368 -0.534 20 0 EBADMM C[C@H](C(=O)NCc1ncc2c(n1)CCC2)n1cnc(-c2nn[n-]n2)n1 ZINC001573727622 948555882 /nfs/dbraw/zinc/55/58/82/948555882.db2.gz AWUHSHQUZVUPAC-MRVPVSSYSA-N -1 1 340.351 -0.386 20 0 EBADMM C[C@H](C(=O)NCc1ccnc(-c2nnn[n-]2)c1)[C@@H](C)S(C)(=O)=O ZINC001573729706 948572083 /nfs/dbraw/zinc/57/20/83/948572083.db2.gz JFIFTVVLBOLVSX-DTWKUNHWSA-N -1 1 338.393 -0.053 20 0 EBADMM C[C@H](C(=O)NCc1ccnc(-c2nn[n-]n2)c1)[C@@H](C)S(C)(=O)=O ZINC001573729706 948572087 /nfs/dbraw/zinc/57/20/87/948572087.db2.gz JFIFTVVLBOLVSX-DTWKUNHWSA-N -1 1 338.393 -0.053 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)NC(=O)[C@H](C)n1cccn1 ZINC001573731873 948598391 /nfs/dbraw/zinc/59/83/91/948598391.db2.gz JLSORIKGHYDHHI-UWVGGRQHSA-N -1 1 342.367 -0.547 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CN(c2cncc(-c3nnn[n-]3)n2)C[C@H]1O)C1CC1 ZINC001573740182 948629637 /nfs/dbraw/zinc/62/96/37/948629637.db2.gz KJDAILVEFLCNNS-XXILOJSOSA-N -1 1 344.379 -0.632 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CN(c2cncc(-c3nn[n-]n3)n2)C[C@H]1O)C1CC1 ZINC001573740182 948629643 /nfs/dbraw/zinc/62/96/43/948629643.db2.gz KJDAILVEFLCNNS-XXILOJSOSA-N -1 1 344.379 -0.632 20 0 EBADMM Cn1c(=O)[nH]c(N[C@@H]2CCc3n[nH]cc3C2)c(-c2nn[n-]n2)c1=O ZINC001570926117 948683700 /nfs/dbraw/zinc/68/37/00/948683700.db2.gz PDULDGXYMSIIET-SSDOTTSWSA-N -1 1 329.324 -0.642 20 0 EBADMM O=C(N[C@@H]1C[C@@H](O)[C@@H](O)C1)c1[nH]c2ccccc2c1-c1nn[n-]n1 ZINC001570926974 948700240 /nfs/dbraw/zinc/70/02/40/948700240.db2.gz LSGNHNUWLDWGAK-IJRMOIDVSA-N -1 1 328.332 -0.038 20 0 EBADMM O=C(c1cnco1)N1CCN(c2cncc(-c3nnn[n-]3)n2)CC1 ZINC001570927324 948709600 /nfs/dbraw/zinc/70/96/00/948709600.db2.gz NNCDWQAGNXSSFV-UHFFFAOYSA-N -1 1 327.308 -0.393 20 0 EBADMM O=C(c1cnco1)N1CCN(c2cncc(-c3nn[n-]n3)n2)CC1 ZINC001570927324 948709610 /nfs/dbraw/zinc/70/96/10/948709610.db2.gz NNCDWQAGNXSSFV-UHFFFAOYSA-N -1 1 327.308 -0.393 20 0 EBADMM Cc1[nH]c(-c2nn[nH]n2)cc1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001570928142 948727479 /nfs/dbraw/zinc/72/74/79/948727479.db2.gz NQZBFLDYYZEVLC-VIFPVBQESA-N -1 1 330.312 -0.770 20 0 EBADMM O=c1[nH]c(CNc2ccnc(-c3nn[n-]n3)n2)nc2c1COCC2 ZINC001570928478 948733820 /nfs/dbraw/zinc/73/38/20/948733820.db2.gz YOVWHXSFABXKBG-UHFFFAOYSA-N -1 1 327.308 -0.741 20 0 EBADMM O=S(=O)(NCCn1cnnc1)c1ccsc1-c1nn[n-]n1 ZINC001570928504 948735524 /nfs/dbraw/zinc/73/55/24/948735524.db2.gz ZTRGGPBMTNRRKA-UHFFFAOYSA-N -1 1 326.367 -0.502 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)N[C@@H]1C(=O)NCC1(C)C ZINC001570929039 948749373 /nfs/dbraw/zinc/74/93/73/948749373.db2.gz FWUSEHMVTMZPHM-SECBINFHSA-N -1 1 331.336 -0.470 20 0 EBADMM C[C@@H](CCNc1cnc(-c2nnn[n-]2)cn1)NC(=O)[C@H]1CCC(=O)N1 ZINC001574678641 948755186 /nfs/dbraw/zinc/75/51/86/948755186.db2.gz HVIJSNOSSNCXAG-DTWKUNHWSA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@@H](CCNc1cnc(-c2nn[n-]n2)cn1)NC(=O)[C@H]1CCC(=O)N1 ZINC001574678641 948755192 /nfs/dbraw/zinc/75/51/92/948755192.db2.gz HVIJSNOSSNCXAG-DTWKUNHWSA-N -1 1 345.367 -0.758 20 0 EBADMM CC(C)[C@H](NS(C)(=O)=O)C(=O)Nc1n[nH]cc1-c1nnn[n-]1 ZINC001574078991 948760251 /nfs/dbraw/zinc/76/02/51/948760251.db2.gz HKOATMVLSOVMSC-ZETCQYMHSA-N -1 1 328.358 -0.898 20 0 EBADMM CC(C)[C@H](NS(C)(=O)=O)C(=O)Nc1n[nH]cc1-c1nn[n-]n1 ZINC001574078991 948760257 /nfs/dbraw/zinc/76/02/57/948760257.db2.gz HKOATMVLSOVMSC-ZETCQYMHSA-N -1 1 328.358 -0.898 20 0 EBADMM C[C@H](CCNc1cnc(-c2nnn[n-]2)cn1)NC(=O)c1cn(C)nn1 ZINC001574679410 948781033 /nfs/dbraw/zinc/78/10/33/948781033.db2.gz UWUNWHGTAZFKSK-MRVPVSSYSA-N -1 1 343.355 -0.594 20 0 EBADMM C[C@H](CCNc1cnc(-c2nn[n-]n2)cn1)NC(=O)c1cn(C)nn1 ZINC001574679410 948781044 /nfs/dbraw/zinc/78/10/44/948781044.db2.gz UWUNWHGTAZFKSK-MRVPVSSYSA-N -1 1 343.355 -0.594 20 0 EBADMM CCc1nc(-c2nnn[n-]2)cc(N2CC[C@H](NC(C)=O)[C@@H](O)C2)n1 ZINC001570930066 948798223 /nfs/dbraw/zinc/79/82/23/948798223.db2.gz AEYRBHPQDHOUHF-ONGXEEELSA-N -1 1 332.368 -0.705 20 0 EBADMM CCc1nc(-c2nn[n-]n2)cc(N2CC[C@H](NC(C)=O)[C@@H](O)C2)n1 ZINC001570930066 948798229 /nfs/dbraw/zinc/79/82/29/948798229.db2.gz AEYRBHPQDHOUHF-ONGXEEELSA-N -1 1 332.368 -0.705 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001574681345 948817545 /nfs/dbraw/zinc/81/75/45/948817545.db2.gz LSRFUESXPFKVES-QISWUMQESA-N -1 1 344.379 -0.144 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001574681345 948817562 /nfs/dbraw/zinc/81/75/62/948817562.db2.gz LSRFUESXPFKVES-QISWUMQESA-N -1 1 344.379 -0.144 20 0 EBADMM CCC(=O)N1CCO[C@H]([C@@H](C)Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570931428 948844213 /nfs/dbraw/zinc/84/42/13/948844213.db2.gz XVRBJHAVKHHNJC-ZJUUUORDSA-N -1 1 332.368 -0.484 20 0 EBADMM COC(=O)[C@@]1(O)CCN(C(=O)Nc2cccc(-c3nnn[n-]3)n2)C1 ZINC001570931496 948846553 /nfs/dbraw/zinc/84/65/53/948846553.db2.gz YUFNZEBFLXKNJZ-CYBMUJFWSA-N -1 1 333.308 -0.597 20 0 EBADMM COC(=O)[C@@]1(O)CCN(C(=O)Nc2cccc(-c3nn[n-]n3)n2)C1 ZINC001570931496 948846572 /nfs/dbraw/zinc/84/65/72/948846572.db2.gz YUFNZEBFLXKNJZ-CYBMUJFWSA-N -1 1 333.308 -0.597 20 0 EBADMM Cn1c(=O)[nH]c(N2CC(C)(C)CC[C@@H]2CO)c(-c2nn[n-]n2)c1=O ZINC001570933259 948880865 /nfs/dbraw/zinc/88/08/65/948880865.db2.gz KWUWQPDLKDUQOX-MRVPVSSYSA-N -1 1 335.368 -0.759 20 0 EBADMM COC(=O)[C@@]1(C)CCCN(C(=O)Cn2cnc(-c3nn[n-]n3)n2)C1 ZINC001570934567 948946060 /nfs/dbraw/zinc/94/60/60/948946060.db2.gz LLURYMRSAUNQHB-ZDUSSCGKSA-N -1 1 334.340 -0.740 20 0 EBADMM C[C@H](CNC(=O)CCn1cncn1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574923439 948948264 /nfs/dbraw/zinc/94/82/64/948948264.db2.gz MNNILWUUGGYWRN-SECBINFHSA-N -1 1 343.355 -0.745 20 0 EBADMM C[C@H](CNC(=O)CCn1cncn1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574923439 948948275 /nfs/dbraw/zinc/94/82/75/948948275.db2.gz MNNILWUUGGYWRN-SECBINFHSA-N -1 1 343.355 -0.745 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)NC[C@H](O)[C@H]1CCCO1 ZINC001570934909 948958564 /nfs/dbraw/zinc/95/85/64/948958564.db2.gz OHQLQIAYUYCPRR-VHSXEESVSA-N -1 1 334.336 -0.455 20 0 EBADMM C[C@@H](CNC(=O)CN1CCCC1=O)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574926125 948986877 /nfs/dbraw/zinc/98/68/77/948986877.db2.gz IYBGULUAMLFOAR-VIFPVBQESA-N -1 1 345.367 -0.804 20 0 EBADMM C[C@@H](CNC(=O)CN1CCCC1=O)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574926125 948986891 /nfs/dbraw/zinc/98/68/91/948986891.db2.gz IYBGULUAMLFOAR-VIFPVBQESA-N -1 1 345.367 -0.804 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)[C@@H]1COCCO1 ZINC001574691938 949033381 /nfs/dbraw/zinc/03/33/81/949033381.db2.gz ZJDGVFMVIFAAAI-ZJUUUORDSA-N -1 1 348.367 -0.621 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)[C@@H]1COCCO1 ZINC001574691938 949033397 /nfs/dbraw/zinc/03/33/97/949033397.db2.gz ZJDGVFMVIFAAAI-ZJUUUORDSA-N -1 1 348.367 -0.621 20 0 EBADMM C[C@H](CNC(=O)CNC(=O)C1CC1)Nc1nccnc1-c1nn[n-]n1 ZINC001574928245 949055955 /nfs/dbraw/zinc/05/59/55/949055955.db2.gz NLYMEBCEBGHIGE-MRVPVSSYSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@H](CNC(=O)CNC(=O)C1CC1)Nc1nccnc1-c1nnn[n-]1 ZINC001574928245 949055931 /nfs/dbraw/zinc/05/59/31/949055931.db2.gz NLYMEBCEBGHIGE-MRVPVSSYSA-N -1 1 345.367 -0.901 20 0 EBADMM CN(C(=O)c1cn[nH]c1)[C@@H]1CCN(c2cnc(-c3nnn[n-]3)cn2)C1 ZINC001570937314 949073319 /nfs/dbraw/zinc/07/33/19/949073319.db2.gz BKGJSXYTMJUCEI-SNVBAGLBSA-N -1 1 340.351 -0.269 20 0 EBADMM CN(C(=O)c1cn[nH]c1)[C@@H]1CCN(c2cnc(-c3nn[n-]n3)cn2)C1 ZINC001570937314 949073340 /nfs/dbraw/zinc/07/33/40/949073340.db2.gz BKGJSXYTMJUCEI-SNVBAGLBSA-N -1 1 340.351 -0.269 20 0 EBADMM C[C@@H](CNC(=O)COCC1CC1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574929232 949089057 /nfs/dbraw/zinc/08/90/57/949089057.db2.gz AYCNYLCKCFRTCY-VIFPVBQESA-N -1 1 332.368 0.000 20 0 EBADMM C[C@@H](CNC(=O)COCC1CC1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574929232 949089076 /nfs/dbraw/zinc/08/90/76/949089076.db2.gz AYCNYLCKCFRTCY-VIFPVBQESA-N -1 1 332.368 0.000 20 0 EBADMM O=C(Cn1cccn1)N[C@@H]1CCN(c2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570938061 949091083 /nfs/dbraw/zinc/09/10/83/949091083.db2.gz NCLRZSSREVPOLK-SNVBAGLBSA-N -1 1 340.351 -0.752 20 0 EBADMM Cn1cc(N2C[C@H](C(=O)Nc3c[nH]nc3-c3nn[n-]n3)CC2=O)cn1 ZINC001570938251 949098993 /nfs/dbraw/zinc/09/89/93/949098993.db2.gz CIJHAPOQIYOXHZ-SSDOTTSWSA-N -1 1 342.323 -0.685 20 0 EBADMM C[C@H](CNC(=O)CO[C@H]1CCOC1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574930204 949105784 /nfs/dbraw/zinc/10/57/84/949105784.db2.gz JVLJIWHGLDSRTQ-ZJUUUORDSA-N -1 1 348.367 -0.621 20 0 EBADMM C[C@H](CNC(=O)CO[C@H]1CCOC1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574930204 949105798 /nfs/dbraw/zinc/10/57/98/949105798.db2.gz JVLJIWHGLDSRTQ-ZJUUUORDSA-N -1 1 348.367 -0.621 20 0 EBADMM CCNS(=O)(=O)[C@H]1CCN(C(=O)c2coc(-c3nnn[n-]3)c2)C1 ZINC001570938718 949112650 /nfs/dbraw/zinc/11/26/50/949112650.db2.gz XLVKMNXPJSHYFF-VIFPVBQESA-N -1 1 340.365 -0.387 20 0 EBADMM CCNS(=O)(=O)[C@H]1CCN(C(=O)c2coc(-c3nn[n-]n3)c2)C1 ZINC001570938718 949112660 /nfs/dbraw/zinc/11/26/60/949112660.db2.gz XLVKMNXPJSHYFF-VIFPVBQESA-N -1 1 340.365 -0.387 20 0 EBADMM Cc1ncoc1C(=O)N[C@H]1CCN(c2cnc(-c3nnn[n-]3)cn2)C1 ZINC001570939222 949131920 /nfs/dbraw/zinc/13/19/20/949131920.db2.gz CRGLFPGPAFWNJK-VIFPVBQESA-N -1 1 341.335 -0.038 20 0 EBADMM Cc1ncoc1C(=O)N[C@H]1CCN(c2cnc(-c3nn[n-]n3)cn2)C1 ZINC001570939222 949131939 /nfs/dbraw/zinc/13/19/39/949131939.db2.gz CRGLFPGPAFWNJK-VIFPVBQESA-N -1 1 341.335 -0.038 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001574696585 949135592 /nfs/dbraw/zinc/13/55/92/949135592.db2.gz RNAWUZTVYPQAPN-ZNSHCXBVSA-N -1 1 344.379 -0.144 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001574696585 949135605 /nfs/dbraw/zinc/13/56/05/949135605.db2.gz RNAWUZTVYPQAPN-ZNSHCXBVSA-N -1 1 344.379 -0.144 20 0 EBADMM CC(C)N(C[C@H](O)CO)C(=O)NCc1nc(-c2nnn[n-]2)cs1 ZINC001570939960 949160285 /nfs/dbraw/zinc/16/02/85/949160285.db2.gz IKODYHXSVGCALF-QMMMGPOBSA-N -1 1 341.397 -0.404 20 0 EBADMM CC(C)N(C[C@H](O)CO)C(=O)NCc1nc(-c2nn[n-]n2)cs1 ZINC001570939960 949160294 /nfs/dbraw/zinc/16/02/94/949160294.db2.gz IKODYHXSVGCALF-QMMMGPOBSA-N -1 1 341.397 -0.404 20 0 EBADMM CC(C)CC(=O)N(CCO)CCNc1ccc(-c2nnn[n-]2)nn1 ZINC001574098530 949161559 /nfs/dbraw/zinc/16/15/59/949161559.db2.gz CIOULTPSWBCDEJ-UHFFFAOYSA-N -1 1 334.384 -0.064 20 0 EBADMM CC(C)CC(=O)N(CCO)CCNc1ccc(-c2nn[n-]n2)nn1 ZINC001574098530 949161568 /nfs/dbraw/zinc/16/15/68/949161568.db2.gz CIOULTPSWBCDEJ-UHFFFAOYSA-N -1 1 334.384 -0.064 20 0 EBADMM C[C@H](CC(N)=O)C(=O)N(C)C[C@H](C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574698371 949188012 /nfs/dbraw/zinc/18/80/12/949188012.db2.gz JUVLEHOUZLRGKP-BDAKNGLRSA-N -1 1 347.383 -0.573 20 0 EBADMM C[C@H](CC(N)=O)C(=O)N(C)C[C@H](C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574698371 949188021 /nfs/dbraw/zinc/18/80/21/949188021.db2.gz JUVLEHOUZLRGKP-BDAKNGLRSA-N -1 1 347.383 -0.573 20 0 EBADMM O=C(c1nc[nH]n1)N1CCC[C@@H](Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001570940994 949199829 /nfs/dbraw/zinc/19/98/29/949199829.db2.gz QSSBZJGKKSTGIP-MRVPVSSYSA-N -1 1 341.339 -0.509 20 0 EBADMM Cc1nocc1C(=O)N[C@H]1CCN(c2cnc(-c3nnn[n-]3)cn2)C1 ZINC001570941365 949219176 /nfs/dbraw/zinc/21/91/76/949219176.db2.gz UDSYYQADQOGCDI-VIFPVBQESA-N -1 1 341.335 -0.038 20 0 EBADMM Cc1nocc1C(=O)N[C@H]1CCN(c2cnc(-c3nn[n-]n3)cn2)C1 ZINC001570941365 949219201 /nfs/dbraw/zinc/21/92/01/949219201.db2.gz UDSYYQADQOGCDI-VIFPVBQESA-N -1 1 341.335 -0.038 20 0 EBADMM Cc1nocc1C(=O)N[C@H]1CCN(c2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570941552 949233907 /nfs/dbraw/zinc/23/39/07/949233907.db2.gz WKGVPAHHYJBPNV-VIFPVBQESA-N -1 1 341.335 -0.038 20 0 EBADMM Cn1nc(C2CC2)cc1CNc1[nH]c(=O)n(C)c(=O)c1-c1nn[n-]n1 ZINC001570942208 949270953 /nfs/dbraw/zinc/27/09/53/949270953.db2.gz HJAQHDPTZPNHGD-UHFFFAOYSA-N -1 1 343.351 -0.111 20 0 EBADMM C[C@H](CNC(=O)Cc1ccc[nH]1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001574941901 949320407 /nfs/dbraw/zinc/32/04/07/949320407.db2.gz UXUQJWRNFJBEOF-JTQLQIEISA-N -1 1 341.379 -0.186 20 0 EBADMM C[C@H]1[C@H](CO)CCCN1C(=O)NCCn1cnc(-c2nn[n-]n2)n1 ZINC001575865422 949372288 /nfs/dbraw/zinc/37/22/88/949372288.db2.gz ALCXIDOCFPHTOX-UWVGGRQHSA-N -1 1 335.372 -0.739 20 0 EBADMM C[C@@H](CNC(=O)Cn1cncn1)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001574947466 949447174 /nfs/dbraw/zinc/44/71/74/949447174.db2.gz BBOVBDILLZSAGD-SECBINFHSA-N -1 1 343.355 -0.887 20 0 EBADMM C[C@@H](CNC(=O)Cn1cncn1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001574947466 949447188 /nfs/dbraw/zinc/44/71/88/949447188.db2.gz BBOVBDILLZSAGD-SECBINFHSA-N -1 1 343.355 -0.887 20 0 EBADMM O=C(N[C@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C1)c1n[nH]cc1F ZINC001570945477 949482104 /nfs/dbraw/zinc/48/21/04/949482104.db2.gz AKPLVSAZPQYYLE-ZETCQYMHSA-N -1 1 344.314 -0.472 20 0 EBADMM O=C(N[C@@H]1CCN(c2ccnc(-c3nn[n-]n3)n2)C1)c1n[nH]cc1F ZINC001570945483 949482649 /nfs/dbraw/zinc/48/26/49/949482649.db2.gz AOVZWSSYOLUVDN-SSDOTTSWSA-N -1 1 344.314 -0.472 20 0 EBADMM O=C(NCCn1cnc(-c2nn[n-]n2)n1)c1c[nH]nc1[C@@H]1CCCO1 ZINC001570945542 949488678 /nfs/dbraw/zinc/48/86/78/949488678.db2.gz DLJFKBJZYQUSMZ-VIFPVBQESA-N -1 1 344.339 -0.537 20 0 EBADMM C[C@H](CNC(=O)Cn1cccn1)CNc1nccnc1-c1nnn[n-]1 ZINC001574949301 949491828 /nfs/dbraw/zinc/49/18/28/949491828.db2.gz SOZLCWSVSANSOI-SNVBAGLBSA-N -1 1 342.367 -0.282 20 0 EBADMM C[C@H](CNC(=O)Cn1cccn1)CNc1nccnc1-c1nn[n-]n1 ZINC001574949301 949491833 /nfs/dbraw/zinc/49/18/33/949491833.db2.gz SOZLCWSVSANSOI-SNVBAGLBSA-N -1 1 342.367 -0.282 20 0 EBADMM C[C@@H](CNC(=O)Cn1cccn1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001574949444 949495937 /nfs/dbraw/zinc/49/59/37/949495937.db2.gz WSRZUSCIGWRXEN-JTQLQIEISA-N -1 1 342.367 -0.506 20 0 EBADMM C[C@@H](CNC(=O)Cn1cccn1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001574949444 949495942 /nfs/dbraw/zinc/49/59/42/949495942.db2.gz WSRZUSCIGWRXEN-JTQLQIEISA-N -1 1 342.367 -0.506 20 0 EBADMM O=C([C@@H]1CCOC1)N1CCC[C@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570946503 949531880 /nfs/dbraw/zinc/53/18/80/949531880.db2.gz PROUNFFRIHRCFY-MNOVXSKESA-N -1 1 344.379 -0.482 20 0 EBADMM O=C(N[C@@H]1CCN(c2cnc(-c3nn[n-]n3)cn2)C1)c1n[nH]cc1F ZINC001570946467 949534937 /nfs/dbraw/zinc/53/49/37/949534937.db2.gz ORCPNHTUBIUWJX-SSDOTTSWSA-N -1 1 344.314 -0.472 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1CCOC1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574711578 949545497 /nfs/dbraw/zinc/54/54/97/949545497.db2.gz JLMVRRLJUCHRNM-VHSXEESVSA-N -1 1 332.368 -0.048 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1CCOC1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574711578 949545511 /nfs/dbraw/zinc/54/55/11/949545511.db2.gz JLMVRRLJUCHRNM-VHSXEESVSA-N -1 1 332.368 -0.048 20 0 EBADMM O=C(NC[C@H]1CCCN1c1nccnc1-c1nnn[n-]1)[C@@H]1CCOC1 ZINC001570946846 949546227 /nfs/dbraw/zinc/54/62/27/949546227.db2.gz RQPRPTPGMVAUDP-GHMZBOCLSA-N -1 1 344.379 -0.222 20 0 EBADMM O=C(NC[C@H]1CCCN1c1nccnc1-c1nn[n-]n1)[C@@H]1CCOC1 ZINC001570946846 949546249 /nfs/dbraw/zinc/54/62/49/949546249.db2.gz RQPRPTPGMVAUDP-GHMZBOCLSA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1COCCO1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574711783 949556802 /nfs/dbraw/zinc/55/68/02/949556802.db2.gz MCDFUWYKJWJENT-MWLCHTKSSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1COCCO1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574711783 949556821 /nfs/dbraw/zinc/55/68/21/949556821.db2.gz MCDFUWYKJWJENT-MWLCHTKSSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1CCOC1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574711961 949566281 /nfs/dbraw/zinc/56/62/81/949566281.db2.gz ORJDPYOIEWBJDR-NXEZZACHSA-N -1 1 332.368 -0.048 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1CCOC1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574711961 949566298 /nfs/dbraw/zinc/56/62/98/949566298.db2.gz ORJDPYOIEWBJDR-NXEZZACHSA-N -1 1 332.368 -0.048 20 0 EBADMM C[C@@H](CNC(=O)[C@@H]1CCC(=O)N1C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574957380 949607400 /nfs/dbraw/zinc/60/74/00/949607400.db2.gz WMOMIEJTWMUFBB-WPRPVWTQSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@H](CNC(=O)[C@@H]1CCC(=O)N1C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574957380 949607414 /nfs/dbraw/zinc/60/74/14/949607414.db2.gz WMOMIEJTWMUFBB-WPRPVWTQSA-N -1 1 345.367 -0.806 20 0 EBADMM COc1ccnc(CNc2[nH]c(=O)n(C)c(=O)c2-c2nn[n-]n2)c1F ZINC001570949556 949646688 /nfs/dbraw/zinc/64/66/88/949646688.db2.gz QFILRKVRMYWOLB-UHFFFAOYSA-N -1 1 348.298 -0.179 20 0 EBADMM CC(C)C[C@H](C)C(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001574132989 949705749 /nfs/dbraw/zinc/70/57/49/949705749.db2.gz BQSSYJOIVKWXHI-VIFPVBQESA-N -1 1 335.368 -0.073 20 0 EBADMM C[C@@H](CNC(=O)[C@]1(C)CCC(=O)N1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574965875 949822976 /nfs/dbraw/zinc/82/29/76/949822976.db2.gz NWMRDWYXBYJFAT-RTHLEPHNSA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@@H](CNC(=O)[C@]1(C)CCC(=O)N1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574965875 949822996 /nfs/dbraw/zinc/82/29/96/949822996.db2.gz NWMRDWYXBYJFAT-RTHLEPHNSA-N -1 1 345.367 -0.758 20 0 EBADMM CC1(C(=O)N[C@H]2CCN(c3nccnc3-c3nnn[n-]3)C[C@@H]2O)CC1 ZINC001575570609 949942718 /nfs/dbraw/zinc/94/27/18/949942718.db2.gz TUIBUCZQOMGEDF-UWVGGRQHSA-N -1 1 344.379 -0.487 20 0 EBADMM CC1(C(=O)N[C@H]2CCN(c3nccnc3-c3nn[n-]n3)C[C@@H]2O)CC1 ZINC001575570609 949942736 /nfs/dbraw/zinc/94/27/36/949942736.db2.gz TUIBUCZQOMGEDF-UWVGGRQHSA-N -1 1 344.379 -0.487 20 0 EBADMM C[C@@]1(C(=O)N[C@H]2CCN(c3cncc(-c4nnn[n-]4)n3)C2)CCOC1 ZINC001575570899 949947214 /nfs/dbraw/zinc/94/72/14/949947214.db2.gz WEUMSJVUHOVIJB-ZUZCIYMTSA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@@]1(C(=O)N[C@H]2CCN(c3cncc(-c4nn[n-]n4)n3)C2)CCOC1 ZINC001575570899 949947222 /nfs/dbraw/zinc/94/72/22/949947222.db2.gz WEUMSJVUHOVIJB-ZUZCIYMTSA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@H](CN(C)C(=O)Cc1cc[nH]n1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574728659 950003217 /nfs/dbraw/zinc/00/32/17/950003217.db2.gz GNXMZFMQCUGGLG-SECBINFHSA-N -1 1 342.367 -0.697 20 0 EBADMM C[C@H](CN(C)C(=O)Cc1ncc[nH]1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574732986 950084837 /nfs/dbraw/zinc/08/48/37/950084837.db2.gz OQTZBPOCYCVISS-SECBINFHSA-N -1 1 342.367 -0.119 20 0 EBADMM C[C@H](CN(C)C(=O)Cc1ncc[nH]1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574732986 950084862 /nfs/dbraw/zinc/08/48/62/950084862.db2.gz OQTZBPOCYCVISS-SECBINFHSA-N -1 1 342.367 -0.119 20 0 EBADMM CC(C)(C)CC(=O)N[C@@H]1CN(c2cncc(-c3nnn[n-]3)n2)C[C@H]1O ZINC001573838405 950110155 /nfs/dbraw/zinc/11/01/55/950110155.db2.gz BZKJUBACBZKKKI-GHMZBOCLSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)(C)CC(=O)N[C@@H]1CN(c2cncc(-c3nn[n-]n3)n2)C[C@H]1O ZINC001573838405 950110163 /nfs/dbraw/zinc/11/01/63/950110163.db2.gz BZKJUBACBZKKKI-GHMZBOCLSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)[C@@H](O)C(=O)N(C)C[C@H](C)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574734437 950138703 /nfs/dbraw/zinc/13/87/03/950138703.db2.gz MSGSMWGCLATLKD-GXSJLCMTSA-N -1 1 334.384 -0.646 20 0 EBADMM CC1(C(=O)NC[C@]2(O)CCN(c3nccnc3-c3nnn[n-]3)C2)CC1 ZINC001575583538 950144779 /nfs/dbraw/zinc/14/47/79/950144779.db2.gz SFOMJKXXNXTDRB-OAHLLOKOSA-N -1 1 344.379 -0.486 20 0 EBADMM CC1(C(=O)NC[C@]2(O)CCN(c3nccnc3-c3nn[n-]n3)C2)CC1 ZINC001575583538 950144793 /nfs/dbraw/zinc/14/47/93/950144793.db2.gz SFOMJKXXNXTDRB-OAHLLOKOSA-N -1 1 344.379 -0.486 20 0 EBADMM C[C@H](CNC(=O)c1c[nH]c(=O)n1C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574984152 950262887 /nfs/dbraw/zinc/26/28/87/950262887.db2.gz VZPPKANBIPYULB-SSDOTTSWSA-N -1 1 344.339 -0.674 20 0 EBADMM C[C@H](CNC(=O)c1c[nH]c(=O)n1C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574984152 950262907 /nfs/dbraw/zinc/26/29/07/950262907.db2.gz VZPPKANBIPYULB-SSDOTTSWSA-N -1 1 344.339 -0.674 20 0 EBADMM CC(C)(C)NS(=O)(=O)CC(=O)Nc1n[nH]cc1-c1nnn[n-]1 ZINC001573850445 950273462 /nfs/dbraw/zinc/27/34/62/950273462.db2.gz JRVHXLRNOMXDPY-UHFFFAOYSA-N -1 1 328.358 -0.754 20 0 EBADMM CC(C)(C)NS(=O)(=O)CC(=O)Nc1n[nH]cc1-c1nn[n-]n1 ZINC001573850445 950273476 /nfs/dbraw/zinc/27/34/76/950273476.db2.gz JRVHXLRNOMXDPY-UHFFFAOYSA-N -1 1 328.358 -0.754 20 0 EBADMM C[C@@H]1[C@@H](Nc2ccnc(-c3nn[n-]n3)n2)CCN1C(=O)c1cocn1 ZINC001575920125 950628696 /nfs/dbraw/zinc/62/86/96/950628696.db2.gz LDCWXYNSRWSCPH-BDAKNGLRSA-N -1 1 341.335 -0.218 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cccn1C)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574760740 950677708 /nfs/dbraw/zinc/67/77/08/950677708.db2.gz ZATGJJILCIGRDL-JTQLQIEISA-N -1 1 341.379 -0.010 20 0 EBADMM C[C@@H]1CC[N@H+](Cc2cc(=O)n3[n-]cc(-c4nn[n-]n4)c3n2)[C@H]1CO ZINC001576215023 950688875 /nfs/dbraw/zinc/68/88/75/950688875.db2.gz UIGWHVMTAUAOGP-KCJUWKMLSA-N -1 1 330.352 -0.182 20 0 EBADMM C[C@@H]1CC[N@@H+](Cc2cc(=O)n3[n-]cc(-c4nn[n-]n4)c3n2)[C@H]1CO ZINC001576215023 950688886 /nfs/dbraw/zinc/68/88/86/950688886.db2.gz UIGWHVMTAUAOGP-KCJUWKMLSA-N -1 1 330.352 -0.182 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cncnc1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574763714 950729248 /nfs/dbraw/zinc/72/92/48/950729248.db2.gz ISARIPPRVRNYIQ-VIFPVBQESA-N -1 1 340.351 -0.559 20 0 EBADMM CC(C)CCC(=O)NC[C@H](O)CNc1nccnc1-c1nnn[n-]1 ZINC001574182758 950761295 /nfs/dbraw/zinc/76/12/95/950761295.db2.gz YGMASRDANWDCHB-JTQLQIEISA-N -1 1 334.384 -0.018 20 0 EBADMM CC(C)CCC(=O)NC[C@H](O)CNc1nccnc1-c1nn[n-]n1 ZINC001574182758 950761312 /nfs/dbraw/zinc/76/13/12/950761312.db2.gz YGMASRDANWDCHB-JTQLQIEISA-N -1 1 334.384 -0.018 20 0 EBADMM C[C@@H](CN(C)C(=O)c1nc[nH]n1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574765380 950785119 /nfs/dbraw/zinc/78/51/19/950785119.db2.gz HOYVAKRJXGECEG-ZETCQYMHSA-N -1 1 329.328 -0.653 20 0 EBADMM C[C@@H](CN(C)C(=O)c1nc[nH]n1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574765380 950785129 /nfs/dbraw/zinc/78/51/29/950785129.db2.gz HOYVAKRJXGECEG-ZETCQYMHSA-N -1 1 329.328 -0.653 20 0 EBADMM CCOC(=O)[C@@]1(COC)CCCN([C@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC001590179388 950867329 /nfs/dbraw/zinc/86/73/29/950867329.db2.gz ZTUUCGMVXXVFRX-LRDDRELGSA-N -1 1 342.392 -0.036 20 0 EBADMM CC(C)CCCC(=O)NC[C@H](CO)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574189592 950893552 /nfs/dbraw/zinc/89/35/52/950893552.db2.gz OJSLGFYQCRZQOJ-LLVKDONJSA-N -1 1 348.411 -0.206 20 0 EBADMM CC1(C)C[C@H]1C(=O)N(CCO)CCNc1ccnc(-c2nn[n-]n2)n1 ZINC001575640696 950899518 /nfs/dbraw/zinc/89/95/18/950899518.db2.gz JTAHIPIFKYRIEU-JTQLQIEISA-N -1 1 346.395 -0.643 20 0 EBADMM C[C@H]1[C@@H](Nc2nccnc2-c2nnn[n-]2)CCN1C(=O)c1cnon1 ZINC001575936687 950920499 /nfs/dbraw/zinc/92/04/99/950920499.db2.gz HPDSSRWFAPIZDH-YUMQZZPRSA-N -1 1 342.323 -0.245 20 0 EBADMM C[C@H]1[C@@H](Nc2nccnc2-c2nn[n-]n2)CCN1C(=O)c1cnon1 ZINC001575936687 950920515 /nfs/dbraw/zinc/92/05/15/950920515.db2.gz HPDSSRWFAPIZDH-YUMQZZPRSA-N -1 1 342.323 -0.245 20 0 EBADMM CC1(C)C[C@H]1C(=O)N(CCO)CCNc1cnc(-c2nnn[n-]2)cn1 ZINC001575643171 950960553 /nfs/dbraw/zinc/96/05/53/950960553.db2.gz ZBMAYBCUVVADEW-JTQLQIEISA-N -1 1 346.395 -0.064 20 0 EBADMM CC1(C)C[C@H]1C(=O)N(CCO)CCNc1cnc(-c2nn[n-]n2)cn1 ZINC001575643171 950960568 /nfs/dbraw/zinc/96/05/68/950960568.db2.gz ZBMAYBCUVVADEW-JTQLQIEISA-N -1 1 346.395 -0.064 20 0 EBADMM C[C@H](CNC(=O)c1cccnn1)CNc1nccnc1-c1nnn[n-]1 ZINC001575032168 951218535 /nfs/dbraw/zinc/21/85/35/951218535.db2.gz SHILCAWBDCDJIE-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@H](CNC(=O)c1cccnn1)CNc1nccnc1-c1nn[n-]n1 ZINC001575032168 951218547 /nfs/dbraw/zinc/21/85/47/951218547.db2.gz SHILCAWBDCDJIE-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1ccnn1C)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001575033897 951231402 /nfs/dbraw/zinc/23/14/02/951231402.db2.gz DOIHXJOXKMLHOA-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@@H](CNC(=O)c1ccnn1C)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575033897 951231409 /nfs/dbraw/zinc/23/14/09/951231409.db2.gz DOIHXJOXKMLHOA-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@@H](CNC(=O)c1ccnnc1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001575033903 951232815 /nfs/dbraw/zinc/23/28/15/951232815.db2.gz DSCZFZBDPKGTOQ-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1ccnnc1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575033903 951232828 /nfs/dbraw/zinc/23/28/28/951232828.db2.gz DSCZFZBDPKGTOQ-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1ccnnc1)CNc1nccnc1-c1nnn[n-]1 ZINC001575034246 951240085 /nfs/dbraw/zinc/24/00/85/951240085.db2.gz KKUBXEYEURBFDJ-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1ccnnc1)CNc1nccnc1-c1nn[n-]n1 ZINC001575034246 951240097 /nfs/dbraw/zinc/24/00/97/951240097.db2.gz KKUBXEYEURBFDJ-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@H](CNC(=O)c1ccncn1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575034870 951258633 /nfs/dbraw/zinc/25/86/33/951258633.db2.gz PSTWRPBHIKNDNH-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@H](CNC(=O)c1ccncn1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575034870 951258639 /nfs/dbraw/zinc/25/86/39/951258639.db2.gz PSTWRPBHIKNDNH-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1ccncn1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001575035258 951270135 /nfs/dbraw/zinc/27/01/35/951270135.db2.gz UXBDSLVCSGTLON-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1ccncn1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575035258 951270145 /nfs/dbraw/zinc/27/01/45/951270145.db2.gz UXBDSLVCSGTLON-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1ccn(C)n1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575036873 951301835 /nfs/dbraw/zinc/30/18/35/951301835.db2.gz YCNRVDMDUZNKGZ-QMMMGPOBSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@@H](CNC(=O)c1ccn(C)n1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575036873 951301842 /nfs/dbraw/zinc/30/18/42/951301842.db2.gz YCNRVDMDUZNKGZ-QMMMGPOBSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@@H](CNC(=O)c1ccns1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575039720 951334958 /nfs/dbraw/zinc/33/49/58/951334958.db2.gz SHDPJYPKVBHVLP-ZETCQYMHSA-N -1 1 331.365 -0.235 20 0 EBADMM CCS(=O)(=O)C1CN(C(=O)NC2CCN(CC(=O)[O-])CC2)C1 ZINC001593123857 951414336 /nfs/dbraw/zinc/41/43/36/951414336.db2.gz ZLEFEKNUIYJNHN-UHFFFAOYSA-N -1 1 333.410 -0.636 20 0 EBADMM C[C@@H](CNC(=O)c1cncn1C)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575046288 951441290 /nfs/dbraw/zinc/44/12/90/951441290.db2.gz MLGAHRSXDBMZSE-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@@H](CNC(=O)c1cncn1C)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575046288 951441296 /nfs/dbraw/zinc/44/12/96/951441296.db2.gz MLGAHRSXDBMZSE-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@@H](CNC(=O)c1cncs1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575052626 951469284 /nfs/dbraw/zinc/46/92/84/951469284.db2.gz MTMAIKRFKCUOQP-ZETCQYMHSA-N -1 1 331.365 -0.235 20 0 EBADMM C[C@@H](CNC(=O)c1cnnn1C)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001575054292 951491921 /nfs/dbraw/zinc/49/19/21/951491921.db2.gz HZAMCUFCEQOETN-QMMMGPOBSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@@H](CNC(=O)c1cnnn1C)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575054292 951491926 /nfs/dbraw/zinc/49/19/26/951491926.db2.gz HZAMCUFCEQOETN-QMMMGPOBSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@@H](CNC(=O)c1cnns1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001575054720 951495410 /nfs/dbraw/zinc/49/54/10/951495410.db2.gz KINZKCXELYOFFK-LURJTMIESA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@@H](CNC(=O)c1cnns1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575054720 951495417 /nfs/dbraw/zinc/49/54/17/951495417.db2.gz KINZKCXELYOFFK-LURJTMIESA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@H](CNC(=O)c1cnns1)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001575054779 951497725 /nfs/dbraw/zinc/49/77/25/951497725.db2.gz KXHNBOIOFVZSCL-ZETCQYMHSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@H](CNC(=O)c1cnns1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001575054779 951497729 /nfs/dbraw/zinc/49/77/29/951497729.db2.gz KXHNBOIOFVZSCL-ZETCQYMHSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@H](CNC(=O)c1cnns1)CNc1nccnc1-c1nnn[n-]1 ZINC001575055069 951499680 /nfs/dbraw/zinc/49/96/80/951499680.db2.gz NDARETQMQKGTHY-ZETCQYMHSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@H](CNC(=O)c1cnns1)CNc1nccnc1-c1nn[n-]n1 ZINC001575055069 951499682 /nfs/dbraw/zinc/49/96/82/951499682.db2.gz NDARETQMQKGTHY-ZETCQYMHSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@H](CNC(=O)c1cnsn1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575055385 951504207 /nfs/dbraw/zinc/50/42/07/951504207.db2.gz SBRAXZZUDXCHHG-SSDOTTSWSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@H](CNC(=O)c1cnsn1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575055385 951504214 /nfs/dbraw/zinc/50/42/14/951504214.db2.gz SBRAXZZUDXCHHG-SSDOTTSWSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@@H](CNC(=O)c1n[nH]cc1F)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575060828 951550101 /nfs/dbraw/zinc/55/01/01/951550101.db2.gz HIYVCUBVNPUMPZ-LURJTMIESA-N -1 1 332.303 -0.251 20 0 EBADMM C[C@H](CNC(=O)c1csnn1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001575062792 951571322 /nfs/dbraw/zinc/57/13/22/951571322.db2.gz YMSLRPVRMHAVNP-SSDOTTSWSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@H](CNC(=O)c1csnn1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575062792 951571335 /nfs/dbraw/zinc/57/13/35/951571335.db2.gz YMSLRPVRMHAVNP-SSDOTTSWSA-N -1 1 346.380 -0.237 20 0 EBADMM O=C([O-])CN1CCC(NC(=O)NCCS(=O)(=O)CC2CC2)CC1 ZINC001595076755 951649606 /nfs/dbraw/zinc/64/96/06/951649606.db2.gz IKLQVGFXNGFHDG-UHFFFAOYSA-N -1 1 347.437 -0.341 20 0 EBADMM C[C@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)[C@@H]1CCC(=O)N1 ZINC001575132592 952096438 /nfs/dbraw/zinc/09/64/38/952096438.db2.gz HARRAACEPDJUBM-SCZZXKLOSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)[C@@H]1CCC(=O)N1 ZINC001575132592 952096450 /nfs/dbraw/zinc/09/64/50/952096450.db2.gz HARRAACEPDJUBM-SCZZXKLOSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)c1nc[nH]n1 ZINC001575132760 952098152 /nfs/dbraw/zinc/09/81/52/952098152.db2.gz ASOLNSVPTMQMJA-SSDOTTSWSA-N -1 1 329.328 -0.653 20 0 EBADMM C[C@@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)c1cn[nH]n1 ZINC001575155627 952242186 /nfs/dbraw/zinc/24/21/86/952242186.db2.gz OROWIBPSZBCXEA-ZETCQYMHSA-N -1 1 329.328 -0.653 20 0 EBADMM C[C@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)[C@@H]1COCCO1 ZINC001575156718 952256809 /nfs/dbraw/zinc/25/68/09/952256809.db2.gz HTYLFLFGLVRKBS-KOLCDFICSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)[C@@H]1COCCO1 ZINC001575156718 952256815 /nfs/dbraw/zinc/25/68/15/952256815.db2.gz HTYLFLFGLVRKBS-KOLCDFICSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@@H](CNc1nc(-c2nn[n-]n2)c(Cl)s1)N(C)C(=O)C(N)=O ZINC001575165558 952309854 /nfs/dbraw/zinc/30/98/54/952309854.db2.gz OXVNKHGKJQMQGI-BYPYZUCNSA-N -1 1 344.788 -0.279 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)CCCC(N)=O ZINC001575173933 952360747 /nfs/dbraw/zinc/36/07/47/952360747.db2.gz CZPBNHRRILXRCZ-VIFPVBQESA-N -1 1 347.383 -0.429 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)CCCC(N)=O ZINC001575173933 952360753 /nfs/dbraw/zinc/36/07/53/952360753.db2.gz CZPBNHRRILXRCZ-VIFPVBQESA-N -1 1 347.383 -0.429 20 0 EBADMM C[C@H](CO)N(CC1CCC1)c1[nH]c(=O)n(C)c(=O)c1-c1nn[n-]n1 ZINC001575179035 952409953 /nfs/dbraw/zinc/40/99/53/952409953.db2.gz SJSHIZQGMDXMRE-MRVPVSSYSA-N -1 1 335.368 -0.759 20 0 EBADMM C[C@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)Cn1ccnc1 ZINC001575181158 952421076 /nfs/dbraw/zinc/42/10/76/952421076.db2.gz ZLXFBHMPAIPUDU-SNVBAGLBSA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)Cn1ccnc1 ZINC001575181158 952421079 /nfs/dbraw/zinc/42/10/79/952421079.db2.gz ZLXFBHMPAIPUDU-SNVBAGLBSA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@H](CS(C)(=O)=O)NC(=O)NCc1nc(-c2nnn[n-]2)cs1 ZINC001575185447 952433337 /nfs/dbraw/zinc/43/33/37/952433337.db2.gz QQRBYZDXKQGMPI-ZCFIWIBFSA-N -1 1 345.410 -0.445 20 0 EBADMM C[C@H](CS(C)(=O)=O)NC(=O)NCc1nc(-c2nn[n-]n2)cs1 ZINC001575185447 952433338 /nfs/dbraw/zinc/43/33/38/952433338.db2.gz QQRBYZDXKQGMPI-ZCFIWIBFSA-N -1 1 345.410 -0.445 20 0 EBADMM O=C([O-])[C@H]1CCN(C(=O)C(=O)NC[C@H]2CCN2C2CCOCC2)C1 ZINC001594750479 953420168 /nfs/dbraw/zinc/42/01/68/953420168.db2.gz BWGIVFLAVPUBSG-WCQYABFASA-N -1 1 339.392 -0.711 20 0 EBADMM O=C([O-])C1(CNC(=O)NCCN2CCCOCC2)CCOCC1 ZINC001594757982 953479767 /nfs/dbraw/zinc/47/97/67/953479767.db2.gz IMSZYLGPALXRDA-UHFFFAOYSA-N -1 1 329.397 -0.111 20 0 EBADMM CN(CCC(=O)[O-])C(=O)C(=O)N1CCC(CN2CCOCC2)CC1 ZINC001593731293 954319816 /nfs/dbraw/zinc/31/98/16/954319816.db2.gz IXDIJXXVMRPCCA-UHFFFAOYSA-N -1 1 341.408 -0.510 20 0 EBADMM CN(C)C(=O)CN(CC(F)(F)F)C(=O)CN(C)CCC(=O)[O-] ZINC001593737011 954342252 /nfs/dbraw/zinc/34/22/52/954342252.db2.gz KDNIUQIUJVJTRB-UHFFFAOYSA-N -1 1 327.303 -0.128 20 0 EBADMM C[C@H]1CS(=O)(=O)CCCN1C(=O)NC1CCN(CC(=O)[O-])CC1 ZINC001589408285 954485039 /nfs/dbraw/zinc/48/50/39/954485039.db2.gz CLMRCRRFAFOBQC-NSHDSACASA-N -1 1 347.437 -0.246 20 0 EBADMM O=C([O-])C1=NO[C@@H](C(=O)N2CCC[C@@H](CN3CCOCC3)C2)C1 ZINC001594893791 954554906 /nfs/dbraw/zinc/55/49/06/954554906.db2.gz XQMHQFFVNCHWJC-WCQYABFASA-N -1 1 325.365 -0.213 20 0 EBADMM O=C([O-])[C@H]1CC(=O)N(C2CCN(C(=O)CCc3nn[nH]n3)CC2)C1 ZINC001594894926 954558517 /nfs/dbraw/zinc/55/85/17/954558517.db2.gz CAVSNWKHBTXMRK-VIFPVBQESA-N -1 1 336.352 -0.944 20 0 EBADMM CN1CCC[C@@H]2[C@@H]1CCN2C(=O)C(=O)Nc1ccn(CC(=O)[O-])n1 ZINC001593780522 954650470 /nfs/dbraw/zinc/65/04/70/954650470.db2.gz GIUPJEHEXMISNN-WDEREUQCSA-N -1 1 335.364 -0.399 20 0 EBADMM CN1CCN(C2CN(C(=O)[C@@H]3CO[C@@H](CCC(=O)[O-])C3)C2)CC1 ZINC001593785530 954699074 /nfs/dbraw/zinc/69/90/74/954699074.db2.gz XJLKDDYRVAXVSS-JSGCOSHPSA-N -1 1 325.409 -0.286 20 0 EBADMM COC(=O)[C@H]1CN(C)CCN(C(=O)N[C@H]2CC[C@@H](C(=O)[O-])C2)C1 ZINC001593829035 955131245 /nfs/dbraw/zinc/13/12/45/955131245.db2.gz UGFXLLASIVKHQH-WOPDTQHZSA-N -1 1 327.381 -0.014 20 0 EBADMM Cn1cc([C@H](O)C[C@@H]2CCCN2[C@H]2CCN(CC(=O)[O-])C2=O)cn1 ZINC001594531305 955536622 /nfs/dbraw/zinc/53/66/22/955536622.db2.gz JABYKTMBCGKQEZ-MELADBBJSA-N -1 1 336.392 -0.007 20 0 EBADMM Cn1cc(CC[N@H+]2CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]2C(=O)[O-])nn1 ZINC001594541747 955659350 /nfs/dbraw/zinc/65/93/50/955659350.db2.gz LLCOVDYQGDBEOR-VHSXEESVSA-N -1 1 349.313 -0.046 20 0 EBADMM COC[C@@]1(C(=O)[O-])CCN(CN2C(=O)NC3(CCOCC3)C2=O)C1 ZINC001593966360 956546561 /nfs/dbraw/zinc/54/65/61/956546561.db2.gz AXDBKTMJQBTWRC-CQSZACIVSA-N -1 1 341.364 -0.532 20 0 EBADMM COC[C@]1(C(=O)[O-])CCN(CN2C(=O)C(=O)N(CC(C)C)C2=O)C1 ZINC001593966751 956556038 /nfs/dbraw/zinc/55/60/38/956556038.db2.gz MBTKXINOPZIDAV-HNNXBMFYSA-N -1 1 341.364 -0.186 20 0 EBADMM COC[C@@]1(C)NC(=O)N(CN2CC[C@@](COC)(C(=O)[O-])C2)C1=O ZINC001593966856 956559776 /nfs/dbraw/zinc/55/97/76/956559776.db2.gz OFBLRRUBVNUOSW-ZIAGYGMSSA-N -1 1 329.353 -0.676 20 0 EBADMM COC[C@@]1(C(=O)[O-])CCN(CN2CC3(CCOCC3)[C@H](O)C2=O)C1 ZINC001593967736 956577544 /nfs/dbraw/zinc/57/75/44/956577544.db2.gz VJRFADFBVALMGN-MLGOLLRUSA-N -1 1 342.392 -0.633 20 0 EBADMM O=C(CNC1(C(=O)[O-])CCOCC1)NC(=O)NCc1ccco1 ZINC001594697081 956779465 /nfs/dbraw/zinc/77/94/65/956779465.db2.gz QIYHMKXLADYQFC-UHFFFAOYSA-N -1 1 325.321 -0.171 20 0 EBADMM C[C@@H](COC(=O)[C@@H]1CCCN(CC(=O)[O-])C1=O)CN1CCOCC1 ZINC001603201706 972309445 /nfs/dbraw/zinc/30/94/45/972309445.db2.gz HMWDBZOCUQBJNZ-CHWSQXEVSA-N -1 1 342.392 -0.179 20 0 EBADMM C[C@H](NCC(=O)NCC(=O)[O-])c1cccc(NS(C)(=O)=O)c1 ZINC001603248274 972412321 /nfs/dbraw/zinc/41/23/21/972412321.db2.gz VYELFOLSSFTCCT-VIFPVBQESA-N -1 1 329.378 -0.091 20 0 EBADMM C[C@H](C(=O)[O-])N(C1CC1)S(=O)(=O)CCCN1CCN(C)CC1 ZINC001588591539 959345536 /nfs/dbraw/zinc/34/55/36/959345536.db2.gz QEQKAWPHOMDCLL-GFCCVEGCSA-N -1 1 333.454 -0.109 20 0 EBADMM O=C([O-])C1(CNC(=O)C(=O)N[C@@H]2CC[N@H+](C3CC3)C2)CCOCC1 ZINC001605761127 972424620 /nfs/dbraw/zinc/42/46/20/972424620.db2.gz NRJWLIVZJIUASL-LLVKDONJSA-N -1 1 339.392 -0.663 20 0 EBADMM O=C([O-])C1(CNC(=O)C(=O)N[C@@H]2CCN(C3CC3)C2)CCOCC1 ZINC001605761127 972424623 /nfs/dbraw/zinc/42/46/23/972424623.db2.gz NRJWLIVZJIUASL-LLVKDONJSA-N -1 1 339.392 -0.663 20 0 EBADMM O=C([O-])C1(CNC(=O)C(=O)N[C@H]2CC[N@H+](C3CC3)C2)CCOCC1 ZINC001605761128 972425022 /nfs/dbraw/zinc/42/50/22/972425022.db2.gz NRJWLIVZJIUASL-NSHDSACASA-N -1 1 339.392 -0.663 20 0 EBADMM O=C([O-])C1(CNC(=O)C(=O)N[C@H]2CCN(C3CC3)C2)CCOCC1 ZINC001605761128 972425024 /nfs/dbraw/zinc/42/50/24/972425024.db2.gz NRJWLIVZJIUASL-NSHDSACASA-N -1 1 339.392 -0.663 20 0 EBADMM C[C@H]1CN(C(=O)NCCOCCOCC(=O)[O-])[C@@H](C)CN1CCO ZINC001573750132 960551910 /nfs/dbraw/zinc/55/19/10/960551910.db2.gz VKOHNDJWTUITBJ-STQMWFEESA-N -1 1 347.412 -0.799 20 0 EBADMM CS[C@H]1CN(S(=O)(=O)N2CCC[C@H]2C(=O)[O-])C[C@@H]1N(C)C ZINC001604957412 972532348 /nfs/dbraw/zinc/53/23/48/972532348.db2.gz KIYAOSLRCBKXLD-DCAQKATOSA-N -1 1 337.467 -0.242 20 0 EBADMM O=C([O-])[C@H]1C[C@@H](S(=O)(=O)NCc2nnc([C@@H]3CCOC3)[nH]2)C1 ZINC001571190162 962237494 /nfs/dbraw/zinc/23/74/94/962237494.db2.gz ANHRIJBGNAOJBH-HLTSFMKQSA-N -1 1 330.366 -0.409 20 0 EBADMM O=C([O-])[C@H]1C[C@@H](S(=O)(=O)NCc2n[nH]c([C@@H]3CCOC3)n2)C1 ZINC001571190162 962237507 /nfs/dbraw/zinc/23/75/07/962237507.db2.gz ANHRIJBGNAOJBH-HLTSFMKQSA-N -1 1 330.366 -0.409 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CCC(CNC(=O)[C@H]2C[C@H]2C(=O)[O-])CC1 ZINC001571223918 962566197 /nfs/dbraw/zinc/56/61/97/962566197.db2.gz LPMJLBZPSNTNQW-WDEREUQCSA-N -1 1 335.364 -0.198 20 0 EBADMM C[C@@]1(C2CCN([C@@H]3CCN(CC(=O)[O-])C3=O)CC2)COC(=O)N1 ZINC001589240624 969931519 /nfs/dbraw/zinc/93/15/19/969931519.db2.gz YDYJEAAPPLXWNH-ABAIWWIYSA-N -1 1 325.365 -0.118 20 0 EBADMM O=C([O-])Cn1ccc(NC(=O)C(=O)N2CC[C@H](N3CC=CC3)C2)n1 ZINC001595148383 970309708 /nfs/dbraw/zinc/30/97/08/970309708.db2.gz POGYDKFGUYWJCX-NSHDSACASA-N -1 1 333.348 -0.621 20 0 EBADMM CC(C)CN1CCO[C@@H](CNC(=O)C(=O)N2CC[C@@H](C(=O)[O-])C2)C1 ZINC001609805691 970537885 /nfs/dbraw/zinc/53/78/85/970537885.db2.gz MVUMGRNVEJFGNI-OLZOCXBDSA-N -1 1 341.408 -0.608 20 0 EBADMM CN1CC[C@@H]1CNC(=O)N1CCC(N2C[C@H](C(=O)[O-])CC2=O)CC1 ZINC001604211136 972692104 /nfs/dbraw/zinc/69/21/04/972692104.db2.gz YWYAZDUQMVXXHM-DGCLKSJQSA-N -1 1 338.408 -0.202 20 0 EBADMM CN1CC[C@H]1CNC(=O)N1CCC(N2C[C@H](C(=O)[O-])CC2=O)CC1 ZINC001604211141 972692654 /nfs/dbraw/zinc/69/26/54/972692654.db2.gz YWYAZDUQMVXXHM-YPMHNXCESA-N -1 1 338.408 -0.202 20 0 EBADMM CN1CCN(CCCS(=O)(=O)N2CCC[C@@H](C(=O)[O-])C2)CC1 ZINC001604221014 972711858 /nfs/dbraw/zinc/71/18/58/972711858.db2.gz IVOKNVUINMAJJP-CYBMUJFWSA-N -1 1 333.454 -0.250 20 0 EBADMM COC(=O)C1CC2(C1)CN(C(=O)CCc1nn[nH]n1)C[C@@H]2C(=O)[O-] ZINC001604280092 972854032 /nfs/dbraw/zinc/85/40/32/972854032.db2.gz KOSNYCLJKXAIJN-ZYTOWTHASA-N -1 1 337.336 -0.755 20 0 EBADMM COC(=O)C1=NOC2(C1)CCN([C@H]1CCN(CC(=O)[O-])C1=O)CC2 ZINC001604280753 972862899 /nfs/dbraw/zinc/86/28/99/972862899.db2.gz WQDSAHANYZKIED-NSHDSACASA-N -1 1 339.348 -0.544 20 0 EBADMM COC(=O)C1=NOC2(C1)CCN([C@@H]1CCN(CC(=O)[O-])C1=O)CC2 ZINC001604280752 972863590 /nfs/dbraw/zinc/86/35/90/972863590.db2.gz WQDSAHANYZKIED-LLVKDONJSA-N -1 1 339.348 -0.544 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)[O-])CN(CN2CC3(CCOCC3)[C@H](O)C2=O)C1 ZINC001603367780 972871982 /nfs/dbraw/zinc/87/19/82/972871982.db2.gz IYOYNJPOFZHADF-JHJVBQTASA-N -1 1 326.393 -0.014 20 0 EBADMM Cc1nc([C@@H]2CCN(S(=O)(=O)N3CCC[C@H]3C(=O)[O-])C2)n[nH]1 ZINC001605402876 973391318 /nfs/dbraw/zinc/39/13/18/973391318.db2.gz KOKHGYRIDZYLTJ-ZJUUUORDSA-N -1 1 329.382 -0.304 20 0 EBADMM O=C([O-])COc1ccc(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)cc1 ZINC001606285691 973681373 /nfs/dbraw/zinc/68/13/73/973681373.db2.gz GMUBUYFWKOJCGL-UHFFFAOYSA-N -1 1 347.331 -0.213 20 0 EBADMM O=C([O-])COc1ccc(C(=O)N2CCC(O)(c3nn[nH]n3)CC2)cc1 ZINC001606285691 973681380 /nfs/dbraw/zinc/68/13/80/973681380.db2.gz GMUBUYFWKOJCGL-UHFFFAOYSA-N -1 1 347.331 -0.213 20 0 EBADMM COCCN1CCCN(C(=O)[C@@H]2CCCN(CC(=O)[O-])C2=O)CC1 ZINC001604612871 973734948 /nfs/dbraw/zinc/73/49/48/973734948.db2.gz ZHZYOYSOBXNOJG-ZDUSSCGKSA-N -1 1 341.408 -0.510 20 0 EBADMM O=C([O-])[C@@H]1CCCN1S(=O)(=O)NCC1N=NC(=O)N1C1CC1 ZINC001606388005 973836983 /nfs/dbraw/zinc/83/69/83/973836983.db2.gz FDYYOIXPQBYZKZ-QMMMGPOBSA-N -1 1 331.354 -0.798 20 0 EBADMM COc1cc([C@@H](C)NCC(=O)NCC(=O)[O-])ccc1OCCO ZINC001604692563 973891023 /nfs/dbraw/zinc/89/10/23/973891023.db2.gz YNJMWVTYGBVJBQ-SNVBAGLBSA-N -1 1 326.349 -0.082 20 0 EBADMM NC(=O)[C@@H]1CCC[N@H+]1C1CCN(C(=O)c2cc(C(=O)[O-])n[n-]2)CC1 ZINC001605628066 973975858 /nfs/dbraw/zinc/97/58/58/973975858.db2.gz VIVXFPPPSMCOCX-LBPRGKRZSA-N -1 1 335.364 -0.338 20 0 EBADMM CCNC(=O)CN1CCN(CC2(O)CCC(C(=O)[O-])CC2)CC1 ZINC001603769759 974219397 /nfs/dbraw/zinc/21/93/97/974219397.db2.gz AKIBBXIUPJMMQQ-UHFFFAOYSA-N -1 1 327.425 -0.254 20 0 EBADMM CC(C)c1cc(C(=O)N2C[C@@H](N3C(=O)CNC3=O)C[C@H]2C(=O)[O-])n[nH]1 ZINC001591925606 976408464 /nfs/dbraw/zinc/40/84/64/976408464.db2.gz JSUASQYZMOQCAN-KWQFWETISA-N -1 1 349.347 -0.247 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@@H]2CCC3N=NC(=O)N3C2)CC1 ZINC001592406214 977982433 /nfs/dbraw/zinc/98/24/33/977982433.db2.gz PGHJEOOYUGKGHS-GHMZBOCLSA-N -1 1 337.380 -0.447 20 0 EBADMM CC[C@@](COC)(NS(=O)(=O)c1cc(C(=O)[O-])no1)C(=O)OC ZINC001595199379 979938787 /nfs/dbraw/zinc/93/87/87/979938787.db2.gz CQKNYUXKFXQIAC-NSHDSACASA-N -1 1 336.322 -0.381 20 0 EBADMM C[C@@H](Nc1ccnc(C(=O)[O-])n1)[C@H](C)NC(=O)CCc1c[nH]nn1 ZINC001593019968 980276241 /nfs/dbraw/zinc/27/62/41/980276241.db2.gz PAWHTUAVZKZKBE-BDAKNGLRSA-N -1 1 333.352 -0.347 20 0 EBADMM C[C@@H](Nc1ccnc(C(=O)[O-])n1)[C@H](C)NC(=O)CCc1cnn[nH]1 ZINC001593019968 980276244 /nfs/dbraw/zinc/27/62/44/980276244.db2.gz PAWHTUAVZKZKBE-BDAKNGLRSA-N -1 1 333.352 -0.347 20 0 EBADMM CC[C@H](C)[C@@H](NC(=O)CN1CCN(C(=O)CN(C)C)CC1)C(=O)[O-] ZINC001594894262 982317773 /nfs/dbraw/zinc/31/77/73/982317773.db2.gz OAIRNGDPVLUOJB-SWLSCSKDSA-N -1 1 342.440 -0.692 20 0 EBADMM CCCNC(=O)CN(C)C(=O)CN1CCSC[C@H](C(=O)[O-])C1 ZINC001595921817 983033463 /nfs/dbraw/zinc/03/34/63/983033463.db2.gz DLFCCXXBBUIKPP-LLVKDONJSA-N -1 1 331.438 -0.279 20 0 EBADMM CCN(C(=O)[C@H](C)N[C@@H](C(=O)[O-])C1CC1)[C@H]1CCS(=O)(=O)C1 ZINC001596128101 983471537 /nfs/dbraw/zinc/47/15/37/983471537.db2.gz RXWFHDJSOHQPGJ-ZMLRMANQSA-N -1 1 332.422 -0.137 20 0 EBADMM C[C@H]1CN(C(=O)C(=O)N2CC[C@@H](N3CC=CC3)C2)C[C@@H](C(=O)[O-])O1 ZINC001599910243 984975689 /nfs/dbraw/zinc/97/56/89/984975689.db2.gz ORAUZAAENLQEPS-XQQFMLRXSA-N -1 1 337.376 -0.840 20 0 EBADMM CC(=O)N1CCN(C(=O)[C@H](C)N[C@H](C[C@H]2CCCO2)C(=O)[O-])CC1 ZINC001589080336 985244003 /nfs/dbraw/zinc/24/40/03/985244003.db2.gz WNSZQMGYAWZZOK-IACUBPJLSA-N -1 1 341.408 -0.323 20 0 EBADMM C[C@]12CN([C@H]3CCCN(CCC(=O)[O-])C3=O)C[C@H]1CS(=O)(=O)C2 ZINC001599938595 985426722 /nfs/dbraw/zinc/42/67/22/985426722.db2.gz YJNUKRZQKOFPHG-SLEUVZQESA-N -1 1 344.433 -0.181 20 0 EBADMM C[C@H]1CN(C2CC2)C[C@H]1NC(=O)C(=O)NC[C@H]1CCO[C@H]1C(=O)[O-] ZINC001594505922 985716059 /nfs/dbraw/zinc/71/60/59/985716059.db2.gz JKPBRMLQCQEQRY-XKAARJIMSA-N -1 1 339.392 -0.809 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NC[C@H]1C[C@@H]2COC[C@@H]2O1 ZINC001594516496 985906571 /nfs/dbraw/zinc/90/65/71/985906571.db2.gz OXNUVALWCRHHSB-SYQHCUMBSA-N -1 1 341.408 -0.019 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NCCN1CCCC1=O ZINC001594519116 985940979 /nfs/dbraw/zinc/94/09/79/985940979.db2.gz LGSYWIHUBAIACD-GFCCVEGCSA-N -1 1 326.397 -0.201 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NCCO[C@H]1CCOC1 ZINC001594519113 985941090 /nfs/dbraw/zinc/94/10/90/985941090.db2.gz KWLBRBAQIGUMQZ-STQMWFEESA-N -1 1 329.397 -0.018 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NCCCc1nnnn1C ZINC001594519304 985950571 /nfs/dbraw/zinc/95/05/71/985950571.db2.gz RBGLTDJCXJYYQI-NSHDSACASA-N -1 1 339.400 -0.667 20 0 EBADMM C[C@H]1CNCCN1C(=O)C(=O)N1C[C@@H](C(=O)[O-])Oc2ccccc21 ZINC001594583972 986453964 /nfs/dbraw/zinc/45/39/64/986453964.db2.gz APVUABMUAVGYSF-GWCFXTLKSA-N -1 1 333.344 -0.315 20 0 EBADMM C[C@H]1OCCN(C(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)[C@@H]1C ZINC001594648874 986857215 /nfs/dbraw/zinc/85/72/15/986857215.db2.gz OFSLGGZOPYIFFW-VXGBXAGGSA-N -1 1 341.408 -0.370 20 0 EBADMM C[C@H]1SC[C@@H](C(=O)NCCC(=O)[O-])N1C(=O)CCc1nn[nH]n1 ZINC001594656242 986903456 /nfs/dbraw/zinc/90/34/56/986903456.db2.gz KNISTOPFSGEIIY-SFYZADRCSA-N -1 1 342.381 -0.987 20 0 EBADMM CCS(=O)(=O)N[C@@H](C)C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC001597224057 987160959 /nfs/dbraw/zinc/16/09/59/987160959.db2.gz IZEXXFPSBNQXMG-MNOVXSKESA-N -1 1 335.426 -0.678 20 0 EBADMM CCS(=O)(=O)NC1CCN(CCc2cn(CC(=O)[O-])nn2)CC1 ZINC001597225481 987174839 /nfs/dbraw/zinc/17/48/39/987174839.db2.gz QYUQXRYYHIQOGV-UHFFFAOYSA-N -1 1 345.425 -0.691 20 0 EBADMM CC(C)(C(=O)[O-])N1CCN(C(=O)c2ccc(-n3cnnn3)cn2)CC1 ZINC001589628683 987530416 /nfs/dbraw/zinc/53/04/16/987530416.db2.gz SUOOYWUNLBFZPA-UHFFFAOYSA-N -1 1 345.363 -0.322 20 0 EBADMM CC(C)(C)OC(=O)N[C@H](CO)C(=O)N1CCN(CC(=O)[O-])CC1 ZINC001590274390 989685150 /nfs/dbraw/zinc/68/51/50/989685150.db2.gz FWICSCTVXOWMGQ-SNVBAGLBSA-N -1 1 331.369 -0.899 20 0 EBADMM CC(C)(CN1CCOCC1)C(=O)NCCn1cc(C(=O)[O-])nn1 ZINC001590702915 990432417 /nfs/dbraw/zinc/43/24/17/990432417.db2.gz QPNIUNQXLHHILV-UHFFFAOYSA-N -1 1 325.369 -0.549 20 0 EBADMM CC(C)(CN1CCOCC1)C(=O)N[C@@H](CC1OCCO1)C(=O)[O-] ZINC001590703058 990434413 /nfs/dbraw/zinc/43/44/13/990434413.db2.gz TUZHNTXJKQTEQG-NSHDSACASA-N -1 1 330.381 -0.323 20 0 EBADMM CC(C)(CO)N1CCN([C@H]2CCCN(CCC(=O)[O-])C2=O)CC1 ZINC001590783907 990601199 /nfs/dbraw/zinc/60/11/99/990601199.db2.gz UOJLXRORSZXCCK-ZDUSSCGKSA-N -1 1 327.425 -0.159 20 0 EBADMM CN(CC(=O)N1CCC([C@H](O)C(=O)[O-])CC1)c1ncnc2[nH]cnc21 ZINC001598380412 991581067 /nfs/dbraw/zinc/58/10/67/991581067.db2.gz IUNBBJFJFDGRMR-LBPRGKRZSA-N -1 1 348.363 -0.527 20 0 EBADMM CN(CC(=O)NC[C@H](C(=O)[O-])[C@H]1CCOC1)c1ncnc2[nH]cnc21 ZINC001598391959 991639069 /nfs/dbraw/zinc/63/90/69/991639069.db2.gz GIBNUORZEZXSFZ-UWVGGRQHSA-N -1 1 348.363 -0.357 20 0 EBADMM CC(C)[C@@H]1CNCCN1C(=O)C(=O)N1CCC([C@H](O)C(=O)[O-])CC1 ZINC001591096893 991695595 /nfs/dbraw/zinc/69/55/95/991695595.db2.gz XHGATXRAFRGHSO-STQMWFEESA-N -1 1 341.408 -0.873 20 0 EBADMM CC(C)[C@H]1CNCCN1C(=O)C(=O)N1C[C@@H](C)O[C@H](C(=O)[O-])C1 ZINC001591096829 991695659 /nfs/dbraw/zinc/69/56/59/991695659.db2.gz UYCFBGOYADHAEL-UTUOFQBUSA-N -1 1 327.381 -0.857 20 0 EBADMM CS(=O)(=O)Nc1ccc(C(=O)NC2(C(=O)[O-])CC(CO)C2)cn1 ZINC001599760382 992113215 /nfs/dbraw/zinc/11/32/15/992113215.db2.gz NIQKDAMWVADZPR-UHFFFAOYSA-N -1 1 343.361 -0.591 20 0 EBADMM CN(CCNC(=O)C(=O)N1CCO[C@@H](C(=O)[O-])C1)Cc1ccccc1 ZINC001598488627 992249318 /nfs/dbraw/zinc/24/93/18/992249318.db2.gz HVDXNUVWKKDNJW-CQSZACIVSA-N -1 1 349.387 -0.453 20 0 EBADMM CC(C)C[C@H](NC(=O)CNC(=O)C1CN([C@H]2CCOC2)C1)C(=O)[O-] ZINC001591457834 992566012 /nfs/dbraw/zinc/56/60/12/992566012.db2.gz WHIDNDIFZDZFFZ-STQMWFEESA-N -1 1 341.408 -0.561 20 0 EBADMM C[C@H](NC(=O)N1CCO[C@H](CC(=O)[O-])C1)[C@@H]1CN(C)CCN1C ZINC001593062220 993573963 /nfs/dbraw/zinc/57/39/63/993573963.db2.gz FUKUOOWTIGCVGW-XQQFMLRXSA-N -1 1 328.413 -0.494 20 0 EBADMM CN1CCN(C(=O)c2ccnc(N3CCOCC3)n2)C[C@H](C(=O)[O-])C1 ZINC001598613429 993830058 /nfs/dbraw/zinc/83/00/58/993830058.db2.gz UBNPAINCLQPYBQ-GFCCVEGCSA-N -1 1 349.391 -0.598 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)C(=O)Nc2ccccc2)CC1 ZINC001598617754 993923346 /nfs/dbraw/zinc/92/33/46/993923346.db2.gz FJXNDASOFAGMFD-UHFFFAOYSA-N -1 1 348.403 -0.214 20 0 EBADMM CN1CCN(CCCS(=O)(=O)N2C[C@@H](F)C[C@H]2C(=O)[O-])CC1 ZINC001598617852 993926569 /nfs/dbraw/zinc/92/65/69/993926569.db2.gz IUQZQJZPYYECLM-RYUDHWBXSA-N -1 1 337.417 -0.549 20 0 EBADMM CNC(=O)COc1cccc(CC(=O)N2CCN(CC(=O)[O-])CC2)c1 ZINC001598646802 994384357 /nfs/dbraw/zinc/38/43/57/994384357.db2.gz PUFOCBVTYTWPFW-UHFFFAOYSA-N -1 1 349.387 -0.417 20 0 EBADMM C[C@@](O)(CN1CCN(CC(=O)N2CCCCCC2)CC1)C(=O)[O-] ZINC001593395958 995134545 /nfs/dbraw/zinc/13/45/45/995134545.db2.gz CGDQHIVCFUBYIW-MRXNPFEDSA-N -1 1 327.425 -0.158 20 0 EBADMM COC(=O)C1(NC(=O)C[C@@H]2COCCN2)CCC(C(=O)[O-])CC1 ZINC001598722101 995491787 /nfs/dbraw/zinc/49/17/87/995491787.db2.gz KYWMEPSXTAADFN-LOIXOFCESA-N -1 1 328.365 -0.332 20 0 EBADMM COC(=O)C1(NC(=O)CN2CCN(CC(=O)[O-])CC2)CCCCC1 ZINC001598722437 995496260 /nfs/dbraw/zinc/49/62/60/995496260.db2.gz PLQHHRHCJOVHGY-UHFFFAOYSA-N -1 1 341.408 -0.319 20 0 EBADMM COC(=O)[C@@H]1CCN(C(=O)CN2CCC(CO)CC2)[C@H](C(=O)[O-])C1 ZINC001598793533 996093468 /nfs/dbraw/zinc/09/34/68/996093468.db2.gz KWKNKPLOCOJFIF-OLZOCXBDSA-N -1 1 342.392 -0.445 20 0 EBADMM COC(=O)[C@@H]1CCN(C(=O)CN2CCC(CO)CC2)[C@@H](C(=O)[O-])C1 ZINC001598793532 996093622 /nfs/dbraw/zinc/09/36/22/996093622.db2.gz KWKNKPLOCOJFIF-CHWSQXEVSA-N -1 1 342.392 -0.445 20 0 EBADMM COC(=O)CC[C@H](C(=O)[O-])N1CCC[C@H](S(=O)(=O)N(C)C)C1 ZINC001598847419 996577009 /nfs/dbraw/zinc/57/70/09/996577009.db2.gz LHKHENKLBMGBNS-WDEREUQCSA-N -1 1 336.410 -0.251 20 0 EBADMM COc1cc(C(=O)[O-])ccc1OC[C@@H](O)CN1CC(C)(C(N)=O)C1 ZINC001599380456 996830708 /nfs/dbraw/zinc/83/07/08/996830708.db2.gz IMPBZRZGJILANA-NSHDSACASA-N -1 1 338.360 -0.060 20 0 EBADMM COC[C@@]1(C(=O)NCCOc2ccc(C(=O)[O-])cc2)CNCCO1 ZINC001599184207 996996494 /nfs/dbraw/zinc/99/64/94/996996494.db2.gz MQFLHXQOMIPJPM-MRXNPFEDSA-N -1 1 338.360 -0.115 20 0 EBADMM COC[C@]1(C(=O)N[C@@H](Cc2cncs2)C(=O)[O-])CNCCO1 ZINC001599184577 997003223 /nfs/dbraw/zinc/00/32/23/997003223.db2.gz REDRLECFDXZIKM-GWCFXTLKSA-N -1 1 329.378 -0.740 20 0 EBADMM COC[C@@]1(C(=O)[O-])CC[N@H+]([C@H]2CCCN(CCC(=O)[O-])C2=O)C1 ZINC001599195779 997212096 /nfs/dbraw/zinc/21/20/96/997212096.db2.gz UBCPTBRBPKCSRJ-XHDPSFHLSA-N -1 1 328.365 -0.125 20 0 EBADMM COC(=O)[C@H](C)NC(=O)c1cccc(C(=O)N[C@@H](C)C(=O)[O-])c1O ZINC001598903468 997217670 /nfs/dbraw/zinc/21/76/70/997217670.db2.gz SAYHWMMXEHZNJM-YUMQZZPRSA-N -1 1 338.316 -0.114 20 0 EBADMM CO[C@@H]1CC[C@@H](NC(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)C1 ZINC001599102925 997420736 /nfs/dbraw/zinc/42/07/36/997420736.db2.gz SHWQNEPLMZTKNI-VXGBXAGGSA-N -1 1 341.408 -0.323 20 0 EBADMM COC(=O)c1cc(C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)n(C)n1 ZINC001598920166 997548863 /nfs/dbraw/zinc/54/88/63/997548863.db2.gz LMCKJULVUAKUDV-JTQLQIEISA-N -1 1 338.364 -0.172 20 0 EBADMM COCCCS(=O)(=O)NCCN1CCSC[C@@H](C(=O)[O-])C1 ZINC001599230527 997840455 /nfs/dbraw/zinc/84/04/55/997840455.db2.gz WEZZUBQEZULGME-NSHDSACASA-N -1 1 340.467 -0.308 20 0 EBADMM COCCN(C)S(=O)(=O)[C@H]1CCCN(C[C@@](C)(O)C(=O)[O-])C1 ZINC001599231957 997876124 /nfs/dbraw/zinc/87/61/24/997876124.db2.gz IQVSAPOTHPMISJ-WCQYABFASA-N -1 1 338.426 -0.806 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@H](O)CC(=O)[O-])oc1Cl ZINC001598954131 998209597 /nfs/dbraw/zinc/20/95/97/998209597.db2.gz YRVXGXIFTNCBHP-RXMQYKEDSA-N -1 1 341.725 -0.167 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)[C@@H](C)O1 ZINC001594249077 998951186 /nfs/dbraw/zinc/95/11/86/998951186.db2.gz JLYFBWGXWONARO-IJLUTSLNSA-N -1 1 341.408 -0.324 20 0 EBADMM C[C@@H]1CCNC(=O)[C@H]1NC(=O)N1CCN(CCC(=O)[O-])C[C@H]1C ZINC001594439041 999619502 /nfs/dbraw/zinc/61/95/02/999619502.db2.gz KXFYYQYUAMMVGM-WZRBSPASSA-N -1 1 326.397 -0.299 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2CCCN2S(C)(=O)=O)CCN1CCC(=O)[O-] ZINC001594466330 999687831 /nfs/dbraw/zinc/68/78/31/999687831.db2.gz HAWUFILFQKQRIZ-NEPJUHHUSA-N -1 1 347.437 -0.582 20 0 EBADMM C[C@@H]1CN(C(=O)Cc2ccn[nH]2)C[C@H]1Nc1ccnc(C(=O)[O-])n1 ZINC001594471666 999705358 /nfs/dbraw/zinc/70/53/58/999705358.db2.gz ZJHOSASMEXKYBR-MWLCHTKSSA-N -1 1 330.348 -0.179 20 0 EBADMM CCC1(C(=O)N[C@@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)CC1 ZINC001687171118 1125794622 /nfs/dbraw/zinc/79/46/22/1125794622.db2.gz YCEDNZNXNDFCCV-GFCCVEGCSA-N -1 1 348.403 -0.166 20 0 EBADMM COCCCN1CC[C@H]1CN(C)C(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC001691066984 1125829124 /nfs/dbraw/zinc/82/91/24/1125829124.db2.gz ZHPQQTDOZLLFKM-LBPRGKRZSA-N -1 1 348.407 -0.360 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)C[C@H]3CCCOC3)C2)nc1=O ZINC001481122917 1125927005 /nfs/dbraw/zinc/92/70/05/1125927005.db2.gz DJSOMSCSUDPWEF-CHWSQXEVSA-N -1 1 337.424 -0.137 20 0 EBADMM CCN(CCNC(=O)[C@H]1CCC(=O)NC1)C(=O)c1ncccc1[O-] ZINC001408765445 1125943699 /nfs/dbraw/zinc/94/36/99/1125943699.db2.gz XCVCUKNRIDFCSJ-NSHDSACASA-N -1 1 334.376 -0.108 20 0 EBADMM CCOCC(=O)N(CC)CCNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001408770838 1125944784 /nfs/dbraw/zinc/94/47/84/1125944784.db2.gz QICGYKNRLICKEU-UHFFFAOYSA-N -1 1 342.352 -0.489 20 0 EBADMM CC(C)C(=O)NC[C@@H]1CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001408812816 1125952465 /nfs/dbraw/zinc/95/24/65/1125952465.db2.gz UUMAPRBSBKQSPC-JTQLQIEISA-N -1 1 332.364 -0.206 20 0 EBADMM CC(C)N(CCCNC(=O)c1c[nH]c(=O)n1C)Cc1n[nH]c(=O)[n-]1 ZINC001481353630 1125978399 /nfs/dbraw/zinc/97/83/99/1125978399.db2.gz MMXYOVCAOYUDRA-UHFFFAOYSA-N -1 1 337.384 -0.020 20 0 EBADMM CCC(=O)N[C@H](C)C(=O)NCC[C@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001481430663 1125987910 /nfs/dbraw/zinc/98/79/10/1125987910.db2.gz BLJPLKMGRSHAHY-MNOVXSKESA-N -1 1 338.412 -0.247 20 0 EBADMM O=C(CCn1cnnn1)N(CCNC(=O)c1ncccc1[O-])C1CC1 ZINC001408910220 1126019648 /nfs/dbraw/zinc/01/96/48/1126019648.db2.gz OPCZBZFPDLIDBP-UHFFFAOYSA-N -1 1 345.363 -0.415 20 0 EBADMM CC(C)NC(=O)CCCC(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001481595533 1126020468 /nfs/dbraw/zinc/02/04/68/1126020468.db2.gz MNBCEHLXDLTJNX-UHFFFAOYSA-N -1 1 338.412 -0.896 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)CCOCC(F)F)nc1=O ZINC001481671388 1126035053 /nfs/dbraw/zinc/03/50/53/1126035053.db2.gz ODWVPGPHLXBXHA-UWVGGRQHSA-N -1 1 345.350 -0.435 20 0 EBADMM COCCC1(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)CC1 ZINC001481672861 1126036116 /nfs/dbraw/zinc/03/61/16/1126036116.db2.gz KCXNBMIPVOMBPY-RYUDHWBXSA-N -1 1 335.408 -0.290 20 0 EBADMM CC[C@H](CNC(=O)C[C@H]1CCS(=O)(=O)C1)NCc1n[nH]c(=O)[n-]1 ZINC001481830484 1126060059 /nfs/dbraw/zinc/06/00/59/1126060059.db2.gz MYLPHYNGFOFNFY-NXEZZACHSA-N -1 1 345.425 -0.681 20 0 EBADMM CC[C@@H](CNC(=O)C(=O)N1CCC[C@@H](C)C1)NCc1n[nH]c(=O)[n-]1 ZINC001481855130 1126062051 /nfs/dbraw/zinc/06/20/51/1126062051.db2.gz JRIFQILCZSEZGB-MNOVXSKESA-N -1 1 338.412 -0.247 20 0 EBADMM O=C(CCn1cncn1)NCCC1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001482037517 1126072908 /nfs/dbraw/zinc/07/29/08/1126072908.db2.gz CJRKZVWVHPKPRT-UHFFFAOYSA-N -1 1 348.411 -0.090 20 0 EBADMM Cn1c(C(=O)NCCC2CCN(Cc3n[nH]c(=O)[n-]3)CC2)c[nH]c1=O ZINC001482047599 1126073504 /nfs/dbraw/zinc/07/35/04/1126073504.db2.gz RONIZBHLMQXIJC-UHFFFAOYSA-N -1 1 349.395 -0.019 20 0 EBADMM COC(=O)[C@@H]1C[C@H]1C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001482214697 1126106824 /nfs/dbraw/zinc/10/68/24/1126106824.db2.gz TZWQZYDERKCFDZ-NXEZZACHSA-N -1 1 337.380 -0.162 20 0 EBADMM COc1cc(S(=O)(=O)[N-][C@@H]2CS(=O)(=O)C[C@@H]2OC)sn1 ZINC001364007483 1126133956 /nfs/dbraw/zinc/13/39/56/1126133956.db2.gz YZXFLKVNMXFVAO-RQJHMYQMSA-N -1 1 342.420 -0.758 20 0 EBADMM CC(=O)CCCC(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001409027774 1126157181 /nfs/dbraw/zinc/15/71/81/1126157181.db2.gz SAFRBSHQOKTHKK-UHFFFAOYSA-N -1 1 346.347 -0.883 20 0 EBADMM CC[C@H](C)C(=O)NCC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001409122926 1126207683 /nfs/dbraw/zinc/20/76/83/1126207683.db2.gz CSLYZKRZBGJNCX-VIFPVBQESA-N -1 1 332.364 -0.348 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CS(=O)(=O)C1CCCC1 ZINC001483142317 1126345653 /nfs/dbraw/zinc/34/56/53/1126345653.db2.gz RGLJYHCHFHUOLQ-SECBINFHSA-N -1 1 345.425 -0.538 20 0 EBADMM C[C@@H](NC(=O)CS(=O)(=O)C(C)(C)C)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001409423618 1126366103 /nfs/dbraw/zinc/36/61/03/1126366103.db2.gz REFGQXSLLMAILJ-DTWKUNHWSA-N -1 1 347.441 -0.294 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1cnn(-c2ccccn2)c1 ZINC001483271575 1126412262 /nfs/dbraw/zinc/41/22/62/1126412262.db2.gz YEWNGLVMLMZPCS-JTQLQIEISA-N -1 1 342.363 -0.001 20 0 EBADMM C[C@@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)C1(F)CCOCC1 ZINC001483346118 1126418165 /nfs/dbraw/zinc/41/81/65/1126418165.db2.gz LIVZPXVPEDDSOW-JTQLQIEISA-N -1 1 329.376 -0.436 20 0 EBADMM Cc1cc(CN(C)C[C@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)on1 ZINC001483397547 1126423982 /nfs/dbraw/zinc/42/39/82/1126423982.db2.gz UVFHYVRBGGPBAL-LBPRGKRZSA-N -1 1 349.391 -0.140 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N[C@H](CNCc1nccs1)C1CC1 ZINC001483601822 1126438903 /nfs/dbraw/zinc/43/89/03/1126438903.db2.gz LTRBBANSPSRTRD-SECBINFHSA-N -1 1 338.393 -0.170 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)COc2ccsc2)nc1=O ZINC001483693174 1126459819 /nfs/dbraw/zinc/45/98/19/1126459819.db2.gz BTGLOUYLWNVIAZ-SNVBAGLBSA-N -1 1 337.405 -0.061 20 0 EBADMM Cn1cc(C(=O)NC[C@]2(C)CCCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001484150923 1126509630 /nfs/dbraw/zinc/50/96/30/1126509630.db2.gz ZCCKHVGTXHQFBC-INIZCTEOSA-N -1 1 347.423 -0.126 20 0 EBADMM CCC(CC)C(=O)NC[C@@]1(O)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001484208467 1126519214 /nfs/dbraw/zinc/51/92/14/1126519214.db2.gz SSPKNZYBLDRWSD-HNNXBMFYSA-N -1 1 325.413 -0.402 20 0 EBADMM CC[C@H](C)CN1CC(O)(CNC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001484298582 1126556603 /nfs/dbraw/zinc/55/66/03/1126556603.db2.gz CMBAIUJNVORIDT-NSHDSACASA-N -1 1 333.392 -0.155 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@](CO)(NC(=O)C(C)(F)F)C2)nc1=O ZINC001484424318 1126573841 /nfs/dbraw/zinc/57/38/41/1126573841.db2.gz ACWBJYWVRSYVRG-CYBMUJFWSA-N -1 1 333.339 -0.793 20 0 EBADMM Cn1nnnc1CN1CCC[C@@](CO)(NC(=O)c2ncccc2[O-])C1 ZINC001484433045 1126577805 /nfs/dbraw/zinc/57/78/05/1126577805.db2.gz BDKOANUTFZCJCP-OAHLLOKOSA-N -1 1 347.379 -0.932 20 0 EBADMM O=C(c1cnn2c1CCCC2)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001484498599 1126598428 /nfs/dbraw/zinc/59/84/28/1126598428.db2.gz PZFMDPOIZYVJIQ-UHFFFAOYSA-N -1 1 349.395 -0.733 20 0 EBADMM O=C(C[C@@H]1CCCOC1)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001484501467 1126599751 /nfs/dbraw/zinc/59/97/51/1126599751.db2.gz XBKZUZSWLWFLHG-NSHDSACASA-N -1 1 327.385 -0.763 20 0 EBADMM O=C(c1c[nH]c(=O)cn1)N1CC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001484535161 1126601877 /nfs/dbraw/zinc/60/18/77/1126601877.db2.gz HHWCDYUBNGRIAY-SECBINFHSA-N -1 1 333.352 -0.764 20 0 EBADMM COCCCCC(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001484586321 1126605839 /nfs/dbraw/zinc/60/58/39/1126605839.db2.gz LBONHLULRSJDQQ-LBPRGKRZSA-N -1 1 341.412 -0.758 20 0 EBADMM CC(C)[C@@H](C)C(=O)N[C@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001484804511 1126636828 /nfs/dbraw/zinc/63/68/28/1126636828.db2.gz KMFONZHBOOYMLO-VXGBXAGGSA-N -1 1 337.424 -0.140 20 0 EBADMM C[C@H]1CN(C(=O)CCCn2cncn2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001484901846 1126650386 /nfs/dbraw/zinc/65/03/86/1126650386.db2.gz KWJCJTJKPUXXQQ-QWRGUYRKSA-N -1 1 334.384 -0.481 20 0 EBADMM CC(=O)N(C)CC(=O)N1CCC[C@@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001485048539 1126669761 /nfs/dbraw/zinc/66/97/61/1126669761.db2.gz PJECOVHJTPSVCO-GFCCVEGCSA-N -1 1 338.412 -0.991 20 0 EBADMM COc1nccc(C(=O)NC[C@@H](C)N(C)Cc2nc(=O)n(C)[n-]2)n1 ZINC001485328283 1126691094 /nfs/dbraw/zinc/69/10/94/1126691094.db2.gz DTBDOJPMRILWMW-SECBINFHSA-N -1 1 335.368 -0.843 20 0 EBADMM C[C@H](CNC(=O)[C@@H]1CC12CCOCC2)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001485392581 1126696101 /nfs/dbraw/zinc/69/61/01/1126696101.db2.gz FXXZKIHGMVMMDC-NEPJUHHUSA-N -1 1 337.424 -0.138 20 0 EBADMM Cc1cnn(CC(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001485493071 1126728071 /nfs/dbraw/zinc/72/80/71/1126728071.db2.gz SZJBSFGHDXKZPJ-LLVKDONJSA-N -1 1 333.396 -0.750 20 0 EBADMM CCN1CCO[C@@]2(CCN(C(=O)CCCn3c(=O)[n-][nH]c3=O)C2)C1 ZINC001485555440 1126751249 /nfs/dbraw/zinc/75/12/49/1126751249.db2.gz CEDPVXKPUOAQNG-HNNXBMFYSA-N -1 1 339.396 -0.207 20 0 EBADMM C[C@@H](NC(=O)CCc1cncnc1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001409933854 1126858408 /nfs/dbraw/zinc/85/84/08/1126858408.db2.gz QZFVETPMSUPTTB-LLVKDONJSA-N -1 1 345.407 -0.532 20 0 EBADMM COc1coc(C(=O)N(C)C[C@H](C)NCc2n[nH]c(=O)[n-]2)cc1=O ZINC001485901651 1126911307 /nfs/dbraw/zinc/91/13/07/1126911307.db2.gz YOLBUYVRPNHPTJ-QMMMGPOBSA-N -1 1 337.336 -0.278 20 0 EBADMM CC1=CC[C@](C)(C(=O)NC[C@@H](CO)NCc2nc(=O)n(C)[n-]2)CC1 ZINC001486064402 1127014302 /nfs/dbraw/zinc/01/43/02/1127014302.db2.gz ZXDQOSBGIQNNOC-LRDDRELGSA-N -1 1 337.424 -0.188 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)COCc1ccnn1C ZINC001486082450 1127028070 /nfs/dbraw/zinc/02/80/70/1127028070.db2.gz CLAQIMJIEAHOBZ-PWSUYJOCSA-N -1 1 349.395 -0.460 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CN(C)C(=O)C1CC1 ZINC001486116003 1127039218 /nfs/dbraw/zinc/03/92/18/1127039218.db2.gz VCLKPYHTECSNAJ-MWLCHTKSSA-N -1 1 336.396 -0.542 20 0 EBADMM CCC1(C(=O)N(C)C[C@H](O)CNCc2n[nH]c(=O)[n-]2)CCOCC1 ZINC001486308284 1127112993 /nfs/dbraw/zinc/11/29/93/1127112993.db2.gz WZNNEGHKOARDSB-LLVKDONJSA-N -1 1 341.412 -0.374 20 0 EBADMM Cc1coc(C(=O)N(C)C[C@H](O)CN(C)Cc2nc(=O)n(C)[n-]2)c1 ZINC001486346393 1127157809 /nfs/dbraw/zinc/15/78/09/1127157809.db2.gz GHPZUFMTTIAVNC-LLVKDONJSA-N -1 1 337.380 -0.425 20 0 EBADMM CC(C)(C)[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C[C@@H]1CCC(=O)N1 ZINC001486414778 1127172397 /nfs/dbraw/zinc/17/23/97/1127172397.db2.gz BSMZYHSMFZGYRY-UWVGGRQHSA-N -1 1 338.412 -0.201 20 0 EBADMM C[C@@H](NC(=O)CN1CCCNC1=O)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001486686657 1127236423 /nfs/dbraw/zinc/23/64/23/1127236423.db2.gz GEKVEQWQWVRJHK-GHMZBOCLSA-N -1 1 349.391 -0.175 20 0 EBADMM CNC(=O)NCC(=O)N[C@@H]1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001487374335 1127484745 /nfs/dbraw/zinc/48/47/45/1127484745.db2.gz FEZCPSSBOXFXLG-GHMZBOCLSA-N -1 1 349.391 -0.127 20 0 EBADMM C[C@@H](C(=O)NC[C@@H](O)CNC(=O)c1ncccc1[O-])c1cnn(C)c1 ZINC001410101506 1127562299 /nfs/dbraw/zinc/56/22/99/1127562299.db2.gz KHWYJGPSZHNMTG-ZYHUDNBSSA-N -1 1 347.375 -0.469 20 0 EBADMM O=C(CC1OCCCO1)NC[C@@H](O)CNC(=O)c1ncccc1[O-] ZINC001410106644 1127569363 /nfs/dbraw/zinc/56/93/63/1127569363.db2.gz PVYYXVKOSSJEHR-SNVBAGLBSA-N -1 1 339.348 -0.853 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CCNC(=O)CC1)NC(=O)c1ncccc1[O-] ZINC001410191113 1127636060 /nfs/dbraw/zinc/63/60/60/1127636060.db2.gz WEJKZGOTMXOJPN-GHMZBOCLSA-N -1 1 334.376 -0.062 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C1(C(=O)OC)CCOCC1 ZINC001364843929 1127670242 /nfs/dbraw/zinc/67/02/42/1127670242.db2.gz ZPKDDLKMKGEFCQ-UHFFFAOYSA-N -1 1 347.349 -0.803 20 0 EBADMM CC(C)OCC(=O)NC[C@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001410521890 1127733525 /nfs/dbraw/zinc/73/35/25/1127733525.db2.gz BORIJKXSDLVPPR-SNVBAGLBSA-N -1 1 348.363 -0.827 20 0 EBADMM O=C(NC[C@@H](CO)NCc1ncnn1CCF)c1ncccc1[O-] ZINC001410586302 1127761554 /nfs/dbraw/zinc/76/15/54/1127761554.db2.gz DGQUPZRUZJHPGA-JTQLQIEISA-N -1 1 338.343 -0.771 20 0 EBADMM O=C(NC[C@@H](CO)NCc1ncccn1)c1cnc(C2CC2)[n-]c1=O ZINC001410719511 1127838200 /nfs/dbraw/zinc/83/82/00/1127838200.db2.gz CNTPLEZCMGLUMY-NSHDSACASA-N -1 1 344.375 -0.270 20 0 EBADMM Cn1[n-]c(CN[C@H](CO)CNC(=O)c2ccc(Cl)s2)nc1=O ZINC001410774659 1127881117 /nfs/dbraw/zinc/88/11/17/1127881117.db2.gz DMPCCZJWDZBKSS-ZETCQYMHSA-N -1 1 345.812 -0.296 20 0 EBADMM C[C@@H]1[C@H]([NH2+]Cc2nc(=O)n(C)[n-]2)CCN1C(=O)COCC(F)F ZINC001410851488 1127916427 /nfs/dbraw/zinc/91/64/27/1127916427.db2.gz NQSDBVUWPSTXQN-RKDXNWHRSA-N -1 1 333.339 -0.531 20 0 EBADMM CNC(=O)NCCC(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001410888793 1127930758 /nfs/dbraw/zinc/93/07/58/1127930758.db2.gz VCBVGTKQRCNTRH-UHFFFAOYSA-N -1 1 335.364 -0.611 20 0 EBADMM CN(C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)COC1CCCCC1 ZINC001411051053 1127987864 /nfs/dbraw/zinc/98/78/64/1127987864.db2.gz CLWLRBBVPOZRSI-NSHDSACASA-N -1 1 341.412 -0.232 20 0 EBADMM CCCn1cc(C(=O)N(C)C[C@H](O)CNCc2n[nH]c(=O)[n-]2)cn1 ZINC001411096871 1128014538 /nfs/dbraw/zinc/01/45/38/1128014538.db2.gz KPUZNGZCQSIVOG-LLVKDONJSA-N -1 1 337.384 -0.661 20 0 EBADMM CN(Cc1cnnn1C)C[C@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001411166421 1128060223 /nfs/dbraw/zinc/06/02/23/1128060223.db2.gz WCLYUPXKRLUQNU-LBPRGKRZSA-N -1 1 334.380 -0.519 20 0 EBADMM CC(C)N(CCN(C)Cc1nc(=O)n(C)[n-]1)C(=O)CC(C)(C)O ZINC001411190020 1128086985 /nfs/dbraw/zinc/08/69/85/1128086985.db2.gz NJYNZBDHGNUSIJ-UHFFFAOYSA-N -1 1 327.429 -0.062 20 0 EBADMM CC(C)(C)[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CO[C@H]1CCOC1 ZINC001411206110 1128091558 /nfs/dbraw/zinc/09/15/58/1128091558.db2.gz BNAHKLFHGBJZIW-QWRGUYRKSA-N -1 1 341.412 -0.064 20 0 EBADMM C[C@H](CCCNC(=O)c1ncccc1[O-])NC(=O)Cn1ncnn1 ZINC001487502994 1128145210 /nfs/dbraw/zinc/14/52/10/1128145210.db2.gz KAKBTESOORFKRK-SNVBAGLBSA-N -1 1 333.352 -0.511 20 0 EBADMM C[C@H](CCCNC(=O)Cn1cnnn1)NC(=O)c1ncccc1[O-] ZINC001487493692 1128143580 /nfs/dbraw/zinc/14/35/80/1128143580.db2.gz XJYNQAGKHRNJRL-SNVBAGLBSA-N -1 1 333.352 -0.511 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4n[nH]cc4F)C[C@]3(C)C2)nc1=O ZINC001091988317 1128173050 /nfs/dbraw/zinc/17/30/50/1128173050.db2.gz HIYYOZVYVMFBHD-PSLIRLAXSA-N -1 1 349.370 -0.435 20 0 EBADMM COc1cnc(C(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C)nc1 ZINC001092810350 1128275355 /nfs/dbraw/zinc/27/53/55/1128275355.db2.gz PAULIVBLRWWGDV-NXEZZACHSA-N -1 1 347.379 -0.193 20 0 EBADMM COc1nn(C)cc1C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001092881927 1128280399 /nfs/dbraw/zinc/28/03/99/1128280399.db2.gz ADXVFEWFAKKOMU-NXEZZACHSA-N -1 1 349.395 -0.250 20 0 EBADMM Cn1[n-]c(CNCc2cn(C3CN(C(=O)C4CCC4)C3)nn2)nc1=O ZINC001093299464 1128320075 /nfs/dbraw/zinc/32/00/75/1128320075.db2.gz UKCWPAZDZOMKSB-UHFFFAOYSA-N -1 1 346.395 -0.827 20 0 EBADMM C[C@H](CC(=O)N[C@H](C)CN(C)C(=O)c1ncccc1[O-])NC(N)=O ZINC001487755536 1128418325 /nfs/dbraw/zinc/41/83/25/1128418325.db2.gz KTKKECLCTARSAE-NXEZZACHSA-N -1 1 337.380 -0.189 20 0 EBADMM CNC(=O)NCC(=O)N(C)[C@@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001487659711 1128389492 /nfs/dbraw/zinc/38/94/92/1128389492.db2.gz NIZBZHPTHHYTEM-NSHDSACASA-N -1 1 349.391 -0.317 20 0 EBADMM CN(C(=O)Cn1cnnn1)[C@@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001487630643 1128392268 /nfs/dbraw/zinc/39/22/68/1128392268.db2.gz ITFYZTJZJZDLPM-NSHDSACASA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@H](CN(C)C(=O)c1ccco1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001487695515 1128397752 /nfs/dbraw/zinc/39/77/52/1128397752.db2.gz QIRFEKJLBPWCRX-SECBINFHSA-N -1 1 344.331 -0.099 20 0 EBADMM CC(C)CC(=O)N(C)C[C@@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001487697077 1128398059 /nfs/dbraw/zinc/39/80/59/1128398059.db2.gz IZYNERDYRLEILV-GFCCVEGCSA-N -1 1 338.408 -0.064 20 0 EBADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)[C@@H]1CN(C)CCO1 ZINC001487756010 1128418126 /nfs/dbraw/zinc/41/81/26/1128418126.db2.gz OYWAMRPBDCZFAX-YPMHNXCESA-N -1 1 336.392 -0.306 20 0 EBADMM Cc1ccn(CC(=O)N[C@H](CO)CNC(=O)c2ncccc2[O-])n1 ZINC001487975468 1128471214 /nfs/dbraw/zinc/47/12/14/1128471214.db2.gz DXGQLGWWPOHYKM-NSHDSACASA-N -1 1 333.348 -0.801 20 0 EBADMM CSC[C@H](C)C(=O)NC[C@@H](CO)NC(=O)c1ncccc1[O-] ZINC001487964503 1128499584 /nfs/dbraw/zinc/49/95/84/1128499584.db2.gz UNFJKNBEIAGOFN-UWVGGRQHSA-N -1 1 327.406 -0.007 20 0 EBADMM O=C(N[C@H](CO)CNC(=O)[C@H]1C[C@@H]1C(F)F)c1ncccc1[O-] ZINC001487986134 1128521948 /nfs/dbraw/zinc/52/19/48/1128521948.db2.gz XRZHEWQYEINIAN-CIUDSAMLSA-N -1 1 329.303 -0.105 20 0 EBADMM O=C([N-][C@H](CO)CNC(=O)c1[nH]cnc1C(F)(F)F)C(F)F ZINC001488023846 1128563546 /nfs/dbraw/zinc/56/35/46/1128563546.db2.gz YTCLWJSPTUNCTC-BYPYZUCNSA-N -1 1 330.213 -0.100 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H]1C[C@@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC001488178152 1128643121 /nfs/dbraw/zinc/64/31/21/1128643121.db2.gz INJAORXCDGYKSK-ZYHUDNBSSA-N -1 1 334.376 -0.015 20 0 EBADMM C[C@H]1[C@@H](NC(=O)CCNC(N)=O)CCCN1C(=O)c1ncccc1[O-] ZINC001488260385 1128664121 /nfs/dbraw/zinc/66/41/21/1128664121.db2.gz OZDLDCKRAJEUBV-QWRGUYRKSA-N -1 1 349.391 -0.045 20 0 EBADMM Cc1ncncc1C(=O)NC[C@@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001488350320 1128688168 /nfs/dbraw/zinc/68/81/68/1128688168.db2.gz CTGUPEICNJKBFU-LLVKDONJSA-N -1 1 345.359 -0.251 20 0 EBADMM CN(C[C@H](O)CNC(=O)c1ncccc1[O-])C(=O)c1ccncn1 ZINC001488396075 1128734714 /nfs/dbraw/zinc/73/47/14/1128734714.db2.gz AWKMFWCRLAULDQ-SNVBAGLBSA-N -1 1 331.332 -0.560 20 0 EBADMM CCNC(=O)CC(=O)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001489226943 1128939534 /nfs/dbraw/zinc/93/95/34/1128939534.db2.gz XZQGBXHJWMVAHK-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1ncc(C(=O)NCCCN(Cc2n[nH]c(=O)[n-]2)C2CC2)c1N ZINC001490370926 1129167825 /nfs/dbraw/zinc/16/78/25/1129167825.db2.gz KTTNXJBKDDGNSY-UHFFFAOYSA-N -1 1 334.384 -0.390 20 0 EBADMM CC1CC(C(=O)NC2(CNC(=O)CCn3cc[n-]c(=O)c3=O)CC2)C1 ZINC001358676725 1131253797 /nfs/dbraw/zinc/25/37/97/1131253797.db2.gz GSZOGKRDJPFUHC-UHFFFAOYSA-N -1 1 348.403 -0.262 20 0 EBADMM C[C@]1(CNC(=O)c2ncccc2[O-])CN(C(=O)c2cn[nH]n2)CCO1 ZINC001490519516 1129220776 /nfs/dbraw/zinc/22/07/76/1129220776.db2.gz DIILDRFSZGQRQO-HNNXBMFYSA-N -1 1 346.347 -0.434 20 0 EBADMM C[C@@]1(CNC(=O)c2ncccc2[O-])CN(C(=O)c2cn[nH]n2)CCO1 ZINC001490519517 1129221003 /nfs/dbraw/zinc/22/10/03/1129221003.db2.gz DIILDRFSZGQRQO-OAHLLOKOSA-N -1 1 346.347 -0.434 20 0 EBADMM CN(C)c1cnc(C(=O)NC[C@@H](NCc2n[nH]c(=O)[n-]2)C2CC2)cn1 ZINC001490664038 1129242821 /nfs/dbraw/zinc/24/28/21/1129242821.db2.gz CKNVKXBWSDOCRR-SNVBAGLBSA-N -1 1 346.395 -0.336 20 0 EBADMM O=C(NC[C@H](NCc1n[nH]c(=O)[n-]1)C1CC1)[C@H]1CCNC(=O)CC1 ZINC001490689365 1129247568 /nfs/dbraw/zinc/24/75/68/1129247568.db2.gz NFXWXXKKTVGRRJ-MNOVXSKESA-N -1 1 336.396 -0.589 20 0 EBADMM CNC(=O)C1(C(=O)NC[C@H](NCc2n[nH]c(=O)[n-]2)C2CC2)CCC1 ZINC001490733193 1129250464 /nfs/dbraw/zinc/25/04/64/1129250464.db2.gz VZGYQFUUXUYWFJ-JTQLQIEISA-N -1 1 336.396 -0.589 20 0 EBADMM C[C@@H](NC(=O)c1cnn[nH]1)[C@@H](C)NC(=O)c1cnn2c1OCCC2 ZINC001411409954 1129289533 /nfs/dbraw/zinc/28/95/33/1129289533.db2.gz AZUOCYWSEGAHGH-RKDXNWHRSA-N -1 1 333.352 -0.280 20 0 EBADMM CCc1cc(=O)n2[n-]c(NC(=O)CCCS(C)(=O)=O)nc2n1 ZINC001411493603 1129303294 /nfs/dbraw/zinc/30/32/94/1129303294.db2.gz UEFSCUNIEFSCMT-UHFFFAOYSA-N -1 1 327.366 -0.257 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)[C@H](C)N1CCOCC1 ZINC001411776318 1129380016 /nfs/dbraw/zinc/38/00/16/1129380016.db2.gz MJUXVFHZQWVWKX-IUCAKERBSA-N -1 1 326.353 -0.265 20 0 EBADMM CN(C[C@H]1CCOC1)C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC001411855907 1129394360 /nfs/dbraw/zinc/39/43/60/1129394360.db2.gz XDVCKCOJPOXSEW-SECBINFHSA-N -1 1 345.377 -0.848 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N2CC3(CCC3)C2)o1 ZINC001411894785 1129398747 /nfs/dbraw/zinc/39/87/47/1129398747.db2.gz JHTNHSHCEDAPRT-UHFFFAOYSA-N -1 1 327.362 -0.331 20 0 EBADMM COCCn1nc(C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)ccc1=O ZINC001412051691 1129436398 /nfs/dbraw/zinc/43/63/98/1129436398.db2.gz OYYSJKLMJIZLCV-JTQLQIEISA-N -1 1 349.347 -0.002 20 0 EBADMM C[C@H]1CNc2c(C(=O)N3CCC(O)(c4nn[n-]n4)CC3)cnn2C1 ZINC001412058736 1129437468 /nfs/dbraw/zinc/43/74/68/1129437468.db2.gz UJCULCREYJOVDT-VIFPVBQESA-N -1 1 332.368 -0.418 20 0 EBADMM NC(=O)Cc1ccc(CNC(=O)CNC(=O)c2ncccc2[O-])cc1 ZINC001412198642 1129473647 /nfs/dbraw/zinc/47/36/47/1129473647.db2.gz BZHAZSHESRJBMB-UHFFFAOYSA-N -1 1 342.355 -0.139 20 0 EBADMM Cc1nc(-c2cccnc2)[n-]c(=O)c1CC(=O)N1C[C@H](O)[C@@H](CO)C1 ZINC001412229877 1129481692 /nfs/dbraw/zinc/48/16/92/1129481692.db2.gz ZOLFRQSDMNGTCT-OCCSQVGLSA-N -1 1 344.371 -0.093 20 0 EBADMM COC(=O)[C@H](NC(=O)CCc1nn[n-]n1)[C@@H]1CCC[C@H](OC)C1 ZINC001412310891 1129500216 /nfs/dbraw/zinc/50/02/16/1129500216.db2.gz PYNVRGMCROMTDS-GBIKHYSHSA-N -1 1 325.369 -0.005 20 0 EBADMM CCOC(=O)c1nc([C@H](C)NC(=O)CNC(=O)c2ccncc2)n[n-]1 ZINC001412328875 1129501910 /nfs/dbraw/zinc/50/19/10/1129501910.db2.gz XRCOJRFEFBXONT-VIFPVBQESA-N -1 1 346.347 -0.016 20 0 EBADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CNC(=O)c2ccncc2)[n-]1 ZINC001412328875 1129501913 /nfs/dbraw/zinc/50/19/13/1129501913.db2.gz XRCOJRFEFBXONT-VIFPVBQESA-N -1 1 346.347 -0.016 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CNC(=O)c2ccncc2)n1 ZINC001412328875 1129501917 /nfs/dbraw/zinc/50/19/17/1129501917.db2.gz XRCOJRFEFBXONT-VIFPVBQESA-N -1 1 346.347 -0.016 20 0 EBADMM Cn1cccc1C(=O)N1CCN(C(=O)CCCc2nn[n-]n2)CC1 ZINC001412364930 1129510442 /nfs/dbraw/zinc/51/04/42/1129510442.db2.gz IVJLOEHZJQKNFG-UHFFFAOYSA-N -1 1 331.380 -0.155 20 0 EBADMM Cc1cc(NC(=O)CN2CCN(C(=O)CCc3nn[n-]n3)CC2)no1 ZINC001412378081 1129512988 /nfs/dbraw/zinc/51/29/88/1129512988.db2.gz QNZJWITTXWHPLW-UHFFFAOYSA-N -1 1 348.367 -0.788 20 0 EBADMM O=C([C@H]1CC(=O)N(C2CCCC2)C1)N1CCOC[C@H]1c1nn[n-]n1 ZINC001412432203 1129527695 /nfs/dbraw/zinc/52/76/95/1129527695.db2.gz MVMIWANHQFJTPT-JQWIXIFHSA-N -1 1 334.380 -0.109 20 0 EBADMM O=C(CSc1n[nH]c(=O)[n-]1)N1CCN(C[C@@H]2CCOC2)CC1 ZINC001412455598 1129532370 /nfs/dbraw/zinc/53/23/70/1129532370.db2.gz MXQGFHUDDFSFCF-JTQLQIEISA-N -1 1 327.410 -0.217 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCN1CCOC(C)(C)C1 ZINC001412480357 1129538466 /nfs/dbraw/zinc/53/84/66/1129538466.db2.gz WGHVMHXFDSUEFC-UHFFFAOYSA-N -1 1 326.353 -0.263 20 0 EBADMM CNS(=O)(=O)c1cc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)co1 ZINC001412483388 1129538923 /nfs/dbraw/zinc/53/89/23/1129538923.db2.gz AIHCVHLUVNAYPE-SSDOTTSWSA-N -1 1 326.338 -0.670 20 0 EBADMM Cc1ccn(C[C@@](C)(O)C(=O)N2CCC(c3nn[n-]n3)CC2)c(=O)c1 ZINC001412496134 1129541974 /nfs/dbraw/zinc/54/19/74/1129541974.db2.gz XMGFQKBORGOGML-MRXNPFEDSA-N -1 1 346.391 -0.173 20 0 EBADMM CSc1nc(=O)[n-]c(C)c1C(=O)N1CC(O)(c2cnnn2C)C1 ZINC001412497403 1129542962 /nfs/dbraw/zinc/54/29/62/1129542962.db2.gz FZAMRBYFMRGKEL-UHFFFAOYSA-N -1 1 336.377 -0.315 20 0 EBADMM C[C@H](C(=O)NCc1nn[n-]n1)[C@@H](O)CN(C)C(=O)OC(C)(C)C ZINC001412505604 1129545226 /nfs/dbraw/zinc/54/52/26/1129545226.db2.gz NVALMUHWTKKVBX-IUCAKERBSA-N -1 1 328.373 -0.320 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NCC[C@@H]1CCCS1(=O)=O ZINC001412515425 1129548633 /nfs/dbraw/zinc/54/86/33/1129548633.db2.gz OVYNKRXOHFCZFK-JTQLQIEISA-N -1 1 341.389 -0.400 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H](CO)CC2OCCO2)co1 ZINC001412539233 1129554554 /nfs/dbraw/zinc/55/45/54/1129554554.db2.gz UNQVFGPEZOPIJU-VIFPVBQESA-N -1 1 334.350 -0.959 20 0 EBADMM CSc1ncc(C(=O)N2CCC[C@H](S(N)(=O)=O)C2)c(=O)[n-]1 ZINC001412685944 1129593362 /nfs/dbraw/zinc/59/33/62/1129593362.db2.gz FSOAUFJMDVPUMW-ZETCQYMHSA-N -1 1 332.407 -0.203 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCS(=O)(=O)C(C)(C)C1 ZINC001412728188 1129602963 /nfs/dbraw/zinc/60/29/63/1129602963.db2.gz LYEHNWSVQSMMGC-ZETCQYMHSA-N -1 1 345.377 -0.018 20 0 EBADMM Cc1noc(C(=O)N[C@H](CO)CNC(=O)c2ncccc2[O-])c1C ZINC001412808255 1129664521 /nfs/dbraw/zinc/66/45/21/1129664521.db2.gz KDZLAAFALSRROP-JTQLQIEISA-N -1 1 334.332 -0.087 20 0 EBADMM O=C(C[C@H]1CCS(=O)(=O)C1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC001412860423 1129739823 /nfs/dbraw/zinc/73/98/23/1129739823.db2.gz UUMRHEANFBOYMY-RKDXNWHRSA-N -1 1 331.423 -0.359 20 0 EBADMM NC(=O)c1ccc(CCC(=O)N2CCC(O)(c3nn[n-]n3)CC2)cc1 ZINC001412902715 1129770133 /nfs/dbraw/zinc/77/01/33/1129770133.db2.gz SOFQOJTXNIJQJA-UHFFFAOYSA-N -1 1 344.375 -0.259 20 0 EBADMM CCC(CC)(NC(=O)CCN1CCCS1(=O)=O)c1nn[n-]n1 ZINC001412939453 1129794517 /nfs/dbraw/zinc/79/45/17/1129794517.db2.gz YZMGQQATTWDIME-UHFFFAOYSA-N -1 1 330.414 -0.243 20 0 EBADMM CC[C@@](COC)(NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)C(=O)OC ZINC001413053450 1129898146 /nfs/dbraw/zinc/89/81/46/1129898146.db2.gz VGFNKHKSLYHERJ-ZDUSSCGKSA-N -1 1 329.309 -0.406 20 0 EBADMM C[C@@H](C(=O)N(C)C[C@H](O)CNC(=O)c1ncccc1[O-])n1cncn1 ZINC001413239437 1129963814 /nfs/dbraw/zinc/96/38/14/1129963814.db2.gz CAWJOMIXZCDQHH-WDEREUQCSA-N -1 1 348.363 -0.811 20 0 EBADMM CO[C@H]1CS(=O)(=O)C[C@H]1NC(=O)c1cnc(SC)[n-]c1=O ZINC001413268213 1129980694 /nfs/dbraw/zinc/98/06/94/1129980694.db2.gz WTRXTXPEEYNGON-SFYZADRCSA-N -1 1 333.391 -0.554 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1C[C@H](O)C[C@@]1(C)CO ZINC001413291230 1129991213 /nfs/dbraw/zinc/99/12/13/1129991213.db2.gz MHUZTLQWMJJBBB-BZNIZROVSA-N -1 1 334.376 -0.062 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC(=O)N[C@H]3CCCC[C@@H]32)[n-]n1 ZINC001413308121 1130004550 /nfs/dbraw/zinc/00/45/50/1130004550.db2.gz OTOFRRSGIMJIOE-WPRPVWTQSA-N -1 1 342.377 -0.372 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC(=O)N[C@H]3CCCC[C@@H]32)n[n-]1 ZINC001413308121 1130004553 /nfs/dbraw/zinc/00/45/53/1130004553.db2.gz OTOFRRSGIMJIOE-WPRPVWTQSA-N -1 1 342.377 -0.372 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2CCCCC23OCCO3)c(=O)[nH]c1=O ZINC001413321077 1130013263 /nfs/dbraw/zinc/01/32/63/1130013263.db2.gz PVIKTJVMWFBFSZ-JTQLQIEISA-N -1 1 345.377 -0.550 20 0 EBADMM COCC[C@@]1(NS(=O)(=O)c2cc(C(=O)OC)n[n-]2)CCOC1 ZINC001413347601 1130034907 /nfs/dbraw/zinc/03/49/07/1130034907.db2.gz BUSQTTNYIQWZLX-GFCCVEGCSA-N -1 1 333.366 -0.330 20 0 EBADMM COCC[C@@]1(NS(=O)(=O)c2cc(C(=O)OC)[n-]n2)CCOC1 ZINC001413347601 1130034912 /nfs/dbraw/zinc/03/49/12/1130034912.db2.gz BUSQTTNYIQWZLX-GFCCVEGCSA-N -1 1 333.366 -0.330 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCO[C@]3(CCCOC3)C2)[n-]n1 ZINC001413350207 1130037983 /nfs/dbraw/zinc/03/79/83/1130037983.db2.gz UIUVEJZLBMIWMV-CYBMUJFWSA-N -1 1 345.377 -0.234 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCO[C@]3(CCCOC3)C2)n[n-]1 ZINC001413350207 1130037990 /nfs/dbraw/zinc/03/79/90/1130037990.db2.gz UIUVEJZLBMIWMV-CYBMUJFWSA-N -1 1 345.377 -0.234 20 0 EBADMM CN(C[C@H](O)CN(C)C(=O)c1ncccc1[O-])C(=O)Cc1ncc[nH]1 ZINC001413380515 1130062391 /nfs/dbraw/zinc/06/23/91/1130062391.db2.gz SYVXWKFYAYONLE-NSHDSACASA-N -1 1 347.375 -0.356 20 0 EBADMM O=C(c1cnc2c(c1)NC(=O)CO2)N1CCOC[C@H]1c1nn[n-]n1 ZINC001413390849 1130069625 /nfs/dbraw/zinc/06/96/25/1130069625.db2.gz ZVVPFAOAHAYCMB-VIFPVBQESA-N -1 1 331.292 -0.861 20 0 EBADMM C[C@H](O)[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)c1ccccc1 ZINC001413402233 1130073510 /nfs/dbraw/zinc/07/35/10/1130073510.db2.gz OGSJYBCJBLSJKM-CABZTGNLSA-N -1 1 339.373 -0.114 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@H]2CCC3(CCC3)O2)c(=O)[nH]c1=O ZINC001413424629 1130081596 /nfs/dbraw/zinc/08/15/96/1130081596.db2.gz WSDWZOHCXXBJLM-SECBINFHSA-N -1 1 329.378 -0.134 20 0 EBADMM CS(=O)(=O)Cc1nc(C(=O)N2CCC(c3nn[n-]n3)CC2)co1 ZINC001413426546 1130083149 /nfs/dbraw/zinc/08/31/49/1130083149.db2.gz MATORFZJPVBHPT-UHFFFAOYSA-N -1 1 340.365 -0.248 20 0 EBADMM O=C(C[C@@H]1CCS(=O)(=O)C1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001413452677 1130092687 /nfs/dbraw/zinc/09/26/87/1130092687.db2.gz BWHUKOYXYHKQOZ-VIFPVBQESA-N -1 1 341.389 -0.304 20 0 EBADMM CC(C)[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)c1cnn(C)c1 ZINC001413458017 1130095555 /nfs/dbraw/zinc/09/55/55/1130095555.db2.gz HXVIIJVSKUWWMD-LLVKDONJSA-N -1 1 341.393 -0.105 20 0 EBADMM O=C(CCCc1nn[n-]n1)NC[C@H](O)C(=O)OCc1ccccc1 ZINC001413469445 1130098941 /nfs/dbraw/zinc/09/89/41/1130098941.db2.gz RMGRPXOZQXKVFH-LBPRGKRZSA-N -1 1 333.348 -0.257 20 0 EBADMM C[C@H](C(=O)N(C)CC(=O)N1CC[C@H](c2nn[n-]n2)C1)n1cccn1 ZINC001413469037 1130099068 /nfs/dbraw/zinc/09/90/68/1130099068.db2.gz NKKRBTDYUUXUFI-MNOVXSKESA-N -1 1 332.368 -0.568 20 0 EBADMM CC(C)[C@@H](C(=O)N1CC[C@H](c2nn[n-]n2)C1)N1CCCS1(=O)=O ZINC001413469069 1130099126 /nfs/dbraw/zinc/09/91/26/1130099126.db2.gz NPNAYNIKFCHVGI-QWRGUYRKSA-N -1 1 342.425 -0.424 20 0 EBADMM CNS(=O)(=O)c1ccc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)cc1 ZINC001413470658 1130099879 /nfs/dbraw/zinc/09/98/79/1130099879.db2.gz OYTPALUQQAXYGG-JTQLQIEISA-N -1 1 336.377 -0.263 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)NCc2cn(C)c(=O)[nH]c2=O)c1 ZINC001413491108 1130106217 /nfs/dbraw/zinc/10/62/17/1130106217.db2.gz LJMNMRYRXQDGPE-UHFFFAOYSA-N -1 1 341.345 -0.321 20 0 EBADMM COC[C@H](NC(=O)[C@H]1CC[C@@H](CCC(=O)OC)O1)c1nn[n-]n1 ZINC001413558643 1130133616 /nfs/dbraw/zinc/13/36/16/1130133616.db2.gz WOEKGZLXZDDKER-LPEHRKFASA-N -1 1 327.341 -0.496 20 0 EBADMM C[C@H](C[C@H](C)NC(=O)c1ncccc1[O-])NC(=O)Cn1cnnn1 ZINC001413660510 1130213015 /nfs/dbraw/zinc/21/30/15/1130213015.db2.gz HHUOCHOHMUTGJH-ZJUUUORDSA-N -1 1 333.352 -0.513 20 0 EBADMM C[C@H](C[C@H](C)NC(=O)Cn1cnnn1)NC(=O)c1ncccc1[O-] ZINC001413660496 1130213214 /nfs/dbraw/zinc/21/32/14/1130213214.db2.gz HHUOCHOHMUTGJH-VHSXEESVSA-N -1 1 333.352 -0.513 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@H]1CC[C@@H](O)[C@@H](O)C1 ZINC001413696269 1130231974 /nfs/dbraw/zinc/23/19/74/1130231974.db2.gz AVWWAVNYZRLSKW-UHTWSYAYSA-N -1 1 334.376 -0.015 20 0 EBADMM CC(C)(C(=O)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C1)S(C)(=O)=O ZINC001490932046 1130267242 /nfs/dbraw/zinc/26/72/42/1130267242.db2.gz KYGYGDKAICASEB-ZKCHVHJHSA-N -1 1 331.398 -0.930 20 0 EBADMM Cc1nc(C)c(CC(=O)NCCNC(=O)c2ncccc2[O-])c(=O)[nH]1 ZINC001491245019 1130370803 /nfs/dbraw/zinc/37/08/03/1130370803.db2.gz HSCZUXNHSWKRDU-UHFFFAOYSA-N -1 1 345.359 -0.012 20 0 EBADMM Cc1nnc2n1C[C@@H](C(=O)N(C)C)N(C(=O)c1ncccc1[O-])C2 ZINC001413789602 1130491397 /nfs/dbraw/zinc/49/13/97/1130491397.db2.gz TVPDFMPPBPTDII-JTQLQIEISA-N -1 1 330.348 -0.200 20 0 EBADMM CNC(=O)C1(C(=O)N2CC[C@H](N(C)C(=O)c3ncccc3[O-])C2)CC1 ZINC001491986304 1130573778 /nfs/dbraw/zinc/57/37/78/1130573778.db2.gz PTKNEDAFAOMGCM-NSHDSACASA-N -1 1 346.387 -0.014 20 0 EBADMM CN(CCCNC(=O)c1ccc[nH]1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001492269990 1130614651 /nfs/dbraw/zinc/61/46/51/1130614651.db2.gz LMYFDSYGNLVXCK-UHFFFAOYSA-N -1 1 343.347 -0.362 20 0 EBADMM CC[C@@H](C)C(=O)N(C)CCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001492433937 1130667577 /nfs/dbraw/zinc/66/75/77/1130667577.db2.gz LVADYTQCCCOYQX-GFCCVEGCSA-N -1 1 338.408 -0.063 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)[C@H]2CCc3nncn3CC2)n[n-]1 ZINC001413844411 1130683637 /nfs/dbraw/zinc/68/36/37/1130683637.db2.gz BXIWQSICVAOZHC-VIFPVBQESA-N -1 1 333.352 -0.158 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H]2CCc3nncn3CC2)n1 ZINC001413844411 1130683640 /nfs/dbraw/zinc/68/36/40/1130683640.db2.gz BXIWQSICVAOZHC-VIFPVBQESA-N -1 1 333.352 -0.158 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[S@](=O)[C@H](C)[C@@H]2C)[n-]n1 ZINC001475247128 1130704973 /nfs/dbraw/zinc/70/49/73/1130704973.db2.gz LFBHNYYOLLNYPD-UAXAAPNGSA-N -1 1 335.407 -0.274 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[S@](=O)[C@H](C)[C@@H]2C)n[n-]1 ZINC001475247128 1130704977 /nfs/dbraw/zinc/70/49/77/1130704977.db2.gz LFBHNYYOLLNYPD-UAXAAPNGSA-N -1 1 335.407 -0.274 20 0 EBADMM CNC(=O)NC1CCN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)CC1 ZINC001475391971 1130715533 /nfs/dbraw/zinc/71/55/33/1130715533.db2.gz VBMDXRZUYJZYOX-UHFFFAOYSA-N -1 1 345.381 -0.722 20 0 EBADMM CNC(=O)NC1CCN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)CC1 ZINC001475391971 1130715541 /nfs/dbraw/zinc/71/55/41/1130715541.db2.gz VBMDXRZUYJZYOX-UHFFFAOYSA-N -1 1 345.381 -0.722 20 0 EBADMM O=C(Nc1c[n-][nH]c1=O)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001413847915 1130734678 /nfs/dbraw/zinc/73/46/78/1130734678.db2.gz RGGXHOFNMIZHKB-SFYZADRCSA-N -1 1 328.350 -0.911 20 0 EBADMM CC[C@H](F)C(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001356783851 1130939023 /nfs/dbraw/zinc/93/90/23/1130939023.db2.gz VVKZBIPMVHMDCI-JTQLQIEISA-N -1 1 326.328 -0.998 20 0 EBADMM CCN(C(=O)CCn1cc[n-]c(=O)c1=O)[C@H]1CCN(C(=O)C2CC2)C1 ZINC001356858571 1130974498 /nfs/dbraw/zinc/97/44/98/1130974498.db2.gz XILVSMSVSRRHOY-ZDUSSCGKSA-N -1 1 348.403 -0.214 20 0 EBADMM CC[C@@H](CNC(=O)C(C)(C)C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001356922182 1131001024 /nfs/dbraw/zinc/00/10/24/1131001024.db2.gz BQUCLLMTXCRUNJ-NSHDSACASA-N -1 1 338.408 -0.016 20 0 EBADMM COC(=O)c1cccn(CC(=O)N=c2ccnc3n(C)[n-]cc2-3)c1=O ZINC001361627358 1131430558 /nfs/dbraw/zinc/43/05/58/1131430558.db2.gz AYXGGFMTVABBCV-UHFFFAOYSA-N -1 1 341.327 -0.071 20 0 EBADMM CN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc(F)c([O-])c1 ZINC001361649239 1131434648 /nfs/dbraw/zinc/43/46/48/1131434648.db2.gz GFGCNQRPDLQLIU-JTQLQIEISA-N -1 1 344.364 -0.093 20 0 EBADMM O=C(CNS(=O)(=O)c1ccc(Cl)cc1)NCc1nn[n-]n1 ZINC001361765694 1131452605 /nfs/dbraw/zinc/45/26/05/1131452605.db2.gz VFKMAZOOIJLOFS-UHFFFAOYSA-N -1 1 330.757 -0.552 20 0 EBADMM CO[C@H]1CCn2cc(C(=O)N3CCO[C@@H](c4nn[n-]n4)C3)nc2C1 ZINC001361782833 1131455082 /nfs/dbraw/zinc/45/50/82/1131455082.db2.gz IXTWLMCRPBZBNA-GXSJLCMTSA-N -1 1 333.352 -0.429 20 0 EBADMM O=C(CCNC(=O)c1cccc([O-])c1F)N[C@H]1CCS(=O)(=O)C1 ZINC001361807785 1131459387 /nfs/dbraw/zinc/45/93/87/1131459387.db2.gz QOYOIOKVYIBEPW-VIFPVBQESA-N -1 1 344.364 -0.046 20 0 EBADMM CCCN(C(=O)c1[nH]c(=O)[n-]c(=O)c1OC)[C@H]1CCS(=O)(=O)C1 ZINC001361889934 1131473406 /nfs/dbraw/zinc/47/34/06/1131473406.db2.gz UGDHIYILEVONTR-QMMMGPOBSA-N -1 1 345.377 -0.064 20 0 EBADMM O=C(Cc1nnc(C2CCOCC2)[nH]1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001361921731 1131480223 /nfs/dbraw/zinc/48/02/23/1131480223.db2.gz GMFBKKRQWBRBKC-JTQLQIEISA-N -1 1 348.367 -0.646 20 0 EBADMM O=C(Cc1nnc(C2CCOCC2)[nH]1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001361921741 1131480290 /nfs/dbraw/zinc/48/02/90/1131480290.db2.gz GMFBKKRQWBRBKC-SNVBAGLBSA-N -1 1 348.367 -0.646 20 0 EBADMM COC(=O)CNC(=O)c1ccc(NC(=O)CCc2nn[n-]n2)cc1 ZINC001362024485 1131498949 /nfs/dbraw/zinc/49/89/49/1131498949.db2.gz XIRQCFHEOOXJMM-UHFFFAOYSA-N -1 1 332.320 -0.326 20 0 EBADMM CSc1ncc(C(=O)NCCN2CCS(=O)(=O)CC2)c(=O)[n-]1 ZINC001362029870 1131500024 /nfs/dbraw/zinc/50/00/24/1131500024.db2.gz ZWBFKVQYGXUJRH-UHFFFAOYSA-N -1 1 346.434 -0.636 20 0 EBADMM CCN(C)C(=O)[C@H]1CSCN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001362103472 1131516177 /nfs/dbraw/zinc/51/61/77/1131516177.db2.gz BTUKGWKWYSHLHV-SSDOTTSWSA-N -1 1 342.377 -0.110 20 0 EBADMM O=C(CCc1nn[n-]n1)NC[C@@H](O)COc1ccc(F)c(F)c1 ZINC001362110314 1131517189 /nfs/dbraw/zinc/51/71/89/1131517189.db2.gz PICJRHPQOKJKHM-MRVPVSSYSA-N -1 1 327.291 -0.033 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)CCCc2nn[n-]n2)C(=O)N1c1cnn(C)c1 ZINC001362167284 1131528763 /nfs/dbraw/zinc/52/87/63/1131528763.db2.gz BPXUVUJJYCWOCT-GXSJLCMTSA-N -1 1 332.368 -0.434 20 0 EBADMM CNC(=O)CN1CCN(C(=O)c2cnc(SC)[n-]c2=O)CC1 ZINC001362301240 1131555510 /nfs/dbraw/zinc/55/55/10/1131555510.db2.gz MNBAFXPUQJWXBN-UHFFFAOYSA-N -1 1 325.394 -0.592 20 0 EBADMM COC(=O)C[C@@H]1CN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)CCO1 ZINC001362332633 1131562306 /nfs/dbraw/zinc/56/23/06/1131562306.db2.gz KUYBZMAQBMFDPH-SSDOTTSWSA-N -1 1 327.293 -0.700 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCO[C@@]2(CCCOC2)C1 ZINC001362526292 1131602006 /nfs/dbraw/zinc/60/20/06/1131602006.db2.gz HCCDOYUBGCCNHI-AWEZNQCLSA-N -1 1 325.321 -0.082 20 0 EBADMM CC(=O)OCC(C)(C)CC(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362565021 1131612251 /nfs/dbraw/zinc/61/22/51/1131612251.db2.gz YVHDGRNRPQADMO-UHFFFAOYSA-N -1 1 325.369 -0.011 20 0 EBADMM O=C([C@@H]1C[C@H](O)CN1c1ccncn1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362690657 1131642413 /nfs/dbraw/zinc/64/24/13/1131642413.db2.gz UYPSASVBWLJGDW-WOPDTQHZSA-N -1 1 344.379 -0.665 20 0 EBADMM O=C(c1cn(C[C@@H]2CCOC2)nn1)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362710938 1131648640 /nfs/dbraw/zinc/64/86/40/1131648640.db2.gz OGFRJUULNDKTKQ-QWRGUYRKSA-N -1 1 332.368 -0.153 20 0 EBADMM Cc1sc(=O)n(CC(=O)N2CCC(O)(c3nn[n-]n3)CC2)c1C ZINC001362729151 1131656062 /nfs/dbraw/zinc/65/60/62/1131656062.db2.gz IHEOGUPMRVVGAT-UHFFFAOYSA-N -1 1 338.393 -0.450 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCC(C)(C)S(C)(=O)=O ZINC001362764029 1131667342 /nfs/dbraw/zinc/66/73/42/1131667342.db2.gz YUGJOAXQEIDPKE-UHFFFAOYSA-N -1 1 333.366 -0.161 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@@H](N2CCOCC2)C[C@@H]1C ZINC001362805484 1131680665 /nfs/dbraw/zinc/68/06/65/1131680665.db2.gz XUEKQCQQXHXGPG-UWVGGRQHSA-N -1 1 338.364 -0.168 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H]2CCN(C(N)=O)C2)co1 ZINC001362813625 1131683621 /nfs/dbraw/zinc/68/36/21/1131683621.db2.gz VJLWDEXIFMTGJZ-QMMMGPOBSA-N -1 1 330.366 -0.682 20 0 EBADMM COC(=O)c1cccn(CC(=O)NC2(c3nn[n-]n3)CCC2)c1=O ZINC001362832244 1131689118 /nfs/dbraw/zinc/68/91/18/1131689118.db2.gz CJJJZCSTNWZLCL-UHFFFAOYSA-N -1 1 332.320 -0.656 20 0 EBADMM COC[C@@H](NC(=O)CN1C(=O)COc2ccccc21)c1nn[n-]n1 ZINC001362912424 1131711722 /nfs/dbraw/zinc/71/17/22/1131711722.db2.gz YBYQCBQVLIDLNL-SECBINFHSA-N -1 1 332.320 -0.571 20 0 EBADMM CC1(C)CN(C(=O)CCCn2c(=O)[n-][nH]c2=O)CCN1CCO ZINC001362923135 1131714461 /nfs/dbraw/zinc/71/44/61/1131714461.db2.gz CPFUZDJRKBAGLR-UHFFFAOYSA-N -1 1 327.385 -0.615 20 0 EBADMM Cc1[n-][nH]c(=O)c1NC(=O)c1cnn2c1S(=O)(=O)N(C)CC2 ZINC001362947669 1131720158 /nfs/dbraw/zinc/72/01/58/1131720158.db2.gz CNFRAOOOHRPVGC-UHFFFAOYSA-N -1 1 326.338 -0.493 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC2N=NC(=O)N2C2CC2)co1 ZINC001362981582 1131732809 /nfs/dbraw/zinc/73/28/09/1131732809.db2.gz LFZIZUVVGIVXHY-UHFFFAOYSA-N -1 1 341.349 -0.250 20 0 EBADMM CCOC(=O)[C@@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])CCO1 ZINC001363039902 1131758079 /nfs/dbraw/zinc/75/80/79/1131758079.db2.gz NDYPMDDBCKWTPU-NSHDSACASA-N -1 1 337.332 -0.693 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H](CO)[C@@H]2CCCOC2)o1 ZINC001363047262 1131761920 /nfs/dbraw/zinc/76/19/20/1131761920.db2.gz KCGDMZNAMVOMCZ-ZJUUUORDSA-N -1 1 332.378 -0.295 20 0 EBADMM CNC(=O)NC1CCN(C(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC001363053597 1131765285 /nfs/dbraw/zinc/76/52/85/1131765285.db2.gz ZIFMLUBUICISKE-UHFFFAOYSA-N -1 1 335.364 -0.563 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCN1CCC2(C1)OCCO2 ZINC001363107664 1131787225 /nfs/dbraw/zinc/78/72/25/1131787225.db2.gz HIVZBDMJMSAJRP-UHFFFAOYSA-N -1 1 340.336 -0.925 20 0 EBADMM CCS(=O)(=O)[N-]c1ccccc1C(=O)NCc1n[nH]c(CO)n1 ZINC001363107630 1131787809 /nfs/dbraw/zinc/78/78/09/1131787809.db2.gz HBMPZXAFPLQNIN-UHFFFAOYSA-N -1 1 339.377 -0.011 20 0 EBADMM COC(=O)[C@H](Cn1ccnc1)NC(=O)CNC(=O)c1ncccc1[O-] ZINC001363115731 1131790077 /nfs/dbraw/zinc/79/00/77/1131790077.db2.gz AVSVBYDHKSFVLO-JTQLQIEISA-N -1 1 347.331 -0.929 20 0 EBADMM CC(C)(CNC(=O)CNC(=O)c1ncccc1[O-])[C@@]1(O)CCOC1 ZINC001363123042 1131792926 /nfs/dbraw/zinc/79/29/26/1131792926.db2.gz BFSDPEVXLMGSIU-MRXNPFEDSA-N -1 1 337.376 -0.189 20 0 EBADMM Cc1[n-][nH]c(=O)c1NC(=O)c1c2c(nn1C)CCS(=O)(=O)C2 ZINC001363140193 1131799238 /nfs/dbraw/zinc/79/92/38/1131799238.db2.gz QOONMNOWMDOFBW-UHFFFAOYSA-N -1 1 325.350 -0.120 20 0 EBADMM CNC(=O)NC[C@@H]1CCCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC001363180538 1131818631 /nfs/dbraw/zinc/81/86/31/1131818631.db2.gz VUDAJPFZXJZLFV-NSHDSACASA-N -1 1 349.391 -0.173 20 0 EBADMM C[C@@H](C(=O)N(C)CC(=O)N1CCOC[C@H]1c1nn[n-]n1)n1cccn1 ZINC001363204066 1131828994 /nfs/dbraw/zinc/82/89/94/1131828994.db2.gz NCZSRJJMVXPASD-QWRGUYRKSA-N -1 1 348.367 -0.984 20 0 EBADMM CNC(=O)c1ccc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)cn1 ZINC001363206127 1131830563 /nfs/dbraw/zinc/83/05/63/1131830563.db2.gz GDKRKBVSLGJWJK-UHFFFAOYSA-N -1 1 343.343 -0.061 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)[C@@H]1CCc2nnnn2CC1 ZINC001363206350 1131830589 /nfs/dbraw/zinc/83/05/89/1131830589.db2.gz HDRNKWYVCCNVGR-SECBINFHSA-N -1 1 345.363 -0.490 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)[C@H]1CC(=O)N(C2CC2)C1 ZINC001363207276 1131831477 /nfs/dbraw/zinc/83/14/77/1131831477.db2.gz MLFWDRHLSCZPGM-VIFPVBQESA-N -1 1 332.360 -0.118 20 0 EBADMM CCOC(=O)c1nc([C@H](C)NC(=O)CN2CCCS2(=O)=O)n[n-]1 ZINC001363233374 1131841797 /nfs/dbraw/zinc/84/17/97/1131841797.db2.gz WTTFUNKGXRZZCA-QMMMGPOBSA-N -1 1 345.381 -0.806 20 0 EBADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CN2CCCS2(=O)=O)[n-]1 ZINC001363233374 1131841801 /nfs/dbraw/zinc/84/18/01/1131841801.db2.gz WTTFUNKGXRZZCA-QMMMGPOBSA-N -1 1 345.381 -0.806 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CN2CCCS2(=O)=O)n1 ZINC001363233374 1131841809 /nfs/dbraw/zinc/84/18/09/1131841809.db2.gz WTTFUNKGXRZZCA-QMMMGPOBSA-N -1 1 345.381 -0.806 20 0 EBADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@H](NS(C)(=O)=O)C(C)C ZINC001363277959 1131868084 /nfs/dbraw/zinc/86/80/84/1131868084.db2.gz WORAGKIQIYYVMU-NSHDSACASA-N -1 1 332.430 -0.242 20 0 EBADMM CC(C)(C)OC(=O)NC[C@H](O)C(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001363316866 1131893971 /nfs/dbraw/zinc/89/39/71/1131893971.db2.gz JSGKSXBNBJVFQI-BDAKNGLRSA-N -1 1 326.357 -0.599 20 0 EBADMM COC(=O)[C@@H]1C[C@H](F)CN1C(=O)CNC(=O)c1ncccc1[O-] ZINC001363325053 1131897926 /nfs/dbraw/zinc/89/79/26/1131897926.db2.gz MVWGTYVXZPFZHA-IUCAKERBSA-N -1 1 325.296 -0.371 20 0 EBADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C1CCN(S(N)(=O)=O)CC1 ZINC001363352817 1131911163 /nfs/dbraw/zinc/91/11/63/1131911163.db2.gz BSBXLHDWXRVBLR-UHFFFAOYSA-N -1 1 345.429 -0.900 20 0 EBADMM CCCCS(=O)(=O)NCC(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001363352606 1131911210 /nfs/dbraw/zinc/91/12/10/1131911210.db2.gz AYZABBWKGKFGFD-UHFFFAOYSA-N -1 1 332.430 -0.096 20 0 EBADMM C[C@@H](NC(=O)c1ccccc1)C(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC001363410579 1131938211 /nfs/dbraw/zinc/93/82/11/1131938211.db2.gz LWBVKTVAUHZFRM-PWSUYJOCSA-N -1 1 330.348 -0.082 20 0 EBADMM COC[C@@H](NC(=O)c1cc(S(C)(=O)=O)ccc1F)c1nn[n-]n1 ZINC001363428966 1131945438 /nfs/dbraw/zinc/94/54/38/1131945438.db2.gz CCFHVLPIWWHKKP-SNVBAGLBSA-N -1 1 343.340 -0.140 20 0 EBADMM O=C([C@@H]1CC(=O)N(c2cn[nH]c2)C1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001363433171 1131947386 /nfs/dbraw/zinc/94/73/86/1131947386.db2.gz KNPCQMLQTCEBQF-GHMZBOCLSA-N -1 1 344.379 -0.243 20 0 EBADMM C[C@@H]1CN(CCNC(=O)CNC(=O)c2ncccc2[O-])[C@@H](C)CO1 ZINC001363473164 1131963654 /nfs/dbraw/zinc/96/36/54/1131963654.db2.gz FGTANKFTKDVGLF-NWDGAFQWSA-N -1 1 336.392 -0.258 20 0 EBADMM NC(=O)NCc1ccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)cc1 ZINC001363513715 1131984337 /nfs/dbraw/zinc/98/43/37/1131984337.db2.gz RDHUPNJNQUMLOO-NSHDSACASA-N -1 1 331.336 -0.418 20 0 EBADMM NS(=O)(=O)c1ccc(CC(=O)N2CC[C@H](c3nn[n-]n3)C2)s1 ZINC001363524903 1131992866 /nfs/dbraw/zinc/99/28/66/1131992866.db2.gz NXFRZBJWUUAYRF-ZETCQYMHSA-N -1 1 342.406 -0.533 20 0 EBADMM CS(=O)(=O)[N-]c1ccccc1C(=O)NCc1n[nH]c(CO)n1 ZINC001363535737 1131997390 /nfs/dbraw/zinc/99/73/90/1131997390.db2.gz NOXCCYIQWZHDGL-UHFFFAOYSA-N -1 1 325.350 -0.402 20 0 EBADMM CS(=O)(=O)[N-]c1ccccc1C(=O)NCc1nnc(CO)[nH]1 ZINC001363535737 1131997396 /nfs/dbraw/zinc/99/73/96/1131997396.db2.gz NOXCCYIQWZHDGL-UHFFFAOYSA-N -1 1 325.350 -0.402 20 0 EBADMM CSc1ncc(C(=O)NC[C@@]23COC(=O)N2CCOC3)c(=O)[n-]1 ZINC001363541446 1132004510 /nfs/dbraw/zinc/00/45/10/1132004510.db2.gz WBEKONHGMDPQRK-CYBMUJFWSA-N -1 1 340.361 -0.145 20 0 EBADMM CC(C)CN(Cc1nn[n-]n1)C(=O)Cn1nc2n(c1=O)CCCC2 ZINC001363551256 1132008768 /nfs/dbraw/zinc/00/87/68/1132008768.db2.gz ZXNXOYVSKGKZJX-UHFFFAOYSA-N -1 1 334.384 -0.421 20 0 EBADMM O=C(Cn1nc2n(c1=O)CCCCC2)NC1(c2nn[n-]n2)CCC1 ZINC001363552443 1132010353 /nfs/dbraw/zinc/01/03/53/1132010353.db2.gz RBWRSIRAZHAEIK-UHFFFAOYSA-N -1 1 332.368 -0.520 20 0 EBADMM O=C(CCCc1nn[n-]n1)NCC1(O)CCC2(CC1)OCCO2 ZINC001363644161 1132043006 /nfs/dbraw/zinc/04/30/06/1132043006.db2.gz YEMOHRYGTMYCBK-UHFFFAOYSA-N -1 1 325.369 -0.313 20 0 EBADMM COc1cnc([C@H]2CCCN2C(=O)CN(C)S(C)(=O)=O)[n-]c1=O ZINC001363674333 1132053564 /nfs/dbraw/zinc/05/35/64/1132053564.db2.gz OEYWRKYVJCOBHJ-SECBINFHSA-N -1 1 344.393 -0.254 20 0 EBADMM NS(=O)(=O)[C@H]1CCCN(Cc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC001363779142 1132084784 /nfs/dbraw/zinc/08/47/84/1132084784.db2.gz HNJCMUFPOHUQCZ-VIFPVBQESA-N -1 1 328.394 -0.492 20 0 EBADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C1CCN(S(C)(=O)=O)CC1 ZINC001363801946 1132093009 /nfs/dbraw/zinc/09/30/09/1132093009.db2.gz MFWQMEZRMVOTJD-UHFFFAOYSA-N -1 1 344.441 -0.144 20 0 EBADMM C[C@H](NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)C1(C)CC1 ZINC001363805252 1132094773 /nfs/dbraw/zinc/09/47/73/1132094773.db2.gz AXAKALIISMOGJQ-QMMMGPOBSA-N -1 1 329.378 -0.038 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H](O)CC(F)(F)C2)[n-]n1 ZINC001363847749 1132110807 /nfs/dbraw/zinc/11/08/07/1132110807.db2.gz BFZMEJVFBOXMJJ-LURJTMIESA-N -1 1 325.293 -0.413 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H](O)CC(F)(F)C2)n[n-]1 ZINC001363847749 1132110812 /nfs/dbraw/zinc/11/08/12/1132110812.db2.gz BFZMEJVFBOXMJJ-LURJTMIESA-N -1 1 325.293 -0.413 20 0 EBADMM COCC[C@@]1([N-]S(=O)(=O)c2n[nH]cc2C(=O)OC)CCOC1 ZINC001363859943 1132114058 /nfs/dbraw/zinc/11/40/58/1132114058.db2.gz GJBZPAZEJKBGAT-GFCCVEGCSA-N -1 1 333.366 -0.330 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@H]2Cc3ccccc3O2)c(=O)[nH]c1=O ZINC001363866869 1132115174 /nfs/dbraw/zinc/11/51/74/1132115174.db2.gz YNEAUJVXXANWMF-SNVBAGLBSA-N -1 1 337.357 -0.232 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCN(CCO)C(C)(C)C2)[n-]n1 ZINC001363874433 1132116823 /nfs/dbraw/zinc/11/68/23/1132116823.db2.gz ANKCYUMVRDMMHX-UHFFFAOYSA-N -1 1 346.409 -0.727 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCN(CCO)C(C)(C)C2)n[n-]1 ZINC001363874433 1132116828 /nfs/dbraw/zinc/11/68/28/1132116828.db2.gz ANKCYUMVRDMMHX-UHFFFAOYSA-N -1 1 346.409 -0.727 20 0 EBADMM COC[C@@H](O)CNC(=O)Cc1c(C)nc(-c2ccncc2)[n-]c1=O ZINC001363906088 1132126586 /nfs/dbraw/zinc/12/65/86/1132126586.db2.gz SODGUNGUUKYUIW-LBPRGKRZSA-N -1 1 332.360 -0.194 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H](C(=O)OC)[C@H]2C)[n-]n1 ZINC001363965915 1132145637 /nfs/dbraw/zinc/14/56/37/1132145637.db2.gz IUDJHZQWTBDZRN-HTQZYQBOSA-N -1 1 331.350 -0.232 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H](C(=O)OC)[C@H]2C)n[n-]1 ZINC001363965915 1132145643 /nfs/dbraw/zinc/14/56/43/1132145643.db2.gz IUDJHZQWTBDZRN-HTQZYQBOSA-N -1 1 331.350 -0.232 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC2([S@@](C)=O)CCC2)c(=O)[nH]c1=O ZINC001363973156 1132148998 /nfs/dbraw/zinc/14/89/98/1132148998.db2.gz VGFIILQYYVGOPD-HXUWFJFHSA-N -1 1 335.407 -0.935 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@H](C)CN2CCOCC2)[n-]n1 ZINC001363981787 1132153334 /nfs/dbraw/zinc/15/33/34/1132153334.db2.gz GNQGTHNOUGEFFO-SNVBAGLBSA-N -1 1 346.409 -0.463 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@H](C)CN2CCOCC2)n[n-]1 ZINC001363981787 1132153336 /nfs/dbraw/zinc/15/33/36/1132153336.db2.gz GNQGTHNOUGEFFO-SNVBAGLBSA-N -1 1 346.409 -0.463 20 0 EBADMM CC(=O)N1CCC[C@H](C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)C1 ZINC001363987352 1132155376 /nfs/dbraw/zinc/15/53/76/1132155376.db2.gz SALNUNWODKKIQH-SNVBAGLBSA-N -1 1 344.393 -0.977 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)CCn1ccnc1)c1ccnn1C ZINC001364005953 1132162329 /nfs/dbraw/zinc/16/23/29/1132162329.db2.gz ACQJKIJIZMGQSQ-LLVKDONJSA-N -1 1 327.366 -0.550 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC(O)(C2CC2)C2CC2)c(=O)[nH]c1=O ZINC001364007207 1132163170 /nfs/dbraw/zinc/16/31/70/1132163170.db2.gz HLFQQKWBUOEXSG-UHFFFAOYSA-N -1 1 329.378 -0.685 20 0 EBADMM CC[C@@H](C)NC(=O)[C@@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001364022974 1132170477 /nfs/dbraw/zinc/17/04/77/1132170477.db2.gz GHUZKELVGHUFNW-HTQZYQBOSA-N -1 1 332.382 -0.933 20 0 EBADMM CN(C)S(=O)(=O)CCC(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001364028625 1132172888 /nfs/dbraw/zinc/17/28/88/1132172888.db2.gz KUFQOCNFOMYSQA-SNVBAGLBSA-N -1 1 330.414 -0.738 20 0 EBADMM C[C@@H]1C[C@H]([N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)c2ncnn21 ZINC001364036450 1132176580 /nfs/dbraw/zinc/17/65/80/1132176580.db2.gz ZTWQKOQVEYMIQV-RQJHMYQMSA-N -1 1 326.338 -0.938 20 0 EBADMM COC[C@H](NC(=O)c1ccc(OCC(=O)OC)cc1)c1nn[n-]n1 ZINC001364040649 1132178306 /nfs/dbraw/zinc/17/83/06/1132178306.db2.gz ZLUGCCHWAIPVKT-NSHDSACASA-N -1 1 335.320 -0.131 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]Cc2nc(N(C)C)n[nH]2)c1 ZINC001364043782 1132180669 /nfs/dbraw/zinc/18/06/69/1132180669.db2.gz INBHTCOSFWUTIQ-UHFFFAOYSA-N -1 1 329.338 -0.271 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2ccc(CCO)cc2)c(=O)[nH]c1=O ZINC001364074366 1132196617 /nfs/dbraw/zinc/19/66/17/1132196617.db2.gz OYNWQUDHYRCCRE-UHFFFAOYSA-N -1 1 339.373 -0.501 20 0 EBADMM CCn1cc(CNC(=O)N=c2ccnc3n(C)[n-]cc2-3)c(=O)[nH]c1=O ZINC001364117360 1132212263 /nfs/dbraw/zinc/21/22/63/1132212263.db2.gz UGFRFUICLODRLH-UHFFFAOYSA-N -1 1 343.347 -0.054 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-]Cc2cn(C)c(=O)[nH]c2=O)c1Cl ZINC001364183968 1132229015 /nfs/dbraw/zinc/22/90/15/1132229015.db2.gz XOMPDIDIIQZNTB-UHFFFAOYSA-N -1 1 333.757 -0.649 20 0 EBADMM O=C(c1cnc(C2CC2)[n-]c1=O)N1CCN2[C@@H](CNS2(=O)=O)C1 ZINC001364240619 1132249949 /nfs/dbraw/zinc/24/99/49/1132249949.db2.gz GGJCEQKGHFOUHZ-VIFPVBQESA-N -1 1 339.377 -0.966 20 0 EBADMM NC(=O)N1CCC[C@H](C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC001364548972 1132359977 /nfs/dbraw/zinc/35/99/77/1132359977.db2.gz JYNNFRULPOPDLS-VIFPVBQESA-N -1 1 335.364 -0.338 20 0 EBADMM CC(C)CN(Cc1nn[n-]n1)C(=O)CNC(=O)c1ncccc1O ZINC001364650395 1132383996 /nfs/dbraw/zinc/38/39/96/1132383996.db2.gz GUZQIRDXBBJWHJ-UHFFFAOYSA-N -1 1 333.352 -0.285 20 0 EBADMM NC(=O)[C@@H]1CC[C@@H](C[N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)O1 ZINC001364793683 1132428472 /nfs/dbraw/zinc/42/84/72/1132428472.db2.gz KYHAWSFSYQZJCE-WDSKDSINSA-N -1 1 342.299 -0.260 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@H](CC(N)=O)C2)[n-]n1 ZINC001364795561 1132429715 /nfs/dbraw/zinc/42/97/15/1132429715.db2.gz JSVKXHHMUOCCPK-MRVPVSSYSA-N -1 1 330.366 -0.528 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@H](CC(N)=O)C2)n[n-]1 ZINC001364795561 1132429722 /nfs/dbraw/zinc/42/97/22/1132429722.db2.gz JSVKXHHMUOCCPK-MRVPVSSYSA-N -1 1 330.366 -0.528 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCC(=O)NC(C)(C)C)[n-]n1 ZINC001364798385 1132430425 /nfs/dbraw/zinc/43/04/25/1132430425.db2.gz QGFFJDULNWVMIP-UHFFFAOYSA-N -1 1 332.382 -0.221 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCC(=O)NC(C)(C)C)n[n-]1 ZINC001364798385 1132430431 /nfs/dbraw/zinc/43/04/31/1132430431.db2.gz QGFFJDULNWVMIP-UHFFFAOYSA-N -1 1 332.382 -0.221 20 0 EBADMM COC[C@H](NC(=O)CCN1C(=O)COc2ccccc21)c1nn[n-]n1 ZINC001364811485 1132434914 /nfs/dbraw/zinc/43/49/14/1132434914.db2.gz AQRBLMSHRRSQOS-JTQLQIEISA-N -1 1 346.347 -0.181 20 0 EBADMM COC[C@@H](NC(=O)CCN1C(=O)COc2ccccc21)c1nn[n-]n1 ZINC001364811491 1132435096 /nfs/dbraw/zinc/43/50/96/1132435096.db2.gz AQRBLMSHRRSQOS-SNVBAGLBSA-N -1 1 346.347 -0.181 20 0 EBADMM COC[C@H](NC(=O)c1ccc(N2CCOCC2)nc1)c1nn[n-]n1 ZINC001364813204 1132436058 /nfs/dbraw/zinc/43/60/58/1132436058.db2.gz IFEHBRGJKWVOQO-NSHDSACASA-N -1 1 333.352 -0.451 20 0 EBADMM COC[C@H](NC(=O)CNC(=O)NC1CCCCC1)c1nn[n-]n1 ZINC001364814934 1132436430 /nfs/dbraw/zinc/43/64/30/1132436430.db2.gz OERVRJSWYGAMCP-JTQLQIEISA-N -1 1 325.373 -0.365 20 0 EBADMM C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001364820116 1132439610 /nfs/dbraw/zinc/43/96/10/1132439610.db2.gz VBYSAGYTFWRJRB-KWBADKCTSA-N -1 1 336.396 -0.744 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)NC[C@@H]2CC[C@@H](C(N)=O)O2)c1 ZINC001364830326 1132445990 /nfs/dbraw/zinc/44/59/90/1132445990.db2.gz OFQYLOGLTAYHBY-ONGXEEELSA-N -1 1 330.362 -0.288 20 0 EBADMM Cn1nnc2c1CC[C@@H]([N-]S(=O)(=O)N=S1(=O)CCCC1)C2 ZINC001364870436 1132460838 /nfs/dbraw/zinc/46/08/38/1132460838.db2.gz SIKMHOSXQGGLCN-SECBINFHSA-N -1 1 333.439 -0.231 20 0 EBADMM CO[C@](C)([C@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)C1CC1 ZINC001364873390 1132463063 /nfs/dbraw/zinc/46/30/63/1132463063.db2.gz WYABVWXXUWQYJD-ISVAXAHUSA-N -1 1 331.394 -0.032 20 0 EBADMM COCC[C@H]([N-]S(=O)(=O)[C@H]1CC(=O)N(C2CC2)C1)C(=O)OC ZINC001364875187 1132464124 /nfs/dbraw/zinc/46/41/24/1132464124.db2.gz OHVHDUWCVVHVTN-QWRGUYRKSA-N -1 1 334.394 -0.753 20 0 EBADMM NC(=O)[C@H]([N-]S(=O)(=O)c1cnn2c1OCCC2)c1ccccn1 ZINC001364875884 1132464182 /nfs/dbraw/zinc/46/41/82/1132464182.db2.gz OQHCCYRODJSJMN-LLVKDONJSA-N -1 1 337.361 -0.435 20 0 EBADMM NC(=O)[C@]1([N-]S(=O)(=O)C[C@H]2CC[C@@H](C(F)(F)F)O2)CCOC1 ZINC001364894471 1132473118 /nfs/dbraw/zinc/47/31/18/1132473118.db2.gz WKROQVSHKPHROB-WEDXCCLWSA-N -1 1 346.327 -0.340 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1C[C@H](C)n2ncnc21 ZINC001364905901 1132478683 /nfs/dbraw/zinc/47/86/83/1132478683.db2.gz USAUMMSTQDGBRC-POYBYMJQSA-N -1 1 326.338 -0.228 20 0 EBADMM C[C@@H]1CS(=O)(=O)CCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC001364919572 1132486008 /nfs/dbraw/zinc/48/60/08/1132486008.db2.gz OHATWHJWKMAITD-SNVBAGLBSA-N -1 1 341.389 -0.447 20 0 EBADMM CO[C@]1(C[N-]S(=O)(=O)c2cc(C)ns2)CCS(=O)(=O)C1 ZINC001364946931 1132497352 /nfs/dbraw/zinc/49/73/52/1132497352.db2.gz IZIVZAPQROJXCJ-JTQLQIEISA-N -1 1 340.448 -0.066 20 0 EBADMM Cn1cnnc1[C@H](CO)[N-]S(=O)(=O)c1cccc2c1OCO2 ZINC001364951986 1132500475 /nfs/dbraw/zinc/50/04/75/1132500475.db2.gz FRBUUXQDUWFSRE-QMMMGPOBSA-N -1 1 326.334 -0.444 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2CCOC23CCOCC3)c(=O)[nH]c1=O ZINC001364973673 1132508727 /nfs/dbraw/zinc/50/87/27/1132508727.db2.gz CFIUUDVCPIFHOA-JTQLQIEISA-N -1 1 345.377 -0.898 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)N2CCS(=O)(=O)CCO2)c1 ZINC001364982183 1132511202 /nfs/dbraw/zinc/51/12/02/1132511202.db2.gz ODTMDXDCAQVDCG-UHFFFAOYSA-N -1 1 337.375 -0.249 20 0 EBADMM COC(=O)[C@H](CC1OCCO1)[N-]S(=O)(=O)C[C@@H](OC)C1CC1 ZINC001364992848 1132513591 /nfs/dbraw/zinc/51/35/91/1132513591.db2.gz NVQXOFBSWQGZQF-WDEREUQCSA-N -1 1 337.394 -0.365 20 0 EBADMM COC(=O)C1([N-]S(=O)(=O)c2cn(C)c(Cl)n2)CC(CO)C1 ZINC001365001053 1132517904 /nfs/dbraw/zinc/51/79/04/1132517904.db2.gz NRPVHOJZNLACMO-UHFFFAOYSA-N -1 1 337.785 -0.334 20 0 EBADMM COC(=O)[C@H](CC1OCCO1)[N-]S(=O)(=O)CC[C@@H]1CCCO1 ZINC001365008755 1132519385 /nfs/dbraw/zinc/51/93/85/1132519385.db2.gz RMESSBUKALLXIK-QWRGUYRKSA-N -1 1 337.394 -0.221 20 0 EBADMM COc1ccc(NC(=O)N[C@@H]2COC[C@H]2O)cc1[N-]S(C)(=O)=O ZINC001365041331 1132527491 /nfs/dbraw/zinc/52/74/91/1132527491.db2.gz VEHYQHLNRZITQY-GHMZBOCLSA-N -1 1 345.377 -0.052 20 0 EBADMM CN(C[C@H]1CCN1C(=O)CNC(=O)C1CC1)C(=O)c1ncccc1[O-] ZINC001414110330 1132577288 /nfs/dbraw/zinc/57/72/88/1132577288.db2.gz AINZDYHUBCLIMC-GFCCVEGCSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)CNC(=O)C1CC1)C(=O)c1ncccc1[O-] ZINC001414110335 1132577552 /nfs/dbraw/zinc/57/75/52/1132577552.db2.gz AINZDYHUBCLIMC-LBPRGKRZSA-N -1 1 346.387 -0.014 20 0 EBADMM COC(=O)[C@@H](CO)[N-]S(=O)(=O)CCc1noc2ccccc21 ZINC001365379308 1132641409 /nfs/dbraw/zinc/64/14/09/1132641409.db2.gz ITUOXLCKENNUMF-LLVKDONJSA-N -1 1 328.346 -0.176 20 0 EBADMM CCNC(=O)C1(CNS(=O)(=O)c2cc(C(=O)OC)n[n-]2)CCC1 ZINC001365397791 1132651348 /nfs/dbraw/zinc/65/13/48/1132651348.db2.gz YQNHSOMSNKJFOO-UHFFFAOYSA-N -1 1 344.393 -0.219 20 0 EBADMM CCNC(=O)C1(CNS(=O)(=O)c2cc(C(=O)OC)[n-]n2)CCC1 ZINC001365397791 1132651350 /nfs/dbraw/zinc/65/13/50/1132651350.db2.gz YQNHSOMSNKJFOO-UHFFFAOYSA-N -1 1 344.393 -0.219 20 0 EBADMM COC(=O)[C@](C)(CO)[N-]S(=O)(=O)c1c(C)nn(C)c1Cl ZINC001365418892 1132661518 /nfs/dbraw/zinc/66/15/18/1132661518.db2.gz YDHVEVPBIWJWRS-JTQLQIEISA-N -1 1 325.774 -0.416 20 0 EBADMM COC[C@@H]1C[C@@H](O)CN1C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001365427061 1132666866 /nfs/dbraw/zinc/66/68/66/1132666866.db2.gz CLAOASWVMXQARE-VHSXEESVSA-N -1 1 338.364 -0.454 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](C)C2N=NC(=O)N2C)c1 ZINC001365427960 1132667801 /nfs/dbraw/zinc/66/78/01/1132667801.db2.gz ALADMMIUMXUBTE-ZCFIWIBFSA-N -1 1 330.322 -0.060 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N1CCOC[C@@H]1CCO ZINC001365431108 1132669821 /nfs/dbraw/zinc/66/98/21/1132669821.db2.gz UMYAPUZUOWLMIQ-JTQLQIEISA-N -1 1 338.364 -0.452 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N1CCS(=O)(=O)CC1 ZINC001365455021 1132685997 /nfs/dbraw/zinc/68/59/97/1132685997.db2.gz XLFOLVQDHRJMQM-UHFFFAOYSA-N -1 1 342.377 -0.805 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H](CO)Cc1ccoc1 ZINC001365456721 1132688372 /nfs/dbraw/zinc/68/83/72/1132688372.db2.gz RVAUSJWLNLZCNO-SNVBAGLBSA-N -1 1 343.361 -0.081 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@H]3CCNC(=O)[C@@H]32)[n-]n1 ZINC001365457750 1132689530 /nfs/dbraw/zinc/68/95/30/1132689530.db2.gz SQHQXTJLPDPTMD-GZMMTYOYSA-N -1 1 342.377 -0.515 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@H]3CCNC(=O)[C@@H]32)n[n-]1 ZINC001365457750 1132689534 /nfs/dbraw/zinc/68/95/34/1132689534.db2.gz SQHQXTJLPDPTMD-GZMMTYOYSA-N -1 1 342.377 -0.515 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2C[C@H](S(C)(=O)=O)C2)o1 ZINC001365457734 1132689581 /nfs/dbraw/zinc/68/95/81/1132689581.db2.gz RSUIFWQVLXGDRT-ZKCHVHJHSA-N -1 1 337.375 -0.080 20 0 EBADMM CCN1C[C@H](S(=O)(=O)[N-][C@@H](C[C@@H]2CCCO2)C(=O)OC)CC1=O ZINC001365459169 1132691653 /nfs/dbraw/zinc/69/16/53/1132691653.db2.gz QEGYXMXNIVGCPT-TUAOUCFPSA-N -1 1 348.421 -0.363 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)N3CCC(C(=O)NCCO)CC3)ccnc1-2 ZINC001365511154 1132706899 /nfs/dbraw/zinc/70/68/99/1132706899.db2.gz PXKCLVZWQFFCSI-UHFFFAOYSA-N -1 1 346.391 -0.306 20 0 EBADMM CO[C@H]1COCC[C@@H]1N(C)C(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC001365539439 1132714004 /nfs/dbraw/zinc/71/40/04/1132714004.db2.gz UACYGNNZVWNBFX-UWVGGRQHSA-N -1 1 338.368 -0.036 20 0 EBADMM CCOC(=O)[C@H]([N-]S(=O)(=O)c1n[nH]cc1C(=O)OC)C1CC1 ZINC001365650017 1132746035 /nfs/dbraw/zinc/74/60/35/1132746035.db2.gz QPQQRCZKZRAAMG-SECBINFHSA-N -1 1 331.350 -0.184 20 0 EBADMM CN(C)[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@@H](O)COCC1CC1 ZINC001365665253 1132753142 /nfs/dbraw/zinc/75/31/42/1132753142.db2.gz AYSQWABITVNJCH-DGIBIBHMSA-N -1 1 329.444 -0.817 20 0 EBADMM COc1nscc1S(=O)(=O)[N-]C[C@@H](O)CN1CCCC1=O ZINC001365667192 1132754151 /nfs/dbraw/zinc/75/41/51/1132754151.db2.gz RBEBOBXNKCGLII-MRVPVSSYSA-N -1 1 335.407 -0.587 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCO[C@@H]([C@@H]3CCCO3)C2)[n-]n1 ZINC001365681868 1132761234 /nfs/dbraw/zinc/76/12/34/1132761234.db2.gz YZAYKPBAUCANIF-WDEREUQCSA-N -1 1 345.377 -0.235 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCO[C@@H]([C@@H]3CCCO3)C2)n[n-]1 ZINC001365681868 1132761242 /nfs/dbraw/zinc/76/12/42/1132761242.db2.gz YZAYKPBAUCANIF-WDEREUQCSA-N -1 1 345.377 -0.235 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@](O)(C(F)(F)F)C2)[n-]n1 ZINC001365699926 1132767223 /nfs/dbraw/zinc/76/72/23/1132767223.db2.gz WGLCHNKTZNZVEK-SECBINFHSA-N -1 1 343.283 -0.116 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@](O)(C(F)(F)F)C2)n[n-]1 ZINC001365699926 1132767226 /nfs/dbraw/zinc/76/72/26/1132767226.db2.gz WGLCHNKTZNZVEK-SECBINFHSA-N -1 1 343.283 -0.116 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@H](CO)C2CCCCC2)c(=O)[nH]c1=O ZINC001365710230 1132771103 /nfs/dbraw/zinc/77/11/03/1132771103.db2.gz LNKKZFWRLVXCSX-LLVKDONJSA-N -1 1 345.421 -0.047 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H](CO)C2CCCCC2)c(=O)[nH]c1=O ZINC001365710231 1132771428 /nfs/dbraw/zinc/77/14/28/1132771428.db2.gz LNKKZFWRLVXCSX-NSHDSACASA-N -1 1 345.421 -0.047 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)CC1(C(=O)OC)CC1)c1ccnn1C ZINC001365716937 1132773996 /nfs/dbraw/zinc/77/39/96/1132773996.db2.gz OMKOTCHUUUPBPS-SNVBAGLBSA-N -1 1 345.377 -0.493 20 0 EBADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-]Cc1cc2n(n1)CCCO2 ZINC001365718170 1132774051 /nfs/dbraw/zinc/77/40/51/1132774051.db2.gz PIKPRTQONYNJJP-HXUWFJFHSA-N -1 1 337.427 -0.426 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H]1C[C@@H]2COC[C@@H]2O1 ZINC001365735128 1132783903 /nfs/dbraw/zinc/78/39/03/1132783903.db2.gz FERGBRINYYSDOO-WEDXCCLWSA-N -1 1 331.350 -0.722 20 0 EBADMM COC(=O)C(C)(C)CC[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001365735986 1132784833 /nfs/dbraw/zinc/78/48/33/1132784833.db2.gz CQZQKSLCKZNFCS-UHFFFAOYSA-N -1 1 333.366 -0.647 20 0 EBADMM COC[C@@H]([N-]S(=O)(=O)c1n[nH]cc1C(=O)OC)[C@H]1CCCOC1 ZINC001365738253 1132785321 /nfs/dbraw/zinc/78/53/21/1132785321.db2.gz GJVCEBYQKHPDCT-GXSJLCMTSA-N -1 1 347.393 -0.084 20 0 EBADMM CC(=O)NCC(=O)N1C[C@H]2[C@H](C1)[C@@H]1CC[C@H]2CN1Cc1n[nH]c(=O)[n-]1 ZINC001365869074 1132844882 /nfs/dbraw/zinc/84/48/82/1132844882.db2.gz FQKLCAZFKMSGMF-RNJOBUHISA-N -1 1 348.407 -0.685 20 0 EBADMM CCC(=O)N[C@@H](C)C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001365936897 1132897657 /nfs/dbraw/zinc/89/76/57/1132897657.db2.gz NGODLVMHAYKWCX-WDEREUQCSA-N -1 1 338.412 -0.896 20 0 EBADMM CC(C)[C@@H](F)C(=O)NCCN1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001365959075 1132918788 /nfs/dbraw/zinc/91/87/88/1132918788.db2.gz JPXHZVCWCLDTEC-CYBMUJFWSA-N -1 1 342.419 -0.664 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](CNC(=O)[C@]3(C)CCOC3)C2)nc1=O ZINC001366186091 1133046547 /nfs/dbraw/zinc/04/65/47/1133046547.db2.gz YYPLGHWDCFODCA-BLLLJJGKSA-N -1 1 337.424 -0.137 20 0 EBADMM Cn1cc(CC(=O)NC[C@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001366199854 1133053410 /nfs/dbraw/zinc/05/34/10/1133053410.db2.gz NMOODNFFSGRVKP-CYBMUJFWSA-N -1 1 347.423 -0.445 20 0 EBADMM CN(CCNC(=O)c1[nH]cnc1C(C)(C)C)Cc1nc(=O)n(C)[n-]1 ZINC001366528549 1133170232 /nfs/dbraw/zinc/17/02/32/1133170232.db2.gz RNHWEFGVOFMJNG-UHFFFAOYSA-N -1 1 335.412 -0.009 20 0 EBADMM COc1cc(=O)[nH]cc1C(=O)NCCN(C)Cc1nc(=O)n(C)[n-]1 ZINC001366563736 1133190529 /nfs/dbraw/zinc/19/05/29/1133190529.db2.gz NBJSGSSBJTWSSY-UHFFFAOYSA-N -1 1 336.352 -0.921 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCN(C)CC(=O)NC(C)C ZINC001366583274 1133197139 /nfs/dbraw/zinc/19/71/39/1133197139.db2.gz FENHWVUSBHLYIS-UHFFFAOYSA-N -1 1 341.368 -0.917 20 0 EBADMM CC[C@H](NC(C)=O)C(=O)NC[C@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001366663229 1133234285 /nfs/dbraw/zinc/23/42/85/1133234285.db2.gz FLJOKNSCGRPDBB-NEPJUHHUSA-N -1 1 338.412 -0.896 20 0 EBADMM Cc1nn(C)c(C)c1CC(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001366969884 1133394202 /nfs/dbraw/zinc/39/42/02/1133394202.db2.gz CBTGCSIXPBQDFN-UHFFFAOYSA-N -1 1 347.423 -0.751 20 0 EBADMM CS(=O)(=O)NCCN1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001367027255 1133436218 /nfs/dbraw/zinc/43/62/18/1133436218.db2.gz TVBJOLMZXSUVSF-SNVBAGLBSA-N -1 1 328.394 -0.860 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)CNC(=O)CS(=O)(=O)C(C)(C)C ZINC001367288571 1133526950 /nfs/dbraw/zinc/52/69/50/1133526950.db2.gz LHWGTTZCVQULKV-VIFPVBQESA-N -1 1 347.441 -0.435 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)CCc3ccco3)C2)nc1=O ZINC001367301394 1133535235 /nfs/dbraw/zinc/53/52/35/1133535235.db2.gz VKXGWWXEAXMSCC-CYBMUJFWSA-N -1 1 349.391 -0.349 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@@H]1CCCCS1(=O)=O ZINC001367361129 1133562472 /nfs/dbraw/zinc/56/24/72/1133562472.db2.gz SVXPKPZLYBGPSS-UWVGGRQHSA-N -1 1 345.425 -0.586 20 0 EBADMM CC(C)[C@H](CNC(=O)c1ccc(=O)n(C)c1)NCc1n[nH]c(=O)[n-]1 ZINC001367496295 1133603949 /nfs/dbraw/zinc/60/39/49/1133603949.db2.gz YHVQOQMAGNJRRH-NSHDSACASA-N -1 1 334.380 -0.247 20 0 EBADMM O=C(NC[C@H](O)CNCc1n[nH]c(=O)[n-]1)C1(c2ccccn2)CC1 ZINC001367579352 1133647169 /nfs/dbraw/zinc/64/71/69/1133647169.db2.gz JKZLXVRSMGLQOV-SNVBAGLBSA-N -1 1 332.364 -0.796 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C[C@H]1NC(=O)c2ccccc21 ZINC001367701100 1133752150 /nfs/dbraw/zinc/75/21/50/1133752150.db2.gz BPDXCLYFLRVKAL-BXKDBHETSA-N -1 1 344.375 -0.021 20 0 EBADMM CC[C@@H]1OCC[C@H]1C(=O)N[C@@H](C)CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001367823007 1133800794 /nfs/dbraw/zinc/80/07/94/1133800794.db2.gz GYAMRUZHBNKXEP-TUAOUCFPSA-N -1 1 325.413 -0.140 20 0 EBADMM CC(C)(C(=O)N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)S(C)(=O)=O ZINC001367910738 1133835997 /nfs/dbraw/zinc/83/59/97/1133835997.db2.gz JPAQLCOJOJQKPF-VIFPVBQESA-N -1 1 345.425 -0.682 20 0 EBADMM C[C@@H](C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)c1cccnc1 ZINC001367984326 1133880091 /nfs/dbraw/zinc/88/00/91/1133880091.db2.gz QPCHRZVBZUJVIL-DGCLKSJQSA-N -1 1 330.392 -0.002 20 0 EBADMM O=C(NCC1(NCc2cc(=O)n3[n-]ccc3n2)CC1)[C@]1(F)CCOC1 ZINC001368016669 1133897868 /nfs/dbraw/zinc/89/78/68/1133897868.db2.gz UGPMRPCXUQPBQX-INIZCTEOSA-N -1 1 349.366 -0.110 20 0 EBADMM C[C@@H](CS(C)(=O)=O)C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001368121051 1133947063 /nfs/dbraw/zinc/94/70/63/1133947063.db2.gz RJBVXPVQGGSQEI-UWVGGRQHSA-N -1 1 345.425 -0.728 20 0 EBADMM O=C(N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CCCC1)[C@@H]1CCC(=O)N1 ZINC001368142082 1133955118 /nfs/dbraw/zinc/95/51/18/1133955118.db2.gz DXLOKOJZISJHRD-WDEREUQCSA-N -1 1 336.396 -0.447 20 0 EBADMM Cc1cccc(C(=O)NC[C@]2(O)CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001368260640 1134053079 /nfs/dbraw/zinc/05/30/79/1134053079.db2.gz PXSQTOPJRVGNEY-MRXNPFEDSA-N -1 1 346.391 -0.821 20 0 EBADMM Cn1ncnc1CN1CC[C@@](O)(CNC(=O)c2ncccc2[O-])C1 ZINC001368269002 1134070250 /nfs/dbraw/zinc/07/02/50/1134070250.db2.gz WCGMZSWHFMSMDR-OAHLLOKOSA-N -1 1 332.364 -0.718 20 0 EBADMM Cc1nnc(CN2CC[C@](O)(CNC(=O)c3ncccc3[O-])C2)o1 ZINC001368269090 1134070928 /nfs/dbraw/zinc/07/09/28/1134070928.db2.gz WNYPGTWDKFQTNO-HNNXBMFYSA-N -1 1 333.348 -0.155 20 0 EBADMM Cc1c[nH]cc1C(=O)N[C@@]1(CO)CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001368388053 1134191131 /nfs/dbraw/zinc/19/11/31/1134191131.db2.gz HUPRWKOBDUENLI-INIZCTEOSA-N -1 1 348.407 -0.498 20 0 EBADMM Cc1ncncc1C(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCOCC1 ZINC001368624273 1134335403 /nfs/dbraw/zinc/33/54/03/1134335403.db2.gz AHJKTDUEBOHGAJ-UHFFFAOYSA-N -1 1 347.379 -0.322 20 0 EBADMM CO[C@H](C)CC(=O)N1CCC(O)(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001368679007 1134376593 /nfs/dbraw/zinc/37/65/93/1134376593.db2.gz NGLLBNDSEKMADJ-SNVBAGLBSA-N -1 1 327.385 -0.622 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)NC[C@@H]1c2ccccc2CCN1CCO ZINC001368750745 1134417065 /nfs/dbraw/zinc/41/70/65/1134417065.db2.gz AFBGYAANNLFEHE-CYBMUJFWSA-N -1 1 347.375 -0.603 20 0 EBADMM C[C@@H](CNC(=O)C1(C)CCOCC1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001368992590 1134511826 /nfs/dbraw/zinc/51/18/26/1134511826.db2.gz SDAQTVRCQZAKKR-NSHDSACASA-N -1 1 325.413 -0.138 20 0 EBADMM Cc1ccccc1C(=O)NC1(CCO)CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001369019453 1134524424 /nfs/dbraw/zinc/52/44/24/1134524424.db2.gz ZMRZBXDVOQNWRR-UHFFFAOYSA-N -1 1 345.403 -0.216 20 0 EBADMM CCS(=O)(=O)CC(=O)N(C)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001369224213 1134622590 /nfs/dbraw/zinc/62/25/90/1134622590.db2.gz QPCGUEWMHQNXLX-SNVBAGLBSA-N -1 1 345.425 -0.728 20 0 EBADMM Cc1cc(CN[C@H](C)CN(C)C(=O)c2n[nH]c(=O)[n-]c2=O)nn1C ZINC001369262915 1134634196 /nfs/dbraw/zinc/63/41/96/1134634196.db2.gz BIFCKAYOEXJEEM-MRVPVSSYSA-N -1 1 335.368 -0.425 20 0 EBADMM CN(C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1Cc2ccccc21 ZINC001369489594 1134863019 /nfs/dbraw/zinc/86/30/19/1134863019.db2.gz WLMMGUVUFWFIPQ-WCQYABFASA-N -1 1 331.376 -0.241 20 0 EBADMM CCCNC(=O)CN(C)C[C@@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001369491853 1134866434 /nfs/dbraw/zinc/86/64/34/1134866434.db2.gz BUQZLRCVXSZCJP-GFCCVEGCSA-N -1 1 338.408 -0.322 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H](O)CN(C)C(=O)c1cccn1C ZINC001369496271 1134873862 /nfs/dbraw/zinc/87/38/62/1134873862.db2.gz SCSAEUMPCCEBOS-LLVKDONJSA-N -1 1 336.396 -0.988 20 0 EBADMM CC(=O)N(C)CC(=O)N(CCN(C)Cc1nc(=O)n(C)[n-]1)C(C)C ZINC001369526381 1134922359 /nfs/dbraw/zinc/92/23/59/1134922359.db2.gz ZJYNEHUIEXZQKJ-UHFFFAOYSA-N -1 1 340.428 -0.745 20 0 EBADMM CCOCC(=O)NC[C@@H](C)N(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001369766656 1135010898 /nfs/dbraw/zinc/01/08/98/1135010898.db2.gz LHKQGMNHHAXWDY-SECBINFHSA-N -1 1 336.352 -0.969 20 0 EBADMM Cc1n[nH]c(C)c1CCC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001370049318 1135185862 /nfs/dbraw/zinc/18/58/62/1135185862.db2.gz YCSONAXUWRBDOO-GFCCVEGCSA-N -1 1 347.423 -0.228 20 0 EBADMM O=C(CCn1ccccc1=O)NC[C@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001370172702 1135234741 /nfs/dbraw/zinc/23/47/41/1135234741.db2.gz DPNJBJXJQJPPBB-LBPRGKRZSA-N -1 1 346.391 -0.253 20 0 EBADMM O=C(CO[C@@H]1CCOC1)NC[C@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001370182995 1135239024 /nfs/dbraw/zinc/23/90/24/1135239024.db2.gz XZYIZJMJPXUOKF-MNOVXSKESA-N -1 1 325.369 -0.700 20 0 EBADMM O=C(CCCn1ccccc1=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001370396108 1135332711 /nfs/dbraw/zinc/33/27/11/1135332711.db2.gz SSFJGGZNMBZXTQ-LBPRGKRZSA-N -1 1 346.391 -0.157 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H](CNC(=O)[C@H]1CNC(=O)N1)C1CC1 ZINC001370396424 1135333258 /nfs/dbraw/zinc/33/32/58/1135333258.db2.gz JVSYGDGJPDXAPK-GHMZBOCLSA-N -1 1 347.375 -0.565 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)c1cc(=O)n(C)cn1 ZINC001370468785 1135363584 /nfs/dbraw/zinc/36/35/84/1135363584.db2.gz DQBFUSJALWILEK-JTQLQIEISA-N -1 1 345.359 -0.229 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H](C)CN(C)C(=O)CCc2nc[nH]n2)c1[O-] ZINC001370483447 1135370050 /nfs/dbraw/zinc/37/00/50/1135370050.db2.gz VXPJIMAAOJPHBZ-MRVPVSSYSA-N -1 1 335.368 -0.249 20 0 EBADMM C[C@H](CN(C)C(=O)c1cn(C)c(=O)n1C)NC(=O)c1ncccc1[O-] ZINC001370538911 1135399635 /nfs/dbraw/zinc/39/96/35/1135399635.db2.gz OISRXPAIUDSNIZ-SNVBAGLBSA-N -1 1 347.375 -0.285 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1C[C@@H]1C)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001370572705 1135414488 /nfs/dbraw/zinc/41/44/88/1135414488.db2.gz FXAXROHUDGCDTH-AEJSXWLSSA-N -1 1 332.364 -0.350 20 0 EBADMM C[C@H](C(=O)NC[C@@H](CO)NC(=O)c1ncccc1[O-])n1cccn1 ZINC001370656229 1135459443 /nfs/dbraw/zinc/45/94/43/1135459443.db2.gz QPATYYYTBKLSON-MNOVXSKESA-N -1 1 333.348 -0.548 20 0 EBADMM CO[C@@H](C)CCC(=O)N[C@H](CO)CNC(=O)c1ncccc1[O-] ZINC001370674097 1135480005 /nfs/dbraw/zinc/48/00/05/1135480005.db2.gz HPOZHINOXMIJKY-QWRGUYRKSA-N -1 1 325.365 -0.191 20 0 EBADMM Cc1cncc(CC(=O)N[C@H](CO)CNC(=O)c2ncccc2[O-])c1 ZINC001370685039 1135495088 /nfs/dbraw/zinc/49/50/88/1135495088.db2.gz YVFUCSHDXKNKPC-ZDUSSCGKSA-N -1 1 344.371 -0.060 20 0 EBADMM Cc1noc(C)c1CC(=O)NC[C@H](CO)NC(=O)c1ncccc1[O-] ZINC001370691242 1135504886 /nfs/dbraw/zinc/50/48/86/1135504886.db2.gz GOCAPAMXFRNQMY-LLVKDONJSA-N -1 1 348.359 -0.158 20 0 EBADMM CC(=O)N(C)CC(=O)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001371291984 1135879724 /nfs/dbraw/zinc/87/97/24/1135879724.db2.gz YPGQMCOSFCCIEI-UHFFFAOYSA-N -1 1 346.387 -0.060 20 0 EBADMM NC(=O)NCCC(=O)NCC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001372150113 1136122414 /nfs/dbraw/zinc/12/24/14/1136122414.db2.gz KMRQAFROFQLOGX-UHFFFAOYSA-N -1 1 349.391 -0.186 20 0 EBADMM CCN(CCNC(=O)c1ncc(OC)cn1)Cc1nc(=O)n(C)[n-]1 ZINC001372371886 1136183573 /nfs/dbraw/zinc/18/35/73/1136183573.db2.gz FWDWSGANBLRKSA-UHFFFAOYSA-N -1 1 335.368 -0.841 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H]2CNC(=O)CCCn2cncn2)nc1=O ZINC001372552526 1136229755 /nfs/dbraw/zinc/22/97/55/1136229755.db2.gz JKWHXCINCSLXGV-LBPRGKRZSA-N -1 1 348.411 -0.739 20 0 EBADMM NC(=O)NCCC(=O)NC[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001372648757 1136258076 /nfs/dbraw/zinc/25/80/76/1136258076.db2.gz WHPJEQRKEHRCBR-LLVKDONJSA-N -1 1 349.391 -0.186 20 0 EBADMM NC(=O)NCCC(=O)NC[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001372648759 1136258207 /nfs/dbraw/zinc/25/82/07/1136258207.db2.gz WHPJEQRKEHRCBR-NSHDSACASA-N -1 1 349.391 -0.186 20 0 EBADMM CCn1cc(CC(=O)NCCN(Cc2nc(=O)n(C)[n-]2)C2CC2)cn1 ZINC001372901488 1136319294 /nfs/dbraw/zinc/31/92/94/1136319294.db2.gz XEOXAVYZEGKXME-UHFFFAOYSA-N -1 1 347.423 -0.352 20 0 EBADMM CC(=O)N(C)CC(=O)N1CCC(CN(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001373317824 1136427587 /nfs/dbraw/zinc/42/75/87/1136427587.db2.gz YQHBEABEGGSIEY-UHFFFAOYSA-N -1 1 338.412 -0.341 20 0 EBADMM CNC(=O)NC(C)(C)C(=O)N(C)CCNC(=O)c1ncccc1[O-] ZINC001373413596 1136449219 /nfs/dbraw/zinc/44/92/19/1136449219.db2.gz VDCAFYCRGAYXNC-UHFFFAOYSA-N -1 1 337.380 -0.317 20 0 EBADMM C[C@H](Oc1cccnc1)C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001373533555 1136487329 /nfs/dbraw/zinc/48/73/29/1136487329.db2.gz XYJOEYDLMIHRAC-NSHDSACASA-N -1 1 346.391 -0.481 20 0 EBADMM CC[C@@H](CNC(=O)[C@H]1CCn2ccnc2C1)NCc1n[nH]c(=O)[n-]1 ZINC001373769831 1136556987 /nfs/dbraw/zinc/55/69/87/1136556987.db2.gz JQGUIMPSYCBNHG-QWRGUYRKSA-N -1 1 333.396 -0.046 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NCCC1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001373934338 1136589660 /nfs/dbraw/zinc/58/96/60/1136589660.db2.gz GURRHLWZQXTVLV-JTQLQIEISA-N -1 1 338.412 -0.247 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NCCC1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001373934339 1136589806 /nfs/dbraw/zinc/58/98/06/1136589806.db2.gz GURRHLWZQXTVLV-SNVBAGLBSA-N -1 1 338.412 -0.247 20 0 EBADMM COCC(=O)N(CCNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)C1CC1 ZINC001374274955 1136663855 /nfs/dbraw/zinc/66/38/55/1136663855.db2.gz JZDDSOKIWZSCEF-UHFFFAOYSA-N -1 1 340.336 -0.736 20 0 EBADMM C[C@H](CNCc1cc2n(n1)CCC2)N(C)C(=O)Cn1c(=O)[n-][nH]c1=O ZINC001374315340 1136672239 /nfs/dbraw/zinc/67/22/39/1136672239.db2.gz OPICJKOOKHEONF-SNVBAGLBSA-N -1 1 349.395 -0.531 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)NCCN(C(=O)c1ncccc1[O-])C1CC1 ZINC001374317673 1136672711 /nfs/dbraw/zinc/67/27/11/1136672711.db2.gz YCZDPRHCCFDALS-MNOVXSKESA-N -1 1 332.360 -0.371 20 0 EBADMM CCC(CC)C(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001374588159 1136731136 /nfs/dbraw/zinc/73/11/36/1136731136.db2.gz FGWGMESDQKXINK-UHFFFAOYSA-N -1 1 332.364 -0.206 20 0 EBADMM Cc1nc(C2CC2)ccc1C(=O)NC[C@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001374660315 1136783025 /nfs/dbraw/zinc/78/30/25/1136783025.db2.gz WXVLKDHLXOEGPB-LLVKDONJSA-N -1 1 346.391 -0.028 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1cncc(N2CCCC2)n1 ZINC001374819991 1136841063 /nfs/dbraw/zinc/84/10/63/1136841063.db2.gz DNWSFNBXGGFSCH-SNVBAGLBSA-N -1 1 346.395 -0.191 20 0 EBADMM CC[C@@H](CNC(=O)C(F)F)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001374973433 1136887409 /nfs/dbraw/zinc/88/74/09/1136887409.db2.gz PWXMYJSIPBZEQI-LURJTMIESA-N -1 1 328.279 -0.693 20 0 EBADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CCNC(=O)C1 ZINC001375055993 1136902727 /nfs/dbraw/zinc/90/27/27/1136902727.db2.gz AOKZKASWMRMVNX-QWRGUYRKSA-N -1 1 334.376 -0.062 20 0 EBADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CN(C)C(=O)N1 ZINC001375056858 1136902745 /nfs/dbraw/zinc/90/27/45/1136902745.db2.gz CHHJBGZHCDSOQG-UWVGGRQHSA-N -1 1 335.364 -0.565 20 0 EBADMM CCOC1(CS(=O)(=O)[N-][C@@H]2CC(=O)N(C)C2=O)CCOCC1 ZINC000625196294 375995016 /nfs/dbraw/zinc/99/50/16/375995016.db2.gz ZPQCKZMBRNZUGH-SNVBAGLBSA-N -1 1 334.394 -0.751 20 0 EBADMM NC(=O)[C@]1([N-]S(=O)(=O)Cc2ccccc2[N+](=O)[O-])CCOC1 ZINC000568241486 376149319 /nfs/dbraw/zinc/14/93/19/376149319.db2.gz OKCWLSHIJONTGQ-LBPRGKRZSA-N -1 1 329.334 -0.341 20 0 EBADMM CC(C)(C)NS(=O)(=O)c1ccc(C(=O)NCc2nn[n-]n2)o1 ZINC000600498628 376423447 /nfs/dbraw/zinc/42/34/47/376423447.db2.gz WPGADIPLIOETLT-UHFFFAOYSA-N -1 1 328.354 -0.201 20 0 EBADMM CS(=O)(=O)NCCc1ccc(C(=O)NCc2nn[n-]n2)s1 ZINC000600503246 376423602 /nfs/dbraw/zinc/42/36/02/376423602.db2.gz DPXNBQSBLIYIJK-UHFFFAOYSA-N -1 1 330.395 -0.717 20 0 EBADMM COc1ccc(CN2C[C@H](C(=O)NCc3nn[n-]n3)CC2=O)cc1 ZINC000600501424 376424078 /nfs/dbraw/zinc/42/40/78/376424078.db2.gz KTOYSUBSEXJMDH-LLVKDONJSA-N -1 1 330.348 -0.127 20 0 EBADMM CS(=O)(=O)NCC[N-]S(=O)(=O)c1cc(F)c(F)cc1F ZINC000105616954 376566827 /nfs/dbraw/zinc/56/68/27/376566827.db2.gz JPSMPYDIWFCYOS-UHFFFAOYSA-N -1 1 332.325 -0.069 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N(C)CC(=O)NC1CC1 ZINC000109044314 376601805 /nfs/dbraw/zinc/60/18/05/376601805.db2.gz ITMTVMMGXHMGDT-UHFFFAOYSA-N -1 1 331.376 -0.081 20 0 EBADMM CN(CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1)C(=O)OC(C)(C)C ZINC000370858151 376588679 /nfs/dbraw/zinc/58/86/79/376588679.db2.gz BNNGNTFSWWQWLY-SECBINFHSA-N -1 1 326.357 -0.034 20 0 EBADMM O=C(Cn1nnc(-c2ccccc2)n1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370853276 376589111 /nfs/dbraw/zinc/58/91/11/376589111.db2.gz RORDZCQFHMYDSD-NSHDSACASA-N -1 1 341.335 -0.547 20 0 EBADMM O=C(CNC(=O)NC1CCCCC1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370863348 376591513 /nfs/dbraw/zinc/59/15/13/376591513.db2.gz VLNPQBJMYOXYSD-NSHDSACASA-N -1 1 337.384 -0.269 20 0 EBADMM O=S1(=O)C[C@H](N2CCN(Cc3ncccc3[O-])CC2)[C@H](O)C1 ZINC000566414573 376788854 /nfs/dbraw/zinc/78/88/54/376788854.db2.gz PTFCWMZPXIVUDA-GXTWGEPZSA-N -1 1 327.406 -0.937 20 0 EBADMM CC[NH+](CC)CC(=O)N1CCN(C(=O)CCCc2nn[nH]n2)CC1 ZINC000635499029 376975157 /nfs/dbraw/zinc/97/51/57/376975157.db2.gz PKKJVNQLYOUTMP-UHFFFAOYSA-N -1 1 337.428 -0.465 20 0 EBADMM C[C@H](O)CC(C)(C)C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000272101099 377061640 /nfs/dbraw/zinc/06/16/40/377061640.db2.gz LKVRYPWNRPGRGG-VIFPVBQESA-N -1 1 333.410 -0.841 20 0 EBADMM COC(=O)[C@@H](CN1CCOCC1)[N-]S(=O)(=O)CCC1CCC1 ZINC000569850469 377176471 /nfs/dbraw/zinc/17/64/71/377176471.db2.gz BZFYCOPCUBQUHH-CYBMUJFWSA-N -1 1 334.438 -0.030 20 0 EBADMM C[C@H](C[C@H]1CCCO1)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000588173090 377389357 /nfs/dbraw/zinc/38/93/57/377389357.db2.gz JWPYOYKXUOLBEX-NXEZZACHSA-N -1 1 331.394 -0.680 20 0 EBADMM COC(=O)[C@@H]1CCCC[C@H]1S(=O)(=O)[N-][C@]1(C(N)=O)CCOC1 ZINC000570941507 377346029 /nfs/dbraw/zinc/34/60/29/377346029.db2.gz IELYPUORQGEHBG-GIPNMCIBSA-N -1 1 334.394 -0.718 20 0 EBADMM COC(=O)[C@@H]1CCCC[C@@H]1S(=O)(=O)[N-][C@]1(C(N)=O)CCOC1 ZINC000570941506 377347239 /nfs/dbraw/zinc/34/72/39/377347239.db2.gz IELYPUORQGEHBG-GBIKHYSHSA-N -1 1 334.394 -0.718 20 0 EBADMM CCOC(=O)c1c[n-]c(NC(=O)CN(C)[C@@H]2CCS(=O)(=O)C2)n1 ZINC000590356745 377480038 /nfs/dbraw/zinc/48/00/38/377480038.db2.gz OTAPQHGUMXPJPQ-SECBINFHSA-N -1 1 344.393 -0.356 20 0 EBADMM Cc1ccc2nc(CNC(=O)C(=O)N3CCO[C@H](C(=O)[O-])C3)[nH]c2c1 ZINC000643162882 377617655 /nfs/dbraw/zinc/61/76/55/377617655.db2.gz GWNRLOSKEBVCFE-LBPRGKRZSA-N -1 1 346.343 -0.200 20 0 EBADMM COC(=O)[C@@H]([N-]S(=O)(=O)C[C@H](OC)C1CC1)c1ccnn1C ZINC000592096855 377790843 /nfs/dbraw/zinc/79/08/43/377790843.db2.gz MXKQFMHRMKKIPF-RYUDHWBXSA-N -1 1 331.394 -0.021 20 0 EBADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1cn(C)nc1C)c1ccnn1C ZINC000592098368 377792888 /nfs/dbraw/zinc/79/28/88/377792888.db2.gz TUORRDRCMLRRGG-NSHDSACASA-N -1 1 327.366 -0.345 20 0 EBADMM COC(=O)C[C@@H]([N-]S(=O)(=O)N1CCC(OC)CC1)C(=O)OC ZINC000594743907 377949793 /nfs/dbraw/zinc/94/97/93/377949793.db2.gz ZUUXHGVTJQFHKX-SNVBAGLBSA-N -1 1 338.382 -0.964 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H](OC)[C@@H]1CCOC1 ZINC000594790722 377954283 /nfs/dbraw/zinc/95/42/83/377954283.db2.gz GQSHEVVVGCJGHQ-MWLCHTKSSA-N -1 1 347.393 -0.084 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H](OC)[C@H]1CCOC1 ZINC000594790723 377954693 /nfs/dbraw/zinc/95/46/93/377954693.db2.gz GQSHEVVVGCJGHQ-ONGXEEELSA-N -1 1 347.393 -0.084 20 0 EBADMM COC(=O)[C@H](CCF)[N-]S(=O)(=O)C[C@H](OC)[C@@H]1CCOC1 ZINC000594797057 377954739 /nfs/dbraw/zinc/95/47/39/377954739.db2.gz UURJMKZWHVWTEY-VWYCJHECSA-N -1 1 327.374 -0.142 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-]CCN2C(=O)CCC2=O)cc1C ZINC000595310723 377995538 /nfs/dbraw/zinc/99/55/38/377995538.db2.gz DRGSXKOASIQFQF-UHFFFAOYSA-N -1 1 344.345 -0.198 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@@H]2CN3CCN2CC3)cc1C ZINC000595322876 377998580 /nfs/dbraw/zinc/99/85/80/377998580.db2.gz PCCBXRFXLLOUCC-LLVKDONJSA-N -1 1 343.405 -0.347 20 0 EBADMM CCOC(=O)c1cc(CS(=O)(=O)[N-]C2COCCOC2)on1 ZINC000599311095 378222586 /nfs/dbraw/zinc/22/25/86/378222586.db2.gz DIKFJNGCVDKSLB-UHFFFAOYSA-N -1 1 334.350 -0.314 20 0 EBADMM CO[C@@H](Cc1ccccc1)CS(=O)(=O)[N-][C@@H]1CC(=O)N(C)C1=O ZINC000643213517 378220764 /nfs/dbraw/zinc/22/07/64/378220764.db2.gz BIQNFQVLPGKNKT-QWHCGFSZSA-N -1 1 340.401 -0.079 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)c1cnc(C)n1C)c1ccnn1C ZINC000599341163 378227401 /nfs/dbraw/zinc/22/74/01/378227401.db2.gz RSXISBIAHSSDFY-LLVKDONJSA-N -1 1 327.366 -0.345 20 0 EBADMM CCOC(=O)c1cc(CS(=O)(=O)[N-][C@@H]2COC[C@@H]2OC)on1 ZINC000599325035 378230038 /nfs/dbraw/zinc/23/00/38/378230038.db2.gz DJGLLZROORZXRX-MNOVXSKESA-N -1 1 334.350 -0.316 20 0 EBADMM Cc1nnnn1[C@@H](Cc1ccc(F)cc1)C(=O)NCc1nn[n-]n1 ZINC000599376623 378233190 /nfs/dbraw/zinc/23/31/90/378233190.db2.gz CUQUCHQWYOIWBS-NSHDSACASA-N -1 1 331.315 -0.266 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCOCC(=O)OC)o1 ZINC000599446557 378241289 /nfs/dbraw/zinc/24/12/89/378241289.db2.gz DHOJQPNPOZBNDS-UHFFFAOYSA-N -1 1 335.334 -0.076 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H](OC)[C@@H]2CCOC2)o1 ZINC000617146175 378478145 /nfs/dbraw/zinc/47/81/45/378478145.db2.gz VWACAPGLRJUWON-MWLCHTKSSA-N -1 1 332.378 -0.031 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1ccc(-n2cccn2)c(F)c1 ZINC000606115653 378528958 /nfs/dbraw/zinc/52/89/58/378528958.db2.gz OLOLRUFZLZPTFN-UHFFFAOYSA-N -1 1 330.283 -0.221 20 0 EBADMM CS(=O)(=O)[C@@H]1CCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000337526258 378658723 /nfs/dbraw/zinc/65/87/23/378658723.db2.gz XVNBSCWSZFVLCL-SECBINFHSA-N -1 1 327.362 -0.838 20 0 EBADMM CO[C@@H](C)CS(=O)(=O)[N-]c1ccc(C(=O)NCC(N)=O)cc1 ZINC000337771648 378692742 /nfs/dbraw/zinc/69/27/42/378692742.db2.gz VPDSHMNMSAZZRX-VIFPVBQESA-N -1 1 329.378 -0.322 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C(=O)NC[C@@H]1CCS(=O)(=O)C1 ZINC000338167067 378738841 /nfs/dbraw/zinc/73/88/41/378738841.db2.gz ZPRSNEUMJAJPSK-ZJUUUORDSA-N -1 1 341.389 -0.544 20 0 EBADMM CCOC(=O)[C@@H](CO)[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F ZINC000338942525 378832997 /nfs/dbraw/zinc/83/29/97/378832997.db2.gz LVFFKGZIZMPMOX-ZCFIWIBFSA-N -1 1 345.299 -0.359 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H](C)CCS(C)(=O)=O)co1 ZINC000339443662 378922646 /nfs/dbraw/zinc/92/26/46/378922646.db2.gz AIGYJQDGSBCVFK-MRVPVSSYSA-N -1 1 338.407 -0.259 20 0 EBADMM CC[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)[C@@H]1CCCO1 ZINC000339455347 378922711 /nfs/dbraw/zinc/92/27/11/378922711.db2.gz KDHUXCFJIUNKTM-UWVGGRQHSA-N -1 1 331.394 -0.680 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCn2ccccc2=O)co1 ZINC000339368442 378910308 /nfs/dbraw/zinc/91/03/08/378910308.db2.gz SGUGJQBIPBPTRC-UHFFFAOYSA-N -1 1 325.346 -0.221 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@](C)(COC)CC(=O)OC)co1 ZINC000339382596 378910920 /nfs/dbraw/zinc/91/09/20/378910920.db2.gz YDEDFONSWSDJJD-ZDUSSCGKSA-N -1 1 348.377 -0.114 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@]2(C(=O)OC)CCOC2)co1 ZINC000339441457 378920151 /nfs/dbraw/zinc/92/01/51/378920151.db2.gz OSYGOKKZSZSDAQ-GFCCVEGCSA-N -1 1 332.334 -0.750 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1C[C@H](O)C[C@H]1[C@H]1CCCOC1 ZINC000453036588 379104363 /nfs/dbraw/zinc/10/43/63/379104363.db2.gz WUFKXNGNXLANKR-XQQFMLRXSA-N -1 1 349.387 -0.095 20 0 EBADMM COC(=O)C1(CS(=O)(=O)[N-][C@@]2(C(N)=O)CCOC2)CCCCC1 ZINC000571298165 379124589 /nfs/dbraw/zinc/12/45/89/379124589.db2.gz SQXURAYNYKLFNI-AWEZNQCLSA-N -1 1 348.421 -0.326 20 0 EBADMM CC[C@H](CNS(C)(=O)=O)NC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000454283353 379113341 /nfs/dbraw/zinc/11/33/41/379113341.db2.gz AUICZDAIGSXEAT-SECBINFHSA-N -1 1 338.389 -0.019 20 0 EBADMM CN(C)C(=O)N[C@@H]1CCCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000456924821 379172877 /nfs/dbraw/zinc/17/28/77/379172877.db2.gz PVATZADHQRALOJ-LLVKDONJSA-N -1 1 349.391 -0.221 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCN(C)C(=O)C1 ZINC000619714350 379223363 /nfs/dbraw/zinc/22/33/63/379223363.db2.gz WERVCPZNJANFQK-MRVPVSSYSA-N -1 1 330.366 -0.515 20 0 EBADMM COC(=O)[C@@H]1COCCN1C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000267775160 379271769 /nfs/dbraw/zinc/27/17/69/379271769.db2.gz SSUJGJOQZLBSMD-LBPRGKRZSA-N -1 1 348.359 -0.418 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC2(C)CCOCC2)c(=O)n(C)c1=O ZINC000267791410 379274602 /nfs/dbraw/zinc/27/46/02/379274602.db2.gz GIYPQBKARHDNIP-UHFFFAOYSA-N -1 1 331.394 -0.821 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CC(=O)N(C(C)C)C1 ZINC000269649419 379390942 /nfs/dbraw/zinc/39/09/42/379390942.db2.gz HHYLOJRYGIBLSX-SECBINFHSA-N -1 1 344.393 -0.126 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H]1CCC(=O)NC1=O ZINC000269417355 379370129 /nfs/dbraw/zinc/37/01/29/379370129.db2.gz GKXUYLHUYPCRIO-SNVBAGLBSA-N -1 1 331.332 -0.897 20 0 EBADMM CCNC(=O)N1CC[C@H](NC(=O)c2coc(S(=O)(=O)[N-]C)c2)C1 ZINC000270202431 379439498 /nfs/dbraw/zinc/43/94/98/379439498.db2.gz JSORCCNHFYSLBX-JTQLQIEISA-N -1 1 344.393 -0.279 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](CO)c2cnn(C)c2)c1 ZINC000270203962 379440075 /nfs/dbraw/zinc/44/00/75/379440075.db2.gz MUSSCHQXHMLYMO-SNVBAGLBSA-N -1 1 329.334 -0.188 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CC(=O)N(C2CC2)C1 ZINC000269818136 379410100 /nfs/dbraw/zinc/41/01/00/379410100.db2.gz NKUOYVIUGARONZ-MRVPVSSYSA-N -1 1 342.377 -0.372 20 0 EBADMM COCCN1C[C@H](C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)CC1=O ZINC000622611658 379452032 /nfs/dbraw/zinc/45/20/32/379452032.db2.gz HUSABELROCXEAT-TZMCWYRMSA-N -1 1 344.375 -0.100 20 0 EBADMM CC(C)[C@@H]1C[C@@H]([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCO1 ZINC000271540499 379531379 /nfs/dbraw/zinc/53/13/79/379531379.db2.gz DBQPGOUOHTUHHV-QWRGUYRKSA-N -1 1 345.421 -0.434 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCN(C(C)C)C(=O)C2)co1 ZINC000272562215 379584144 /nfs/dbraw/zinc/58/41/44/379584144.db2.gz NOGFFJDMPCNQTM-UHFFFAOYSA-N -1 1 329.378 -0.120 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NC2CC(N3CCOCC3)C2)co1 ZINC000627937127 379729699 /nfs/dbraw/zinc/72/96/99/379729699.db2.gz JHHBEDDLEDRDBL-UHFFFAOYSA-N -1 1 343.405 -0.219 20 0 EBADMM O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ncccc1[O-] ZINC000275379891 379753422 /nfs/dbraw/zinc/75/34/22/379753422.db2.gz WRFXWNOXZBDOBO-MNOVXSKESA-N -1 1 341.389 -0.985 20 0 EBADMM CN1CCO[C@@H](CS(=O)(=O)[N-]Cc2nc(C(F)(F)F)no2)C1 ZINC000632198799 379828150 /nfs/dbraw/zinc/82/81/50/379828150.db2.gz OIAUIBMFYCHJIA-SSDOTTSWSA-N -1 1 344.315 -0.162 20 0 EBADMM C[C@H](O)[C@@H](NC(=O)C1CC1)C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614389505 379925282 /nfs/dbraw/zinc/92/52/82/379925282.db2.gz NTYLRGVZPWDXKP-JMJZKYOTSA-N -1 1 338.364 -0.243 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C(C)(C)[C@H](O)C(=O)OC ZINC000277155442 379874654 /nfs/dbraw/zinc/87/46/54/379874654.db2.gz YTMPAYQWYXXELY-MRVPVSSYSA-N -1 1 349.365 -0.823 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)[C@@H](C)CN2CCOCC2)o1 ZINC000279318227 379947177 /nfs/dbraw/zinc/94/71/77/379947177.db2.gz OFPAZRXTDDGMPZ-NSHDSACASA-N -1 1 345.421 -0.020 20 0 EBADMM CNC(=O)CN1CCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CC1 ZINC000279337795 379948807 /nfs/dbraw/zinc/94/88/07/379948807.db2.gz NXBZDLWVIPBCHI-UNOMPAQXSA-N -1 1 331.380 -0.763 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@@]2(CNC(=O)C2)C1 ZINC000279554289 379955729 /nfs/dbraw/zinc/95/57/29/379955729.db2.gz PKHMQWMZQBVFOV-QGZVFWFLSA-N -1 1 343.387 -0.079 20 0 EBADMM COC(=O)[C@H](C)CS(=O)(=O)[N-][C@@]1(C(=O)OC)CCSC1 ZINC000340071383 380008250 /nfs/dbraw/zinc/00/82/50/380008250.db2.gz RVZPZQRDWGEHJD-KCJUWKMLSA-N -1 1 325.408 -0.236 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCc2ccc(C(N)=O)o2)o1 ZINC000354554204 380054260 /nfs/dbraw/zinc/05/42/60/380054260.db2.gz XSNVZVRZQGZQHI-UHFFFAOYSA-N -1 1 327.318 -0.190 20 0 EBADMM CC[C@@H](C)[C@@H](O)CNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000355103494 380143853 /nfs/dbraw/zinc/14/38/53/380143853.db2.gz YYQQSOCLMPQRNN-BDAKNGLRSA-N -1 1 347.393 -0.820 20 0 EBADMM O=C(CCc1nn[n-]n1)N1CCN(CC(=O)N2CCCCCC2)CC1 ZINC000630612763 380114397 /nfs/dbraw/zinc/11/43/97/380114397.db2.gz QFPUTQIEPZZNHA-UHFFFAOYSA-N -1 1 349.439 -0.321 20 0 EBADMM Cc1ccc2c([O-])c(C(=O)NCCN3C(=O)CNC3=O)cnc2n1 ZINC000354922146 380115737 /nfs/dbraw/zinc/11/57/37/380115737.db2.gz QWCBYVMTYQGNAO-UHFFFAOYSA-N -1 1 329.316 -0.075 20 0 EBADMM Cc1ccc2c(n1)[n-]cc(C(=O)NCCN1C(=O)CNC1=O)c2=O ZINC000354922146 380115741 /nfs/dbraw/zinc/11/57/41/380115741.db2.gz QWCBYVMTYQGNAO-UHFFFAOYSA-N -1 1 329.316 -0.075 20 0 EBADMM Cc1nc([C@@H]2CN(C(=O)CNC(=O)c3ncccc3[O-])CCO2)no1 ZINC000355026786 380130970 /nfs/dbraw/zinc/13/09/70/380130970.db2.gz OCMHYOFLQXIUCO-NSHDSACASA-N -1 1 347.331 -0.191 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)Cc2nnc(C)[nH]2)c1 ZINC000615053542 380163505 /nfs/dbraw/zinc/16/35/05/380163505.db2.gz GVTAVHNGWPUIOO-UHFFFAOYSA-N -1 1 325.350 -0.092 20 0 EBADMM Cc1nc(S(=O)(=O)N[C@@H](CN2CCCCC2)C(=O)[O-])cn1C ZINC000547884919 380168602 /nfs/dbraw/zinc/16/86/02/380168602.db2.gz ANIOCKQHWCIEBT-NSHDSACASA-N -1 1 330.410 -0.054 20 0 EBADMM CC1CCN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)CC1 ZINC000355378753 380191041 /nfs/dbraw/zinc/19/10/41/380191041.db2.gz ZFXKRWMDDDTZPW-UHFFFAOYSA-N -1 1 329.378 -0.085 20 0 EBADMM CCS(=O)(=O)NCCCNC(=O)c1c[n-]c2c(cnn2C)c1=O ZINC000355585034 380194376 /nfs/dbraw/zinc/19/43/76/380194376.db2.gz ZDRGLKZYVKQJAL-UHFFFAOYSA-N -1 1 341.393 -0.267 20 0 EBADMM COCCCNC(=O)[C@@H](C)S(=O)(=O)c1nc(C(=O)OC)c[n-]1 ZINC000285034859 380218227 /nfs/dbraw/zinc/21/82/27/380218227.db2.gz GDTPPXAMLRTLQX-MRVPVSSYSA-N -1 1 333.366 -0.489 20 0 EBADMM COC[C@@H]1CN(C(=O)C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C[C@H](C)O1 ZINC000288060566 380389751 /nfs/dbraw/zinc/38/97/51/380389751.db2.gz UOUAJHJVYUUPEJ-NGZWOASGSA-N -1 1 347.375 -0.457 20 0 EBADMM CCOC(=O)[C@H](C)CNC(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000288931842 380424209 /nfs/dbraw/zinc/42/42/09/380424209.db2.gz VQYWDQDCKSCVRB-DAPYGLKOSA-N -1 1 333.348 -0.404 20 0 EBADMM CO[C@H]1COC[C@@H]1n1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)nn1 ZINC000362815462 380434301 /nfs/dbraw/zinc/43/43/01/380434301.db2.gz VLBXVPRPRMVUNK-QWRGUYRKSA-N -1 1 348.367 -0.423 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@]1(CCO)CCOC1 ZINC000340349414 380466959 /nfs/dbraw/zinc/46/69/59/380466959.db2.gz GTMOSSNAEZQJKC-ZDUSSCGKSA-N -1 1 347.393 -0.346 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H](CO)c2ccccc2)c(=O)n(C)c1=O ZINC000269740147 380522894 /nfs/dbraw/zinc/52/28/94/380522894.db2.gz UEQCNGQTVIQNFZ-LLVKDONJSA-N -1 1 339.373 -0.904 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2cccc(CO)c2)c(=O)n(C)c1=O ZINC000269830619 380525697 /nfs/dbraw/zinc/52/56/97/380525697.db2.gz UPBPNBIROJSQIR-UHFFFAOYSA-N -1 1 339.373 -0.945 20 0 EBADMM COC(=O)CC[C@@H]([N-]S(=O)(=O)Cc1cc(C)on1)C(=O)OC ZINC000340871835 380645596 /nfs/dbraw/zinc/64/55/96/380645596.db2.gz ONCPNLIDSRIAJZ-SNVBAGLBSA-N -1 1 334.350 -0.103 20 0 EBADMM C[C@H]1CC([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)C[C@H](C)O1 ZINC000535051751 380601623 /nfs/dbraw/zinc/60/16/23/380601623.db2.gz OEKMPOLSJBBRCD-IUCAKERBSA-N -1 1 331.394 -0.682 20 0 EBADMM C[C@@H]1CC([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)C[C@@H](C)O1 ZINC000535051752 380601723 /nfs/dbraw/zinc/60/17/23/380601723.db2.gz OEKMPOLSJBBRCD-RKDXNWHRSA-N -1 1 331.394 -0.682 20 0 EBADMM O=C(Cn1ccc([N-]S(=O)(=O)N2CCC2)n1)N1CCOCC1 ZINC000574144154 380700493 /nfs/dbraw/zinc/70/04/93/380700493.db2.gz VJMSGIBULGJCFD-UHFFFAOYSA-N -1 1 329.382 -0.896 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)[C@@H]2CCC(=O)N(C)C2)co1 ZINC000574106433 380701652 /nfs/dbraw/zinc/70/16/52/380701652.db2.gz ASSGOYVCKDBZOV-SNVBAGLBSA-N -1 1 329.378 -0.120 20 0 EBADMM CCCC[C@@H](C(=O)[O-])N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000574578632 380717514 /nfs/dbraw/zinc/71/75/14/380717514.db2.gz RPKUZTYOUFLLSO-ZDUSSCGKSA-N -1 1 349.453 -0.176 20 0 EBADMM COC(=O)C[C@H]([N-]S(=O)(=O)N1CCOC(C)(C)C1)C(=O)OC ZINC000330692213 380833034 /nfs/dbraw/zinc/83/30/34/380833034.db2.gz ZQMAYQLXGYFSFK-VIFPVBQESA-N -1 1 338.382 -0.964 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H](OC)C[C@H]2C(=O)OC)o1 ZINC000377630969 380983760 /nfs/dbraw/zinc/98/37/60/380983760.db2.gz XROXPJOGPFTYJS-IUCAKERBSA-N -1 1 346.361 -0.410 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCCN2CCCC2=O)o1 ZINC000032645594 381033358 /nfs/dbraw/zinc/03/33/58/381033358.db2.gz OUAGYBALVKONSM-UHFFFAOYSA-N -1 1 329.378 -0.070 20 0 EBADMM Cn1ncc2c1[n-]cc(C(=O)N[C@@H]1COC[C@H]1n1ccnn1)c2=O ZINC000346712463 381114754 /nfs/dbraw/zinc/11/47/54/381114754.db2.gz NJTMOBMPFQKOFO-GHMZBOCLSA-N -1 1 329.320 -0.365 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CC=CCC2)o1 ZINC000346815607 381128083 /nfs/dbraw/zinc/12/80/83/381128083.db2.gz CVQOOLUJWMFPGH-SECBINFHSA-N -1 1 327.362 -0.118 20 0 EBADMM COc1cc(F)c(S(=O)(=O)[N-]CCC(=O)NC(N)=O)c(F)c1 ZINC000610800446 381207918 /nfs/dbraw/zinc/20/79/18/381207918.db2.gz LRBVXTOHBJZGKC-UHFFFAOYSA-N -1 1 337.304 -0.163 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC(=O)NC1CCCC1 ZINC000611017539 381253472 /nfs/dbraw/zinc/25/34/72/381253472.db2.gz CTFDXIQRINWJND-UHFFFAOYSA-N -1 1 344.393 -0.077 20 0 EBADMM C[C@@H](C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])N1CCOCC1 ZINC000347726581 381253848 /nfs/dbraw/zinc/25/38/48/381253848.db2.gz WDSBUNDIYAQJJG-VIFPVBQESA-N -1 1 329.378 -0.301 20 0 EBADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H](O)C[C@@H]2CO)o1 ZINC000347730765 381254346 /nfs/dbraw/zinc/25/43/46/381254346.db2.gz LIEQLOXJRMTPER-NXEZZACHSA-N -1 1 346.405 -0.076 20 0 EBADMM CC(C)[C@@](C)(O)CNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000347751464 381270758 /nfs/dbraw/zinc/27/07/58/381270758.db2.gz IUKIHBBVQDIULH-ZDUSSCGKSA-N -1 1 347.393 -0.820 20 0 EBADMM C[C@@H](C(=O)Nc1nc(S(C)(=O)=O)n[n-]1)n1nnnc1C(C)(C)C ZINC000350153126 381508579 /nfs/dbraw/zinc/50/85/79/381508579.db2.gz KEJRANFUXULQLP-LURJTMIESA-N -1 1 342.385 -0.308 20 0 EBADMM C[C@@H](C(=O)Nc1nnc(S(C)(=O)=O)[n-]1)n1nnnc1C(C)(C)C ZINC000350153126 381508585 /nfs/dbraw/zinc/50/85/85/381508585.db2.gz KEJRANFUXULQLP-LURJTMIESA-N -1 1 342.385 -0.308 20 0 EBADMM C[C@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)Nc1nnn[n-]1 ZINC000349847403 381486789 /nfs/dbraw/zinc/48/67/89/381486789.db2.gz FSAWBPLUZJBBJH-JTQLQIEISA-N -1 1 332.242 -0.230 20 0 EBADMM C[C@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)Nc1nn[n-]n1 ZINC000349847403 381486791 /nfs/dbraw/zinc/48/67/91/381486791.db2.gz FSAWBPLUZJBBJH-JTQLQIEISA-N -1 1 332.242 -0.230 20 0 EBADMM COC(=O)CNC(=O)CNC(=O)c1c(C)nc(C(C)(C)C)[n-]c1=O ZINC000535841761 381543748 /nfs/dbraw/zinc/54/37/48/381543748.db2.gz KJCXFGVIGYQQMZ-UHFFFAOYSA-N -1 1 338.364 -0.193 20 0 EBADMM Cc1nc(S(=O)(=O)[N-]C[C@@H]2CS(=O)(=O)CCO2)sc1C ZINC000535783701 381533636 /nfs/dbraw/zinc/53/36/36/381533636.db2.gz ZNHHHLGHZKYRHV-SECBINFHSA-N -1 1 340.448 -0.148 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NCc1ccnc2ccnn21 ZINC000351590032 381647656 /nfs/dbraw/zinc/64/76/56/381647656.db2.gz NKOJVGDRLCPDAN-UHFFFAOYSA-N -1 1 326.316 -0.124 20 0 EBADMM C[C@@H]1CCCC[C@]12NC(=O)N(CC(=O)NN1CC(=O)[N-]C1=O)C2=O ZINC000053286051 381660045 /nfs/dbraw/zinc/66/00/45/381660045.db2.gz ZVEWEKUQYGFLHX-CLAHSXSESA-N -1 1 337.336 -0.930 20 0 EBADMM CNC(=O)CC[N-]S(=O)(=O)c1nc(C)n(C)c1Br ZINC000286627563 381666436 /nfs/dbraw/zinc/66/64/36/381666436.db2.gz MYSHQKUYOIGNEK-UHFFFAOYSA-N -1 1 339.215 -0.095 20 0 EBADMM Cc1nc(S(=O)(=O)[N-]CCOCCO)c(Br)n1C ZINC000286984139 381681269 /nfs/dbraw/zinc/68/12/69/381681269.db2.gz SJWSOWKVABYIPY-UHFFFAOYSA-N -1 1 342.215 -0.222 20 0 EBADMM NS(=O)(=O)c1ccsc1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000612814770 381867626 /nfs/dbraw/zinc/86/76/26/381867626.db2.gz CXVZHQLFPBISPE-ZETCQYMHSA-N -1 1 342.406 -0.072 20 0 EBADMM O=C(c1cnc2c(c1)NC(=O)CO2)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000537124273 381872403 /nfs/dbraw/zinc/87/24/03/381872403.db2.gz IUYJSKYMOIZEEN-QMMMGPOBSA-N -1 1 329.320 -0.055 20 0 EBADMM C[C@H](C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-])N1C(=O)CCC1=O ZINC000537502116 381892109 /nfs/dbraw/zinc/89/21/09/381892109.db2.gz GQYGNAFNEZQJLO-SSDOTTSWSA-N -1 1 341.345 -0.484 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H](C2CC2)C2CCC2)c(=O)n(C)c1=O ZINC000333144441 381893617 /nfs/dbraw/zinc/89/36/17/381893617.db2.gz JVRXVCWAKWNPDH-LBPRGKRZSA-N -1 1 327.406 -0.059 20 0 EBADMM Cc1nc(S(=O)(=O)[N-]CCS(=O)(=O)N(C)C)sc1C ZINC000352559849 382001858 /nfs/dbraw/zinc/00/18/58/382001858.db2.gz LASKWZYXGRLYNS-UHFFFAOYSA-N -1 1 327.453 -0.070 20 0 EBADMM O=C(NC[C@@H]1COc2ccccc2O1)c1cc(=O)n2[n-]cnc2n1 ZINC000352607687 382010501 /nfs/dbraw/zinc/01/05/01/382010501.db2.gz YJRUECDDNHXDHT-SECBINFHSA-N -1 1 327.300 -0.013 20 0 EBADMM CN(C)S(=O)(=O)N1CC(NC(=O)c2c(F)ccc([O-])c2F)C1 ZINC000629529793 382138446 /nfs/dbraw/zinc/13/84/46/382138446.db2.gz NAEVTZKSXFFCFO-UHFFFAOYSA-N -1 1 335.332 -0.109 20 0 EBADMM CCCCn1c(N)c(N(CC)Cc2nc(=O)n(C)[n-]2)c(=O)[nH]c1=O ZINC000353474107 382150163 /nfs/dbraw/zinc/15/01/63/382150163.db2.gz DWYZFVQXUBNCJH-UHFFFAOYSA-N -1 1 337.384 -0.221 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC2CCC(C(N)=O)CC2)o1 ZINC000354138834 382208156 /nfs/dbraw/zinc/20/81/56/382208156.db2.gz NKBIZGFPNWOFKA-UHFFFAOYSA-N -1 1 329.378 -0.038 20 0 EBADMM CCOC(=O)CC1(S(=O)(=O)[N-][C@](C)(COC)C(=O)OC)CC1 ZINC000451313332 382227454 /nfs/dbraw/zinc/22/74/54/382227454.db2.gz VSPNVEVWCMORCT-GFCCVEGCSA-N -1 1 337.394 -0.030 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@]2(C)CCS(=O)(=O)C2)o1 ZINC000330642316 155297072 /nfs/dbraw/zinc/29/70/72/155297072.db2.gz JEIVSQDCOHLIKZ-NSHDSACASA-N -1 1 337.375 -0.078 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCN2C(=O)NC[C@@H]2C1 ZINC000177502967 154116444 /nfs/dbraw/zinc/11/64/44/154116444.db2.gz ISSKLZMNMYGHAL-LLVKDONJSA-N -1 1 344.375 -0.582 20 0 EBADMM COc1ccc(CN2C[C@H](C(=O)NN3CC(=O)[N-]C3=O)CC2=O)cc1 ZINC000263769963 154261850 /nfs/dbraw/zinc/26/18/50/154261850.db2.gz ILUHZHCZSBUHSS-LLVKDONJSA-N -1 1 346.343 -0.373 20 0 EBADMM CC(=O)c1ccc(S(=O)(=O)NCCC(=O)Nc2nnn[n-]2)cc1 ZINC000264036820 154271051 /nfs/dbraw/zinc/27/10/51/154271051.db2.gz ULZCAMIYLIVJSE-UHFFFAOYSA-N -1 1 338.349 -0.291 20 0 EBADMM CC(=O)c1ccc(S(=O)(=O)NCCC(=O)Nc2nn[n-]n2)cc1 ZINC000264036820 154271053 /nfs/dbraw/zinc/27/10/53/154271053.db2.gz ULZCAMIYLIVJSE-UHFFFAOYSA-N -1 1 338.349 -0.291 20 0 EBADMM O=C(Cc1ccccc1)N1CCC[C@@H]1C(=O)NN1CC(=O)[N-]C1=O ZINC000266652630 154349889 /nfs/dbraw/zinc/34/98/89/154349889.db2.gz YSBXPKJUVCPMHF-GFCCVEGCSA-N -1 1 330.344 -0.197 20 0 EBADMM O=C(NN1CC(=O)[N-]C1=O)[C@@H]1CCCN1C(=O)OCC(F)(F)F ZINC000266619602 154349979 /nfs/dbraw/zinc/34/99/79/154349979.db2.gz SQWMWIUWISBMAI-LURJTMIESA-N -1 1 338.242 -0.267 20 0 EBADMM CCC[C@H](NC(=O)CN1C(=O)N[C@](C)(C2CC2)C1=O)c1nn[n-]n1 ZINC000267636096 154383527 /nfs/dbraw/zinc/38/35/27/154383527.db2.gz YHWXZWXFHMNGQD-LKFCYVNXSA-N -1 1 335.368 -0.122 20 0 EBADMM CCC[C@H](NC(=O)CN1C(=O)N[C@@](C)(C2CC2)C1=O)c1nn[n-]n1 ZINC000267636100 154384481 /nfs/dbraw/zinc/38/44/81/154384481.db2.gz YHWXZWXFHMNGQD-XPTSAGLGSA-N -1 1 335.368 -0.122 20 0 EBADMM CN1CCC(NS(=O)(=O)c2c[nH]c3nc(=O)[n-]c(=O)c-3c2)CC1 ZINC000267648895 154386358 /nfs/dbraw/zinc/38/63/58/154386358.db2.gz KUUOJMJLNQYIDM-UHFFFAOYSA-N -1 1 339.377 -0.192 20 0 EBADMM O=C(NN1CC(=O)[N-]C1=O)[C@H]1CCCN(C(=O)NC2CCCC2)C1 ZINC000267946128 154396290 /nfs/dbraw/zinc/39/62/90/154396290.db2.gz AXOGTUGZNXAEFW-JTQLQIEISA-N -1 1 337.380 -0.066 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCCC[C@@H]1C(N)=O ZINC000269773300 154466176 /nfs/dbraw/zinc/46/61/76/154466176.db2.gz GOGRKTVPPSRPPS-WPRPVWTQSA-N -1 1 344.393 -0.091 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1ccc(C(N)=O)o1 ZINC000269893401 154471916 /nfs/dbraw/zinc/47/19/16/154471916.db2.gz XWCZIQITMATDIS-UHFFFAOYSA-N -1 1 342.333 -0.243 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CC(=O)N(C)C2)c1 ZINC000291886681 155025256 /nfs/dbraw/zinc/02/52/56/155025256.db2.gz HRXCJQKTPZPFGS-QMMMGPOBSA-N -1 1 327.362 -0.283 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CN(C)CCO2)c1 ZINC000292246455 155030936 /nfs/dbraw/zinc/03/09/36/155030936.db2.gz OHMNYZLZXBKSJP-GFCCVEGCSA-N -1 1 329.378 -0.431 20 0 EBADMM C[C@H]1[C@@H]([N-]S(=O)(=O)c2ncn(C)c2Cl)CCS1(=O)=O ZINC000292938428 155040660 /nfs/dbraw/zinc/04/06/60/155040660.db2.gz IJWNTOIHWLARAL-BQBZGAKWSA-N -1 1 327.815 -0.073 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCN1CCOCC1 ZINC000294420320 155061369 /nfs/dbraw/zinc/06/13/69/155061369.db2.gz OCEAWMRKZGGTGU-UHFFFAOYSA-N -1 1 332.382 -0.803 20 0 EBADMM O=C(CNC(=O)c1cccc(O)c1)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000294399613 155061982 /nfs/dbraw/zinc/06/19/82/155061982.db2.gz VUGBKBHOKHLEOF-LLVKDONJSA-N -1 1 345.359 -0.060 20 0 EBADMM COC(=O)CCCS(=O)(=O)N1CCC(c2nc(=O)[n-][nH]2)CC1 ZINC000294637592 155062600 /nfs/dbraw/zinc/06/26/00/155062600.db2.gz PQXLXXCLIZOPQM-UHFFFAOYSA-N -1 1 332.382 -0.440 20 0 EBADMM CO[C@@H](C)CS(=O)(=O)[N-]c1cnc2c(c1)c(=O)n(C)c(=O)n2C ZINC000295143728 155067830 /nfs/dbraw/zinc/06/78/30/155067830.db2.gz DJZGGTVJCPALJY-QMMMGPOBSA-N -1 1 342.377 -0.591 20 0 EBADMM CC[C@@H](CNS(C)(=O)=O)[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000295504752 155068939 /nfs/dbraw/zinc/06/89/39/155068939.db2.gz BWFOYALBDDRDHC-ZETCQYMHSA-N -1 1 344.846 -0.320 20 0 EBADMM CC(C)NS(=O)(=O)N1CCN(C(=O)c2cncc([O-])c2)CC1 ZINC000297409859 155081168 /nfs/dbraw/zinc/08/11/68/155081168.db2.gz PDWYVROXSQIABM-UHFFFAOYSA-N -1 1 328.394 -0.212 20 0 EBADMM COC(=O)CC[C@H]([N-]S(=O)(=O)Cc1cc(C)on1)C(=O)OC ZINC000340871832 155919748 /nfs/dbraw/zinc/91/97/48/155919748.db2.gz ONCPNLIDSRIAJZ-JTQLQIEISA-N -1 1 334.350 -0.103 20 0 EBADMM CC1(C)CN(CCNC(=O)CNC(=O)c2ncccc2[O-])CCO1 ZINC000343355144 156129634 /nfs/dbraw/zinc/12/96/34/156129634.db2.gz BGRCNHOWJHIGFF-UHFFFAOYSA-N -1 1 336.392 -0.256 20 0 EBADMM CCc1nc2n(n1)C[C@@H](NC(=O)CNC(=O)c1ncccc1[O-])CC2 ZINC000343398545 156133739 /nfs/dbraw/zinc/13/37/39/156133739.db2.gz KDEWGBFCURELER-JTQLQIEISA-N -1 1 344.375 -0.198 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H]2CCSC2)o1 ZINC000344650583 156251025 /nfs/dbraw/zinc/25/10/25/156251025.db2.gz XCSKHAKCGVCZHK-QMMMGPOBSA-N -1 1 347.418 -0.474 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCc2nc[nH]c(=O)c2C1 ZINC000344784410 156263598 /nfs/dbraw/zinc/26/35/98/156263598.db2.gz BECQPOQBANEDHV-UHFFFAOYSA-N -1 1 329.316 -0.403 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCC[C@H]1C(=O)NC ZINC000345495391 156336344 /nfs/dbraw/zinc/33/63/44/156336344.db2.gz VKAZWRYPWLRHNP-SCZZXKLOSA-N -1 1 344.393 -0.221 20 0 EBADMM Cn1nccc1[C@@H]1OCC[C@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000346103854 156385400 /nfs/dbraw/zinc/38/54/00/156385400.db2.gz JRQGJIMSSUXIPS-MEBBXXQBSA-N -1 1 345.359 -0.103 20 0 EBADMM C[C@@]1(CNC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)CCOC1 ZINC000346141391 156387216 /nfs/dbraw/zinc/38/72/16/156387216.db2.gz ZFOGBUMDGCNDCR-ZDUSSCGKSA-N -1 1 345.377 -0.800 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1CC[C@@H](C(N)=O)O1 ZINC000356115777 157048284 /nfs/dbraw/zinc/04/82/84/157048284.db2.gz LDMIEXOOPNCCDZ-QWRGUYRKSA-N -1 1 333.348 -0.299 20 0 EBADMM C[C@H]1[C@H](N2CCOCC2)CCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000356765506 157091826 /nfs/dbraw/zinc/09/18/26/157091826.db2.gz KPMQNBFZYYBZJH-QWHCGFSZSA-N -1 1 348.403 -0.161 20 0 EBADMM COCC1(NC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)CCC1 ZINC000357173708 157123446 /nfs/dbraw/zinc/12/34/46/157123446.db2.gz BEKUKSFYXJRYQA-UHFFFAOYSA-N -1 1 345.377 -0.658 20 0 EBADMM COC1(CNC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)CCC1 ZINC000357506489 157151264 /nfs/dbraw/zinc/15/12/64/157151264.db2.gz VDAUAUUESHZAQW-UHFFFAOYSA-N -1 1 345.377 -0.658 20 0 EBADMM C[C@]1(NC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)CCCOC1 ZINC000357893659 157185288 /nfs/dbraw/zinc/18/52/88/157185288.db2.gz VIFCCZFSWZYLBM-ZDUSSCGKSA-N -1 1 345.377 -0.658 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)Cn1cccnc1=O ZINC000358281599 157230713 /nfs/dbraw/zinc/23/07/13/157230713.db2.gz JURRQVCZUZGMQF-UHFFFAOYSA-N -1 1 328.332 -0.075 20 0 EBADMM Cn1ncc2c1[n-]cc(C(=O)NCCNC(=O)c1cnccn1)c2=O ZINC000358347156 157233725 /nfs/dbraw/zinc/23/37/25/157233725.db2.gz LOTXWXDCXZGFQQ-UHFFFAOYSA-N -1 1 341.331 -0.376 20 0 EBADMM C[C@@H](CN(C)C(=O)CNC(=O)c1ccc2c(c1)OCO2)c1nn[n-]n1 ZINC000358778395 157277410 /nfs/dbraw/zinc/27/74/10/157277410.db2.gz JFPQSYUBHOWVCT-VIFPVBQESA-N -1 1 346.347 -0.080 20 0 EBADMM CCOC(=O)[C@](C)(O)CNC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000358900410 157287261 /nfs/dbraw/zinc/28/72/61/157287261.db2.gz KSLCDFFZGCXCNV-GFCCVEGCSA-N -1 1 334.350 -0.768 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CCC(=O)NC2)c1 ZINC000359700095 157338111 /nfs/dbraw/zinc/33/81/11/157338111.db2.gz NKQSVYMYDVHPNC-QMMMGPOBSA-N -1 1 327.362 -0.235 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCC(=O)NC2)c1 ZINC000359700094 157338229 /nfs/dbraw/zinc/33/82/29/157338229.db2.gz NKQSVYMYDVHPNC-MRVPVSSYSA-N -1 1 327.362 -0.235 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCN2C(=O)CCCC2=O)o1 ZINC000359757412 157339373 /nfs/dbraw/zinc/33/93/73/157339373.db2.gz SSVIZIAMCBUHGP-UHFFFAOYSA-N -1 1 343.361 -0.543 20 0 EBADMM CC(C)(NC(=O)CSCC(=O)N1CCOCC1)c1nn[n-]n1 ZINC000359797188 157343578 /nfs/dbraw/zinc/34/35/78/157343578.db2.gz ODGZGBKEZWMIJA-UHFFFAOYSA-N -1 1 328.398 -0.857 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H](CC(=O)OC)C(=O)OC)o1 ZINC000360197494 157363223 /nfs/dbraw/zinc/36/32/23/157363223.db2.gz BNJHAQKLTSJVEP-SSDOTTSWSA-N -1 1 348.333 -0.978 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NC[C@H]1CCCS(=O)(=O)C1 ZINC000360226526 157366871 /nfs/dbraw/zinc/36/68/71/157366871.db2.gz PJGWFLDFVZHKNN-SNVBAGLBSA-N -1 1 341.389 -0.542 20 0 EBADMM COCCC1([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCC1 ZINC000360354945 157376514 /nfs/dbraw/zinc/37/65/14/157376514.db2.gz WWVFAWYBELVKKG-UHFFFAOYSA-N -1 1 331.394 -0.679 20 0 EBADMM Cn1nnc(C[N-]S(=O)(=O)c2cc(C(F)(F)F)nn2C)n1 ZINC000528377499 418960600 /nfs/dbraw/zinc/96/06/00/418960600.db2.gz GONQKLBDDYGDTG-UHFFFAOYSA-N -1 1 325.276 -0.559 20 0 EBADMM O=S(=O)([N-][C@H]1CCS(=O)(=O)C1)c1c[nH]nc1C(F)(F)F ZINC000647894863 419317880 /nfs/dbraw/zinc/31/78/80/419317880.db2.gz YIXRQXVRVFDJGV-YFKPBYRVSA-N -1 1 333.313 -0.106 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](CCOC)C(=O)OC ZINC000289810414 419348537 /nfs/dbraw/zinc/34/85/37/419348537.db2.gz IUZBYTFOSUHDCN-VIFPVBQESA-N -1 1 349.365 -0.557 20 0 EBADMM CC(C)[C@@H](CNS(=O)(=O)c1ccn(C)n1)N1CCN(C)CC1 ZINC000519636443 419535009 /nfs/dbraw/zinc/53/50/09/419535009.db2.gz FUPDSVHZXZPVAF-CYBMUJFWSA-N -1 1 329.470 -0.030 20 0 EBADMM CNC(=O)[C@@H]1CN(C(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)CCO1 ZINC000378620600 419763219 /nfs/dbraw/zinc/76/32/19/419763219.db2.gz HLILWPXWPUWRQM-LBPRGKRZSA-N -1 1 347.375 -0.432 20 0 EBADMM CCCN1C[C@@H](CNC(=O)CNC(=O)c2ncccc2[O-])CC1=O ZINC000421911945 419863685 /nfs/dbraw/zinc/86/36/85/419863685.db2.gz HRRXKSCGWSZJBY-LLVKDONJSA-N -1 1 334.376 -0.108 20 0 EBADMM COC(=O)[C@H](CO)[N-]S(=O)(=O)CC[C@@H]1CCCCN1C(C)=O ZINC000489694672 420548985 /nfs/dbraw/zinc/54/89/85/420548985.db2.gz BNJFCXJCCYBBFN-RYUDHWBXSA-N -1 1 336.410 -0.769 20 0 EBADMM C[C@@H](CN(C)C(=O)C1(O)CN(C(=O)OC(C)(C)C)C1)c1nn[n-]n1 ZINC000496207232 420625445 /nfs/dbraw/zinc/62/54/45/420625445.db2.gz PQTGWQNFTACHCW-VIFPVBQESA-N -1 1 340.384 -0.257 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@H]2Cc3ccccc32)c(=O)n(C)c1=O ZINC000193982801 420745105 /nfs/dbraw/zinc/74/51/05/420745105.db2.gz NRBSSJQVKAIUQE-LLVKDONJSA-N -1 1 335.385 -0.298 20 0 EBADMM CN(C)CC(=O)N1CCN(S(=O)(=O)c2ccccc2[O-])CC1 ZINC000656875056 420902315 /nfs/dbraw/zinc/90/23/15/420902315.db2.gz GGHNARNLQJTOHV-UHFFFAOYSA-N -1 1 327.406 -0.213 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2CCC(=O)N2)c(C(F)(F)F)n1 ZINC000657190431 420921888 /nfs/dbraw/zinc/92/18/88/420921888.db2.gz NHZRTQJIKRLODK-LURJTMIESA-N -1 1 326.300 -0.004 20 0 EBADMM CC(C)O[C@]1(CS(=O)(=O)[N-][C@H]2CC(=O)N(C)C2=O)CCOC1 ZINC000659961017 421035860 /nfs/dbraw/zinc/03/58/60/421035860.db2.gz AHQOCEKTYRKPAX-GXFFZTMASA-N -1 1 334.394 -0.753 20 0 EBADMM O=C(CSc1nnc(NC[C@H]2CCCO2)s1)Nc1nnn[n-]1 ZINC000439278717 421223273 /nfs/dbraw/zinc/22/32/73/421223273.db2.gz QDACYTNAFIFECA-ZCFIWIBFSA-N -1 1 342.410 0.373 20 0 EBADMM O=C(CSc1nnc(NC[C@H]2CCCO2)s1)Nc1nn[n-]n1 ZINC000439278717 421223274 /nfs/dbraw/zinc/22/32/74/421223274.db2.gz QDACYTNAFIFECA-ZCFIWIBFSA-N -1 1 342.410 0.373 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CCC[C@H]2F)o1 ZINC000340808261 264643037 /nfs/dbraw/zinc/64/30/37/264643037.db2.gz DLNSJIGDIZHEIA-SFYZADRCSA-N -1 1 333.341 -0.336 20 0 EBADMM Cc1cc(C[C@H](C)NC(=O)N2CCS(=O)(=O)[C@H](C(=O)[O-])C2)n[nH]1 ZINC000424403903 265061427 /nfs/dbraw/zinc/06/14/27/265061427.db2.gz FTWBPMASOXCFOD-KWQFWETISA-N -1 1 344.393 -0.458 20 0 EBADMM CCC1(CNC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)CC1 ZINC000427353425 265105402 /nfs/dbraw/zinc/10/54/02/265105402.db2.gz ASGNDIJVPJBOTN-UHFFFAOYSA-N -1 1 329.378 -0.037 20 0 EBADMM C[S@](=O)C1(CNC(=O)CNC(=O)c2ncccc2[O-])CCC1 ZINC000438120024 265252696 /nfs/dbraw/zinc/25/26/96/265252696.db2.gz LFTFCQJPXCIDHD-QFIPXVFZSA-N -1 1 325.390 -0.066 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H](O)[C@H]1CCOC1 ZINC000443271877 265345016 /nfs/dbraw/zinc/34/50/16/265345016.db2.gz JPKMSRXMNPFTLM-WPRPVWTQSA-N -1 1 333.366 -0.738 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H](C(=O)OC)[C@H](C)O)o1 ZINC000444204195 265361341 /nfs/dbraw/zinc/36/13/41/265361341.db2.gz YUYKLABCGJMLBB-XVKPBYJWSA-N -1 1 335.334 -0.343 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](CO)[C@H]1CCCO1 ZINC000447930521 265385618 /nfs/dbraw/zinc/38/56/18/265385618.db2.gz LYVHPQWVIMEMKT-NXEZZACHSA-N -1 1 333.366 -0.595 20 0 EBADMM CC[C@@](COC)(NC(=O)CNC(=O)c1ncccc1[O-])C(=O)OC ZINC000457279204 265440995 /nfs/dbraw/zinc/44/09/95/265440995.db2.gz IYTLJEZOVLFSMH-HNNXBMFYSA-N -1 1 339.348 -0.399 20 0 EBADMM Cn1nnc(C[N-]S(=O)(=O)c2cccc3c2OCCCO3)n1 ZINC000651677836 545794518 /nfs/dbraw/zinc/79/45/18/545794518.db2.gz WISNHQONTVQMKA-UHFFFAOYSA-N -1 1 325.350 -0.150 20 0 EBADMM CC1(C)C(=O)NC(=O)N1CC(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000670405355 545996777 /nfs/dbraw/zinc/99/67/77/545996777.db2.gz LVPLUKQFCAVTOE-UHFFFAOYSA-N -1 1 337.336 -0.188 20 0 EBADMM C[C@H](CNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)C1CC1 ZINC000673647424 546145642 /nfs/dbraw/zinc/14/56/42/546145642.db2.gz FCLWTPAFKHQACD-MRVPVSSYSA-N -1 1 329.378 -0.181 20 0 EBADMM O=C(Cn1cc(C(=O)NCc2nn[n-]n2)cn1)NC1CCCCC1 ZINC000673740758 546147172 /nfs/dbraw/zinc/14/71/72/546147172.db2.gz KIOPXSAFCJZXFX-UHFFFAOYSA-N -1 1 332.368 -0.225 20 0 EBADMM O=C([O-])[C@@H]1CN(C(=O)CCNC(=O)c2n[nH]c3ccccc32)CCO1 ZINC000676212873 546198484 /nfs/dbraw/zinc/19/84/84/546198484.db2.gz IYGOJLXSIWUVFJ-LBPRGKRZSA-N -1 1 346.343 -0.005 20 0 EBADMM C[C@@H](NC(=O)c1n[nH]c2ccccc21)C(=O)N1CCO[C@@H](C(=O)[O-])C1 ZINC000676215923 546198647 /nfs/dbraw/zinc/19/86/47/546198647.db2.gz RJPKBKAAZZTCJW-BXKDBHETSA-N -1 1 346.343 -0.007 20 0 EBADMM CC(C)(C)NS(=O)(=O)CC(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000677926483 546241370 /nfs/dbraw/zinc/24/13/70/546241370.db2.gz JOVMPFYCGJCESW-VIFPVBQESA-N -1 1 330.414 -0.376 20 0 EBADMM CS(=O)(=O)N1CCCC[C@@H]1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000677924803 546241433 /nfs/dbraw/zinc/24/14/33/546241433.db2.gz ATYGWIORLQWTJI-WDEREUQCSA-N -1 1 342.425 -0.280 20 0 EBADMM CN(C)CCS(=O)(=O)c1ccccc1C(=O)NCc1nn[n-]n1 ZINC000678083261 546247659 /nfs/dbraw/zinc/24/76/59/546247659.db2.gz XUVDESQPADIHBS-UHFFFAOYSA-N -1 1 338.393 -0.535 20 0 EBADMM NS(=O)(=O)c1cccc2c1ccnc2C(=O)NCc1nn[n-]n1 ZINC000679998394 546282388 /nfs/dbraw/zinc/28/23/88/546282388.db2.gz LZECOGBRURCRKT-UHFFFAOYSA-N -1 1 333.333 -0.675 20 0 EBADMM CCCn1ccc(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)c1 ZINC000683185061 546344840 /nfs/dbraw/zinc/34/48/40/546344840.db2.gz OGKHYGIKWDQYDP-UHFFFAOYSA-N -1 1 340.405 -0.226 20 0 EBADMM NS(=O)(=O)c1ccc(C(=O)NC2(c3nn[n-]n3)CC2)c(F)c1 ZINC000683251719 546345833 /nfs/dbraw/zinc/34/58/33/546345833.db2.gz XONQAQKAMYXRED-UHFFFAOYSA-N -1 1 326.313 -0.595 20 0 EBADMM CCC[C@H](NC(=O)c1ccc(S(N)(=O)=O)nc1)c1nn[n-]n1 ZINC000684880515 546378595 /nfs/dbraw/zinc/37/85/95/546378595.db2.gz KTXBGVWPURHMGV-QMMMGPOBSA-N -1 1 325.354 -0.487 20 0 EBADMM CS[C@@H]1CC[C@@H]([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)C1 ZINC000742328377 582534674 /nfs/dbraw/zinc/53/46/74/582534674.db2.gz KPWDVBTXUPAJJA-RKDXNWHRSA-N -1 1 333.435 -0.354 20 0 EBADMM CN1CCN(C)CCN(C(=O)c2cccc(-n3[n-]nnc3=N)c2)CC1 ZINC000888980582 582572491 /nfs/dbraw/zinc/57/24/91/582572491.db2.gz HPIKHBFXWFNUKO-UHFFFAOYSA-N -1 1 344.423 -0.606 20 0 EBADMM NS(=O)(=O)CCCNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000737763936 582617647 /nfs/dbraw/zinc/61/76/47/582617647.db2.gz BWXFYLSLMWOWST-UHFFFAOYSA-N -1 1 334.365 -0.100 20 0 EBADMM NS(=O)(=O)CCCNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000737763936 582617649 /nfs/dbraw/zinc/61/76/49/582617649.db2.gz BWXFYLSLMWOWST-UHFFFAOYSA-N -1 1 334.365 -0.100 20 0 EBADMM CS(=O)(=O)c1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)cn1 ZINC000726867743 582622556 /nfs/dbraw/zinc/62/25/56/582622556.db2.gz SNVVGWRQZKTXMD-UHFFFAOYSA-N -1 1 338.345 -0.636 20 0 EBADMM Cc1cc(CN2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)CC2)on1 ZINC000726866418 582623307 /nfs/dbraw/zinc/62/33/07/582623307.db2.gz SUEAXLNLJJUCQB-UHFFFAOYSA-N -1 1 347.375 -0.432 20 0 EBADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)c1ccnc(N2CCCC2)n1 ZINC000737771135 582636005 /nfs/dbraw/zinc/63/60/05/582636005.db2.gz QEWMJQRHGAQXLL-UHFFFAOYSA-N -1 1 326.324 -0.276 20 0 EBADMM O=C(NCCNC(=O)C1CN([C@@H]2CCOC2)C1)c1ccc(O)cc1 ZINC000895748376 582653222 /nfs/dbraw/zinc/65/32/22/582653222.db2.gz XEZJGMCFOKOUED-CQSZACIVSA-N -1 1 333.388 -0.041 20 0 EBADMM CN(CC(=O)NCc1ccco1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000727847531 582659828 /nfs/dbraw/zinc/65/98/28/582659828.db2.gz GVEYXDIMWBSEGV-UHFFFAOYSA-N -1 1 334.332 -0.706 20 0 EBADMM Cc1cccc(C(=O)NCCNC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000727851797 582661269 /nfs/dbraw/zinc/66/12/69/582661269.db2.gz NDCPNXPATQHNAH-UHFFFAOYSA-N -1 1 344.371 -0.219 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCC(=O)NC1CCCCC1 ZINC000727851971 582661297 /nfs/dbraw/zinc/66/12/97/582661297.db2.gz PBYJDCOKGXVVOT-UHFFFAOYSA-N -1 1 336.392 -0.118 20 0 EBADMM CCOC(=O)N1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000727853238 582661442 /nfs/dbraw/zinc/66/14/42/582661442.db2.gz FRGPRJUIQDFANV-UHFFFAOYSA-N -1 1 338.364 -0.383 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCN1C(=O)Cc2ccccc21 ZINC000727854203 582661716 /nfs/dbraw/zinc/66/17/16/582661716.db2.gz TUENNKOQIKETNU-UHFFFAOYSA-N -1 1 342.355 -0.368 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCC(=O)NCc1ccccc1 ZINC000727853628 582661738 /nfs/dbraw/zinc/66/17/38/582661738.db2.gz PFRJNCNWAMOABA-UHFFFAOYSA-N -1 1 344.371 -0.251 20 0 EBADMM CC(C)C(=O)N[C@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000727854154 582661791 /nfs/dbraw/zinc/66/17/91/582661791.db2.gz ZOBBJLDEGGELJJ-LBPRGKRZSA-N -1 1 336.392 -0.310 20 0 EBADMM O=C([C@@H]1CCCO1)N1CCN(c2cnc(-c3nnn[n-]3)cn2)CC1 ZINC000737793542 582684123 /nfs/dbraw/zinc/68/41/23/582684123.db2.gz ZLJGUVKDIOIGRF-NSHDSACASA-N -1 1 330.352 -0.516 20 0 EBADMM O=C([C@@H]1CCCO1)N1CCN(c2cnc(-c3nn[n-]n3)cn2)CC1 ZINC000737793542 582684125 /nfs/dbraw/zinc/68/41/25/582684125.db2.gz ZLJGUVKDIOIGRF-NSHDSACASA-N -1 1 330.352 -0.516 20 0 EBADMM COCCN(CCC(=O)[O-])CC(=O)N1CCC[C@@H](NC(C)=O)C1 ZINC000737794640 582684939 /nfs/dbraw/zinc/68/49/39/582684939.db2.gz QUFZCJGYTPNDAP-CYBMUJFWSA-N -1 1 329.397 -0.463 20 0 EBADMM Cn1cc(CN2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)CC2)cn1 ZINC000730035092 582724204 /nfs/dbraw/zinc/72/42/04/582724204.db2.gz JMLKVLKPDIFOIV-UHFFFAOYSA-N -1 1 346.391 -0.995 20 0 EBADMM COC(=O)COCCNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000600401226 582756018 /nfs/dbraw/zinc/75/60/18/582756018.db2.gz APGOZMRSUYONCG-UHFFFAOYSA-N -1 1 336.348 -0.100 20 0 EBADMM CC[C@H](C)[C@H](C(=O)[O-])N1CCN(S(=O)(=O)N2CCOCC2)CC1 ZINC000903594829 582814043 /nfs/dbraw/zinc/81/40/43/582814043.db2.gz HBDXIMYJOVZRPH-QWHCGFSZSA-N -1 1 349.453 -0.320 20 0 EBADMM NC(=O)NC[C@H]1CCCCN1C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000737760215 582824481 /nfs/dbraw/zinc/82/44/81/582824481.db2.gz XLYWEAPSWQMKRH-SNVBAGLBSA-N -1 1 330.352 -0.075 20 0 EBADMM NC(=O)NC[C@H]1CCCCN1C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000737760215 582824483 /nfs/dbraw/zinc/82/44/83/582824483.db2.gz XLYWEAPSWQMKRH-SNVBAGLBSA-N -1 1 330.352 -0.075 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)Nc1nc(-c2ccccn2)n[nH]1 ZINC000730535178 582829271 /nfs/dbraw/zinc/82/92/71/582829271.db2.gz HYCIZINNIYNQSX-UHFFFAOYSA-N -1 1 337.303 -0.110 20 0 EBADMM COC(=O)COc1ccc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cc1 ZINC000732090010 582844154 /nfs/dbraw/zinc/84/41/54/582844154.db2.gz FXYAEVIAGDCAAQ-GFCCVEGCSA-N -1 1 347.331 -0.035 20 0 EBADMM CNS(=O)(=O)c1ccc(Cl)c(C(=O)NCc2nn[n-]n2)c1 ZINC000732157597 582845346 /nfs/dbraw/zinc/84/53/46/582845346.db2.gz AJLPJKKDJFSDQG-UHFFFAOYSA-N -1 1 330.757 -0.309 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000732579860 582852295 /nfs/dbraw/zinc/85/22/95/582852295.db2.gz NLMINHDLLUIBJN-MRVPVSSYSA-N -1 1 348.281 -0.794 20 0 EBADMM CC(C)CNC(=O)NC(=O)COC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000735835316 582908144 /nfs/dbraw/zinc/90/81/44/582908144.db2.gz GSDVFWMUUPWCGL-UHFFFAOYSA-N -1 1 347.335 -0.100 20 0 EBADMM CC(C)CNC(=O)NC(=O)COC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000735835316 582908146 /nfs/dbraw/zinc/90/81/46/582908146.db2.gz GSDVFWMUUPWCGL-UHFFFAOYSA-N -1 1 347.335 -0.100 20 0 EBADMM CC(C)OC1CCN(c2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)CC1 ZINC000735894062 582909533 /nfs/dbraw/zinc/90/95/33/582909533.db2.gz CEHUXBOFEQRSOF-UHFFFAOYSA-N -1 1 349.395 -0.342 20 0 EBADMM CC(=O)N1CCN([C@@H]2CN(CC(=O)NCCC(=O)[O-])C[C@H]2C)CC1 ZINC000736134898 582919855 /nfs/dbraw/zinc/91/98/55/582919855.db2.gz SWXKSPNZNIGADZ-TZMCWYRMSA-N -1 1 340.424 -0.938 20 0 EBADMM CC(C)[C@H](NC(=O)[O-])C(=O)N1CCO[C@@H](CN2CCN(C)CC2)C1 ZINC000736362044 582931396 /nfs/dbraw/zinc/93/13/96/582931396.db2.gz FHUNIESMQCROBX-KBPBESRZSA-N -1 1 342.440 -0.247 20 0 EBADMM CC(C)C[C@H](NC(=O)CN1CCN(CC(C)(C)O)CC1)C(=O)[O-] ZINC000736409876 582933593 /nfs/dbraw/zinc/93/35/93/582933593.db2.gz AHRYFDUXBVTJMN-ZDUSSCGKSA-N -1 1 329.441 -0.010 20 0 EBADMM CC(C)C[C@H](NC(=O)CN1CCN(C[C@H](C)O)[C@H](C)C1)C(=O)[O-] ZINC000736411466 582933957 /nfs/dbraw/zinc/93/39/57/582933957.db2.gz HATXVMMIDAEVCP-RDBSUJKOSA-N -1 1 329.441 -0.011 20 0 EBADMM CC(C)N1CCO[C@H](CN2CCN(C(=O)CN(C)C(=O)[O-])CC2)C1 ZINC000736474558 582935475 /nfs/dbraw/zinc/93/54/75/582935475.db2.gz MLMRUNOPOAVZHP-CQSZACIVSA-N -1 1 342.440 -0.150 20 0 EBADMM C[C@H](NC(=O)c1n[nH]c2ccccc21)C(=O)OCC(=O)NCC(=O)[O-] ZINC000736653315 582948554 /nfs/dbraw/zinc/94/85/54/582948554.db2.gz YXSFRTUJWKMJFA-QMMMGPOBSA-N -1 1 348.315 -0.575 20 0 EBADMM C[C@@H](O)CN1CCN(CC(=O)N2CCC(C(=O)[O-])CC2)C[C@@H]1C ZINC000736675152 582949953 /nfs/dbraw/zinc/94/99/53/582949953.db2.gz BXJVTLSHVFBYEG-QWHCGFSZSA-N -1 1 327.425 -0.304 20 0 EBADMM CN(C)C(=O)CN1CCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000736747520 582952745 /nfs/dbraw/zinc/95/27/45/582952745.db2.gz PZDRQMVRFKTMQI-UHFFFAOYSA-N -1 1 344.379 -0.892 20 0 EBADMM CN(C)C(=O)CN1CCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)CC1 ZINC000736747520 582952746 /nfs/dbraw/zinc/95/27/46/582952746.db2.gz PZDRQMVRFKTMQI-UHFFFAOYSA-N -1 1 344.379 -0.892 20 0 EBADMM CN([C@@H]1CCN(C)C1=O)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736851146 582962421 /nfs/dbraw/zinc/96/24/21/582962421.db2.gz FLALHVZJOIUMMY-SNVBAGLBSA-N -1 1 337.365 -0.887 20 0 EBADMM CN([C@@H]1CCN(C)C1=O)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736851146 582962423 /nfs/dbraw/zinc/96/24/23/582962423.db2.gz FLALHVZJOIUMMY-SNVBAGLBSA-N -1 1 337.365 -0.887 20 0 EBADMM C[N@@H+]1CC[C@H](NS(=O)(=O)c2ccc(F)c(-c3nn[nH]n3)c2)C1 ZINC000736856195 582963276 /nfs/dbraw/zinc/96/32/76/582963276.db2.gz NZIVRRDBYXDZLP-QMMMGPOBSA-N -1 1 326.357 -0.012 20 0 EBADMM C[N@H+]1CC[C@H](NS(=O)(=O)c2ccc(F)c(-c3nn[nH]n3)c2)C1 ZINC000736856195 582963279 /nfs/dbraw/zinc/96/32/79/582963279.db2.gz NZIVRRDBYXDZLP-QMMMGPOBSA-N -1 1 326.357 -0.012 20 0 EBADMM C[NH+]1CCN(C(=O)CCNC(=O)c2sccc2-c2nn[nH]n2)CC1 ZINC000736856508 582963562 /nfs/dbraw/zinc/96/35/62/582963562.db2.gz JNSHUEUYADTMRK-UHFFFAOYSA-N -1 1 349.420 -0.178 20 0 EBADMM CC[C@H](C)[C@H](NC(=O)CN1CCN(C[C@@H](C)O)[C@@H](C)C1)C(=O)[O-] ZINC000736886945 582969800 /nfs/dbraw/zinc/96/98/00/582969800.db2.gz QGPROAMYDIMUMR-XPCVCDNBSA-N -1 1 329.441 -0.011 20 0 EBADMM COC(=O)CCCN(C)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736913882 582972244 /nfs/dbraw/zinc/97/22/44/582972244.db2.gz ZNKOBNNRIMDLTR-UHFFFAOYSA-N -1 1 340.365 -0.165 20 0 EBADMM COC(=O)CCCN(C)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736913882 582972245 /nfs/dbraw/zinc/97/22/45/582972245.db2.gz ZNKOBNNRIMDLTR-UHFFFAOYSA-N -1 1 340.365 -0.165 20 0 EBADMM COC(=O)CNC(=O)[C@@H](C)OC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736931402 582976017 /nfs/dbraw/zinc/97/60/17/582976017.db2.gz NRDCDPZJUHYCIU-SSDOTTSWSA-N -1 1 334.292 -0.904 20 0 EBADMM COC(=O)CNC(=O)[C@@H](C)OC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736931402 582976019 /nfs/dbraw/zinc/97/60/19/582976019.db2.gz NRDCDPZJUHYCIU-SSDOTTSWSA-N -1 1 334.292 -0.904 20 0 EBADMM COC(=O)c1ncn(Cc2cc(=O)n3ncc(-c4nn[n-]n4)c3[nH]2)n1 ZINC000736956677 582977478 /nfs/dbraw/zinc/97/74/78/582977478.db2.gz IEJTZERDBSDEMV-UHFFFAOYSA-N -1 1 342.279 -0.959 20 0 EBADMM COC[C@H]1CCCN(c2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)C1 ZINC000736971119 582978520 /nfs/dbraw/zinc/97/85/20/582978520.db2.gz HGFSJXWECAYVOM-VIFPVBQESA-N -1 1 335.368 -0.873 20 0 EBADMM COCCN(CCOC)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736995248 582980917 /nfs/dbraw/zinc/98/09/17/582980917.db2.gz PVDUWXTZNXUPDN-UHFFFAOYSA-N -1 1 342.381 -0.455 20 0 EBADMM COCCN(CCOC)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736995248 582980918 /nfs/dbraw/zinc/98/09/18/582980918.db2.gz PVDUWXTZNXUPDN-UHFFFAOYSA-N -1 1 342.381 -0.455 20 0 EBADMM CS(=O)(=O)NC[C@@H]1CCCN(c2nccnc2-c2nnn[n-]2)C1 ZINC000737168721 582994927 /nfs/dbraw/zinc/99/49/27/582994927.db2.gz KAYUNYSXODUICF-VIFPVBQESA-N -1 1 338.397 -0.578 20 0 EBADMM CS(=O)(=O)NC[C@@H]1CCCN(c2nccnc2-c2nn[n-]n2)C1 ZINC000737168721 582994930 /nfs/dbraw/zinc/99/49/30/582994930.db2.gz KAYUNYSXODUICF-VIFPVBQESA-N -1 1 338.397 -0.578 20 0 EBADMM CN(CC(=O)[O-])C(=O)CCN1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC000737401816 583007421 /nfs/dbraw/zinc/00/74/21/583007421.db2.gz XFXRCQKGWYREPK-UHFFFAOYSA-N -1 1 349.391 -0.764 20 0 EBADMM CN1CCN(C[C@@H]2CN(C(=O)C(C)(C)NC(=O)[O-])CCO2)CC1 ZINC000737526841 583012220 /nfs/dbraw/zinc/01/22/20/583012220.db2.gz XNBFGCQYLFZBRB-GFCCVEGCSA-N -1 1 328.413 -0.493 20 0 EBADMM CN1CCN(C[C@@H]2CN(C(=O)CCSCC(=O)[O-])CCO2)CC1 ZINC000737525960 583012271 /nfs/dbraw/zinc/01/22/71/583012271.db2.gz IXVSVTAZCAPGHJ-CYBMUJFWSA-N -1 1 345.465 -0.331 20 0 EBADMM CN1CCN(C)[C@H](CNC(=O)C(=O)Nc2cccc(C(=O)[O-])c2)C1 ZINC000737525953 583012370 /nfs/dbraw/zinc/01/23/70/583012370.db2.gz IQRQULPPHFNEIB-CYBMUJFWSA-N -1 1 334.376 -0.315 20 0 EBADMM Cc1noc(C)c1CCNc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000737535731 583013159 /nfs/dbraw/zinc/01/31/59/583013159.db2.gz APAWSPACECHYAJ-UHFFFAOYSA-N -1 1 346.351 -0.476 20 0 EBADMM Cc1oc(NC(=O)COC(=O)[C@H]2CNC(=O)N2)c(-c2nn[n-]n2)c1C ZINC000737551845 583014920 /nfs/dbraw/zinc/01/49/20/583014920.db2.gz JRTSODDJJUISMV-SSDOTTSWSA-N -1 1 349.307 -0.760 20 0 EBADMM COC(=O)[C@H]1CN(CCCCN2CCO[C@H](C(=O)[O-])C2)CCO1 ZINC000737586699 583017403 /nfs/dbraw/zinc/01/74/03/583017403.db2.gz DSOAXXCULJWRMG-QWHCGFSZSA-N -1 1 330.381 -0.574 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N[C@@H]2[C@@H]3CCO[C@@H]3C2(C)C)n(C)c1=O ZINC000737597099 583018852 /nfs/dbraw/zinc/01/88/52/583018852.db2.gz PSPMCIDQEXSDLN-SFGNSQDASA-N -1 1 347.379 -0.511 20 0 EBADMM O=C(NN1CC(=O)[N-]C1=O)[C@@H]1CC(=O)N(CCc2ccc(F)cc2)C1 ZINC000839469999 583067146 /nfs/dbraw/zinc/06/71/46/583067146.db2.gz PLXWDXPUGOKPNF-LLVKDONJSA-N -1 1 348.334 -0.200 20 0 EBADMM O=C([C@@H]1CC(=O)N(c2cn[nH]c2)C1)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000788147872 583067415 /nfs/dbraw/zinc/06/74/15/583067415.db2.gz JXJLVVTZBCCOOU-PSASIEDQSA-N -1 1 348.392 -0.408 20 0 EBADMM CS(=O)(=O)[N-]c1ccsc1C(=O)OCCCS(N)(=O)=O ZINC000744796921 583093495 /nfs/dbraw/zinc/09/34/95/583093495.db2.gz XGFZCUVVRGZOEQ-UHFFFAOYSA-N -1 1 342.420 -0.045 20 0 EBADMM CCCC1N=NC(=S)N1CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000747343293 583112963 /nfs/dbraw/zinc/11/29/63/583112963.db2.gz QNNKNQSEBQDQNN-UHFFFAOYSA-N -1 1 345.410 -0.320 20 0 EBADMM CCCC1N=NC(=S)N1CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000747343293 583112965 /nfs/dbraw/zinc/11/29/65/583112965.db2.gz QNNKNQSEBQDQNN-UHFFFAOYSA-N -1 1 345.410 -0.320 20 0 EBADMM O=C([C@@H]1CCCN1c1ncccn1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000747386519 583113177 /nfs/dbraw/zinc/11/31/77/583113177.db2.gz PHROGNSUGLGNRU-QWRGUYRKSA-N -1 1 330.352 -0.441 20 0 EBADMM CN(C)S(=O)(=O)CCC(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000753879297 583164194 /nfs/dbraw/zinc/16/41/94/583164194.db2.gz RPYUWTDAIODOTA-UHFFFAOYSA-N -1 1 342.373 -0.232 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]C2CCN(CC(N)=O)CC2)c1 ZINC000753996035 583165147 /nfs/dbraw/zinc/16/51/47/583165147.db2.gz XZEAXTWUZCGJJR-UHFFFAOYSA-N -1 1 345.377 -0.706 20 0 EBADMM CO[C@@H]1CC[C@H]2OCCN(C(=O)CCn3cc[n-]c(=O)c3=O)[C@H]2C1 ZINC000754881173 583171789 /nfs/dbraw/zinc/17/17/89/583171789.db2.gz USIBVHDPUXLLQP-FRRDWIJNSA-N -1 1 337.376 -0.278 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCOC[C@H]1[C@@H]1CCCCC1=O ZINC000759984545 583220021 /nfs/dbraw/zinc/22/00/21/583220021.db2.gz CVJWWSNIXMJVMA-STQMWFEESA-N -1 1 349.387 -0.087 20 0 EBADMM CCC1(CC)NC(=O)N(CC(=O)OCc2nc(=O)n(C)[n-]2)C1=O ZINC000765392350 583280691 /nfs/dbraw/zinc/28/06/91/583280691.db2.gz QBHXLKTUJHGZPJ-UHFFFAOYSA-N -1 1 325.325 -0.738 20 0 EBADMM C[C@H](NC(=O)c1[nH]nc2ccccc21)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765462335 583283040 /nfs/dbraw/zinc/28/30/40/583283040.db2.gz APTLNEMKOOBAHV-QMMMGPOBSA-N -1 1 344.331 -0.154 20 0 EBADMM CC1CCC(NS(=O)(=O)CC(=O)OCc2nc(=O)n(C)[n-]2)CC1 ZINC000765473656 583283106 /nfs/dbraw/zinc/28/31/06/583283106.db2.gz NPHRISIITWGAJZ-UHFFFAOYSA-N -1 1 346.409 -0.350 20 0 EBADMM CC(C)NS(=O)(=O)c1c[nH]c(C(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000765496305 583283774 /nfs/dbraw/zinc/28/37/74/583283774.db2.gz NAQRKPUVAOKPKE-UHFFFAOYSA-N -1 1 343.365 -0.520 20 0 EBADMM CC(C)[C@@H](C(=O)OCc1nc(=O)n(C)[n-]1)N1CCCS1(=O)=O ZINC000765503687 583283940 /nfs/dbraw/zinc/28/39/40/583283940.db2.gz UONYQVOKSBLVSQ-JTQLQIEISA-N -1 1 332.382 -0.788 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)Nc2ccc(F)nc2)o1 ZINC000766748996 583296225 /nfs/dbraw/zinc/29/62/25/583296225.db2.gz QVWHILLSMFCMPJ-UHFFFAOYSA-N -1 1 342.308 -0.170 20 0 EBADMM COc1cc(C(=O)N(C)C)ccc1NC(=O)NN1CC(=O)[N-]C1=O ZINC000770543718 583339226 /nfs/dbraw/zinc/33/92/26/583339226.db2.gz FAOGUAIAIGCOFR-UHFFFAOYSA-N -1 1 335.320 -0.015 20 0 EBADMM C[C@@H](OC(=O)c1cn[n-]n1)C(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000805604652 583420183 /nfs/dbraw/zinc/42/01/83/583420183.db2.gz NSICXWUKDXKPDG-SSDOTTSWSA-N -1 1 339.333 -0.364 20 0 EBADMM O=C(COC(=O)c1cn[n-]n1)Nc1cc(-n2cnnn2)ccc1F ZINC000805606454 583421036 /nfs/dbraw/zinc/42/10/36/583421036.db2.gz XOFRNFSESCARPA-UHFFFAOYSA-N -1 1 332.255 -0.285 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H](O)[C@@H](O)c1nc2ccccc2[nH]1)c1nn[n-]n1 ZINC000779838225 583441567 /nfs/dbraw/zinc/44/15/67/583441567.db2.gz CEWQBFDCWTZAHZ-FXAINCCUSA-N -1 1 345.363 -0.268 20 0 EBADMM CC(C)CN1CCO[C@@H](CNC(=O)N2CCOC[C@@H]2C(=O)[O-])C1 ZINC000780361263 583448542 /nfs/dbraw/zinc/44/85/42/583448542.db2.gz VVEUFTOJELDLDJ-QWHCGFSZSA-N -1 1 329.397 -0.162 20 0 EBADMM CSCCO[N-]C(=O)C(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000785410979 583497439 /nfs/dbraw/zinc/49/74/39/583497439.db2.gz GNLZALQEEYCGRR-LBPRGKRZSA-N -1 1 333.454 -0.130 20 0 EBADMM COCC[C@H](C)OC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000786197230 583506938 /nfs/dbraw/zinc/50/69/38/583506938.db2.gz LNOGETCEVGNRPU-QMMMGPOBSA-N -1 1 334.350 -0.375 20 0 EBADMM CC(C)(O)CCOC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000786198919 583506976 /nfs/dbraw/zinc/50/69/76/583506976.db2.gz ABXTVZVMSVABFE-UHFFFAOYSA-N -1 1 334.350 -0.639 20 0 EBADMM Cc1cc(COC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)on1 ZINC000786196673 583507073 /nfs/dbraw/zinc/50/70/73/583507073.db2.gz HNKWVVJUSSZYRN-UHFFFAOYSA-N -1 1 343.317 -0.303 20 0 EBADMM COC(=O)[C@@H]1[C@@H]2CCC[C@@H]2CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000786234944 583507379 /nfs/dbraw/zinc/50/73/79/583507379.db2.gz ZYHYLOHZKGXCFO-WZRBSPASSA-N -1 1 335.360 -0.273 20 0 EBADMM COC(=O)CNC(=O)[C@H](C)OC(=O)c1cc(=O)[n-]c(N(C)C)n1 ZINC000786494077 583510514 /nfs/dbraw/zinc/51/05/14/583510514.db2.gz PJJFBPLYYJZVOD-ZETCQYMHSA-N -1 1 326.309 -0.917 20 0 EBADMM CC(C)[C@H]([N-]S(=O)(=O)CCS(C)(=O)=O)[C@H](O)C(F)(F)F ZINC000786909976 583514490 /nfs/dbraw/zinc/51/44/90/583514490.db2.gz NMRJOOSSEAFQOF-YUMQZZPRSA-N -1 1 341.373 -0.102 20 0 EBADMM CN1C[C@@H](C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c2ccccc2C1=O ZINC000787338202 583518135 /nfs/dbraw/zinc/51/81/35/583518135.db2.gz XGNZXMVVMSOKBX-CHWSQXEVSA-N -1 1 342.359 -0.031 20 0 EBADMM NC(=O)COc1ccc(C[N@H+]2CCC[C@]3(C2)NC(=O)NC3=O)cc1 ZINC000789379912 583536935 /nfs/dbraw/zinc/53/69/35/583536935.db2.gz STYQZBNNNUKBFL-MRXNPFEDSA-N -1 1 332.360 -0.275 20 0 EBADMM COc1cc(F)c(S(=O)(=O)[N-]C[C@H]2CC(C(N)=O)=NO2)c(F)c1 ZINC000795174274 583588207 /nfs/dbraw/zinc/58/82/07/583588207.db2.gz JZQJFBPGTWWXQR-SSDOTTSWSA-N -1 1 349.315 -0.118 20 0 EBADMM C[C@@H](O)CCCOC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000795634826 583592578 /nfs/dbraw/zinc/59/25/78/583592578.db2.gz HKONMLDHYVODRW-MRVPVSSYSA-N -1 1 334.350 -0.639 20 0 EBADMM COC(=O)c1ccc(C(=O)OCC(=O)NCC(=O)N2CCCC2)[n-]1 ZINC000796343849 583595703 /nfs/dbraw/zinc/59/57/03/583595703.db2.gz GIECMXUAZCFUNF-UHFFFAOYSA-N -1 1 337.332 -0.303 20 0 EBADMM CC(C)[C@@H](C(=O)[N-]S(=O)(=O)c1cc(C(N)=O)n(C)c1)N(C)C ZINC000798813871 583607810 /nfs/dbraw/zinc/60/78/10/583607810.db2.gz LOTSJTCCDHUOAZ-NSHDSACASA-N -1 1 330.410 -0.485 20 0 EBADMM COCCO[C@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000801106179 583617973 /nfs/dbraw/zinc/61/79/73/583617973.db2.gz ZVOIXTISQDZABN-LBPRGKRZSA-N -1 1 325.365 -0.419 20 0 EBADMM CC(=O)NCC(=O)N1CCC[C@H]1C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000801703259 583621826 /nfs/dbraw/zinc/62/18/26/583621826.db2.gz LKEPUNLEGKERSB-ZDUSSCGKSA-N -1 1 344.375 -0.593 20 0 EBADMM C[C@H]1C[C@H](N2CCOCC2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000804439942 583644881 /nfs/dbraw/zinc/64/48/81/583644881.db2.gz NZYZMZIMDSRFKH-STQMWFEESA-N -1 1 336.392 -0.752 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)NCCN3CCCS3(=O)=O)ccnc1-2 ZINC000806492912 583650717 /nfs/dbraw/zinc/65/07/17/583650717.db2.gz PUSCCFMBVPWHFK-UHFFFAOYSA-N -1 1 338.393 -0.501 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCCOC[C@H]3CCCO3)ccnc1-2 ZINC000806899862 583655346 /nfs/dbraw/zinc/65/53/46/583655346.db2.gz OQNFAVLFQQEAQJ-LLVKDONJSA-N -1 1 347.375 -0.408 20 0 EBADMM C[C@@H]1[C@H](C)[S@](=O)CCN1C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806906874 583655680 /nfs/dbraw/zinc/65/56/80/583655680.db2.gz JMXGTKMJGJDPCR-MTROIWHKSA-N -1 1 349.416 -0.352 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCC[C@]34CCOC4=O)ccnc1-2 ZINC000807026607 583656982 /nfs/dbraw/zinc/65/69/82/583656982.db2.gz BYSNCXFWRDCHNE-MRXNPFEDSA-N -1 1 343.343 -0.412 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CC(F)(F)C[C@H]3CO)ccnc1-2 ZINC000807024850 583657002 /nfs/dbraw/zinc/65/70/02/583657002.db2.gz OKDVMRIYACONKW-QMMMGPOBSA-N -1 1 339.302 -0.491 20 0 EBADMM Cc1cc(N(C)C)cc(C)c1NC(=O)C(=O)NN1CC(=O)[N-]C1=O ZINC000807062330 583657609 /nfs/dbraw/zinc/65/76/09/583657609.db2.gz UCAXREVHDJTZHY-UHFFFAOYSA-N -1 1 333.348 -0.109 20 0 EBADMM CC1CCN(S(=O)(=O)NNc2nc(=O)c3cnn(C)c3[n-]2)CC1 ZINC000808091787 583665934 /nfs/dbraw/zinc/66/59/34/583665934.db2.gz KFCRFPFXDHDLLR-UHFFFAOYSA-N -1 1 341.397 -0.038 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)NCCCn3cc(CO)nn3)ccnc1-2 ZINC000809893232 583681197 /nfs/dbraw/zinc/68/11/97/583681197.db2.gz KEPKYKXGCREYKB-UHFFFAOYSA-N -1 1 330.352 -0.363 20 0 EBADMM O=C([N-]OC/C=C/Cl)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000812399376 583695483 /nfs/dbraw/zinc/69/54/83/583695483.db2.gz NRDJRTSGSLIUMC-AOXPZSFVSA-N -1 1 336.797 -0.178 20 0 EBADMM O=C([O-])[C@H]1CN(C(=O)CCN2CCN(c3ccccn3)CC2)CCO1 ZINC000819299775 583757224 /nfs/dbraw/zinc/75/72/24/583757224.db2.gz YQHCAVXTJMRGMF-CQSZACIVSA-N -1 1 348.403 -0.094 20 0 EBADMM C[C@@H](C(=O)OC(C)(C)C)N(C)C(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000819875961 583762359 /nfs/dbraw/zinc/76/23/59/583762359.db2.gz COZPNHHBGKIFHO-QMMMGPOBSA-N -1 1 336.356 -0.353 20 0 EBADMM CC(C)(C)[C@H](Cn1ccnc1)NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000819898932 583762871 /nfs/dbraw/zinc/76/28/71/583762871.db2.gz KZZWCJLCUJJNNY-JTQLQIEISA-N -1 1 344.383 -0.114 20 0 EBADMM CC(C)C(=O)N[C@H]1CCCN(C(=O)Cn2cnc(-c3nn[n-]n3)n2)C1 ZINC000820011367 583764871 /nfs/dbraw/zinc/76/48/71/583764871.db2.gz KHIDNVVISPKSRM-JTQLQIEISA-N -1 1 347.383 -0.779 20 0 EBADMM C[C@H](CS(C)(=O)=O)N(C)C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820292816 583770879 /nfs/dbraw/zinc/77/08/79/583770879.db2.gz HOVKWJCKEUXRAI-SSDOTTSWSA-N -1 1 342.385 -0.503 20 0 EBADMM C[C@H](CS(C)(=O)=O)N(C)C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820292816 583770881 /nfs/dbraw/zinc/77/08/81/583770881.db2.gz HOVKWJCKEUXRAI-SSDOTTSWSA-N -1 1 342.385 -0.503 20 0 EBADMM C[C@H](Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C)C1CCOCC1 ZINC000820451262 583773350 /nfs/dbraw/zinc/77/33/50/583773350.db2.gz UEPDQVTVXIPOLY-QMMMGPOBSA-N -1 1 335.368 -0.509 20 0 EBADMM CC1(C)[C@H](NC(=O)Cn2cnc(-c3nn[n-]n3)n2)[C@@H]2CCCO[C@@H]21 ZINC000820583856 583775858 /nfs/dbraw/zinc/77/58/58/583775858.db2.gz GAHNJUONIQRYPQ-GDPRMGEGSA-N -1 1 332.368 -0.222 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@@H]2CCC[N@@H+]2CC(=O)[O-])co1 ZINC000820641025 583776699 /nfs/dbraw/zinc/77/66/99/583776699.db2.gz JJTWBLRTCBGBJP-JTQLQIEISA-N -1 1 346.361 -0.107 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OC[C@@H]2CCC[N@H+]2CC(=O)[O-])co1 ZINC000820641025 583776701 /nfs/dbraw/zinc/77/67/01/583776701.db2.gz JJTWBLRTCBGBJP-JTQLQIEISA-N -1 1 346.361 -0.107 20 0 EBADMM C[C@@H]1CCN(C(=O)Cn2cnc(-c3nn[n-]n3)n2)C[C@@H]1n1ccnc1 ZINC000820717832 583778365 /nfs/dbraw/zinc/77/83/65/583778365.db2.gz XNJLUUGCOUHSPK-MNOVXSKESA-N -1 1 342.367 -0.236 20 0 EBADMM C[C@H]1CN(c2nccnc2-c2nnn[n-]2)CCN1C(=O)c1cn[nH]c1 ZINC000820788370 583779947 /nfs/dbraw/zinc/77/99/47/583779947.db2.gz FIWQRVGKOSVKAK-VIFPVBQESA-N -1 1 340.351 -0.269 20 0 EBADMM C[C@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1C(=O)c1cn[nH]c1 ZINC000820788370 583779949 /nfs/dbraw/zinc/77/99/49/583779949.db2.gz FIWQRVGKOSVKAK-VIFPVBQESA-N -1 1 340.351 -0.269 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1C(=O)c1c[nH]cn1 ZINC000820788843 583779959 /nfs/dbraw/zinc/77/99/59/583779959.db2.gz PGBGKXUXDGKEHN-SECBINFHSA-N -1 1 340.351 -0.269 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nnn[n-]2)CCN1C(=O)c1cocn1 ZINC000820788903 583780022 /nfs/dbraw/zinc/78/00/22/583780022.db2.gz RACBWMYBBLQPER-SECBINFHSA-N -1 1 341.335 -0.004 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1C(=O)c1cocn1 ZINC000820788903 583780025 /nfs/dbraw/zinc/78/00/25/583780025.db2.gz RACBWMYBBLQPER-SECBINFHSA-N -1 1 341.335 -0.004 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nnn[n-]2)CCN1C(=O)c1ccon1 ZINC000820788561 583780327 /nfs/dbraw/zinc/78/03/27/583780327.db2.gz JPDDIJAXIZUOFD-SECBINFHSA-N -1 1 341.335 -0.004 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1C(=O)c1ccon1 ZINC000820788561 583780328 /nfs/dbraw/zinc/78/03/28/583780328.db2.gz JPDDIJAXIZUOFD-SECBINFHSA-N -1 1 341.335 -0.004 20 0 EBADMM CCC1(CNc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)CCOCC1 ZINC000820961850 583783317 /nfs/dbraw/zinc/78/33/17/583783317.db2.gz DCPKTCIWRMLDFK-UHFFFAOYSA-N -1 1 349.395 -0.117 20 0 EBADMM Cc1cc(N2CCC[C@H](N3CCN(CC(=O)[O-])CC3)C2=O)n(C)n1 ZINC000820979744 583783357 /nfs/dbraw/zinc/78/33/57/583783357.db2.gz CSLPRUKFLRRIOZ-ZDUSSCGKSA-N -1 1 335.408 -0.074 20 0 EBADMM CCNC(=O)N1CC[C@H](NC(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC000821285490 583788093 /nfs/dbraw/zinc/78/80/93/583788093.db2.gz KKJJZSDTPHLOGI-JTQLQIEISA-N -1 1 330.352 -0.205 20 0 EBADMM CCNC(=O)N1CC[C@H](NC(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC000821285490 583788096 /nfs/dbraw/zinc/78/80/96/583788096.db2.gz KKJJZSDTPHLOGI-JTQLQIEISA-N -1 1 330.352 -0.205 20 0 EBADMM CCOC(=O)c1csc(NC(=O)Cn2cnc(-c3nn[n-]n3)n2)n1 ZINC000821346790 583789172 /nfs/dbraw/zinc/78/91/72/583789172.db2.gz PXIXKLSMUNDVGE-UHFFFAOYSA-N -1 1 349.336 -0.270 20 0 EBADMM CCOc1cccc(CCNC(=O)Cn2cnc(-c3nn[n-]n3)n2)n1 ZINC000821426691 583791248 /nfs/dbraw/zinc/79/12/48/583791248.db2.gz GEKFAYYAGDGYIO-UHFFFAOYSA-N -1 1 343.351 -0.389 20 0 EBADMM CCc1nncn1CCNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821555029 583792976 /nfs/dbraw/zinc/79/29/76/583792976.db2.gz ZDPJHBBYUMCVBN-UHFFFAOYSA-N -1 1 349.380 -0.606 20 0 EBADMM CCc1nncn1CCNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821555029 583792977 /nfs/dbraw/zinc/79/29/77/583792977.db2.gz ZDPJHBBYUMCVBN-UHFFFAOYSA-N -1 1 349.380 -0.606 20 0 EBADMM CCn1cc(-c2nn[n-]n2)c(=O)n(Cc2nc([C@@H](C)OC)no2)c1=O ZINC000821565354 583793047 /nfs/dbraw/zinc/79/30/47/583793047.db2.gz OZJRYYYWIQVMHU-SSDOTTSWSA-N -1 1 348.323 -0.651 20 0 EBADMM CN(C)c1cccc(CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)c1 ZINC000821590974 583794418 /nfs/dbraw/zinc/79/44/18/583794418.db2.gz OGNKXMPHGMEYRK-UHFFFAOYSA-N -1 1 327.352 -0.159 20 0 EBADMM CN(CCCNC(=O)c1ccc(-c2nnn[n-]2)s1)S(C)(=O)=O ZINC000821600628 583794926 /nfs/dbraw/zinc/79/49/26/583794926.db2.gz VWBGKTLLUCZXPB-UHFFFAOYSA-N -1 1 344.422 -0.061 20 0 EBADMM CN(CCCNC(=O)c1ccc(-c2nn[n-]n2)s1)S(C)(=O)=O ZINC000821600628 583794928 /nfs/dbraw/zinc/79/49/28/583794928.db2.gz VWBGKTLLUCZXPB-UHFFFAOYSA-N -1 1 344.422 -0.061 20 0 EBADMM COC(=O)[C@H]1CN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)CCO1 ZINC000821655689 583799250 /nfs/dbraw/zinc/79/92/50/583799250.db2.gz PYJRBFGDTDRJLQ-MRVPVSSYSA-N -1 1 336.312 -0.994 20 0 EBADMM COC(=O)[C@H]1CN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)CCO1 ZINC000821655689 583799252 /nfs/dbraw/zinc/79/92/52/583799252.db2.gz PYJRBFGDTDRJLQ-MRVPVSSYSA-N -1 1 336.312 -0.994 20 0 EBADMM COC(=O)CC1(NC(=O)Cn2cnc(-c3nn[n-]n3)n2)CCCCC1 ZINC000821658673 583799722 /nfs/dbraw/zinc/79/97/22/583799722.db2.gz DYUZERZXOPFVGH-UHFFFAOYSA-N -1 1 348.367 -0.160 20 0 EBADMM COC(=O)c1ccsc1NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821671369 583800398 /nfs/dbraw/zinc/80/03/98/583800398.db2.gz SXGUWRKNLXQSCQ-UHFFFAOYSA-N -1 1 334.321 -0.055 20 0 EBADMM CO[C@H](CNc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C)C(C)(C)C ZINC000821676689 583800456 /nfs/dbraw/zinc/80/04/56/583800456.db2.gz FAOGICMDPBPQOK-MRVPVSSYSA-N -1 1 337.384 -0.263 20 0 EBADMM COC[C@@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)c1ccc(C)o1 ZINC000821682620 583801163 /nfs/dbraw/zinc/80/11/63/583801163.db2.gz KEPHQICQWANETM-SECBINFHSA-N -1 1 332.324 -0.136 20 0 EBADMM COc1cc(CN(C)C(=O)Cn2cnc(-c3nn[n-]n3)n2)ccc1O ZINC000821713340 583802832 /nfs/dbraw/zinc/80/28/32/583802832.db2.gz VLQQGJVYMALGKL-UHFFFAOYSA-N -1 1 344.335 -0.169 20 0 EBADMM COc1ccc(CCNC(=O)Cn2cnc(-c3nn[n-]n3)n2)cc1F ZINC000821790480 583804322 /nfs/dbraw/zinc/80/43/22/583804322.db2.gz OTAPNPNWIREZAU-UHFFFAOYSA-N -1 1 346.326 -0.035 20 0 EBADMM Cc1nn(C)c(C)c1OCC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000822492286 583811354 /nfs/dbraw/zinc/81/13/54/583811354.db2.gz XBVWFDCWAXQDOF-UHFFFAOYSA-N -1 1 331.340 -0.032 20 0 EBADMM Cc1nn(C)c(C)c1OCC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000822492286 583811355 /nfs/dbraw/zinc/81/13/55/583811355.db2.gz XBVWFDCWAXQDOF-UHFFFAOYSA-N -1 1 331.340 -0.032 20 0 EBADMM NS(=O)(=O)[C@@H]1CC[N@@H+](CCOc2ccccc2-c2nn[nH]n2)C1 ZINC000822894935 583821060 /nfs/dbraw/zinc/82/10/60/583821060.db2.gz HVWGPDIXMNELNX-SNVBAGLBSA-N -1 1 338.393 -0.392 20 0 EBADMM NS(=O)(=O)[C@@H]1CCN(CCOc2ccccc2-c2nn[nH]n2)C1 ZINC000822894935 583821062 /nfs/dbraw/zinc/82/10/62/583821062.db2.gz HVWGPDIXMNELNX-SNVBAGLBSA-N -1 1 338.393 -0.392 20 0 EBADMM O=C(Cc1cccc(-c2nn[nH]n2)c1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000823092424 583823970 /nfs/dbraw/zinc/82/39/70/583823970.db2.gz FHMQVUDKJAZBDS-LLVKDONJSA-N -1 1 341.335 -0.478 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCC[C@H]1Cn1cccn1 ZINC000823122496 583824375 /nfs/dbraw/zinc/82/43/75/583824375.db2.gz CWOAOGOPQTXFDE-JTQLQIEISA-N -1 1 328.340 -0.654 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NC[C@@H]1CSCCS1 ZINC000823125540 583824825 /nfs/dbraw/zinc/82/48/25/583824825.db2.gz AFYUGFRJUPAQPP-SSDOTTSWSA-N -1 1 326.411 -0.577 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NC[C@@H](O)COc1ccccc1 ZINC000823126368 583824881 /nfs/dbraw/zinc/82/48/81/583824881.db2.gz JITXTOJIIIDIMY-SNVBAGLBSA-N -1 1 344.335 -0.986 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NC[C@H](CO)c1ccccc1 ZINC000823127133 583825014 /nfs/dbraw/zinc/82/50/14/583825014.db2.gz UJTHVAJAEJABND-LLVKDONJSA-N -1 1 328.336 -0.650 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCC(=O)OCc1ccccc1 ZINC000823126958 583825062 /nfs/dbraw/zinc/82/50/62/583825062.db2.gz OTOJGRNEOUFNBD-UHFFFAOYSA-N -1 1 342.319 -0.682 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCc1ccc2c(c1)CCO2 ZINC000823132030 583825447 /nfs/dbraw/zinc/82/54/47/583825447.db2.gz WCGJQMOCZJKDNG-UHFFFAOYSA-N -1 1 326.320 -0.291 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCCCc1ccc(O)cc1 ZINC000823131245 583825488 /nfs/dbraw/zinc/82/54/88/583825488.db2.gz DJGATXOBHNPKHD-UHFFFAOYSA-N -1 1 328.336 -0.087 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)Nc1ccc(-n2nccn2)cc1 ZINC000823131058 583825619 /nfs/dbraw/zinc/82/56/19/583825619.db2.gz BMEUCVGXWKBLSR-UHFFFAOYSA-N -1 1 337.307 -0.322 20 0 EBADMM O=S(=O)(N[C@H]1CCc2c[nH]nc2C1)c1ccc(-c2nn[n-]n2)nc1 ZINC000823572803 583833965 /nfs/dbraw/zinc/83/39/65/583833965.db2.gz NDAVDHWTNUWCAL-VIFPVBQESA-N -1 1 346.376 -0.179 20 0 EBADMM C[C@@H](C(=O)N1CCC(C(N)=O)CC1)N1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000823664498 583835312 /nfs/dbraw/zinc/83/53/12/583835312.db2.gz XNGQQUTXMDGIHV-CMPLNLGQSA-N -1 1 326.397 -0.217 20 0 EBADMM CC(=O)N1CC[C@H](NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)[C@@H](C)C1 ZINC000823973236 583839511 /nfs/dbraw/zinc/83/95/11/583839511.db2.gz JLVWOBJKRAZIAL-KWQFWETISA-N -1 1 347.383 -0.021 20 0 EBADMM CC(=O)N1CC[C@H](NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)[C@@H](C)C1 ZINC000823973236 583839513 /nfs/dbraw/zinc/83/95/13/583839513.db2.gz JLVWOBJKRAZIAL-KWQFWETISA-N -1 1 347.383 -0.021 20 0 EBADMM CC(C)[C@@H](O)CC(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000824198800 583842394 /nfs/dbraw/zinc/84/23/94/583842394.db2.gz FFIILWXOKFFNDE-JTQLQIEISA-N -1 1 336.400 -0.030 20 0 EBADMM CC(C)[C@@H](O)CC(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000824198800 583842395 /nfs/dbraw/zinc/84/23/95/583842395.db2.gz FFIILWXOKFFNDE-JTQLQIEISA-N -1 1 336.400 -0.030 20 0 EBADMM CC(C)C[C@@H](CO)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000824235566 583842672 /nfs/dbraw/zinc/84/26/72/583842672.db2.gz IOHSHXCBHPXWPD-VIFPVBQESA-N -1 1 326.382 -0.053 20 0 EBADMM CC(C)C[C@@H](CO)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000824235566 583842673 /nfs/dbraw/zinc/84/26/73/583842673.db2.gz IOHSHXCBHPXWPD-VIFPVBQESA-N -1 1 326.382 -0.053 20 0 EBADMM CC(C)C[C@H](NC(=O)[O-])C(=O)N1CCN(C(=O)CN(C)C)CC1 ZINC000824287206 583843269 /nfs/dbraw/zinc/84/32/69/583843269.db2.gz AYPKWQPHCZBBOX-LBPRGKRZSA-N -1 1 328.413 -0.099 20 0 EBADMM C[C@@H](CCO)C1(CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)CCC1 ZINC000824406323 583844991 /nfs/dbraw/zinc/84/49/91/583844991.db2.gz HKNIEWCZDOYHLL-JTQLQIEISA-N -1 1 334.384 -0.237 20 0 EBADMM C[C@H](CO)CCCNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000824436255 583846014 /nfs/dbraw/zinc/84/60/14/583846014.db2.gz RGOBVYPSAWONGM-VIFPVBQESA-N -1 1 326.382 -0.051 20 0 EBADMM C[C@H](CO)CCCNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000824436255 583846016 /nfs/dbraw/zinc/84/60/16/583846016.db2.gz RGOBVYPSAWONGM-VIFPVBQESA-N -1 1 326.382 -0.051 20 0 EBADMM C[C@@H](O)[C@H](NC(=O)C1CC1)C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000824680207 583848788 /nfs/dbraw/zinc/84/87/88/583848788.db2.gz ZCFGKQNXXRDMGQ-KRTXAFLBSA-N -1 1 346.347 -0.214 20 0 EBADMM C[C@@H](CNC(=O)C[C@@H]1CN(C(=O)[O-])CCO1)N1CCN(C)CC1 ZINC000824922726 583852277 /nfs/dbraw/zinc/85/22/77/583852277.db2.gz SDQSGXARNLSKCW-QWHCGFSZSA-N -1 1 328.413 -0.493 20 0 EBADMM CCCCNC(=O)CN1CCN(c2ccc(-c3nnn[n-]3)nn2)CC1 ZINC000825285285 583857250 /nfs/dbraw/zinc/85/72/50/583857250.db2.gz PABWXKDATPZVTQ-UHFFFAOYSA-N -1 1 345.411 -0.305 20 0 EBADMM CCCCNC(=O)CN1CCN(c2ccc(-c3nn[n-]n3)nn2)CC1 ZINC000825285285 583857252 /nfs/dbraw/zinc/85/72/52/583857252.db2.gz PABWXKDATPZVTQ-UHFFFAOYSA-N -1 1 345.411 -0.305 20 0 EBADMM CCO[C@@H]1C[C@H]1C(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000825583817 583863523 /nfs/dbraw/zinc/86/35/23/583863523.db2.gz XQMOXTSQFSDCHP-PSASIEDQSA-N -1 1 334.384 -0.012 20 0 EBADMM CCO[C@@H]1C[C@H]1C(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000825583817 583863525 /nfs/dbraw/zinc/86/35/25/583863525.db2.gz XQMOXTSQFSDCHP-PSASIEDQSA-N -1 1 334.384 -0.012 20 0 EBADMM CCO[C@H](C)c1noc(Cn2c(=O)c(-c3nn[n-]n3)cn(C)c2=O)n1 ZINC000825577088 583863533 /nfs/dbraw/zinc/86/35/33/583863533.db2.gz SNLDWHLPUWEOOG-SSDOTTSWSA-N -1 1 348.323 -0.744 20 0 EBADMM CCOCCC1(CNc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)CC1 ZINC000825589561 583863736 /nfs/dbraw/zinc/86/37/36/583863736.db2.gz GUSLFSLLSKNHLS-UHFFFAOYSA-N -1 1 349.395 -0.117 20 0 EBADMM CCOc1ccc(NC(=O)Cn2cnc(-c3nn[n-]n3)n2)cc1CO ZINC000825643401 583864798 /nfs/dbraw/zinc/86/47/98/583864798.db2.gz NQDDRQQUIWTQAL-UHFFFAOYSA-N -1 1 344.335 -0.012 20 0 EBADMM CC(=O)N1CCN(C(=O)[C@@H](C)N2CCSC[C@H]2CC(=O)[O-])CC1 ZINC000825839737 583867861 /nfs/dbraw/zinc/86/78/61/583867861.db2.gz GNGPRNGKRVJXIG-DGCLKSJQSA-N -1 1 343.449 -0.042 20 0 EBADMM CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)c2ccc(-c3nnn[n-]3)nc2)O1 ZINC000825840812 583868102 /nfs/dbraw/zinc/86/81/02/583868102.db2.gz QVSWGABGYGEOFI-JQWIXIFHSA-N -1 1 345.363 -0.373 20 0 EBADMM CN(C)C(=O)[C@@H]1CC[C@@H](CNC(=O)c2ccc(-c3nn[n-]n3)nc2)O1 ZINC000825840812 583868105 /nfs/dbraw/zinc/86/81/05/583868105.db2.gz QVSWGABGYGEOFI-JQWIXIFHSA-N -1 1 345.363 -0.373 20 0 EBADMM CN([C@@H]1CCNC1=O)S(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000825888851 583869859 /nfs/dbraw/zinc/86/98/59/583869859.db2.gz WQHWKNJFPSHASU-SECBINFHSA-N -1 1 340.340 -0.485 20 0 EBADMM CN1CC[C@H](NS(=O)(=O)c2ccc(F)cc2-c2nn[n-]n2)C1=O ZINC000826028965 583874680 /nfs/dbraw/zinc/87/46/80/583874680.db2.gz LGGMXSKISUXKFX-VIFPVBQESA-N -1 1 340.340 -0.485 20 0 EBADMM C[C@H](C(=O)c1c(N)n(C)c(=O)n(C)c1=O)N1CC[C@](C)(C(=O)[O-])C1 ZINC000826070846 583876935 /nfs/dbraw/zinc/87/69/35/583876935.db2.gz FTORXCMUWIHFLF-GLEZIHRCSA-N -1 1 338.364 -0.966 20 0 EBADMM COC(=O)CN(C)S(=O)(=O)c1ccc(F)c(-c2nn[n-]n2)c1 ZINC000826138365 583882239 /nfs/dbraw/zinc/88/22/39/583882239.db2.gz REPKNJMIVCXYHZ-UHFFFAOYSA-N -1 1 329.313 -0.201 20 0 EBADMM COC(=O)C[N-]S(=O)(=O)Cc1cc(-c2nn[nH]n2)ccc1F ZINC000826138464 583882652 /nfs/dbraw/zinc/88/26/52/583882652.db2.gz WAPKEZQRZWHUEW-UHFFFAOYSA-N -1 1 329.313 -0.402 20 0 EBADMM COC[C@@H](O)CCNS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000826151993 583884557 /nfs/dbraw/zinc/88/45/57/583884557.db2.gz NUGNHIQTFZSRAD-QMMMGPOBSA-N -1 1 345.356 -0.319 20 0 EBADMM COC[C@@H](O)CCNS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000826151993 583884559 /nfs/dbraw/zinc/88/45/59/583884559.db2.gz NUGNHIQTFZSRAD-QMMMGPOBSA-N -1 1 345.356 -0.319 20 0 EBADMM COCCOc1cc(C(=O)n2ncc(-c3nn[n-]n3)c2N)ccn1 ZINC000826160719 583886246 /nfs/dbraw/zinc/88/62/46/583886246.db2.gz CPNPNNUJXROIJA-UHFFFAOYSA-N -1 1 330.308 -0.246 20 0 EBADMM C[S@@](=O)CCNS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000826224538 583888616 /nfs/dbraw/zinc/88/86/16/583888616.db2.gz HKVZVABIBWCHNG-HXUWFJFHSA-N -1 1 333.370 -0.337 20 0 EBADMM C[S@@](=O)CCNS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000826224538 583888618 /nfs/dbraw/zinc/88/86/18/583888618.db2.gz HKVZVABIBWCHNG-HXUWFJFHSA-N -1 1 333.370 -0.337 20 0 EBADMM C[S@](=O)CCN1CCN(Cc2ccnc(-c3nn[n-]n3)c2)CC1 ZINC000826225050 583888873 /nfs/dbraw/zinc/88/88/73/583888873.db2.gz RSSNCPIZMHAKAK-QHCPKHFHSA-N -1 1 335.437 -0.242 20 0 EBADMM CS[C@@H](CO)[C@@H](C)NC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000826226207 583889067 /nfs/dbraw/zinc/88/90/67/583889067.db2.gz URKDOJFMAUXERD-MUWHJKNJSA-N -1 1 326.382 -0.066 20 0 EBADMM CS[C@@H](CO)[C@@H](C)NC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000826226207 583889069 /nfs/dbraw/zinc/88/90/69/583889069.db2.gz URKDOJFMAUXERD-MUWHJKNJSA-N -1 1 326.382 -0.066 20 0 EBADMM C[C@@H](NS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1)C(=O)N(C)C ZINC000826231822 583889160 /nfs/dbraw/zinc/88/91/60/583889160.db2.gz CEKNXMKJVYYTJY-SSDOTTSWSA-N -1 1 342.356 -0.239 20 0 EBADMM Cc1onc(CC(=O)N2CCN(C(=O)N(C)C)CC2)c1-c1nnn[n-]1 ZINC000826344433 583892081 /nfs/dbraw/zinc/89/20/81/583892081.db2.gz HQPMWOBYAPPZJK-UHFFFAOYSA-N -1 1 348.367 -0.469 20 0 EBADMM Cc1onc(CC(=O)N2CCN(C(=O)N(C)C)CC2)c1-c1nn[n-]n1 ZINC000826344433 583892082 /nfs/dbraw/zinc/89/20/82/583892082.db2.gz HQPMWOBYAPPZJK-UHFFFAOYSA-N -1 1 348.367 -0.469 20 0 EBADMM Cc1oc(NC(=O)CN(C)[C@H]2CCNC2=O)c(-c2nn[n-]n2)c1C ZINC000826341335 583892108 /nfs/dbraw/zinc/89/21/08/583892108.db2.gz DXRNGISGTGAMTQ-VIFPVBQESA-N -1 1 333.352 -0.165 20 0 EBADMM Cc1onc(CC(=O)N(C)CCNC(=O)N(C)C)c1-c1nnn[n-]1 ZINC000826342948 583892134 /nfs/dbraw/zinc/89/21/34/583892134.db2.gz GJBYNFDJTPUJOO-UHFFFAOYSA-N -1 1 336.356 -0.565 20 0 EBADMM Cc1onc(CC(=O)N(C)CCNC(=O)N(C)C)c1-c1nn[n-]n1 ZINC000826342948 583892136 /nfs/dbraw/zinc/89/21/36/583892136.db2.gz GJBYNFDJTPUJOO-UHFFFAOYSA-N -1 1 336.356 -0.565 20 0 EBADMM Cc1onc(CC(=O)N2CCCC[C@@H]2CC(N)=O)c1-c1nnn[n-]1 ZINC000826344732 583892656 /nfs/dbraw/zinc/89/26/56/583892656.db2.gz QUEYKWWAZXZJTJ-SECBINFHSA-N -1 1 333.352 -0.038 20 0 EBADMM Cc1onc(CC(=O)N2CCCC[C@@H]2CC(N)=O)c1-c1nn[n-]n1 ZINC000826344732 583892657 /nfs/dbraw/zinc/89/26/57/583892657.db2.gz QUEYKWWAZXZJTJ-SECBINFHSA-N -1 1 333.352 -0.038 20 0 EBADMM Cc1onc(CC(=O)NCCNC(=O)c2ccco2)c1-c1nnn[n-]1 ZINC000826347397 583892875 /nfs/dbraw/zinc/89/28/75/583892875.db2.gz PRPJDKDZRYLBSK-UHFFFAOYSA-N -1 1 345.319 -0.155 20 0 EBADMM Cc1onc(CC(=O)NCCNC(=O)c2ccco2)c1-c1nn[n-]n1 ZINC000826347397 583892876 /nfs/dbraw/zinc/89/28/76/583892876.db2.gz PRPJDKDZRYLBSK-UHFFFAOYSA-N -1 1 345.319 -0.155 20 0 EBADMM Cc1onc(CC(=O)N[C@@H]2CC(=O)N(C(C)C)C2)c1-c1nnn[n-]1 ZINC000826346401 583892972 /nfs/dbraw/zinc/89/29/72/583892972.db2.gz LPYUALPUFIEICY-SECBINFHSA-N -1 1 333.352 -0.169 20 0 EBADMM Cc1onc(CC(=O)N[C@@H]2CC(=O)N(C(C)C)C2)c1-c1nn[n-]n1 ZINC000826346401 583892974 /nfs/dbraw/zinc/89/29/74/583892974.db2.gz LPYUALPUFIEICY-SECBINFHSA-N -1 1 333.352 -0.169 20 0 EBADMM Cc1onc(CC(=O)N[C@@H]2CCCC[C@@H]2C(N)=O)c1-c1nnn[n-]1 ZINC000826346508 583893109 /nfs/dbraw/zinc/89/31/09/583893109.db2.gz OAOAYQFHSLNUQN-DTWKUNHWSA-N -1 1 333.352 -0.134 20 0 EBADMM Cc1onc(CC(=O)N[C@@H]2CCCC[C@@H]2C(N)=O)c1-c1nn[n-]n1 ZINC000826346508 583893112 /nfs/dbraw/zinc/89/31/12/583893112.db2.gz OAOAYQFHSLNUQN-DTWKUNHWSA-N -1 1 333.352 -0.134 20 0 EBADMM Cc1onc(CC(=O)NCCN2C(=S)N=NC2C)c1-c1nnn[n-]1 ZINC000826348080 583893324 /nfs/dbraw/zinc/89/33/24/583893324.db2.gz SSNDNOVJPPTWGL-UHFFFAOYSA-N -1 1 349.380 -0.289 20 0 EBADMM Cc1onc(CC(=O)NCCN2C(=S)N=NC2C)c1-c1nn[n-]n1 ZINC000826348080 583893326 /nfs/dbraw/zinc/89/33/26/583893326.db2.gz SSNDNOVJPPTWGL-UHFFFAOYSA-N -1 1 349.380 -0.289 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N2CCC[C@H]2c2ncc[nH]2)n(C)c1=O ZINC000826356099 583893774 /nfs/dbraw/zinc/89/37/74/583893774.db2.gz ZYLMNMMMROTJIS-QMMMGPOBSA-N -1 1 343.351 -0.671 20 0 EBADMM Cn1cc(N2CCC[C@@H](Nc3ccc(-c4nnn[n-]4)nn3)C2=O)cn1 ZINC000826362207 583894465 /nfs/dbraw/zinc/89/44/65/583894465.db2.gz IOJARHDTESHYQY-LLVKDONJSA-N -1 1 340.351 -0.002 20 0 EBADMM Cn1cc(N2CCC[C@@H](Nc3ccc(-c4nn[n-]n4)nn3)C2=O)cn1 ZINC000826362207 583894466 /nfs/dbraw/zinc/89/44/66/583894466.db2.gz IOJARHDTESHYQY-LLVKDONJSA-N -1 1 340.351 -0.002 20 0 EBADMM NS(=O)(=O)NC[C@H]1CCCN(c2cccc(-c3nnn[n-]3)n2)C1 ZINC000826394338 583898318 /nfs/dbraw/zinc/89/83/18/583898318.db2.gz GLYKPLCVMYIJGY-SECBINFHSA-N -1 1 338.397 -0.729 20 0 EBADMM NS(=O)(=O)NC[C@H]1CCCN(c2cccc(-c3nn[n-]n3)n2)C1 ZINC000826394338 583898320 /nfs/dbraw/zinc/89/83/20/583898320.db2.gz GLYKPLCVMYIJGY-SECBINFHSA-N -1 1 338.397 -0.729 20 0 EBADMM O=C1CN(c2ccc(Nc3ccc(-c4nnn[n-]4)nn3)cn2)CCN1 ZINC000826481241 583903932 /nfs/dbraw/zinc/90/39/32/583903932.db2.gz MNAIOPRSSOIEPV-UHFFFAOYSA-N -1 1 338.335 -0.269 20 0 EBADMM O=C1CN(c2ccc(Nc3ccc(-c4nn[n-]n4)nn3)cn2)CCN1 ZINC000826481241 583903933 /nfs/dbraw/zinc/90/39/33/583903933.db2.gz MNAIOPRSSOIEPV-UHFFFAOYSA-N -1 1 338.335 -0.269 20 0 EBADMM O=C1NC[C@H]2CN(Cc3ccc(-c4nn[n-]n4)s3)CCN2C1=O ZINC000826482682 583904805 /nfs/dbraw/zinc/90/48/05/583904805.db2.gz NLGXJEANGQOONP-QMMMGPOBSA-N -1 1 333.377 -0.929 20 0 EBADMM O=S(=O)(NC[C@H](O)C(F)(F)F)c1ccc(-c2nnn[n-]2)nc1 ZINC000826489218 583905271 /nfs/dbraw/zinc/90/52/71/583905271.db2.gz KCDQLSQDZVAKHU-ZETCQYMHSA-N -1 1 338.271 -0.537 20 0 EBADMM O=S(=O)(NC[C@H](O)C(F)(F)F)c1ccc(-c2nn[n-]n2)nc1 ZINC000826489218 583905273 /nfs/dbraw/zinc/90/52/73/583905273.db2.gz KCDQLSQDZVAKHU-ZETCQYMHSA-N -1 1 338.271 -0.537 20 0 EBADMM O=S(=O)(NCCSCCCO)c1ccc(-c2nnn[n-]2)nc1 ZINC000826491700 583906063 /nfs/dbraw/zinc/90/60/63/583906063.db2.gz WSBSTFQNYRIGJK-UHFFFAOYSA-N -1 1 344.422 -0.344 20 0 EBADMM O=S(=O)(NCCSCCCO)c1ccc(-c2nn[n-]n2)nc1 ZINC000826491700 583906064 /nfs/dbraw/zinc/90/60/64/583906064.db2.gz WSBSTFQNYRIGJK-UHFFFAOYSA-N -1 1 344.422 -0.344 20 0 EBADMM c1cnnc(NC[C@@H]2CN(c3ccc(-c4nnn[n-]4)nn3)CCO2)c1 ZINC000826526221 583906853 /nfs/dbraw/zinc/90/68/53/583906853.db2.gz PQIRUTBCTBDRBT-SNVBAGLBSA-N -1 1 340.351 -0.236 20 0 EBADMM c1cnnc(NC[C@@H]2CN(c3ccc(-c4nn[n-]n4)nn3)CCO2)c1 ZINC000826526221 583906856 /nfs/dbraw/zinc/90/68/56/583906856.db2.gz PQIRUTBCTBDRBT-SNVBAGLBSA-N -1 1 340.351 -0.236 20 0 EBADMM CC[C@@H]1CN(C(=O)[C@@H]2COCCN2C(=O)[O-])CCN1C[C@H](C)O ZINC000826683425 583909562 /nfs/dbraw/zinc/90/95/62/583909562.db2.gz VKACAEGYZGRPJV-XQQFMLRXSA-N -1 1 329.397 -0.331 20 0 EBADMM CCOC(=O)[C@@H](CC(=O)N1CCO[C@H](c2nn[n-]n2)C1)C(C)=O ZINC000826834610 583911085 /nfs/dbraw/zinc/91/10/85/583911085.db2.gz UWQQJYWGTVQELD-UWVGGRQHSA-N -1 1 325.325 -0.742 20 0 EBADMM O=C(N1CCO[C@@H](c2nn[n-]n2)C1)[C@@]1(c2ccccc2)CNC(=O)C1 ZINC000826833697 583911128 /nfs/dbraw/zinc/91/11/28/583911128.db2.gz HPDLZVCNQYUUJL-MLGOLLRUSA-N -1 1 342.359 -0.443 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)CCSc2nnnn2C)CC1 ZINC000827362731 583919873 /nfs/dbraw/zinc/91/98/73/583919873.db2.gz SYDNWLZSHGFQFJ-SNVBAGLBSA-N -1 1 342.425 -0.300 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)CCN2CCCS2(=O)=O)CC1 ZINC000827363143 583919956 /nfs/dbraw/zinc/91/99/56/583919956.db2.gz ZOUGWEZZIVCSKJ-GFCCVEGCSA-N -1 1 347.437 -0.581 20 0 EBADMM CO[C@H](CS(=O)(=O)[N-]Cc1nc(N(C)C)no1)C1CCOCC1 ZINC000827474436 583921474 /nfs/dbraw/zinc/92/14/74/583921474.db2.gz ZCTFFIRDHYIBIA-LLVKDONJSA-N -1 1 348.425 -0.003 20 0 EBADMM C[C@@H](CNS(=O)(=O)CC1(CC(=O)[O-])CC1)N1CCN(C)CC1 ZINC000827501735 583922127 /nfs/dbraw/zinc/92/21/27/583922127.db2.gz BVPMGSSZIXROQB-LBPRGKRZSA-N -1 1 333.454 -0.203 20 0 EBADMM CCc1nc([C@H]2CN(C(=O)COCCNC(=O)[O-])CCO2)n[nH]1 ZINC000827620881 583925135 /nfs/dbraw/zinc/92/51/35/583925135.db2.gz NLSJXYMCOIANFH-SECBINFHSA-N -1 1 327.341 -0.449 20 0 EBADMM CC[C@H](C)C[C@H]([N-]S(=O)(=O)CCS(C)(=O)=O)C(=O)OC ZINC000827815484 583928287 /nfs/dbraw/zinc/92/82/87/583928287.db2.gz WCENAGSUENIYMP-UWVGGRQHSA-N -1 1 329.440 -0.072 20 0 EBADMM CN(C)CCCN(C(=O)CN(C)C(=O)[O-])[C@H]1CCS(=O)(=O)C1 ZINC000828024966 583931942 /nfs/dbraw/zinc/93/19/42/583931942.db2.gz YVLWLYNDPCPQMF-NSHDSACASA-N -1 1 335.426 -0.436 20 0 EBADMM CN(C[C@H]1CCN(CCNS(=O)(=O)c2cnn(C)c2)C1)C(=O)[O-] ZINC000828259916 583936347 /nfs/dbraw/zinc/93/63/47/583936347.db2.gz OIJPAQGTJBVLRH-LLVKDONJSA-N -1 1 345.425 -0.370 20 0 EBADMM CC1CCN(CC(=O)N(CCN2CCOCC2)CC(=O)[O-])CC1 ZINC000828285355 583936649 /nfs/dbraw/zinc/93/66/49/583936649.db2.gz MXEFKHIGNYERLL-UHFFFAOYSA-N -1 1 327.425 -0.036 20 0 EBADMM C[C@@H]1CN(C2CC2)C[C@@H]1NS(=O)(=O)c1cnn(CC(=O)[O-])c1 ZINC000828432768 583938939 /nfs/dbraw/zinc/93/89/39/583938939.db2.gz OIQCAUZXQNOYAD-SKDRFNHKSA-N -1 1 328.394 -0.271 20 0 EBADMM C[C@H]1CN(CC(=O)Nc2cccc(S(N)(=O)=O)c2)C[C@H]1C(=O)[O-] ZINC000828442002 583939087 /nfs/dbraw/zinc/93/90/87/583939087.db2.gz WEGDUQKZGXMZPE-JOYOIKCWSA-N -1 1 341.389 -0.075 20 0 EBADMM COC(=O)[C@H]1CN(CN2CC3(CN(C(=O)[O-])C3)CC2=O)C[C@H]1C ZINC000828638359 583943886 /nfs/dbraw/zinc/94/38/86/583943886.db2.gz ACRHQFWTDWKMCG-MNOVXSKESA-N -1 1 325.365 -0.103 20 0 EBADMM COCCCS(=O)(=O)NCCN1CC[C@@H](NC(=O)[O-])[C@H](C)C1 ZINC000828963819 583949151 /nfs/dbraw/zinc/94/91/51/583949151.db2.gz DLSBBEOVVAFWGH-VXGBXAGGSA-N -1 1 337.442 -0.080 20 0 EBADMM CCCc1nc([C@@H]2CN(C(=O)Cn3cc(C(=O)[O-])nn3)CCO2)n[nH]1 ZINC000829570492 583954378 /nfs/dbraw/zinc/95/43/78/583954378.db2.gz DRPYRRFGYJDHOP-JTQLQIEISA-N -1 1 349.351 -0.353 20 0 EBADMM CCCc1n[nH]c([C@@H]2CN(C(=O)Cn3cc(C(=O)[O-])nn3)CCO2)n1 ZINC000829570492 583954380 /nfs/dbraw/zinc/95/43/80/583954380.db2.gz DRPYRRFGYJDHOP-JTQLQIEISA-N -1 1 349.351 -0.353 20 0 EBADMM CC(C)(C[N-]S(=O)(=O)c1c[nH]nc1Cl)NS(C)(=O)=O ZINC000830445790 583966983 /nfs/dbraw/zinc/96/69/83/583966983.db2.gz PZYIJRHLCTVEET-UHFFFAOYSA-N -1 1 330.819 -0.331 20 0 EBADMM CN(C)C(=O)CN1CCN(C(=O)CN[C@@](C)(C(=O)[O-])C2CC2)CC1 ZINC000830551710 583967897 /nfs/dbraw/zinc/96/78/97/583967897.db2.gz IDXLVPFFKBJJCO-MRXNPFEDSA-N -1 1 340.424 -0.938 20 0 EBADMM CN(C)CCCN(C(=O)CCNC(=O)[O-])[C@@H]1CCS(=O)(=O)C1 ZINC000830600377 583968273 /nfs/dbraw/zinc/96/82/73/583968273.db2.gz IWNPYRGUJYNJRH-LLVKDONJSA-N -1 1 335.426 -0.389 20 0 EBADMM CN(C)CCCN(C(=O)[C@@H]1C[C@H]1C(=O)[O-])[C@H]1CCS(=O)(=O)C1 ZINC000830601551 583968332 /nfs/dbraw/zinc/96/83/32/583968332.db2.gz ZBRIECADEVQWCI-QJPTWQEYSA-N -1 1 332.422 -0.326 20 0 EBADMM CN(CC(=O)N1CCO[C@@H](CC(=O)[O-])C1)c1ncnc2[nH]cnc21 ZINC000830669598 583969282 /nfs/dbraw/zinc/96/92/82/583969282.db2.gz JRAMODZCSVBSNB-VIFPVBQESA-N -1 1 334.336 -0.509 20 0 EBADMM Cc1nnc([C@@H]2CN(C(=O)C[C@H]3CN(C(=O)[O-])CCO3)CCO2)[nH]1 ZINC000830676848 583969450 /nfs/dbraw/zinc/96/94/50/583969450.db2.gz AYQJMNGIHIYHLW-QWRGUYRKSA-N -1 1 339.352 -0.218 20 0 EBADMM Cc1nnc([C@@H]2CN(C(=O)[C@@H]3CN(C(=O)[O-])CCO3)CCO2)[nH]1 ZINC000830679962 583969474 /nfs/dbraw/zinc/96/94/74/583969474.db2.gz YJIIUYCPFYFXCJ-UWVGGRQHSA-N -1 1 325.325 -0.608 20 0 EBADMM CN(CCC(=O)[O-])S(=O)(=O)NC1CCN(C[C@H]2CCCO2)CC1 ZINC000830743022 583970403 /nfs/dbraw/zinc/97/04/03/583970403.db2.gz WNSJFMWBTOSLTD-CYBMUJFWSA-N -1 1 349.453 -0.129 20 0 EBADMM CC[C@@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)C(=O)N1CCOCC1 ZINC000830818922 583971158 /nfs/dbraw/zinc/97/11/58/583971158.db2.gz XUMUPMJXUMLSNJ-MRVPVSSYSA-N -1 1 336.801 -0.021 20 0 EBADMM COC(=O)[C@@H](C)NC(=O)C(F)(F)C(F)(F)C(=O)N[C@H](C)C(=O)[O-] ZINC000831215216 583979474 /nfs/dbraw/zinc/97/94/74/583979474.db2.gz MQKZOCUOZCDXJY-RFZPGFLSSA-N -1 1 346.233 -0.476 20 0 EBADMM COC(=O)CC[C@@H]([N-]S(=O)(=O)c1c[nH]nc1Cl)C(=O)OC ZINC000831255317 583980785 /nfs/dbraw/zinc/98/07/85/583980785.db2.gz JFIHCTNHSFCKTD-ZCFIWIBFSA-N -1 1 339.757 -0.164 20 0 EBADMM O=C([O-])N1CCOC[C@H]1C(=O)N1CCN(C2CCOCC2)CC1 ZINC000831284800 583981394 /nfs/dbraw/zinc/98/13/94/583981394.db2.gz XHUSKMGDWHMCHY-ZDUSSCGKSA-N -1 1 327.381 -0.312 20 0 EBADMM COC(=O)c1cn(CC[N-]S(=O)(=O)c2c[nH]nc2Cl)nn1 ZINC000831426420 583982595 /nfs/dbraw/zinc/98/25/95/583982595.db2.gz UWLYCLBEBMSRNU-UHFFFAOYSA-N -1 1 334.745 -0.580 20 0 EBADMM COCCN1C[C@@H](C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)CC1=O ZINC000831739973 583991020 /nfs/dbraw/zinc/99/10/20/583991020.db2.gz BVIPBJCAJJBGDZ-STQMWFEESA-N -1 1 341.408 -0.511 20 0 EBADMM O=C([O-])N1CC(OCC(=O)N2CCN([C@H]3CCC[C@@H]3O)CC2)C1 ZINC000831859123 583993058 /nfs/dbraw/zinc/99/30/58/583993058.db2.gz SWLDUUUWAYPHQN-STQMWFEESA-N -1 1 327.381 -0.577 20 0 EBADMM O=C([O-])N1CCO[C@H](C(=O)N2CC[C@@H](CN3CCOCC3)C2)C1 ZINC000832048952 583995119 /nfs/dbraw/zinc/99/51/19/583995119.db2.gz BHFDKDIBUFIOJE-STQMWFEESA-N -1 1 327.381 -0.454 20 0 EBADMM Cn1cc(NS(=O)(=O)c2cc(C(N)=O)n(C)c2)cc1C(=O)[O-] ZINC000833054127 584003696 /nfs/dbraw/zinc/00/36/96/584003696.db2.gz LWNIRKTWRBBNRX-UHFFFAOYSA-N -1 1 326.334 -0.039 20 0 EBADMM CC(=O)N[C@H]1CCCN(C(=O)CN2CCC([C@@H](O)C(=O)[O-])CC2)C1 ZINC000833395720 584009865 /nfs/dbraw/zinc/00/98/65/584009865.db2.gz KDKGYWRLCTYALH-DZGCQCFKSA-N -1 1 341.408 -0.729 20 0 EBADMM C[C@H](CS(=O)(=O)N1CC[C@@H](N2CCN(C)CC2)[C@H](C)C1)C(=O)[O-] ZINC000833519314 584011545 /nfs/dbraw/zinc/01/15/45/584011545.db2.gz NRZOAVWFWVGPRL-MGPQQGTHSA-N -1 1 347.481 -0.005 20 0 EBADMM C[C@H]1CN(C(=O)CCC(=O)N2CCOCC2)CCN1CCC(=O)[O-] ZINC000833617857 584012367 /nfs/dbraw/zinc/01/23/67/584012367.db2.gz IBWDKULVGIEFID-ZDUSSCGKSA-N -1 1 341.408 -0.367 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NCCNC(=O)C1CC1 ZINC000833627704 584012826 /nfs/dbraw/zinc/01/28/26/584012826.db2.gz ZJYHIYIKFRDQAQ-NSHDSACASA-N -1 1 326.397 -0.297 20 0 EBADMM CN(CC(=O)N(CCN1CCOCC1)CC(=O)[O-])[C@H]1CCSC1 ZINC000833691183 584013939 /nfs/dbraw/zinc/01/39/39/584013939.db2.gz KYVQGIWSEFBKTO-ZDUSSCGKSA-N -1 1 345.465 -0.331 20 0 EBADMM Cc1cc(O)cc(=O)n1CC(=O)N1CCN(CCC(=O)[O-])C[C@H]1C ZINC000833746624 584015695 /nfs/dbraw/zinc/01/56/95/584015695.db2.gz FANAKQDBBKJCFK-GFCCVEGCSA-N -1 1 337.376 -0.130 20 0 EBADMM O=C(CCOC1CN(C(=O)[O-])C1)Nc1nc(SCCO)n[nH]1 ZINC000833993860 584023373 /nfs/dbraw/zinc/02/33/73/584023373.db2.gz JKYMZBQYJNDTJF-UHFFFAOYSA-N -1 1 331.354 -0.404 20 0 EBADMM O=C([O-])N1CCC[C@H]1C(=O)NC[C@@H]1CCC[N@H+]([C@H]2CCNC2=O)C1 ZINC000834290968 584030873 /nfs/dbraw/zinc/03/08/73/584030873.db2.gz VDGGPNSGEJKHML-AVGNSLFASA-N -1 1 338.408 -0.155 20 0 EBADMM O=C([O-])N1CCC[C@H]1C(=O)NC[C@@H]1CCCN([C@H]2CCNC2=O)C1 ZINC000834290968 584030875 /nfs/dbraw/zinc/03/08/75/584030875.db2.gz VDGGPNSGEJKHML-AVGNSLFASA-N -1 1 338.408 -0.155 20 0 EBADMM CC(C)[C@@H](CO)O[N-]C(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000836900931 584045993 /nfs/dbraw/zinc/04/59/93/584045993.db2.gz YPDATGNKIRDOHJ-UONOGXRCSA-N -1 1 343.424 -0.768 20 0 EBADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)C[C@H]2CCCC(=O)N2)CCC1 ZINC000843014434 584069805 /nfs/dbraw/zinc/06/98/05/584069805.db2.gz PPMUCEYMLSAUIX-LLVKDONJSA-N -1 1 331.438 -0.025 20 0 EBADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)c2ccoc2CC(N)=O)CCC1 ZINC000843013969 584069827 /nfs/dbraw/zinc/06/98/27/584069827.db2.gz LJMCLRROHVKHMX-UHFFFAOYSA-N -1 1 343.405 -0.149 20 0 EBADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)c2cnc3n[nH]nc3c2)CCC1 ZINC000843014427 584069854 /nfs/dbraw/zinc/06/98/54/584069854.db2.gz FRESFSWZYOFXGX-UHFFFAOYSA-N -1 1 338.393 -0.103 20 0 EBADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)c2c[nH]c(C(N)=O)c2)CCC1 ZINC000843018154 584070077 /nfs/dbraw/zinc/07/00/77/584070077.db2.gz HKRXVPNODGSSBU-UHFFFAOYSA-N -1 1 328.394 -0.343 20 0 EBADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)[C@H]2CCN(C)C(=O)C2)CCC1 ZINC000843017729 584070136 /nfs/dbraw/zinc/07/01/36/584070136.db2.gz ALDNNNBBTZMOEV-NSHDSACASA-N -1 1 331.438 -0.215 20 0 EBADMM O=C(CNS(=O)(=O)c1ccc(Cl)cc1)NN1CC(=O)[N-]C1=O ZINC000843388072 584077380 /nfs/dbraw/zinc/07/73/80/584077380.db2.gz WMCVNTOENHPBMY-UHFFFAOYSA-N -1 1 346.752 -0.799 20 0 EBADMM O=S(=O)(N=S1(=O)CCCC1)[N-]CCN1C[C@H]2CC[C@@H](C1)O2 ZINC000867355319 584093649 /nfs/dbraw/zinc/09/36/49/584093649.db2.gz VUCGYSWNFUYHML-TXEJJXNPSA-N -1 1 337.467 -0.054 20 0 EBADMM CCO[N-]C(=O)CNC(=O)N1CCC[C@H](CN2CCOCC2)C1 ZINC000845534568 584109933 /nfs/dbraw/zinc/10/99/33/584109933.db2.gz HFNWQCYTGBZABB-CYBMUJFWSA-N -1 1 328.413 -0.192 20 0 EBADMM CCOC(=O)c1c[n-]c(NC(=O)Cn2cc(S(N)(=O)=O)cn2)n1 ZINC000846651490 584129354 /nfs/dbraw/zinc/12/93/54/584129354.db2.gz UPOXNOBRZLHZIN-UHFFFAOYSA-N -1 1 342.337 -0.931 20 0 EBADMM CO[C@H]1CS(=O)(=O)C[C@@H]1[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000849432873 584170719 /nfs/dbraw/zinc/17/07/19/584170719.db2.gz VOLKMPRMUMLIQJ-WDSKDSINSA-N -1 1 329.787 -0.847 20 0 EBADMM COC(=O)[C@H]1C[C@@H](N(C)C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000850700271 584186928 /nfs/dbraw/zinc/18/69/28/584186928.db2.gz DLUVNXMOGCHYKW-AOOOYVTPSA-N -1 1 345.359 -0.310 20 0 EBADMM O=C([O-])[C@@H]1[C@H](NC(=O)C(F)(F)F)CCCN1C(=O)Cn1cncn1 ZINC000852956045 584221828 /nfs/dbraw/zinc/22/18/28/584221828.db2.gz FOPVYQQCHCQACM-APPZFPTMSA-N -1 1 349.269 -0.599 20 0 EBADMM CC(C)(C)N1C[C@@H](CNC(=O)CCn2cc[n-]c(=O)c2=O)CC1=O ZINC000856105226 584266826 /nfs/dbraw/zinc/26/68/26/584266826.db2.gz SLLSHTPTVYYAOU-LLVKDONJSA-N -1 1 336.392 -0.310 20 0 EBADMM COC[C@@H]([N-]S(=O)(=O)C[C@H](OC)c1ccc(F)cc1)C(N)=O ZINC000859083564 584295505 /nfs/dbraw/zinc/29/55/05/584295505.db2.gz OCGYLHKDGGMMHK-NEPJUHHUSA-N -1 1 334.369 -0.067 20 0 EBADMM CCOC(=O)c1cc(CS(=O)(=O)[N-]CC(=O)N(C)OC)on1 ZINC000859194866 584297081 /nfs/dbraw/zinc/29/70/81/584297081.db2.gz PWGKHINFOICVIZ-UHFFFAOYSA-N -1 1 335.338 -0.710 20 0 EBADMM COC(=O)c1cc(F)cc(S(=O)(=O)[N-]CCn2cnnn2)c1F ZINC000859204443 584297408 /nfs/dbraw/zinc/29/74/08/584297408.db2.gz IEPNPLSBWUANGO-UHFFFAOYSA-N -1 1 347.303 -0.284 20 0 EBADMM CN1CC(=O)Nc2cc(C(=O)N3CCO[C@@H](c4nn[n-]n4)C3)cnc21 ZINC000859278186 584299068 /nfs/dbraw/zinc/29/90/68/584299068.db2.gz MGPWEZFDXFKDBI-SNVBAGLBSA-N -1 1 344.335 -0.803 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cnc2c(c1)NC(=O)CN2C)c1nn[n-]n1 ZINC000860785754 584322293 /nfs/dbraw/zinc/32/22/93/584322293.db2.gz JDSRJGKSYZQMAK-QMMMGPOBSA-N -1 1 330.352 -0.141 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H]2CCOC(=O)N2)c1 ZINC000861775927 584338345 /nfs/dbraw/zinc/33/83/45/584338345.db2.gz DIABLZZQHCXJOO-MRVPVSSYSA-N -1 1 329.334 -0.263 20 0 EBADMM COC(=O)C1=CC[C@@H](NC(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000862008465 584345451 /nfs/dbraw/zinc/34/54/51/584345451.db2.gz OQVRYMKMJADWIX-SNVBAGLBSA-N -1 1 343.343 -0.342 20 0 EBADMM CN(C)C(=O)[C@@H]1CCCN1C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000862051848 584346219 /nfs/dbraw/zinc/34/62/19/584346219.db2.gz CPOKZMBGCWHJAJ-LBPRGKRZSA-N -1 1 344.375 -0.641 20 0 EBADMM CN1CC[C@@H]([N-]S(=O)(=O)c2cnn(C)c2C(F)(F)F)C1=O ZINC000866825918 584407898 /nfs/dbraw/zinc/40/78/98/584407898.db2.gz UOMKXGVNYNOUBH-ZCFIWIBFSA-N -1 1 326.300 -0.052 20 0 EBADMM COCCOCCS(=O)(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000867902504 584420568 /nfs/dbraw/zinc/42/05/68/584420568.db2.gz JVUFBWASBUGSKP-UHFFFAOYSA-N -1 1 348.343 -0.411 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2C[C@H]3CCC[C@H]3O2)c(=O)n(C)c1=O ZINC000872559047 584463154 /nfs/dbraw/zinc/46/31/54/584463154.db2.gz QIWZXBQQLXAWEF-OUAUKWLOSA-N -1 1 343.405 -0.680 20 0 EBADMM CCn1ccc(NC(=O)C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)n1 ZINC000874229190 584480701 /nfs/dbraw/zinc/48/07/01/584480701.db2.gz ICMAYTLJCHLZSI-UHFFFAOYSA-N -1 1 347.297 -0.022 20 0 EBADMM O=C(NCCNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)C1CC1 ZINC000875006458 584487836 /nfs/dbraw/zinc/48/78/36/584487836.db2.gz JSFPQRLKXZIMFF-UHFFFAOYSA-N -1 1 336.314 -0.168 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-]C[C@H]2CCCS2(=O)=O)c1Cl ZINC000126963183 584538656 /nfs/dbraw/zinc/53/86/56/584538656.db2.gz WAHVWKXDWCIJLW-SSDOTTSWSA-N -1 1 327.815 -0.071 20 0 EBADMM Cn1nc(NC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)ccc1=O ZINC000879907780 584558637 /nfs/dbraw/zinc/55/86/37/584558637.db2.gz YMGLSEIBTHEJJV-UHFFFAOYSA-N -1 1 333.270 -0.078 20 0 EBADMM CN(C)C(=O)C[N-]S(=O)(=O)N=[S@](=O)(c1ccccc1)N(C)C ZINC000881754828 584575909 /nfs/dbraw/zinc/57/59/09/584575909.db2.gz YJXUMAVGXCBGRT-OAQYLSRUSA-N -1 1 348.450 -0.087 20 0 EBADMM Cc1nn(C)c(C)c1CN1CCN(CCC(=O)NCC(=O)[O-])CC1 ZINC000738912491 600302408 /nfs/dbraw/zinc/30/24/08/600302408.db2.gz XKDGVLLTQKJZHH-UHFFFAOYSA-N -1 1 337.424 -0.255 20 0 EBADMM O=C([O-])[C@@H]1CN(C(=O)C(=O)Nc2ccn(-c3ccncc3)n2)CCO1 ZINC000739488436 600354927 /nfs/dbraw/zinc/35/49/27/600354927.db2.gz PICDZSWTZXSAFH-NSHDSACASA-N -1 1 345.315 -0.482 20 0 EBADMM O=C([O-])c1cccc(C(=O)N[C@@H]2CCN(CCN3CCOCC3)C2)n1 ZINC000740214819 600622711 /nfs/dbraw/zinc/62/27/11/600622711.db2.gz LYFSMUWXYASPAI-CYBMUJFWSA-N -1 1 348.403 -0.084 20 0 EBADMM O=C([O-])Cn1cc(S(=O)(=O)N2CC[C@H](N3CCCC3)C2)cn1 ZINC000388190372 600735641 /nfs/dbraw/zinc/73/56/41/600735641.db2.gz NAEOEGHAOJOMCQ-NSHDSACASA-N -1 1 328.394 -0.174 20 0 EBADMM CCC[C@@H](NC(=O)[O-])C(=O)N1CCO[C@@H](CN2CCN(C)CC2)C1 ZINC000739313008 602422358 /nfs/dbraw/zinc/42/23/58/602422358.db2.gz QPCWQWKINZOTLK-UONOGXRCSA-N -1 1 342.440 -0.103 20 0 EBADMM C[C@H]1CN(C(=O)[O-])CCN1C(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000739146594 602717548 /nfs/dbraw/zinc/71/75/48/602717548.db2.gz BPHCRZSHKWBGJX-VIFPVBQESA-N -1 1 333.352 0.000 20 0 EBADMM Cc1nc(CN2CCN(C(=O)[C@H]3COCCN3C(=O)[O-])CC2)n[nH]1 ZINC000740220173 602911784 /nfs/dbraw/zinc/91/17/84/602911784.db2.gz SLYSAKGSEVUECO-LLVKDONJSA-N -1 1 338.368 -0.864 20 0 EBADMM CN1CCN(C[C@H]2CN(C(=O)[C@H]3CCN(C(=O)[O-])C3)CCO2)CC1 ZINC000739738345 603303842 /nfs/dbraw/zinc/30/38/42/603303842.db2.gz WFURZQDYAYQRRA-KBPBESRZSA-N -1 1 340.424 -0.539 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@@H](C)S(C)(=O)=O)cc1 ZINC000051604581 649951825 /nfs/dbraw/zinc/95/18/25/649951825.db2.gz SPKQCSUSIXSQRX-SECBINFHSA-N -1 1 343.357 -0.072 20 0 EBADMM O=C(COC(=O)CN1CCCS1(=O)=O)[N-]C(=O)c1ccccc1 ZINC000061507017 649962125 /nfs/dbraw/zinc/96/21/25/649962125.db2.gz ZXOLLEKDBFCSPI-UHFFFAOYSA-N -1 1 340.357 -0.478 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-]CC(C)(C)NS(C)(=O)=O)c1Cl ZINC000067005485 649964566 /nfs/dbraw/zinc/96/45/66/649964566.db2.gz SSNWJQXQTZSMGR-UHFFFAOYSA-N -1 1 344.846 -0.320 20 0 EBADMM Cn1c2ncn(CCC[N-]C(=O)C(F)(F)F)c2c(=O)n(C)c1=O ZINC000151730464 649976319 /nfs/dbraw/zinc/97/63/19/649976319.db2.gz ZBSAAPZXEDVOJN-UHFFFAOYSA-N -1 1 333.270 -0.498 20 0 EBADMM CC(=O)N1CSC[C@H]1C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC000347716264 650004082 /nfs/dbraw/zinc/00/40/82/650004082.db2.gz HQFVXALOIZARDN-JTQLQIEISA-N -1 1 345.402 -0.101 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2CCOC23CCCC3)c(=O)n(C)c1=O ZINC000908186178 650098098 /nfs/dbraw/zinc/09/80/98/650098098.db2.gz GRSIKXJHPKAXRZ-NSHDSACASA-N -1 1 343.405 -0.536 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCCC[C@@H]1C(N)=O ZINC000912540773 650207068 /nfs/dbraw/zinc/20/70/68/650207068.db2.gz CMFCYOMOLAUTTF-CBAPKCEASA-N -1 1 330.366 -0.481 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC(=O)NCc1ccco1 ZINC000912531123 650207165 /nfs/dbraw/zinc/20/71/65/650207165.db2.gz PVQFBFSWLPLJFX-UHFFFAOYSA-N -1 1 342.333 -0.616 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC1(OC)CCOCC1 ZINC000912542473 650207597 /nfs/dbraw/zinc/20/75/97/650207597.db2.gz OAKNZMMAHMTWDE-UHFFFAOYSA-N -1 1 333.366 -0.330 20 0 EBADMM CCNC(=O)C1(C[N-]S(=O)(=O)c2n[nH]cc2C(=O)OC)CCC1 ZINC000912551332 650208022 /nfs/dbraw/zinc/20/80/22/650208022.db2.gz ZHQWFCVFDVILOD-UHFFFAOYSA-N -1 1 344.393 -0.219 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1nc(N(C)C)no1 ZINC000912546834 650208179 /nfs/dbraw/zinc/20/81/79/650208179.db2.gz IUWIZWYMPANXSV-UHFFFAOYSA-N -1 1 330.326 -0.876 20 0 EBADMM COC[C@H]([N-]S(=O)(=O)c1n[nH]cc1C(=O)OC)[C@@H]1CCCO1 ZINC000912550864 650208187 /nfs/dbraw/zinc/20/81/87/650208187.db2.gz UFGZVAWPZXBILU-UWVGGRQHSA-N -1 1 333.366 -0.331 20 0 EBADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@@H](CO)c1cccnc1 ZINC000912553820 650208473 /nfs/dbraw/zinc/20/84/73/650208473.db2.gz NLAXJGLCJWNPIZ-JTQLQIEISA-N -1 1 340.361 -0.088 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC(=O)NC[C@@H]2CCCO2)o1 ZINC000915240547 650266341 /nfs/dbraw/zinc/26/63/41/650266341.db2.gz SUHMKMMVLAHNNY-VIFPVBQESA-N -1 1 346.361 -0.360 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCC(=O)N2CCO[C@@H](C)C2)o1 ZINC000915240461 650266669 /nfs/dbraw/zinc/26/66/69/650266669.db2.gz SNMGOKSTFWBNFG-VIFPVBQESA-N -1 1 346.361 -0.408 20 0 EBADMM O=S(=O)([N-]C[C@@H](n1cccn1)C(F)(F)F)[C@@H]1COC[C@H]1O ZINC000917947636 650285743 /nfs/dbraw/zinc/28/57/43/650285743.db2.gz LEDOKIKGOWOCHH-IWSPIJDZSA-N -1 1 329.300 -0.334 20 0 EBADMM C[C@H]([N-]S(=O)(=O)c1ncn(C)c1Cl)[C@H]1CN(C)CCN1C ZINC000920021814 650308532 /nfs/dbraw/zinc/30/85/32/650308532.db2.gz RZAFEXZMJIALEF-VHSXEESVSA-N -1 1 335.861 -0.014 20 0 EBADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCS1 ZINC000921719678 650324311 /nfs/dbraw/zinc/32/43/11/650324311.db2.gz VNXUVRFCQRLFJH-BDAKNGLRSA-N -1 1 333.435 -0.354 20 0 EBADMM CCOC(=O)[C@H](CSC)[N-]S(=O)(=O)[C@@H]1CC(=O)N(CC)C1 ZINC000921378323 650318787 /nfs/dbraw/zinc/31/87/87/650318787.db2.gz VAWSTGKMRRKXSB-ZJUUUORDSA-N -1 1 338.451 -0.179 20 0 EBADMM CCOC(=O)[C@@H](CSC)[N-]S(=O)(=O)[C@H]1CC(=O)N(CC)C1 ZINC000921378322 650318844 /nfs/dbraw/zinc/31/88/44/650318844.db2.gz VAWSTGKMRRKXSB-VHSXEESVSA-N -1 1 338.451 -0.179 20 0 EBADMM COC[C@@H](C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C(C)C ZINC000921902420 650326613 /nfs/dbraw/zinc/32/66/13/650326613.db2.gz YOHUHTJTBZMDMU-SNVBAGLBSA-N -1 1 333.410 -0.719 20 0 EBADMM CN(C(=O)[C@@H]1CN(C)C(=O)N1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937217869 651685912 /nfs/dbraw/zinc/68/59/12/651685912.db2.gz YNWWVDNFJMBKHQ-QWRGUYRKSA-N -1 1 347.375 -0.516 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H](NC(=O)c2cocn2)C1 ZINC000937746178 651901085 /nfs/dbraw/zinc/90/10/85/651901085.db2.gz KUMZOUHEWHNPDB-ZETCQYMHSA-N -1 1 349.303 -0.471 20 0 EBADMM Cc1c(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)nnn1C ZINC000937776405 651918382 /nfs/dbraw/zinc/91/83/82/651918382.db2.gz QOZPWTIWSBQLDN-JTQLQIEISA-N -1 1 330.348 -0.131 20 0 EBADMM CN1CCO[C@H](C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000937861860 651957734 /nfs/dbraw/zinc/95/77/34/651957734.db2.gz VVJMMYYYHFDEFH-AAEUAGOBSA-N -1 1 334.376 -0.552 20 0 EBADMM CN1CCOC[C@H]1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937861150 651958013 /nfs/dbraw/zinc/95/80/13/651958013.db2.gz OBTHPNHZTJDDAE-RYUDHWBXSA-N -1 1 334.376 -0.552 20 0 EBADMM CN1CC[C@@H](C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000937863398 651958706 /nfs/dbraw/zinc/95/87/06/651958706.db2.gz GRZWBQIAZFXNAA-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM CN1C(=O)CC[C@H]1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000937863100 651958957 /nfs/dbraw/zinc/95/89/57/651958957.db2.gz CQTIHWYAPHXLMQ-QWRGUYRKSA-N -1 1 332.360 -0.261 20 0 EBADMM CC(C)C(=O)N1CC[C@@H](N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000936658375 651981024 /nfs/dbraw/zinc/98/10/24/651981024.db2.gz JLGOZGLVHPPRRV-SNVBAGLBSA-N -1 1 332.364 -0.254 20 0 EBADMM NC(=O)CC(=O)N1CC[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000937966024 652015903 /nfs/dbraw/zinc/01/59/03/652015903.db2.gz BOBSSRZUWATBIR-VIFPVBQESA-N -1 1 333.348 -0.734 20 0 EBADMM CCN1CCO[C@@H](C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000938049465 652057206 /nfs/dbraw/zinc/05/72/06/652057206.db2.gz VRNHHKCCZKDKNH-GXTWGEPZSA-N -1 1 348.403 -0.161 20 0 EBADMM CCc1cc(C(=O)N2CC[C@H](NC(=O)Cn3c(=O)[n-][nH]c3=O)C2)n[nH]1 ZINC000938388951 652226734 /nfs/dbraw/zinc/22/67/34/652226734.db2.gz OFXPCKFIRWQTBX-VIFPVBQESA-N -1 1 349.351 -0.994 20 0 EBADMM CN1CC[C@H](C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)C1=O ZINC000938468096 652275192 /nfs/dbraw/zinc/27/51/92/652275192.db2.gz MDMFAXMZMMKGGQ-WDEREUQCSA-N -1 1 332.360 -0.404 20 0 EBADMM CN1C[C@@H](C(=O)N2CCC[C@@H]2CNC(=O)c2ncccc2[O-])CC1=O ZINC000944070584 652664078 /nfs/dbraw/zinc/66/40/78/652664078.db2.gz NWRDFZMTZZENSP-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(NC[C@H]1CCCN1C(=O)[C@@H]1CCC(=O)N1)c1ncccc1[O-] ZINC000944170087 652701201 /nfs/dbraw/zinc/70/12/01/652701201.db2.gz KVFPOHDYDJTIPX-MNOVXSKESA-N -1 1 332.360 -0.213 20 0 EBADMM CC(C)C(=O)N(C)[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000938871991 652751167 /nfs/dbraw/zinc/75/11/67/652751167.db2.gz NWTNQSHRHLORLO-GFCCVEGCSA-N -1 1 336.392 -0.358 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1)c1cn[nH]c1 ZINC000944491666 652794999 /nfs/dbraw/zinc/79/49/99/652794999.db2.gz MRJMRPOPZISFKO-NSHDSACASA-N -1 1 331.332 -0.219 20 0 EBADMM Cn1[n-]c(CN2CC(N3CCN(C(=O)[C@H]4CC45CC5)CC3)C2)nc1=O ZINC000941586465 652817707 /nfs/dbraw/zinc/81/77/07/652817707.db2.gz WXZBGWHHTASAKY-CYBMUJFWSA-N -1 1 346.435 -0.763 20 0 EBADMM O=C(CC1CC1)N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000939059035 652820911 /nfs/dbraw/zinc/82/09/11/652820911.db2.gz SBULKMPRGKUDLN-SNVBAGLBSA-N -1 1 330.348 -0.452 20 0 EBADMM C[C@@H]1CN(C(=O)CCc2cnn(C)n2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939147112 652843755 /nfs/dbraw/zinc/84/37/55/652843755.db2.gz NAFAXLKZCBTDLQ-MWLCHTKSSA-N -1 1 334.384 -0.792 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@H]2CCN(C)C2=O)C1 ZINC000939157947 652848307 /nfs/dbraw/zinc/84/83/07/652848307.db2.gz XKCAWVANEUITEG-RYUDHWBXSA-N -1 1 346.387 -0.062 20 0 EBADMM O=C(Cc1cnoc1)N1CCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944560104 652860162 /nfs/dbraw/zinc/86/01/62/652860162.db2.gz IAZSIMQYRHMADF-LBPRGKRZSA-N -1 1 346.343 -0.025 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2cncnc2)CCO1)c1ncccc1[O-] ZINC000944560833 652860563 /nfs/dbraw/zinc/86/05/63/652860563.db2.gz NUNGNNMJXVTKCM-LBPRGKRZSA-N -1 1 343.343 -0.152 20 0 EBADMM Cn1cc(C(=O)N2CCO[C@H](CNC(=O)c3ncccc3[O-])C2)nn1 ZINC000944560767 652860945 /nfs/dbraw/zinc/86/09/45/652860945.db2.gz MSJAWOYYKOANGZ-SNVBAGLBSA-N -1 1 346.347 -0.813 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)[C@@H]2CCOC2)CCO1)c1ncccc1[O-] ZINC000944561297 652860959 /nfs/dbraw/zinc/86/09/59/652860959.db2.gz QKLFHSGGIWKTQU-NEPJUHHUSA-N -1 1 335.360 -0.219 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2ccncn2)CCO1)c1ncccc1[O-] ZINC000944565566 652865064 /nfs/dbraw/zinc/86/50/64/652865064.db2.gz WDHKFORYJJNZRZ-LLVKDONJSA-N -1 1 343.343 -0.152 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCN(C(=O)[C@H]2C[C@@H]2C)C1 ZINC000941663613 652865186 /nfs/dbraw/zinc/86/51/86/652865186.db2.gz WFYWBDSGEXWIMV-YIZRAAEISA-N -1 1 336.348 -0.117 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000941663741 652865587 /nfs/dbraw/zinc/86/55/87/652865587.db2.gz BEQSHBGWYZCGQW-OPRDCNLKSA-N -1 1 330.348 -0.596 20 0 EBADMM CC1(C)C[C@H]1C(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000941664334 652866331 /nfs/dbraw/zinc/86/63/31/652866331.db2.gz SXEIURRROPOFEK-ZJUUUORDSA-N -1 1 344.375 -0.206 20 0 EBADMM CC(F)(F)C(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000941664571 652866431 /nfs/dbraw/zinc/86/64/31/652866431.db2.gz XJXREJJJUNKBPD-SSDOTTSWSA-N -1 1 340.290 -0.597 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnc3cccnn32)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939270087 652874969 /nfs/dbraw/zinc/87/49/69/652874969.db2.gz HPVDKYQLJRWFBC-ZJUUUORDSA-N -1 1 342.363 -0.197 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1ncn(C)n1 ZINC000941693644 652886040 /nfs/dbraw/zinc/88/60/40/652886040.db2.gz LFOWVVBOBABPTA-MWLCHTKSSA-N -1 1 346.347 -0.815 20 0 EBADMM C[C@@H]1CN(C(=O)c2nc3ncccn3n2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939349841 652907674 /nfs/dbraw/zinc/90/76/74/652907674.db2.gz QRZAMCFFBHGEKV-RKDXNWHRSA-N -1 1 343.351 -0.802 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1ccc(=O)[nH]n1 ZINC000941789586 652909995 /nfs/dbraw/zinc/90/99/95/652909995.db2.gz MAELBYRPUJYQTI-VHSXEESVSA-N -1 1 347.379 -0.756 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1cnns1 ZINC000941857950 652932616 /nfs/dbraw/zinc/93/26/16/652932616.db2.gz NLLXXHXYOVHKKG-DTWKUNHWSA-N -1 1 337.409 -0.400 20 0 EBADMM Cc1cnn(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)c1 ZINC000941866707 652935261 /nfs/dbraw/zinc/93/52/61/652935261.db2.gz RUZQZVCFZXEFJB-STQMWFEESA-N -1 1 347.423 -0.360 20 0 EBADMM Cc1c(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)nnn1C ZINC000941914842 652950588 /nfs/dbraw/zinc/95/05/88/652950588.db2.gz ZPLACPKRBGZCKR-ONGXEEELSA-N -1 1 348.411 -0.814 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H](NC(=O)c2ccn[nH]2)C1 ZINC000939510879 652951166 /nfs/dbraw/zinc/95/11/66/652951166.db2.gz BPGGFPPWTAYVEO-SSDOTTSWSA-N -1 1 348.319 -0.736 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1cccc(=O)[nH]1 ZINC000941927773 652954214 /nfs/dbraw/zinc/95/42/14/652954214.db2.gz XIQQUCIHSCOBBH-WDEREUQCSA-N -1 1 346.391 -0.151 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CC[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)c1[O-] ZINC000941964251 653008616 /nfs/dbraw/zinc/00/86/16/653008616.db2.gz PZLKYQSGAHHHRW-APPZFPTMSA-N -1 1 335.368 -0.112 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CC[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]2C)c1[O-] ZINC000941964251 653008619 /nfs/dbraw/zinc/00/86/19/653008619.db2.gz PZLKYQSGAHHHRW-APPZFPTMSA-N -1 1 335.368 -0.112 20 0 EBADMM COc1c(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)cnn1C ZINC000939616344 653010956 /nfs/dbraw/zinc/01/09/56/653010956.db2.gz NXYWUGVHQLVNOB-PSASIEDQSA-N -1 1 335.368 -0.497 20 0 EBADMM C[C@@H]1CN(C(=O)Cn2c(=O)[n-][nH]c2=O)C[C@H]1NCc1nccs1 ZINC000939626867 653012982 /nfs/dbraw/zinc/01/29/82/653012982.db2.gz NJAWDXMCABJLKX-RKDXNWHRSA-N -1 1 338.393 -0.218 20 0 EBADMM Cc1cn(C)nc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC000942047667 653027086 /nfs/dbraw/zinc/02/70/86/653027086.db2.gz ZPJYBPRJGIJVOS-ZYHUDNBSSA-N -1 1 347.423 -0.209 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)Cc2nnc[nH]2)C1 ZINC000939794989 653048816 /nfs/dbraw/zinc/04/88/16/653048816.db2.gz LBRKVBMSRLLAKF-SNVBAGLBSA-N -1 1 330.348 -0.179 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000942178693 653052639 /nfs/dbraw/zinc/05/26/39/653052639.db2.gz XGRRHSYOLNDZLY-AEJSXWLSSA-N -1 1 330.348 -0.596 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000942178751 653052777 /nfs/dbraw/zinc/05/27/77/653052777.db2.gz SSKJVNNUPLPPCZ-TUAOUCFPSA-N -1 1 334.376 -0.700 20 0 EBADMM C[C@H]1CN(Cc2ccnn2C)CC[C@@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC000942301234 653077579 /nfs/dbraw/zinc/07/75/79/653077579.db2.gz CLWIPNWDBQRFCQ-ONGXEEELSA-N -1 1 347.379 -0.343 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1cncn1C ZINC000942304471 653078448 /nfs/dbraw/zinc/07/84/48/653078448.db2.gz PUITTXVLWBRJLX-GHMZBOCLSA-N -1 1 333.396 -0.518 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)[C@H]2CCC(=O)NC2)C1)c1ncccc1[O-] ZINC000940130480 653084596 /nfs/dbraw/zinc/08/45/96/653084596.db2.gz OCJKWJWCBOROFX-WDEREUQCSA-N -1 1 332.360 -0.356 20 0 EBADMM CN1CC[C@@H](C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000940130131 653084674 /nfs/dbraw/zinc/08/46/74/653084674.db2.gz GRZWBQIAZFXNAA-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1cc(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)ncc1=O ZINC000940132202 653084990 /nfs/dbraw/zinc/08/49/90/653084990.db2.gz QNXTUOJGAMBVTE-SNVBAGLBSA-N -1 1 343.343 -0.475 20 0 EBADMM C[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000942466671 653115971 /nfs/dbraw/zinc/11/59/71/653115971.db2.gz WRXZWBCABHOLIP-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1csnn1 ZINC000942537494 653136470 /nfs/dbraw/zinc/13/64/70/653136470.db2.gz BQKBCZKMDACHRR-BDAKNGLRSA-N -1 1 337.409 -0.400 20 0 EBADMM O=C(CN1C(=O)CCC1=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000940362462 653142571 /nfs/dbraw/zinc/14/25/71/653142571.db2.gz PLGWESPRUJICKI-SNVBAGLBSA-N -1 1 346.343 -0.733 20 0 EBADMM O=C(NC1CCN(C(=O)c2ncccc2[O-])CC1)[C@@H]1CCC(=O)N1 ZINC000946532829 653144603 /nfs/dbraw/zinc/14/46/03/653144603.db2.gz DOSGLOOLFKOPIU-NSHDSACASA-N -1 1 332.360 -0.213 20 0 EBADMM Cc1ncc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)n1C ZINC000942579882 653152883 /nfs/dbraw/zinc/15/28/83/653152883.db2.gz OZBRWQXNXCENGL-CMPLNLGQSA-N -1 1 347.423 -0.209 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)Cn1cncn1 ZINC000940436493 653164363 /nfs/dbraw/zinc/16/43/63/653164363.db2.gz QNRYKKSOWBRFND-GHMZBOCLSA-N -1 1 330.348 -0.344 20 0 EBADMM O=C(NC1CCN(C(=O)[C@H]2COC(=O)N2)CC1)c1ncccc1[O-] ZINC000946619520 653169736 /nfs/dbraw/zinc/16/97/36/653169736.db2.gz BCTJBNAMPMYZLI-SNVBAGLBSA-N -1 1 334.332 -0.384 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@@H]2CCNC2=O)C1 ZINC000942753309 653196174 /nfs/dbraw/zinc/19/61/74/653196174.db2.gz METAKLUFUAYOKH-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC000942756922 653197907 /nfs/dbraw/zinc/19/79/07/653197907.db2.gz TYWQSKWLBGGBGW-UTUOFQBUSA-N -1 1 346.387 -0.028 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1)c1cccnn1 ZINC000944742509 653231575 /nfs/dbraw/zinc/23/15/75/653231575.db2.gz LPXVGPJWSHXIIL-LLVKDONJSA-N -1 1 343.343 -0.152 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1)c1cccnn1 ZINC000944742510 653233343 /nfs/dbraw/zinc/23/33/43/653233343.db2.gz LPXVGPJWSHXIIL-NSHDSACASA-N -1 1 343.343 -0.152 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)Cn1cncn1 ZINC000946844615 653245998 /nfs/dbraw/zinc/24/59/98/653245998.db2.gz JCLRDNSVUBVBMS-ZYHUDNBSSA-N -1 1 346.347 -0.966 20 0 EBADMM CCN(C(=O)c1cc(=O)n2[n-]cnc2n1)[C@H]1CCN(C(=O)COC)C1 ZINC000942876356 653247756 /nfs/dbraw/zinc/24/77/56/653247756.db2.gz MBYJRTGELDOGMI-JTQLQIEISA-N -1 1 348.363 -0.873 20 0 EBADMM C/C=C(/C)C(=O)N1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000940701516 653262027 /nfs/dbraw/zinc/26/20/27/653262027.db2.gz LXJFBMGLMGPPRJ-DXNYSGJVSA-N -1 1 348.403 -0.046 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCCC[C@H](NC(=O)C2CC2)C1 ZINC000943016678 653279718 /nfs/dbraw/zinc/27/97/18/653279718.db2.gz HREBKPVIXXQTAO-ZDUSSCGKSA-N -1 1 348.403 -0.166 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)Cn3nccn3)C(C)(C)C2)nc1=O ZINC000940776024 653280012 /nfs/dbraw/zinc/28/00/12/653280012.db2.gz FERBXSONDLYKJY-NSHDSACASA-N -1 1 348.411 -0.883 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)Cc1c[nH]cn1 ZINC000946972755 653283960 /nfs/dbraw/zinc/28/39/60/653283960.db2.gz RKUDGOVFERZGSE-DGCLKSJQSA-N -1 1 345.359 -0.291 20 0 EBADMM CC(=O)N1CC2(C1)CCN(C(=O)CCn1cc[n-]c(=O)c1=O)CC2 ZINC000944979339 653306603 /nfs/dbraw/zinc/30/66/03/653306603.db2.gz BNYICODMSDCHKE-UHFFFAOYSA-N -1 1 334.376 -0.602 20 0 EBADMM C[C@@H]1CN(C(=O)CC2CC2)C[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000947077491 653306749 /nfs/dbraw/zinc/30/67/49/653306749.db2.gz FXJFBFTVBHYOGH-BXKDBHETSA-N -1 1 344.375 -0.206 20 0 EBADMM NC(=O)C(=O)N1CCCC[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000943259850 653330422 /nfs/dbraw/zinc/33/04/22/653330422.db2.gz QBRAJNPHJNJYNO-SNVBAGLBSA-N -1 1 347.375 -0.344 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2cnn(C)c2N)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947227143 653340277 /nfs/dbraw/zinc/34/02/77/653340277.db2.gz XGBBAIKTDXVNRA-NXEZZACHSA-N -1 1 348.411 -0.793 20 0 EBADMM C[C@H]1CN(C(=O)C2CC2)CC[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000945084328 653341421 /nfs/dbraw/zinc/34/14/21/653341421.db2.gz SRUDJTOPADMXLB-GXSJLCMTSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2cncnc2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947231653 653343495 /nfs/dbraw/zinc/34/34/95/653343495.db2.gz NMPRLOQZAZJXEU-ZYHUDNBSSA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)C2=CCOCC2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947236700 653344701 /nfs/dbraw/zinc/34/47/01/653344701.db2.gz WFAFEQTZSXLECT-YPMHNXCESA-N -1 1 335.408 -0.076 20 0 EBADMM C[C@H]1CN(C(=O)Cn2cnnn2)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC000945156799 653352489 /nfs/dbraw/zinc/35/24/89/653352489.db2.gz PQBHSRHSMBXZIL-WDEREUQCSA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@H]1CN(C(=O)c2ccn[nH]2)CC[C@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC000945194331 653362501 /nfs/dbraw/zinc/36/25/01/653362501.db2.gz GAYHTXLUKGDXRL-JGVFFNPUSA-N -1 1 347.335 -0.714 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCCNC1=O ZINC000941011893 653376755 /nfs/dbraw/zinc/37/67/55/653376755.db2.gz GXNUFYJATUZDAW-GHMZBOCLSA-N -1 1 332.360 -0.356 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cccnn3)C(C)(C)C2)nc1=O ZINC000941117249 653420081 /nfs/dbraw/zinc/42/00/81/653420081.db2.gz ACRSXKVVIQSUBZ-GFCCVEGCSA-N -1 1 345.407 -0.071 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2c[nH]c(=O)n2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947614997 653439203 /nfs/dbraw/zinc/43/92/03/653439203.db2.gz CFMKNJXCXVIPDF-VHSXEESVSA-N -1 1 349.395 -0.670 20 0 EBADMM C[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)CC[C@@H]1NC(=O)C1CC1 ZINC000945405541 653440182 /nfs/dbraw/zinc/44/01/82/653440182.db2.gz XTJUJKUQRJJOHK-AAEUAGOBSA-N -1 1 348.403 -0.310 20 0 EBADMM CCC(=O)N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1C ZINC000945413602 653443543 /nfs/dbraw/zinc/44/35/43/653443543.db2.gz QPKHMPYHRBTEOI-UWVGGRQHSA-N -1 1 332.364 -0.206 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC000945462485 653460518 /nfs/dbraw/zinc/46/05/18/653460518.db2.gz ODHCYRKORDCIGP-VGYDOTAVSA-N -1 1 347.371 -0.365 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)Cc1cnoc1 ZINC000945762299 653502460 /nfs/dbraw/zinc/50/24/60/653502460.db2.gz DLJBNCGJTRETQW-DGCLKSJQSA-N -1 1 346.343 -0.027 20 0 EBADMM O=C(CCn1cnnn1)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000947986735 653509078 /nfs/dbraw/zinc/50/90/78/653509078.db2.gz CULBCJJLDAZPPJ-UHFFFAOYSA-N -1 1 345.363 -0.415 20 0 EBADMM CC(=O)N1CC[C@@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC[C@H]21 ZINC000945992398 653529387 /nfs/dbraw/zinc/52/93/87/653529387.db2.gz NQPSCOKWFIOBLP-ZYHUDNBSSA-N -1 1 330.348 -0.500 20 0 EBADMM Cc1nc[nH]c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1C ZINC000946178749 653546132 /nfs/dbraw/zinc/54/61/32/653546132.db2.gz MPMGYBYDYIUAIG-ONGXEEELSA-N -1 1 333.396 -0.220 20 0 EBADMM O=C(N[C@@H]1CCC[C@@H]1CNC(=O)C1CC1)c1cc(=O)n2[n-]cnc2n1 ZINC000946256406 653556399 /nfs/dbraw/zinc/55/63/99/653556399.db2.gz XNPODZFHOCLLCH-GHMZBOCLSA-N -1 1 344.375 -0.158 20 0 EBADMM CCC(=O)N1CCC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000948584780 653560644 /nfs/dbraw/zinc/56/06/44/653560644.db2.gz BXBZULBLJZIJGA-UHFFFAOYSA-N -1 1 332.364 -0.204 20 0 EBADMM NC(=O)C(=O)N[C@H]1CCC[C@@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000946302218 653563411 /nfs/dbraw/zinc/56/34/11/653563411.db2.gz DJLIGKYCSWRGGV-KOLCDFICSA-N -1 1 347.375 -0.440 20 0 EBADMM NC(=O)C(=O)N[C@@H]1CCC[C@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000946302216 653563461 /nfs/dbraw/zinc/56/34/61/653563461.db2.gz DJLIGKYCSWRGGV-GXSJLCMTSA-N -1 1 347.375 -0.440 20 0 EBADMM C[C@H]1C[C@H]1C(=O)N1CCOC2(CCN(Cc3nc(=O)n(C)[n-]3)CC2)C1 ZINC000949015247 653588537 /nfs/dbraw/zinc/58/85/37/653588537.db2.gz MCQHCMLXVQBTBH-QWHCGFSZSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2CCOC3(CCN(C(=O)C4CC4)CC3)C2)nc1=O ZINC000949270379 653852338 /nfs/dbraw/zinc/85/23/38/653852338.db2.gz VVOHVGSNEXOZJW-UHFFFAOYSA-N -1 1 335.408 -0.288 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2CCN2C(=O)CCc2nc[nH]n2)c1[O-] ZINC000949425498 653891050 /nfs/dbraw/zinc/89/10/50/653891050.db2.gz JJYKMYNIJJBUKM-SECBINFHSA-N -1 1 333.352 -0.495 20 0 EBADMM Cn1ccnc1CCC(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000949428110 653891642 /nfs/dbraw/zinc/89/16/42/653891642.db2.gz QQWYYTPUBLTJCA-UHFFFAOYSA-N -1 1 333.396 -0.881 20 0 EBADMM CN1CCOC[C@H]1C(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC000949478282 653902478 /nfs/dbraw/zinc/90/24/78/653902478.db2.gz SCJRKYMUYHVKGO-NEPJUHHUSA-N -1 1 334.376 -0.552 20 0 EBADMM Cc1cncc(CC(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c1 ZINC000949491653 653909102 /nfs/dbraw/zinc/90/91/02/653909102.db2.gz SIORFJNQUIDNIR-UHFFFAOYSA-N -1 1 330.392 -0.301 20 0 EBADMM Cn1oc(C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])cc1=O ZINC000949535252 653922212 /nfs/dbraw/zinc/92/22/12/653922212.db2.gz QOYKZVFRGMIGEX-VIFPVBQESA-N -1 1 332.316 -0.277 20 0 EBADMM CN1CCOC[C@H]1C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949534695 653922261 /nfs/dbraw/zinc/92/22/61/653922261.db2.gz CXFIEHPIDBZIIL-NEPJUHHUSA-N -1 1 334.376 -0.552 20 0 EBADMM C[C@@H](C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-])n1cncn1 ZINC000949535160 653922411 /nfs/dbraw/zinc/92/24/11/653922411.db2.gz ORJOWXJKJQZAPT-WDEREUQCSA-N -1 1 330.348 -0.029 20 0 EBADMM CCN1CCOC[C@H]1C(=O)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949538521 653922958 /nfs/dbraw/zinc/92/29/58/653922958.db2.gz LAYOSFJEHAYJAU-OLZOCXBDSA-N -1 1 348.403 -0.161 20 0 EBADMM CC(=O)N1CC[C@@H](C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])C1 ZINC000949537811 653923146 /nfs/dbraw/zinc/92/31/46/653923146.db2.gz CCSUFFZDVWXXPZ-CHWSQXEVSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(CN1CCCNC1=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949535536 653923157 /nfs/dbraw/zinc/92/31/57/653923157.db2.gz WVLVCNSKKQTWOY-NSHDSACASA-N -1 1 347.375 -0.467 20 0 EBADMM Cn1cc(C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])[nH]c1=O ZINC000949538901 653923871 /nfs/dbraw/zinc/92/38/71/653923871.db2.gz TXKBFMGRZVGLLF-VIFPVBQESA-N -1 1 331.332 -0.129 20 0 EBADMM O=C(CCn1cnnn1)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949538616 653924059 /nfs/dbraw/zinc/92/40/59/653924059.db2.gz NHLBIPYKWYDMAR-JTQLQIEISA-N -1 1 331.336 -0.805 20 0 EBADMM CCN1C[C@H](C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])CC1=O ZINC000949542032 653924486 /nfs/dbraw/zinc/92/44/86/653924486.db2.gz UWEXEOCONZPMKB-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])cc1=O ZINC000949541677 653924698 /nfs/dbraw/zinc/92/46/98/653924698.db2.gz NHHAUDCZSSYQIA-SNVBAGLBSA-N -1 1 343.343 -0.475 20 0 EBADMM CCN(C(=O)c1cnn(CC)c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000949804525 653978442 /nfs/dbraw/zinc/97/84/42/653978442.db2.gz UUXRWSCQQZRWPS-UHFFFAOYSA-N -1 1 333.396 -0.329 20 0 EBADMM CCN(C(=O)c1cccc(=O)[nH]1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000949842621 653985355 /nfs/dbraw/zinc/98/53/55/653985355.db2.gz LAOPXEBBPZJDBV-UHFFFAOYSA-N -1 1 332.364 -0.445 20 0 EBADMM CCN(C(=O)c1cc[nH]c(=O)c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000949878303 653991965 /nfs/dbraw/zinc/99/19/65/653991965.db2.gz XOLGPQLBXSKQQX-UHFFFAOYSA-N -1 1 332.364 -0.445 20 0 EBADMM CO[C@H]1CCC[C@@H](C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)C1 ZINC000949935446 654003062 /nfs/dbraw/zinc/00/30/62/654003062.db2.gz NXRLHANVYZVPQP-OLZOCXBDSA-N -1 1 337.424 -0.042 20 0 EBADMM Cn1ncc(C(=O)N2CCC[C@@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC000951349759 654097613 /nfs/dbraw/zinc/09/76/13/654097613.db2.gz AMUZXRVBBOXBSO-SNVBAGLBSA-N -1 1 330.348 -0.050 20 0 EBADMM COCc1cc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)no1 ZINC000951380780 654109030 /nfs/dbraw/zinc/10/90/30/654109030.db2.gz CNHSJGVHFQIEIG-UHFFFAOYSA-N -1 1 336.352 -0.799 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1)c1cc[nH]c1 ZINC000950381775 654112975 /nfs/dbraw/zinc/11/29/75/654112975.db2.gz DLUSPMOBDDBHNJ-JTQLQIEISA-N -1 1 341.331 -0.610 20 0 EBADMM C[C@@H](Oc1cccnc1)C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000950424340 654128908 /nfs/dbraw/zinc/12/89/08/654128908.db2.gz MOKJOQXRKQSDMT-GFCCVEGCSA-N -1 1 346.391 -0.385 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3ocnc3C3CC3)CC2)nc1=O ZINC000950481602 654144684 /nfs/dbraw/zinc/14/46/84/654144684.db2.gz PQTTXWOGEUGOMC-UHFFFAOYSA-N -1 1 332.364 -0.068 20 0 EBADMM CCc1c(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)ccn1C ZINC000950505693 654154564 /nfs/dbraw/zinc/15/45/64/654154564.db2.gz INWYRUSDGCGDRA-UHFFFAOYSA-N -1 1 332.408 -0.033 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)[C@@H]3Cc4ccncc4C3)CC2)nc1=O ZINC000950517885 654159691 /nfs/dbraw/zinc/15/96/91/654159691.db2.gz CFTDLROLXDLJOM-CYBMUJFWSA-N -1 1 342.403 -0.437 20 0 EBADMM O=C(N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1)[C@H]1COC(=O)N1 ZINC000950543386 654173384 /nfs/dbraw/zinc/17/33/84/654173384.db2.gz YFGZHPZWPZCOEM-VHSXEESVSA-N -1 1 334.332 -0.384 20 0 EBADMM Cn1nncc1C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950542714 654173703 /nfs/dbraw/zinc/17/37/03/654173703.db2.gz HKIZXGKJEWOJAJ-JTQLQIEISA-N -1 1 330.348 -0.050 20 0 EBADMM O=C(N[C@H]1CCCN(C(=O)[C@H]2CCNC2=O)C1)c1ncccc1[O-] ZINC000951534724 654178772 /nfs/dbraw/zinc/17/87/72/654178772.db2.gz PGODSDCWYMNSJT-QWRGUYRKSA-N -1 1 332.360 -0.356 20 0 EBADMM Cn1cc([C@@H]2C[C@H]2C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cn1 ZINC000950630954 654202155 /nfs/dbraw/zinc/20/21/55/654202155.db2.gz UYWACCKPEKPWRP-QWHCGFSZSA-N -1 1 345.407 -0.710 20 0 EBADMM CCN(C(=O)c1ccn(C)c(=O)c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950645124 654206785 /nfs/dbraw/zinc/20/67/85/654206785.db2.gz HPPFUTHNJYDDQN-UHFFFAOYSA-N -1 1 346.391 -0.846 20 0 EBADMM Cn1cnc(C(=O)N2CCC[C@@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC000950699830 654226278 /nfs/dbraw/zinc/22/62/78/654226278.db2.gz XEBIDWVHANESNO-SNVBAGLBSA-N -1 1 330.348 -0.050 20 0 EBADMM Cc1n[nH]c(C)c1[C@@H](C)C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000951786593 654282157 /nfs/dbraw/zinc/28/21/57/654282157.db2.gz HADYVSJIBYJZMY-SNVBAGLBSA-N -1 1 347.423 -0.104 20 0 EBADMM O=C(CCn1cnnn1)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000951805337 654289368 /nfs/dbraw/zinc/28/93/68/654289368.db2.gz GGMSBWZCWRTGHY-NSHDSACASA-N -1 1 345.363 -0.415 20 0 EBADMM CCN1CCOC[C@H]1C(=O)NC[C@H]1CCN1C(=O)c1ncccc1[O-] ZINC000950867948 654292802 /nfs/dbraw/zinc/29/28/02/654292802.db2.gz LVENDVKQWFEXIL-OLZOCXBDSA-N -1 1 348.403 -0.161 20 0 EBADMM NC(=O)C1(C(=O)N2CCC[C@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC000951846124 654309213 /nfs/dbraw/zinc/30/92/13/654309213.db2.gz AMLIVKPSJNTWDN-JTQLQIEISA-N -1 1 332.360 -0.227 20 0 EBADMM CCN(C(=O)c1cc(OC)ccn1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000951120194 654392272 /nfs/dbraw/zinc/39/22/72/654392272.db2.gz MYGFFVMMXHULIX-UHFFFAOYSA-N -1 1 346.391 -0.142 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCN1C(=O)[C@H](C)OC ZINC000951221852 654423158 /nfs/dbraw/zinc/42/31/58/654423158.db2.gz ATFJPRJTUJCKCB-JGVFFNPUSA-N -1 1 340.336 -0.738 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCN1C(=O)c1cc[nH]c1 ZINC000951223015 654424583 /nfs/dbraw/zinc/42/45/83/654424583.db2.gz XBWHBBQJJWWAIF-SECBINFHSA-N -1 1 347.331 -0.131 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCN1C(=O)c1ncc[nH]1 ZINC000951222827 654424745 /nfs/dbraw/zinc/42/47/45/654424745.db2.gz TUDQDIVMYOQEMM-SSDOTTSWSA-N -1 1 348.319 -0.736 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1cnco1)c1cc(=O)n2[n-]cnc2n1 ZINC000951224309 654425435 /nfs/dbraw/zinc/42/54/35/654425435.db2.gz BQWMXCNQNVRACG-MRVPVSSYSA-N -1 1 343.303 -0.950 20 0 EBADMM C[C@H](C(=O)N1CC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1)C1CC1 ZINC000951225217 654426309 /nfs/dbraw/zinc/42/63/09/654426309.db2.gz XCHVQMMUHDYMJG-GXSJLCMTSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(NCC1CCN(C(=O)c2ncccc2[O-])CC1)[C@@H]1COC(=O)N1 ZINC000952260329 654431745 /nfs/dbraw/zinc/43/17/45/654431745.db2.gz ZCCIWCKNMHSKAA-NSHDSACASA-N -1 1 348.359 -0.136 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cc4ncccn4n3)CC2)nc1=O ZINC000952344690 654442644 /nfs/dbraw/zinc/44/26/44/654442644.db2.gz ZMINOESKMGZWGP-UHFFFAOYSA-N -1 1 342.363 -0.891 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1)c1cnco1 ZINC000951279788 654450293 /nfs/dbraw/zinc/45/02/93/654450293.db2.gz QBZCHDNAKNITIF-QMMMGPOBSA-N -1 1 343.303 -0.950 20 0 EBADMM CC(C)Cn1cc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cn1 ZINC000952426557 654457154 /nfs/dbraw/zinc/45/71/54/654457154.db2.gz CJAUOWVOOLGQTB-UHFFFAOYSA-N -1 1 347.423 -0.081 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cn(CC4CC4)nn3)CC2)nc1=O ZINC000952447273 654460851 /nfs/dbraw/zinc/46/08/51/654460851.db2.gz UYQTYRNDJQQKIT-UHFFFAOYSA-N -1 1 346.395 -0.932 20 0 EBADMM CC(=O)N1CC[C@@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)[C@H]2C1 ZINC000953216112 654544641 /nfs/dbraw/zinc/54/46/41/654544641.db2.gz BKNWMENCTDBQHZ-PWSUYJOCSA-N -1 1 330.348 -0.500 20 0 EBADMM NC(=O)CC(=O)N1CC[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC000953305035 654553712 /nfs/dbraw/zinc/55/37/12/654553712.db2.gz SVMJFBQPJQFPHD-MNOVXSKESA-N -1 1 332.360 -0.274 20 0 EBADMM CN(C(=O)c1ccco1)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000953552898 654594806 /nfs/dbraw/zinc/59/48/06/654594806.db2.gz RCEYWWXXBWPORS-UHFFFAOYSA-N -1 1 346.343 -0.497 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)N(C)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000953624066 654599928 /nfs/dbraw/zinc/59/99/28/654599928.db2.gz GXVJVROGOYHQBA-SCZZXKLOSA-N -1 1 330.348 -0.644 20 0 EBADMM CN(C(=O)C1CC=CC1)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000953932156 654659283 /nfs/dbraw/zinc/65/92/83/654659283.db2.gz FFLAYFIHLDTGSP-UHFFFAOYSA-N -1 1 342.359 -0.333 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cc[nH]c(=O)c1 ZINC000965845980 724530932 /nfs/dbraw/zinc/53/09/32/724530932.db2.gz TUJFQTOEIYSVQA-CMPLNLGQSA-N -1 1 346.391 -0.151 20 0 EBADMM C[C@@H]1CCN(Cc2nnnn2C)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000965942341 724544101 /nfs/dbraw/zinc/54/41/01/724544101.db2.gz XXCRZRMOSZBLHW-MNOVXSKESA-N -1 1 331.380 -0.049 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)cnn1 ZINC000965957489 724548030 /nfs/dbraw/zinc/54/80/30/724548030.db2.gz DBFYPILIIOUKMC-GXFFZTMASA-N -1 1 345.407 -0.153 20 0 EBADMM Cc1ccnc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)n1 ZINC000965968929 724551294 /nfs/dbraw/zinc/55/12/94/724551294.db2.gz FEBOUJTYDUPJES-PWSUYJOCSA-N -1 1 345.407 -0.153 20 0 EBADMM Cc1nc(CC(=O)N[C@H]2CN(Cc3n[nH]c(=O)[n-]3)CC[C@H]2C)n[nH]1 ZINC000966045574 724561758 /nfs/dbraw/zinc/56/17/58/724561758.db2.gz IJNUZODTRBVPCZ-SCZZXKLOSA-N -1 1 334.384 -0.494 20 0 EBADMM Cc1nc(CC(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)CC[C@@H]2C)n[nH]1 ZINC000966045576 724561512 /nfs/dbraw/zinc/56/15/12/724561512.db2.gz IJNUZODTRBVPCZ-WCBMZHEXSA-N -1 1 334.384 -0.494 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-]C[C@H]2CN3CCN2CCC3)c1Cl ZINC000394714622 719416134 /nfs/dbraw/zinc/41/61/34/719416134.db2.gz STUFXGZUUGZEKY-JTQLQIEISA-N -1 1 333.845 -0.258 20 0 EBADMM CC(=O)N[C@@H]1CCCN(C(=O)CN2CC[C@H](CNC(=O)[O-])C2)C1 ZINC000738544219 719419374 /nfs/dbraw/zinc/41/93/74/719419374.db2.gz XGWZUZZOVOKJAU-CHWSQXEVSA-N -1 1 326.397 -0.297 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)c2nccnc2N)C1 ZINC000954124151 719569622 /nfs/dbraw/zinc/56/96/22/719569622.db2.gz NOFKWWDWSMMTMP-UHFFFAOYSA-N -1 1 328.332 -0.244 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC000954125205 719570306 /nfs/dbraw/zinc/57/03/06/719570306.db2.gz GKXOFCQQGYDKHF-LLVKDONJSA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000954127720 719570607 /nfs/dbraw/zinc/57/06/07/719570607.db2.gz DSYJXCDJQUJXDQ-UHFFFAOYSA-N -1 1 343.343 -0.189 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CC[C@@H](C(N)=O)O2)C1 ZINC000954127852 719571079 /nfs/dbraw/zinc/57/10/79/719571079.db2.gz IPBNUYZZALNEFN-NWDGAFQWSA-N -1 1 348.359 -0.897 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CCN(C)C2=O)C1 ZINC000954128204 719571592 /nfs/dbraw/zinc/57/15/92/719571592.db2.gz XAQOBTCAPHBRKO-NSHDSACASA-N -1 1 332.360 -0.452 20 0 EBADMM CN(C(=O)c1c[n-]n2c1nccc2=O)C1CN(C(=O)c2ccn[nH]2)C1 ZINC000954303663 719659783 /nfs/dbraw/zinc/65/97/83/719659783.db2.gz BSYRFNQVNJAGFB-UHFFFAOYSA-N -1 1 341.331 -0.658 20 0 EBADMM C/C=C(\C)C(=O)N1C[C@H](C)[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000966310076 724609262 /nfs/dbraw/zinc/60/92/62/724609262.db2.gz SLTAOFFJNKCPND-HWSGNJFJSA-N -1 1 344.375 -0.040 20 0 EBADMM CN(C(=O)C1(C)CC1)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000954472926 719736671 /nfs/dbraw/zinc/73/66/71/719736671.db2.gz LMBJLBDAJXBGJW-UHFFFAOYSA-N -1 1 334.376 -0.604 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)CN1CN=NC1=O ZINC000966354710 724621607 /nfs/dbraw/zinc/62/16/07/724621607.db2.gz ZGCUNEWLPHVZQM-ZJUUUORDSA-N -1 1 346.347 -0.639 20 0 EBADMM CN(C(=O)[C@@]1(C)CNC(=O)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954807231 719915861 /nfs/dbraw/zinc/91/58/61/719915861.db2.gz ZNLQKTULXHZPSF-MRXNPFEDSA-N -1 1 332.360 -0.404 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C1CN(C(=O)CSC)C1 ZINC000954827873 719923183 /nfs/dbraw/zinc/92/31/83/719923183.db2.gz IBDJFUFTYFECED-UHFFFAOYSA-N -1 1 342.377 -0.458 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C1CN(C(=O)[C@@H]2C[C@@H]2C)C1 ZINC000954829755 719925349 /nfs/dbraw/zinc/92/53/49/719925349.db2.gz ZQDGFSKJLNMCHV-IONNQARKSA-N -1 1 336.348 -0.165 20 0 EBADMM C[C@H]1C[C@H]1C(=O)N1CC(N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000954831852 719926506 /nfs/dbraw/zinc/92/65/06/719926506.db2.gz MACNMYKBIJVNEL-WCBMZHEXSA-N -1 1 330.348 -0.644 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)c2ccon2)C1 ZINC000954832153 719926662 /nfs/dbraw/zinc/92/66/62/719926662.db2.gz NGGYJBWREWLYBN-UHFFFAOYSA-N -1 1 343.303 -0.998 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)CC2CC2)C1 ZINC000954833589 719927235 /nfs/dbraw/zinc/92/72/35/719927235.db2.gz YWPNXCJOHQXCDA-UHFFFAOYSA-N -1 1 330.348 -0.500 20 0 EBADMM C[C@@H]1C[C@@H]1CC(=O)N(C)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000955215111 720094439 /nfs/dbraw/zinc/09/44/39/720094439.db2.gz FUJHEMFUFXGHNW-NXEZZACHSA-N -1 1 344.375 -0.254 20 0 EBADMM C[C@H]1CN(C(=O)Cn2ncnn2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966453393 724651101 /nfs/dbraw/zinc/65/11/01/724651101.db2.gz DDHVRHQXCSAKER-VHSXEESVSA-N -1 1 331.336 -0.949 20 0 EBADMM CN(C)C(=O)[C@@H]1C[C@@H]1C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955347786 720134726 /nfs/dbraw/zinc/13/47/26/720134726.db2.gz WQBSUKGQYWDGMX-NEPJUHHUSA-N -1 1 346.387 -0.206 20 0 EBADMM CN(C(=O)c1ccc(C(N)=O)[nH]1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955354591 720140681 /nfs/dbraw/zinc/14/06/81/720140681.db2.gz AUHDJFDZSSLCCG-UHFFFAOYSA-N -1 1 343.343 -0.189 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)N(C)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000955401468 720166036 /nfs/dbraw/zinc/16/60/36/720166036.db2.gz XUMCPLZMOPHYCB-DGCLKSJQSA-N -1 1 348.403 -0.358 20 0 EBADMM CCC(=O)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC000955448248 720178607 /nfs/dbraw/zinc/17/86/07/720178607.db2.gz NGTIPGXKBPHWLK-ZJUUUORDSA-N -1 1 332.364 -0.063 20 0 EBADMM COCC(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC000955482352 720180734 /nfs/dbraw/zinc/18/07/34/720180734.db2.gz GPOMHSIJXUSLPE-NXEZZACHSA-N -1 1 348.363 -0.827 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCCN1C(=O)C(F)F ZINC000955843406 720279635 /nfs/dbraw/zinc/27/96/35/720279635.db2.gz CZQTYHVWSVKKBO-LURJTMIESA-N -1 1 346.290 -0.118 20 0 EBADMM O=C(NC[C@@H]1CCCN1C(=O)[C@@H]1COCCO1)c1ncccc1[O-] ZINC000955905370 720290351 /nfs/dbraw/zinc/29/03/51/720290351.db2.gz XWBZVOOBKHYPEZ-AAEUAGOBSA-N -1 1 335.360 -0.077 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)NC[C@@H]1CCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000956100473 720360933 /nfs/dbraw/zinc/36/09/33/720360933.db2.gz FVJYDFIQQWATRX-FRRDWIJNSA-N -1 1 348.403 -0.310 20 0 EBADMM O=C(NC[C@@H]1CCCN1C(=O)[C@@H]1CCNC1=O)c1ncccc1[O-] ZINC000956136581 720375105 /nfs/dbraw/zinc/37/51/05/720375105.db2.gz SXAVBSDZTKCEJC-WDEREUQCSA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(Cn1cncn1)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000956237636 720405319 /nfs/dbraw/zinc/40/53/19/720405319.db2.gz POCOXUXVWHTRLF-NSHDSACASA-N -1 1 330.348 -0.200 20 0 EBADMM C[C@H]1CN(C(=O)c2cnn(C)n2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966599150 724694112 /nfs/dbraw/zinc/69/41/12/724694112.db2.gz ZXPJXCIYQAAHRY-ONGXEEELSA-N -1 1 330.348 -0.194 20 0 EBADMM C[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1NC(=O)CC1CC1 ZINC000966605671 724695947 /nfs/dbraw/zinc/69/59/47/724695947.db2.gz BDKXFLRZMKSCBY-CABZTGNLSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@H]1CN(C(=O)CCn2cnnn2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966730835 724730783 /nfs/dbraw/zinc/73/07/83/724730783.db2.gz QLZBNZUGXIZWIQ-QWRGUYRKSA-N -1 1 345.363 -0.559 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CN(C(=O)CCc3c[nH]nn3)C[C@H]2C)c1[O-] ZINC000966846510 724761639 /nfs/dbraw/zinc/76/16/39/724761639.db2.gz JKMCIMDANNQYKZ-KCJUWKMLSA-N -1 1 347.379 -0.249 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@]2(C)CCN(C(=O)CCc3nc[nH]n3)C2)c1[O-] ZINC000956827398 722114313 /nfs/dbraw/zinc/11/43/13/722114313.db2.gz AIRHKQJGPARBRS-OAHLLOKOSA-N -1 1 347.379 -0.105 20 0 EBADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)[C@H]2COC(=O)N2)C1 ZINC000956848633 722119011 /nfs/dbraw/zinc/11/90/11/722119011.db2.gz CVJQSQZMKUFGET-PSLIRLAXSA-N -1 1 334.332 -0.384 20 0 EBADMM CN1CCO[C@@H](C(=O)N2CC[C@@](C)(NC(=O)c3ncccc3[O-])C2)C1 ZINC000956849564 722119316 /nfs/dbraw/zinc/11/93/16/722119316.db2.gz UNWWPNQOHPMHKS-CXAGYDPISA-N -1 1 348.403 -0.161 20 0 EBADMM CN1CCO[C@H](C(=O)N2CC[C@](C)(NC(=O)c3ncccc3[O-])C2)C1 ZINC000956849566 722119422 /nfs/dbraw/zinc/11/94/22/722119422.db2.gz UNWWPNQOHPMHKS-GUYCJALGSA-N -1 1 348.403 -0.161 20 0 EBADMM CCc1c[nH]c(=O)c(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c1 ZINC000956986147 722142086 /nfs/dbraw/zinc/14/20/86/722142086.db2.gz GNDQACVBMBWINY-UHFFFAOYSA-N -1 1 346.391 -0.271 20 0 EBADMM CCN(C(=O)[C@H]1C[C@@]12CCOC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000957108824 722158193 /nfs/dbraw/zinc/15/81/93/722158193.db2.gz ODFZRRVPQGMNDZ-MLGOLLRUSA-N -1 1 335.408 -0.432 20 0 EBADMM COCC(=O)N1CC[C@](C)(NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC000957219674 722176334 /nfs/dbraw/zinc/17/63/34/722176334.db2.gz KJHLXUSHPYOVAY-AWEZNQCLSA-N -1 1 340.336 -0.736 20 0 EBADMM Cn1cc(CN2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC2)cn1 ZINC000957314393 722192857 /nfs/dbraw/zinc/19/28/57/722192857.db2.gz FEVJEIOUOSVSSJ-UHFFFAOYSA-N -1 1 342.363 -0.891 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCN(Cc2ccon2)CC1 ZINC000957314581 722193049 /nfs/dbraw/zinc/19/30/49/722193049.db2.gz KGKXLKUVNMFTBN-UHFFFAOYSA-N -1 1 329.320 -0.636 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCN(C[C@H]2CCCCO2)CC1 ZINC000957319557 722195175 /nfs/dbraw/zinc/19/51/75/722195175.db2.gz SEGOTNCXLSIUCS-GFCCVEGCSA-N -1 1 346.391 -0.256 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCN(Cc2nncs2)CC1 ZINC000957319270 722195425 /nfs/dbraw/zinc/19/54/25/722195425.db2.gz FODPSKWYCZINDI-UHFFFAOYSA-N -1 1 346.376 -0.773 20 0 EBADMM CCN(C(=O)c1ccc(=O)[nH]c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000957350200 722199966 /nfs/dbraw/zinc/19/99/66/722199966.db2.gz DMSSLSCCTDPEDN-UHFFFAOYSA-N -1 1 332.364 -0.445 20 0 EBADMM C[C@H](CC(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1)[C@H]1CCCO1 ZINC000957463158 722214571 /nfs/dbraw/zinc/21/45/71/722214571.db2.gz YCEJTDKLQOUGCX-CHWSQXEVSA-N -1 1 337.424 -0.042 20 0 EBADMM C[C@@H](CC(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1)[C@H]1CCCO1 ZINC000957463160 722214788 /nfs/dbraw/zinc/21/47/88/722214788.db2.gz YCEJTDKLQOUGCX-QWHCGFSZSA-N -1 1 337.424 -0.042 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)[C@H]3CCc4c[nH]nc4C3)CC2)nc1=O ZINC000957727662 722236874 /nfs/dbraw/zinc/23/68/74/722236874.db2.gz NRMYKSRCZIQCQL-NSHDSACASA-N -1 1 345.407 -0.719 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@@H](CNC(=O)c3cccs3)C2)nc1=O ZINC000957839336 722248168 /nfs/dbraw/zinc/24/81/68/722248168.db2.gz XTPZNVDNYVXKCL-VHSXEESVSA-N -1 1 337.405 -0.607 20 0 EBADMM Cc1occc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC000957864069 722254296 /nfs/dbraw/zinc/25/42/96/722254296.db2.gz OUKMVJHDCUDMDG-ZYHUDNBSSA-N -1 1 335.364 -0.767 20 0 EBADMM Cc1ccncc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC000957958199 722277455 /nfs/dbraw/zinc/27/74/55/722277455.db2.gz UZXOVFPWJWXAHF-DGCLKSJQSA-N -1 1 346.391 -0.965 20 0 EBADMM O=C(NC[C@@H]1CN(Cc2ncccn2)C[C@H]1O)c1ncccc1[O-] ZINC000957990238 722283712 /nfs/dbraw/zinc/28/37/12/722283712.db2.gz LONCSJCESSKCBY-DGCLKSJQSA-N -1 1 329.360 -0.200 20 0 EBADMM O=C(CN1C[C@@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1)N1CCC1 ZINC000957990483 722283783 /nfs/dbraw/zinc/28/37/83/722283783.db2.gz NHBXQGQPLAUTCQ-WCQYABFASA-N -1 1 334.376 -0.958 20 0 EBADMM CCn1cc(CN2C[C@@H](CNC(=O)c3ncccc3[O-])[C@H](O)C2)nn1 ZINC000957997027 722285617 /nfs/dbraw/zinc/28/56/17/722285617.db2.gz WPOTTZSGVPGECI-BXUZGUMPSA-N -1 1 346.391 -0.379 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(Cc3cc(=O)n4[n-]ccc4n3)C[C@H]21)c1cn[nH]c1 ZINC000958311849 722342752 /nfs/dbraw/zinc/34/27/52/722342752.db2.gz WVOSXCXBOOJLIX-JYAVWHMHSA-N -1 1 339.359 -0.394 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2[C@H]3CN([C@@H](C)C(=O)NC4CC4)C[C@H]32)c1[O-] ZINC000958585995 722395996 /nfs/dbraw/zinc/39/59/96/722395996.db2.gz BZDCEIAHINCOEQ-OHBODLIOSA-N -1 1 333.392 -0.249 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)C[C@@H]2CCCO2)C[C@@H]1O ZINC000958830133 722603970 /nfs/dbraw/zinc/60/39/70/722603970.db2.gz GLEXSZKGIIICBN-OBJOEFQTSA-N -1 1 349.387 0.000 20 0 EBADMM C[C@H](NC(=O)C1CC1)[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000959208748 722698726 /nfs/dbraw/zinc/69/87/26/722698726.db2.gz RBGIUFNKBGDIOR-WCQYABFASA-N -1 1 348.403 -0.310 20 0 EBADMM O=C(c1ccnc2ccnn21)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001018960258 728755886 /nfs/dbraw/zinc/75/58/86/728755886.db2.gz HPURBGHSQYQLOL-VIFPVBQESA-N -1 1 328.336 -0.443 20 0 EBADMM Nc1nccnc1C(=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959928910 722776061 /nfs/dbraw/zinc/77/60/61/722776061.db2.gz JSLMHPAGPQWPDP-VIFPVBQESA-N -1 1 332.368 -0.333 20 0 EBADMM O=C(c1ncccc1[O-])N1CCO[C@@H]2CN(C(=O)[C@@H]3CCOC3)C[C@@H]21 ZINC000960278081 722813160 /nfs/dbraw/zinc/81/31/60/722813160.db2.gz VIKIVZVNLGEBGN-MBNYWOFBSA-N -1 1 347.371 -0.125 20 0 EBADMM C[C@@H](C(=O)N1CCC[C@H]1CN(C)Cc1nc(=O)n(C)[n-]1)n1cccn1 ZINC000960447219 722830547 /nfs/dbraw/zinc/83/05/47/722830547.db2.gz VXJXGVHIJHLRCN-STQMWFEESA-N -1 1 347.423 -0.011 20 0 EBADMM Cc1cc(C(=O)N2CCC[C@@H]2CN(C)Cc2nc(=O)n(C)[n-]2)n(C)n1 ZINC000960473326 722832167 /nfs/dbraw/zinc/83/21/67/722832167.db2.gz SHCJMOMSJALZBM-GFCCVEGCSA-N -1 1 347.423 -0.113 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)[C@@H]1CCCOC1 ZINC000960485640 722833332 /nfs/dbraw/zinc/83/33/32/722833332.db2.gz MRDIXEHYYJOLOT-CHWSQXEVSA-N -1 1 337.424 -0.042 20 0 EBADMM Cc1cc(CC(=O)N2CCC[C@H]2CN(C)Cc2nc(=O)n(C)[n-]2)[nH]n1 ZINC000960544524 722837817 /nfs/dbraw/zinc/83/78/17/722837817.db2.gz BEVJRPVKLFECCQ-ZDUSSCGKSA-N -1 1 347.423 -0.195 20 0 EBADMM Cc1cc(C(=O)N2CCC[C@H]2CN(C)Cc2nc(=O)n(C)[n-]2)ncn1 ZINC000960575667 722840458 /nfs/dbraw/zinc/84/04/58/722840458.db2.gz KVWMWGKPMIUAEQ-LBPRGKRZSA-N -1 1 345.407 -0.057 20 0 EBADMM Cc1nocc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC000960806635 722867048 /nfs/dbraw/zinc/86/70/48/722867048.db2.gz GINAZDLOQFGNRI-MYJAWHEDSA-N -1 1 332.320 -0.561 20 0 EBADMM C[C@H](c1cnccn1)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC000960806723 722867080 /nfs/dbraw/zinc/86/70/80/722867080.db2.gz HFQZAZYHKXXEDR-REIXXSIJSA-N -1 1 343.347 -0.506 20 0 EBADMM O=C(c1cn[nH]n1)N1C[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C1 ZINC000961423807 723016882 /nfs/dbraw/zinc/01/68/82/723016882.db2.gz XEGWHWYXZDCHJX-AOOOYVTPSA-N -1 1 328.332 -0.251 20 0 EBADMM O=C(Cc1nnc[nH]1)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC000961427115 723017507 /nfs/dbraw/zinc/01/75/07/723017507.db2.gz JHBVNQRJCIIRJE-PHIMTYICSA-N -1 1 342.359 -0.322 20 0 EBADMM Cc1nc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)cs1 ZINC000961579304 723040051 /nfs/dbraw/zinc/04/00/51/723040051.db2.gz DQLNYGDVGZCXPL-GDGBQDQQSA-N -1 1 334.405 -0.266 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2conc2C2CC2)nc1=O ZINC000961744717 723068620 /nfs/dbraw/zinc/06/86/20/723068620.db2.gz NWOPRPSRNMMOHL-MSRIBSCDSA-N -1 1 344.375 -0.166 20 0 EBADMM COCc1nn(C)cc1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019058772 728785982 /nfs/dbraw/zinc/78/59/82/728785982.db2.gz VRRZSXFZDBYSIM-VIFPVBQESA-N -1 1 335.368 -0.606 20 0 EBADMM NC(=O)C1CCC(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001019072896 728789583 /nfs/dbraw/zinc/78/95/83/728789583.db2.gz FDQVONCFSIAPQT-ILDUYXDCSA-N -1 1 336.396 -0.508 20 0 EBADMM Cc1ccnc(CNCC2CC(NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC000962039816 723156208 /nfs/dbraw/zinc/15/62/08/723156208.db2.gz OQUWWDOYXXEMQX-UHFFFAOYSA-N -1 1 345.363 -0.321 20 0 EBADMM O=C(c1ccc2c(n1)OCCO2)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019089847 728793923 /nfs/dbraw/zinc/79/39/23/728793923.db2.gz VTVNOUOLSCQMGZ-VIFPVBQESA-N -1 1 346.347 -0.319 20 0 EBADMM Cc1ncoc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000962080368 723168319 /nfs/dbraw/zinc/16/83/19/723168319.db2.gz QRFLPNZUJJVYPX-KOLCDFICSA-N -1 1 332.316 -0.301 20 0 EBADMM COc1ccc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)o1 ZINC000962114199 723174805 /nfs/dbraw/zinc/17/48/05/723174805.db2.gz CKNNYBFAMWVJMK-WOFXILAISA-N -1 1 333.348 -0.430 20 0 EBADMM O=C(Cc1cccnc1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000962301732 723221904 /nfs/dbraw/zinc/22/19/04/723221904.db2.gz PMGYMVLCVFQJCR-OCCSQVGLSA-N -1 1 342.355 -0.274 20 0 EBADMM C[C@@](O)(CC(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1)C1CC1 ZINC000962434094 723251172 /nfs/dbraw/zinc/25/11/72/723251172.db2.gz DCCOLTHSVVCPMD-BTJLNZGRSA-N -1 1 349.387 -0.360 20 0 EBADMM CCc1ocnc1C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962433924 723251284 /nfs/dbraw/zinc/25/12/84/723251284.db2.gz AOHGQFRVFIJWLJ-KOLCDFICSA-N -1 1 346.343 -0.047 20 0 EBADMM O=C(CC[C@@H]1CCOC1)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962434882 723251902 /nfs/dbraw/zinc/25/19/02/723251902.db2.gz MFKLHZIAGWZCNC-BZPMIXESSA-N -1 1 349.387 -0.095 20 0 EBADMM CCn1cc(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)cn1 ZINC000962434988 723252069 /nfs/dbraw/zinc/25/20/69/723252069.db2.gz NCFNTHFYLWFXHH-YPMHNXCESA-N -1 1 345.359 -0.381 20 0 EBADMM O=C(C[C@H]1CCCOC1)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962436629 723252960 /nfs/dbraw/zinc/25/29/60/723252960.db2.gz IUZBBLSVDLNXLA-BZPMIXESSA-N -1 1 349.387 -0.095 20 0 EBADMM Cc1nc[nH]c1C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962436186 723253199 /nfs/dbraw/zinc/25/31/99/723253199.db2.gz CKFLMNSEMMNICX-KOLCDFICSA-N -1 1 331.332 -0.566 20 0 EBADMM CCC(=O)N1CCC[C@@H]2[C@@H]1CCN2C(=O)CCn1cc[n-]c(=O)c1=O ZINC000962519641 723274459 /nfs/dbraw/zinc/27/44/59/723274459.db2.gz QKTTYJFCFTZUTP-OLZOCXBDSA-N -1 1 348.403 -0.071 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)[C@H]1[C@@H]2COC[C@@H]21)c1ncccc1[O-] ZINC000964151498 723838539 /nfs/dbraw/zinc/83/85/39/723838539.db2.gz AAHHHKQUQYZRHA-CIQGVGRVSA-N -1 1 347.371 -0.363 20 0 EBADMM CC(C)(O)CC(=O)N1CCOC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000964155435 723841884 /nfs/dbraw/zinc/84/18/84/723841884.db2.gz OEJJZJWKLTUXMZ-LLVKDONJSA-N -1 1 337.376 -0.095 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1ncc[nH]1)c1ncccc1[O-] ZINC000964157166 723842952 /nfs/dbraw/zinc/84/29/52/723842952.db2.gz YKTWBDRXIJCDIO-JTQLQIEISA-N -1 1 331.332 -0.219 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CCOC[C@@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC000964158036 723843745 /nfs/dbraw/zinc/84/37/45/723843745.db2.gz WQCREWMJEBOOCM-VIFPVBQESA-N -1 1 349.351 -0.879 20 0 EBADMM Cn1ncc(C(=O)NC[C@H]2COCCN2C(=O)c2ncccc2[O-])n1 ZINC000964260406 723922961 /nfs/dbraw/zinc/92/29/61/723922961.db2.gz CHDQUGAZOAQBFC-JTQLQIEISA-N -1 1 346.347 -0.813 20 0 EBADMM Cc1nn[nH]c1C(=O)NC[C@@H]1COCCN1C(=O)c1ncccc1[O-] ZINC000964291494 723944817 /nfs/dbraw/zinc/94/48/17/723944817.db2.gz PQCCAWGIZNAPOH-SNVBAGLBSA-N -1 1 346.347 -0.515 20 0 EBADMM Cn1nnc(C(=O)N[C@@H]2CCCN(C(=O)c3ncccc3[O-])CC2)n1 ZINC000965098779 724123652 /nfs/dbraw/zinc/12/36/52/724123652.db2.gz RMPUBEMHXIIHRY-SNVBAGLBSA-N -1 1 345.363 -0.265 20 0 EBADMM COc1cc(CC(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)ccn1 ZINC001019385999 728876818 /nfs/dbraw/zinc/87/68/18/728876818.db2.gz XEXNYKHKJBWTME-NSHDSACASA-N -1 1 332.364 -0.153 20 0 EBADMM O=C(Cn1cc(Cl)cn1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019445084 728885847 /nfs/dbraw/zinc/88/58/47/728885847.db2.gz DNLOKXUBXYAVGT-VIFPVBQESA-N -1 1 325.760 -0.249 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001019445577 728886090 /nfs/dbraw/zinc/88/60/90/728886090.db2.gz AHCWQHMWXYWXAZ-MNOVXSKESA-N -1 1 344.371 -0.417 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)nn1C ZINC000965454910 724252227 /nfs/dbraw/zinc/25/22/27/724252227.db2.gz XPKJNCNSJCBFMO-ZWNOBZJWSA-N -1 1 347.423 -0.209 20 0 EBADMM Cn1ccc(CN[C@H]2CCN(C(=O)c3c[n-]n4c3nccc4=O)C2)n1 ZINC001019479512 728897200 /nfs/dbraw/zinc/89/72/00/728897200.db2.gz BVMOVJNCULQYOA-LBPRGKRZSA-N -1 1 341.375 -0.240 20 0 EBADMM NC(=O)CC(=O)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])CC[C@@H]2C1 ZINC000967343657 724865381 /nfs/dbraw/zinc/86/53/81/724865381.db2.gz WVVVCCFEORDAHF-TXEJJXNPSA-N -1 1 346.387 -0.027 20 0 EBADMM CCN(C(=O)c1cc(C)n[nH]1)C1CN(C(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC000967814972 724911330 /nfs/dbraw/zinc/91/13/30/724911330.db2.gz GTZGWRSFEQVFHH-UHFFFAOYSA-N -1 1 349.351 -0.906 20 0 EBADMM Cc1cnn(C)c1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1C ZINC000967899082 724927548 /nfs/dbraw/zinc/92/75/48/724927548.db2.gz YYEWKKSKRDNHFS-CMPLNLGQSA-N -1 1 347.423 -0.209 20 0 EBADMM Cc1cnn(C)c1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1C ZINC000967899090 724927606 /nfs/dbraw/zinc/92/76/06/724927606.db2.gz YYEWKKSKRDNHFS-ZYHUDNBSSA-N -1 1 347.423 -0.209 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cc1cnoc1 ZINC000967970999 724940682 /nfs/dbraw/zinc/94/06/82/724940682.db2.gz SHFSZHWFCCKFNV-ZYHUDNBSSA-N -1 1 334.380 -0.334 20 0 EBADMM Cc1ncc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)n1C ZINC000968015008 724946183 /nfs/dbraw/zinc/94/61/83/724946183.db2.gz GVRANLRUKIQMOO-ZYHUDNBSSA-N -1 1 347.423 -0.209 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1cn(C)c(=O)[nH]1 ZINC000968230846 724986927 /nfs/dbraw/zinc/98/69/27/724986927.db2.gz GCQKUCDRRYWKAA-UWVGGRQHSA-N -1 1 349.395 -0.812 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1ncccn1 ZINC000968450072 725065429 /nfs/dbraw/zinc/06/54/29/725065429.db2.gz PGRFXBCPENEMQW-MNOVXSKESA-N -1 1 331.380 -0.461 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1cccnn1 ZINC000968460442 725068192 /nfs/dbraw/zinc/06/81/92/725068192.db2.gz URGDZNGPUBXPSS-PWSUYJOCSA-N -1 1 331.380 -0.461 20 0 EBADMM Cc1nonc1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1C ZINC000968706152 725106275 /nfs/dbraw/zinc/10/62/75/725106275.db2.gz LGHCFSMUINZMAE-WPRPVWTQSA-N -1 1 335.368 -0.560 20 0 EBADMM O=C(c1cnc2[nH]cnc2c1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000968819031 725117356 /nfs/dbraw/zinc/11/73/56/725117356.db2.gz JYBWDFPNGOABDN-SECBINFHSA-N -1 1 328.336 -0.214 20 0 EBADMM Cc1cc(C)n(CC(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c(=O)n1 ZINC001019570992 728924455 /nfs/dbraw/zinc/92/44/55/728924455.db2.gz GKBNFGGVXGIJPF-NSHDSACASA-N -1 1 347.379 -0.925 20 0 EBADMM Cn1nncc1C(=O)NC[C@H]1CCCCCN1Cc1nc(=O)n(C)[n-]1 ZINC000968843546 725119624 /nfs/dbraw/zinc/11/96/24/725119624.db2.gz XDJFQIJEHBDXJL-LLVKDONJSA-N -1 1 348.411 -0.589 20 0 EBADMM Cc1c(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)nn2c1OCCC2 ZINC000968864272 725122257 /nfs/dbraw/zinc/12/22/57/725122257.db2.gz GRCROIQWSKIVHA-SNVBAGLBSA-N -1 1 347.379 -0.198 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2cn[nH]n2)CCCO1)c1ncccc1[O-] ZINC001019572273 728925053 /nfs/dbraw/zinc/92/50/53/728925053.db2.gz VHHQGWDKMKUKRL-JTQLQIEISA-N -1 1 346.347 -0.434 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2cnon2)CCCO1)c1ncccc1[O-] ZINC001019573814 728925451 /nfs/dbraw/zinc/92/54/51/728925451.db2.gz NSCJWICTDQMRHY-SNVBAGLBSA-N -1 1 347.331 -0.169 20 0 EBADMM O=C(c1cnc2nccnc2c1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000968939635 725131249 /nfs/dbraw/zinc/13/12/49/725131249.db2.gz HJFHBTUCGQIGER-SNVBAGLBSA-N -1 1 340.347 -0.147 20 0 EBADMM Cn1[n-]c(CN2CCCCC[C@H]2CNC(=O)c2cnon2)nc1=O ZINC000969085056 725149896 /nfs/dbraw/zinc/14/98/96/725149896.db2.gz KXKFXPZERAQDTP-JTQLQIEISA-N -1 1 335.368 -0.334 20 0 EBADMM Cc1nonc1C(=O)NC[C@@H]1CCCCCN1Cc1nc(=O)n(C)[n-]1 ZINC000969163736 725158019 /nfs/dbraw/zinc/15/80/19/725158019.db2.gz ISVWWYHHFWMMCU-NSHDSACASA-N -1 1 349.395 -0.026 20 0 EBADMM Cc1cc(CN[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)ncn1 ZINC000969211514 725161940 /nfs/dbraw/zinc/16/19/40/725161940.db2.gz DGZFTZPESJMHLA-SECBINFHSA-N -1 1 331.336 -0.614 20 0 EBADMM CO[C@H](C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)c1cnn(C)c1 ZINC000969475521 725183853 /nfs/dbraw/zinc/18/38/53/725183853.db2.gz BKCYCALAUIGMOX-PWSUYJOCSA-N -1 1 335.368 -0.678 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(Cc2nc(=O)n(C)[nH]2)C1 ZINC000969546033 725191497 /nfs/dbraw/zinc/19/14/97/725191497.db2.gz HPRMARZJOPIHOJ-VIFPVBQESA-N -1 1 332.364 -0.541 20 0 EBADMM COc1cc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)ncn1 ZINC000969682624 725202857 /nfs/dbraw/zinc/20/28/57/725202857.db2.gz VTHWGNHPLPLDQI-SECBINFHSA-N -1 1 347.379 -0.843 20 0 EBADMM O=C(c1ccc2[nH]c(=O)[nH]c2n1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969729758 725207308 /nfs/dbraw/zinc/20/73/08/725207308.db2.gz CBVFHMXQCAKVFS-SSDOTTSWSA-N -1 1 344.335 -0.509 20 0 EBADMM CCc1nn(C)cc1C(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969910750 725227659 /nfs/dbraw/zinc/22/76/59/725227659.db2.gz HYYFQQHBNQTTEB-JTQLQIEISA-N -1 1 347.423 -0.345 20 0 EBADMM Cn1cc([C@@H]2C[C@H]2C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC000970039262 725242365 /nfs/dbraw/zinc/24/23/65/725242365.db2.gz CWWULQLMKNXEQT-GRYCIOLGSA-N -1 1 331.380 -0.262 20 0 EBADMM O=C(C1CC1)N1CCC[C@H]1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970193575 725260495 /nfs/dbraw/zinc/26/04/95/725260495.db2.gz SACVMJXKLAHWEU-NEPJUHHUSA-N -1 1 348.407 -0.398 20 0 EBADMM O=C(c1cnc2cccnn21)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970200148 725261207 /nfs/dbraw/zinc/26/12/07/725261207.db2.gz IUGQNLNPUOALAG-SECBINFHSA-N -1 1 328.336 -0.443 20 0 EBADMM CCc1nocc1C(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970387337 725285775 /nfs/dbraw/zinc/28/57/75/725285775.db2.gz FPOLTIXWYGWEKH-SECBINFHSA-N -1 1 334.380 -0.091 20 0 EBADMM CCn1nc(C)cc1C(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970470800 725294059 /nfs/dbraw/zinc/29/40/59/725294059.db2.gz LPNXTQUSNJKYRO-LLVKDONJSA-N -1 1 347.423 -0.116 20 0 EBADMM CCCn1cc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC000970513079 725296928 /nfs/dbraw/zinc/29/69/28/725296928.db2.gz NITLMXWCFXMUML-LLVKDONJSA-N -1 1 347.423 -0.035 20 0 EBADMM C[C@H](NC(=O)c1ncccc1F)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970650645 725311364 /nfs/dbraw/zinc/31/13/64/725311364.db2.gz WPCNYBJJSLEMIV-VIFPVBQESA-N -1 1 334.355 -0.107 20 0 EBADMM C[C@H](NC(=O)[C@H]1CCc2[nH]cnc2C1)C1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC000970708421 725319713 /nfs/dbraw/zinc/31/97/13/725319713.db2.gz LBCLJVFQEXRFRR-UWVGGRQHSA-N -1 1 345.407 -0.025 20 0 EBADMM C[C@H](C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)N1CCCCC1=O ZINC000970782448 725327384 /nfs/dbraw/zinc/32/73/84/725327384.db2.gz HJVBJHAYEVWLMT-GHMZBOCLSA-N -1 1 336.396 -0.398 20 0 EBADMM CCc1nc[nH]c1C(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970817795 725331869 /nfs/dbraw/zinc/33/18/69/725331869.db2.gz YALHFSXOAPJYAK-VIFPVBQESA-N -1 1 333.396 -0.356 20 0 EBADMM Cc1nccc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000970895899 725338870 /nfs/dbraw/zinc/33/88/70/725338870.db2.gz QACJSIIBJAQOBL-SECBINFHSA-N -1 1 331.380 -0.543 20 0 EBADMM CCC(=O)N1C[C@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]21 ZINC000970963567 725346145 /nfs/dbraw/zinc/34/61/45/725346145.db2.gz OBXGKUUWHCHKPO-MWLCHTKSSA-N -1 1 330.348 -0.500 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)C[C@](C)(O)C2CC2)C1 ZINC000971371612 725360791 /nfs/dbraw/zinc/36/07/91/725360791.db2.gz WSWUMRDPVWMSKW-WBMJQRKESA-N -1 1 337.424 -0.308 20 0 EBADMM CCn1ccnc1C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000971428543 725365276 /nfs/dbraw/zinc/36/52/76/725365276.db2.gz ZXWKUYCIZJABDG-LLVKDONJSA-N -1 1 333.396 -0.329 20 0 EBADMM CCn1ncc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000971497896 725369495 /nfs/dbraw/zinc/36/94/95/725369495.db2.gz VOTHSVQNZYRQSZ-SNVBAGLBSA-N -1 1 334.384 -0.934 20 0 EBADMM Cc1nnccc1C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000971866296 725443750 /nfs/dbraw/zinc/44/37/50/725443750.db2.gz BXTNZSNFQUFSEP-NSHDSACASA-N -1 1 331.380 -0.447 20 0 EBADMM Cc1nc(CN(C)[C@@H]2CCN(C(=O)c3cnc([O-])n(C)c3=O)C2)n[nH]1 ZINC000972064798 725460415 /nfs/dbraw/zinc/46/04/15/725460415.db2.gz UORDWSVOLBLIEL-SNVBAGLBSA-N -1 1 347.379 -0.741 20 0 EBADMM CC(C)=CC(=O)N1CC[C@@]2(C1)CN(Cc1nc(=O)n(C)[n-]1)CCO2 ZINC000972230577 725481212 /nfs/dbraw/zinc/48/12/12/725481212.db2.gz NLJWMSPDGJQTLX-INIZCTEOSA-N -1 1 335.408 -0.122 20 0 EBADMM C[C@H](C(=O)N1CC[C@]2(C1)CN(Cc1nc(=O)n(C)[n-]1)CCO2)C1CC1 ZINC000972323292 725499658 /nfs/dbraw/zinc/49/96/58/725499658.db2.gz IXNZVNQEVDPPTB-YVEFUNNKSA-N -1 1 349.435 -0.042 20 0 EBADMM C[C@@H](C(=O)N1CC[C@]2(C1)CN(Cc1nc(=O)n(C)[n-]1)CCO2)C1CC1 ZINC000972323290 725499869 /nfs/dbraw/zinc/49/98/69/725499869.db2.gz IXNZVNQEVDPPTB-SJKOYZFVSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@]3(CCN(C(=O)[C@H]4CC4(C)C)C3)C2)nc1=O ZINC000972338750 725500788 /nfs/dbraw/zinc/50/07/88/725500788.db2.gz JXBLZHYKPVJCQL-PXAZEXFGSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@]3(CCN(C(=O)C4(C)CC4)C3)C2)nc1=O ZINC000972398418 725514894 /nfs/dbraw/zinc/51/48/94/725514894.db2.gz WVUGUTJYVUBMGP-INIZCTEOSA-N -1 1 335.408 -0.288 20 0 EBADMM O=C(NC1CN(C(=O)c2ccn[nH]2)C1)c1c[n-]n2c1nccc2=O ZINC000991661282 725521754 /nfs/dbraw/zinc/52/17/54/725521754.db2.gz AJYKSWWCRSOIDO-UHFFFAOYSA-N -1 1 327.304 -1.000 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CC[C@]2(C1)CN(CCCF)CCO2 ZINC000972450618 725526501 /nfs/dbraw/zinc/52/65/01/725526501.db2.gz XBDAOCVBOZDMKM-CQSZACIVSA-N -1 1 341.343 -0.441 20 0 EBADMM Cc1cc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)nc(C)n1 ZINC000972546341 725547359 /nfs/dbraw/zinc/54/73/59/725547359.db2.gz IMQHHIQUOUGFBT-GFCCVEGCSA-N -1 1 345.407 -0.138 20 0 EBADMM CN(Cc1ccon1)[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000972553862 725548377 /nfs/dbraw/zinc/54/83/77/725548377.db2.gz DRVCJVRPFYRZJB-ZDUSSCGKSA-N -1 1 347.375 -0.352 20 0 EBADMM COc1ncc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC000972662853 725573050 /nfs/dbraw/zinc/57/30/50/725573050.db2.gz UXWYNRMONGXWHJ-LLVKDONJSA-N -1 1 347.379 -0.747 20 0 EBADMM C[C@@H](CC(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1)n1cccn1 ZINC000972688702 725581101 /nfs/dbraw/zinc/58/11/01/725581101.db2.gz VXXHZGNUQVQIAN-STQMWFEESA-N -1 1 347.423 -0.011 20 0 EBADMM CCc1c[nH]c(CC(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001051660648 735300838 /nfs/dbraw/zinc/30/08/38/735300838.db2.gz ZDDLTIOAZAWGNC-NSHDSACASA-N -1 1 349.395 -0.645 20 0 EBADMM O=C(N[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1ccoc1 ZINC000972969069 725613847 /nfs/dbraw/zinc/61/38/47/725613847.db2.gz YCFUAGZHOFOCPW-MGCOHNPYSA-N -1 1 342.315 -0.299 20 0 EBADMM Cn1cnc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)c1 ZINC000973034779 725621352 /nfs/dbraw/zinc/62/13/52/725621352.db2.gz UHBMMFBSMCUSKQ-LLVKDONJSA-N -1 1 333.396 -0.518 20 0 EBADMM O=C(Cc1c[nH]cn1)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000991801934 725632393 /nfs/dbraw/zinc/63/23/93/725632393.db2.gz DLINTFWYGRNCGL-AAEUAGOBSA-N -1 1 345.359 -0.555 20 0 EBADMM COC(=O)[C@@H]1C[C@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973092729 725634944 /nfs/dbraw/zinc/63/49/44/725634944.db2.gz LADYJXLMYPKPAP-GWOFURMSSA-N -1 1 333.344 -0.027 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@H](NC(=O)c2cocn2)C1 ZINC000973096389 725636390 /nfs/dbraw/zinc/63/63/90/725636390.db2.gz OYQZEWGLWKNTGQ-LJGSYFOKSA-N -1 1 349.303 -0.425 20 0 EBADMM O=C(NC1CC(CNC(=O)[C@H]2CCC(=O)NC2)C1)c1ncccc1[O-] ZINC000992223696 725753838 /nfs/dbraw/zinc/75/38/38/725753838.db2.gz QJZBZMCNTGHPMT-CXQJBGSLSA-N -1 1 346.387 -0.062 20 0 EBADMM O=C(NC1CC(CNC(=O)[C@@H]2CCNC2=O)C1)c1ncccc1[O-] ZINC000992275805 725764997 /nfs/dbraw/zinc/76/49/97/725764997.db2.gz AGHUZJRKANXWKH-VQXHTEKXSA-N -1 1 332.360 -0.452 20 0 EBADMM Cn1ccc(C(=O)N[C@@]23CCC[C@H]2N(Cc2nc(=O)n(C)[n-]2)CC3)n1 ZINC000992497338 725804061 /nfs/dbraw/zinc/80/40/61/725804061.db2.gz FAAJTIMYVGVJQY-MLGOLLRUSA-N -1 1 345.407 -0.231 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@@H](NC(=O)[C@H]2C[C@H]2C)C1 ZINC000973826695 725805916 /nfs/dbraw/zinc/80/59/16/725805916.db2.gz LVELCVAWDCFPLS-HXFLIBJXSA-N -1 1 336.348 -0.071 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)[C@H]4CCCO4)CCC[C@H]23)nc1=O ZINC000992512482 725806310 /nfs/dbraw/zinc/80/63/10/725806310.db2.gz SJOYQWVWCUXDNK-WQGACYEGSA-N -1 1 335.408 -0.099 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@@H](NC(=O)c2ccon2)C1 ZINC000973916495 725823576 /nfs/dbraw/zinc/82/35/76/725823576.db2.gz SJHIWEWLTDOGQN-KNVOCYPGSA-N -1 1 349.303 -0.425 20 0 EBADMM CN1CCO[C@H](C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000973999852 725844884 /nfs/dbraw/zinc/84/48/84/725844884.db2.gz OMUKLFLFNIWOIC-LOWVWBTDSA-N -1 1 334.376 -0.505 20 0 EBADMM Cc1cnc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)cn1 ZINC000993024850 725851546 /nfs/dbraw/zinc/85/15/46/725851546.db2.gz WIHBYYPERMMBOC-RYUDHWBXSA-N -1 1 345.407 -0.010 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cc2cc[nH]n2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993140196 725857737 /nfs/dbraw/zinc/85/77/37/725857737.db2.gz AKWMILMZYFLEBB-PWSUYJOCSA-N -1 1 333.396 -0.457 20 0 EBADMM NC(=O)c1ccc(C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)[nH]1 ZINC000974055130 725858553 /nfs/dbraw/zinc/85/85/53/725858553.db2.gz AVQFEFJKCQNEBQ-DTORHVGOSA-N -1 1 343.343 -0.095 20 0 EBADMM Cc1ncc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)[nH]1 ZINC000993161364 725858938 /nfs/dbraw/zinc/85/89/38/725858938.db2.gz UPZUGMDCDKOCIR-ONGXEEELSA-N -1 1 333.396 -0.077 20 0 EBADMM CCN1CCO[C@@H](C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000974057184 725859161 /nfs/dbraw/zinc/85/91/61/725859161.db2.gz YIOBHVFEGKWJBU-MBNYWOFBSA-N -1 1 348.403 -0.115 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2nccnc2N)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993167926 725859355 /nfs/dbraw/zinc/85/93/55/725859355.db2.gz FRVSETKESZAXGX-UWVGGRQHSA-N -1 1 346.395 -0.737 20 0 EBADMM Cc1c(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)nnn1C ZINC000993188251 725860630 /nfs/dbraw/zinc/86/06/30/725860630.db2.gz SCKQRJAEPMSQDK-GXSJLCMTSA-N -1 1 348.411 -0.672 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCCN1Cc1nc(=O)n(C)[nH]1 ZINC000993250140 725865593 /nfs/dbraw/zinc/86/55/93/725865593.db2.gz PNORCOISNLLYJK-WDEREUQCSA-N -1 1 346.391 -0.008 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cn(C)nn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993295403 725870064 /nfs/dbraw/zinc/87/00/64/725870064.db2.gz HSPSCTMXZRJOPM-ZJUUUORDSA-N -1 1 334.384 -0.980 20 0 EBADMM C[C@H]1C[C@@H]1CC(=O)N[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000974324867 725945329 /nfs/dbraw/zinc/94/53/29/725945329.db2.gz PPHXBRYIFKYQTN-ZRUFSTJUSA-N -1 1 344.375 -0.159 20 0 EBADMM C[C@H]1[C@H](NC(=O)Cc2c[nH]cn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993888297 725958012 /nfs/dbraw/zinc/95/80/12/725958012.db2.gz VFHSHLMQOBCORS-CMPLNLGQSA-N -1 1 333.396 -0.457 20 0 EBADMM C[C@H]1[C@@H](NC(=O)Cc2c[nH]cn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993888298 725958114 /nfs/dbraw/zinc/95/81/14/725958114.db2.gz VFHSHLMQOBCORS-JQWIXIFHSA-N -1 1 333.396 -0.457 20 0 EBADMM Cc1c[nH]c(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)n1 ZINC000993962475 725967653 /nfs/dbraw/zinc/96/76/53/725967653.db2.gz LVOGJULQOZXHGA-GHMZBOCLSA-N -1 1 333.396 -0.077 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCO[C@H](CNCc2ccccn2)C1 ZINC001051699441 735335327 /nfs/dbraw/zinc/33/53/27/735335327.db2.gz QRVPMTHOJFBTPF-LLVKDONJSA-N -1 1 346.347 -0.691 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000974710306 726055818 /nfs/dbraw/zinc/05/58/18/726055818.db2.gz SZLDIIIMZSPQLU-SECBINFHSA-N -1 1 349.395 -0.514 20 0 EBADMM Cn1cncc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000974770962 726064353 /nfs/dbraw/zinc/06/43/53/726064353.db2.gz ABQRWEVFFNMSDR-LLVKDONJSA-N -1 1 333.396 -0.518 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@@]3(F)CCOC3)C(C)(C)C2)nc1=O ZINC000974966551 726088362 /nfs/dbraw/zinc/08/83/62/726088362.db2.gz BVYPDWQYLARQEZ-MEBBXXQBSA-N -1 1 341.387 -0.436 20 0 EBADMM Cc1ccn(CC(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)n1 ZINC000975043973 726097185 /nfs/dbraw/zinc/09/71/85/726097185.db2.gz ZIFJDLUSMYCPIP-LBPRGKRZSA-N -1 1 347.423 -0.360 20 0 EBADMM O=C(NC[C@H]1CCCN(C(=O)[C@@H]2COC(=O)N2)C1)c1ncccc1[O-] ZINC000975228356 726116762 /nfs/dbraw/zinc/11/67/62/726116762.db2.gz VWDUWTODBQZEOY-MNOVXSKESA-N -1 1 348.359 -0.136 20 0 EBADMM Cn1cc(CNC[C@H]2CC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)nn1 ZINC000995007005 726141692 /nfs/dbraw/zinc/14/16/92/726141692.db2.gz NYVNTCOOTLRFBX-IUCAKERBSA-N -1 1 348.367 -0.900 20 0 EBADMM C[C@H]1C[C@@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)CC(=O)N1 ZINC000995015126 726144282 /nfs/dbraw/zinc/14/42/82/726144282.db2.gz VWIWNHAKFHNFEI-VHSXEESVSA-N -1 1 332.360 -0.358 20 0 EBADMM COCC(=O)N1CCC[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000975405165 726146784 /nfs/dbraw/zinc/14/67/84/726146784.db2.gz HLOYOGAFVLHOQO-SNVBAGLBSA-N -1 1 348.363 -0.968 20 0 EBADMM Cc1cc(CC(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)n(C)n1 ZINC001051711942 735345684 /nfs/dbraw/zinc/34/56/84/735345684.db2.gz UNXSAVKUMZXEKG-LBPRGKRZSA-N -1 1 349.395 -0.888 20 0 EBADMM O=C(CC1CC1)N[C@@H]1[C@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]21 ZINC000976040119 726195599 /nfs/dbraw/zinc/19/55/99/726195599.db2.gz ODCMCJDORQDVBD-MSRIBSCDSA-N -1 1 342.359 -0.596 20 0 EBADMM COC(=O)[C@@H]1C[C@@H]1C(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000976250120 726239758 /nfs/dbraw/zinc/23/97/58/726239758.db2.gz HYEFRUNSYVWSON-VLLVSFFDSA-N -1 1 345.355 -0.217 20 0 EBADMM CC1(C)CN(C(=O)CCn2cncn2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995484425 726249416 /nfs/dbraw/zinc/24/94/16/726249416.db2.gz VSURTBDZLQYOFY-SNVBAGLBSA-N -1 1 334.384 -0.481 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)[C@@H]3CCNC3=O)C[C@H]21)c1ncccc1[O-] ZINC000976392795 726289945 /nfs/dbraw/zinc/28/99/45/726289945.db2.gz DIHMLASHNUYJND-SVDPJWKOSA-N -1 1 330.344 -0.890 20 0 EBADMM CCn1ncc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)n1 ZINC000995777342 726298821 /nfs/dbraw/zinc/29/88/21/726298821.db2.gz YVEYYFKREHKZAS-JTQLQIEISA-N -1 1 334.384 -0.238 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000995938748 726315905 /nfs/dbraw/zinc/31/59/05/726315905.db2.gz DVNRLRPIXDZMNB-MRVPVSSYSA-N -1 1 335.368 -0.112 20 0 EBADMM CC1(C)CN(C(=O)c2cnc[nH]c2=O)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995943414 726316734 /nfs/dbraw/zinc/31/67/34/726316734.db2.gz UYLFCEOCUAFNDP-SECBINFHSA-N -1 1 333.352 -0.354 20 0 EBADMM C[C@H](C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1)S(C)(=O)=O ZINC000995972109 726319819 /nfs/dbraw/zinc/31/98/19/726319819.db2.gz XJZMZOIXUXPBLC-RKDXNWHRSA-N -1 1 345.425 -0.730 20 0 EBADMM Cn1ccc(CN[C@H]2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)CC2(C)C)n1 ZINC000996010771 726323235 /nfs/dbraw/zinc/32/32/35/726323235.db2.gz QJYVGFLRSZCBCQ-JTQLQIEISA-N -1 1 347.379 -0.343 20 0 EBADMM Cn1cc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)ncc1=O ZINC000996062064 726328356 /nfs/dbraw/zinc/32/83/56/726328356.db2.gz BDLPESXCBKNARM-SNVBAGLBSA-N -1 1 347.379 -0.756 20 0 EBADMM CC1(C)CN(C(=O)[C@@]2(C)CCNC2=O)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996289560 726360228 /nfs/dbraw/zinc/36/02/28/726360228.db2.gz GEAUMGKSIAZSOG-VFZGTOFNSA-N -1 1 336.396 -0.637 20 0 EBADMM CC1(C(=O)N[C@@H]2[C@H]3CN(C(=O)c4cc(=O)n5[n-]cnc5n4)C[C@H]32)CC1 ZINC000976637057 726369136 /nfs/dbraw/zinc/36/91/36/726369136.db2.gz JRWHZBOBVZLQRH-GDGBQDQQSA-N -1 1 342.359 -0.596 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)c2c[nH]c(C)n2)C1 ZINC000996396763 726385328 /nfs/dbraw/zinc/38/53/28/726385328.db2.gz LRSIOOXZOGQSAX-UHFFFAOYSA-N -1 1 348.319 -0.818 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CC(NC(=O)c2ccc3oc(=O)nc-3[n-]2)C1 ZINC000996402053 726387802 /nfs/dbraw/zinc/38/78/02/726387802.db2.gz YUIVQQNXPLBIBX-UHFFFAOYSA-N -1 1 343.303 -0.391 20 0 EBADMM O=C(NC1CN(C(=O)[C@H]2CC[C@@H](F)C2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996403847 726388026 /nfs/dbraw/zinc/38/80/26/726388026.db2.gz BNFBUTMKLJVUHT-DTWKUNHWSA-N -1 1 348.338 -0.504 20 0 EBADMM CC(C)[C@H](C)C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996409706 726389448 /nfs/dbraw/zinc/38/94/48/726389448.db2.gz XRSQHMJJLIKWCN-VIFPVBQESA-N -1 1 332.364 -0.350 20 0 EBADMM Cn1cc(CCC(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)nn1 ZINC000996741116 726424941 /nfs/dbraw/zinc/42/49/41/726424941.db2.gz ZNFDDQKLFOPWNS-LLVKDONJSA-N -1 1 348.411 -0.402 20 0 EBADMM O=C([C@@H]1CCS(=O)(=O)C1)N1CCC(NCc2n[nH]c(=O)[n-]2)CC1 ZINC000996786134 726427035 /nfs/dbraw/zinc/42/70/35/726427035.db2.gz UIKHOYRBGZDHLZ-SECBINFHSA-N -1 1 343.409 -0.974 20 0 EBADMM NC(=O)c1ccc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)o1 ZINC000996801960 726427881 /nfs/dbraw/zinc/42/78/81/726427881.db2.gz IFJCQSTWQNGEGY-UHFFFAOYSA-N -1 1 330.300 -0.267 20 0 EBADMM Cc1nonc1CNCC1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC000997888945 726469238 /nfs/dbraw/zinc/46/92/38/726469238.db2.gz OEIKCZILAOUDGE-UHFFFAOYSA-N -1 1 349.351 -0.384 20 0 EBADMM NC(=O)Cc1occc1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000998520228 726489974 /nfs/dbraw/zinc/48/99/74/726489974.db2.gz JGOVWABHENKWCL-UHFFFAOYSA-N -1 1 344.327 -0.338 20 0 EBADMM O=C(c1cnc2nccn2c1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999698961 726528392 /nfs/dbraw/zinc/52/83/92/726528392.db2.gz ATZFBZTUCSZJHD-NSHDSACASA-N -1 1 342.363 -0.053 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](n3cc(CNC(=O)C4CC4)nn3)C2)nc1=O ZINC000999938499 726542807 /nfs/dbraw/zinc/54/28/07/726542807.db2.gz MBMCAHXDNOTBGV-LBPRGKRZSA-N -1 1 346.395 -0.827 20 0 EBADMM O=C([C@H]1CCCCC(=O)N1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000168888 726557167 /nfs/dbraw/zinc/55/71/67/726557167.db2.gz OHBQXADTEUVDIJ-GHMZBOCLSA-N -1 1 336.396 -0.350 20 0 EBADMM O=C(COC1CCOCC1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000348009 726564723 /nfs/dbraw/zinc/56/47/23/726564723.db2.gz LEOQUOJJEGLTDL-NSHDSACASA-N -1 1 339.396 -0.214 20 0 EBADMM NC(=O)c1c[nH]c(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001000555826 726570660 /nfs/dbraw/zinc/57/06/60/726570660.db2.gz FNVWNUAIVSGLOX-VIFPVBQESA-N -1 1 333.352 -0.668 20 0 EBADMM Cn1ccc(CN[C@H]2CCCN(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)n1 ZINC001000788484 726577647 /nfs/dbraw/zinc/57/76/47/726577647.db2.gz HCWHZADICPEFNP-NSHDSACASA-N -1 1 335.368 -0.796 20 0 EBADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)c1cc[n+]([O-])cc1 ZINC001000791768 726577904 /nfs/dbraw/zinc/57/79/04/726577904.db2.gz CLAXDDFYWNUOJV-UHFFFAOYSA-N -1 1 328.328 -0.077 20 0 EBADMM C[C@H]1C[C@@H](C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)CO1 ZINC001000863500 726581279 /nfs/dbraw/zinc/58/12/79/726581279.db2.gz SWJZTLKZSAKMMO-WCQYABFASA-N -1 1 335.408 -0.218 20 0 EBADMM O=C(CCN1CCCC1=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000947142 726584741 /nfs/dbraw/zinc/58/47/41/726584741.db2.gz ACIFNTSBZOIOJI-LLVKDONJSA-N -1 1 336.396 -0.397 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(CNC(=O)c2cn[nH]c2)C1 ZINC001001035677 726588785 /nfs/dbraw/zinc/58/87/85/726588785.db2.gz LVSBQRILNMCTRN-UHFFFAOYSA-N -1 1 348.319 -0.879 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)[C@@H]3C[C@H]4CC[C@@H]3O4)CC2)nc1=O ZINC001001185864 726595808 /nfs/dbraw/zinc/59/58/08/726595808.db2.gz PAELMJZJYFEXKQ-MCIONIFRSA-N -1 1 347.419 -0.076 20 0 EBADMM CCn1cnc(C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)c1 ZINC001001373033 726603999 /nfs/dbraw/zinc/60/39/99/726603999.db2.gz FFEITJAUGLNMNC-UHFFFAOYSA-N -1 1 345.407 -0.113 20 0 EBADMM O=C(CN1CCCNC1=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001540393 726611174 /nfs/dbraw/zinc/61/11/74/726611174.db2.gz BQMMNICSLASGFH-UHFFFAOYSA-N -1 1 347.375 -0.609 20 0 EBADMM CC(=O)N1CC[C@@H](C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)C1 ZINC001001541600 726611274 /nfs/dbraw/zinc/61/12/74/726611274.db2.gz LQTFDRQIRXHQIY-CYBMUJFWSA-N -1 1 346.387 -0.156 20 0 EBADMM O=C(Cn1ccccc1=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001546153 726611485 /nfs/dbraw/zinc/61/14/85/726611485.db2.gz SBXRJAURKBAYQF-UHFFFAOYSA-N -1 1 342.355 -0.163 20 0 EBADMM C[C@@H](C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1)S(C)(=O)=O ZINC001001553508 726611680 /nfs/dbraw/zinc/61/16/80/726611680.db2.gz VIBCORLBLZUMHT-VIFPVBQESA-N -1 1 341.389 -0.592 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(CNC(=O)c2ncc[nH]2)C1 ZINC001001763836 726622583 /nfs/dbraw/zinc/62/25/83/726622583.db2.gz PVMDAAIZLRFVGA-UHFFFAOYSA-N -1 1 348.319 -0.879 20 0 EBADMM C[C@@H](C(N)=O)N1CCC(CNC(=O)c2c[n-]n3c2nccc3=O)CC1 ZINC001001918290 726627044 /nfs/dbraw/zinc/62/70/44/726627044.db2.gz SXVCEIOSIWHBKX-JTQLQIEISA-N -1 1 346.391 -0.662 20 0 EBADMM COCC(=O)N1CCC[C@H]1CN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001002048867 726630984 /nfs/dbraw/zinc/63/09/84/726630984.db2.gz WEUBBRSJYUTISR-JTQLQIEISA-N -1 1 348.363 -0.873 20 0 EBADMM CN(C[C@@H]1CCCN1C(=O)Cn1cnnn1)C(=O)c1ncccc1[O-] ZINC001002128092 726633484 /nfs/dbraw/zinc/63/34/84/726633484.db2.gz HNEPTBOCQLBJBQ-NSHDSACASA-N -1 1 345.363 -0.463 20 0 EBADMM CN1C[C@@H](C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)CCC1=O ZINC001002490177 726641905 /nfs/dbraw/zinc/64/19/05/726641905.db2.gz ALZRWIZCCAUTLC-LBPRGKRZSA-N -1 1 346.387 -0.156 20 0 EBADMM CC[C@@H](F)C(=O)N1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001003319199 726669677 /nfs/dbraw/zinc/66/96/77/726669677.db2.gz MNEDKRWXUXZZAU-SECBINFHSA-N -1 1 336.327 -0.646 20 0 EBADMM O=C(NCC1CN(C(=O)c2cc[nH]c2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001003320643 726669770 /nfs/dbraw/zinc/66/97/70/726669770.db2.gz YHPMXAJDUQEMLH-UHFFFAOYSA-N -1 1 341.331 -0.752 20 0 EBADMM CN1CC[C@@H](C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)C1=O ZINC001003743179 726688751 /nfs/dbraw/zinc/68/87/51/726688751.db2.gz XPFLJTLVBKHLDP-NSHDSACASA-N -1 1 332.360 -0.546 20 0 EBADMM O=C(NC1CCN([C@@H]2CCNC2=O)CC1)c1c[n-]n2c1nccc2=O ZINC001003752176 726689077 /nfs/dbraw/zinc/68/90/77/726689077.db2.gz PADPPRFYXQBBIS-GFCCVEGCSA-N -1 1 344.375 -0.895 20 0 EBADMM C[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1NC(=O)C1CC1 ZINC001004318258 726705281 /nfs/dbraw/zinc/70/52/81/726705281.db2.gz WJKBDKCDKDDASV-BXKDBHETSA-N -1 1 344.375 -0.206 20 0 EBADMM NC(=O)[C@@H]1CC[C@H](C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001004454080 726707705 /nfs/dbraw/zinc/70/77/05/726707705.db2.gz GATZCJNHIBIYJV-NEPJUHHUSA-N -1 1 346.387 -0.123 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)c1cn(C)nn1 ZINC001004844330 726717849 /nfs/dbraw/zinc/71/78/49/726717849.db2.gz XIJNBRCAWSVWDS-KOLCDFICSA-N -1 1 334.384 -0.188 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)Cn1cncn1 ZINC001004997363 726721884 /nfs/dbraw/zinc/72/18/84/726721884.db2.gz ILHHPGADSCYHRZ-QWRGUYRKSA-N -1 1 334.384 -0.339 20 0 EBADMM CN(C(=O)c1cc[n+]([O-])cc1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005077530 726724218 /nfs/dbraw/zinc/72/42/18/726724218.db2.gz DJRNQRGIMVOYDM-UHFFFAOYSA-N -1 1 346.391 -0.522 20 0 EBADMM CC(=O)N1CCCCC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001005468219 726733606 /nfs/dbraw/zinc/73/36/06/726733606.db2.gz JNMHDBCYIXLLBT-NSHDSACASA-N -1 1 332.364 -0.062 20 0 EBADMM C[C@H](NC(=O)[C@H]1COCCN1C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005930329 726748649 /nfs/dbraw/zinc/74/86/49/726748649.db2.gz WTBLSFOWHBODCT-WCQYABFASA-N -1 1 348.403 -0.306 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@]2(C)CCNC2=O)C1 ZINC001005951628 726749651 /nfs/dbraw/zinc/74/96/51/726749651.db2.gz ONFIHHBBANHYOS-QGHHPUGFSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CCC(=O)N2C)C1 ZINC001005952372 726749771 /nfs/dbraw/zinc/74/97/71/726749771.db2.gz BPPFYIPBZNOFAG-CMPLNLGQSA-N -1 1 346.387 -0.015 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)C[C@H]2CCNC2=O)C1 ZINC001005954177 726749811 /nfs/dbraw/zinc/74/98/11/726749811.db2.gz WMGWAAJDHFGPAU-WDEREUQCSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@]2(C)CNC(=O)C2)C1 ZINC001005957718 726750176 /nfs/dbraw/zinc/75/01/76/726750176.db2.gz PNOMWMTXZZHTIM-QGHHPUGFSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)C1CN(C(=O)CC(N)=O)C1 ZINC001006097270 726755535 /nfs/dbraw/zinc/75/55/35/726755535.db2.gz BBIHVRRHOMIZOU-MRVPVSSYSA-N -1 1 347.375 -0.488 20 0 EBADMM C[C@H](NC(=O)c1cnc(C2CC2)[n-]c1=O)C1CN(C(=O)CC(N)=O)C1 ZINC001006097273 726755569 /nfs/dbraw/zinc/75/55/69/726755569.db2.gz BBIHVRRHOMIZOU-QMMMGPOBSA-N -1 1 347.375 -0.488 20 0 EBADMM C[C@@H](NC(=O)[C@@H]1CC(=O)N(C)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006232618 726758952 /nfs/dbraw/zinc/75/89/52/726758952.db2.gz RLRLLPWHMCQSKO-GHMZBOCLSA-N -1 1 346.387 -0.158 20 0 EBADMM CN(C(=O)[C@H]1C[C@]12CCOC2)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001006271921 726761078 /nfs/dbraw/zinc/76/10/78/726761078.db2.gz OTVBJWXLLBJHRY-DYVFJYSZSA-N -1 1 349.435 -0.042 20 0 EBADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)C2CCC2)C1 ZINC001006469985 726770503 /nfs/dbraw/zinc/77/05/03/726770503.db2.gz XGYYTYAGXOVBNB-SECBINFHSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@H](NC(=O)[C@H]1C[C@@H]1C)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001006627745 726776602 /nfs/dbraw/zinc/77/66/02/726776602.db2.gz VCBOBPOFXQBIBE-GVXVVHGQSA-N -1 1 348.403 -0.454 20 0 EBADMM C[C@@H](NC(=O)[C@@H]1CCN(C)C1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006642231 726777752 /nfs/dbraw/zinc/77/77/52/726777752.db2.gz HSFRFYIUZIFPGM-PWSUYJOCSA-N -1 1 346.387 -0.158 20 0 EBADMM CCc1cc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC001006799337 726784226 /nfs/dbraw/zinc/78/42/26/726784226.db2.gz SAHOZOPAAQQJLD-LLVKDONJSA-N -1 1 333.396 -0.212 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)C[C@@H]3COC(=O)C3)C2)nc1=O ZINC001007099899 726789208 /nfs/dbraw/zinc/78/92/08/726789208.db2.gz MEIIPENHXLFRAM-QWRGUYRKSA-N -1 1 337.380 -0.858 20 0 EBADMM COc1cc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)on1 ZINC001007167139 726789872 /nfs/dbraw/zinc/78/98/72/726789872.db2.gz NBDAATPWHPWVJD-SECBINFHSA-N -1 1 336.352 -0.501 20 0 EBADMM CCn1nncc1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001007275318 726791525 /nfs/dbraw/zinc/79/15/25/726791525.db2.gz FXGLXZBNXBTRQF-SNVBAGLBSA-N -1 1 334.384 -0.886 20 0 EBADMM CCc1nn(C)cc1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001007562865 726797202 /nfs/dbraw/zinc/79/72/02/726797202.db2.gz SDHRVGHGPHISSN-NSHDSACASA-N -1 1 347.423 -0.201 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)c3cnn4cc[nH]c34)C2)nc1=O ZINC001009338220 726894344 /nfs/dbraw/zinc/89/43/44/726894344.db2.gz BDPFCEOMHZYDTB-JTQLQIEISA-N -1 1 344.379 -0.521 20 0 EBADMM O=C(c1csc(=O)[nH]1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051776938 735386983 /nfs/dbraw/zinc/38/69/83/735386983.db2.gz DMSMGOUZFCDDCH-ZETCQYMHSA-N -1 1 340.365 -0.697 20 0 EBADMM O=C(c1csc(=O)[nH]1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051776936 735387104 /nfs/dbraw/zinc/38/71/04/735387104.db2.gz DMSMGOUZFCDDCH-SSDOTTSWSA-N -1 1 340.365 -0.697 20 0 EBADMM CC(=O)NC[C@]12CCC[C@H]1CN(C(=O)CCn1cc[n-]c(=O)c1=O)C2 ZINC001009390063 726904616 /nfs/dbraw/zinc/90/46/16/726904616.db2.gz CAXZBWLVOTXUFS-GUYCJALGSA-N -1 1 348.403 -0.309 20 0 EBADMM Cc1nc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)cs1 ZINC001051785861 735392191 /nfs/dbraw/zinc/39/21/91/735392191.db2.gz LQTVXGNJYKRQBJ-VIFPVBQESA-N -1 1 338.393 -0.094 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H]1CN(C(=O)c2cn[nH]n2)CCO1 ZINC001009704240 726971800 /nfs/dbraw/zinc/97/18/00/726971800.db2.gz FMFXPOIVHOVOHU-BXKDBHETSA-N -1 1 346.347 -0.435 20 0 EBADMM NC(=O)C(=O)N1C[C@@H]2CC[C@H](NC(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC001009831979 727003140 /nfs/dbraw/zinc/00/31/40/727003140.db2.gz PIDGJBBPEREUKZ-AXFHLTTASA-N -1 1 332.360 -0.371 20 0 EBADMM CC(C)=CC(=O)N1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001010032466 727020488 /nfs/dbraw/zinc/02/04/88/727020488.db2.gz LZCJMYOMNYNLQJ-UHFFFAOYSA-N -1 1 334.376 -0.436 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001010048260 727022702 /nfs/dbraw/zinc/02/27/02/727022702.db2.gz MXPLBJIEXCWKLJ-ZJUUUORDSA-N -1 1 330.348 -0.642 20 0 EBADMM O=C(c1ccn[nH]1)N1CCN(C(=O)c2c[n-]n3c2nccc3=O)CC1 ZINC001010419867 727089570 /nfs/dbraw/zinc/08/95/70/727089570.db2.gz ZTQQNRKAOUMAFM-UHFFFAOYSA-N -1 1 341.331 -0.656 20 0 EBADMM COC(=O)[C@H]1C[C@H]1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001010678195 727127200 /nfs/dbraw/zinc/12/72/00/727127200.db2.gz SSKRWVGHVHMXCN-MNOVXSKESA-N -1 1 333.344 -0.119 20 0 EBADMM O=C(CN1CC[C@H](NC(=O)c2ncccc2[O-])C1)NC1CCOCC1 ZINC001010719364 727133271 /nfs/dbraw/zinc/13/32/71/727133271.db2.gz KEMNVXRYJSXIIQ-ZDUSSCGKSA-N -1 1 348.403 -0.114 20 0 EBADMM O=C(N[C@@H]1CCN(CCN2C(=O)CCC2=O)C1)c1ncccc1[O-] ZINC001010728212 727134961 /nfs/dbraw/zinc/13/49/61/727134961.db2.gz UKVUDSWEQAEXTK-LLVKDONJSA-N -1 1 332.360 -0.260 20 0 EBADMM O=C(c1n[nH]cc1F)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051812452 735410784 /nfs/dbraw/zinc/41/07/84/735410784.db2.gz WOQLNRLBUZECGG-ZETCQYMHSA-N -1 1 325.304 -0.997 20 0 EBADMM O=C(c1ncccc1[O-])N1CCN(C(=O)[C@@H]2CCCNC2=O)CC1 ZINC001011036253 727186136 /nfs/dbraw/zinc/18/61/36/727186136.db2.gz NRBDOGXWWIFKRL-LLVKDONJSA-N -1 1 332.360 -0.402 20 0 EBADMM Cn1ncc(CCC(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)n1 ZINC001011035555 727186150 /nfs/dbraw/zinc/18/61/50/727186150.db2.gz CISCKPLWKXOMNI-UHFFFAOYSA-N -1 1 344.375 -0.167 20 0 EBADMM Cn1cc(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)[nH]c1=O ZINC001011036088 727186183 /nfs/dbraw/zinc/18/61/83/727186183.db2.gz ZTXCHLMCHQREEN-UHFFFAOYSA-N -1 1 331.332 -0.176 20 0 EBADMM O=C(c1ncccc1[O-])N1CCN(C(=O)[C@H]2CCCNC2=O)CC1 ZINC001011036255 727186231 /nfs/dbraw/zinc/18/62/31/727186231.db2.gz NRBDOGXWWIFKRL-NSHDSACASA-N -1 1 332.360 -0.402 20 0 EBADMM O=C(c1ncccc1[O-])N1CCN(C(=O)[C@@H]2CCNC(=O)CC2)CC1 ZINC001011037642 727187002 /nfs/dbraw/zinc/18/70/02/727187002.db2.gz FJZVHBHDHOHFPC-LBPRGKRZSA-N -1 1 346.387 -0.012 20 0 EBADMM CC(=O)NCC(=O)N1C[C@@H](CNC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC001051823986 735416366 /nfs/dbraw/zinc/41/63/66/735416366.db2.gz FGTXFKNUWPJUSS-ZYHUDNBSSA-N -1 1 334.376 -0.252 20 0 EBADMM C[C@@H](CC(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)n1ccnc1 ZINC001051851229 735427809 /nfs/dbraw/zinc/42/78/09/735427809.db2.gz PDPYCAPJWFGYAD-RYUDHWBXSA-N -1 1 349.395 -0.325 20 0 EBADMM CCn1cc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)c(C)n1 ZINC001051853728 735429391 /nfs/dbraw/zinc/42/93/91/735429391.db2.gz OMCCJYDIXTYTFQ-LLVKDONJSA-N -1 1 349.395 -0.334 20 0 EBADMM O=C(Cc1cscn1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051857036 735430589 /nfs/dbraw/zinc/43/05/89/735430589.db2.gz BWVPKPQIADNWCV-SNVBAGLBSA-N -1 1 338.393 -0.474 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051870516 735436931 /nfs/dbraw/zinc/43/69/31/735436931.db2.gz NHOUYXUYPHLFHC-SNVBAGLBSA-N -1 1 335.368 -0.519 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)ccn1 ZINC001051874973 735439294 /nfs/dbraw/zinc/43/92/94/735439294.db2.gz JLNMHJCAQKAZCZ-LBPRGKRZSA-N -1 1 332.364 -0.155 20 0 EBADMM CC(C)C(=O)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H]1C ZINC001011505040 727534965 /nfs/dbraw/zinc/53/49/65/727534965.db2.gz UYHOUTRAPJZEOP-UWVGGRQHSA-N -1 1 332.364 -0.207 20 0 EBADMM C[C@@H]1[C@H](NC(=O)Cc2nc[nH]n2)CCN1C(=O)c1ncccc1[O-] ZINC001011681710 727558136 /nfs/dbraw/zinc/55/81/36/727558136.db2.gz XHKCJGAAOHRCOM-NXEZZACHSA-N -1 1 330.348 -0.133 20 0 EBADMM C[C@@H]1[C@H](NC(=O)Cc2nnc[nH]2)CCN1C(=O)c1ncccc1[O-] ZINC001011681710 727558138 /nfs/dbraw/zinc/55/81/38/727558138.db2.gz XHKCJGAAOHRCOM-NXEZZACHSA-N -1 1 330.348 -0.133 20 0 EBADMM C[C@H]1[C@@H](NC(=O)Cn2ncnn2)CCN1C(=O)c1ncccc1[O-] ZINC001011681955 727558156 /nfs/dbraw/zinc/55/81/56/727558156.db2.gz ZHHGLGHLYCHOEW-UWVGGRQHSA-N -1 1 331.336 -0.807 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1cn(C)nn1 ZINC001011716965 727564889 /nfs/dbraw/zinc/56/48/89/727564889.db2.gz TVZLKZRSNYIOTC-VHSXEESVSA-N -1 1 330.348 -0.051 20 0 EBADMM CC(C)C(=O)N[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)[C@H]1C ZINC001011896932 727598788 /nfs/dbraw/zinc/59/87/88/727598788.db2.gz BBVZYAMOWBINSN-NWDGAFQWSA-N -1 1 336.392 -0.312 20 0 EBADMM C[C@H]1[C@H](NC(=O)C2CCC2)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001011897951 727599323 /nfs/dbraw/zinc/59/93/23/727599323.db2.gz HYPMXRQIKAPRRF-WCQYABFASA-N -1 1 348.403 -0.168 20 0 EBADMM C[C@H]1[C@H](NC(=O)C2CC2)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001011914650 727602746 /nfs/dbraw/zinc/60/27/46/727602746.db2.gz UEAPBGMXUCXKCW-WCBMZHEXSA-N -1 1 330.348 -0.453 20 0 EBADMM C[C@H]1[C@@H](NC(=O)CC2CC2)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001011915204 727602768 /nfs/dbraw/zinc/60/27/68/727602768.db2.gz XGUOETNEQSBACE-ONGXEEELSA-N -1 1 344.375 -0.063 20 0 EBADMM C[C@H]1[C@H](NC(=O)CC2CC2)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001011915202 727602861 /nfs/dbraw/zinc/60/28/61/727602861.db2.gz XGUOETNEQSBACE-GXSJLCMTSA-N -1 1 344.375 -0.063 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1nnn(C)n1 ZINC001011967302 727613871 /nfs/dbraw/zinc/61/38/71/727613871.db2.gz HWXQEFZONFFGKO-RKDXNWHRSA-N -1 1 331.336 -0.656 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CCN(C(=O)CCc3c[nH]nn3)[C@H]2C)c1[O-] ZINC001012081127 727632788 /nfs/dbraw/zinc/63/27/88/727632788.db2.gz ZCJJXZDCOQDCRT-ONGXEEELSA-N -1 1 347.379 -0.106 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CN1C(=O)C(F)F ZINC001012122909 727639257 /nfs/dbraw/zinc/63/92/57/727639257.db2.gz VINCIDFYQKTYGI-RQJHMYQMSA-N -1 1 340.290 -0.598 20 0 EBADMM Cc1ncc(C(=O)N2C[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C[C@H]2C)[nH]1 ZINC001012393890 727660649 /nfs/dbraw/zinc/66/06/49/727660649.db2.gz YZTZYDKHBLVMKQ-SVRRBLITSA-N -1 1 347.335 -0.653 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)Cn2nccn2)CN1C(=O)c1ncccc1[O-] ZINC001012504578 727672813 /nfs/dbraw/zinc/67/28/13/727672813.db2.gz BVXALBKMBIMEKT-WDEREUQCSA-N -1 1 330.348 -0.202 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2c[nH]c(=O)cn2)CN1C(=O)c1ncccc1[O-] ZINC001012508910 727673454 /nfs/dbraw/zinc/67/34/54/727673454.db2.gz GEIDHLCYCQNTTK-VHSXEESVSA-N -1 1 343.343 -0.097 20 0 EBADMM CC(C)n1cc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001051902129 735454765 /nfs/dbraw/zinc/45/47/65/735454765.db2.gz VVHBGBOKEOIEJB-GFCCVEGCSA-N -1 1 349.395 -0.082 20 0 EBADMM CCc1cc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)no1 ZINC001051906079 735456683 /nfs/dbraw/zinc/45/66/83/735456683.db2.gz KKRILDICYYGNHY-SNVBAGLBSA-N -1 1 336.352 -0.309 20 0 EBADMM O=C(c1cnn2cc[nH]c12)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051917194 735460623 /nfs/dbraw/zinc/46/06/23/735460623.db2.gz UTKCDHWWFAEQIN-SECBINFHSA-N -1 1 346.351 -0.883 20 0 EBADMM O=C(COc1ccccc1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051917053 735459713 /nfs/dbraw/zinc/45/97/13/735459713.db2.gz QRSBPPMNYIIVDH-ZDUSSCGKSA-N -1 1 347.375 -0.094 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)C2CC2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001013241064 727786733 /nfs/dbraw/zinc/78/67/33/727786733.db2.gz QJUIRPGOBQYWMC-PWSUYJOCSA-N -1 1 334.376 -0.558 20 0 EBADMM O=C(C[C@H]1CCCOC1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051937712 735474943 /nfs/dbraw/zinc/47/49/43/735474943.db2.gz ZBDZUKZXIRKZDM-VXGBXAGGSA-N -1 1 339.396 -0.356 20 0 EBADMM Cc1cnn(CCC(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001051943555 735477643 /nfs/dbraw/zinc/47/76/43/735477643.db2.gz LWMVKMBEQSDZCS-LBPRGKRZSA-N -1 1 349.395 -0.577 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ccnn3C(F)F)C2)nc1=O ZINC001014575613 727972728 /nfs/dbraw/zinc/97/27/28/727972728.db2.gz BFFHSILRJSLBRO-MRVPVSSYSA-N -1 1 341.322 -0.296 20 0 EBADMM C[C@H]1[C@H](NC(=O)Cn2ncnn2)CCCN1C(=O)c1ncccc1[O-] ZINC001014962232 728042371 /nfs/dbraw/zinc/04/23/71/728042371.db2.gz LSDBGTCLBYGENQ-WDEREUQCSA-N -1 1 345.363 -0.417 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cnc4ccccn43)C2)nc1=O ZINC001015012006 728056294 /nfs/dbraw/zinc/05/62/94/728056294.db2.gz ZBIOJYXJWKCXOV-NSHDSACASA-N -1 1 341.375 -0.240 20 0 EBADMM CC(C)C(=O)N1CC[C@@H](N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)C1 ZINC001051984575 735491178 /nfs/dbraw/zinc/49/11/78/735491178.db2.gz KMFYHMZPWKQJTA-CYBMUJFWSA-N -1 1 336.440 -0.517 20 0 EBADMM CN(C)Cc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)no1 ZINC001015025795 728059467 /nfs/dbraw/zinc/05/94/67/728059467.db2.gz BXNWWWHCCFWPCB-SNVBAGLBSA-N -1 1 349.395 -0.838 20 0 EBADMM CCC(=O)N1CCC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H]1C ZINC001015083536 728073802 /nfs/dbraw/zinc/07/38/02/728073802.db2.gz MIDIZMFAABZMIH-VHSXEESVSA-N -1 1 332.364 -0.063 20 0 EBADMM CCCc1c(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001015089248 728075928 /nfs/dbraw/zinc/07/59/28/728075928.db2.gz WBWWHNQSMGYMSD-LLVKDONJSA-N -1 1 347.423 -0.201 20 0 EBADMM Cn1cc(CCN2CC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)cn1 ZINC001015110859 728081969 /nfs/dbraw/zinc/08/19/69/728081969.db2.gz XJGOYQHPOMEQKY-SNVBAGLBSA-N -1 1 333.352 -0.937 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC[C@@H](N3CCN(C[C@H](C)O)CC3)C2)c1[O-] ZINC001052016072 735504434 /nfs/dbraw/zinc/50/44/34/735504434.db2.gz VPTUWIJQMAZETL-WCQYABFASA-N -1 1 337.424 -0.363 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC[C@@H](N3CCN(C[C@@H](C)O)CC3)C2)c1[O-] ZINC001052016066 735504527 /nfs/dbraw/zinc/50/45/27/735504527.db2.gz VPTUWIJQMAZETL-DGCLKSJQSA-N -1 1 337.424 -0.363 20 0 EBADMM Cn1[n-]c(CN2CCN([C@H]3CCN(C(=O)C4(C)CC4)C3)CC2)nc1=O ZINC001052030067 735511614 /nfs/dbraw/zinc/51/16/14/735511614.db2.gz GSUKEYMPAAGEJG-ZDUSSCGKSA-N -1 1 348.451 -0.373 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cnc(Cl)n3C)C2)nc1=O ZINC001015267511 728391973 /nfs/dbraw/zinc/39/19/73/728391973.db2.gz IUPWXNMMZASRBH-QMMMGPOBSA-N -1 1 339.787 -0.500 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)Cc3ccc(=O)[nH]c3)C2)nc1=O ZINC001015310230 728396827 /nfs/dbraw/zinc/39/68/27/728396827.db2.gz LCTFLSNMEJIBAS-NSHDSACASA-N -1 1 332.364 -0.858 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ccc4n[nH]cc4c3)C2)nc1=O ZINC001015626854 728425092 /nfs/dbraw/zinc/42/50/92/728425092.db2.gz PCIBEWMQFUIKBM-LBPRGKRZSA-N -1 1 341.375 -0.011 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cnn4c3CCCC4)C2)nc1=O ZINC001015951965 728439884 /nfs/dbraw/zinc/43/98/84/728439884.db2.gz UOJMSRYVNHBRDL-NSHDSACASA-N -1 1 345.407 -0.355 20 0 EBADMM CN(C(=O)[C@H]1C[C@H]1C(N)=O)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001017043769 728540603 /nfs/dbraw/zinc/54/06/03/728540603.db2.gz PPYJEDBZBTVZNP-LXKPXOPUSA-N -1 1 332.360 -0.372 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)c2cn(C)nn2)C1 ZINC001017114949 728548386 /nfs/dbraw/zinc/54/83/86/728548386.db2.gz LGOYNDVELQYGBK-SNVBAGLBSA-N -1 1 334.384 -0.377 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)[C@@H]2CCNC2=O)C1 ZINC001017179141 728552417 /nfs/dbraw/zinc/55/24/17/728552417.db2.gz DWFQMHFSWIHOMO-GHMZBOCLSA-N -1 1 336.396 -0.683 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)C2=COCCC2)nc1=O ZINC001017410509 728572413 /nfs/dbraw/zinc/57/24/13/728572413.db2.gz BIDNPMDEWGXQHW-BETUJISGSA-N -1 1 333.392 -0.022 20 0 EBADMM O=C(NCC1=CCN(C(=O)c2ncccc2[O-])CC1)[C@@H]1CCC(=O)N1 ZINC001017609643 728593273 /nfs/dbraw/zinc/59/32/73/728593273.db2.gz WBMUBSZMLPNSPO-LBPRGKRZSA-N -1 1 344.371 -0.046 20 0 EBADMM CO[C@@H](C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc([O-])n(C)c1=O ZINC001017789587 728610304 /nfs/dbraw/zinc/61/03/04/728610304.db2.gz XSFLVIOQLMRTPJ-SDDRHHMPSA-N -1 1 336.392 -0.190 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1nnn(C)n1 ZINC001018104782 728633706 /nfs/dbraw/zinc/63/37/06/728633706.db2.gz OALMXSDALQNTJA-ZJUUUORDSA-N -1 1 345.363 -0.266 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)Cn1ncnn1 ZINC001018105343 728633923 /nfs/dbraw/zinc/63/39/23/728633923.db2.gz RDUCDJQPHIUFLM-GHMZBOCLSA-N -1 1 345.363 -0.417 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2cnn[nH]2)CN1C(=O)c1cn(C)c(=O)n1C ZINC001018251314 728649855 /nfs/dbraw/zinc/64/98/55/728649855.db2.gz QSMNUWFFISHXAJ-ZJUUUORDSA-N -1 1 347.379 -0.735 20 0 EBADMM CC(=O)N1CC[C@H](C)[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001018450494 728670507 /nfs/dbraw/zinc/67/05/07/728670507.db2.gz QHTVRZURPVBJIT-GXSJLCMTSA-N -1 1 332.364 -0.348 20 0 EBADMM CC(=O)NC[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC[C@@H]1C ZINC001018603148 728678755 /nfs/dbraw/zinc/67/87/55/728678755.db2.gz MPXNPXPUDJYBAZ-ONGXEEELSA-N -1 1 332.364 -0.348 20 0 EBADMM Cc1cccn(CC(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c1=O ZINC001018841766 728693870 /nfs/dbraw/zinc/69/38/70/728693870.db2.gz LXELDEOKYJKNKM-NSHDSACASA-N -1 1 332.364 -0.629 20 0 EBADMM O=C(c1cn(C2CCC2)nn1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001018856292 728695611 /nfs/dbraw/zinc/69/56/11/728695611.db2.gz XKWPUNVJXBPRKP-VIFPVBQESA-N -1 1 332.368 -0.169 20 0 EBADMM NC(=O)c1ncccc1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019803473 728996718 /nfs/dbraw/zinc/99/67/18/728996718.db2.gz AAPRNZYSBMMOBT-QMMMGPOBSA-N -1 1 331.336 -0.992 20 0 EBADMM C[C@H]1[C@@H](NC(=O)[C@@H]2CN(C)C(=O)N2)CCN1C(=O)c1ncccc1[O-] ZINC001020205991 729100030 /nfs/dbraw/zinc/10/00/30/729100030.db2.gz FWTOUWFLHZPPBK-DCAQKATOSA-N -1 1 347.375 -0.470 20 0 EBADMM O=C(N[C@H]1C[C@H](NCc2nnc(C3CC3)[nH]2)C1)c1n[nH]c(=O)[n-]c1=O ZINC001020353066 729144261 /nfs/dbraw/zinc/14/42/61/729144261.db2.gz NTPMYFFEJCPLRE-ZKCHVHJHSA-N -1 1 346.351 -0.671 20 0 EBADMM CCc1nnc([C@H](C)N[C@H]2C[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC001020353105 729144747 /nfs/dbraw/zinc/14/47/47/729144747.db2.gz PJIBBPKHIFRRIS-FXQIFTODSA-N -1 1 348.367 -0.425 20 0 EBADMM C[C@@H](N[C@H]1C[C@H](NC(=O)c2cnc([O-])n(C)c2=O)C1)c1nncn1C ZINC001020459200 729175324 /nfs/dbraw/zinc/17/53/24/729175324.db2.gz BWXLHWMGPKLZDS-OPRDCNLKSA-N -1 1 347.379 -0.774 20 0 EBADMM CCn1cc(CN[C@H]2C[C@H](NC(=O)c3cnc([O-])n(C)c3=O)C2)nn1 ZINC001020460782 729175633 /nfs/dbraw/zinc/17/56/33/729175633.db2.gz PNQFLLSHNCTOEB-MGCOHNPYSA-N -1 1 347.379 -0.852 20 0 EBADMM CC(=O)N1CC[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)[C@H](C)C1 ZINC001020634096 729213631 /nfs/dbraw/zinc/21/36/31/729213631.db2.gz IIPPZMGEYLWLHY-KOLCDFICSA-N -1 1 332.364 -0.348 20 0 EBADMM CCCC(=O)N1CC[C@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@H]21 ZINC001020934022 729258381 /nfs/dbraw/zinc/25/83/81/729258381.db2.gz KAPJXILIISHPCN-QWHCGFSZSA-N -1 1 348.403 -0.214 20 0 EBADMM CC(C)C(=O)N1CC[C@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]21 ZINC001021102354 729283334 /nfs/dbraw/zinc/28/33/34/729283334.db2.gz VUAQJVFHMJIJRQ-CMPLNLGQSA-N -1 1 344.375 -0.254 20 0 EBADMM CN1CC[C@H](C(=O)NC2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[n-]2)C1=O ZINC001021352154 729307492 /nfs/dbraw/zinc/30/74/92/729307492.db2.gz JLRIQXVVMNMJSI-FGNRJIRKSA-N -1 1 348.407 -0.400 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@@H]2CCN(C(=O)[C@H]3COC(=O)N3)[C@@H]2C1 ZINC001021516923 729318565 /nfs/dbraw/zinc/31/85/65/729318565.db2.gz BLPQISHETVGMNB-HBNTYKKESA-N -1 1 346.343 -0.432 20 0 EBADMM Cc1nnc([C@@H](C)N[C@H]2C[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC001022370016 729486055 /nfs/dbraw/zinc/48/60/55/729486055.db2.gz RXUYHNPXMCXUGS-NJUXHZRNSA-N -1 1 334.340 -0.679 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)C1=COCCC1 ZINC001023183219 729631461 /nfs/dbraw/zinc/63/14/61/729631461.db2.gz PVQBBPNGRVQPKN-DGCLKSJQSA-N -1 1 333.344 -0.217 20 0 EBADMM Cc1[nH]nc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)c1C ZINC001023235399 729646355 /nfs/dbraw/zinc/64/63/55/729646355.db2.gz ORTNDOAKXFXFSI-ZYHUDNBSSA-N -1 1 345.359 -0.258 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](CNC(=O)c3cnsn3)C2)nc1=O ZINC001023251305 729649538 /nfs/dbraw/zinc/64/95/38/729649538.db2.gz PUPGFNOXGHHHOD-VIFPVBQESA-N -1 1 337.409 -0.398 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](CNC(=O)c3cccc(=O)[nH]3)C2)nc1=O ZINC001023294868 729659355 /nfs/dbraw/zinc/65/93/55/729659355.db2.gz ZDJHAZKORVNXJL-NSHDSACASA-N -1 1 346.391 -0.149 20 0 EBADMM Cc1ncc(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3[nH]nc(C)c3[O-])C2)[nH]1 ZINC001023411595 729682023 /nfs/dbraw/zinc/68/20/23/729682023.db2.gz FEXBVCJESKOILW-NXEZZACHSA-N -1 1 334.336 -0.929 20 0 EBADMM Cc1c(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)cnn1C ZINC001023486059 729701539 /nfs/dbraw/zinc/70/15/39/729701539.db2.gz BVQWNDQYOOJDCI-DGCLKSJQSA-N -1 1 345.359 -0.556 20 0 EBADMM Cc1nnc(CC(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)o1 ZINC001023488313 729702041 /nfs/dbraw/zinc/70/20/41/729702041.db2.gz BONSRHUVUCMFSX-MWLCHTKSSA-N -1 1 347.331 -0.977 20 0 EBADMM Cc1nc(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)co1 ZINC001023488649 729702448 /nfs/dbraw/zinc/70/24/48/729702448.db2.gz QRYPSHBFTIGFSJ-BXKDBHETSA-N -1 1 332.316 -0.301 20 0 EBADMM CO[C@H](C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC001023488577 729702598 /nfs/dbraw/zinc/70/25/98/729702598.db2.gz OCAYVZVHGQYMOH-QKCSRTOESA-N -1 1 335.360 -0.486 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2cncs2)C[C@H]1O)c1ncccc1[O-] ZINC001023488583 729702600 /nfs/dbraw/zinc/70/26/00/729702600.db2.gz OJHWBCWBGZPUIA-PSASIEDQSA-N -1 1 334.357 -0.141 20 0 EBADMM Cc1c[nH]nc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001023628442 729741801 /nfs/dbraw/zinc/74/18/01/729741801.db2.gz DMCAUGIBUBUAPG-MWLCHTKSSA-N -1 1 331.332 -0.566 20 0 EBADMM CCn1ccc(C(=O)NC[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001023632087 729742082 /nfs/dbraw/zinc/74/20/82/729742082.db2.gz CCUJRZLBDYXJMH-GFCCVEGCSA-N -1 1 347.423 -0.033 20 0 EBADMM NC(=O)CN1CCC[C@@H](CNC(=O)c2ccc3oc(=O)nc-3[n-]2)C1 ZINC001023700337 729755030 /nfs/dbraw/zinc/75/50/30/729755030.db2.gz MOMXGTXQSNHPBR-VIFPVBQESA-N -1 1 333.348 -0.145 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)[nH]n1 ZINC001024341233 729874737 /nfs/dbraw/zinc/87/47/37/729874737.db2.gz CGYJQQNEIUJWHI-LLVKDONJSA-N -1 1 333.396 -0.076 20 0 EBADMM Cc1ncncc1C(=O)NC[C@@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001024340157 729874749 /nfs/dbraw/zinc/87/47/49/729874749.db2.gz VHXOCHWMEHIEIC-LBPRGKRZSA-N -1 1 345.407 -0.009 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H]2CNC(=O)C2=CCOCC2)nc1=O ZINC001024407133 729882712 /nfs/dbraw/zinc/88/27/12/729882712.db2.gz LDWLJZSCZJVZJB-CYBMUJFWSA-N -1 1 335.408 -0.074 20 0 EBADMM Cc1ncn(C)c1C(=O)NC[C@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001024493674 729893307 /nfs/dbraw/zinc/89/33/07/729893307.db2.gz DNWIBVQTAUQMNN-GFCCVEGCSA-N -1 1 347.423 -0.065 20 0 EBADMM CCn1ncnc1CNC[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001026941345 730173794 /nfs/dbraw/zinc/17/37/94/730173794.db2.gz GOSICWMPIYNQCG-SECBINFHSA-N -1 1 348.367 -0.854 20 0 EBADMM O=C(c1c[n-]n2c1nccc2=O)N1CC[C@@H](CNCc2cnon2)C1 ZINC001027108974 730188853 /nfs/dbraw/zinc/18/88/53/730188853.db2.gz FMXFASSPCPZILT-JTQLQIEISA-N -1 1 343.347 -0.343 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)[C@@H]1CCCN(C(=O)CCc2nc[nH]n2)C1 ZINC001027357990 730209371 /nfs/dbraw/zinc/20/93/71/730209371.db2.gz GHGSHKFYGDNMPZ-SNVBAGLBSA-N -1 1 334.384 -0.316 20 0 EBADMM C[C@@H](C(N)=O)N(C)[C@@H]1CCCN(C(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001027606604 730228041 /nfs/dbraw/zinc/22/80/41/730228041.db2.gz JAMOIKWRIYRRJI-WDEREUQCSA-N -1 1 346.391 -0.567 20 0 EBADMM Cc1nn(C)cc1C(=O)NC[C@@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001027796538 730239064 /nfs/dbraw/zinc/23/90/64/730239064.db2.gz HODGSQVWMOJHFH-NSHDSACASA-N -1 1 333.396 -0.455 20 0 EBADMM CCn1ccnc1C(=O)NC[C@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001027847063 730242257 /nfs/dbraw/zinc/24/22/57/730242257.db2.gz XURYBXYNMPCVCU-LLVKDONJSA-N -1 1 333.396 -0.281 20 0 EBADMM Cc1ccc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)nn1 ZINC001027878023 730245116 /nfs/dbraw/zinc/24/51/16/730245116.db2.gz REZBTRFMKDBXFS-LLVKDONJSA-N -1 1 331.380 -0.399 20 0 EBADMM Cc1cn(C)nc1C(=O)NC[C@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001027879937 730245410 /nfs/dbraw/zinc/24/54/10/730245410.db2.gz CMTSZQZIHBQZHY-LLVKDONJSA-N -1 1 333.396 -0.455 20 0 EBADMM COc1cc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)ncn1 ZINC001027885154 730245723 /nfs/dbraw/zinc/24/57/23/730245723.db2.gz YUYVDUIEHFZWMD-SNVBAGLBSA-N -1 1 347.379 -0.699 20 0 EBADMM COc1cc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)ccn1 ZINC001027986681 730254265 /nfs/dbraw/zinc/25/42/65/730254265.db2.gz CZCUEFHJQFHZHG-GFCCVEGCSA-N -1 1 346.391 -0.094 20 0 EBADMM Cc1nn(C)c(C)c1C(=O)NC[C@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001028060629 730261706 /nfs/dbraw/zinc/26/17/06/730261706.db2.gz DXUWRZSNYQQGMV-GFCCVEGCSA-N -1 1 347.423 -0.147 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H]2CNC(=O)c2csc(=O)[nH]2)nc1=O ZINC001028090422 730265962 /nfs/dbraw/zinc/26/59/62/730265962.db2.gz WQCNQOWVTBFUMD-QMMMGPOBSA-N -1 1 338.393 -0.335 20 0 EBADMM O=C(NC[C@H]1CCCN1Cc1n[nH]c(=O)[n-]1)[C@H]1CCCc2n[nH]nc21 ZINC001028103063 730266419 /nfs/dbraw/zinc/26/64/19/730266419.db2.gz JSHXTHTWGYNJDD-ZJUUUORDSA-N -1 1 346.395 -0.171 20 0 EBADMM O=C(NC[C@@H]1CCCN1Cc1n[nH]c(=O)[n-]1)[C@@H]1CCCc2n[nH]nc21 ZINC001028103062 730266480 /nfs/dbraw/zinc/26/64/80/730266480.db2.gz JSHXTHTWGYNJDD-VHSXEESVSA-N -1 1 346.395 -0.171 20 0 EBADMM CCn1nc(C)c(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001028354800 730287971 /nfs/dbraw/zinc/28/79/71/730287971.db2.gz VISASBCZFLCWNX-LLVKDONJSA-N -1 1 348.411 -0.577 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)c3ccncc3F)C2)nc1=O ZINC001028454160 730294765 /nfs/dbraw/zinc/29/47/65/730294765.db2.gz OEXHUQJRTKHCIV-JTQLQIEISA-N -1 1 334.355 -0.106 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)c3cccc(=O)[nH]3)C2)nc1=O ZINC001028464027 730297298 /nfs/dbraw/zinc/29/72/98/730297298.db2.gz JJIICHQNYUMGAM-SNVBAGLBSA-N -1 1 332.364 -0.539 20 0 EBADMM Cc1ccnc(C(=O)NC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001028508747 730303431 /nfs/dbraw/zinc/30/34/31/730303431.db2.gz YKANAODJUYBWJD-LLVKDONJSA-N -1 1 331.380 -0.541 20 0 EBADMM CNC(=O)[C@@H](C)N1CC[C@@H](CNC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001028853796 730363518 /nfs/dbraw/zinc/36/35/18/730363518.db2.gz PTMUZNQAMKJXBF-MNOVXSKESA-N -1 1 346.391 -0.791 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)c3ccc(=O)[nH]c3)C2)nc1=O ZINC001028969031 730375819 /nfs/dbraw/zinc/37/58/19/730375819.db2.gz JTHWALGIKSVQAU-SNVBAGLBSA-N -1 1 332.364 -0.539 20 0 EBADMM Cc1cnn(C)c1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029431793 730405110 /nfs/dbraw/zinc/40/51/10/730405110.db2.gz ZTANPQOGHMRRMQ-TXEJJXNPSA-N -1 1 345.407 -0.361 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029517128 730408780 /nfs/dbraw/zinc/40/87/80/730408780.db2.gz QANQBRGAJXBLGI-TXEJJXNPSA-N -1 1 345.407 -0.063 20 0 EBADMM Cc1conc1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029543489 730409663 /nfs/dbraw/zinc/40/96/63/730409663.db2.gz CIJYECHUOVXVAX-PHIMTYICSA-N -1 1 332.364 -0.106 20 0 EBADMM Cc1nnc(CC(=O)N2CC[C@@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)o1 ZINC001029729472 730490293 /nfs/dbraw/zinc/49/02/93/730490293.db2.gz OZQONQYMWSROHW-JTQLQIEISA-N -1 1 335.368 -0.275 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)C[C@@H]2CCNC2=O)C1 ZINC001029754421 730495179 /nfs/dbraw/zinc/49/51/79/730495179.db2.gz YFTZRNVIKBCBDU-QWRGUYRKSA-N -1 1 336.396 -0.683 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)c2cc(=O)n(C)cn2)C1 ZINC001029757923 730495662 /nfs/dbraw/zinc/49/56/62/730495662.db2.gz LLPODGUJLLEXNG-JTQLQIEISA-N -1 1 347.379 -0.802 20 0 EBADMM COc1cnc(C(=O)N2CC[C@@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)nc1 ZINC001029779603 730500063 /nfs/dbraw/zinc/50/00/63/730500063.db2.gz ICFBPXFFIVMVAO-JTQLQIEISA-N -1 1 347.379 -0.097 20 0 EBADMM CCn1cc(CC(=O)N2CC[C@@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)nn1 ZINC001029790172 730501665 /nfs/dbraw/zinc/50/16/65/730501665.db2.gz LLWHOFGLUGOFLC-NSHDSACASA-N -1 1 348.411 -0.355 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)[C@@H]2CCN(C)C2=O)C1 ZINC001029810739 730506072 /nfs/dbraw/zinc/50/60/72/730506072.db2.gz QHBTWELJIDEJCK-GHMZBOCLSA-N -1 1 336.396 -0.731 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001029827396 730510641 /nfs/dbraw/zinc/51/06/41/730510641.db2.gz GEZFCCGXOKGVJQ-VIFPVBQESA-N -1 1 335.368 -0.457 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)[C@@H]2COCCO2)CC1 ZINC001029939486 730527237 /nfs/dbraw/zinc/52/72/37/730527237.db2.gz XPNNPEIBBJKVFJ-LBPRGKRZSA-N -1 1 339.396 -0.404 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cnc4nccnc4c3)C2)nc1=O ZINC001030455468 730588816 /nfs/dbraw/zinc/58/88/16/730588816.db2.gz WHZOYNSUEBTKEH-UHFFFAOYSA-N -1 1 340.347 -0.939 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cccc4[nH]c(=O)[nH]c43)C2)nc1=O ZINC001030459115 730589653 /nfs/dbraw/zinc/58/96/53/730589653.db2.gz SAVONBOSOZGVMO-UHFFFAOYSA-N -1 1 343.347 -0.696 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cc(C(F)F)ncn3)C2)nc1=O ZINC001030522806 730599108 /nfs/dbraw/zinc/59/91/08/730599108.db2.gz GOSDQQQMECLLIF-UHFFFAOYSA-N -1 1 339.306 -0.550 20 0 EBADMM O=C(Cn1cccn1)NC[C@H]1COCCN1C(=O)c1ncccc1[O-] ZINC001061284676 738479694 /nfs/dbraw/zinc/47/96/94/738479694.db2.gz TWNLMACXMLIWIK-LBPRGKRZSA-N -1 1 345.359 -0.359 20 0 EBADMM COc1cc(CC(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)ccc1C ZINC001030648131 730619217 /nfs/dbraw/zinc/61/92/17/730619217.db2.gz QHIRTPBDVXJMKH-UHFFFAOYSA-N -1 1 345.403 -0.031 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cccc4c3CCOC4)C2)nc1=O ZINC001030661488 730621332 /nfs/dbraw/zinc/62/13/32/730621332.db2.gz CKXBXPAMQKLSLD-UHFFFAOYSA-N -1 1 343.387 -0.205 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@@H]3C[C@H]3C3CCOCC3)C2)nc1=O ZINC001030667205 730621750 /nfs/dbraw/zinc/62/17/50/730621750.db2.gz IOTCPDCEFMAWIU-QWHCGFSZSA-N -1 1 335.408 -0.529 20 0 EBADMM C[C@@H]1CCc2n[nH]cc2[C@@H]1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030697442 730625140 /nfs/dbraw/zinc/62/51/40/730625140.db2.gz TTWCRZFQPKMNOW-YMTOWFKASA-N -1 1 345.407 -0.502 20 0 EBADMM COc1ccc(F)cc1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030764212 730634201 /nfs/dbraw/zinc/63/42/01/730634201.db2.gz HFEIUZNLMTXRAH-UHFFFAOYSA-N -1 1 335.339 -0.130 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cnc4n3CCCC4)C2)nc1=O ZINC001030832666 730645756 /nfs/dbraw/zinc/64/57/56/730645756.db2.gz GJUJZWZTSGFDMQ-UHFFFAOYSA-N -1 1 331.380 -0.745 20 0 EBADMM COc1ccc(CC(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)nc1 ZINC001030840730 730646564 /nfs/dbraw/zinc/64/65/64/730646564.db2.gz PVTIBUCXBWBLIS-UHFFFAOYSA-N -1 1 332.364 -0.945 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ccc4cnccc4n3)C2)nc1=O ZINC001031009388 730664398 /nfs/dbraw/zinc/66/43/98/730664398.db2.gz NTJYGZUQDRAVFF-UHFFFAOYSA-N -1 1 339.359 -0.334 20 0 EBADMM Cc1nc2c(cccc2C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)[nH]1 ZINC001031203344 730685967 /nfs/dbraw/zinc/68/59/67/730685967.db2.gz FMJXDGJOUKTOHJ-UHFFFAOYSA-N -1 1 341.375 -0.093 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(Cc2conc2C)C1 ZINC001031249875 730693332 /nfs/dbraw/zinc/69/33/32/730693332.db2.gz KBYAIKFRSUPRCT-UHFFFAOYSA-N -1 1 335.320 -0.193 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(Cc2nccc(C)n2)C1 ZINC001031249750 730693408 /nfs/dbraw/zinc/69/34/08/730693408.db2.gz GOYCNNTZEJUCIZ-UHFFFAOYSA-N -1 1 346.347 -0.391 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(Cc2coc(C)n2)C1 ZINC001031249882 730693479 /nfs/dbraw/zinc/69/34/79/730693479.db2.gz KNIFAPXJAACQAX-UHFFFAOYSA-N -1 1 335.320 -0.193 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(Cc2cncs2)C1 ZINC001031250226 730693733 /nfs/dbraw/zinc/69/37/33/730693733.db2.gz YWJDXUIDSXNAJO-UHFFFAOYSA-N -1 1 337.361 -0.033 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN([C@@H](C)c2nncn2C)C1 ZINC001031250022 730693939 /nfs/dbraw/zinc/69/39/39/730693939.db2.gz PVZYZOIKLGYUPN-ZETCQYMHSA-N -1 1 349.351 -0.800 20 0 EBADMM Cc1nocc1CN1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001031250249 730694200 /nfs/dbraw/zinc/69/42/00/730694200.db2.gz ZMCBJUCBAREWTG-UHFFFAOYSA-N -1 1 329.320 -0.672 20 0 EBADMM CCCc1nc(C)c(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)o1 ZINC001031262303 730696381 /nfs/dbraw/zinc/69/63/81/730696381.db2.gz XXDIRGCKAHHGJX-UHFFFAOYSA-N -1 1 334.380 -0.028 20 0 EBADMM CCOc1ncccc1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031335620 730705377 /nfs/dbraw/zinc/70/53/77/730705377.db2.gz VTXGIMAQPWPAFS-UHFFFAOYSA-N -1 1 332.364 -0.484 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)CN3CCc4ccccc43)C2)nc1=O ZINC001031406714 730717293 /nfs/dbraw/zinc/71/72/93/730717293.db2.gz MIBBWHSTPBUFKC-UHFFFAOYSA-N -1 1 342.403 -0.529 20 0 EBADMM CCOc1cccnc1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031495695 730729831 /nfs/dbraw/zinc/72/98/31/730729831.db2.gz LITBKVHLEQIEFI-UHFFFAOYSA-N -1 1 332.364 -0.484 20 0 EBADMM O=C(CN1CC(CNC(=O)c2ncccc2[O-])C1)NC1CCOCC1 ZINC001031629319 730742834 /nfs/dbraw/zinc/74/28/34/730742834.db2.gz QKWBISXJERTFKF-UHFFFAOYSA-N -1 1 348.403 -0.256 20 0 EBADMM Cn1cc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)c(C2CC2)n1 ZINC001031701727 730753185 /nfs/dbraw/zinc/75/31/85/730753185.db2.gz WUYINASODDBGAA-UHFFFAOYSA-N -1 1 345.407 -0.419 20 0 EBADMM Cc1cnc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)cc1C ZINC001031866981 730782404 /nfs/dbraw/zinc/78/24/04/730782404.db2.gz AICKTELMVJRAPH-UHFFFAOYSA-N -1 1 330.392 -0.018 20 0 EBADMM Cc1n[nH]c(=O)c(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC001031891704 730786215 /nfs/dbraw/zinc/78/62/15/730786215.db2.gz WNNCQSCWQRVZCI-UHFFFAOYSA-N -1 1 347.379 -0.917 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cc(C(F)F)n[nH]3)C2)nc1=O ZINC001031968529 730797342 /nfs/dbraw/zinc/79/73/42/730797342.db2.gz ZLKACJMZYBNLTJ-UHFFFAOYSA-N -1 1 341.322 -0.369 20 0 EBADMM CN(C)c1cc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)ccn1 ZINC001032021821 730804265 /nfs/dbraw/zinc/80/42/65/730804265.db2.gz KWMZPIXTMUDRND-UHFFFAOYSA-N -1 1 345.407 -0.569 20 0 EBADMM O=C(NCC1CN(CCn2cccn2)C1)c1c[n-]n2c1nccc2=O ZINC001032034303 730805410 /nfs/dbraw/zinc/80/54/10/730805410.db2.gz WGSIUZXOZZZHMU-UHFFFAOYSA-N -1 1 341.375 -0.419 20 0 EBADMM CCc1nc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)c(C)o1 ZINC001032048214 730806854 /nfs/dbraw/zinc/80/68/54/730806854.db2.gz UXKWGBSMLVRVJJ-UHFFFAOYSA-N -1 1 334.380 -0.171 20 0 EBADMM CCn1nc(C)c(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC001032054692 730808435 /nfs/dbraw/zinc/80/84/35/730808435.db2.gz YGUSUOMFCRLUPR-UHFFFAOYSA-N -1 1 347.423 -0.197 20 0 EBADMM CCc1c[nH]c(=O)c(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001032091263 730811898 /nfs/dbraw/zinc/81/18/98/730811898.db2.gz LSXDZEIJWKITRH-UHFFFAOYSA-N -1 1 346.391 -0.367 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cc4c([nH]3)CCC4)C2)nc1=O ZINC001032119633 730814597 /nfs/dbraw/zinc/81/45/97/730814597.db2.gz XGCSKPZPWHQCRS-UHFFFAOYSA-N -1 1 330.392 -0.213 20 0 EBADMM COCc1nocc1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032122607 730814889 /nfs/dbraw/zinc/81/48/89/730814889.db2.gz XIHUMYHFQZDMLE-UHFFFAOYSA-N -1 1 336.352 -0.895 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CN(Cc2nnc(C)[nH]2)C1 ZINC001032126994 730815811 /nfs/dbraw/zinc/81/58/11/730815811.db2.gz JSQWHUUQLHCZSH-UHFFFAOYSA-N -1 1 349.351 -0.815 20 0 EBADMM O=C(NCC1CN(Cc2ncccn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001032128212 730816680 /nfs/dbraw/zinc/81/66/80/730816680.db2.gz VZHHPNXSJUOZLE-UHFFFAOYSA-N -1 1 340.347 -0.931 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@@H]2C[C@H]1CN2C[C@H](O)Cn1cccn1 ZINC001032369054 730846509 /nfs/dbraw/zinc/84/65/09/730846509.db2.gz QBBNLRCYEHBUFH-IHRRRGAJSA-N -1 1 343.387 -0.057 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)c2n[nH]c3c2CCC3)nc1=O ZINC001032493827 730874506 /nfs/dbraw/zinc/87/45/06/730874506.db2.gz OIQVZOIKHLSHBA-UWVGGRQHSA-N -1 1 343.391 -0.581 20 0 EBADMM COCc1ccc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)o1 ZINC001032509815 730879265 /nfs/dbraw/zinc/87/92/65/730879265.db2.gz WKOXSGHEWUOGLM-QWRGUYRKSA-N -1 1 347.375 -0.053 20 0 EBADMM COc1cnc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)nc1 ZINC001032511238 730880264 /nfs/dbraw/zinc/88/02/64/730880264.db2.gz KRZJBXJQLVTEQN-UWVGGRQHSA-N -1 1 345.363 -0.994 20 0 EBADMM Cc1ncccc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032594911 730896256 /nfs/dbraw/zinc/89/62/56/730896256.db2.gz XNKNIYAGXDMKHB-RYUDHWBXSA-N -1 1 328.376 -0.089 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)Cc2cscn2)nc1=O ZINC001032667167 730907356 /nfs/dbraw/zinc/90/73/56/730907356.db2.gz WMXXICJTWLOKDM-QWRGUYRKSA-N -1 1 334.405 -0.407 20 0 EBADMM C[C@H](Cc1cnc[nH]1)C(=O)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[n-]1 ZINC001032674313 730908480 /nfs/dbraw/zinc/90/84/80/730908480.db2.gz MJPUBQJLEQQQOR-USWWRNFRSA-N -1 1 331.380 -0.103 20 0 EBADMM CC[C@H](F)CN1C[C@@H]2C[C@H]1CN2C(=O)CCn1cc[n-]c(=O)c1=O ZINC001032686075 730910976 /nfs/dbraw/zinc/91/09/76/730910976.db2.gz DDQMNSFMKGJKLD-AVGNSLFASA-N -1 1 338.383 -0.040 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1C[C@@H]2C[C@H]1CN2C/C=C/Cl ZINC001032686422 730911008 /nfs/dbraw/zinc/91/10/08/730911008.db2.gz UDESFQVXBOTMBN-YNXKYEFMSA-N -1 1 338.795 -0.036 20 0 EBADMM COc1ccc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)o1 ZINC001032697791 730913123 /nfs/dbraw/zinc/91/31/23/730913123.db2.gz PYMYLNOHIAWCRG-UWVGGRQHSA-N -1 1 333.348 -0.191 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)c2c[nH]nc2C2CC2)nc1=O ZINC001032711678 730916255 /nfs/dbraw/zinc/91/62/55/730916255.db2.gz JWWGKATZWUQZRZ-QWRGUYRKSA-N -1 1 343.391 -0.192 20 0 EBADMM CC(C)n1cc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)cn1 ZINC001032727190 730919278 /nfs/dbraw/zinc/91/92/78/730919278.db2.gz AKXKMFPKSKLIQC-STQMWFEESA-N -1 1 345.407 -0.015 20 0 EBADMM CCc1cc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)no1 ZINC001032740416 730921952 /nfs/dbraw/zinc/92/19/52/730921952.db2.gz NDMZHBBPOWFVJU-UWVGGRQHSA-N -1 1 332.364 -0.242 20 0 EBADMM Cc1[nH]nc(C(=O)N(C)[C@@H]2CCN(CCN3CCNC3=O)C2)c1[O-] ZINC001033015799 730956647 /nfs/dbraw/zinc/95/66/47/730956647.db2.gz PKJLMBOMSPURJJ-LLVKDONJSA-N -1 1 336.396 -0.405 20 0 EBADMM CN(C(=O)Cc1ccnn1C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033046604 730962290 /nfs/dbraw/zinc/96/22/90/730962290.db2.gz KLFWAOJNICOFIJ-LBPRGKRZSA-N -1 1 333.396 -0.883 20 0 EBADMM CCOC1CC(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001033050388 730963299 /nfs/dbraw/zinc/96/32/99/730963299.db2.gz BCRIZGPNVOVLRM-OTTFEQOBSA-N -1 1 337.424 -0.044 20 0 EBADMM CCc1nn(C)cc1C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033171920 730982410 /nfs/dbraw/zinc/98/24/10/730982410.db2.gz IAYVPXXILYRWHB-NSHDSACASA-N -1 1 347.423 -0.249 20 0 EBADMM Cc1nc([C@@H](C)N2CC[C@H](N(C)C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001033238441 730993553 /nfs/dbraw/zinc/99/35/53/730993553.db2.gz PBBGFITXUYOXSC-APPZFPTMSA-N -1 1 348.367 -0.383 20 0 EBADMM Cc1cc(C)n(CC(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001033244066 730994421 /nfs/dbraw/zinc/99/44/21/730994421.db2.gz XTRLMCNBAUXMLT-ZDUSSCGKSA-N -1 1 347.423 -0.345 20 0 EBADMM Cc1cnn(C)c1C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033339529 731010842 /nfs/dbraw/zinc/01/08/42/731010842.db2.gz WPWCSEIHQIABHH-NSHDSACASA-N -1 1 333.396 -0.503 20 0 EBADMM CCn1nc(C)cc1C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033442186 731024262 /nfs/dbraw/zinc/02/42/62/731024262.db2.gz BLYFTYGQYSSQQM-LBPRGKRZSA-N -1 1 347.423 -0.020 20 0 EBADMM CC(C)n1cc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001033540134 731037270 /nfs/dbraw/zinc/03/72/70/731037270.db2.gz NREXTAKYWJCMAR-LLVKDONJSA-N -1 1 348.411 -0.373 20 0 EBADMM CCn1cc(CC(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001033572469 731041128 /nfs/dbraw/zinc/04/11/28/731041128.db2.gz ANFBGBPHZCBILK-ZDUSSCGKSA-N -1 1 347.423 -0.400 20 0 EBADMM CN(C(=O)Cn1ccccc1=O)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033656003 731056526 /nfs/dbraw/zinc/05/65/26/731056526.db2.gz IBSIZEXEWRUXDN-GFCCVEGCSA-N -1 1 346.391 -0.997 20 0 EBADMM CN(C(=O)C[C@@H]1CCCOC1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033667861 731058844 /nfs/dbraw/zinc/05/88/44/731058844.db2.gz OUFTZHSXCYHNNW-STQMWFEESA-N -1 1 337.424 -0.042 20 0 EBADMM CCN(C(=O)c1cc[n+]([O-])cc1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033737390 731070416 /nfs/dbraw/zinc/07/04/16/731070416.db2.gz OBLOVQVAKMJRIQ-ZDUSSCGKSA-N -1 1 346.391 -0.522 20 0 EBADMM CCN(C(=O)C1CCOCC1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033726453 731070599 /nfs/dbraw/zinc/07/05/99/731070599.db2.gz UHHGXHASRFQDIK-ZDUSSCGKSA-N -1 1 337.424 -0.042 20 0 EBADMM CCN(C(=O)c1cnn(C)c1N)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033811248 731076493 /nfs/dbraw/zinc/07/64/93/731076493.db2.gz RKNQUVCMVPIOFX-JTQLQIEISA-N -1 1 348.411 -0.839 20 0 EBADMM CCN(C(=O)c1ccc(C)nn1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033821788 731076608 /nfs/dbraw/zinc/07/66/08/731076608.db2.gz CXGWUPDOKFPKPB-GFCCVEGCSA-N -1 1 345.407 -0.057 20 0 EBADMM CCN(C(=O)c1cncn1C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033884467 731083872 /nfs/dbraw/zinc/08/38/72/731083872.db2.gz FODYCFJLBXXBNL-NSHDSACASA-N -1 1 333.396 -0.422 20 0 EBADMM CCN(C(=O)c1c[nH]nc1C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033914846 731086880 /nfs/dbraw/zinc/08/68/80/731086880.db2.gz HDQNVYFYWDKRQB-LLVKDONJSA-N -1 1 333.396 -0.123 20 0 EBADMM CCN(C(=O)c1c[nH]c(=O)n1C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033918664 731087436 /nfs/dbraw/zinc/08/74/36/731087436.db2.gz DXASCWIIKFRJDH-JTQLQIEISA-N -1 1 349.395 -0.716 20 0 EBADMM CCN(C(=O)[C@H]1[C@@H]2COC[C@@H]21)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033924115 731089447 /nfs/dbraw/zinc/08/94/47/731089447.db2.gz YAIORLRATKWTGG-NMKXLXIOSA-N -1 1 335.408 -0.576 20 0 EBADMM CCN(C(=O)c1ccnc(C)n1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034045908 731100313 /nfs/dbraw/zinc/10/03/13/731100313.db2.gz VGTNNICDWAEVPO-GFCCVEGCSA-N -1 1 345.407 -0.057 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H](NC(=O)c3cc[n+]([O-])cc3)C2)nc1=O ZINC001034083483 731102278 /nfs/dbraw/zinc/10/22/78/731102278.db2.gz CLWCIECIGYVAOV-ZDUSSCGKSA-N -1 1 346.391 -0.474 20 0 EBADMM Cn1cc(CC(=O)N[C@@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001034122051 731104671 /nfs/dbraw/zinc/10/46/71/731104671.db2.gz ILAXTCZKKOQFEJ-CYBMUJFWSA-N -1 1 347.423 -0.445 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H](NC(=O)c3cn[nH]c(=O)c3)C2)nc1=O ZINC001034265437 731115812 /nfs/dbraw/zinc/11/58/12/731115812.db2.gz QCGZFJZZMBVGDJ-LLVKDONJSA-N -1 1 347.379 -0.612 20 0 EBADMM CCn1nnc(C)c1C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034955562 731167558 /nfs/dbraw/zinc/16/75/58/731167558.db2.gz JUAHTGCNITZQNZ-SNVBAGLBSA-N -1 1 334.384 -0.175 20 0 EBADMM C[C@@H]1CCN(C(=O)C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)C1 ZINC001035097588 731185648 /nfs/dbraw/zinc/18/56/48/731185648.db2.gz WOLRKMNWHXVNLW-GHMZBOCLSA-N -1 1 336.396 -0.541 20 0 EBADMM Cn1cc(C(=O)N2CCC3(CCN(Cc4n[nH]c(=O)[n-]4)C3)CC2)nn1 ZINC001035182454 731194843 /nfs/dbraw/zinc/19/48/43/731194843.db2.gz FNCANGLLJFFOGR-UHFFFAOYSA-N -1 1 346.395 -0.233 20 0 EBADMM O=C(c1cn[nH]n1)N1CCC2(CCN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035201918 731196300 /nfs/dbraw/zinc/19/63/00/731196300.db2.gz ONHNWIWIWUWAQJ-UHFFFAOYSA-N -1 1 332.368 -0.243 20 0 EBADMM Cn1ncc(C(=O)N2CCC3(CCN(Cc4n[nH]c(=O)[n-]4)C3)CC2)n1 ZINC001035240675 731200641 /nfs/dbraw/zinc/20/06/41/731200641.db2.gz TWNAOCNNQPPDIT-UHFFFAOYSA-N -1 1 346.395 -0.233 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)c3ccsc3)C2)nc1=O ZINC001035279719 731204753 /nfs/dbraw/zinc/20/47/53/731204753.db2.gz OSGBGTVUCXLHCX-LLVKDONJSA-N -1 1 337.405 -0.199 20 0 EBADMM Cc1ccoc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035280958 731204973 /nfs/dbraw/zinc/20/49/73/731204973.db2.gz IEDJLNPQIQBJTF-LLVKDONJSA-N -1 1 335.364 -0.359 20 0 EBADMM O=C(NC[C@@H]1CN([C@H]2CCCNC2=O)CCO1)c1ncccc1[O-] ZINC001035342240 731221211 /nfs/dbraw/zinc/22/12/11/731221211.db2.gz BUEACUYCZGLYGY-NEPJUHHUSA-N -1 1 334.376 -0.504 20 0 EBADMM C[C@H]1CCCN(C(=O)CCn2ccnn2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036542596 731261690 /nfs/dbraw/zinc/26/16/90/731261690.db2.gz DBQPTLPVUXQLRS-NWDGAFQWSA-N -1 1 348.411 -0.091 20 0 EBADMM C[C@H]1CCCN(C(=O)c2cnn(C)c2N)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036540134 731261903 /nfs/dbraw/zinc/26/19/03/731261903.db2.gz QVACUEJSAANSGJ-ONGXEEELSA-N -1 1 348.411 -0.144 20 0 EBADMM C[C@H]1CCCN(C(=O)c2cn(C)c(=O)[nH]2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036575063 731262761 /nfs/dbraw/zinc/26/27/61/731262761.db2.gz VROLXSSKQBVDJU-GXSJLCMTSA-N -1 1 349.395 -0.020 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2CCN2C[C@@H](O)Cn2cccn2)c1[O-] ZINC001038162439 731289307 /nfs/dbraw/zinc/28/93/07/731289307.db2.gz VOVUUTSXYVZLMW-VXGBXAGGSA-N -1 1 334.380 -0.515 20 0 EBADMM COc1cc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)sn1 ZINC001038267694 731301038 /nfs/dbraw/zinc/30/10/38/731301038.db2.gz YVEOLIIEVFUBOR-QMMMGPOBSA-N -1 1 338.393 -0.422 20 0 EBADMM COc1cc(C)cnc1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038339994 731309161 /nfs/dbraw/zinc/30/91/61/731309161.db2.gz CEKMKEBAGDOCEB-LLVKDONJSA-N -1 1 346.391 -0.175 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@H]2CCc3nccn3C2)nc1=O ZINC001038427026 731323844 /nfs/dbraw/zinc/32/38/44/731323844.db2.gz NCFWFQNULCCGQM-NWDGAFQWSA-N -1 1 345.407 -0.742 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2n[nH]c3c2CCC3)nc1=O ZINC001038441730 731326040 /nfs/dbraw/zinc/32/60/40/731326040.db2.gz DBHHOCMUSARSOE-VIFPVBQESA-N -1 1 331.380 -0.676 20 0 EBADMM CCc1nc([C@H](C)N2CC[C@@H]2CNC(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC001038459224 731329436 /nfs/dbraw/zinc/32/94/36/731329436.db2.gz NYYHIVNYTXBQMF-JGVFFNPUSA-N -1 1 348.367 -0.471 20 0 EBADMM Cn1nc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)cc1Cl ZINC001038491817 731335337 /nfs/dbraw/zinc/33/53/37/731335337.db2.gz JGDXFRWRNLRXKU-QMMMGPOBSA-N -1 1 339.787 -0.500 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cnc(Cl)n2C)nc1=O ZINC001038512342 731337518 /nfs/dbraw/zinc/33/75/18/731337518.db2.gz YZTZNERQBFLVTA-QMMMGPOBSA-N -1 1 339.787 -0.500 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cc(C3CC3)on2)nc1=O ZINC001038575417 731345390 /nfs/dbraw/zinc/34/53/90/731345390.db2.gz OIAYRUQXWPKPDC-JTQLQIEISA-N -1 1 332.364 -0.022 20 0 EBADMM CCCc1cc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)[nH]n1 ZINC001038572362 731345451 /nfs/dbraw/zinc/34/54/51/731345451.db2.gz NYRYXCPUNJQIPV-LLVKDONJSA-N -1 1 333.396 -0.212 20 0 EBADMM Cn1cncc1[C@@H]1C[C@H]1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038714967 731368779 /nfs/dbraw/zinc/36/87/79/731368779.db2.gz ZCESULPJRNJAAL-IJLUTSLNSA-N -1 1 345.407 -0.664 20 0 EBADMM CCn1ncc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)c1C ZINC001038836516 731383873 /nfs/dbraw/zinc/38/38/73/731383873.db2.gz OHEXMXWBUBQIDJ-NSHDSACASA-N -1 1 333.396 -0.362 20 0 EBADMM CCc1c[nH]c(=O)c(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)c1 ZINC001038868462 731386799 /nfs/dbraw/zinc/38/67/99/731386799.db2.gz NPDVJMQBKQIMPU-NSHDSACASA-N -1 1 346.391 -0.224 20 0 EBADMM Cn1nc2c(c1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)CCC2 ZINC001038914064 731391125 /nfs/dbraw/zinc/39/11/25/731391125.db2.gz YPMZNHVFPOYNLP-SNVBAGLBSA-N -1 1 345.407 -0.665 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCN1Cc1cn(C)nn1 ZINC001038917906 731392161 /nfs/dbraw/zinc/39/21/61/731392161.db2.gz TZVYCXBADGVZEU-SECBINFHSA-N -1 1 349.351 -0.971 20 0 EBADMM Cc1conc1CN1CC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001038920338 731392833 /nfs/dbraw/zinc/39/28/33/731392833.db2.gz HVHOPVARJNAPBO-SNVBAGLBSA-N -1 1 343.347 -0.282 20 0 EBADMM O=C(NC[C@H]1CCN1Cc1cnns1)c1cc(=O)n2[n-]cnc2n1 ZINC001038919079 731392865 /nfs/dbraw/zinc/39/28/65/731392865.db2.gz ALBAKURJHNBCOI-MRVPVSSYSA-N -1 1 346.376 -0.727 20 0 EBADMM Cc1noc(CN2CC[C@@H]2CNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001038921188 731393196 /nfs/dbraw/zinc/39/31/96/731393196.db2.gz KTZXVHLIHJRKJQ-SECBINFHSA-N -1 1 344.335 -0.887 20 0 EBADMM Cc1cc(CN2CC[C@@H]2CNC(=O)c2cc(=O)n3[n-]cnc3n2)no1 ZINC001038924237 731393687 /nfs/dbraw/zinc/39/36/87/731393687.db2.gz YOKFUGLWYZAWKE-LLVKDONJSA-N -1 1 343.347 -0.282 20 0 EBADMM Cc1ncc(CN2CC[C@H]2CNC(=O)c2cc(=O)n3[n-]cnc3n2)o1 ZINC001038923686 731393819 /nfs/dbraw/zinc/39/38/19/731393819.db2.gz UYNKVORVTKJSNX-JTQLQIEISA-N -1 1 343.347 -0.282 20 0 EBADMM CN(C)c1ncccc1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001039019010 731404931 /nfs/dbraw/zinc/40/49/31/731404931.db2.gz PNTPTWDPKYVJPI-LLVKDONJSA-N -1 1 345.407 -0.426 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2c[nH]c3ncccc23)nc1=O ZINC001039025131 731406201 /nfs/dbraw/zinc/40/62/01/731406201.db2.gz IFEVBWGWKPSHEB-JTQLQIEISA-N -1 1 341.375 -0.011 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@]3(CCN(C(=O)[C@H]4CCOC4)C3)C2)nc1=O ZINC001040097992 731595972 /nfs/dbraw/zinc/59/59/72/731595972.db2.gz YRBMBHXMQCZOHN-SUMWQHHRSA-N -1 1 349.435 -0.041 20 0 EBADMM C[C@H]1[C@@H](Nc2ncccn2)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001040156219 731626138 /nfs/dbraw/zinc/62/61/38/731626138.db2.gz ZDDKPQZLYUUVMO-UWVGGRQHSA-N -1 1 340.347 -0.077 20 0 EBADMM CSCC(=O)N1CC[C@@]2(CC[N@@H+](Cc3nc(=O)n(C)[nH]3)C2)C1 ZINC001041051309 731974843 /nfs/dbraw/zinc/97/48/43/731974843.db2.gz IADWYHLGIVMPNE-CQSZACIVSA-N -1 1 325.438 -0.104 20 0 EBADMM Cn1cc(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)cn1 ZINC001041413614 732118254 /nfs/dbraw/zinc/11/82/54/732118254.db2.gz YOFSGTAGHHTWKE-UHFFFAOYSA-N -1 1 331.332 -0.862 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)C4=COCCO4)C[C@H]32)nc1=O ZINC001041915706 732253711 /nfs/dbraw/zinc/25/37/11/732253711.db2.gz FQXYLWNEKANYGJ-VXGBXAGGSA-N -1 1 349.391 -0.581 20 0 EBADMM Cn1cc(C(=O)N2CC[C@@H]3CCN(Cc4nc(=O)n(C)[n-]4)[C@H]3C2)cn1 ZINC001041926874 732256693 /nfs/dbraw/zinc/25/66/93/732256693.db2.gz FWUFSAFNUSZDGM-AAEUAGOBSA-N -1 1 345.407 -0.422 20 0 EBADMM Cc1c[nH]nc1C(=O)N1CC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1 ZINC001042053526 732289054 /nfs/dbraw/zinc/28/90/54/732289054.db2.gz ANNDWNCSPQVZIK-NEPJUHHUSA-N -1 1 345.407 -0.123 20 0 EBADMM Cn1ccc(C(=O)N2CC[C@@H]3CCN(Cc4nc(=O)n(C)[n-]4)[C@@H]3C2)n1 ZINC001042354687 732414837 /nfs/dbraw/zinc/41/48/37/732414837.db2.gz CGFFWUJNPCYDQO-WCQYABFASA-N -1 1 345.407 -0.422 20 0 EBADMM COc1cc(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)on1 ZINC001042712877 732546642 /nfs/dbraw/zinc/54/66/42/732546642.db2.gz JYROWRYRVLKXJH-UHFFFAOYSA-N -1 1 348.315 -0.599 20 0 EBADMM CCc1cc(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)[nH]n1 ZINC001042713072 732546715 /nfs/dbraw/zinc/54/67/15/732546715.db2.gz NLLNBDVJCQTIGB-UHFFFAOYSA-N -1 1 345.359 -0.310 20 0 EBADMM CCc1cc(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)n[nH]1 ZINC001042713072 732546721 /nfs/dbraw/zinc/54/67/21/732546721.db2.gz NLLNBDVJCQTIGB-UHFFFAOYSA-N -1 1 345.359 -0.310 20 0 EBADMM C[C@@H]1CO[C@H](C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)C1 ZINC001042715779 732547708 /nfs/dbraw/zinc/54/77/08/732547708.db2.gz AEJODURYCQGIGU-JQWIXIFHSA-N -1 1 335.360 -0.485 20 0 EBADMM C[C@@H]1OCCC[C@H]1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042713484 732547870 /nfs/dbraw/zinc/54/78/70/732547870.db2.gz ZYKVPFJKEMIIJD-NWDGAFQWSA-N -1 1 349.387 -0.095 20 0 EBADMM O=C(NCC1(O)CN(C(=O)[C@H]2C[C@]23CCOC3)C1)c1ncccc1[O-] ZINC001042716645 732548692 /nfs/dbraw/zinc/54/86/92/732548692.db2.gz WDDZWWYJQQUIAG-BZNIZROVSA-N -1 1 347.371 -0.483 20 0 EBADMM CN(C(=O)c1cnn(C2CCC2)c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042721049 732551652 /nfs/dbraw/zinc/55/16/52/732551652.db2.gz WPVXXOSUBIUXHL-UHFFFAOYSA-N -1 1 345.407 -0.014 20 0 EBADMM CN(C(=O)[C@@H]1CCCc2c[nH]nc21)C1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001042725527 732552801 /nfs/dbraw/zinc/55/28/01/732552801.db2.gz VEFWSSWNUUSRHG-LLVKDONJSA-N -1 1 331.380 -0.004 20 0 EBADMM CCn1ncc(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)n1 ZINC001042903206 732653727 /nfs/dbraw/zinc/65/37/27/732653727.db2.gz SJKMHQBRQOKWTM-UHFFFAOYSA-N -1 1 346.347 -0.985 20 0 EBADMM COc1cc(C)cnc1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042949989 732678382 /nfs/dbraw/zinc/67/83/82/732678382.db2.gz VKOKCDRJTIJHAL-UHFFFAOYSA-N -1 1 346.391 -0.223 20 0 EBADMM O=C(NCC1(O)CN(C(=O)C(F)F)C1)c1cnc(C2CC2)[n-]c1=O ZINC001043097633 732754529 /nfs/dbraw/zinc/75/45/29/732754529.db2.gz QCGKCLWDAJCDFQ-UHFFFAOYSA-N -1 1 342.302 -0.372 20 0 EBADMM CN(C(=O)c1cnn(C)c1Cl)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043098638 732755471 /nfs/dbraw/zinc/75/54/71/732755471.db2.gz CPDQVHCBHREOKS-UHFFFAOYSA-N -1 1 339.787 -0.548 20 0 EBADMM CN(C(=O)[C@@H]1CCn2cncc2C1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043123709 732771959 /nfs/dbraw/zinc/77/19/59/732771959.db2.gz JAXHSYQXWOFOBY-LLVKDONJSA-N -1 1 345.407 -0.790 20 0 EBADMM CN(C(=O)c1cncc2[nH]ccc21)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043225019 732831963 /nfs/dbraw/zinc/83/19/63/732831963.db2.gz SWPRUJQAVNQVGO-UHFFFAOYSA-N -1 1 341.375 -0.059 20 0 EBADMM CN(C(=O)c1ncnc2[nH]ccc21)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043229181 732836448 /nfs/dbraw/zinc/83/64/48/732836448.db2.gz ZSOMKNSPTURPCV-UHFFFAOYSA-N -1 1 342.363 -0.712 20 0 EBADMM CC(C)c1nc(CN2CC(N(C)C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001043230959 732837576 /nfs/dbraw/zinc/83/75/76/732837576.db2.gz KPVPKSVRAWHSON-UHFFFAOYSA-N -1 1 348.367 -0.519 20 0 EBADMM CN(C(=O)[C@H]1Cc2ccccc2O1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043262685 732848624 /nfs/dbraw/zinc/84/86/24/732848624.db2.gz BSHNFTNSJBEVDZ-CQSZACIVSA-N -1 1 343.387 -0.245 20 0 EBADMM O=C(Cn1nccn1)NC[C@H]1COCCN1C(=O)c1ncccc1[O-] ZINC001061616997 738651837 /nfs/dbraw/zinc/65/18/37/738651837.db2.gz XEFASMCJSYWCPX-NSHDSACASA-N -1 1 346.347 -0.964 20 0 EBADMM CN(C(=O)c1cnc([O-])n(C)c1=O)C1CN(CC[C@H]2CCCO2)C1 ZINC001043511875 732978663 /nfs/dbraw/zinc/97/86/63/732978663.db2.gz XUBGEFJMSQTOPS-GFCCVEGCSA-N -1 1 336.392 -0.189 20 0 EBADMM CN(C(=O)c1cnc2n1CCCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043616557 733025646 /nfs/dbraw/zinc/02/56/46/733025646.db2.gz HBWPTDDYFLJXNS-UHFFFAOYSA-N -1 1 345.407 -0.402 20 0 EBADMM CO[C@@H]1CC[C@@H](C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001043637711 733036062 /nfs/dbraw/zinc/03/60/62/733036062.db2.gz APQXCSAWAZNOCH-VXGBXAGGSA-N -1 1 349.387 -0.095 20 0 EBADMM CCCn1cc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001043990741 733234711 /nfs/dbraw/zinc/23/47/11/733234711.db2.gz USSUPCFDRVUVQT-UHFFFAOYSA-N -1 1 333.396 -0.329 20 0 EBADMM CO[C@H](C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1)C1CCC1 ZINC001044089548 733281466 /nfs/dbraw/zinc/28/14/66/733281466.db2.gz SDJGDLHYOZYLEX-AWEZNQCLSA-N -1 1 349.387 -0.095 20 0 EBADMM CO[C@@H](C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1)C1CCC1 ZINC001044089549 733281734 /nfs/dbraw/zinc/28/17/34/733281734.db2.gz SDJGDLHYOZYLEX-CQSZACIVSA-N -1 1 349.387 -0.095 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)c1csnn1 ZINC001044093180 733283106 /nfs/dbraw/zinc/28/31/06/733283106.db2.gz CZNULKXJZWOACU-UHFFFAOYSA-N -1 1 335.345 -0.744 20 0 EBADMM CN(C(=O)c1cnoc1C1CC1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044130542 733294816 /nfs/dbraw/zinc/29/48/16/733294816.db2.gz RKTOPBLJQOGKAM-UHFFFAOYSA-N -1 1 332.364 -0.070 20 0 EBADMM CN(C(=O)c1ncoc1C1CC1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044141239 733300266 /nfs/dbraw/zinc/30/02/66/733300266.db2.gz NKUPTBVLXGUJPL-UHFFFAOYSA-N -1 1 332.364 -0.070 20 0 EBADMM CN(C(=O)c1cc2c([nH]1)CCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044174198 733315104 /nfs/dbraw/zinc/31/51/04/733315104.db2.gz XVHLILYWEVFLMF-UHFFFAOYSA-N -1 1 330.392 -0.118 20 0 EBADMM CN(C(=O)[C@@H]1CCc2[nH]nnc2C1)C1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001044181012 733320434 /nfs/dbraw/zinc/32/04/34/733320434.db2.gz OQXJGFXUGHBVLQ-MRVPVSSYSA-N -1 1 332.368 -0.924 20 0 EBADMM CN(C(=O)[C@@H]1CCc2nn[nH]c2C1)C1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001044181012 733320438 /nfs/dbraw/zinc/32/04/38/733320438.db2.gz OQXJGFXUGHBVLQ-MRVPVSSYSA-N -1 1 332.368 -0.924 20 0 EBADMM C[C@@H]1CC[C@H](CN2CC(N(C)C(=O)c3cc(=O)n4[n-]cnc4n3)C2)O1 ZINC001044182007 733321245 /nfs/dbraw/zinc/32/12/45/733321245.db2.gz MTGKWKVHLWMPHK-ZYHUDNBSSA-N -1 1 346.391 -0.259 20 0 EBADMM CC[C@@H](CN1CC(N(C)C(=O)c2cc(=O)n3nc[n-]c3n2)C1)OC ZINC001044181897 733321286 /nfs/dbraw/zinc/32/12/86/733321286.db2.gz KULHGAIZVIWNSR-NSHDSACASA-N -1 1 334.380 -0.401 20 0 EBADMM CC[C@H](CN1CC(N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1)OC ZINC001044181896 733321697 /nfs/dbraw/zinc/32/16/97/733321697.db2.gz KULHGAIZVIWNSR-LLVKDONJSA-N -1 1 334.380 -0.401 20 0 EBADMM COc1cc[nH]c(=O)c1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044194338 733324498 /nfs/dbraw/zinc/32/44/98/733324498.db2.gz HEAZVXPGGCRKPQ-UHFFFAOYSA-N -1 1 348.363 -0.826 20 0 EBADMM CN(C(=O)[C@@H]1Cc2cccnc2C1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044230442 733331348 /nfs/dbraw/zinc/33/13/48/733331348.db2.gz BAGBZBKQCXYDKI-GFCCVEGCSA-N -1 1 342.403 -0.439 20 0 EBADMM Cc1nnc(CN[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)[C@H](C)C2)[nH]1 ZINC001044664508 733474925 /nfs/dbraw/zinc/47/49/25/733474925.db2.gz TWOKGWXZMVCPCT-VXNVDRBHSA-N -1 1 348.367 -0.508 20 0 EBADMM CCn1ccnc1CNC[C@@H]1CCCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001045106096 733583651 /nfs/dbraw/zinc/58/36/51/733583651.db2.gz AJHVWDWGMNNZOT-JTQLQIEISA-N -1 1 347.379 -0.106 20 0 EBADMM O=C(C[C@H]1CCCC(=O)N1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045114962 733587969 /nfs/dbraw/zinc/58/79/69/733587969.db2.gz OJMFHRSSPWKEJB-MNOVXSKESA-N -1 1 336.396 -0.350 20 0 EBADMM NC(=O)c1cc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)co1 ZINC001045133943 733595158 /nfs/dbraw/zinc/59/51/58/733595158.db2.gz JRUOJXPOSJPMOY-VIFPVBQESA-N -1 1 334.336 -0.403 20 0 EBADMM Cc1ccc(=O)n(CC(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)n1 ZINC001045282840 733648960 /nfs/dbraw/zinc/64/89/60/733648960.db2.gz PCZQALPSBSBWBZ-NSHDSACASA-N -1 1 347.379 -0.844 20 0 EBADMM NC(=O)c1ncccc1C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045319224 733667900 /nfs/dbraw/zinc/66/79/00/733667900.db2.gz XVPHMHDFWCWVMZ-VIFPVBQESA-N -1 1 345.363 -0.601 20 0 EBADMM Cc1n[nH]c(C(=O)NC2(C)CCN([C@H]3CCC(=O)NC3=O)CC2)c1[O-] ZINC001045407691 733688379 /nfs/dbraw/zinc/68/83/79/733688379.db2.gz BRRDPAYRFKKPGE-JTQLQIEISA-N -1 1 349.391 -0.187 20 0 EBADMM CCn1ncc(C(=O)NC2(C)CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC001045432094 733695093 /nfs/dbraw/zinc/69/50/93/733695093.db2.gz RGZUWWKCCCSABH-UHFFFAOYSA-N -1 1 348.411 -0.496 20 0 EBADMM Cn1ncc(C(=O)NC2(C)CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC001045587167 733733235 /nfs/dbraw/zinc/73/32/35/733733235.db2.gz VEUFTPSWSVKKEO-UHFFFAOYSA-N -1 1 334.384 -0.979 20 0 EBADMM Cn1ccc(C(=O)NC2(C)CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC001045640450 733743367 /nfs/dbraw/zinc/74/33/67/733743367.db2.gz RVZYWEXWKBNISZ-UHFFFAOYSA-N -1 1 333.396 -0.374 20 0 EBADMM CC(C)=CC(=O)N1CCN([C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001045996276 733789648 /nfs/dbraw/zinc/78/96/48/733789648.db2.gz CMXJRTZOTHESGA-AWEZNQCLSA-N -1 1 348.451 -0.207 20 0 EBADMM Cc1c(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001046146557 733867172 /nfs/dbraw/zinc/86/71/72/733867172.db2.gz HNAXEBRFGDXESJ-HNNXBMFYSA-N -1 1 333.396 -0.455 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)[C@H]1C[C@@]12CCOC2 ZINC001046585212 733973938 /nfs/dbraw/zinc/97/39/38/733973938.db2.gz SIUYUYTUNHFSES-BDJLRTHQSA-N -1 1 347.371 -0.483 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](C)(NC(=O)c3cc(C(N)=O)co3)C2)nc1=O ZINC001046615931 733982902 /nfs/dbraw/zinc/98/29/02/733982902.db2.gz KKBATGREGNBFQH-OAHLLOKOSA-N -1 1 348.363 -0.805 20 0 EBADMM Cc1ccc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)c(=O)[nH]1 ZINC001046659274 733991067 /nfs/dbraw/zinc/99/10/67/733991067.db2.gz TVFVXWARLOPMNV-INIZCTEOSA-N -1 1 346.391 -0.088 20 0 EBADMM C[C@@]1(NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN(Cc2cnon2)C1 ZINC001046768693 734022950 /nfs/dbraw/zinc/02/29/50/734022950.db2.gz CWXWNKNGWIPPKD-CQSZACIVSA-N -1 1 344.335 -0.805 20 0 EBADMM CN(C(=O)C[C@H]1C=CCCC1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047424143 734188309 /nfs/dbraw/zinc/18/83/09/734188309.db2.gz APDCCXJZTZHRPH-IHRRRGAJSA-N -1 1 349.435 -0.142 20 0 EBADMM CN(C(=O)c1n[nH]c(=O)[n-]c1=O)[C@H]1CN(Cc2ccccc2)C[C@@H]1O ZINC001047443939 734198634 /nfs/dbraw/zinc/19/86/34/734198634.db2.gz RITXRWGTMZRNMN-RYUDHWBXSA-N -1 1 345.359 -0.400 20 0 EBADMM Cc1cc(C(=O)N(C)[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c(C)[nH]1 ZINC001047546914 734248232 /nfs/dbraw/zinc/24/82/32/734248232.db2.gz GIPXWXMPXLNFCO-STQMWFEESA-N -1 1 348.407 -0.629 20 0 EBADMM CN(C(=O)C(C1CC1)C1CC1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047593231 734272532 /nfs/dbraw/zinc/27/25/32/734272532.db2.gz ZWSPZLREDZYOEX-STQMWFEESA-N -1 1 349.435 -0.452 20 0 EBADMM C[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1CNCc1ncccn1 ZINC001048303763 734385873 /nfs/dbraw/zinc/38/58/73/734385873.db2.gz JUZZPDDZSNNQGS-NXEZZACHSA-N -1 1 345.363 -0.429 20 0 EBADMM Cc1nonc1CNC[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1C ZINC001048302600 734386041 /nfs/dbraw/zinc/38/60/41/734386041.db2.gz DBTMBQSSELOCQZ-VXNVDRBHSA-N -1 1 349.351 -0.528 20 0 EBADMM Cn1cnc(C(=O)NC[C@H]2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[n-]2)n1 ZINC001048523292 734435641 /nfs/dbraw/zinc/43/56/41/734435641.db2.gz MAJHILFQJDPLSB-JGPRNRPPSA-N -1 1 346.395 -0.188 20 0 EBADMM Cn1cc(C(=O)N2C[C@H]3CN(Cc4n[nH]c(=O)[n-]4)C[C@H]3C2)ccc1=O ZINC001048915829 734568556 /nfs/dbraw/zinc/56/85/56/734568556.db2.gz NKCQAZCPFJKEJX-TXEJJXNPSA-N -1 1 344.375 -0.587 20 0 EBADMM CC(C)Cn1[n-]c(CC(=O)N2C[C@H]3CN(CC(N)=O)C[C@H]3C2)cc1=O ZINC001048922261 734571687 /nfs/dbraw/zinc/57/16/87/734571687.db2.gz FTGMKSGMLORMOQ-BETUJISGSA-N -1 1 349.435 -0.750 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@@H]2CCCN3C(=O)CC2(O)CCC2)nc1=O ZINC001049472362 734738167 /nfs/dbraw/zinc/73/81/67/734738167.db2.gz SFHHUKIRAYSCNG-STQMWFEESA-N -1 1 349.435 -0.021 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@H]2CCCN3C(=O)c2nc[nH]n2)nc1=O ZINC001049798891 734791171 /nfs/dbraw/zinc/79/11/71/734791171.db2.gz RTLDLBXGCKJIIG-ZJUUUORDSA-N -1 1 332.368 -0.894 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCCN(C(=O)C[C@@H]4CCOC4)[C@@H]3C2)nc1=O ZINC001050004376 734823615 /nfs/dbraw/zinc/82/36/15/734823615.db2.gz LZXMKSKWLUTUHL-MELADBBJSA-N -1 1 349.435 -0.042 20 0 EBADMM O=C(CCc1nc[nH]n1)N1CCC[C@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001050013858 734824027 /nfs/dbraw/zinc/82/40/27/734824027.db2.gz UDNVAYQVOJNRQA-WDEREUQCSA-N -1 1 346.395 -0.316 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2COCCN2Cc2nc(=O)n(C)[n-]2)no1 ZINC001050802136 734962355 /nfs/dbraw/zinc/96/23/55/734962355.db2.gz IRYHHQQBOZWDJO-JTQLQIEISA-N -1 1 336.352 -0.964 20 0 EBADMM Cc1ccc(C(=O)NC[C@H]2COCCN2Cc2nc(=O)n(C)[n-]2)o1 ZINC001050804470 734963062 /nfs/dbraw/zinc/96/30/62/734963062.db2.gz AJFWWXQUIQHFDW-NSHDSACASA-N -1 1 335.364 -0.359 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)nc1=O ZINC001050862960 734982070 /nfs/dbraw/zinc/98/20/70/734982070.db2.gz YWPKHUGZYWEUPC-CXTNEJHOSA-N -1 1 349.435 -0.138 20 0 EBADMM Cn1cc(CN2CCOC[C@H]2CNC(=O)c2ncccc2[O-])nn1 ZINC001050892658 734991226 /nfs/dbraw/zinc/99/12/26/734991226.db2.gz URANKJUWGPUIKC-GFCCVEGCSA-N -1 1 332.364 -0.454 20 0 EBADMM Cn1ncnc1CN1CCOC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001050892827 734991322 /nfs/dbraw/zinc/99/13/22/734991322.db2.gz WODOGYQOYVNNTD-LLVKDONJSA-N -1 1 332.364 -0.454 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)[C@@H]2CC23CCC3)nc1=O ZINC001051137554 735075074 /nfs/dbraw/zinc/07/50/74/735075074.db2.gz NQVKSYCUNMFPPZ-NEPJUHHUSA-N -1 1 335.408 -0.384 20 0 EBADMM C[C@@H](O)CN1CCOC[C@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001051153241 735078762 /nfs/dbraw/zinc/07/87/62/735078762.db2.gz OILMNGRLAXYQDY-ZYHUDNBSSA-N -1 1 336.392 -0.129 20 0 EBADMM CCC(=O)N[C@H](C)[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001051195745 735096368 /nfs/dbraw/zinc/09/63/68/735096368.db2.gz HGQBRRDWFUULLR-VXGBXAGGSA-N -1 1 336.392 -0.310 20 0 EBADMM O=C(C1CCOCC1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051387677 735138075 /nfs/dbraw/zinc/13/80/75/735138075.db2.gz HYZLOMIIGBKZPZ-LLVKDONJSA-N -1 1 325.369 -0.746 20 0 EBADMM O=C(CC[C@H]1CCCO1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051456310 735158479 /nfs/dbraw/zinc/15/84/79/735158479.db2.gz XONUVIICGGVWDU-NEPJUHHUSA-N -1 1 339.396 -0.214 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@@H]2CC[C@H](NC(C)=O)[C@@H]2C1 ZINC000979874797 805597744 /nfs/dbraw/zinc/59/77/44/805597744.db2.gz SKLPZVOEZHONBU-AEJSXWLSSA-N -1 1 336.348 -0.117 20 0 EBADMM Cc1[nH]nc(C(=O)N2CCO[C@H](CNCc3ccn(C)n3)C2)c1[O-] ZINC001051550313 735227967 /nfs/dbraw/zinc/22/79/67/735227967.db2.gz ODYILQPBUCZURE-GFCCVEGCSA-N -1 1 334.380 -0.212 20 0 EBADMM CC[C@H](SC)C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051548438 735228171 /nfs/dbraw/zinc/22/81/71/735228171.db2.gz GCFFEVPJIJMYIL-UWVGGRQHSA-N -1 1 329.426 -0.031 20 0 EBADMM C[C@H](C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1)n1cccc1 ZINC001051553390 735229727 /nfs/dbraw/zinc/22/97/27/735229727.db2.gz RFPBSAISPGOBSL-VXGBXAGGSA-N -1 1 334.380 -0.110 20 0 EBADMM O=C(c1ccc(F)cn1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051565576 735236657 /nfs/dbraw/zinc/23/66/57/735236657.db2.gz WLPYUWZZBAWVRH-SNVBAGLBSA-N -1 1 336.327 -0.325 20 0 EBADMM O=C([C@@H]1CCCOCC1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051578765 735245685 /nfs/dbraw/zinc/24/56/85/735245685.db2.gz OXTFKTRLNNTXDS-NEPJUHHUSA-N -1 1 339.396 -0.356 20 0 EBADMM O=C([C@H]1C[C@@H]1C(F)F)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051589435 735252100 /nfs/dbraw/zinc/25/21/00/735252100.db2.gz LJQYTKNUWHSADA-CIUDSAMLSA-N -1 1 331.323 -0.272 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)CCNC(N)=O ZINC001052420288 735611205 /nfs/dbraw/zinc/61/12/05/735611205.db2.gz CYPJIODEIHSTPL-GHMZBOCLSA-N -1 1 349.391 -0.330 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)C1(C(N)=O)CC1 ZINC001052423122 735611482 /nfs/dbraw/zinc/61/14/82/735611482.db2.gz VAHAJWRBQWTSFK-GHMZBOCLSA-N -1 1 346.387 -0.123 20 0 EBADMM CC(=O)NCC(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001052423509 735611666 /nfs/dbraw/zinc/61/16/66/735611666.db2.gz XHBUWZFJODFFDP-JQWIXIFHSA-N -1 1 334.376 -0.252 20 0 EBADMM CNC(=O)NCC(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001052422214 735611719 /nfs/dbraw/zinc/61/17/19/735611719.db2.gz QIKKVSMYJOMSAS-WDEREUQCSA-N -1 1 349.391 -0.460 20 0 EBADMM CNC(=O)CN1CCC[C@@H](NC(=O)c2c[n-]n3c2nccc3=O)CC1 ZINC001052772928 735687401 /nfs/dbraw/zinc/68/74/01/735687401.db2.gz CPYNFYRSXNULER-LLVKDONJSA-N -1 1 346.391 -0.647 20 0 EBADMM CCC(=O)NC[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1C ZINC001052978679 735734470 /nfs/dbraw/zinc/73/44/70/735734470.db2.gz AMUCJTICWXRZDD-VXGBXAGGSA-N -1 1 336.392 -0.453 20 0 EBADMM NC(=O)C(=O)N1CCC[C@H]([C@@H]2CCCN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001053038738 735742113 /nfs/dbraw/zinc/74/21/13/735742113.db2.gz BDLFRUPQOFEMAT-MNOVXSKESA-N -1 1 336.396 -0.554 20 0 EBADMM CS[C@H](C)C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053163661 735764268 /nfs/dbraw/zinc/76/42/68/735764268.db2.gz DZSJSMYHOAVTQP-SNVBAGLBSA-N -1 1 341.437 -0.727 20 0 EBADMM CC(C)[C@H]1C[C@H]1C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053490704 735901201 /nfs/dbraw/zinc/90/12/01/735901201.db2.gz QGSLOACYAVXOLS-CHWSQXEVSA-N -1 1 349.435 -0.186 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CC[C@H](CNC(=O)c2ccco2)O3)nc1=O ZINC001053561313 735926730 /nfs/dbraw/zinc/92/67/30/735926730.db2.gz KKBRLQXUQJREJF-LLVKDONJSA-N -1 1 347.375 -0.135 20 0 EBADMM CNC(=O)[C@H](C)N1CC2(C1)CC[C@@H](CNC(=O)c1ncccc1[O-])O2 ZINC001053594103 735936555 /nfs/dbraw/zinc/93/65/55/735936555.db2.gz DMSYHQAEYFJTDJ-RYUDHWBXSA-N -1 1 348.403 -0.115 20 0 EBADMM C[C@@H](C(N)=O)N1CC2(C1)CC[C@@H](CNC(=O)c1ncccc1[O-])O2 ZINC001053594973 735937830 /nfs/dbraw/zinc/93/78/30/735937830.db2.gz WIZOXFCWROYBCP-QWRGUYRKSA-N -1 1 334.376 -0.376 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CC[C@H](CNC(=O)c2cc[nH]c2)O3)nc1=O ZINC001053601835 735941233 /nfs/dbraw/zinc/94/12/33/735941233.db2.gz SQIYXKOVGHNXEZ-GFCCVEGCSA-N -1 1 346.391 -0.400 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)c2cccnc2)CO3)nc1=O ZINC001053716056 735977482 /nfs/dbraw/zinc/97/74/82/735977482.db2.gz KWGOTXSOOVMXAW-GFCCVEGCSA-N -1 1 344.375 -0.723 20 0 EBADMM CN1CCC[C@H]1C(=O)N[C@H]1COC2(CN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001053758249 735999228 /nfs/dbraw/zinc/99/92/28/735999228.db2.gz NZSFZQHXBRUHFB-MNOVXSKESA-N -1 1 336.396 -0.936 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)C2CC=CC2)CO3)nc1=O ZINC001053762421 736002048 /nfs/dbraw/zinc/00/20/48/736002048.db2.gz YQWVJTGFXQCRGJ-LBPRGKRZSA-N -1 1 333.392 -0.466 20 0 EBADMM CC[C@H](C(N)=O)N1CC2(C1)C[C@H](NC(=O)c1ncccc1[O-])CO2 ZINC001053784742 736014542 /nfs/dbraw/zinc/01/45/42/736014542.db2.gz VRPGXIKDMGUEMN-WDEREUQCSA-N -1 1 334.376 -0.376 20 0 EBADMM O=C(N[C@@H]1COC2(CN(CCO)C2)C1)c1cnc(C2CC2)[n-]c1=O ZINC001053949443 736109932 /nfs/dbraw/zinc/10/99/32/736109932.db2.gz HMAXBVNUVJULSB-NSHDSACASA-N -1 1 334.376 -0.375 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)[C@@H]2C[C@H]2C2CC2)CO3)nc1=O ZINC001053951536 736110581 /nfs/dbraw/zinc/11/05/81/736110581.db2.gz YCRPGZZUGXBDDK-FRRDWIJNSA-N -1 1 347.419 -0.386 20 0 EBADMM C[C@@H]1CN(C(=O)CC2OCCCO2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054609172 736217507 /nfs/dbraw/zinc/21/75/07/736217507.db2.gz XGAWDUNCQNPHAP-NXEZZACHSA-N -1 1 325.369 -0.400 20 0 EBADMM C[C@H]1CN(C(=O)c2cc3n(n2)CCC3)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054713054 736234481 /nfs/dbraw/zinc/23/44/81/736234481.db2.gz BDHMVSCACXVGIH-CABZTGNLSA-N -1 1 331.380 -0.097 20 0 EBADMM Cc1cc(OCC(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)no1 ZINC001054767725 736243498 /nfs/dbraw/zinc/24/34/98/736243498.db2.gz WMFDMEPFUQLTLN-PSASIEDQSA-N -1 1 336.352 -0.178 20 0 EBADMM Cc1cc(OCC(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H](C)C2)no1 ZINC001054767735 736243594 /nfs/dbraw/zinc/24/35/94/736243594.db2.gz WMFDMEPFUQLTLN-WPRPVWTQSA-N -1 1 336.352 -0.178 20 0 EBADMM Cc1cc(=O)c(C(=O)N2C[C@@H](C)[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cn1C ZINC001054783951 736246497 /nfs/dbraw/zinc/24/64/97/736246497.db2.gz VDWOMNWQIOVCJX-SKDRFNHKSA-N -1 1 346.391 -0.232 20 0 EBADMM C[C@@H]1CN(C(=O)c2cc(CN(C)C)on2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054829094 736252602 /nfs/dbraw/zinc/25/26/02/736252602.db2.gz DZMMQIDUGVPCFS-SKDRFNHKSA-N -1 1 349.395 -0.190 20 0 EBADMM C[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1NCc1ccn(C)n1 ZINC001054862993 736258592 /nfs/dbraw/zinc/25/85/92/736258592.db2.gz OKGDJJOTVMKAAZ-PSASIEDQSA-N -1 1 333.352 -0.733 20 0 EBADMM C[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@H]1NCc1nccn1C ZINC001054863701 736259163 /nfs/dbraw/zinc/25/91/63/736259163.db2.gz VVLBOQSPZIELKW-BDAKNGLRSA-N -1 1 333.352 -0.733 20 0 EBADMM COCCn1ccc(C(=O)N2C[C@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001054884521 736262095 /nfs/dbraw/zinc/26/20/95/736262095.db2.gz DMFOUQJMOPDZLZ-CMPLNLGQSA-N -1 1 349.395 -0.397 20 0 EBADMM COc1cnc(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)nc1 ZINC001054891180 736263721 /nfs/dbraw/zinc/26/37/21/736263721.db2.gz NPSPTLWOOSPEKJ-PSASIEDQSA-N -1 1 333.352 -0.441 20 0 EBADMM C[C@@H]1CN(C(=O)CCc2cncnc2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054904709 736265942 /nfs/dbraw/zinc/26/59/42/736265942.db2.gz XTRNPZNRWCLFDE-ZYHUDNBSSA-N -1 1 331.380 -0.130 20 0 EBADMM C[C@@H]1CN(C(=O)Cc2ccc(=O)[nH]c2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054918125 736267668 /nfs/dbraw/zinc/26/76/68/736267668.db2.gz TUYQTQLJVVGSKF-MWLCHTKSSA-N -1 1 332.364 -0.210 20 0 EBADMM C[C@H]1CN(C(=O)c2coc(C(N)=O)c2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054930500 736270665 /nfs/dbraw/zinc/27/06/65/736270665.db2.gz OLOCMQPPZKSZNI-CBAPKCEASA-N -1 1 334.336 -0.548 20 0 EBADMM Cc1n[nH]c(=O)c(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)c1C ZINC001054960679 736272835 /nfs/dbraw/zinc/27/28/35/736272835.db2.gz VGBOIJIWPVBGDO-GMSGAONNSA-N -1 1 347.379 -0.127 20 0 EBADMM Cc1n[nH]c(=O)c(C(=O)N2C[C@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)c1C ZINC001054960680 736272917 /nfs/dbraw/zinc/27/29/17/736272917.db2.gz VGBOIJIWPVBGDO-OIBJUYFYSA-N -1 1 347.379 -0.127 20 0 EBADMM C[C@H]1CN(C(=O)c2cnc([O-])n(C)c2=O)C[C@H]1NCc1ccn(C)n1 ZINC001054967712 736274161 /nfs/dbraw/zinc/27/41/61/736274161.db2.gz XXBACDPHRZBGSH-GXFFZTMASA-N -1 1 346.391 -0.530 20 0 EBADMM Cc1cc(N2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC2)ncn1 ZINC001055857292 736523990 /nfs/dbraw/zinc/52/39/90/736523990.db2.gz WAOLEJITICDXJT-UHFFFAOYSA-N -1 1 340.347 -0.522 20 0 EBADMM Cc1ccc(N2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC2)nn1 ZINC001055856878 736524185 /nfs/dbraw/zinc/52/41/85/736524185.db2.gz KOBZAMAWVONXAV-UHFFFAOYSA-N -1 1 340.347 -0.522 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(c3ncccn3)C[C@H]21)c1cc(=O)n2[n-]cnc2n1 ZINC001056259001 736681381 /nfs/dbraw/zinc/68/13/81/736681381.db2.gz AZOJEGJMVHWFNG-GDGBQDQQSA-N -1 1 338.331 -0.928 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CC[C@@H](Nc2ncnc3[nH]cnc32)C1 ZINC001056823130 736824069 /nfs/dbraw/zinc/82/40/69/736824069.db2.gz BVYSMWRFIXXLKL-ZCFIWIBFSA-N -1 1 343.307 -0.724 20 0 EBADMM O=C(CCn1cccn1)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057013694 736919639 /nfs/dbraw/zinc/91/96/39/736919639.db2.gz WOSMTDOGZUDBDL-UHFFFAOYSA-N -1 1 347.375 -0.375 20 0 EBADMM O=C(C[C@H]1CCCO1)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057069165 736958645 /nfs/dbraw/zinc/95/86/45/736958645.db2.gz HWQQSUZWXACYKO-GFCCVEGCSA-N -1 1 337.376 -0.093 20 0 EBADMM O=C(c1ncccc1[O-])N1CCCN(c2ccc3nnnn3n2)CC1 ZINC001057127161 737011453 /nfs/dbraw/zinc/01/14/53/737011453.db2.gz FOMMFTHXBZTVFY-UHFFFAOYSA-N -1 1 340.347 -0.028 20 0 EBADMM O=C(CCn1cncn1)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410138 737229710 /nfs/dbraw/zinc/22/97/10/737229710.db2.gz DLJVZLMVPKNAPQ-UHFFFAOYSA-N -1 1 348.363 -0.980 20 0 EBADMM CCn1nncc1C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410088 737230115 /nfs/dbraw/zinc/23/01/15/737230115.db2.gz BXSRDHFDNJYJFO-UHFFFAOYSA-N -1 1 348.363 -0.737 20 0 EBADMM CO[C@H](C(=O)NCCN(CCO)C(=O)c1ncccc1[O-])C1CC1 ZINC001057410174 737230132 /nfs/dbraw/zinc/23/01/32/737230132.db2.gz GIXRMCHDBCYVJH-AWEZNQCLSA-N -1 1 337.376 -0.237 20 0 EBADMM Cn1cc(C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])cn1 ZINC001057410597 737230798 /nfs/dbraw/zinc/23/07/98/737230798.db2.gz SVNRPTCFKGDXPI-UHFFFAOYSA-N -1 1 333.348 -0.615 20 0 EBADMM Cn1nccc1C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410773 737231279 /nfs/dbraw/zinc/23/12/79/737231279.db2.gz WMZFZWCPDVCONN-UHFFFAOYSA-N -1 1 333.348 -0.615 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccc1[O-])[C@]12C[C@H]1COC2 ZINC001057417671 737237279 /nfs/dbraw/zinc/23/72/79/737237279.db2.gz YNPLKWIYJPNCHF-ZBEGNZNMSA-N -1 1 335.360 -0.626 20 0 EBADMM O=C(Cc1ccc[nH]1)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057791666 737436102 /nfs/dbraw/zinc/43/61/02/737436102.db2.gz BZSJRGFLOYHYSW-UHFFFAOYSA-N -1 1 332.360 -0.091 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057887729 737508658 /nfs/dbraw/zinc/50/86/58/737508658.db2.gz KTQNGAHPBJUMGP-UHFFFAOYSA-N -1 1 349.347 -0.611 20 0 EBADMM O=C(N[C@H]1CCN(c2cnc(F)cn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001058406701 737816287 /nfs/dbraw/zinc/81/62/87/737816287.db2.gz XZRSFPPGVPXNON-QMMMGPOBSA-N -1 1 344.310 -0.645 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N1CC[C@H](Nc2ncnc3[nH]cnc32)C1 ZINC001058936659 738080240 /nfs/dbraw/zinc/08/02/40/738080240.db2.gz UJJGUJNBWSYOMB-ZETCQYMHSA-N -1 1 345.323 -0.931 20 0 EBADMM NC(=O)NCCC(=O)N1CC[C@@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059632703 738214442 /nfs/dbraw/zinc/21/44/42/738214442.db2.gz ZVDBIDVMAWKZAB-LLVKDONJSA-N -1 1 349.391 -0.186 20 0 EBADMM O=C(NCC[C@@H]1CCN(C(=O)[C@H]2CCNC2=O)C1)c1ncccc1[O-] ZINC001060061925 738300958 /nfs/dbraw/zinc/30/09/58/738300958.db2.gz UJBZUAIDSJXZAG-NEPJUHHUSA-N -1 1 346.387 -0.108 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)NCC1(NC(=O)c2cc(=O)n3[n-]cnc3n2)CCC1 ZINC001062434852 738915677 /nfs/dbraw/zinc/91/56/77/738915677.db2.gz DOEDYWZYGRECAJ-NXEZZACHSA-N -1 1 344.375 -0.158 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1C[C@@H]2C[C@H]1CN2c1ncccn1 ZINC001062509050 738932963 /nfs/dbraw/zinc/93/29/63/738932963.db2.gz LHQHQWDSDAJIAF-RYUDHWBXSA-N -1 1 342.359 -0.794 20 0 EBADMM O=C(CN1CCOCC1)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062821619 738992104 /nfs/dbraw/zinc/99/21/04/738992104.db2.gz KXNYBDBNEPSFTH-UHFFFAOYSA-N -1 1 348.403 -0.112 20 0 EBADMM COCC(=O)NC1(CNC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)CCC1 ZINC001063711936 739184531 /nfs/dbraw/zinc/18/45/31/739184531.db2.gz SRFDSZWGEPFGSR-UHFFFAOYSA-N -1 1 340.336 -0.689 20 0 EBADMM COCC(=O)N(C)CCCN(C)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001066622892 740009678 /nfs/dbraw/zinc/00/96/78/740009678.db2.gz JUDLAJQDFOJSTI-UHFFFAOYSA-N -1 1 342.352 -0.537 20 0 EBADMM CN(CCCN(C)C(=O)c1ncccc1[O-])C(=O)c1nnn(C)n1 ZINC001067254843 740113494 /nfs/dbraw/zinc/11/34/94/740113494.db2.gz PTBHZUQDFLAFSF-UHFFFAOYSA-N -1 1 333.352 -0.455 20 0 EBADMM O=C(NC[C@@H]1CN(c2nccnc2F)C[C@@H]1O)c1ncccc1[O-] ZINC001067665266 740177624 /nfs/dbraw/zinc/17/76/24/740177624.db2.gz LQGOGYJQKJNTEA-KOLCDFICSA-N -1 1 333.323 -0.057 20 0 EBADMM C[C@@H]1[C@@H](Nc2ncccn2)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001068873184 740476716 /nfs/dbraw/zinc/47/67/16/740476716.db2.gz ZDDKPQZLYUUVMO-ZJUUUORDSA-N -1 1 340.347 -0.077 20 0 EBADMM C[C@H]1[C@H](Nc2ncccn2)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001068873183 740476734 /nfs/dbraw/zinc/47/67/34/740476734.db2.gz ZDDKPQZLYUUVMO-VHSXEESVSA-N -1 1 340.347 -0.077 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NCc1cnn2c1CN(Cc1nc(=O)n(C)[n-]1)CC2 ZINC001069851303 740590069 /nfs/dbraw/zinc/59/00/69/740590069.db2.gz ZFYWQCVOSOIEDZ-JQWIXIFHSA-N -1 1 345.407 -0.407 20 0 EBADMM CC(C)CC(=O)N1C[C@@H](NCc2nc(=O)n(C)[n-]2)[C@@H](n2ccnn2)C1 ZINC001070128868 740713314 /nfs/dbraw/zinc/71/33/14/740713314.db2.gz KERDDYMJQRSRKV-NEPJUHHUSA-N -1 1 348.411 -0.712 20 0 EBADMM Cc1c(C(=O)N[C@@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001071352250 741126389 /nfs/dbraw/zinc/12/63/89/741126389.db2.gz OVCZUDHPWBNHKB-CMPLNLGQSA-N -1 1 347.423 -0.067 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC001071363000 741127255 /nfs/dbraw/zinc/12/72/55/741127255.db2.gz FMPZMLUXRBOJQB-WDEREUQCSA-N -1 1 333.396 -0.077 20 0 EBADMM Cc1cnn(CC(=O)N[C@@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001071376646 741129929 /nfs/dbraw/zinc/12/99/29/741129929.db2.gz XSLJSITWHCDQEP-QWHCGFSZSA-N -1 1 347.423 -0.217 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)Cc2cnn(C)c2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071384085 741130949 /nfs/dbraw/zinc/13/09/49/741130949.db2.gz RQOBNPZGWHBPOB-DGCLKSJQSA-N -1 1 347.423 -0.446 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2nccnc2N)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071395938 741132373 /nfs/dbraw/zinc/13/23/73/741132373.db2.gz GFPSVRIJFBIFFG-NXEZZACHSA-N -1 1 346.395 -0.737 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2cn(C)cn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071434858 741135949 /nfs/dbraw/zinc/13/59/49/741135949.db2.gz MWUTYZVTIAZHAN-WDEREUQCSA-N -1 1 333.396 -0.375 20 0 EBADMM CCn1nncc1C(=O)N[C@@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071484726 741144627 /nfs/dbraw/zinc/14/46/27/741144627.db2.gz DQNMGNFVEWRQSM-WDEREUQCSA-N -1 1 348.411 -0.497 20 0 EBADMM Cc1c[nH]nc1C(=O)N[C@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071490828 741145348 /nfs/dbraw/zinc/14/53/48/741145348.db2.gz RSRUIBAPJGTDHJ-MNOVXSKESA-N -1 1 333.396 -0.077 20 0 EBADMM Cc1nnccc1C(=O)N[C@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071651384 741182083 /nfs/dbraw/zinc/18/20/83/741182083.db2.gz HWSDZMYUSPTTFM-PWSUYJOCSA-N -1 1 345.407 -0.010 20 0 EBADMM Cc1nn[nH]c1C(=O)N[C@@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071732535 741206418 /nfs/dbraw/zinc/20/64/18/741206418.db2.gz WFTGVNUOOZWITC-PSASIEDQSA-N -1 1 334.384 -0.682 20 0 EBADMM Cc1cnn(C)c1C(=O)N[C@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071754153 741212648 /nfs/dbraw/zinc/21/26/48/741212648.db2.gz VKHDUWAGUHCXCL-RYUDHWBXSA-N -1 1 347.423 -0.067 20 0 EBADMM Cc1ccnn1CC(=O)N[C@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071866170 741235940 /nfs/dbraw/zinc/23/59/40/741235940.db2.gz SMUTVYBZMGWTMB-YPMHNXCESA-N -1 1 347.423 -0.217 20 0 EBADMM C/C=C(/C)C(=O)N1C[C@H](C)[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001071885953 741238946 /nfs/dbraw/zinc/23/89/46/741238946.db2.gz WFIHXQGZNBRPCD-FZXKYSEESA-N -1 1 348.403 -0.144 20 0 EBADMM CCC(=O)NCC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001071952843 741263775 /nfs/dbraw/zinc/26/37/75/741263775.db2.gz RMLYVCAZCKELFX-GHMZBOCLSA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@H]1CN(C(=O)CC(=O)N(C)C)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001072125699 741311186 /nfs/dbraw/zinc/31/11/86/741311186.db2.gz NUGIWAAOADCWHO-WDEREUQCSA-N -1 1 334.376 -0.158 20 0 EBADMM CCCC(=O)N[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H]1C ZINC001072393122 741388178 /nfs/dbraw/zinc/38/81/78/741388178.db2.gz KFOJPMPGRDETDM-NWDGAFQWSA-N -1 1 336.392 -0.310 20 0 EBADMM C/C=C(/C)C(=O)N[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H]1C ZINC001072394122 741388431 /nfs/dbraw/zinc/38/84/31/741388431.db2.gz WZESOCPYJSZQRE-FZXKYSEESA-N -1 1 348.403 -0.144 20 0 EBADMM CCCC(=O)N[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1C ZINC001072426289 741395267 /nfs/dbraw/zinc/39/52/67/741395267.db2.gz GBEFZKOJJGJDJT-ONGXEEELSA-N -1 1 332.364 -0.206 20 0 EBADMM Cc1cc(C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)nn1C ZINC001072525931 741423765 /nfs/dbraw/zinc/42/37/65/741423765.db2.gz HFGWREOPRKGJSJ-UHFFFAOYSA-N -1 1 345.407 -0.502 20 0 EBADMM CCn1cc(C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)cn1 ZINC001072556388 741428707 /nfs/dbraw/zinc/42/87/07/741428707.db2.gz HTRXPRBUNRPVKL-UHFFFAOYSA-N -1 1 345.407 -0.327 20 0 EBADMM Cn1cnc(C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)c1 ZINC001072616961 741443631 /nfs/dbraw/zinc/44/36/31/741443631.db2.gz MYGHGMWOXWPOTL-UHFFFAOYSA-N -1 1 331.380 -0.810 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)c4ccns4)C3)C2)nc1=O ZINC001072789486 741484999 /nfs/dbraw/zinc/48/49/99/741484999.db2.gz KXUXKXBNQKKWEZ-UHFFFAOYSA-N -1 1 334.405 -0.087 20 0 EBADMM COC1CC(C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)C1 ZINC001072838451 741500542 /nfs/dbraw/zinc/50/05/42/741500542.db2.gz ONTPQCYGPJMIFP-UHFFFAOYSA-N -1 1 335.408 -0.432 20 0 EBADMM Cn1cc(CN2CCC3(CN(C(=O)c4n[nH]c(=O)[n-]c4=O)C3)C2)cn1 ZINC001072898285 741515541 /nfs/dbraw/zinc/51/55/41/741515541.db2.gz RFSPQTGLNXZLCF-UHFFFAOYSA-N -1 1 345.363 -0.636 20 0 EBADMM Cn1cncc1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072899992 741516111 /nfs/dbraw/zinc/51/61/11/741516111.db2.gz XRPYVYHPEDFXML-UHFFFAOYSA-N -1 1 331.380 -0.810 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)[C@@]4(F)CCOC4)C3)C2)nc1=O ZINC001072916192 741519130 /nfs/dbraw/zinc/51/91/30/741519130.db2.gz CKXGBONJTZFVFT-OAHLLOKOSA-N -1 1 339.371 -0.729 20 0 EBADMM C[C@@H](C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2)[C@@H]1CCCO1 ZINC001072961529 741527940 /nfs/dbraw/zinc/52/79/40/741527940.db2.gz PZQBKRQRWVEOKQ-OLZOCXBDSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)[C@@H]4C[C@@]45CCOC5)C3)C2)nc1=O ZINC001073111373 741562504 /nfs/dbraw/zinc/56/25/04/741562504.db2.gz ZGSLSOBQMZLXEK-YVEFUNNKSA-N -1 1 347.419 -0.431 20 0 EBADMM COCCC(=O)N1CCN(C2CN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001073525073 741630354 /nfs/dbraw/zinc/63/03/54/741630354.db2.gz NTGOKGDZWNFXKN-UHFFFAOYSA-N -1 1 348.403 -0.208 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2CN(CCn3cncn3)CCCO2)c1[O-] ZINC001073575753 741663477 /nfs/dbraw/zinc/66/34/77/741663477.db2.gz NQQZZLUSPBTEMC-LBPRGKRZSA-N -1 1 349.395 -0.464 20 0 EBADMM Cn1cnnc1CN1CCCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001073582248 741667711 /nfs/dbraw/zinc/66/77/11/741667711.db2.gz GWSAABAUAJYLAH-GFCCVEGCSA-N -1 1 346.391 -0.063 20 0 EBADMM CN1CC[C@H](N2CCCO[C@@H](CNC(=O)c3ncccc3[O-])C2)C1=O ZINC001073583646 741668736 /nfs/dbraw/zinc/66/87/36/741668736.db2.gz BACXPVWOMJPLBF-STQMWFEESA-N -1 1 348.403 -0.161 20 0 EBADMM CNC(=O)NCC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001073901521 741782506 /nfs/dbraw/zinc/78/25/06/741782506.db2.gz YAJHLZFBPPNOCQ-WDEREUQCSA-N -1 1 349.391 -0.317 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H]3CCN(C(=O)C(C)(F)F)C[C@@H]32)nc1=O ZINC001074130983 741838012 /nfs/dbraw/zinc/83/80/12/741838012.db2.gz JNPROHASNMGHFB-VHSXEESVSA-N -1 1 345.350 -0.435 20 0 EBADMM C/C=C(/C)C(=O)N1CC[C@@H]2OCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1 ZINC001074209394 741861610 /nfs/dbraw/zinc/86/16/10/741861610.db2.gz XKQISGPQGGGWHH-WIGWWYOCSA-N -1 1 335.408 -0.124 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H]3CCN(C(=O)c4cnco4)C[C@H]32)nc1=O ZINC001074304053 741895574 /nfs/dbraw/zinc/89/55/74/741895574.db2.gz DIXOHPUFBYWNPX-GHMZBOCLSA-N -1 1 348.363 -0.788 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H]3CCN(C(=O)C(C)(C)C)C[C@@H]32)nc1=O ZINC001074391194 741924834 /nfs/dbraw/zinc/92/48/34/741924834.db2.gz ZEVXUJZJBFDNPI-RYUDHWBXSA-N -1 1 337.424 -0.044 20 0 EBADMM O=C(NCC1(O)CCN(C(=O)c2ncccc2[O-])CC1)c1cnon1 ZINC001074558556 741996333 /nfs/dbraw/zinc/99/63/33/741996333.db2.gz FFTVZPNJFNKLRT-UHFFFAOYSA-N -1 1 347.331 -0.433 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C[C@@H]1CCCC(=O)N1 ZINC001074736728 742094362 /nfs/dbraw/zinc/09/43/62/742094362.db2.gz KNTYPMNCPPGCDH-DCAQKATOSA-N -1 1 336.396 -0.352 20 0 EBADMM CO[C@H](C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C)c1cnn(C)c1 ZINC001074771039 742103897 /nfs/dbraw/zinc/10/38/97/742103897.db2.gz BMRSVQSISZDBPE-GAFUQQFSSA-N -1 1 349.395 -0.290 20 0 EBADMM CNC(=O)NCC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])[C@H](C)C1 ZINC001075027325 742161116 /nfs/dbraw/zinc/16/11/16/742161116.db2.gz NXACGVZNJHGMLU-GHMZBOCLSA-N -1 1 349.391 -0.175 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@@H]1CCC(=O)N(C)C1 ZINC001075131195 742187272 /nfs/dbraw/zinc/18/72/72/742187272.db2.gz CHUUYWMRFAFWAA-AXFHLTTASA-N -1 1 336.396 -0.542 20 0 EBADMM C[C@@H](CCNC(=O)c1ccco1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001075419576 742226003 /nfs/dbraw/zinc/22/60/03/742226003.db2.gz OQGLPXJSVMNCMU-VIFPVBQESA-N -1 1 344.331 -0.051 20 0 EBADMM C[C@@H](C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)n1cccn1 ZINC001075571794 742256419 /nfs/dbraw/zinc/25/64/19/742256419.db2.gz KQOPXHYNIBZFLC-RWMBFGLXSA-N -1 1 345.407 -0.401 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)c4ccncc4F)[C@@H]3C2)nc1=O ZINC001075641931 742265445 /nfs/dbraw/zinc/26/54/45/742265445.db2.gz YYLFBEDIVXTDFX-GXFFZTMASA-N -1 1 346.366 -0.011 20 0 EBADMM C[C@@H](CCNC(=O)c1cn[nH]c1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001075735602 742284270 /nfs/dbraw/zinc/28/42/70/742284270.db2.gz AIIXVWWQVGVVTJ-QMMMGPOBSA-N -1 1 344.335 -0.921 20 0 EBADMM C[C@@H](CCNC(=O)/C=C/C1CC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001076035980 742367213 /nfs/dbraw/zinc/36/72/13/742367213.db2.gz QQDQXEJAIHWJOE-ITKZLYELSA-N -1 1 348.403 -0.096 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)c4csnn4)[C@@H]3C2)nc1=O ZINC001076097702 742376146 /nfs/dbraw/zinc/37/61/46/742376146.db2.gz WMBFYVSVOZWHEK-WCBMZHEXSA-N -1 1 335.393 -0.694 20 0 EBADMM Cc1n[nH]c(C(=O)NCC[C@H](C)NC(=O)CCc2nc[nH]n2)c1[O-] ZINC001076165991 742392905 /nfs/dbraw/zinc/39/29/05/742392905.db2.gz WQBUQVALCUZWMP-QMMMGPOBSA-N -1 1 335.368 -0.201 20 0 EBADMM CNC(=O)C1(C(=O)N[C@@H](C)CCNC(=O)c2ncccc2[O-])CC1 ZINC001076245090 742419063 /nfs/dbraw/zinc/41/90/63/742419063.db2.gz ODSYBVMBGOMKPB-JTQLQIEISA-N -1 1 334.376 -0.062 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CCC(=O)NC1 ZINC001076246218 742419136 /nfs/dbraw/zinc/41/91/36/742419136.db2.gz JPYXFCSAJPHWJP-QWRGUYRKSA-N -1 1 334.376 -0.062 20 0 EBADMM CCc1nc[nH]c1C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001076510007 742484616 /nfs/dbraw/zinc/48/46/16/742484616.db2.gz ZKZCUNHHNUKCNV-CMPLNLGQSA-N -1 1 345.407 -0.260 20 0 EBADMM C[C@@H](CCNC(=O)c1cc[nH]c1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001076874404 742609895 /nfs/dbraw/zinc/60/98/95/742609895.db2.gz CPYHRDKGDRQUGT-VIFPVBQESA-N -1 1 343.347 -0.316 20 0 EBADMM CCCn1ncnc1CN1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001076917033 742642100 /nfs/dbraw/zinc/64/21/00/742642100.db2.gz NNGCRXFRNWXAJY-DGCLKSJQSA-N -1 1 346.391 -0.236 20 0 EBADMM CCN1CC[C@@H](N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)C1=O ZINC001076917356 742642444 /nfs/dbraw/zinc/64/24/44/742642444.db2.gz YVVDKJZNLHFFSL-NQBHXWOUSA-N -1 1 334.376 -0.817 20 0 EBADMM Cc1nnc([C@@H](C)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)[nH]1 ZINC001076917153 742642653 /nfs/dbraw/zinc/64/26/53/742642653.db2.gz SUZCCVINFPABHS-HLUHBDAQSA-N -1 1 332.364 -0.250 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)C3=CCCCCC3)C2)nc1=O ZINC001076923937 742646855 /nfs/dbraw/zinc/64/68/55/742646855.db2.gz QEEXOCZSLPTFET-CHWSQXEVSA-N -1 1 335.408 -0.340 20 0 EBADMM C[C@@H](CCNC(=O)CCS(C)(=O)=O)NC(=O)c1ncccc1[O-] ZINC001077263852 742821984 /nfs/dbraw/zinc/82/19/84/742821984.db2.gz RZCYWEQMLQMLFW-JTQLQIEISA-N -1 1 343.405 -0.154 20 0 EBADMM C[C@@H](CCNC(=O)c1cnn[nH]1)NC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001077293877 742851197 /nfs/dbraw/zinc/85/11/97/742851197.db2.gz DYALSXCQRMDATO-ZETCQYMHSA-N -1 1 345.319 -0.015 20 0 EBADMM O=C(N[C@@H]1CN(CC2CC(F)(F)C2)C[C@H]1O)c1n[nH]c(=O)[n-]c1=O ZINC001077311016 742876289 /nfs/dbraw/zinc/87/62/89/742876289.db2.gz NLYAWKFWFUJYDC-HTQZYQBOSA-N -1 1 345.306 -0.897 20 0 EBADMM C[C@@H](CCNC(=O)[C@@]1(C)CNC(=O)C1)NC(=O)c1ncccc1[O-] ZINC001077451558 742984982 /nfs/dbraw/zinc/98/49/82/742984982.db2.gz AHYZUNHUCRBHKE-MGPLVRAMSA-N -1 1 334.376 -0.062 20 0 EBADMM C[C@@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)[C@@H]1CCOC1 ZINC001077488453 743014143 /nfs/dbraw/zinc/01/41/43/743014143.db2.gz RILQBANNFVELGK-VHSXEESVSA-N -1 1 348.363 -0.921 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)C[C@H]3CC=CCC3)C2)nc1=O ZINC001077518218 743046247 /nfs/dbraw/zinc/04/62/47/743046247.db2.gz RBKXKJNWPJIXCZ-YNEHKIRRSA-N -1 1 335.408 -0.484 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)[C@@H]3C[C@H]3c3ccco3)C2)nc1=O ZINC001077709367 743191128 /nfs/dbraw/zinc/19/11/28/743191128.db2.gz VDPUKSFUFOINIM-DDHJBXDOSA-N -1 1 347.375 -0.834 20 0 EBADMM COC[C@H](C)N1C[C@@H](O)[C@H](NC(=O)c2ccc3oc(=O)nc-3[n-]2)C1 ZINC001077998075 743405013 /nfs/dbraw/zinc/40/50/13/743405013.db2.gz FPKUGSMUHWSGOF-JMJZKYOTSA-N -1 1 336.348 -0.262 20 0 EBADMM O=C(C1CCOCC1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078311694 743581988 /nfs/dbraw/zinc/58/19/88/743581988.db2.gz JWZXOBFWZUTXQU-LBPRGKRZSA-N -1 1 339.396 -0.356 20 0 EBADMM Cc1cnn(CC(=O)N2CCCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001078350870 743597741 /nfs/dbraw/zinc/59/77/41/743597741.db2.gz DLLWRVKAEAJZBM-LBPRGKRZSA-N -1 1 349.395 -0.577 20 0 EBADMM O=C([C@@H]1CCCOC1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078356448 743602052 /nfs/dbraw/zinc/60/20/52/743602052.db2.gz LIJAWZXEHGEBAW-NEPJUHHUSA-N -1 1 339.396 -0.356 20 0 EBADMM Cn1ccc(C(=O)N2CCCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001078378406 743614588 /nfs/dbraw/zinc/61/45/88/743614588.db2.gz ADTPHQZIPCRUKH-LBPRGKRZSA-N -1 1 334.380 -0.130 20 0 EBADMM Cc1cc(C(=O)N2CCCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)ncn1 ZINC001078381772 743616340 /nfs/dbraw/zinc/61/63/40/743616340.db2.gz WOSVEANXWIOUKG-NSHDSACASA-N -1 1 347.379 -0.370 20 0 EBADMM Cn1ncnc1CNC[C@@H]1CN(C(=O)c2ncccc2[O-])CCCO1 ZINC001078384431 743618372 /nfs/dbraw/zinc/61/83/72/743618372.db2.gz WIGAYZMESYUMJM-GFCCVEGCSA-N -1 1 346.391 -0.063 20 0 EBADMM O=C(Cc1cncnc1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078389212 743622212 /nfs/dbraw/zinc/62/22/12/743622212.db2.gz HMIJBXGDKDJQKL-LBPRGKRZSA-N -1 1 347.379 -0.750 20 0 EBADMM Cn1ccc(C(=O)N2CCCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001078507989 743684262 /nfs/dbraw/zinc/68/42/62/743684262.db2.gz KEXUJYJDXJSWFV-JTQLQIEISA-N -1 1 335.368 -0.735 20 0 EBADMM O=C(N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2)C1=COCCO1 ZINC001078544739 743690805 /nfs/dbraw/zinc/69/08/05/743690805.db2.gz AALFJHCXDOYGBJ-GHMZBOCLSA-N -1 1 335.364 -0.085 20 0 EBADMM O=C(CN1CCCC1=O)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078551439 743693210 /nfs/dbraw/zinc/69/32/10/743693210.db2.gz RYNJMLYXQKMUSS-MNOVXSKESA-N -1 1 348.407 -0.350 20 0 EBADMM Nc1nccnc1C(=O)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078580899 743698792 /nfs/dbraw/zinc/69/87/92/743698792.db2.gz NRAPWLHCQMEHRF-BDAKNGLRSA-N -1 1 344.379 -0.287 20 0 EBADMM O=C(Cc1cc[nH]n1)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078583763 743699964 /nfs/dbraw/zinc/69/99/64/743699964.db2.gz PGRHKNGYUIEKAJ-QWRGUYRKSA-N -1 1 331.380 -0.007 20 0 EBADMM Cn1c(C(=O)N[C@@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)c[nH]c1=O ZINC001078698197 743720936 /nfs/dbraw/zinc/72/09/36/743720936.db2.gz MBFLGINKLVQDJB-NXEZZACHSA-N -1 1 347.379 -0.220 20 0 EBADMM Cc1nn[nH]c1C(=O)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078698963 743721207 /nfs/dbraw/zinc/72/12/07/743721207.db2.gz YJIARUVZUDRYLX-IUCAKERBSA-N -1 1 332.368 -0.232 20 0 EBADMM O=C(Cc1c[nH]cn1)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078736349 743725595 /nfs/dbraw/zinc/72/55/95/743725595.db2.gz IIWRXNFOQLMCDC-WDEREUQCSA-N -1 1 331.380 -0.007 20 0 EBADMM Cn1ncc(C(=O)N[C@@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)n1 ZINC001078749854 743728666 /nfs/dbraw/zinc/72/86/66/743728666.db2.gz QGPVFQARTWWRDF-VHSXEESVSA-N -1 1 332.368 -0.530 20 0 EBADMM Cn1cccc(C(=O)NC[C@H]2C[C@H](NC(=O)c3cnn[nH]3)C2)c1=O ZINC000980089201 805725870 /nfs/dbraw/zinc/72/58/70/805725870.db2.gz CCKPUVZPKPYODN-MGCOHNPYSA-N -1 1 330.348 -0.558 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)Cn2ccccc2=O)C1 ZINC000980361772 805869447 /nfs/dbraw/zinc/86/94/47/805869447.db2.gz GLAGXPLAZJUJJP-LBPRGKRZSA-N -1 1 346.391 -0.997 20 0 EBADMM C[C@H]1C[C@H](CNCc2cnon2)CN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000980640892 805971661 /nfs/dbraw/zinc/97/16/61/805971661.db2.gz AKNHCCXZHJSSSI-JGVFFNPUSA-N -1 1 335.324 -0.694 20 0 EBADMM C[C@H]1C[C@@H](CNCc2ncnn2C)CN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000980642373 805973175 /nfs/dbraw/zinc/97/31/75/805973175.db2.gz QQEKYSUWEPZXBF-IUCAKERBSA-N -1 1 348.367 -0.948 20 0 EBADMM C[C@@H]1C[C@H](CNCc2cnn(C)n2)CN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000980642765 805973611 /nfs/dbraw/zinc/97/36/11/805973611.db2.gz UAKGCBCKNOKQFZ-RKDXNWHRSA-N -1 1 348.367 -0.948 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)CCn3cccn3)CC2)nc1=O ZINC000980726749 806001940 /nfs/dbraw/zinc/00/19/40/806001940.db2.gz ZYEZXTCUFOEFOV-UHFFFAOYSA-N -1 1 333.396 -0.571 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)CC[C@@H]3CCOC3)CC2)nc1=O ZINC000980939701 806044555 /nfs/dbraw/zinc/04/45/55/806044555.db2.gz VLPAKNBVCGUTAL-CYBMUJFWSA-N -1 1 337.424 -0.041 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CCC[C@H](NCc3nnnn3C)C2)c1[O-] ZINC000981020614 806059156 /nfs/dbraw/zinc/05/91/56/806059156.db2.gz NUFCYGGEOPYJMF-VHSXEESVSA-N -1 1 334.384 -0.222 20 0 EBADMM C[C@H]1OCCC[C@@H]1C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000981033952 806064335 /nfs/dbraw/zinc/06/43/35/806064335.db2.gz STRCOSIOQUHJQO-OLZOCXBDSA-N -1 1 337.424 -0.042 20 0 EBADMM C[C@@H]1OCCC[C@@H]1C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000981033954 806064676 /nfs/dbraw/zinc/06/46/76/806064676.db2.gz STRCOSIOQUHJQO-STQMWFEESA-N -1 1 337.424 -0.042 20 0 EBADMM Cc1nnc(CC(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)o1 ZINC000981095308 806083796 /nfs/dbraw/zinc/08/37/96/806083796.db2.gz ACPGXLCZTDCZRC-UHFFFAOYSA-N -1 1 335.368 -0.923 20 0 EBADMM CCn1ncc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC000981103549 806085889 /nfs/dbraw/zinc/08/58/89/806085889.db2.gz DEUGHEDWQWEDQA-UHFFFAOYSA-N -1 1 334.384 -0.932 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)c3cc4n(n3)CCO4)CC2)nc1=O ZINC000981342735 806145200 /nfs/dbraw/zinc/14/52/00/806145200.db2.gz GNKUUHVPRCUTAT-UHFFFAOYSA-N -1 1 347.379 -0.955 20 0 EBADMM CCc1c[nH]c(CC(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC000981367234 806152699 /nfs/dbraw/zinc/15/26/99/806152699.db2.gz NMGVGGNRZWGDOA-UHFFFAOYSA-N -1 1 347.423 -0.329 20 0 EBADMM CO[C@@H]1CCC[C@H]1C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000981487179 806181954 /nfs/dbraw/zinc/18/19/54/806181954.db2.gz QBYTXZCWRAVXIW-CHWSQXEVSA-N -1 1 337.424 -0.042 20 0 EBADMM CCc1n[nH]cc1C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000981527331 806192207 /nfs/dbraw/zinc/19/22/07/806192207.db2.gz CFPCRGWZDMYZGP-UHFFFAOYSA-N -1 1 333.396 -0.258 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)[C@H]4CCCO4)C3)C2)nc1=O ZINC000981606784 806215285 /nfs/dbraw/zinc/21/52/85/806215285.db2.gz QKNDOZOGTZJZGM-GFCCVEGCSA-N -1 1 335.408 -0.288 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)c4cncnc4)C3)C2)nc1=O ZINC000981728532 806252073 /nfs/dbraw/zinc/25/20/73/806252073.db2.gz MZFUHKSCFHSKKM-UHFFFAOYSA-N -1 1 343.391 -0.363 20 0 EBADMM Cc1nc(CN2CCCN(C(=O)c3cnc([O-])n(C)c3=O)CC2)n[nH]1 ZINC000981739461 806257049 /nfs/dbraw/zinc/25/70/49/806257049.db2.gz LZYCZEVYJZUODA-UHFFFAOYSA-N -1 1 347.379 -0.739 20 0 EBADMM CCn1nc(C)cc1C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000982019453 806376126 /nfs/dbraw/zinc/37/61/26/806376126.db2.gz ZTMAFNFGGHLMIA-UHFFFAOYSA-N -1 1 347.423 -0.019 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)C2CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000982329856 806461705 /nfs/dbraw/zinc/46/17/05/806461705.db2.gz YUIJYOJXCXBZBV-SECBINFHSA-N -1 1 330.348 -0.594 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)C2CCC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000982329927 806461791 /nfs/dbraw/zinc/46/17/91/806461791.db2.gz XVORCLXZFWEIKN-JTQLQIEISA-N -1 1 344.375 -0.204 20 0 EBADMM COCC(=O)N1CC[C@H](CNC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC000982348607 806466582 /nfs/dbraw/zinc/46/65/82/806466582.db2.gz FZIJCWFUOCXSEK-MRVPVSSYSA-N -1 1 340.336 -0.879 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1CCNC(=O)C1 ZINC000982672824 806628695 /nfs/dbraw/zinc/62/86/95/806628695.db2.gz ZJSCPFRPDKPLJE-RYUDHWBXSA-N -1 1 346.387 -0.108 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1CCCNC1=O ZINC000982673830 806629494 /nfs/dbraw/zinc/62/94/94/806629494.db2.gz QTUYSIBYTPRTGG-RYUDHWBXSA-N -1 1 346.387 -0.108 20 0 EBADMM CN1C(=O)CC[C@H]1C(=O)NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000982676437 806631468 /nfs/dbraw/zinc/63/14/68/806631468.db2.gz SASXBMIEEWDTGV-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCNC1=O ZINC000982675402 806631584 /nfs/dbraw/zinc/63/15/84/806631584.db2.gz LRRZWAOGNZMGAP-GHMZBOCLSA-N -1 1 332.360 -0.498 20 0 EBADMM C[C@@]1(C(=O)N2CC[C@@H](CNC(=O)c3ncccc3[O-])C2)CNC(=O)C1 ZINC000982998036 806829408 /nfs/dbraw/zinc/82/94/08/806829408.db2.gz HIRYLXYLQVHHCL-GTNSWQLSSA-N -1 1 346.387 -0.108 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CC[C@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000983071831 806863178 /nfs/dbraw/zinc/86/31/78/806863178.db2.gz GROAMDGIQIAQLV-SECBINFHSA-N -1 1 333.352 -0.258 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CC[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000983121923 806892710 /nfs/dbraw/zinc/89/27/10/806892710.db2.gz VTJDAACAQUZSPI-AXFHLTTASA-N -1 1 344.375 -0.348 20 0 EBADMM Cn1ncc(C(=O)NCc2nn[n-]n2)c1I ZINC000382098524 803774562 /nfs/dbraw/zinc/77/45/62/803774562.db2.gz NOHXIHAOWSGGTE-UHFFFAOYSA-N -1 1 333.093 -0.532 20 0 EBADMM CN(C[C@H]1CCN1C(=O)[C@@H]1CC(=O)N(C)C1)C(=O)c1ncccc1[O-] ZINC000977599206 804406929 /nfs/dbraw/zinc/40/69/29/804406929.db2.gz KKUKAMTTXVUHOA-VXGBXAGGSA-N -1 1 346.387 -0.062 20 0 EBADMM Cc1nccc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)n1 ZINC000977604003 804409061 /nfs/dbraw/zinc/40/90/61/804409061.db2.gz PCMNEHLLVYSLKJ-LBPRGKRZSA-N -1 1 345.407 -0.153 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)[C@@H]1CCNC(=O)C1)C(=O)c1ncccc1[O-] ZINC000977620452 804418871 /nfs/dbraw/zinc/41/88/71/804418871.db2.gz RXTPLTNZBMRCOR-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1nnc(CN2C[C@@H]3[C@@H](CNC(=O)c4ncccc4[O-])[C@@H]3C2)n1 ZINC000978063711 804640699 /nfs/dbraw/zinc/64/06/99/804640699.db2.gz MESMVBCNBDTALF-RTCCRHLQSA-N -1 1 329.364 -0.582 20 0 EBADMM O=C(NC[C@@H]1C[C@H](NC(=O)[C@H]2CCNC(=O)C2)C1)c1ncccc1[O-] ZINC000978742958 804953761 /nfs/dbraw/zinc/95/37/61/804953761.db2.gz PMMKXGOINCEKSQ-SDDRHHMPSA-N -1 1 346.387 -0.062 20 0 EBADMM NC(=O)CN1C[C@@H]2[C@@H](CNC(=O)c3n[n-]c4ccccc4c3=O)[C@@H]2C1 ZINC000978832956 804997972 /nfs/dbraw/zinc/99/79/72/804997972.db2.gz ZJBIXDRTORMNKC-CNDDSTCGSA-N -1 1 341.371 -0.272 20 0 EBADMM NC(=O)CN1C[C@@H]2[C@@H](CNC(=O)c3ccc4oc(=O)nc-4[n-]3)[C@@H]2C1 ZINC000978937621 805053657 /nfs/dbraw/zinc/05/36/57/805053657.db2.gz AVFGEXACOYSWTF-PSVAKVPMSA-N -1 1 331.332 -0.679 20 0 EBADMM CC(C)C(=O)N[C@H]1C[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000979044765 805106374 /nfs/dbraw/zinc/10/63/74/805106374.db2.gz PZSNVEYXOYLILD-AOOOYVTPSA-N -1 1 332.364 -0.302 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)C2CCOCC2)CCO1 ZINC000979175849 805189849 /nfs/dbraw/zinc/18/98/49/805189849.db2.gz KSDBRTVHIDVIJS-CMPLNLGQSA-N -1 1 339.396 -0.358 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2cncs2)CCO1 ZINC000979216835 805214610 /nfs/dbraw/zinc/21/46/10/805214610.db2.gz NJXTURFHRGJHEO-DTWKUNHWSA-N -1 1 338.393 -0.014 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)Cc2ccon2)CCO1 ZINC000979232092 805224238 /nfs/dbraw/zinc/22/42/38/805224238.db2.gz SOFKISBYKYYAIQ-ONGXEEELSA-N -1 1 336.352 -0.554 20 0 EBADMM CCc1cc(C(=O)N2CCO[C@@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC000979245883 805237819 /nfs/dbraw/zinc/23/78/19/805237819.db2.gz CLXRYQXPDSLMKI-JOYOIKCWSA-N -1 1 349.395 -0.185 20 0 EBADMM Cc1ocnc1C(=O)N1CCO[C@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979252300 805241966 /nfs/dbraw/zinc/24/19/66/805241966.db2.gz DUWBFPXQIYGLNP-SCZZXKLOSA-N -1 1 336.352 -0.174 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2cn(C)cn2)CCO1 ZINC000979285277 805263818 /nfs/dbraw/zinc/26/38/18/805263818.db2.gz BRBSJHVVXOUCBE-ONGXEEELSA-N -1 1 335.368 -0.737 20 0 EBADMM Cc1ccc(C(=O)N2CCO[C@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)nn1 ZINC000979296261 805272767 /nfs/dbraw/zinc/27/27/67/805272767.db2.gz PCXYNTOMJNEKGN-PWSUYJOCSA-N -1 1 347.379 -0.372 20 0 EBADMM Cc1ncn(C)c1C(=O)N1CCO[C@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979346836 805310127 /nfs/dbraw/zinc/31/01/27/805310127.db2.gz JOIYVFCUWOKFOW-KOLCDFICSA-N -1 1 349.395 -0.428 20 0 EBADMM Cc1nocc1C(=O)N1CCO[C@@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979354965 805318401 /nfs/dbraw/zinc/31/84/01/805318401.db2.gz FEYFHNQEZWIREZ-MWLCHTKSSA-N -1 1 336.352 -0.174 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2ccnnc2)CCO1 ZINC000979394076 805347388 /nfs/dbraw/zinc/34/73/88/805347388.db2.gz TXMASEPWCUYOMH-GXSJLCMTSA-N -1 1 333.352 -0.680 20 0 EBADMM Cc1ccnn1CC(=O)N1CCO[C@@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979407876 805357691 /nfs/dbraw/zinc/35/76/91/805357691.db2.gz PFEKYZSZEZQQDY-VXGBXAGGSA-N -1 1 349.395 -0.579 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2ncccn2)CCO1 ZINC000979413755 805360759 /nfs/dbraw/zinc/36/07/59/805360759.db2.gz OOFVBQHCEIXBGQ-NXEZZACHSA-N -1 1 333.352 -0.680 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)NC[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000979425047 805369865 /nfs/dbraw/zinc/36/98/65/805369865.db2.gz IWTGHPDVUNJGRA-DBIOUOCHSA-N -1 1 344.375 -0.302 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)Cc2ncc[nH]2)CCO1 ZINC000979430187 805372590 /nfs/dbraw/zinc/37/25/90/805372590.db2.gz KRDYLIAZFQSCNP-VHSXEESVSA-N -1 1 335.368 -0.818 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)Cn2ccnc2)CCO1 ZINC000979439251 805380024 /nfs/dbraw/zinc/38/00/24/805380024.db2.gz BYNYIRLYSRFZIY-WDEREUQCSA-N -1 1 335.368 -0.888 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)Cc2cncn2C)CCO1 ZINC000979442400 805383312 /nfs/dbraw/zinc/38/33/12/805383312.db2.gz GLSAPDCCQMGESE-PWSUYJOCSA-N -1 1 349.395 -0.808 20 0 EBADMM CCc1nc[nH]c1C(=O)N1CCO[C@@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979447427 805385848 /nfs/dbraw/zinc/38/58/48/805385848.db2.gz ONLUQPZGFIKSEI-MWLCHTKSSA-N -1 1 349.395 -0.185 20 0 EBADMM O=C(NC[C@@H]1C[C@@H](NC(=O)[C@@H]2CCNC(=O)C2)C1)c1ncccc1[O-] ZINC000979665163 805476555 /nfs/dbraw/zinc/47/65/55/805476555.db2.gz PMMKXGOINCEKSQ-IJLUTSLNSA-N -1 1 346.387 -0.062 20 0 EBADMM Cn1cnc(C(=O)NC[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC000979715133 805506749 /nfs/dbraw/zinc/50/67/49/805506749.db2.gz YMHSHJRFKVCRRB-MGCOHNPYSA-N -1 1 330.348 -0.146 20 0 EBADMM CN(C(=O)[C@@H]1COC(=O)N1)[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984116510 807168222 /nfs/dbraw/zinc/16/82/22/807168222.db2.gz UIKHRXDRWLSWMG-MNOVXSKESA-N -1 1 348.359 -0.041 20 0 EBADMM Cc1nonc1CNC[C@H]1C[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000984208943 807217177 /nfs/dbraw/zinc/21/71/77/807217177.db2.gz PXWFJMCLNCJOAU-ZKCHVHJHSA-N -1 1 335.324 -0.728 20 0 EBADMM CCn1cc(CNC[C@H]2C[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)nn1 ZINC000984214537 807219047 /nfs/dbraw/zinc/21/90/47/807219047.db2.gz LPUZENMIZUHBFD-KYZUINATSA-N -1 1 348.367 -0.808 20 0 EBADMM Cc1nc(CNC[C@H]2C[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)co1 ZINC000984214488 807219135 /nfs/dbraw/zinc/21/91/35/807219135.db2.gz JZOTVXAVTXIIGC-KYZUINATSA-N -1 1 334.336 -0.123 20 0 EBADMM CN(C(=O)C1CC1)[C@@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000984398004 807279662 /nfs/dbraw/zinc/27/96/62/807279662.db2.gz ZLECAENOPYDLMY-CYBMUJFWSA-N -1 1 348.403 -0.214 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCCN(C(=O)[C@@H]2CNC(=O)N2)C1 ZINC000984570510 807338360 /nfs/dbraw/zinc/33/83/60/807338360.db2.gz UQAIQQQHJWPNRG-QWRGUYRKSA-N -1 1 347.375 -0.468 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@@H]1CNC(=O)N1 ZINC000984794208 807389035 /nfs/dbraw/zinc/38/90/35/807389035.db2.gz HWSBHFKWVZEUSN-GARJFASQSA-N -1 1 347.375 -0.422 20 0 EBADMM COC(=O)[C@H]1C[C@H]1C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC000985327989 807486344 /nfs/dbraw/zinc/48/63/44/807486344.db2.gz PIVKSLUCHBGTGY-MNOVXSKESA-N -1 1 337.380 -0.258 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)C(N)=O)CCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000985371827 807495424 /nfs/dbraw/zinc/49/54/24/807495424.db2.gz KFAGLAZQGMYDEQ-WDEREUQCSA-N -1 1 347.375 -0.346 20 0 EBADMM Cc1[nH]nc(C(=O)N2CCC([N@@H+](C)Cc3n[nH]c(=O)[n-]3)CC2)c1[O-] ZINC000985382901 807500341 /nfs/dbraw/zinc/50/03/41/807500341.db2.gz DLVMKBMAJRLBNR-UHFFFAOYSA-N -1 1 335.368 -0.016 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)[C@@H]2CC[C@H]2C(N)=O)CC1 ZINC000985572528 807538836 /nfs/dbraw/zinc/53/88/36/807538836.db2.gz ZFAWTTJNPRIPFY-GHMZBOCLSA-N -1 1 336.396 -0.555 20 0 EBADMM Cc1cccn(CC(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)c1=O ZINC000986267317 807660891 /nfs/dbraw/zinc/66/08/91/807660891.db2.gz CMTAERCOQBAFQY-NEPJUHHUSA-N -1 1 346.391 -0.240 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cccn2nnnc12 ZINC000986310140 807673803 /nfs/dbraw/zinc/67/38/03/807673803.db2.gz PMTDPEFOVQFTKE-WPRPVWTQSA-N -1 1 343.351 -0.659 20 0 EBADMM Cc1c(CC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)cnn1C ZINC000986343931 807680452 /nfs/dbraw/zinc/68/04/52/807680452.db2.gz UGUDDOOBGXXSIU-CMPLNLGQSA-N -1 1 333.396 -0.126 20 0 EBADMM C[C@H]1[C@@H](NCc2cnns2)CCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000986479550 807714309 /nfs/dbraw/zinc/71/43/09/807714309.db2.gz AABZBKIOOYCWQH-XPUUQOCRSA-N -1 1 337.365 -0.473 20 0 EBADMM C[C@@H]1[C@@H](NCc2ccn(C)n2)CCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000986485458 807715980 /nfs/dbraw/zinc/71/59/80/807715980.db2.gz CNMZAGOREUMSPV-SCZZXKLOSA-N -1 1 333.352 -0.591 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccnc2n[nH]nc21 ZINC000986533408 807728853 /nfs/dbraw/zinc/72/88/53/807728853.db2.gz MKZNTYQLLBDHHU-IONNQARKSA-N -1 1 343.351 -0.431 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnc2nccn2c1 ZINC000986556339 807734472 /nfs/dbraw/zinc/73/44/72/807734472.db2.gz VLMHQYVICYHHHA-KOLCDFICSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1coc(C(N)=O)c1 ZINC000986599874 807749269 /nfs/dbraw/zinc/74/92/69/807749269.db2.gz GHVRFEZDLDUIFY-VXNVDRBHSA-N -1 1 334.336 -0.405 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccoc1CC(N)=O ZINC000986753777 807773304 /nfs/dbraw/zinc/77/33/04/807773304.db2.gz HQFSKLTWYPJIBX-WPRPVWTQSA-N -1 1 348.363 -0.476 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCc1cnn(C)n1 ZINC000986964494 807808787 /nfs/dbraw/zinc/80/87/87/807808787.db2.gz ZJGAJUGVDAVQRC-GXSJLCMTSA-N -1 1 334.384 -0.649 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc2nncn2c1 ZINC000987177635 807858690 /nfs/dbraw/zinc/85/86/90/807858690.db2.gz FULKCCHQEHDDSP-GXSJLCMTSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@@H]1[C@@H](NCc2cnon2)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000987355933 807899254 /nfs/dbraw/zinc/89/92/54/807899254.db2.gz UCHOMFLUHNGBOV-SCZZXKLOSA-N -1 1 344.335 -0.807 20 0 EBADMM CCn1ccc(CN[C@H]2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)[C@@H]2C)n1 ZINC000987421959 807914878 /nfs/dbraw/zinc/91/48/78/807914878.db2.gz OOQAPYGJLOKSDT-PWSUYJOCSA-N -1 1 349.395 -0.315 20 0 EBADMM C[C@@H]1[C@H](NCc2nccs2)CCN1C(=O)Cn1c(=O)[n-][nH]c1=O ZINC000987423853 807916131 /nfs/dbraw/zinc/91/61/31/807916131.db2.gz XZLGPYHMOANHHD-RKDXNWHRSA-N -1 1 338.393 -0.075 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1noc2c1COCC2 ZINC000987549675 807954893 /nfs/dbraw/zinc/95/48/93/807954893.db2.gz FDUYWHYXHTZTBY-SCZZXKLOSA-N -1 1 348.363 -0.036 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc(C(N)=O)cn1 ZINC000987606578 807970099 /nfs/dbraw/zinc/97/00/99/807970099.db2.gz VOQKEUXSOWTXBL-WCBMZHEXSA-N -1 1 345.363 -0.603 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@@H]1CN(C)C(=O)N1 ZINC000987689199 807991462 /nfs/dbraw/zinc/99/14/62/807991462.db2.gz UQRPMLQIUHBDGX-VWYCJHECSA-N -1 1 347.375 -0.470 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)Cn2cnnn2)C1)C(=O)c1ncccc1[O-] ZINC000987723644 807996438 /nfs/dbraw/zinc/99/64/38/807996438.db2.gz FIPBJEHZRUJTAJ-NSHDSACASA-N -1 1 345.363 -0.606 20 0 EBADMM CN(C[C@H]1CCN(C(=O)C(N)=O)C1)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000988290529 808190150 /nfs/dbraw/zinc/19/01/50/808190150.db2.gz GLJZLVMZVRNTGW-SECBINFHSA-N -1 1 347.375 -0.535 20 0 EBADMM COc1cc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)ncn1 ZINC000988848746 808300725 /nfs/dbraw/zinc/30/07/25/808300725.db2.gz HYHPHJZZMNTADX-IUCAKERBSA-N -1 1 333.352 -0.298 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cn2c(n1)COCC2 ZINC000989044007 808355543 /nfs/dbraw/zinc/35/55/43/808355543.db2.gz UGQSQSYTQPUMPP-VHSXEESVSA-N -1 1 347.379 -0.370 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccn(C)c(=O)c1 ZINC000989053409 808358523 /nfs/dbraw/zinc/35/85/23/808358523.db2.gz IDAVLSZBXMDPEN-MWLCHTKSSA-N -1 1 332.364 -0.398 20 0 EBADMM Cc1oncc1CN[C@@H]1C[C@@H](C)N(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000989117460 808380597 /nfs/dbraw/zinc/38/05/97/808380597.db2.gz CDNPNXUMNKOTEO-GMSGAONNSA-N -1 1 334.336 -0.028 20 0 EBADMM CCn1ccnc1CN[C@@H]1C[C@H](C)N(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000989118038 808381119 /nfs/dbraw/zinc/38/11/19/808381119.db2.gz DXZXLGJLGYIFLW-VHSXEESVSA-N -1 1 347.379 -0.108 20 0 EBADMM C[C@@H]1C[C@H](NCc2nccn2C)CN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000989122297 808382270 /nfs/dbraw/zinc/38/22/70/808382270.db2.gz XIXSNLOVKXYHRK-BDAKNGLRSA-N -1 1 333.352 -0.591 20 0 EBADMM COc1nccc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)n1 ZINC000989159693 808389087 /nfs/dbraw/zinc/38/90/87/808389087.db2.gz NIIRXHICYDDBTL-IUCAKERBSA-N -1 1 333.352 -0.298 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccnc2n[nH]nc21 ZINC000989160367 808390441 /nfs/dbraw/zinc/39/04/41/808390441.db2.gz OSCCGHSGVSCMBW-JGVFFNPUSA-N -1 1 343.351 -0.431 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cc2n(n1)CCCO2 ZINC000989160505 808390970 /nfs/dbraw/zinc/39/09/70/808390970.db2.gz PMPNHFYSASZUEV-ZJUUUORDSA-N -1 1 347.379 -0.118 20 0 EBADMM Cc1noc(CCC(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)n1 ZINC000989273337 808424390 /nfs/dbraw/zinc/42/43/90/808424390.db2.gz OYRCYVJLTTVPBQ-WPRPVWTQSA-N -1 1 335.368 -0.086 20 0 EBADMM CCn1cc(CC(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)cn1 ZINC000989457123 808480550 /nfs/dbraw/zinc/48/05/50/808480550.db2.gz KHGDPCJYWDYOPY-UHFFFAOYSA-N -1 1 347.423 -0.398 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cc2ncccn2n1 ZINC000989584246 808522247 /nfs/dbraw/zinc/52/22/47/808522247.db2.gz WZFVVUAOBIRVCH-NXEZZACHSA-N -1 1 342.363 -0.054 20 0 EBADMM Cc1cc(CN[C@@H]2C[C@@H](C)N(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)on1 ZINC000989721728 808567784 /nfs/dbraw/zinc/56/77/84/808567784.db2.gz FPNBUHSKRGNTFU-NXEZZACHSA-N -1 1 336.352 -0.235 20 0 EBADMM C[C@H]1C[C@H](NCc2nccn2C)CN1C(=O)Cn1c(=O)[n-][nH]c1=O ZINC000989722759 808568643 /nfs/dbraw/zinc/56/86/43/808568643.db2.gz LPMUQSXVSRMOCL-UWVGGRQHSA-N -1 1 335.368 -0.798 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCN1CCCC1=O ZINC000989811956 808603059 /nfs/dbraw/zinc/60/30/59/808603059.db2.gz BOFXPSNBSOOQOH-WDEREUQCSA-N -1 1 336.396 -0.398 20 0 EBADMM Cn1cc(C(=O)N[C@]23CCC[C@H]2N(Cc2nc(=O)n(C)[n-]2)CC3)cn1 ZINC000989943548 808626373 /nfs/dbraw/zinc/62/63/73/808626373.db2.gz XTUIVTGBVSNNEE-WBMJQRKESA-N -1 1 345.407 -0.231 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)c4cnsn4)CCC[C@H]23)nc1=O ZINC000989968651 808628805 /nfs/dbraw/zinc/62/88/05/808628805.db2.gz AAIKHVPLZKZRGP-HZMBPMFUSA-N -1 1 349.420 -0.113 20 0 EBADMM Cn1nccc1C(=O)N[C@]12CCC[C@@H]1N(Cc1nc(=O)n(C)[n-]1)CC2 ZINC000990027998 808639656 /nfs/dbraw/zinc/63/96/56/808639656.db2.gz ZXZSPLTVEMWBTJ-LRDDRELGSA-N -1 1 345.407 -0.231 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(NC(=O)c2ccco2)C1 ZINC000990077370 808650354 /nfs/dbraw/zinc/65/03/54/808650354.db2.gz MEWIMOYTTZDAON-UHFFFAOYSA-N -1 1 332.316 -0.840 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(NC(=O)C2CCCCC2)C1 ZINC000990092213 808652710 /nfs/dbraw/zinc/65/27/10/808652710.db2.gz KVMKRZQKTVRFFL-UHFFFAOYSA-N -1 1 348.403 -0.166 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(NC(=O)[C@H]2CC=CCC2)C1 ZINC000990212784 808701555 /nfs/dbraw/zinc/70/15/55/808701555.db2.gz MFKVMZKHCDTHMD-LBPRGKRZSA-N -1 1 346.387 -0.390 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)c4cncnc4)CCC[C@@H]23)nc1=O ZINC000990371301 808764368 /nfs/dbraw/zinc/76/43/68/808764368.db2.gz BMZYWGKRUFJJQT-WBMJQRKESA-N -1 1 343.391 -0.175 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(NC(=O)C2=CCCC2)C1 ZINC000990810427 808929393 /nfs/dbraw/zinc/92/93/93/808929393.db2.gz RRVIIVZKOGUCEY-UHFFFAOYSA-N -1 1 332.360 -0.636 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)C2CC2)C[C@@H]1n1ccnn1)c1ncccc1[O-] ZINC000990855184 808952408 /nfs/dbraw/zinc/95/24/08/808952408.db2.gz CAYUPGSIURXXAN-NEPJUHHUSA-N -1 1 342.359 -0.029 20 0 EBADMM Cc1n[nH]c(C(=O)NC2CN(C(=O)[C@H]3CCc4[nH]cnc4C3)C2)c1[O-] ZINC000990858615 808953868 /nfs/dbraw/zinc/95/38/68/808953868.db2.gz GJBCQRYJBULSTH-VIFPVBQESA-N -1 1 344.375 -0.107 20 0 EBADMM CC1(C)C[C@H]1C(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000990883002 808962696 /nfs/dbraw/zinc/96/26/96/808962696.db2.gz XZTYMGJFPMSZCD-NSHDSACASA-N -1 1 334.376 -0.700 20 0 EBADMM CC1(C(=O)N2C[C@@H](NC(=O)c3cnn[nH]3)[C@@H](n3ccnn3)C2)CC1 ZINC000990905806 808972292 /nfs/dbraw/zinc/97/22/92/808972292.db2.gz JSFQHSIVTAZDTD-MNOVXSKESA-N -1 1 330.352 -0.622 20 0 EBADMM COc1cc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)nn1C ZINC000990969179 809018773 /nfs/dbraw/zinc/01/87/73/809018773.db2.gz KLYLQJQRSVOHBH-UHFFFAOYSA-N -1 1 331.332 -0.216 20 0 EBADMM CCn1nnc(C)c1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990972867 809020506 /nfs/dbraw/zinc/02/05/06/809020506.db2.gz UQELHZUECXWFQR-UHFFFAOYSA-N -1 1 330.348 -0.039 20 0 EBADMM COc1nn(C)cc1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990974218 809020883 /nfs/dbraw/zinc/02/08/83/809020883.db2.gz GMVKCCROSKUAHX-UHFFFAOYSA-N -1 1 331.332 -0.216 20 0 EBADMM CO[C@H](C(=O)N1CC(NC(=O)c2ncccc2[O-])C1)c1cnn(C)c1 ZINC000990975493 809022112 /nfs/dbraw/zinc/02/21/12/809022112.db2.gz SVENYLMBUQOQGR-AWEZNQCLSA-N -1 1 345.359 -0.151 20 0 EBADMM CC[C@]1(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)CCNC1=O ZINC000990975070 809022280 /nfs/dbraw/zinc/02/22/80/809022280.db2.gz MUFFYTMOZIXALG-INIZCTEOSA-N -1 1 332.360 -0.356 20 0 EBADMM C[C@@H]1CCCN(C(=O)C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC000990978928 809026726 /nfs/dbraw/zinc/02/67/26/809026726.db2.gz QPWSEMPHIKUTPP-LLVKDONJSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@@H](C(=O)N1CC(NC(=O)c2ncccc2[O-])C1)[C@@H]1CCC(=O)N1 ZINC000990980281 809026983 /nfs/dbraw/zinc/02/69/83/809026983.db2.gz ZKLSCNQXROFYPP-KOLCDFICSA-N -1 1 332.360 -0.358 20 0 EBADMM CC1CCN(C(=O)C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)CC1 ZINC000990980009 809027441 /nfs/dbraw/zinc/02/74/41/809027441.db2.gz XNNOPCAHWURXCR-UHFFFAOYSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)C1=COCCO1 ZINC000991236172 809180486 /nfs/dbraw/zinc/18/04/86/809180486.db2.gz XOYRNIQMHTYGAV-JQWIXIFHSA-N -1 1 349.343 -0.633 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CCN(C(=O)Cc3ccn[nH]3)C[C@@H]2O)c1[O-] ZINC000991398280 809409767 /nfs/dbraw/zinc/40/97/67/809409767.db2.gz YGHURBAPQSTSML-MNOVXSKESA-N -1 1 348.363 -0.919 20 0 EBADMM Cc1c[nH]nc1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991406304 809423641 /nfs/dbraw/zinc/42/36/41/809423641.db2.gz CIVPHZRMQLRWDN-PWSUYJOCSA-N -1 1 345.359 -0.176 20 0 EBADMM Cc1c[nH]nc1C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991406290 809423685 /nfs/dbraw/zinc/42/36/85/809423685.db2.gz CIVPHZRMQLRWDN-CMPLNLGQSA-N -1 1 345.359 -0.176 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)C2=CCOCC2)C[C@H]1O)c1ncccc1[O-] ZINC000991427821 809455131 /nfs/dbraw/zinc/45/51/31/809455131.db2.gz PARRRAQZWISKGH-TZMCWYRMSA-N -1 1 347.371 -0.175 20 0 EBADMM O=C(Cn1ccnc1)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991431760 809463586 /nfs/dbraw/zinc/46/35/86/809463586.db2.gz VQRDPGBTQKOANU-AAEUAGOBSA-N -1 1 345.359 -0.625 20 0 EBADMM Cn1cncc1C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000991575259 809661737 /nfs/dbraw/zinc/66/17/37/809661737.db2.gz WVEFRARRSZNKFW-MFKMUULPSA-N -1 1 345.359 -0.474 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)CS(C)(=O)=O ZINC001004743023 809824718 /nfs/dbraw/zinc/82/47/18/809824718.db2.gz FXQRQNBMNBWBAI-VHSXEESVSA-N -1 1 345.425 -0.586 20 0 EBADMM C[C@@H](NC(=O)[C@@H]1CCCNC1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006255467 809889150 /nfs/dbraw/zinc/88/91/50/809889150.db2.gz GXPOWCXJIXLODW-ZYHUDNBSSA-N -1 1 346.387 -0.110 20 0 EBADMM Cn1cc(CCN2CCC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)cn1 ZINC001007802328 810022164 /nfs/dbraw/zinc/02/21/64/810022164.db2.gz WOUVQBAORIMIFU-LLVKDONJSA-N -1 1 347.379 -0.547 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)c3ccc(C(N)=O)o3)C2)nc1=O ZINC001007875859 810035487 /nfs/dbraw/zinc/03/54/87/810035487.db2.gz LCULCWMVTWDECX-SECBINFHSA-N -1 1 348.363 -0.805 20 0 EBADMM COc1cc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC001007895015 810038924 /nfs/dbraw/zinc/03/89/24/810038924.db2.gz JSXSQAZISJQNML-SNVBAGLBSA-N -1 1 349.395 -0.755 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)c3cc(C(N)=O)co3)C2)nc1=O ZINC001008484309 810173018 /nfs/dbraw/zinc/17/30/18/810173018.db2.gz CXALJGXCQOWZMT-JTQLQIEISA-N -1 1 348.363 -0.805 20 0 EBADMM CO[C@H](C)CN1CCC[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001008894771 810222601 /nfs/dbraw/zinc/22/26/01/810222601.db2.gz ZGHZBJJCNCVTAI-NEPJUHHUSA-N -1 1 348.407 -0.313 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CN1CCOCC1 ZINC001011667442 810343563 /nfs/dbraw/zinc/34/35/63/810343563.db2.gz TXWAGSOFHLVJSP-QWHCGFSZSA-N -1 1 348.403 -0.161 20 0 EBADMM CCCNC(=O)CCC(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001216439658 810373790 /nfs/dbraw/zinc/37/37/90/810373790.db2.gz UVQIQEWPVPEXBI-GHMZBOCLSA-N -1 1 338.412 -0.247 20 0 EBADMM CC[C@H](C)C(=O)NCC(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001216774385 810388668 /nfs/dbraw/zinc/38/86/68/810388668.db2.gz VTWKGNJENHLLIC-HBNTYKKESA-N -1 1 338.412 -0.391 20 0 EBADMM CCCS(=O)(=O)CC(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001216778729 810389847 /nfs/dbraw/zinc/38/98/47/810389847.db2.gz XLGVETSEDAZOMP-NXEZZACHSA-N -1 1 345.425 -0.728 20 0 EBADMM CC(C)C[C@@H](C(N)=O)C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001217104904 810403312 /nfs/dbraw/zinc/40/33/12/810403312.db2.gz DLNOJAIPPOUILH-OUAUKWLOSA-N -1 1 338.412 -0.406 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cncc4[nH]cnc43)C2)nc1=O ZINC001014505156 810436066 /nfs/dbraw/zinc/43/60/66/810436066.db2.gz QTBZAYRWYHYJQA-SECBINFHSA-N -1 1 342.363 -0.616 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ccc4cc[nH]c4n3)C2)nc1=O ZINC001014826863 810450367 /nfs/dbraw/zinc/45/03/67/810450367.db2.gz KLOUOLXDJRGBDM-NSHDSACASA-N -1 1 341.375 -0.011 20 0 EBADMM COc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)ccn1 ZINC001015052673 810470226 /nfs/dbraw/zinc/47/02/26/810470226.db2.gz MWMXKXNGIXMJGT-LLVKDONJSA-N -1 1 332.364 -0.484 20 0 EBADMM Cn1nnc(C(=O)N[C@H]2CC[C@@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC001015526866 810516130 /nfs/dbraw/zinc/51/61/30/810516130.db2.gz UNSDDDMVDIGIRF-ZJUUUORDSA-N -1 1 345.363 -0.361 20 0 EBADMM NCC(=O)N[C@@H](Cc1ccccc1)C(=O)NC1C(=O)N=CN=C1[O-] ZINC001218702136 810521962 /nfs/dbraw/zinc/52/19/62/810521962.db2.gz LTCMCTCRHQUNIZ-JTQLQIEISA-N -1 1 331.332 -0.488 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3nccc4[nH]ccc43)C2)nc1=O ZINC001015720960 810571105 /nfs/dbraw/zinc/57/11/05/810571105.db2.gz VNXYJJWKDLZHCN-JTQLQIEISA-N -1 1 341.375 -0.011 20 0 EBADMM CC(C)c1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC001015734841 810571927 /nfs/dbraw/zinc/57/19/27/810571927.db2.gz FMLBZDJRLCKONM-JTQLQIEISA-N -1 1 333.396 -0.041 20 0 EBADMM O=C(N[C@@H]1CCN(CCn2cccn2)C1)c1c[n-]n2c1nccc2=O ZINC001015808488 810588670 /nfs/dbraw/zinc/58/86/70/810588670.db2.gz YJLCKFUJJVKNLJ-GFCCVEGCSA-N -1 1 341.375 -0.277 20 0 EBADMM CCc1nc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c(C)o1 ZINC001015831264 810596942 /nfs/dbraw/zinc/59/69/42/810596942.db2.gz PTXGQGADFQMYAE-SNVBAGLBSA-N -1 1 334.380 -0.028 20 0 EBADMM CCc1nc(C)c(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)o1 ZINC001015947370 810623834 /nfs/dbraw/zinc/62/38/34/810623834.db2.gz JZNNHBCXCZMPQW-JTQLQIEISA-N -1 1 334.380 -0.028 20 0 EBADMM O=C(N[C@H]1CCN(Cc2cnsn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001015991499 810644077 /nfs/dbraw/zinc/64/40/77/810644077.db2.gz FBKDDDWYBFBIIN-QMMMGPOBSA-N -1 1 346.376 -0.727 20 0 EBADMM Cc1ncc(CN2CC[C@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)o1 ZINC001015993056 810645203 /nfs/dbraw/zinc/64/52/03/810645203.db2.gz VBRDPMGRTDAKCZ-JTQLQIEISA-N -1 1 343.347 -0.282 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)CCCC(C)(C)C)[C@@H](O)C2)nc1=O ZINC001219362031 810672998 /nfs/dbraw/zinc/67/29/98/810672998.db2.gz NXVJCJNTWIVHMK-NEPJUHHUSA-N -1 1 339.440 -0.014 20 0 EBADMM CN(CC1CC1)C(=O)CN1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001219497529 810721603 /nfs/dbraw/zinc/72/16/03/810721603.db2.gz SPWCAEBAQHLASI-OCCSQVGLSA-N -1 1 348.403 -0.570 20 0 EBADMM CCCC[C@H](C(N)=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001219497381 810721999 /nfs/dbraw/zinc/72/19/99/810721999.db2.gz MQVVNLZXFZNWCP-WZRBSPASSA-N -1 1 336.392 -0.394 20 0 EBADMM CCCNC(=O)[C@H](C)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001219503696 810725578 /nfs/dbraw/zinc/72/55/78/810725578.db2.gz DPPKXCKZQDBILJ-LOWVWBTDSA-N -1 1 336.392 -0.523 20 0 EBADMM C[C@@H](C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)n1cccn1 ZINC001032933509 810774610 /nfs/dbraw/zinc/77/46/10/810774610.db2.gz XOPUYVFPWPKUPG-NWDGAFQWSA-N -1 1 333.396 -0.401 20 0 EBADMM CC[C@@H](C)CN1CCO[C@@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001035432388 810795768 /nfs/dbraw/zinc/79/57/68/810795768.db2.gz PHXUMCVUUZOPHB-ZJUUUORDSA-N -1 1 325.369 -0.241 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)[C@@H]3CC34CCC4)C2)nc1=O ZINC001035524507 810874494 /nfs/dbraw/zinc/87/44/94/810874494.db2.gz GCVMJDSRDJUEDR-RYUDHWBXSA-N -1 1 335.408 -0.384 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)[C@@H]3C[C@H]3C3CC3)C2)nc1=O ZINC001035541948 810888190 /nfs/dbraw/zinc/88/81/90/810888190.db2.gz LPAQOPUNVSYYKZ-RWMBFGLXSA-N -1 1 335.408 -0.529 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)c2cocn2)CC3)nc1=O ZINC001035667179 810984134 /nfs/dbraw/zinc/98/41/34/810984134.db2.gz HNDAVQDBGAIKGO-UHFFFAOYSA-N -1 1 332.364 -0.165 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C3(C(F)F)CCC3)[C@@H](O)C2)nc1=O ZINC001220214630 811018415 /nfs/dbraw/zinc/01/84/15/811018415.db2.gz HXZYDHCQCCIRDL-BDAKNGLRSA-N -1 1 345.350 -0.795 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)c2ccnnc2)CC3)nc1=O ZINC001035806279 811030117 /nfs/dbraw/zinc/03/01/17/811030117.db2.gz WPGCKSGBHYRFSE-UHFFFAOYSA-N -1 1 343.391 -0.363 20 0 EBADMM [NH3+][C@@H](CCCC[N-]C(=O)C(F)(F)F)C(=O)NCc1n[nH]c(=O)[n-]1 ZINC001220252227 811036707 /nfs/dbraw/zinc/03/67/07/811036707.db2.gz RTMMSHBRPUIKPU-LURJTMIESA-N -1 1 338.290 -0.697 20 0 EBADMM C[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC[C@@H]1NCc1ncccn1 ZINC001036092615 811096124 /nfs/dbraw/zinc/09/61/24/811096124.db2.gz IVHSCOAXSWTQBC-ZJUUUORDSA-N -1 1 345.363 -0.287 20 0 EBADMM C[C@H](CC(C)(C)C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001220493468 811125122 /nfs/dbraw/zinc/12/51/22/811125122.db2.gz QMCQOBAUGONTJT-UTUOFQBUSA-N -1 1 339.440 -0.158 20 0 EBADMM C[C@@H](Cc1ccco1)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001220532243 811142944 /nfs/dbraw/zinc/14/29/44/811142944.db2.gz LFWOIVFRJRDING-UHTWSYAYSA-N -1 1 349.391 -0.759 20 0 EBADMM CCC(CC)(CC)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001220618211 811178278 /nfs/dbraw/zinc/17/82/78/811178278.db2.gz KBSJQYVBQGROBA-NEPJUHHUSA-N -1 1 339.440 -0.014 20 0 EBADMM O=C(c1ccc(=O)[nH]n1)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036634978 811188110 /nfs/dbraw/zinc/18/81/10/811188110.db2.gz BRDZLUGYOCKGHL-ONGXEEELSA-N -1 1 345.363 -0.258 20 0 EBADMM O=C(CN1CCCC1=O)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036641337 811193195 /nfs/dbraw/zinc/19/31/95/811193195.db2.gz YXJDBTLIEVTRCB-RYUDHWBXSA-N -1 1 348.407 -0.445 20 0 EBADMM Cc1c(C(=O)N2CC[C@@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)nnn1C ZINC001036674515 811205456 /nfs/dbraw/zinc/20/54/56/811205456.db2.gz HBMAHHSIOGAZIL-GHMZBOCLSA-N -1 1 346.395 -0.316 20 0 EBADMM CC(C)C[C@@H](C)CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001220741953 811224427 /nfs/dbraw/zinc/22/44/27/811224427.db2.gz KMAPTSPWUCJNCQ-UPJWGTAASA-N -1 1 339.440 -0.158 20 0 EBADMM NC(=O)C1(C(=O)N2CC[C@@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001036808577 811271059 /nfs/dbraw/zinc/27/10/59/811271059.db2.gz WMGWYDRVYTZPBJ-VHSXEESVSA-N -1 1 334.380 -0.801 20 0 EBADMM Cn1cncc1CC(=O)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036866655 811295904 /nfs/dbraw/zinc/29/59/04/811295904.db2.gz IVJOGYKEWLIORF-AAEUAGOBSA-N -1 1 345.407 -0.091 20 0 EBADMM CC(=O)CCCC(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001221270150 811433114 /nfs/dbraw/zinc/43/31/14/811433114.db2.gz MQWBAKGJCBRVJC-CHWSQXEVSA-N -1 1 335.408 -0.100 20 0 EBADMM C[C@H](C(=O)NC(N)=O)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001221496967 811468735 /nfs/dbraw/zinc/46/87/35/811468735.db2.gz IARGZJRZQKYGBQ-GMTAPVOTSA-N -1 1 347.375 -0.483 20 0 EBADMM COc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)on1 ZINC001079603674 811604027 /nfs/dbraw/zinc/60/40/27/811604027.db2.gz QCSZERONQOROBQ-RKDXNWHRSA-N -1 1 336.352 -0.645 20 0 EBADMM CCNC(=O)CC(=O)N(CC)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079647113 811631434 /nfs/dbraw/zinc/63/14/34/811631434.db2.gz UDDZRGACHFZOIR-UHFFFAOYSA-N -1 1 334.376 -0.014 20 0 EBADMM C[NH+](C)Cc1ncccc1OC(=O)[C@@H](CC(=O)[O-])[C@@H](O)C(=O)[O-] ZINC001225281865 811801439 /nfs/dbraw/zinc/80/14/39/811801439.db2.gz LCFCPBKLQOZVSR-QPUJVOFHSA-N -1 1 326.305 -0.415 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)Cc1ccc(F)cn1 ZINC001079973788 811827039 /nfs/dbraw/zinc/82/70/39/811827039.db2.gz NUGOULFVFRQSEH-ZWNOBZJWSA-N -1 1 348.382 -0.178 20 0 EBADMM Cc1cc(=O)[nH]c(O[C@@H](CN2CCOCC2)CS(=O)(=O)[O-])n1 ZINC001226157399 811849683 /nfs/dbraw/zinc/84/96/83/811849683.db2.gz YCBNPUOIEQZDOJ-JTQLQIEISA-N -1 1 333.366 -0.542 20 0 EBADMM CCN(C(=O)[C@]1(C)CNC(=O)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001080121837 811906154 /nfs/dbraw/zinc/90/61/54/811906154.db2.gz NIIHHAYRUUVGFL-KRWDZBQOSA-N -1 1 346.387 -0.014 20 0 EBADMM COC(=O)CC(CC(=O)OC)Oc1nc2c([n-]1)[nH]c(=O)[nH]c2=O ZINC001227430686 811922168 /nfs/dbraw/zinc/92/21/68/811922168.db2.gz NTSVTLYANMDUHF-UHFFFAOYSA-N -1 1 326.265 -0.362 20 0 EBADMM Cc1nc(CN2C[C@@H](C)[C@H](NC(=O)c3cnc([O-])n(C)c3=O)C2)n[nH]1 ZINC001080248776 811960750 /nfs/dbraw/zinc/96/07/50/811960750.db2.gz IWKTUGUOEDRFIO-LDYMZIIASA-N -1 1 347.379 -0.837 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1csc(=O)[nH]1 ZINC001080294110 811973724 /nfs/dbraw/zinc/97/37/24/811973724.db2.gz PTYKETYRJDLKAU-HTQZYQBOSA-N -1 1 338.393 -0.479 20 0 EBADMM CCN(C(=O)CCn1cnnn1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001080344528 811988462 /nfs/dbraw/zinc/98/84/62/811988462.db2.gz YXYTVXBBJACZOF-UHFFFAOYSA-N -1 1 345.363 -0.463 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CC1=CCOCC1 ZINC001080390446 812008686 /nfs/dbraw/zinc/00/86/86/812008686.db2.gz FOTCFHGCWWIFEV-DGCLKSJQSA-N -1 1 335.408 -0.218 20 0 EBADMM COCCCOCC(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001229960785 812065026 /nfs/dbraw/zinc/06/50/26/812065026.db2.gz UVDKBJJPRHQFFD-UHFFFAOYSA-N -1 1 341.412 -0.758 20 0 EBADMM CCOCC(=O)NC1(CO)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001080698525 812146860 /nfs/dbraw/zinc/14/68/60/812146860.db2.gz YBBXMTFXZSPCRK-UHFFFAOYSA-N -1 1 337.376 -0.093 20 0 EBADMM CO[C@@H](C)C(=O)NC1(CO)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001080751837 812196096 /nfs/dbraw/zinc/19/60/96/812196096.db2.gz VJMJRPJFMRVUFP-NSHDSACASA-N -1 1 337.376 -0.095 20 0 EBADMM C[C@H](C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)n1cccn1 ZINC001230848165 812247248 /nfs/dbraw/zinc/24/72/48/812247248.db2.gz BJDQCGUTLYMNPG-NEPJUHHUSA-N -1 1 333.396 -0.401 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)CC[C@@H]1CCOC1 ZINC001233580816 812319044 /nfs/dbraw/zinc/31/90/44/812319044.db2.gz NUJHRCGXXKCUGB-CHWSQXEVSA-N -1 1 337.424 -0.042 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)CC[C@H]1CCOC1 ZINC001233580819 812319150 /nfs/dbraw/zinc/31/91/50/812319150.db2.gz NUJHRCGXXKCUGB-QWHCGFSZSA-N -1 1 337.424 -0.042 20 0 EBADMM CCO[C@H](C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001234334967 812413086 /nfs/dbraw/zinc/41/30/86/812413086.db2.gz SXJPXJLQBSQCSV-OCCSQVGLSA-N -1 1 337.424 -0.044 20 0 EBADMM NC(=O)C(=O)N1C[C@@H](c2ccccc2)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001081173924 812524024 /nfs/dbraw/zinc/52/40/24/812524024.db2.gz VBCYSNKMPPRXPM-WDEREUQCSA-N -1 1 330.348 -0.920 20 0 EBADMM COc1ncc(-c2cn(C)c(=O)[nH]c2=O)cc1[N-]S(C)(=O)=O ZINC001244794624 812555273 /nfs/dbraw/zinc/55/52/73/812555273.db2.gz SWUWLLXHDNGVGB-UHFFFAOYSA-N -1 1 326.334 -0.072 20 0 EBADMM O=C(Cn1cccn1)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001081253253 812623976 /nfs/dbraw/zinc/62/39/76/812623976.db2.gz DLDYEBQTVVGTNP-YPMHNXCESA-N -1 1 345.359 -0.767 20 0 EBADMM COC(=O)[C@H](O)CNc1cccc2c(=O)cc(-c3nn[n-]n3)oc12 ZINC001252428832 812654783 /nfs/dbraw/zinc/65/47/83/812654783.db2.gz DEJDNPYAJCMBHW-SNVBAGLBSA-N -1 1 331.288 -0.081 20 0 EBADMM COC(=O)[C@@H](O)CNc1cccc2c(=O)cc(-c3nn[n-]n3)oc12 ZINC001252428831 812654805 /nfs/dbraw/zinc/65/48/05/812654805.db2.gz DEJDNPYAJCMBHW-JTQLQIEISA-N -1 1 331.288 -0.081 20 0 EBADMM Cc1nc([C@@H]2COCCN2C(=O)CCn2cc[n-]c(=O)c2=O)no1 ZINC001254590275 812706874 /nfs/dbraw/zinc/70/68/74/812706874.db2.gz CDKKFQHQNBMYFT-JTQLQIEISA-N -1 1 335.320 -0.782 20 0 EBADMM Cc1nc2ncnn2c(C)c1CCC(=O)OCc1nc(=O)n(C)[n-]1 ZINC001254935874 812709123 /nfs/dbraw/zinc/70/91/23/812709123.db2.gz NVRHFRAYIDTZJJ-UHFFFAOYSA-N -1 1 331.336 -0.161 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ccsc1 ZINC001081325462 812737861 /nfs/dbraw/zinc/73/78/61/812737861.db2.gz IEHGOVGVYQIHOC-GHMZBOCLSA-N -1 1 337.405 -0.201 20 0 EBADMM COC(=O)CCS(=O)(=O)CC[C@@H](C)NCC(=O)CCC(=O)[O-] ZINC001257928402 812775147 /nfs/dbraw/zinc/77/51/47/812775147.db2.gz XKRBUNSBNBHVHU-SNVBAGLBSA-N -1 1 337.394 -0.234 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@@H]1CC=CCC1 ZINC001081350602 812785477 /nfs/dbraw/zinc/78/54/77/812785477.db2.gz NRRRZLZCPINXSW-JHJVBQTASA-N -1 1 335.408 -0.220 20 0 EBADMM Cc1nc(C[N-]S(=O)(=O)c2nc3nc(Cl)ccn3n2)no1 ZINC001260167062 812826622 /nfs/dbraw/zinc/82/66/22/812826622.db2.gz PYEBHZBOQKANRY-UHFFFAOYSA-N -1 1 329.729 -0.052 20 0 EBADMM O=c1cc(CNS(=O)(=O)c2nc3nc(Cl)ccn3n2)o[n-]1 ZINC001260179618 812829412 /nfs/dbraw/zinc/82/94/12/812829412.db2.gz POGNBZVGISCCMB-UHFFFAOYSA-N -1 1 330.713 -0.050 20 0 EBADMM O=C(Nc1ccnn1C1CCCCC1)C(=O)NN1CC(=O)[N-]C1=O ZINC001260403810 812832518 /nfs/dbraw/zinc/83/25/18/812832518.db2.gz IQNUTTLRQQRPKM-UHFFFAOYSA-N -1 1 334.336 -0.090 20 0 EBADMM CSCC[C@H]([N-]S(=O)(=O)CS(C)(=O)=O)C(=O)OC(C)C ZINC001260596415 812842398 /nfs/dbraw/zinc/84/23/98/812842398.db2.gz LFXDLSWPKFMNHJ-VIFPVBQESA-N -1 1 347.480 -0.019 20 0 EBADMM CN(CCc1ccc2c(c1)OCO2)C(=O)[C@]1(C(=O)[O-])CNCCO1 ZINC001263185044 813109035 /nfs/dbraw/zinc/10/90/35/813109035.db2.gz NTGFUIRTOZKREI-INIZCTEOSA-N -1 1 336.344 -0.141 20 0 EBADMM CN(CCOCCN(C)C(=O)c1ccco1)Cc1nc(=O)n(C)[n-]1 ZINC001264103145 813151257 /nfs/dbraw/zinc/15/12/57/813151257.db2.gz AOPAEUFPTVJQIB-UHFFFAOYSA-N -1 1 337.380 -0.078 20 0 EBADMM CNC(=O)NC(=O)[C@@H](C)N(C)CCCNC(=O)c1[nH]nc(C)c1[O-] ZINC001264977700 813209722 /nfs/dbraw/zinc/20/97/22/813209722.db2.gz RUSOCEGPHLQJHL-SECBINFHSA-N -1 1 340.384 -0.680 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1c(C)ccn1C ZINC001081581843 813235982 /nfs/dbraw/zinc/23/59/82/813235982.db2.gz ZLAOZKNZQURSII-VXGBXAGGSA-N -1 1 348.407 -0.615 20 0 EBADMM CCN(CCCNC(=O)c1ccnc(OC)n1)Cc1n[nH]c(=O)[n-]1 ZINC001265123063 813244113 /nfs/dbraw/zinc/24/41/13/813244113.db2.gz CEDBQSRGBOUVBU-UHFFFAOYSA-N -1 1 335.368 -0.049 20 0 EBADMM CCc1occc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1OC ZINC001081599429 813270583 /nfs/dbraw/zinc/27/05/83/813270583.db2.gz VQJXDQDJIOUCJF-DGCLKSJQSA-N -1 1 349.391 -0.107 20 0 EBADMM O=C(Cc1cc[nH]n1)NCCCN1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001265241884 813270670 /nfs/dbraw/zinc/27/06/70/813270670.db2.gz JPXIRSYYNAFEDL-UHFFFAOYSA-N -1 1 348.411 -0.900 20 0 EBADMM CC[C@H](OC)C(=O)NCCCN1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001266257375 813493899 /nfs/dbraw/zinc/49/38/99/813493899.db2.gz FNBQVLXHNHFYIC-LBPRGKRZSA-N -1 1 340.428 -0.441 20 0 EBADMM CCN(CCNC(=O)c1ccnc2n[nH]nc21)Cc1nc(=O)n(C)[n-]1 ZINC001266400598 813559181 /nfs/dbraw/zinc/55/91/81/813559181.db2.gz PCFNHZURYNXPKC-UHFFFAOYSA-N -1 1 345.367 -0.973 20 0 EBADMM CO[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@H]1CCCCN1C ZINC001081856777 813642586 /nfs/dbraw/zinc/64/25/86/813642586.db2.gz KOMQAHFPFQCBBJ-IJLUTSLNSA-N -1 1 338.412 -0.690 20 0 EBADMM NC(=O)NCCC(=O)NC[C@@H]1CCCCN1C(=O)c1ncccc1[O-] ZINC001082024209 813721620 /nfs/dbraw/zinc/72/16/20/813721620.db2.gz NTYDOHUAUFVGSV-NSHDSACASA-N -1 1 349.391 -0.044 20 0 EBADMM Cc1csc(CNCCN(C)C(=O)Cn2c(=O)[n-][nH]c2=O)n1 ZINC001267017812 813735940 /nfs/dbraw/zinc/73/59/40/813735940.db2.gz PZEMZHVKFJLIFH-UHFFFAOYSA-N -1 1 326.382 -0.298 20 0 EBADMM CO[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)CN1CCC[C@@H]1C ZINC001082133510 813783551 /nfs/dbraw/zinc/78/35/51/813783551.db2.gz FSWVOIUNIDMMIS-QJPTWQEYSA-N -1 1 338.412 -0.690 20 0 EBADMM CCN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)Cc1ocnc1C ZINC001267253285 813804937 /nfs/dbraw/zinc/80/49/37/813804937.db2.gz IFXAYTWALSVKFW-UHFFFAOYSA-N -1 1 349.391 -0.139 20 0 EBADMM CO[C@@H]1CN(CC2CC2)C[C@H]1NC(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC001082247178 813843564 /nfs/dbraw/zinc/84/35/64/813843564.db2.gz IISHTBVJJIFVIS-CHWSQXEVSA-N -1 1 346.391 -0.703 20 0 EBADMM CO[C@@H]1CN(CCCO)C[C@H]1NC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001082325131 813897919 /nfs/dbraw/zinc/89/79/19/813897919.db2.gz SEWRACZXNABWGW-ZYHUDNBSSA-N -1 1 336.348 -0.260 20 0 EBADMM O=C(CCc1nc[nH]n1)NC1CN(Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC001267641853 813966425 /nfs/dbraw/zinc/96/64/25/813966425.db2.gz NQPGIKNGBULVEA-UHFFFAOYSA-N -1 1 342.363 -0.926 20 0 EBADMM C[C@@H]1CN(C(=O)C[C@@H]2CCC(=O)N2C)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082680757 813989426 /nfs/dbraw/zinc/98/94/26/813989426.db2.gz YIRWDDVUHBFCMY-OUAUKWLOSA-N -1 1 336.396 -0.542 20 0 EBADMM O=C(N[C@H]1CCN(CCOCC2CCC2)C1)c1n[nH]c(=O)[n-]c1=O ZINC001267726443 814010911 /nfs/dbraw/zinc/01/09/11/814010911.db2.gz ILEMLABLPBSFCT-NSHDSACASA-N -1 1 337.380 -0.096 20 0 EBADMM Cc1cc(CN2CC[C@@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)no1 ZINC001267750787 814024254 /nfs/dbraw/zinc/02/42/54/814024254.db2.gz HLQRZHKOCQVBQZ-GFCCVEGCSA-N -1 1 347.375 -0.386 20 0 EBADMM CNC(=O)CCCCC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001267753730 814024470 /nfs/dbraw/zinc/02/44/70/814024470.db2.gz LCKRLNHIKUPYKI-LLVKDONJSA-N -1 1 338.412 -0.895 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2CCCS2(=O)=O)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082931669 814027329 /nfs/dbraw/zinc/02/73/29/814027329.db2.gz JZTIZFYMSPIFOT-OPRDCNLKSA-N -1 1 343.409 -0.976 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)/C=C\c3ccc[nH]3)C2)nc1=O ZINC001268020593 814095373 /nfs/dbraw/zinc/09/53/73/814095373.db2.gz JCQAJOGEOMHRIF-DSYXLKISSA-N -1 1 346.391 -0.533 20 0 EBADMM CNC(=O)[C@H](C)N1C[C@H]2OCCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC001083059764 814097932 /nfs/dbraw/zinc/09/79/32/814097932.db2.gz VHXZKLZQXYLMNV-GMXVVIOVSA-N -1 1 334.376 -0.553 20 0 EBADMM CC(C)=CCN1C[C@H]2OCCN(C(=O)c3cnc([O-])n(C)c3=O)[C@H]2C1 ZINC001083160483 814171047 /nfs/dbraw/zinc/17/10/47/814171047.db2.gz CNDWUTGXLJLYFK-UONOGXRCSA-N -1 1 348.403 -0.023 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)CC(C)(F)F)[C@H]3C2)nc1=O ZINC001083185436 814190271 /nfs/dbraw/zinc/19/02/71/814190271.db2.gz SNFDFEYLAAAWQE-VHSXEESVSA-N -1 1 345.350 -0.435 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCO[C@@H]2CN(CCF)C[C@@H]21 ZINC001083246883 814234189 /nfs/dbraw/zinc/23/41/89/814234189.db2.gz OHCZLMCPLQIWMF-DTWKUNHWSA-N -1 1 342.327 -0.609 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1nnn(C(C)(C)C)n1 ZINC001268452040 814247318 /nfs/dbraw/zinc/24/73/18/814247318.db2.gz UQXPIYAAZDTWIW-UHFFFAOYSA-N -1 1 337.388 -0.496 20 0 EBADMM Cc1cc(CNC[C@H](C)NC(=O)c2c[n-]n3c2nccc3=O)nn1C ZINC001268707646 814359652 /nfs/dbraw/zinc/35/96/52/814359652.db2.gz VNGYOWCCNHKTQR-JTQLQIEISA-N -1 1 343.391 -0.027 20 0 EBADMM Cc1cnc(CN2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)cn1 ZINC001083424038 814404449 /nfs/dbraw/zinc/40/44/49/814404449.db2.gz YOPQQLXZYZFFPP-OCCSQVGLSA-N -1 1 329.360 -0.139 20 0 EBADMM CCOCCN1C(=O)COCC12CN(C(=O)c1ncccc1[O-])C2 ZINC001268842437 814409729 /nfs/dbraw/zinc/40/97/29/814409729.db2.gz LMERRZXUKPSVEL-UHFFFAOYSA-N -1 1 335.360 -0.123 20 0 EBADMM O=C(CCCCc1cn[nH]n1)NC[C@H]1CCN1Cc1n[nH]c(=O)[n-]1 ZINC001269266582 814606645 /nfs/dbraw/zinc/60/66/45/814606645.db2.gz FXYCPIMGJKGTFD-LLVKDONJSA-N -1 1 334.384 -0.268 20 0 EBADMM Cn1[n-]nnc1=NC(=O)c1cc2c([nH]1)CN(C(=O)c1cnc[nH]1)CC2 ZINC001269406479 814679757 /nfs/dbraw/zinc/67/97/57/814679757.db2.gz SEHMFPNVWMTQSK-UHFFFAOYSA-N -1 1 341.335 -0.866 20 0 EBADMM Cc1ncc(CO)c(C(=O)N2CCOC[C@@]3(CC(=O)N(C)C3)C2)c1[O-] ZINC001269418741 814684286 /nfs/dbraw/zinc/68/42/86/814684286.db2.gz CTUOBISILLUZMD-QGZVFWFLSA-N -1 1 349.387 -0.091 20 0 EBADMM CC(C)c1[nH]ccc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001083715429 814720889 /nfs/dbraw/zinc/72/08/89/814720889.db2.gz LVWFTONXHUGCIF-NEPJUHHUSA-N -1 1 348.407 -0.465 20 0 EBADMM CC(=O)[C@@H](C)N1CC2(CCC1=O)CCN(C(=O)Cc1nn[n-]n1)CC2 ZINC001269575903 814732374 /nfs/dbraw/zinc/73/23/74/814732374.db2.gz ALHVAQBKTGRYRL-LLVKDONJSA-N -1 1 348.407 -0.049 20 0 EBADMM CCCCN1C(=O)C[C@]2(CCCN(C(=O)Cc3nn[n-]n3)C2)C1=O ZINC001269575978 814732389 /nfs/dbraw/zinc/73/23/89/814732389.db2.gz DGARPGWRLTXVQB-HNNXBMFYSA-N -1 1 334.380 -0.090 20 0 EBADMM Cn1cncc1CN1CC[C@@]2(CCCN2C(=O)Cc2nn[n-]n2)C1=O ZINC001269576951 814733770 /nfs/dbraw/zinc/73/37/70/814733770.db2.gz CCLGGVURVVQHNA-HNNXBMFYSA-N -1 1 344.379 -0.731 20 0 EBADMM CC(=O)[C@@H](C)N1C(=O)C[C@]2(CCCN(C(=O)Cc3nn[n-]n3)C2)C1=O ZINC001269576586 814733863 /nfs/dbraw/zinc/73/38/63/814733863.db2.gz PNOPGVIMUGHLQC-PSLIRLAXSA-N -1 1 348.363 -0.913 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC2(C1)CN(CCC(F)(F)F)C(=O)CO2 ZINC001269576244 814734243 /nfs/dbraw/zinc/73/42/43/814734243.db2.gz HEPMYQTVYJEQJF-UHFFFAOYSA-N -1 1 348.285 -0.866 20 0 EBADMM COC(C)(C)CN1CC[C@@]2(CCN(C(=O)Cc3nn[n-]n3)C2)C1=O ZINC001269577100 814735634 /nfs/dbraw/zinc/73/56/34/814735634.db2.gz DJMFBZPIDKGGHO-OAHLLOKOSA-N -1 1 336.396 -0.382 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)ccc1F ZINC001083743045 814750081 /nfs/dbraw/zinc/75/00/81/814750081.db2.gz VRCXZYYUYBMJDX-OLZOCXBDSA-N -1 1 349.366 -0.469 20 0 EBADMM Cn1ncnc1C(=O)N1C[C@H]2C[C@@H](C1)N(C(=O)c1ccc([O-])cn1)C2 ZINC001269891657 815630013 /nfs/dbraw/zinc/63/00/13/815630013.db2.gz ZZOXZAZLLRNEEM-MNOVXSKESA-N -1 1 342.359 -0.098 20 0 EBADMM O=C(Nc1nnco1)C1=NO[C@@H]2CN(C(=O)c3ccc([O-])cn3)C[C@H]12 ZINC001270139344 815697566 /nfs/dbraw/zinc/69/75/66/815697566.db2.gz VBOHAONBJDXEHW-WCBMZHEXSA-N -1 1 344.287 -0.364 20 0 EBADMM O=C(NCCn1ccnn1)[C@H]1CC12CN(C(=O)c1ccc([O-])cn1)C2 ZINC001270139264 815697599 /nfs/dbraw/zinc/69/75/99/815697599.db2.gz TZWFCWBSTXAFLU-GFCCVEGCSA-N -1 1 342.359 -0.343 20 0 EBADMM CCn1ccnc1CN1C[C@@H]2COC[C@H](C1)N2C(=O)Cc1nn[n-]n1 ZINC001270182485 815712779 /nfs/dbraw/zinc/71/27/79/815712779.db2.gz GLEUYQXQGGMTTD-TXEJJXNPSA-N -1 1 346.395 -0.930 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@H]2CN(C(=O)NCC(F)(F)F)C[C@H]21 ZINC001270185744 815715483 /nfs/dbraw/zinc/71/54/83/815715483.db2.gz KDMNEEZCNMHZCX-JGVFFNPUSA-N -1 1 347.301 -0.453 20 0 EBADMM CN(C)C(=O)CN1CCO[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001270258714 815736204 /nfs/dbraw/zinc/73/62/04/815736204.db2.gz VWIXLEZACVYQQS-YPMHNXCESA-N -1 1 334.376 -0.599 20 0 EBADMM O=C(Cn1nn[n-]c1=S)N1CC2(C1)CCN(Cc1cn[nH]c1)C2 ZINC001270299455 815744752 /nfs/dbraw/zinc/74/47/52/815744752.db2.gz JADDKIPRGAFFED-UHFFFAOYSA-N -1 1 334.409 -0.581 20 0 EBADMM Cc1cccc(F)c1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001084121085 815845405 /nfs/dbraw/zinc/84/54/05/815845405.db2.gz WGOZYKZZUSWYGF-NEPJUHHUSA-N -1 1 349.366 -0.469 20 0 EBADMM Cc1ocnc1C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001084221005 815868855 /nfs/dbraw/zinc/86/88/55/815868855.db2.gz AFXARABFAPSDPM-GHMZBOCLSA-N -1 1 332.364 -0.249 20 0 EBADMM COc1ccc(NC(=O)C23CC(NC(=O)Cc4nn[n-]n4)(C2)C3)nn1 ZINC001270784395 815887146 /nfs/dbraw/zinc/88/71/46/815887146.db2.gz HWKASSVHYLXIEP-UHFFFAOYSA-N -1 1 344.335 -0.782 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCc2nc(C(=O)N3CC=CC3)ccc2C1 ZINC001270787901 815890699 /nfs/dbraw/zinc/89/06/99/815890699.db2.gz ANVBQQNUJWUGQV-UHFFFAOYSA-N -1 1 339.359 -0.266 20 0 EBADMM CCOC1CC(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)C1 ZINC001084322360 815892010 /nfs/dbraw/zinc/89/20/10/815892010.db2.gz AXAIBKXSLWPGSS-BLYZHGLHSA-N -1 1 349.435 -0.044 20 0 EBADMM CCN(C(=O)c1cn2c(n1)CN(C(=O)Cc1nn[n-]n1)CC2)C(C)C ZINC001270789225 815892327 /nfs/dbraw/zinc/89/23/27/815892327.db2.gz PKKMTCFMURTKIW-UHFFFAOYSA-N -1 1 346.395 -0.148 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCn2cc(C(=O)N3CCCCO3)nc2C1 ZINC001270789160 815892421 /nfs/dbraw/zinc/89/24/21/815892421.db2.gz NNAYNGRKXZGRJQ-UHFFFAOYSA-N -1 1 346.351 -0.851 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC2(C1)SCC[C@@H]2C(=O)N1CC=CC1 ZINC001270789147 815892491 /nfs/dbraw/zinc/89/24/91/815892491.db2.gz NBUNLXOKIFKQGZ-SNVBAGLBSA-N -1 1 334.405 -0.525 20 0 EBADMM Cc1nnc(CC(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)o1 ZINC001084324762 815893224 /nfs/dbraw/zinc/89/32/24/815893224.db2.gz WDWJOGSWOLBNIB-GHMZBOCLSA-N -1 1 347.379 -0.925 20 0 EBADMM COCCN(C)C(=O)[C@H]1[C@H]2CC[C@@H]1CN(C(=O)Cc1nn[n-]n1)C2 ZINC001270790001 815894740 /nfs/dbraw/zinc/89/47/40/815894740.db2.gz ZCNWNMQMWBVSCW-GNXNZQSNSA-N -1 1 336.396 -0.668 20 0 EBADMM Cc1n[nH]cc1C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001084563711 815938252 /nfs/dbraw/zinc/93/82/52/815938252.db2.gz RUDYKAJLSDNCEI-ZYHUDNBSSA-N -1 1 331.380 -0.514 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)N1C[C@H]2CCN(CCCF)C[C@H]21 ZINC001084726797 815969523 /nfs/dbraw/zinc/96/95/23/815969523.db2.gz NUKAISFLOPFXSG-DGCLKSJQSA-N -1 1 348.382 -0.037 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)[C@@]4(C)CCCOC4)[C@@H]3C2)nc1=O ZINC001084744906 815973318 /nfs/dbraw/zinc/97/33/18/815973318.db2.gz YTJJLTOOIOBRBC-XNJGSVPQSA-N -1 1 349.435 -0.042 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)n1cncn1 ZINC001085175169 816025696 /nfs/dbraw/zinc/02/56/96/816025696.db2.gz GVDHWQZWKRGDMQ-HBNTYKKESA-N -1 1 334.384 -0.120 20 0 EBADMM Cc1nnc(CC(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)o1 ZINC001085189917 816027927 /nfs/dbraw/zinc/02/79/27/816027927.db2.gz AOKBLFCAIAEKGG-NXEZZACHSA-N -1 1 335.368 -0.039 20 0 EBADMM O=C(N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)C1CS(=O)(=O)C1 ZINC001085210153 816030495 /nfs/dbraw/zinc/03/04/95/816030495.db2.gz UZAHEAZRNQYUPU-NXEZZACHSA-N -1 1 343.409 -0.928 20 0 EBADMM O=C(N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)[C@H]1CCCNC1=O ZINC001085232855 816032754 /nfs/dbraw/zinc/03/27/54/816032754.db2.gz BGBTYTIDLBDOJE-HBNTYKKESA-N -1 1 336.396 -0.447 20 0 EBADMM CN1C(=O)CC[C@H]1C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001085300760 816039141 /nfs/dbraw/zinc/03/91/41/816039141.db2.gz GXGHMRVJIGVRHD-MXWKQRLJSA-N -1 1 336.396 -0.352 20 0 EBADMM CCc1cc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)[nH]n1 ZINC001085493316 816055239 /nfs/dbraw/zinc/05/52/39/816055239.db2.gz QWTCFRXEBCNVOH-NSHDSACASA-N -1 1 333.396 -0.260 20 0 EBADMM Cc1c(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)cnn1C ZINC001085488539 816055493 /nfs/dbraw/zinc/05/54/93/816055493.db2.gz BBTKKZAHHLBFOM-LLVKDONJSA-N -1 1 333.396 -0.503 20 0 EBADMM Cc1cc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)nn1C ZINC001085504923 816059938 /nfs/dbraw/zinc/05/99/38/816059938.db2.gz IFPUBOZEVHMDLN-NSHDSACASA-N -1 1 333.396 -0.503 20 0 EBADMM CN(C[C@@H]1CCN1CCN1C(=O)CNC1=O)C(=O)c1ncccc1[O-] ZINC001085561627 816072757 /nfs/dbraw/zinc/07/27/57/816072757.db2.gz RDRGPFBJLFUQFW-NSHDSACASA-N -1 1 347.375 -0.515 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)[C@H]1CCCOCC1 ZINC001085571587 816076058 /nfs/dbraw/zinc/07/60/58/816076058.db2.gz HBKSKDAIZJPAHE-STQMWFEESA-N -1 1 337.424 -0.042 20 0 EBADMM Cc1ccc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)nn1 ZINC001085589445 816082076 /nfs/dbraw/zinc/08/20/76/816082076.db2.gz MBEVFVHEDHJCDG-NSHDSACASA-N -1 1 331.380 -0.447 20 0 EBADMM CC[C@@H]1CCO[C@@H]1C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085651603 816099562 /nfs/dbraw/zinc/09/95/62/816099562.db2.gz YXIQWRQVQGQTJP-BZPMIXESSA-N -1 1 337.424 -0.044 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1ccn(C)c(=O)c1 ZINC001085678226 816107362 /nfs/dbraw/zinc/10/73/62/816107362.db2.gz DQNLUPGXJDTAIY-LBPRGKRZSA-N -1 1 346.391 -0.846 20 0 EBADMM CN(C[C@H]1CCN1CCc1cnn(C)c1)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001085699289 816114256 /nfs/dbraw/zinc/11/42/56/816114256.db2.gz KOLAWUMVXSVGKR-LLVKDONJSA-N -1 1 347.379 -0.595 20 0 EBADMM Cc1cc(=O)c(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)c[nH]1 ZINC001085907844 816175586 /nfs/dbraw/zinc/17/55/86/816175586.db2.gz PJHSGSOLCYASBD-NSHDSACASA-N -1 1 346.391 -0.548 20 0 EBADMM Cc1nccc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001085977717 816196844 /nfs/dbraw/zinc/19/68/44/816196844.db2.gz BCRHGJADACXEEW-NSHDSACASA-N -1 1 331.380 -0.447 20 0 EBADMM CCc1cc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)nn1C ZINC001086003470 816209129 /nfs/dbraw/zinc/20/91/29/816209129.db2.gz IRLSMXQJILWNKE-LBPRGKRZSA-N -1 1 347.423 -0.249 20 0 EBADMM CCn1cc(CN[C@H]2C[C@@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)nn1 ZINC001086138409 816243997 /nfs/dbraw/zinc/24/39/97/816243997.db2.gz HLELSLYKXSFAKI-DTORHVGOSA-N -1 1 348.367 -0.808 20 0 EBADMM Cn1ccnc1CN[C@H]1C[C@@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001086138628 816244885 /nfs/dbraw/zinc/24/48/85/816244885.db2.gz SKJMJEFZEDNODW-DTORHVGOSA-N -1 1 333.352 -0.686 20 0 EBADMM CCc1nn(C)cc1C(=O)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001272188788 816343413 /nfs/dbraw/zinc/34/34/13/816343413.db2.gz BKELEBXADBNRNE-UHFFFAOYSA-N -1 1 337.384 -0.970 20 0 EBADMM Cn1ccc(=O)c(C(=O)NC[C@H]2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001086754501 816399701 /nfs/dbraw/zinc/39/97/01/816399701.db2.gz UZABBXKJRRECJX-WDEREUQCSA-N -1 1 346.391 -0.103 20 0 EBADMM CO[C@@H](C)C(=O)N1C[C@@H](c2cnn(C)c2)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001086969760 816426315 /nfs/dbraw/zinc/42/63/15/816426315.db2.gz HOHPENBODIQRBP-ZMLRMANQSA-N -1 1 349.395 -0.637 20 0 EBADMM CC[C@H](NC(C)=O)C(=O)N1CC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001272573380 816482974 /nfs/dbraw/zinc/48/29/74/816482974.db2.gz FDFMNHYBWCIFFV-RYUDHWBXSA-N -1 1 338.412 -0.247 20 0 EBADMM CN(C)C(=O)CN1CCO[C@H]2CN(C(=O)c3cncc([O-])c3)C[C@@H]21 ZINC001272672517 816504228 /nfs/dbraw/zinc/50/42/28/816504228.db2.gz KBVZXKRSJKXWRR-KBPBESRZSA-N -1 1 334.376 -0.599 20 0 EBADMM CN(C)S(=O)(=O)N1CC[C@H]2[C@@H]1CCN2C(=O)c1cncc([O-])c1 ZINC001272672972 816504533 /nfs/dbraw/zinc/50/45/33/816504533.db2.gz QVOQNLNJDQTGEL-STQMWFEESA-N -1 1 340.405 -0.118 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)Cc2cncnc2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087556927 816532625 /nfs/dbraw/zinc/53/26/25/816532625.db2.gz NWAIMWKDMLQNLQ-QWHCGFSZSA-N -1 1 345.407 -0.390 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2c[nH]nc2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087678860 816546066 /nfs/dbraw/zinc/54/60/66/816546066.db2.gz IZBCQIKPGONBQX-NWDGAFQWSA-N -1 1 333.396 -0.077 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2ccc(=O)[nH]c2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087818007 816562377 /nfs/dbraw/zinc/56/23/77/816562377.db2.gz VEVLQJLUUDFIIY-NWDGAFQWSA-N -1 1 346.391 -0.008 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4cocn4)C[C@@H]3C2)nc1=O ZINC001087907954 816576378 /nfs/dbraw/zinc/57/63/78/816576378.db2.gz FUHCGHXJYGYILJ-MNOVXSKESA-N -1 1 332.364 -0.310 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4ccnnc4)C[C@@H]3C2)nc1=O ZINC001088118125 816630542 /nfs/dbraw/zinc/63/05/42/816630542.db2.gz KINXFSDONZWNAC-OLZOCXBDSA-N -1 1 343.391 -0.508 20 0 EBADMM O=C1CC[C@@H](CN2CC3(CN(Cc4ncccc4[O-])C3)OCC2=O)N1 ZINC001273327478 816645261 /nfs/dbraw/zinc/64/52/61/816645261.db2.gz XJZFRXSQGSDIFH-LBPRGKRZSA-N -1 1 346.387 -0.521 20 0 EBADMM O=C([C@H]1CCC(=O)N1)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088280334 816658554 /nfs/dbraw/zinc/65/85/54/816658554.db2.gz OHVSHWMEHVTOBH-WDEREUQCSA-N -1 1 336.396 -0.350 20 0 EBADMM O=C([C@H]1CCNC1=O)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088360325 816669986 /nfs/dbraw/zinc/66/99/86/816669986.db2.gz VYUFVFVEHWZDDQ-QWRGUYRKSA-N -1 1 336.396 -0.493 20 0 EBADMM CO[C@@H](C)C(=O)N1CCCC[C@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001089131406 816807137 /nfs/dbraw/zinc/80/71/37/816807137.db2.gz RQPOCVYEIGJPNF-RYUDHWBXSA-N -1 1 325.413 -0.044 20 0 EBADMM Cc1ccc([O-])c(C(=O)N2CC3(C2)CN(S(=O)(=O)N(C)C)C3)n1 ZINC001274067186 816855661 /nfs/dbraw/zinc/85/56/61/816855661.db2.gz LNOWMZFVEBLKET-UHFFFAOYSA-N -1 1 340.405 -0.340 20 0 EBADMM CCN(c1ncccn1)[C@H](C)CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001089668957 816896604 /nfs/dbraw/zinc/89/66/04/816896604.db2.gz IPVGKLPBDMBRNV-SNVBAGLBSA-N -1 1 342.363 -0.148 20 0 EBADMM Cn1[n-]c(CN2CC(C)(C)CC[C@@H]2CNC(=O)c2cnon2)nc1=O ZINC001089715387 816902823 /nfs/dbraw/zinc/90/28/23/816902823.db2.gz VZYCLSFEHMUIHR-SNVBAGLBSA-N -1 1 349.395 -0.088 20 0 EBADMM Cc1noc(CNC/C=C\CNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001274448099 816965101 /nfs/dbraw/zinc/96/51/01/816965101.db2.gz IPCXDWCEEYNUIP-IHWYPQMZSA-N -1 1 348.363 -0.920 20 0 EBADMM Cc1cccc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c1 ZINC001090038841 816979758 /nfs/dbraw/zinc/97/97/58/816979758.db2.gz QATDNRUGWXRCBF-ZIAGYGMSSA-N -1 1 345.403 -0.218 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)o1 ZINC001090048972 816986503 /nfs/dbraw/zinc/98/65/03/816986503.db2.gz GEOGTOSOVXIOJW-MNOVXSKESA-N -1 1 335.364 -0.625 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CCN(CCn3cccn3)C[C@H]2O)c1[O-] ZINC001090201827 817116708 /nfs/dbraw/zinc/11/67/08/817116708.db2.gz SZGPATHKNQBVNF-VXGBXAGGSA-N -1 1 334.380 -0.515 20 0 EBADMM Cn1nncc1CN1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001090217317 817136559 /nfs/dbraw/zinc/13/65/59/817136559.db2.gz PVTRHFPLXITGAS-WCQYABFASA-N -1 1 332.364 -0.719 20 0 EBADMM O=C(N[C@@H]1CCN(Cc2ncccn2)C[C@@H]1O)c1ncccc1[O-] ZINC001090217836 817137615 /nfs/dbraw/zinc/13/76/15/817137615.db2.gz RXCQKYQPEKMGDQ-YPMHNXCESA-N -1 1 329.360 -0.058 20 0 EBADMM Cc1ccn(C)c1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001090218968 817141159 /nfs/dbraw/zinc/14/11/59/817141159.db2.gz LEJOUVLNSGOVOC-VXGBXAGGSA-N -1 1 348.407 -0.879 20 0 EBADMM Cc1coc(C)c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001090235069 817164214 /nfs/dbraw/zinc/16/42/14/817164214.db2.gz CPAMXLRGQQXPFS-NWDGAFQWSA-N -1 1 349.391 -0.317 20 0 EBADMM O=C(Nc1nnco1)C1=NO[C@@H]2CN(C(=O)c3cncc([O-])c3)C[C@H]12 ZINC001275620905 817261953 /nfs/dbraw/zinc/26/19/53/817261953.db2.gz OAZZZQRIKUZWFY-VHSXEESVSA-N -1 1 344.287 -0.364 20 0 EBADMM CN(C)C(=O)CNC(=O)[C@@]12C[C@@H]1CCN2C(=O)c1cncc([O-])c1 ZINC001275623496 817263571 /nfs/dbraw/zinc/26/35/71/817263571.db2.gz LWGURKDTQZHGPM-MEDUHNTESA-N -1 1 332.360 -0.404 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C3(C4CC4)CC3)[C@H](O)C2)nc1=O ZINC001090347141 817288929 /nfs/dbraw/zinc/28/89/29/817288929.db2.gz MUPNADSVONTBAN-VXGBXAGGSA-N -1 1 335.408 -0.650 20 0 EBADMM CCCC[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CCN(C)C1=O ZINC001276262037 817378212 /nfs/dbraw/zinc/37/82/12/817378212.db2.gz SNAZRDBCQMLYRF-MNOVXSKESA-N -1 1 338.412 -0.247 20 0 EBADMM CC[C@@H](F)CN1CC[C@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001276941763 817499765 /nfs/dbraw/zinc/49/97/65/817499765.db2.gz QDAAQMPPXFFLSM-NEPJUHHUSA-N -1 1 326.372 -0.135 20 0 EBADMM C[C@@H]1Cc2nnc(C(=O)N=c3nn[n-]n3C)n2CCN1CC1CCC1 ZINC001277298608 817544874 /nfs/dbraw/zinc/54/48/74/817544874.db2.gz XGNPPERKKHKSDX-SNVBAGLBSA-N -1 1 345.411 -0.478 20 0 EBADMM CS(=O)(=O)CCNC(=O)[C@]12C[C@H]1CCN2Cc1ncccc1[O-] ZINC001277318278 817550468 /nfs/dbraw/zinc/55/04/68/817550468.db2.gz MYAHWUJEPAYRPK-ABAIWWIYSA-N -1 1 339.417 -0.088 20 0 EBADMM CS(=O)(=O)CCNC(=O)[C@@H]1CC12CN(Cc1ncccc1[O-])C2 ZINC001277319034 817551607 /nfs/dbraw/zinc/55/16/07/817551607.db2.gz ZEPJWSSFDDCGLC-NSHDSACASA-N -1 1 339.417 -0.230 20 0 EBADMM CN(C(=O)[C@@H]1CCCNC1=O)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001277661927 817619022 /nfs/dbraw/zinc/61/90/22/817619022.db2.gz CZSCQVIFDVXZTQ-GHMZBOCLSA-N -1 1 336.396 -0.637 20 0 EBADMM Cn1[n-]nnc1=NC(=O)c1noc2c1CN(Cc1cnc[nH]1)CC2 ZINC001278346904 817744755 /nfs/dbraw/zinc/74/47/55/817744755.db2.gz GRSGIJXDFHBFSV-UHFFFAOYSA-N -1 1 329.324 -0.846 20 0 EBADMM CCn1cccc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001090818801 817775843 /nfs/dbraw/zinc/77/58/43/817775843.db2.gz RMZINKILBGYRGU-WCQYABFASA-N -1 1 348.407 -0.705 20 0 EBADMM CN(CCOCCNCc1nncn1C)C(=O)c1ncccc1[O-] ZINC001279402261 817924693 /nfs/dbraw/zinc/92/46/93/817924693.db2.gz MYFSOLHASDPVNP-UHFFFAOYSA-N -1 1 334.380 -0.206 20 0 EBADMM O=C(NCC1CC(NCc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCCS1(=O)=O ZINC001091372198 817973175 /nfs/dbraw/zinc/97/31/75/817973175.db2.gz GUSCAOGOHPNRSH-RTBKNWGFSA-N -1 1 343.409 -0.928 20 0 EBADMM Cc1[nH]nc(C(=O)N2C[C@H]3CN(CC(=O)N(C)C)C[C@@]3(C)C2)c1[O-] ZINC001091601915 818013678 /nfs/dbraw/zinc/01/36/78/818013678.db2.gz UQBUAOUVTXEPAR-BZNIZROVSA-N -1 1 335.408 -0.094 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@@H](CCNCc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC001280072301 818016656 /nfs/dbraw/zinc/01/66/56/818016656.db2.gz CXZLJCHZGMEBCR-SNVBAGLBSA-N -1 1 347.379 -0.754 20 0 EBADMM CCCOCC(=O)N(C)C[C@H](O)CNC(=O)c1ncccc1[O-] ZINC001281243605 818089775 /nfs/dbraw/zinc/08/97/75/818089775.db2.gz DVIBMCAIBWTVSF-LLVKDONJSA-N -1 1 325.365 -0.237 20 0 EBADMM Cc1nc(C)c(C)c(NCCNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001093736459 818090796 /nfs/dbraw/zinc/09/07/96/818090796.db2.gz CEAOWENRIUTFCW-UHFFFAOYSA-N -1 1 346.391 -0.130 20 0 EBADMM Cc1cc(NCCCNC(=O)c2cc(=O)n3[n-]cnc3n2)ncn1 ZINC001094502753 818280387 /nfs/dbraw/zinc/28/03/87/818280387.db2.gz YQMJOFPPLGNFPN-UHFFFAOYSA-N -1 1 328.336 -0.252 20 0 EBADMM C[C@@H](NC(=O)c1cnc2cccnn21)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001282204622 818375723 /nfs/dbraw/zinc/37/57/23/818375723.db2.gz RWUDNEWKYREGAA-DTWKUNHWSA-N -1 1 330.352 -0.150 20 0 EBADMM C[C@@H](O)CN1CC(N2C[C@@H](NC(=O)c3ncccc3[O-])CC2=O)C1 ZINC001094730580 818381008 /nfs/dbraw/zinc/38/10/08/818381008.db2.gz FKAYOUROJUZJNT-MNOVXSKESA-N -1 1 334.376 -0.817 20 0 EBADMM C[C@H](CNC(=O)c1cccc2ncnn21)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001282423626 818423724 /nfs/dbraw/zinc/42/37/24/818423724.db2.gz JWZMDRFOCLHKAW-SNVBAGLBSA-N -1 1 344.379 -0.599 20 0 EBADMM C[C@@H](NC(=O)CCOCC1CC1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001282715270 818476635 /nfs/dbraw/zinc/47/66/35/818476635.db2.gz RJVXXDWCQZJUGH-LLVKDONJSA-N -1 1 337.424 -0.138 20 0 EBADMM CCN(CC)C[C@H](F)C(=O)NCCNC(=O)c1[nH]nc(C)c1[O-] ZINC001282976089 818558239 /nfs/dbraw/zinc/55/82/39/818558239.db2.gz YYLOUOITHNDUGT-JTQLQIEISA-N -1 1 329.376 -0.050 20 0 EBADMM Cn1nnnc1CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncccc1[O-])C2 ZINC001095345552 818607444 /nfs/dbraw/zinc/60/74/44/818607444.db2.gz WXAFGWVSRCIAOU-VWYCJHECSA-N -1 1 329.364 -0.154 20 0 EBADMM Cc1c[nH]nc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001095396342 818617913 /nfs/dbraw/zinc/61/79/13/818617913.db2.gz ULGFZPFTCWOIKX-VWYCJHECSA-N -1 1 331.380 -0.325 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCCN(C)c1ncccn1 ZINC001095592858 818654488 /nfs/dbraw/zinc/65/44/88/818654488.db2.gz QABBRNGVZQPISO-UHFFFAOYSA-N -1 1 334.336 -0.057 20 0 EBADMM COc1nc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)co1 ZINC001095822327 818693260 /nfs/dbraw/zinc/69/32/60/818693260.db2.gz HGTGVTMJWUSGRI-YWVKMMECSA-N -1 1 348.363 -0.360 20 0 EBADMM O=C(NCCCNC(=O)[C@@H]1CCCOC1)c1cc(=O)n2[n-]cnc2n1 ZINC001283202440 818696648 /nfs/dbraw/zinc/69/66/48/818696648.db2.gz DVDCEVBXDREIAH-SNVBAGLBSA-N -1 1 348.363 -0.920 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2n[nH]cc2F)C3)nc1=O ZINC001095977601 818717724 /nfs/dbraw/zinc/71/77/24/818717724.db2.gz LHTCFRDPIRGXME-JEZHCXPESA-N -1 1 335.343 -0.494 20 0 EBADMM CN(C(=O)CCn1cc[n-]c(=O)c1=O)[C@H]1CCN(C(=O)C2(C)CC2)C1 ZINC001283383402 818787202 /nfs/dbraw/zinc/78/72/02/818787202.db2.gz AVCVKMDREKEBLX-LBPRGKRZSA-N -1 1 348.403 -0.214 20 0 EBADMM O=C(NCC1CC1)C(=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC001283409171 818799741 /nfs/dbraw/zinc/79/97/41/818799741.db2.gz XTUQWTGCHJKWSJ-UHFFFAOYSA-N -1 1 346.387 -0.012 20 0 EBADMM CN(CCCNC(=O)C1(C)CC1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001283564000 818856816 /nfs/dbraw/zinc/85/68/16/818856816.db2.gz VXJNZIGLBLPXQX-UHFFFAOYSA-N -1 1 336.392 -0.309 20 0 EBADMM Cn1cc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)cn1 ZINC001096782680 818885639 /nfs/dbraw/zinc/88/56/39/818885639.db2.gz HHMAOOZQKUKGPU-UTUOFQBUSA-N -1 1 331.380 -0.623 20 0 EBADMM Cc1ncoc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001096918604 818906725 /nfs/dbraw/zinc/90/67/25/818906725.db2.gz XGXKMITWLIZSIU-MXWKQRLJSA-N -1 1 332.364 -0.060 20 0 EBADMM CCN(CCCNC(=O)c1ncccc1[O-])C(=O)CCNC(N)=O ZINC001283711633 818913842 /nfs/dbraw/zinc/91/38/42/818913842.db2.gz MRGIQGGIFMLFDD-UHFFFAOYSA-N -1 1 337.380 -0.186 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)c2ccnnc2)C3)nc1=O ZINC001097917537 819053714 /nfs/dbraw/zinc/05/37/14/819053714.db2.gz HEXBMGOCGCQKEP-UTUOFQBUSA-N -1 1 329.364 -0.566 20 0 EBADMM Cc1cc(C)nc(N[C@@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001097939065 819058237 /nfs/dbraw/zinc/05/82/37/819058237.db2.gz BPBWEIZBLAZREU-LBPRGKRZSA-N -1 1 346.391 -0.050 20 0 EBADMM Cc1nccc(N[C@H](C)CNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001097953657 819063000 /nfs/dbraw/zinc/06/30/00/819063000.db2.gz HWKQFKZDIVPUDL-MRVPVSSYSA-N -1 1 328.336 -0.254 20 0 EBADMM C[C@@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)Nc1cc(F)ncn1 ZINC001097954702 819063808 /nfs/dbraw/zinc/06/38/08/819063808.db2.gz YGHBMOZHBWNOQJ-ZETCQYMHSA-N -1 1 332.299 -0.423 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@@]2(C)CCOC2)C3)nc1=O ZINC001098110139 819091743 /nfs/dbraw/zinc/09/17/43/819091743.db2.gz HNLLUKDRTTZZJI-YMEQNVIZSA-N -1 1 335.408 -0.244 20 0 EBADMM Cc1nc[nH]c1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001098514913 819226435 /nfs/dbraw/zinc/22/64/35/819226435.db2.gz QUKSUFJVCHMHPD-MXWKQRLJSA-N -1 1 331.380 -0.325 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCC[C@]3(NC(=O)[C@H]3CCCO3)C2)nc1=O ZINC001098719416 819340639 /nfs/dbraw/zinc/34/06/39/819340639.db2.gz UYDRXYUVNSGMTP-OZVIIMIRSA-N -1 1 335.408 -0.242 20 0 EBADMM CC(C)N(CCCNC(=O)Cn1ncnn1)C(=O)c1ncccc1[O-] ZINC001284787155 819353495 /nfs/dbraw/zinc/35/34/95/819353495.db2.gz YXDAYKIZANLPOG-UHFFFAOYSA-N -1 1 347.379 -0.169 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCC[C@]3(NC(=O)c3cocn3)C2)nc1=O ZINC001098757971 819355523 /nfs/dbraw/zinc/35/55/23/819355523.db2.gz MNMOJZDCFSIPDW-BONVTDFDSA-N -1 1 332.364 -0.119 20 0 EBADMM CCC(=O)N1CC[C@@H](CN(C)C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001284824500 819363797 /nfs/dbraw/zinc/36/37/97/819363797.db2.gz YWQOBGWLGNCADK-LBPRGKRZSA-N -1 1 336.392 -0.356 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)/C=C/c3ccco3)[C@@H](O)C2)nc1=O ZINC001099641525 819532123 /nfs/dbraw/zinc/53/21/23/819532123.db2.gz CXGXWDOMZIYUKX-WWKJKZQJSA-N -1 1 347.375 -0.534 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC/C=C\CNC(=O)CC1CCC1 ZINC001285509616 819634468 /nfs/dbraw/zinc/63/44/68/819634468.db2.gz AFRCZPUMHSIKQE-UPHRSURJSA-N -1 1 348.403 -0.095 20 0 EBADMM C[C@@H](CNC(=O)CC(C)(F)F)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285755529 819758127 /nfs/dbraw/zinc/75/81/27/819758127.db2.gz LYYOEGFQUQCZSF-VIFPVBQESA-N -1 1 346.334 -0.407 20 0 EBADMM CC1(C(=O)NC[C@H]2CCN2C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001285861315 819793020 /nfs/dbraw/zinc/79/30/20/819793020.db2.gz FBSKXXVDYJRJDX-LLVKDONJSA-N -1 1 334.376 -0.556 20 0 EBADMM C[C@H](CNC(=O)[C@]1(C)CCNC1=O)N(C)C(=O)c1ncccc1[O-] ZINC001285869360 819796487 /nfs/dbraw/zinc/79/64/87/819796487.db2.gz VMQRZQRDLKEQFU-QLJPJBMISA-N -1 1 334.376 -0.110 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)N1CC[C@@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285873196 819799253 /nfs/dbraw/zinc/79/92/53/819799253.db2.gz CSQKJUFIPSVUQT-JHJVBQTASA-N -1 1 348.403 -0.310 20 0 EBADMM CC(C)=CC(=O)NCC1(NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001285904067 819815332 /nfs/dbraw/zinc/81/53/32/819815332.db2.gz MKIRQMDRYVMDHG-UHFFFAOYSA-N -1 1 330.348 -0.238 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1(NC(=O)[C@@H]2CC23CC3)CC1 ZINC001285943728 819838231 /nfs/dbraw/zinc/83/82/31/819838231.db2.gz IIXOBKYTHTUPAO-NSHDSACASA-N -1 1 346.387 -0.508 20 0 EBADMM CC(C)=CC(=O)N[C@@H](C)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001286171051 819923246 /nfs/dbraw/zinc/92/32/46/819923246.db2.gz JNMUVBWFULIGFG-LBPRGKRZSA-N -1 1 348.403 -0.144 20 0 EBADMM CCC(=O)N1C[C@@H]2[C@@H](CNC(=O)CCn3cc[n-]c(=O)c3=O)[C@@H]2C1 ZINC001286259325 819969924 /nfs/dbraw/zinc/96/99/24/819969924.db2.gz GDEPRLCLQVKTMW-CNDDSTCGSA-N -1 1 334.376 -0.843 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C(C)(C)C(F)F)[C@@H](O)C2)nc1=O ZINC001099998582 820009234 /nfs/dbraw/zinc/00/92/34/820009234.db2.gz IXXDNWGLOYNNAB-BDAKNGLRSA-N -1 1 347.366 -0.549 20 0 EBADMM COC(=O)c1cc(C(C)=O)c(NC(=O)CN2CC(=O)N(C)C2=O)[n-]1 ZINC001288774874 820337539 /nfs/dbraw/zinc/33/75/39/820337539.db2.gz QQTJDLMWBUITDO-UHFFFAOYSA-N -1 1 336.304 -0.164 20 0 EBADMM O=C(NCC1CC1)C(=O)NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001290066456 820451083 /nfs/dbraw/zinc/45/10/83/820451083.db2.gz RPROZKUDROYMAX-TXEJJXNPSA-N -1 1 346.387 -0.062 20 0 EBADMM CCN(CCNC(=O)c1cc(=O)n2[n-]cnc2n1)c1nccc(C)n1 ZINC001100567706 820476788 /nfs/dbraw/zinc/47/67/88/820476788.db2.gz YCIQLWKYEJKEQA-UHFFFAOYSA-N -1 1 342.363 -0.228 20 0 EBADMM C[C@H]1CC[C@@H](C(=O)NCCNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001292736661 820598542 /nfs/dbraw/zinc/59/85/42/820598542.db2.gz CPYKOJJEXWYRAJ-NWDGAFQWSA-N -1 1 336.392 -0.405 20 0 EBADMM O=C(CCn1cccc1)NCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001292982929 820681473 /nfs/dbraw/zinc/68/14/73/820681473.db2.gz XPNHSJFBVNAMNH-UHFFFAOYSA-N -1 1 347.375 -0.949 20 0 EBADMM CCCC(=O)N(C)[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001293686730 820810904 /nfs/dbraw/zinc/81/09/04/820810904.db2.gz WDZCMNNGVZUQQM-LBPRGKRZSA-N -1 1 336.392 -0.214 20 0 EBADMM CN(CCCNC(=O)[C@H]1CCN(C)C1=O)C(=O)c1ncccc1[O-] ZINC001294255120 820909090 /nfs/dbraw/zinc/90/90/90/820909090.db2.gz NCYBWVOVDSBFRX-LLVKDONJSA-N -1 1 334.376 -0.156 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1CNC(=O)C(=O)C(C)(C)C ZINC001101825489 820926611 /nfs/dbraw/zinc/92/66/11/820926611.db2.gz ZJUODSLEFXXIOD-GHMZBOCLSA-N -1 1 337.424 -0.092 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)CC1OCCCO1 ZINC001101912781 820959360 /nfs/dbraw/zinc/95/93/60/820959360.db2.gz KKIURAWXSWYFAR-GHMZBOCLSA-N -1 1 339.396 -0.153 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H](CNC(=O)C1CCC1)C1CC1 ZINC001294850847 821009032 /nfs/dbraw/zinc/00/90/32/821009032.db2.gz KYUKAIQQGKLPCX-ZDUSSCGKSA-N -1 1 348.403 -0.262 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)[C@@]1(C)CNC(=O)C1 ZINC001102179925 821040772 /nfs/dbraw/zinc/04/07/72/821040772.db2.gz NFUAPPKUKMSSNO-IQMDTDKHSA-N -1 1 336.396 -0.779 20 0 EBADMM O=C(CCCc1nn[n-]n1)N(CCO)CCOCC(F)(F)F ZINC001295241104 821066199 /nfs/dbraw/zinc/06/61/99/821066199.db2.gz NYQVAVMRRALHDH-UHFFFAOYSA-N -1 1 325.291 -0.078 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ccc2nnnn2c1 ZINC001295469210 821098922 /nfs/dbraw/zinc/09/89/22/821098922.db2.gz JYIODOQOQHNDQO-SECBINFHSA-N -1 1 341.331 -0.227 20 0 EBADMM C[C@@H](CNC(=O)Cc1ccc[nH]1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001295514218 821109540 /nfs/dbraw/zinc/10/95/40/821109540.db2.gz OBZZMQNBRQUCFL-NSHDSACASA-N -1 1 347.375 -0.882 20 0 EBADMM Cc1nonc1CC(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102490835 821124746 /nfs/dbraw/zinc/12/47/46/821124746.db2.gz CGYBFXDYPLWCEA-PSASIEDQSA-N -1 1 335.368 -0.371 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)/C=C\C1CC1 ZINC001295569487 821126558 /nfs/dbraw/zinc/12/65/58/821126558.db2.gz MYSXEDJRZQXVAO-DOGVGXBMSA-N -1 1 334.376 -0.486 20 0 EBADMM Cc1cnoc1C(=O)N[C@@H](C)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001295571005 821126657 /nfs/dbraw/zinc/12/66/57/821126657.db2.gz ZMTXRYLHNUIUGL-JTQLQIEISA-N -1 1 349.347 -0.842 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)Cn1ccccc1=O ZINC001102568284 821155696 /nfs/dbraw/zinc/15/56/96/821155696.db2.gz CGDDOGASTZRPOQ-VXGBXAGGSA-N -1 1 346.391 -0.444 20 0 EBADMM COC[C@@H](OC)C(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001103413911 821308076 /nfs/dbraw/zinc/30/80/76/821308076.db2.gz WSMPTOWOMWZKNM-IJLUTSLNSA-N -1 1 341.412 -0.254 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)c1cn(C)c(=O)[nH]1 ZINC001103401574 821309517 /nfs/dbraw/zinc/30/95/17/821309517.db2.gz GXXLPJUFNRBDPP-NXEZZACHSA-N -1 1 349.395 -0.163 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccc(=O)[nH]n2)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001103851795 821360958 /nfs/dbraw/zinc/36/09/58/821360958.db2.gz UCRNUQUGDQWGQO-NXEZZACHSA-N -1 1 347.379 -0.106 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2CCC(=O)N2)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001103994612 821384424 /nfs/dbraw/zinc/38/44/24/821384424.db2.gz GDZCQZXNLZNJET-GMTAPVOTSA-N -1 1 336.396 -0.637 20 0 EBADMM Cc1cc(NC[C@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)ncn1 ZINC001104017838 821387140 /nfs/dbraw/zinc/38/71/40/821387140.db2.gz VTUWMIJMJSIDMR-NSHDSACASA-N -1 1 346.391 -0.110 20 0 EBADMM C[C@@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)CNc1cnc(F)cn1 ZINC001104037318 821391616 /nfs/dbraw/zinc/39/16/16/821391616.db2.gz SDKQRAKEXSXONQ-MRVPVSSYSA-N -1 1 346.326 -0.175 20 0 EBADMM C[C@H](CNc1ncc(F)cn1)N(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001104616498 821523020 /nfs/dbraw/zinc/52/30/20/821523020.db2.gz DWFREDDPFCGJPF-MRVPVSSYSA-N -1 1 346.326 -0.081 20 0 EBADMM Cc1cc[nH]c1C(=O)N[C@H](C)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001298581167 821569668 /nfs/dbraw/zinc/56/96/68/821569668.db2.gz MPZQUIQTKKNXTP-LLVKDONJSA-N -1 1 347.375 -0.502 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)[C@H]1CC1(C)C ZINC001298758736 821593688 /nfs/dbraw/zinc/59/36/88/821593688.db2.gz RATHSYGAJGATDX-WDEREUQCSA-N -1 1 336.392 -0.406 20 0 EBADMM C[C@@H](CNC(=O)C1=CCCC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001298826241 821605142 /nfs/dbraw/zinc/60/51/42/821605142.db2.gz XFNIIJYUEFAJHB-NSHDSACASA-N -1 1 334.376 -0.342 20 0 EBADMM C[C@@H](CNC(=O)c1cnc(C2CC2)[n-]c1=O)NC(=O)[C@H]1CCNC1=O ZINC001298837110 821606354 /nfs/dbraw/zinc/60/63/54/821606354.db2.gz RQRXMSITAIMGAE-WPRPVWTQSA-N -1 1 347.375 -0.570 20 0 EBADMM O=C(CN1C(=O)NC2(CCCC2)C1=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001302935563 821753024 /nfs/dbraw/zinc/75/30/24/821753024.db2.gz CGYXRJVZXRBKNV-VIFPVBQESA-N -1 1 333.352 -0.620 20 0 EBADMM Cn1cnc2c1ncnc2NC[C@@H](O)CNC(=O)c1ncccc1[O-] ZINC001105801857 821873154 /nfs/dbraw/zinc/87/31/54/821873154.db2.gz DMDHVPGHTPBZPL-SECBINFHSA-N -1 1 343.347 -0.333 20 0 EBADMM O=C(NC[C@@H](O)CNc1ccc2nccnc2n1)c1ncccc1[O-] ZINC001105802606 821875133 /nfs/dbraw/zinc/87/51/33/821875133.db2.gz OIBNCPYOTZDHGY-JTQLQIEISA-N -1 1 340.343 -0.298 20 0 EBADMM CN(CCNC(=O)c1ccc2nccnc2c1)Cc1nc(=O)n(C)[n-]1 ZINC001317469717 822122389 /nfs/dbraw/zinc/12/23/89/822122389.db2.gz GUNVGQGENFCMCI-UHFFFAOYSA-N -1 1 341.375 -0.087 20 0 EBADMM CC[C@@H](CNC(=O)Cn1ncc2cccnc21)NCc1n[nH]c(=O)[n-]1 ZINC001318550220 822334036 /nfs/dbraw/zinc/33/40/36/822334036.db2.gz ZSJLQGKKQFPLES-NSHDSACASA-N -1 1 344.379 -0.060 20 0 EBADMM COC(=O)c1cc(CNC(=O)CCn2cc[n-]c(=O)c2=O)oc1C ZINC001318547310 822334227 /nfs/dbraw/zinc/33/42/27/822334227.db2.gz BPOVMFHTMVJGFT-UHFFFAOYSA-N -1 1 335.316 -0.069 20 0 EBADMM Cc1ccc(NC/C=C/CNC(=O)CCn2cc[n-]c(=O)c2=O)nn1 ZINC001107258240 823830165 /nfs/dbraw/zinc/83/01/65/823830165.db2.gz ZQYZBHWADOKOBS-NSCUHMNNSA-N -1 1 344.375 -0.190 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC/C=C/CNc1cnc(F)cn1 ZINC001107257502 823830375 /nfs/dbraw/zinc/83/03/75/823830375.db2.gz MLOJHLDFOABSFX-OWOJBTEDSA-N -1 1 348.338 -0.360 20 0 EBADMM Cc1nccc(NC/C=C/CNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001107262692 823830523 /nfs/dbraw/zinc/83/05/23/823830523.db2.gz FKHLQQLOTVABKQ-NSCUHMNNSA-N -1 1 340.347 -0.086 20 0 EBADMM Cc1ccnc(NC/C=C\CNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001107263107 823831601 /nfs/dbraw/zinc/83/16/01/823831601.db2.gz QUMSWPCCWFXULI-IHWYPQMZSA-N -1 1 340.347 -0.086 20 0 EBADMM CS[C@H](C)C(=O)NC[C@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107764179 823946947 /nfs/dbraw/zinc/94/69/47/823946947.db2.gz SUSOXHBOTWHWMF-QMTHXVAHSA-N -1 1 343.453 -0.433 20 0 EBADMM Cc1cc(C(=O)NC[C@@]2(C)CN(Cc3nc(=O)n(C)[n-]3)CCO2)[nH]n1 ZINC001107777509 823957177 /nfs/dbraw/zinc/95/71/77/823957177.db2.gz PZIOAUHDOLHQKU-HNNXBMFYSA-N -1 1 349.395 -0.839 20 0 EBADMM Cc1ccnc(NC[C@@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001107837194 823994170 /nfs/dbraw/zinc/99/41/70/823994170.db2.gz IXNPLXDTEPKCJP-LLVKDONJSA-N -1 1 332.364 -0.358 20 0 EBADMM Cc1[nH]ccc1C(=O)NC[C@@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107856665 824001267 /nfs/dbraw/zinc/00/12/67/824001267.db2.gz BRQMEXLHUSWEGJ-INIZCTEOSA-N -1 1 348.407 -0.234 20 0 EBADMM CN(C)C(=O)CN1CCO[C@@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001107890190 824021463 /nfs/dbraw/zinc/02/14/63/824021463.db2.gz JUFUCCFKXRLPIN-INIZCTEOSA-N -1 1 336.392 -0.304 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)CCn2cncn2)C3)nc1=O ZINC001108936180 824456656 /nfs/dbraw/zinc/45/66/56/824456656.db2.gz VTHGAZRKSBCIMB-WOPDTQHZSA-N -1 1 346.395 -0.988 20 0 EBADMM C[C@H](CN(C)c1cc(F)ncn1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001109039117 824474913 /nfs/dbraw/zinc/47/49/13/824474913.db2.gz IBQVABZAZBLJNB-MRVPVSSYSA-N -1 1 346.326 -0.399 20 0 EBADMM C[C@H](CN(C)c1ncnc2[nH]cnc21)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001109309879 824525890 /nfs/dbraw/zinc/52/58/90/824525890.db2.gz SCHPQGAYOLOJMJ-ZCFIWIBFSA-N -1 1 345.323 -0.796 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)CCn2cncn2)C3)nc1=O ZINC001110288647 824695584 /nfs/dbraw/zinc/69/55/84/824695584.db2.gz VTHGAZRKSBCIMB-UTUOFQBUSA-N -1 1 346.395 -0.988 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)CCOCC(F)F)CC2)nc1=O ZINC001112861460 825669077 /nfs/dbraw/zinc/66/90/77/825669077.db2.gz CWGQENKYQUCGFH-UHFFFAOYSA-N -1 1 333.339 -0.576 20 0 EBADMM NC(=O)NC(=O)CN1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC001113409954 825862005 /nfs/dbraw/zinc/86/20/05/825862005.db2.gz HNVROUQCVGCNJY-UHFFFAOYSA-N -1 1 348.363 -0.988 20 0 EBADMM Cc1nccc(N(C)[C@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001113655976 825967531 /nfs/dbraw/zinc/96/75/31/825967531.db2.gz VOJTVKUOCOIAOJ-LLVKDONJSA-N -1 1 346.391 -0.334 20 0 EBADMM C[C@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)N(C)c1cnc(F)cn1 ZINC001113657757 825968625 /nfs/dbraw/zinc/96/86/25/825968625.db2.gz VYGXPFMLOWWWQU-MRVPVSSYSA-N -1 1 346.326 -0.399 20 0 EBADMM O=C(C=C1CCC1)N1CC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001352890080 832379060 /nfs/dbraw/zinc/37/90/60/832379060.db2.gz BWQXUVOBCQKPHD-CYBMUJFWSA-N -1 1 346.387 -0.246 20 0 EBADMM CCOCC(=O)N[C@@H]1[C@H]2CN(Cc3cc(=O)n4[n-]ccc4n3)C[C@H]21 ZINC001113822614 826034614 /nfs/dbraw/zinc/03/46/14/826034614.db2.gz NLPVIRCHVPGPLI-ATCWAGBWSA-N -1 1 331.376 -0.395 20 0 EBADMM CC[C@H](SC)C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001114151679 826149944 /nfs/dbraw/zinc/14/99/44/826149944.db2.gz LLMPASRWHDGQTD-MIZYBKAJSA-N -1 1 325.438 -0.204 20 0 EBADMM CS[C@H](C)CC(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001114156067 826150583 /nfs/dbraw/zinc/15/05/83/826150583.db2.gz UJXAIIWJEDURKW-DNJQJEMRSA-N -1 1 325.438 -0.204 20 0 EBADMM COCCOCCC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001180279668 833068715 /nfs/dbraw/zinc/06/87/15/833068715.db2.gz JSBCJAFGLRQJEA-QWRGUYRKSA-N -1 1 327.385 -0.358 20 0 EBADMM Cc1csc2ncc(C(=O)N3CCO[C@H](c4nn[n-]n4)C3)c(=O)n12 ZINC001116480016 826622309 /nfs/dbraw/zinc/62/23/09/826622309.db2.gz DLKURDMUQRVRTN-VIFPVBQESA-N -1 1 347.360 -0.209 20 0 EBADMM CCOCCCNC(=O)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001116557668 826626041 /nfs/dbraw/zinc/62/60/41/826626041.db2.gz TYDHAODVLFKLEF-UHFFFAOYSA-N -1 1 325.413 -0.137 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@H](CO)CC1CCOCC1 ZINC001116802191 826643147 /nfs/dbraw/zinc/64/31/47/826643147.db2.gz KFXPTGBYHCRNOJ-CYBMUJFWSA-N -1 1 339.392 -0.532 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N[C@H](CO)CC(F)(F)F)ccnc1-2 ZINC001117385829 826737406 /nfs/dbraw/zinc/73/74/06/826737406.db2.gz UDLNBCHWKMAHOQ-ZETCQYMHSA-N -1 1 345.281 -0.290 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H](CN2CCCC2=O)C1 ZINC001118737792 826993623 /nfs/dbraw/zinc/99/36/23/826993623.db2.gz MKGAZXCFUHMAES-GFCCVEGCSA-N -1 1 334.376 -0.602 20 0 EBADMM O=C(CCN1C(=O)CCC1=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC001119055386 827053410 /nfs/dbraw/zinc/05/34/10/827053410.db2.gz UNUCBDRENSJPSV-UHFFFAOYSA-N -1 1 335.282 -0.338 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H](CO)Nc2ncnc3[nH]cnc32)c1[O-] ZINC001121454636 827377365 /nfs/dbraw/zinc/37/73/65/827377365.db2.gz LTOFEBMQMVYJSL-SSDOTTSWSA-N -1 1 332.324 -0.707 20 0 EBADMM CC(C)C[C@@]1(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)CCNC1=O ZINC001182526262 833241075 /nfs/dbraw/zinc/24/10/75/833241075.db2.gz YTWWCQPBHKMAQI-LBPRGKRZSA-N -1 1 329.382 -0.301 20 0 EBADMM CC(C)C[C@@]1(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)CCNC1=O ZINC001182526262 833241078 /nfs/dbraw/zinc/24/10/78/833241078.db2.gz YTWWCQPBHKMAQI-LBPRGKRZSA-N -1 1 329.382 -0.301 20 0 EBADMM O=C(CC[C@H]1NC(=S)N(c2ccccc2)C1=O)NCc1nn[n-]n1 ZINC001182858449 833263876 /nfs/dbraw/zinc/26/38/76/833263876.db2.gz SVAJCUXTCVNZEZ-SNVBAGLBSA-N -1 1 345.388 -0.114 20 0 EBADMM Cc1n[nH]c(C(=O)NCCN[C@@H](C)C(=O)Nc2ncccn2)c1[O-] ZINC001125734432 828129662 /nfs/dbraw/zinc/12/96/62/828129662.db2.gz PJWJBHVCYJOKHM-VIFPVBQESA-N -1 1 333.352 -0.440 20 0 EBADMM CC[C@@](C)(O)CC(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001184269754 833325268 /nfs/dbraw/zinc/32/52/68/833325268.db2.gz SLBLAACOQFXIGZ-XHDPSFHLSA-N -1 1 340.380 -0.421 20 0 EBADMM COc1ccc2ncc(C(=O)NCCNCc3n[nH]c(=O)[n-]3)n2n1 ZINC001130435050 828835468 /nfs/dbraw/zinc/83/54/68/828835468.db2.gz SDNSJGYRHZULSR-UHFFFAOYSA-N -1 1 332.324 -0.919 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cccc(-n2ccnc2)n1 ZINC001130656074 828907473 /nfs/dbraw/zinc/90/74/73/828907473.db2.gz IIHAKUSROXPXSP-UHFFFAOYSA-N -1 1 328.336 -0.389 20 0 EBADMM Cc1noc(C)c1CNCCNC(=O)CCc1n[nH]c(=O)[n-]c1=O ZINC001130752221 828928364 /nfs/dbraw/zinc/92/83/64/828928364.db2.gz SGKBYYIIDRZWSA-UHFFFAOYSA-N -1 1 336.352 -0.274 20 0 EBADMM CN1CCN(C)[C@@H](C(=O)Nc2ccc3c(c2)S(=O)(=O)[N-]C3=O)C1 ZINC001185255561 833351904 /nfs/dbraw/zinc/35/19/04/833351904.db2.gz OQTIELOISRFDSG-LLVKDONJSA-N -1 1 338.389 -0.697 20 0 EBADMM CCO[C@H]1COCC[C@H]1CC(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001131497279 829146158 /nfs/dbraw/zinc/14/61/58/829146158.db2.gz UXYGBBNRBLXENY-QWRGUYRKSA-N -1 1 327.385 -0.452 20 0 EBADMM CCO[C@@H]1COCC[C@@H]1CC(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001131497277 829146184 /nfs/dbraw/zinc/14/61/84/829146184.db2.gz UXYGBBNRBLXENY-GHMZBOCLSA-N -1 1 327.385 -0.452 20 0 EBADMM O=C(COC[C@@H]1CCOC1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001186048127 833386883 /nfs/dbraw/zinc/38/68/83/833386883.db2.gz CTTCSXSNORSOGN-GHMZBOCLSA-N -1 1 325.369 -0.746 20 0 EBADMM CNC(=O)NC(=O)CN1C[C@@H](NC(=O)c2ncccc2[O-])CC[C@@H]1C ZINC001131972226 829287183 /nfs/dbraw/zinc/28/71/83/829287183.db2.gz QRUZQVWGXGKYNZ-QWRGUYRKSA-N -1 1 349.391 -0.175 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)CCc2ncc[nH]2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001132025561 829306849 /nfs/dbraw/zinc/30/68/49/829306849.db2.gz RHGKWZBAHXVZDN-NEPJUHHUSA-N -1 1 347.423 -0.067 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cc(N2CCCC2)ncn1 ZINC001133840352 829683578 /nfs/dbraw/zinc/68/35/78/829683578.db2.gz VEIUUCJXDMGVJK-UHFFFAOYSA-N -1 1 332.368 -0.580 20 0 EBADMM C[C@H](C[C@@H](C)NC(=O)CCS(C)(=O)=O)NCc1n[nH]c(=O)[n-]1 ZINC001134748191 829839421 /nfs/dbraw/zinc/83/94/21/829839421.db2.gz JSRDIFXWCYEASA-RKDXNWHRSA-N -1 1 333.414 -0.682 20 0 EBADMM C[C@@H](C[C@H](C)NC(=O)c1n[nH]c(=O)[n-]c1=O)NCc1nncn1C ZINC001134863609 829860584 /nfs/dbraw/zinc/86/05/84/829860584.db2.gz KJBTXBAAWJHGHK-YUMQZZPRSA-N -1 1 336.356 -0.902 20 0 EBADMM C[C@H](C[C@H](C)NCc1nncn1C)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001134863607 829860593 /nfs/dbraw/zinc/86/05/93/829860593.db2.gz KJBTXBAAWJHGHK-JGVFFNPUSA-N -1 1 336.356 -0.902 20 0 EBADMM CC[C@H](C)C(=O)NCC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001211147818 837401655 /nfs/dbraw/zinc/40/16/55/837401655.db2.gz VDMPUQJNBWSNMK-QWRGUYRKSA-N -1 1 338.412 -0.247 20 0 EBADMM C[C@@H](C[C@H](C)NC(=O)c1cn(C)ccc1=O)NCc1n[nH]c(=O)[n-]1 ZINC001134951323 829878529 /nfs/dbraw/zinc/87/85/29/829878529.db2.gz PVKYLJJMUKAUPD-UWVGGRQHSA-N -1 1 334.380 -0.104 20 0 EBADMM C[C@@H](C[C@H](C)NC(=O)c1cnc([O-])n(C)c1=O)NCc1ncccn1 ZINC001135175008 829914674 /nfs/dbraw/zinc/91/46/74/829914674.db2.gz GFDKJNXXMSMRSP-QWRGUYRKSA-N -1 1 346.391 -0.037 20 0 EBADMM C[C@H](C[C@@H](C)NC(=O)c1cnc([O-])n(C)c1=O)NCc1nncn1C ZINC001135174398 829914714 /nfs/dbraw/zinc/91/47/14/829914714.db2.gz CBVSGPNXVGJELC-NXEZZACHSA-N -1 1 349.395 -0.699 20 0 EBADMM O=C(CCc1nn[n-]n1)Nc1ccc(CN2C(=O)CNC2=O)cc1 ZINC001135216983 829924126 /nfs/dbraw/zinc/92/41/26/829924126.db2.gz MGZKGXLVRHUVHW-UHFFFAOYSA-N -1 1 329.320 -0.177 20 0 EBADMM C[C@@H](NC(=O)CC1CCCC1)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001135295071 829940796 /nfs/dbraw/zinc/94/07/96/829940796.db2.gz BLCPYPCAJJYUOB-SNVBAGLBSA-N -1 1 338.412 -0.199 20 0 EBADMM C[C@@H](C[C@H](C)NC(=O)Cn1c(=O)[n-][nH]c1=O)NCc1cnon1 ZINC001136035811 830069319 /nfs/dbraw/zinc/06/93/19/830069319.db2.gz QYDQPECSQIDAJO-YUMQZZPRSA-N -1 1 325.329 -0.855 20 0 EBADMM COCCOc1cncc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)c1 ZINC001136073599 830074702 /nfs/dbraw/zinc/07/47/02/830074702.db2.gz LXJCIMCMYHLQBO-UHFFFAOYSA-N -1 1 336.352 -0.550 20 0 EBADMM CCOCCOCCCC(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001138991324 830100692 /nfs/dbraw/zinc/10/06/92/830100692.db2.gz MPFPJTIELFMPHH-UHFFFAOYSA-N -1 1 327.385 -0.157 20 0 EBADMM Cn1ccc(CC(=O)NCCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])n1 ZINC001142082699 830119408 /nfs/dbraw/zinc/11/94/08/830119408.db2.gz TYRMNWKPVDXNSE-LBPRGKRZSA-N -1 1 348.363 -0.996 20 0 EBADMM Cc1cn(CC(=O)Nc2nc(N(C)C)[n-]c(=O)c2N=O)c(=O)[nH]c1=O ZINC001142313093 830126202 /nfs/dbraw/zinc/12/62/02/830126202.db2.gz GRHOGVPSGYOJRL-UHFFFAOYSA-N -1 1 349.307 -0.144 20 0 EBADMM C[C@@H](CS(C)(=O)=O)C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001211302233 837424088 /nfs/dbraw/zinc/42/40/88/837424088.db2.gz VSHANIHTCWXBGK-UWVGGRQHSA-N -1 1 345.425 -0.728 20 0 EBADMM CCOC(=O)c1cnc(NC(=O)CC[C@H](NC(C)=O)C(=O)OC)[n-]1 ZINC001144954065 830171621 /nfs/dbraw/zinc/17/16/21/830171621.db2.gz AKYWTYYOMKIXPL-VIFPVBQESA-N -1 1 340.336 -0.017 20 0 EBADMM CCOC(=O)c1c[n-]c(NC(=O)CC[C@H](NC(C)=O)C(=O)OC)n1 ZINC001144954065 830171623 /nfs/dbraw/zinc/17/16/23/830171623.db2.gz AKYWTYYOMKIXPL-VIFPVBQESA-N -1 1 340.336 -0.017 20 0 EBADMM CCCS(=O)(=O)CC(=O)N[C@H](C)C[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001146430762 830199000 /nfs/dbraw/zinc/19/90/00/830199000.db2.gz KZEWNLXHSOQOPI-NXEZZACHSA-N -1 1 347.441 -0.292 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCN[C@H](C)c1csnn1 ZINC001147698107 830394745 /nfs/dbraw/zinc/39/47/45/830394745.db2.gz FBLYUXJYVHDLAR-ZCFIWIBFSA-N -1 1 340.365 -0.172 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCNCc1nccc(C)n1 ZINC001147700058 830395739 /nfs/dbraw/zinc/39/57/39/830395739.db2.gz SNZMVDCKRVHPOH-UHFFFAOYSA-N -1 1 334.336 -0.486 20 0 EBADMM CCn1ncnc1CNCCNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001147700110 830397580 /nfs/dbraw/zinc/39/75/80/830397580.db2.gz UWDPLISDVDMLHL-UHFFFAOYSA-N -1 1 337.340 -0.973 20 0 EBADMM Cc1ncc(CO)c(C(=O)N2CC(N3CCN(C)C(=O)C3)C2)c1[O-] ZINC001147838604 830418576 /nfs/dbraw/zinc/41/85/76/830418576.db2.gz UQMOWADGCJDUQD-UHFFFAOYSA-N -1 1 334.376 -0.814 20 0 EBADMM COC(=O)c1ncc(=O)[nH]c1NC(=O)c1c(CO)cnc(C)c1[O-] ZINC001147843282 830419958 /nfs/dbraw/zinc/41/99/58/830419958.db2.gz DOWBXZNVQNEXFC-UHFFFAOYSA-N -1 1 334.288 -0.290 20 0 EBADMM CSCC[C@H](NC(=O)CCN1C(=O)CCC1=O)c1nn[n-]n1 ZINC001148261574 830462272 /nfs/dbraw/zinc/46/22/72/830462272.db2.gz XPZWVUXZRWICCV-QMMMGPOBSA-N -1 1 326.382 -0.351 20 0 EBADMM Nc1cn(C(=O)c2cc(S(=O)(=O)[O-])ccc2O)c(N)nc1=O ZINC001148932863 830558595 /nfs/dbraw/zinc/55/85/95/830558595.db2.gz BWHQRXKCRIDFJG-UHFFFAOYSA-N -1 1 326.290 -0.709 20 0 EBADMM CCCOCC(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149317213 830639597 /nfs/dbraw/zinc/63/95/97/830639597.db2.gz JTKVROGFKBWITA-LBPRGKRZSA-N -1 1 341.412 -0.758 20 0 EBADMM CCNC(=O)CN1CCCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001149574412 830700669 /nfs/dbraw/zinc/70/06/69/830700669.db2.gz XWQDGEGRIHGAAT-LBPRGKRZSA-N -1 1 336.392 -0.256 20 0 EBADMM CCC[C@H](C)N1C[C@H](C(=O)NCCNCc2n[nH]c(=O)[n-]2)CC1=O ZINC001152245363 831144432 /nfs/dbraw/zinc/14/44/32/831144432.db2.gz FCFVDPKQCKOTTN-WDEREUQCSA-N -1 1 338.412 -0.247 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)C1(C(=O)NC2CC2)CC1 ZINC001152717501 831184360 /nfs/dbraw/zinc/18/43/60/831184360.db2.gz RQVNFVDMMRDQII-UHFFFAOYSA-N -1 1 332.360 -0.070 20 0 EBADMM CC(C)(CNC(=O)CCn1cc[n-]c(=O)c1=O)CS(C)(=O)=O ZINC001154456355 831337412 /nfs/dbraw/zinc/33/74/12/831337412.db2.gz LWIXIJZJHDYTIP-UHFFFAOYSA-N -1 1 331.394 -0.886 20 0 EBADMM Cc1cn2nnc(C(=O)N3CCC[C@H](c4nn[n-]n4)C3)c2c(=O)[nH]1 ZINC001155085353 831384146 /nfs/dbraw/zinc/38/41/46/831384146.db2.gz JNFVPCJATFXXMB-QMMMGPOBSA-N -1 1 329.324 -0.329 20 0 EBADMM CNC(=O)Cc1noc(CNCCCNC(=O)c2ncccc2[O-])n1 ZINC001156989603 831522334 /nfs/dbraw/zinc/52/23/34/831522334.db2.gz CEUVQZGDMSHXEK-UHFFFAOYSA-N -1 1 348.363 -0.632 20 0 EBADMM Cn1cnc(C(=O)NCCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC001160081121 831812404 /nfs/dbraw/zinc/81/24/04/831812404.db2.gz GQXIDLHQWDJBCL-UHFFFAOYSA-N -1 1 346.395 -0.811 20 0 EBADMM Cc1nn[nH]c1C(=O)NCCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001160842576 831893523 /nfs/dbraw/zinc/89/35/23/831893523.db2.gz RHGLLUHICCKPRP-UHFFFAOYSA-N -1 1 346.395 -0.513 20 0 EBADMM COC(=O)[C@@H]1C[C@@H]1C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001163767107 832136254 /nfs/dbraw/zinc/13/62/54/832136254.db2.gz MECXXNWAMAQWBN-VHSXEESVSA-N -1 1 337.380 -0.305 20 0 EBADMM COC(=O)[C@H]1C[C@@H]1C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001163767106 832136494 /nfs/dbraw/zinc/13/64/94/832136494.db2.gz MECXXNWAMAQWBN-UWVGGRQHSA-N -1 1 337.380 -0.305 20 0 EBADMM Cc1cnc(CNCCCNC(=O)c2cnc([O-])n(C)c2=O)nc1 ZINC001163781392 832138387 /nfs/dbraw/zinc/13/83/87/832138387.db2.gz GZETVCCGANONLA-UHFFFAOYSA-N -1 1 332.364 -0.506 20 0 EBADMM Nc1nccnc1C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001163876435 832146993 /nfs/dbraw/zinc/14/69/93/832146993.db2.gz PFBWXVIRFWNAQA-UHFFFAOYSA-N -1 1 332.368 -0.428 20 0 EBADMM C[C@@H](C(=O)N1CC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1)C1CC1 ZINC001350484331 832170157 /nfs/dbraw/zinc/17/01/57/832170157.db2.gz KOKCAZGQZWKXJZ-DGCLKSJQSA-N -1 1 348.403 -0.310 20 0 EBADMM Cc1n[nH]c(C(=O)NCCC2(CNCc3nnnn3C)CC2)c1[O-] ZINC001164102298 832171461 /nfs/dbraw/zinc/17/14/61/832171461.db2.gz JYBGQODGYFNOCT-UHFFFAOYSA-N -1 1 334.384 -0.363 20 0 EBADMM CNC(=O)C1(C(=O)N(C)[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001350671335 832183864 /nfs/dbraw/zinc/18/38/64/832183864.db2.gz CAXMHZLCLQMBTJ-LLVKDONJSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@@]1(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)CCC(=O)N1 ZINC001167073178 832309375 /nfs/dbraw/zinc/30/93/75/832309375.db2.gz DIMUXKIOVLLIJQ-AWEZNQCLSA-N -1 1 336.396 -0.445 20 0 EBADMM COC[C@H](OC)C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167094893 832311830 /nfs/dbraw/zinc/31/18/30/832311830.db2.gz GIXKUXMRGUBTHW-JTQLQIEISA-N -1 1 327.385 -0.452 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)NCCC1(CNCc2ccon2)CC1 ZINC001167887960 832381150 /nfs/dbraw/zinc/38/11/50/832381150.db2.gz QYSOTHOOEKCNEJ-UHFFFAOYSA-N -1 1 336.352 -0.246 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2CCN(Cc3cccs3)CC2)CNCCO1 ZINC001353448415 832428664 /nfs/dbraw/zinc/42/86/64/832428664.db2.gz PYBGCFCATGIITI-OAHLLOKOSA-N -1 1 339.417 -0.165 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)NCCCNCc1nncs1 ZINC001168653642 832431143 /nfs/dbraw/zinc/43/11/43/832431143.db2.gz ZDMVEPALTCPCCZ-UHFFFAOYSA-N -1 1 348.392 -0.781 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C(=O)N1CC[C@@H](C)C1 ZINC001354345815 832479517 /nfs/dbraw/zinc/47/95/17/832479517.db2.gz ITDTVOJWBFXERW-GHMZBOCLSA-N -1 1 334.376 -0.110 20 0 EBADMM NC(=O)C1(C(=O)NC[C@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001354833111 832524311 /nfs/dbraw/zinc/52/43/11/832524311.db2.gz JELKZJBWOZZKAF-SNVBAGLBSA-N -1 1 332.360 -0.369 20 0 EBADMM C[C@@H](CCCCNCc1cnon1)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001171677039 832571455 /nfs/dbraw/zinc/57/14/55/832571455.db2.gz TWQFRFLMZAUOSK-QMMMGPOBSA-N -1 1 337.340 -0.256 20 0 EBADMM COCCCC(=O)N(C)CCNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001355758473 832581295 /nfs/dbraw/zinc/58/12/95/832581295.db2.gz HVLGCFCZZYJQNQ-UHFFFAOYSA-N -1 1 342.352 -0.489 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@@]2(C[C@@H]2C(=O)NCc2cccnc2)C1 ZINC001176841358 832694005 /nfs/dbraw/zinc/69/40/05/832694005.db2.gz XCJOTXZGQGCUEX-MLGOLLRUSA-N -1 1 341.375 -0.308 20 0 EBADMM COC[C@H](NC(=O)Cn1c(=O)cnc2ccccc21)c1nn[n-]n1 ZINC001177829015 832803458 /nfs/dbraw/zinc/80/34/58/832803458.db2.gz UMOZPTPUYOUYRT-JTQLQIEISA-N -1 1 329.320 -0.587 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)CCc1ncc[nH]1 ZINC001177997956 832812030 /nfs/dbraw/zinc/81/20/30/832812030.db2.gz HUXWBBJALYPNRS-NSHDSACASA-N -1 1 348.363 -0.616 20 0 EBADMM O=C(CCc1cnoc1)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001178408819 832862099 /nfs/dbraw/zinc/86/20/99/832862099.db2.gz KWLDYYLPKYASFV-LBPRGKRZSA-N -1 1 349.347 -0.351 20 0 EBADMM C[C@@H](CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C)NC(N)=O ZINC001178688666 832901613 /nfs/dbraw/zinc/90/16/13/832901613.db2.gz FEFDSPHTYMLYHL-CIUDSAMLSA-N -1 1 325.373 -0.964 20 0 EBADMM O=S(=O)(CC[N-]S(=O)(=O)c1nccs1)N1CCCC1 ZINC001187912143 833981513 /nfs/dbraw/zinc/98/15/13/833981513.db2.gz BUVUEUHBPXQRQK-UHFFFAOYSA-N -1 1 325.437 -0.153 20 0 EBADMM CC(=O)N[C@@H](CCCC[N-]S(=O)(=O)c1nccs1)C(N)=O ZINC001187912993 833981957 /nfs/dbraw/zinc/98/19/57/833981957.db2.gz RLZUSYLHAZNEHL-VIFPVBQESA-N -1 1 334.423 -0.418 20 0 EBADMM COC(=O)[C@@H](Cc1cncn1C)[N-]S(=O)(=O)c1nccs1 ZINC001187919113 833984147 /nfs/dbraw/zinc/98/41/47/833984147.db2.gz WVCHBYIODOIIOL-SECBINFHSA-N -1 1 330.391 -0.061 20 0 EBADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)CCC(=O)OC)C1CCOCC1 ZINC001188409897 834045073 /nfs/dbraw/zinc/04/50/73/834045073.db2.gz RNSVDKWOQGCYSS-LBPRGKRZSA-N -1 1 337.394 -0.173 20 0 EBADMM C[C@@H](OCC1CC1)C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001189232632 834138056 /nfs/dbraw/zinc/13/80/56/834138056.db2.gz QILDEGXZBNSUNY-DGCLKSJQSA-N -1 1 337.424 -0.044 20 0 EBADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1cnc(N2CCOCC2)nc1 ZINC001189379208 834148659 /nfs/dbraw/zinc/14/86/59/834148659.db2.gz JCJGYCADAMHEFD-UHFFFAOYSA-N -1 1 333.308 -0.651 20 0 EBADMM CN(CCS(C)(=O)=O)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001189769291 834188349 /nfs/dbraw/zinc/18/83/49/834188349.db2.gz HUUZBEOVNJHZDL-NSHDSACASA-N -1 1 327.406 -0.022 20 0 EBADMM CNS(=O)(=O)CCC[N-]S(=O)(=O)c1cc(OC)ns1 ZINC001213328112 837748146 /nfs/dbraw/zinc/74/81/46/837748146.db2.gz REEOIEPISBBSAT-UHFFFAOYSA-N -1 1 329.425 -0.631 20 0 EBADMM O=C(N[C@@H]1C[C@H](CO)[C@@H](O)[C@H]1O)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190628923 834304844 /nfs/dbraw/zinc/30/48/44/834304844.db2.gz FNGPYEZKPXVNIP-STRQVWJDSA-N -1 1 346.343 -0.923 20 0 EBADMM NS(=O)(=O)c1cc(C(=O)NCc2nnc[nH]2)c([O-])cc1Cl ZINC001191044349 834403973 /nfs/dbraw/zinc/40/39/73/834403973.db2.gz ATCOJQSUFXDSNM-UHFFFAOYSA-N -1 1 331.741 -0.259 20 0 EBADMM COc1cc(C(=O)N2CN[C@H](N)[C@@H]3NCN[C@@H]32)cc(Cl)c1[O-] ZINC001191157739 834426961 /nfs/dbraw/zinc/42/69/61/834426961.db2.gz BJNLGFCZNOXAIK-ZMLRMANQSA-N -1 1 327.772 -0.813 20 0 EBADMM CC(C)[C@@H](C)CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001191696767 834531796 /nfs/dbraw/zinc/53/17/96/834531796.db2.gz IXMQSJONCQMESR-QJPTWQEYSA-N -1 1 325.413 -0.548 20 0 EBADMM CCC(C)(C)CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001193247693 834823938 /nfs/dbraw/zinc/82/39/38/834823938.db2.gz LDZGUTZNAXOQFR-GHMZBOCLSA-N -1 1 325.413 -0.404 20 0 EBADMM CCOCCCC(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001194983713 835172757 /nfs/dbraw/zinc/17/27/57/835172757.db2.gz NRAXNISCVGQGNT-UHFFFAOYSA-N -1 1 325.413 -0.041 20 0 EBADMM CCOC(=O)CS(=O)(=O)[N-][C@@H](CCc1cn(C)cn1)C(=O)OC ZINC001196003706 835324567 /nfs/dbraw/zinc/32/45/67/835324567.db2.gz CSMHLQSUFNMTDT-NSHDSACASA-N -1 1 347.393 -0.623 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O)C(C)(F)F ZINC001196260692 835381047 /nfs/dbraw/zinc/38/10/47/835381047.db2.gz WMSIHXVBWDPOCZ-IWSPIJDZSA-N -1 1 333.339 -0.939 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)CCC(C)(C)C)C2)nc1=O ZINC001197074759 835501958 /nfs/dbraw/zinc/50/19/58/835501958.db2.gz UXOUGDAHMJQOPM-GHMZBOCLSA-N -1 1 325.413 -0.404 20 0 EBADMM COCCn1cc(C(=O)Nc2[nH]c(=O)[n-]c(=O)c2N=O)cnc1=O ZINC001197699518 835598345 /nfs/dbraw/zinc/59/83/45/835598345.db2.gz OZIIKPJFYFVNEM-UHFFFAOYSA-N -1 1 336.264 -0.259 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2[nH]nc3c2CC[C@@H]3C)C(=O)N1S(=O)(=O)[O-] ZINC001198452544 835685635 /nfs/dbraw/zinc/68/56/35/835685635.db2.gz YQRXUUJMWFCFDY-WATHFIQWSA-N -1 1 328.350 -0.409 20 0 EBADMM CSCCC(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001199795623 835861881 /nfs/dbraw/zinc/86/18/81/835861881.db2.gz CTFROJVSWVHPOJ-SNVBAGLBSA-N -1 1 329.426 -0.030 20 0 EBADMM CNC(=S)Nc1ncnc2c1ncn2CCOC[P@](=O)([O-])O ZINC001200599999 835994617 /nfs/dbraw/zinc/99/46/17/835994617.db2.gz KKZAMQRDGVQATM-UHFFFAOYSA-N -1 1 346.309 -0.106 20 0 EBADMM Cc1nc(Cl)sc1S(=O)(=O)[N-]C[C@H]1CNC(=O)CO1 ZINC001201763867 836191066 /nfs/dbraw/zinc/19/10/66/836191066.db2.gz GNJBNSWBWUQNLQ-ZCFIWIBFSA-N -1 1 325.799 -0.102 20 0 EBADMM COCC[C@@H](C)C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001202097647 836253875 /nfs/dbraw/zinc/25/38/75/836253875.db2.gz KCLLREJDYXCXAR-VXGBXAGGSA-N -1 1 341.412 -0.110 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202535247 836313819 /nfs/dbraw/zinc/31/38/19/836313819.db2.gz ZNKJQLLMASHYIE-MNOVXSKESA-N -1 1 336.396 -0.494 20 0 EBADMM CCNC(=O)CC(=O)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202796494 836349173 /nfs/dbraw/zinc/34/91/73/836349173.db2.gz WZGCUASEERUMEL-VHSXEESVSA-N -1 1 336.396 -0.447 20 0 EBADMM O=C(CCn1ccnn1)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202816011 836353040 /nfs/dbraw/zinc/35/30/40/836353040.db2.gz ZISJDCOPTPYWJD-MNOVXSKESA-N -1 1 346.395 -0.291 20 0 EBADMM NC(=O)C1(C(=O)N[C@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)CC1 ZINC001203338349 836422132 /nfs/dbraw/zinc/42/21/32/836422132.db2.gz ALXDVFPEASCICN-BDAKNGLRSA-N -1 1 334.380 -0.707 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CC[C@H]1CCOC1 ZINC001206864742 836682944 /nfs/dbraw/zinc/68/29/44/836682944.db2.gz QXZSIKWMQRUKHS-FRRDWIJNSA-N -1 1 337.424 -0.138 20 0 EBADMM CNC(=O)NC(=O)[C@H](C)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001206897880 836697699 /nfs/dbraw/zinc/69/76/99/836697699.db2.gz SYISBHYVPHGOQZ-OUAUKWLOSA-N -1 1 349.391 -0.319 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CCc1cncnc1 ZINC001208003269 836920958 /nfs/dbraw/zinc/92/09/58/836920958.db2.gz UKWHAPPHVNXHLB-DGCLKSJQSA-N -1 1 345.407 -0.532 20 0 EBADMM CC(C)C(=O)N(C)CC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001208263965 836965166 /nfs/dbraw/zinc/96/51/66/836965166.db2.gz GYPIFRZAENNCJF-LLVKDONJSA-N -1 1 338.412 -0.295 20 0 EBADMM CCC[C@@H](OC)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001208288810 836985664 /nfs/dbraw/zinc/98/56/64/836985664.db2.gz DSHSCXFZDNJVAG-IJLUTSLNSA-N -1 1 325.413 -0.140 20 0 EBADMM COC[C@@]1(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CCOC1 ZINC001208795400 837109933 /nfs/dbraw/zinc/10/99/33/837109933.db2.gz GTHYNLBUUOYKFG-ABAIWWIYSA-N -1 1 339.396 -0.356 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CCc1ncccn1 ZINC001209625625 837184572 /nfs/dbraw/zinc/18/45/72/837184572.db2.gz FWZCDIHYTYQKHK-VXGBXAGGSA-N -1 1 345.407 -0.532 20 0 EBADMM Cc1nn(CCO)c2ncc(C(=O)OCc3nc(=O)n(C)[n-]3)cc12 ZINC001320543289 838787626 /nfs/dbraw/zinc/78/76/26/838787626.db2.gz LKLCKYOKINARMB-UHFFFAOYSA-N -1 1 332.320 -0.489 20 0 EBADMM Cc1ccc(NC(=O)C(=O)NCc2nn[n-]n2)c(Br)n1 ZINC001320598411 838799452 /nfs/dbraw/zinc/79/94/52/838799452.db2.gz RAXJJLSSXBWEHW-UHFFFAOYSA-N -1 1 340.141 -0.079 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCCc1nnc2n1CCCC2 ZINC001320638019 838808856 /nfs/dbraw/zinc/80/88/56/838808856.db2.gz OTHPBOUYBKIQIW-UHFFFAOYSA-N -1 1 346.391 -0.397 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1cc(=O)c(OC)c[nH]1 ZINC001320791797 838838150 /nfs/dbraw/zinc/83/81/50/838838150.db2.gz UWBFYXNZCXEFLJ-UHFFFAOYSA-N -1 1 336.352 -0.541 20 0 EBADMM NS(=O)(=O)c1ccc(Cl)c(C(=O)[N-]N2CC(=O)NC2=O)c1 ZINC001320814011 838843326 /nfs/dbraw/zinc/84/33/26/838843326.db2.gz IRAVXYIWBQXELO-UHFFFAOYSA-N -1 1 332.725 -0.816 20 0 EBADMM O=C(c1cccc(-n2cccn2)n1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001322550842 839199840 /nfs/dbraw/zinc/19/98/40/839199840.db2.gz NMCKZWTZWIXYJL-UHFFFAOYSA-N -1 1 340.347 -0.096 20 0 EBADMM CCOCCN1CC[C@@](C)(NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001324656545 839696784 /nfs/dbraw/zinc/69/67/84/839696784.db2.gz MZNLCCQSLJBILL-MRXNPFEDSA-N -1 1 348.407 -0.312 20 0 EBADMM Cc1c(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)nnn1-c1ccn(C)n1 ZINC001324995415 839749510 /nfs/dbraw/zinc/74/95/10/839749510.db2.gz ALFUBLBBBXGXNG-SNVBAGLBSA-N -1 1 342.367 -0.158 20 0 EBADMM CC1(C)C(=O)N[C@H]1C1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001325073307 839769740 /nfs/dbraw/zinc/76/97/40/839769740.db2.gz JPKOTWUDKPNOQV-ZDUSSCGKSA-N -1 1 348.403 -0.310 20 0 EBADMM CC(C)N(C)C(=O)c1ccccc1NC(=O)C(=O)NCc1nn[n-]n1 ZINC001327085251 840297775 /nfs/dbraw/zinc/29/77/75/840297775.db2.gz RYJMLVSOTUGFRT-UHFFFAOYSA-N -1 1 345.363 -0.065 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H]2CC[C@@H](C1)[S@@]2=O ZINC001329102092 840842560 /nfs/dbraw/zinc/84/25/60/840842560.db2.gz BKEVFYZACCFRLK-NFULHVNHSA-N -1 1 325.390 -0.561 20 0 EBADMM O=C(Nc1ccnn1-c1ccccc1)C(=O)NN1CC(=O)[N-]C1=O ZINC001329109225 840843513 /nfs/dbraw/zinc/84/35/13/840843513.db2.gz HDWWLUOZKOQTLF-UHFFFAOYSA-N -1 1 328.288 -0.606 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCc4nccnc4C3)ccnc1-2 ZINC001329779998 841016839 /nfs/dbraw/zinc/01/68/39/841016839.db2.gz GMBDATBJINYTEJ-UHFFFAOYSA-N -1 1 337.343 -0.345 20 0 EBADMM C[C@H](O)CN(C)C(=O)C(=O)N1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC001329785530 841019214 /nfs/dbraw/zinc/01/92/14/841019214.db2.gz DXXAFEPOZRSQSI-QPUJVOFHSA-N -1 1 339.314 -0.505 20 0 EBADMM CC(C)C[C@H](C(N)=O)C(=O)N(C)C[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001331647129 841449050 /nfs/dbraw/zinc/44/90/50/841449050.db2.gz MCBCXMPWOSQQAS-VHSXEESVSA-N -1 1 326.401 -0.406 20 0 EBADMM O=C([O-])c1cccc(N2C(=O)C[C@H](NCCn3ncnn3)C2=O)c1 ZINC001332742591 841727090 /nfs/dbraw/zinc/72/70/90/841727090.db2.gz HVCCSZUDQOPMDI-NSHDSACASA-N -1 1 330.304 -0.707 20 0 EBADMM CO[N-]C(=O)CNC(=O)C(=O)N[C@@H]1C[C@@H](C)N(Cc2ccccc2)C1 ZINC001333446455 841880773 /nfs/dbraw/zinc/88/07/73/841880773.db2.gz KFFCZJFKFSQWMO-TZMCWYRMSA-N -1 1 348.403 -0.441 20 0 EBADMM O=C(N[C@@H](CO)CNC(=O)c1cccc2cccnc21)c1cnn[nH]1 ZINC001337720054 842676656 /nfs/dbraw/zinc/67/66/56/842676656.db2.gz UPVIGSXLDZBWMM-LLVKDONJSA-N -1 1 340.343 -0.126 20 0 EBADMM Cn1cnc(/C=C\C(=O)N2CCN([C@]3(C(=O)[O-])CCOC3)CC2)c1 ZINC001339916110 842952393 /nfs/dbraw/zinc/95/23/93/842952393.db2.gz ZGLIMYBBIVZMPK-RXYHWBRQSA-N -1 1 334.376 -0.179 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1ccc(Br)nc1 ZINC001340545414 843008245 /nfs/dbraw/zinc/00/82/45/843008245.db2.gz WAIILDWOXJUMHA-UHFFFAOYSA-N -1 1 326.114 -0.388 20 0 EBADMM CN(CC(=O)N(C)C1CCCCC1)C(=O)[C@]1(C(=O)[O-])CNCCO1 ZINC001342107484 843141354 /nfs/dbraw/zinc/14/13/54/843141354.db2.gz OTLFZGXASKENET-INIZCTEOSA-N -1 1 341.408 -0.321 20 0 EBADMM C[C@@H](N1CCN(C(=O)[C@@]2(C(=O)[O-])CNCCO2)CC1)C(F)(F)F ZINC001343444575 843237033 /nfs/dbraw/zinc/23/70/33/843237033.db2.gz KBYCOPZXHRBKIW-BXKDBHETSA-N -1 1 339.314 -0.475 20 0 EBADMM Cc1ccc(N2CCOCC2)cc1NC(=O)C(=O)NCc1nn[n-]n1 ZINC001344452908 843317769 /nfs/dbraw/zinc/31/77/69/843317769.db2.gz IOGSUTVYMKZMEA-UHFFFAOYSA-N -1 1 345.363 -0.400 20 0 EBADMM Cn1c(-c2nnn[n-]2)nnc1N1CCN(C(=O)c2ccco2)CC1 ZINC001345617224 843412336 /nfs/dbraw/zinc/41/23/36/843412336.db2.gz PLQCRBHZFMXNSM-UHFFFAOYSA-N -1 1 329.324 -0.449 20 0 EBADMM Cn1c(-c2nn[n-]n2)nnc1N1CCN(C(=O)c2ccco2)CC1 ZINC001345617224 843412337 /nfs/dbraw/zinc/41/23/37/843412337.db2.gz PLQCRBHZFMXNSM-UHFFFAOYSA-N -1 1 329.324 -0.449 20 0 EBADMM COC(=O)c1cc(C)sc1NC(=O)C(=O)NN1CC(=O)[N-]C1=O ZINC001346194814 843485786 /nfs/dbraw/zinc/48/57/86/843485786.db2.gz HHHABVSKJAWBDD-UHFFFAOYSA-N -1 1 340.317 -0.635 20 0 EBADMM CSCC[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)N(C)C ZINC001347516152 843623326 /nfs/dbraw/zinc/62/33/26/843623326.db2.gz WVMIVHLPAPVUSW-JTQLQIEISA-N -1 1 342.421 -0.747 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCN2CCOC[C@]2(CO)C1 ZINC001348441905 843698030 /nfs/dbraw/zinc/69/80/30/843698030.db2.gz LYHSQPWZPHIQCZ-CQSZACIVSA-N -1 1 340.405 -0.702 20 0 EBADMM O=C(COC1CCCC1)NCCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001348541620 843706668 /nfs/dbraw/zinc/70/66/68/843706668.db2.gz PINQYHMBWVYHKJ-UHFFFAOYSA-N -1 1 348.363 -0.777 20 0 EBADMM O=C(NCCNC(=O)[C@@H]1CCCS1(=O)=O)c1ncccc1[O-] ZINC001348839178 843756881 /nfs/dbraw/zinc/75/68/81/843756881.db2.gz SGNRBSGXYFYIHF-JTQLQIEISA-N -1 1 327.362 -0.790 20 0 EBADMM COCCN(C)CCNC(=O)C(=O)N1CC[C@H](C)[C@H](C(=O)[O-])C1 ZINC001349354030 843890423 /nfs/dbraw/zinc/89/04/23/843890423.db2.gz BUHAJXKTGJSRMT-NWDGAFQWSA-N -1 1 329.397 -0.750 20 0 EBADMM O=C(CC(=O)NCC1CC1)NCCCNC(=O)c1ncccc1[O-] ZINC001349941057 843951797 /nfs/dbraw/zinc/95/17/97/843951797.db2.gz XXPDONMPJFPXAZ-UHFFFAOYSA-N -1 1 334.376 -0.060 20 0 EBADMM Cc1nc(C(=O)N2CC(C(=O)[O-])C2)nn1-c1nnc(C(F)(F)F)[nH]1 ZINC001600683571 970846330 /nfs/dbraw/zinc/84/63/30/970846330.db2.gz RQGODSCPTNKMNX-UHFFFAOYSA-N -1 1 345.241 -0.131 20 0 EBADMM CC(=O)NC(C)(C)C(=O)N[C@H](C)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001573465229 945972019 /nfs/dbraw/zinc/97/20/19/945972019.db2.gz WKOWPLYOPLOBRQ-MRVPVSSYSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NC(C)(C)C(=O)N[C@H](C)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001573465229 945972023 /nfs/dbraw/zinc/97/20/23/945972023.db2.gz WKOWPLYOPLOBRQ-MRVPVSSYSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)CCNc1cnc(-c2nnn[n-]2)cn1 ZINC001573469740 946011445 /nfs/dbraw/zinc/01/14/45/946011445.db2.gz XHGLOKCCEUGUJN-QMMMGPOBSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)CCNc1cnc(-c2nn[n-]n2)cn1 ZINC001573469740 946011456 /nfs/dbraw/zinc/01/14/56/946011456.db2.gz XHGLOKCCEUGUJN-QMMMGPOBSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N1CC[C@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001573470360 946021818 /nfs/dbraw/zinc/02/18/18/946021818.db2.gz DEBWWNPRBFXTEP-SCZZXKLOSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N1CC[C@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001573470360 946021833 /nfs/dbraw/zinc/02/18/33/946021833.db2.gz DEBWWNPRBFXTEP-SCZZXKLOSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@@H](C)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001573478476 946147238 /nfs/dbraw/zinc/14/72/38/946147238.db2.gz WZLXJLUIGSITFS-RKDXNWHRSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@@H](C)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001573478476 946147244 /nfs/dbraw/zinc/14/72/44/946147244.db2.gz WZLXJLUIGSITFS-RKDXNWHRSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@H](C)CNc1nccnc1-c1nnn[n-]1 ZINC001573485483 946226414 /nfs/dbraw/zinc/22/64/14/946226414.db2.gz UCOVVWAZBQGYCQ-HTQZYQBOSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@H](C)CNc1nccnc1-c1nn[n-]n1 ZINC001573485483 946226426 /nfs/dbraw/zinc/22/64/26/946226426.db2.gz UCOVVWAZBQGYCQ-HTQZYQBOSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@H]1CCN(S(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)C1 ZINC001573496866 946333728 /nfs/dbraw/zinc/33/37/28/946333728.db2.gz FETVVALYRYKPRP-VIFPVBQESA-N -1 1 337.365 -0.839 20 0 EBADMM CC(=O)N[C@H]1CCN(S(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)C1 ZINC001573496866 946333744 /nfs/dbraw/zinc/33/37/44/946333744.db2.gz FETVVALYRYKPRP-VIFPVBQESA-N -1 1 337.365 -0.839 20 0 EBADMM CC(=O)NCC(=O)N1C[C@@H](Nc2nccnc2-c2nnn[n-]2)C[C@@H]1C ZINC001573502529 946387870 /nfs/dbraw/zinc/38/78/70/946387870.db2.gz WVTGEALWEMUWLI-WPRPVWTQSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N1C[C@@H](Nc2nccnc2-c2nn[n-]n2)C[C@@H]1C ZINC001573502529 946387884 /nfs/dbraw/zinc/38/78/84/946387884.db2.gz WVTGEALWEMUWLI-WPRPVWTQSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N1CCC[C@H]1CNc1ccc(-c2nnn[n-]2)nn1 ZINC001573505979 946426665 /nfs/dbraw/zinc/42/66/65/946426665.db2.gz MYPOBNGRHKZIEK-JTQLQIEISA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)NCC(=O)N1CCC[C@H]1CNc1ccc(-c2nn[n-]n2)nn1 ZINC001573505979 946426668 /nfs/dbraw/zinc/42/66/68/946426668.db2.gz MYPOBNGRHKZIEK-JTQLQIEISA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@H](Nc2nccnc2-c2nnn[n-]2)[C@@H]1C ZINC001573507235 946446078 /nfs/dbraw/zinc/44/60/78/946446078.db2.gz VXVBEEIKOGPUOB-WPRPVWTQSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@H](Nc2nccnc2-c2nn[n-]n2)[C@@H]1C ZINC001573507235 946446087 /nfs/dbraw/zinc/44/60/87/946446087.db2.gz VXVBEEIKOGPUOB-WPRPVWTQSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N1CCC[C@@H]1CNc1nccnc1-c1nnn[n-]1 ZINC001573509997 946469472 /nfs/dbraw/zinc/46/94/72/946469472.db2.gz UYNADVDGBSNSFO-SNVBAGLBSA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)NCC(=O)N1CCC[C@@H]1CNc1nccnc1-c1nn[n-]n1 ZINC001573509997 946469477 /nfs/dbraw/zinc/46/94/77/946469477.db2.gz UYNADVDGBSNSFO-SNVBAGLBSA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)[C@H](C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001573510351 946476677 /nfs/dbraw/zinc/47/66/77/946476677.db2.gz FSKFZVZPKLXTNK-YUMQZZPRSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)[C@H](C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001573510351 946476681 /nfs/dbraw/zinc/47/66/81/946476681.db2.gz FSKFZVZPKLXTNK-YUMQZZPRSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)NCC(=O)NC[C@H](Nc1cncc(-c2nnn[n-]2)n1)C1CC1 ZINC001573517444 946574343 /nfs/dbraw/zinc/57/43/43/946574343.db2.gz KDIJQEIOKYIEHF-JTQLQIEISA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)NC[C@H](Nc1cncc(-c2nn[n-]n2)n1)C1CC1 ZINC001573517444 946574351 /nfs/dbraw/zinc/57/43/51/946574351.db2.gz KDIJQEIOKYIEHF-JTQLQIEISA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)NCC1(Nc2ccc(-c3nnn[n-]3)nn2)CCC1 ZINC001573518123 946586515 /nfs/dbraw/zinc/58/65/15/946586515.db2.gz XTXXNJKTKQEQDS-UHFFFAOYSA-N -1 1 345.367 -0.756 20 0 EBADMM CC(=O)NCC(=O)NCC1(Nc2ccc(-c3nn[n-]n3)nn2)CCC1 ZINC001573518123 946586537 /nfs/dbraw/zinc/58/65/37/946586537.db2.gz XTXXNJKTKQEQDS-UHFFFAOYSA-N -1 1 345.367 -0.756 20 0 EBADMM CC(=O)NCC(=O)NC[C@H]1C[C@H](Nc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001573520100 946593005 /nfs/dbraw/zinc/59/30/05/946593005.db2.gz CYFWFQMYDBWLAA-MGCOHNPYSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)NC[C@H]1C[C@H](Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001573520100 946593016 /nfs/dbraw/zinc/59/30/16/946593016.db2.gz CYFWFQMYDBWLAA-MGCOHNPYSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)N[C@H]1C[C@@H](Nc2nc(C)cc(-c3nnn[n-]3)n2)C1 ZINC001573521887 946615630 /nfs/dbraw/zinc/61/56/30/946615630.db2.gz NAXGQESNUVPTRQ-AOOOYVTPSA-N -1 1 345.367 -0.840 20 0 EBADMM CC(=O)NCC(=O)N[C@H]1C[C@@H](Nc2nc(C)cc(-c3nn[n-]n3)n2)C1 ZINC001573521887 946615639 /nfs/dbraw/zinc/61/56/39/946615639.db2.gz NAXGQESNUVPTRQ-AOOOYVTPSA-N -1 1 345.367 -0.840 20 0 EBADMM C[C@H](C(=O)N(C)C)[N@@H+]1CC[C@H](NC(=O)c2c[nH]c(-c3nn[nH]n3)c2)C1 ZINC001573593613 947373363 /nfs/dbraw/zinc/37/33/63/947373363.db2.gz LAOBJAHEQJBLCR-KOLCDFICSA-N -1 1 346.395 -0.524 20 0 EBADMM C[C@@H](C(=O)N(C)C[C@@H](O)CNc1nccnc1-c1nnn[n-]1)C1CC1 ZINC001573594919 947410840 /nfs/dbraw/zinc/41/08/40/947410840.db2.gz FBMNXTGNOQJGEG-KOLCDFICSA-N -1 1 346.395 -0.066 20 0 EBADMM C[C@@H](C(=O)N(C)C[C@@H](O)CNc1nccnc1-c1nn[n-]n1)C1CC1 ZINC001573594919 947410846 /nfs/dbraw/zinc/41/08/46/947410846.db2.gz FBMNXTGNOQJGEG-KOLCDFICSA-N -1 1 346.395 -0.066 20 0 EBADMM C[C@@H](C(=O)N(CCO)CCNc1ccc(-c2nnn[n-]2)nn1)C1CC1 ZINC001573603821 947499750 /nfs/dbraw/zinc/49/97/50/947499750.db2.gz KNUJHBGUWTZLKQ-SNVBAGLBSA-N -1 1 346.395 -0.064 20 0 EBADMM C[C@@H](C(=O)N(CCO)CCNc1ccc(-c2nn[n-]n2)nn1)C1CC1 ZINC001573603821 947499760 /nfs/dbraw/zinc/49/97/60/947499760.db2.gz KNUJHBGUWTZLKQ-SNVBAGLBSA-N -1 1 346.395 -0.064 20 0 EBADMM C[C@@H](C(=O)N(CCO)CCNc1nccnc1-c1nnn[n-]1)C1CC1 ZINC001573607864 947555012 /nfs/dbraw/zinc/55/50/12/947555012.db2.gz SUVOZUZNXZFYCD-SNVBAGLBSA-N -1 1 346.395 -0.064 20 0 EBADMM C[C@@H](C(=O)N(CCO)CCNc1nccnc1-c1nn[n-]n1)C1CC1 ZINC001573607864 947555019 /nfs/dbraw/zinc/55/50/19/947555019.db2.gz SUVOZUZNXZFYCD-SNVBAGLBSA-N -1 1 346.395 -0.064 20 0 EBADMM C[C@@H](C(=O)N1CCC(CC(N)=O)CC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573619922 947674076 /nfs/dbraw/zinc/67/40/76/947674076.db2.gz XOGAWJVEXPFVGE-QMMMGPOBSA-N -1 1 333.356 -0.867 20 0 EBADMM C[C@@H](C(=O)N1CCN(C(=O)C2CC2)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573638099 947834210 /nfs/dbraw/zinc/83/42/10/947834210.db2.gz CVLBMOGMTNNPQX-QMMMGPOBSA-N -1 1 331.340 -0.943 20 0 EBADMM CC(=O)CCCC(=O)NC[C@@H](CO)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001573381363 947872446 /nfs/dbraw/zinc/87/24/46/947872446.db2.gz UBRSIGYWEGPCOP-JTQLQIEISA-N -1 1 348.367 -0.695 20 0 EBADMM CC(=O)CCCC(=O)NC[C@@H](CO)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001573381363 947872451 /nfs/dbraw/zinc/87/24/51/947872451.db2.gz UBRSIGYWEGPCOP-JTQLQIEISA-N -1 1 348.367 -0.695 20 0 EBADMM C[C@H](C(=O)N[C@H]([C@@H](CO)C1CC1)C1CC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573652051 947927749 /nfs/dbraw/zinc/92/77/49/947927749.db2.gz ACSGKUKXVYEEPS-ZHAHWJHGSA-N -1 1 346.395 -0.068 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)CN(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001573398125 947978238 /nfs/dbraw/zinc/97/82/38/947978238.db2.gz GUIXBDZNZFKRDY-SECBINFHSA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)CN(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001573398125 947978243 /nfs/dbraw/zinc/97/82/43/947978243.db2.gz GUIXBDZNZFKRDY-SECBINFHSA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)CN(C)c1nccnc1-c1nnn[n-]1 ZINC001573399519 947991547 /nfs/dbraw/zinc/99/15/47/947991547.db2.gz VYOICCINOAEGQF-VIFPVBQESA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)CN(C)c1nccnc1-c1nn[n-]n1 ZINC001573399519 947991552 /nfs/dbraw/zinc/99/15/52/947991552.db2.gz VYOICCINOAEGQF-VIFPVBQESA-N -1 1 347.383 -0.924 20 0 EBADMM O=C([O-])c1cccc2c1CCN(C(=O)NCC(=O)N1CCNCC1)C2 ZINC000328130867 971448293 /nfs/dbraw/zinc/44/82/93/971448293.db2.gz IQEAJNNJDFTZIH-UHFFFAOYSA-N -1 1 346.387 -0.116 20 0 EBADMM C[C@H](C(=O)N[C@H]1CC(=O)N(CC2CC2)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573663329 948034472 /nfs/dbraw/zinc/03/44/72/948034472.db2.gz LMMKFJBFBZETCA-SCZZXKLOSA-N -1 1 345.367 -0.854 20 0 EBADMM CC(=O)N(C)CC(=O)NCC[C@H](C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001573405734 948047246 /nfs/dbraw/zinc/04/72/46/948047246.db2.gz VJDAQNKVEWDKQL-VIFPVBQESA-N -1 1 347.383 -0.558 20 0 EBADMM CC(=O)N(C)CC(=O)NCC[C@H](C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001573405734 948047253 /nfs/dbraw/zinc/04/72/53/948047253.db2.gz VJDAQNKVEWDKQL-VIFPVBQESA-N -1 1 347.383 -0.558 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H]1C[C@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001573409497 948073944 /nfs/dbraw/zinc/07/39/44/948073944.db2.gz SLWXMGIYEDQFDU-MGCOHNPYSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H]1C[C@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001573409497 948073953 /nfs/dbraw/zinc/07/39/53/948073953.db2.gz SLWXMGIYEDQFDU-MGCOHNPYSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N1CCC(N(CCO)c2ccnc(-c3nn[n-]n3)n2)CC1 ZINC001573432465 948188052 /nfs/dbraw/zinc/18/80/52/948188052.db2.gz BZLXROHYGMLLRW-UHFFFAOYSA-N -1 1 332.368 -0.534 20 0 EBADMM CC(=O)N1CCC(NC(=O)[C@@H](C)n2cnc(-c3nn[n-]n3)n2)CC1 ZINC001573432513 948189259 /nfs/dbraw/zinc/18/92/59/948189259.db2.gz CVCGTGDLFDAHHD-MRVPVSSYSA-N -1 1 333.356 -0.854 20 0 EBADMM C[C@H](C(=O)NC[C@H](CO)Nc1ccc(-c2nnn[n-]2)nn1)C1CC1 ZINC001573681556 948208897 /nfs/dbraw/zinc/20/88/97/948208897.db2.gz WJCHIOMGYJNDQV-WCBMZHEXSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@H](C(=O)NC[C@H](CO)Nc1ccc(-c2nn[n-]n2)nn1)C1CC1 ZINC001573681556 948208906 /nfs/dbraw/zinc/20/89/06/948208906.db2.gz WJCHIOMGYJNDQV-WCBMZHEXSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@@H](C(=O)NC[C@H](CO)Nc1ccnc(-c2nn[n-]n2)n1)C(C)(C)C ZINC001573681950 948219718 /nfs/dbraw/zinc/21/97/18/948219718.db2.gz ZMEHWNPRYLRHIS-VHSXEESVSA-N -1 1 348.411 -0.350 20 0 EBADMM C[C@@H](C(=O)NCCN(C)c1ccc(-c2nnn[n-]2)nn1)n1cncn1 ZINC001573715540 948493061 /nfs/dbraw/zinc/49/30/61/948493061.db2.gz YMPFZDNTYJENIK-VIFPVBQESA-N -1 1 343.355 -0.938 20 0 EBADMM C[C@@H](C(=O)NCCN(C)c1ccc(-c2nn[n-]n2)nn1)n1cncn1 ZINC001573715540 948493066 /nfs/dbraw/zinc/49/30/66/948493066.db2.gz YMPFZDNTYJENIK-VIFPVBQESA-N -1 1 343.355 -0.938 20 0 EBADMM C[C@H](C(=O)NCCNc1nccnc1-c1nnn[n-]1)[C@H]1CCC(=O)N1 ZINC001573718401 948518369 /nfs/dbraw/zinc/51/83/69/948518369.db2.gz RHVBTYJNOSRLMU-DTWKUNHWSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@H](C(=O)NCCNc1nccnc1-c1nn[n-]n1)[C@H]1CCC(=O)N1 ZINC001573718401 948518375 /nfs/dbraw/zinc/51/83/75/948518375.db2.gz RHVBTYJNOSRLMU-DTWKUNHWSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@@H](C(=O)NCc1ncc2c(n1)CCC2)n1cnc(-c2nn[n-]n2)n1 ZINC001573727630 948555344 /nfs/dbraw/zinc/55/53/44/948555344.db2.gz AWUHSHQUZVUPAC-QMMMGPOBSA-N -1 1 340.351 -0.386 20 0 EBADMM C[C@@H](C(=O)NCc1ccnc(-c2nnn[n-]2)c1)[C@H](C)S(C)(=O)=O ZINC001573729705 948571995 /nfs/dbraw/zinc/57/19/95/948571995.db2.gz JFIFTVVLBOLVSX-BDAKNGLRSA-N -1 1 338.393 -0.053 20 0 EBADMM C[C@@H](C(=O)NCc1ccnc(-c2nn[n-]n2)c1)[C@H](C)S(C)(=O)=O ZINC001573729705 948571999 /nfs/dbraw/zinc/57/19/99/948571999.db2.gz JFIFTVVLBOLVSX-BDAKNGLRSA-N -1 1 338.393 -0.053 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1C[C@H]1C1CCOCC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573739793 948624447 /nfs/dbraw/zinc/62/44/47/948624447.db2.gz HPUDCVMFMLQPSR-INTQDDNPSA-N -1 1 332.368 -0.050 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CN(c2cncc(-c3nnn[n-]3)n2)C[C@@H]1O)C1CC1 ZINC001573740514 948635712 /nfs/dbraw/zinc/63/57/12/948635712.db2.gz KJDAILVEFLCNNS-FXAINCCUSA-N -1 1 344.379 -0.632 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CN(c2cncc(-c3nn[n-]n3)n2)C[C@@H]1O)C1CC1 ZINC001573740514 948635716 /nfs/dbraw/zinc/63/57/16/948635716.db2.gz KJDAILVEFLCNNS-FXAINCCUSA-N -1 1 344.379 -0.632 20 0 EBADMM O=C(N[C@H]1CCN(c2ccnc(-c3nn[n-]n3)n2)C1)c1ccn[nH]1 ZINC001570925766 948675478 /nfs/dbraw/zinc/67/54/78/948675478.db2.gz PVVCXDPFYSKQNT-QMMMGPOBSA-N -1 1 326.324 -0.612 20 0 EBADMM Cc1[nH]nc(NC(=O)C2(S(C)(=O)=O)CCC2)c1-c1nn[n-]n1 ZINC001570926075 948682126 /nfs/dbraw/zinc/68/21/26/948682126.db2.gz UORSUXWHKYIKOR-UHFFFAOYSA-N -1 1 325.354 -0.196 20 0 EBADMM Cn1nncc1CNS(=O)(=O)c1ccsc1-c1nn[n-]n1 ZINC001570926575 948692270 /nfs/dbraw/zinc/69/22/70/948692270.db2.gz AGHIHEHEANZLHY-UHFFFAOYSA-N -1 1 326.367 -0.465 20 0 EBADMM O=C(N[C@@H]1CCN(c2ccnc(-c3nn[n-]n3)n2)C1)c1cocn1 ZINC001570926614 948693569 /nfs/dbraw/zinc/69/35/69/948693569.db2.gz BWVJFSBVNSHRED-MRVPVSSYSA-N -1 1 327.308 -0.347 20 0 EBADMM O=C(N[C@H]1C[C@@H](Nc2nccnc2-c2nnn[n-]2)C1)c1cnon1 ZINC001570926722 948695289 /nfs/dbraw/zinc/69/52/89/948695289.db2.gz GQMAIVNUWHTZEA-KNVOCYPGSA-N -1 1 328.296 -0.587 20 0 EBADMM O=C(N[C@H]1C[C@@H](Nc2nccnc2-c2nn[n-]n2)C1)c1cnon1 ZINC001570926722 948695292 /nfs/dbraw/zinc/69/52/92/948695292.db2.gz GQMAIVNUWHTZEA-KNVOCYPGSA-N -1 1 328.296 -0.587 20 0 EBADMM Cc1[nH]c(-c2nn[nH]n2)cc1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001570928141 948726420 /nfs/dbraw/zinc/72/64/20/948726420.db2.gz NQZBFLDYYZEVLC-SECBINFHSA-N -1 1 330.312 -0.770 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)N1CC(n2cncn2)C1 ZINC001570928467 948733880 /nfs/dbraw/zinc/73/38/80/948733880.db2.gz XZLYNXQPLUAQCX-UHFFFAOYSA-N -1 1 327.308 -0.436 20 0 EBADMM O=C(N[C@@H]1CCN(c2cnc(-c3nnn[n-]3)cn2)C1)[C@@H]1CCCO1 ZINC001570928537 948735387 /nfs/dbraw/zinc/73/53/87/948735387.db2.gz RPUOPYODNWJJBJ-KOLCDFICSA-N -1 1 330.352 -0.469 20 0 EBADMM O=C(N[C@@H]1CCN(c2cnc(-c3nn[n-]n3)cn2)C1)[C@@H]1CCCO1 ZINC001570928537 948735391 /nfs/dbraw/zinc/73/53/91/948735391.db2.gz RPUOPYODNWJJBJ-KOLCDFICSA-N -1 1 330.352 -0.469 20 0 EBADMM C[C@H](C(=O)Nc1nc2n(n1)CCCC2)n1cnc(-c2nn[n-]n2)n1 ZINC001573755249 948757012 /nfs/dbraw/zinc/75/70/12/948757012.db2.gz NGTBLRICMQLUIL-SSDOTTSWSA-N -1 1 329.328 -0.414 20 0 EBADMM CNC(=O)Cc1nc(Cn2ccc(-c3nn[n-]n3)cc2=O)cs1 ZINC001570929424 948767418 /nfs/dbraw/zinc/76/74/18/948767418.db2.gz SNVBKNXFTRVXAL-UHFFFAOYSA-N -1 1 331.361 -0.178 20 0 EBADMM C[C@@H](C(=O)Nc1n[nH]cc1C(=O)N(C)C)n1cnc(-c2nn[n-]n2)n1 ZINC001573755639 948776587 /nfs/dbraw/zinc/77/65/87/948776587.db2.gz HLLDZWRJBYTJDB-LURJTMIESA-N -1 1 345.327 -0.917 20 0 EBADMM C[C@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)C1=COCCO1 ZINC001574679322 948778550 /nfs/dbraw/zinc/77/85/50/948778550.db2.gz SRKYUNRDILTWOZ-SECBINFHSA-N -1 1 346.351 -0.148 20 0 EBADMM C[C@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)C1=COCCO1 ZINC001574679322 948778559 /nfs/dbraw/zinc/77/85/59/948778559.db2.gz SRKYUNRDILTWOZ-SECBINFHSA-N -1 1 346.351 -0.148 20 0 EBADMM C[C@H]1CCCC[C@@H]1NC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570930098 948799252 /nfs/dbraw/zinc/79/92/52/948799252.db2.gz BPUWVOUKNDJVCV-WPRPVWTQSA-N -1 1 333.352 -0.176 20 0 EBADMM Cc1cc(-c2nn[n-]n2)c(=O)[nH]c1C(=O)NCC[C@@H]1CNC(=O)C1 ZINC001570930048 948799468 /nfs/dbraw/zinc/79/94/68/948799468.db2.gz ZQUXTNGWBJBWNV-QMMMGPOBSA-N -1 1 331.336 -0.468 20 0 EBADMM C[C@H](C(=O)Nc1ccc(OCCO)nc1)n1cnc(-c2nn[n-]n2)n1 ZINC001573756236 948806268 /nfs/dbraw/zinc/80/62/68/948806268.db2.gz OUQBVGHBSNSMHR-MRVPVSSYSA-N -1 1 345.323 -0.576 20 0 EBADMM COCC(=O)N1C[C@@H](CNc2ccc(-c3nnn[n-]3)nn2)[C@H](C)C1 ZINC001570930339 948810588 /nfs/dbraw/zinc/81/05/88/948810588.db2.gz HYCPBAVPOSXSRU-NXEZZACHSA-N -1 1 332.368 -0.191 20 0 EBADMM COCC(=O)N1C[C@@H](CNc2ccc(-c3nn[n-]n3)nn2)[C@H](C)C1 ZINC001570930339 948810606 /nfs/dbraw/zinc/81/06/06/948810606.db2.gz HYCPBAVPOSXSRU-NXEZZACHSA-N -1 1 332.368 -0.191 20 0 EBADMM C[C@@H](CNC(=O)CCc1cn[nH]c1)Nc1nccnc1-c1nnn[n-]1 ZINC001574917323 948814254 /nfs/dbraw/zinc/81/42/54/948814254.db2.gz WOVMMUOZHGZDSE-VIFPVBQESA-N -1 1 342.367 -0.071 20 0 EBADMM C[C@@H](CNC(=O)CCc1cn[nH]c1)Nc1nccnc1-c1nn[n-]n1 ZINC001574917323 948814270 /nfs/dbraw/zinc/81/42/70/948814270.db2.gz WOVMMUOZHGZDSE-VIFPVBQESA-N -1 1 342.367 -0.071 20 0 EBADMM CC(C)(C)C(=O)N[C@@H]1CN(c2cncc(-c3nnn[n-]3)n2)C[C@@H]1O ZINC001570930353 948815399 /nfs/dbraw/zinc/81/53/99/948815399.db2.gz ICCDAISPOFANEI-ZJUUUORDSA-N -1 1 332.368 -0.632 20 0 EBADMM CC(C)(C)C(=O)N[C@@H]1CN(c2cncc(-c3nn[n-]n3)n2)C[C@@H]1O ZINC001570930353 948815406 /nfs/dbraw/zinc/81/54/06/948815406.db2.gz ICCDAISPOFANEI-ZJUUUORDSA-N -1 1 332.368 -0.632 20 0 EBADMM C[C@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)[C@H]1COCCO1 ZINC001574682211 948828207 /nfs/dbraw/zinc/82/82/07/948828207.db2.gz QBEXRJPUNIRQAX-MWLCHTKSSA-N -1 1 348.367 -0.621 20 0 EBADMM C[C@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)[C@H]1COCCO1 ZINC001574682211 948828227 /nfs/dbraw/zinc/82/82/27/948828227.db2.gz QBEXRJPUNIRQAX-MWLCHTKSSA-N -1 1 348.367 -0.621 20 0 EBADMM O=C(Nc1ccnc(-c2nnn[n-]2)c1)N1C[C@H](CO)[C@H](CCO)C1 ZINC001570931233 948838803 /nfs/dbraw/zinc/83/88/03/948838803.db2.gz URRDQIRPOBSQPO-NXEZZACHSA-N -1 1 333.352 -0.284 20 0 EBADMM O=C(Nc1ccnc(-c2nn[n-]n2)c1)N1C[C@H](CO)[C@H](CCO)C1 ZINC001570931233 948838820 /nfs/dbraw/zinc/83/88/20/948838820.db2.gz URRDQIRPOBSQPO-NXEZZACHSA-N -1 1 333.352 -0.284 20 0 EBADMM CC(C)[C@H](O)CCNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC001574083868 948893218 /nfs/dbraw/zinc/89/32/18/948893218.db2.gz ZFKRLJZCOCHLFA-LLVKDONJSA-N -1 1 326.382 -0.053 20 0 EBADMM CC(C)[C@H](O)CCNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC001574083868 948893238 /nfs/dbraw/zinc/89/32/38/948893238.db2.gz ZFKRLJZCOCHLFA-LLVKDONJSA-N -1 1 326.382 -0.053 20 0 EBADMM CC(C)[C@]1(C)C[C@H]1C(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001574087732 948899389 /nfs/dbraw/zinc/89/93/89/948899389.db2.gz AERVUMOEARNYLQ-BONVTDFDSA-N -1 1 347.379 -0.073 20 0 EBADMM COc1ncc(NC(=O)N[C@H]2C[C@@H](O)[C@@H](O)C2)cc1-c1nn[n-]n1 ZINC001570933844 948914507 /nfs/dbraw/zinc/91/45/07/948914507.db2.gz UJRHILNPGPQFMI-DSIYZXSISA-N -1 1 335.324 -0.724 20 0 EBADMM C[C@@H]1CO[C@H](C(=O)N(C)CCCc2[nH]nc(N)c2-c2nnn[n-]2)C1 ZINC001570935150 948968152 /nfs/dbraw/zinc/96/81/52/948968152.db2.gz QAARPNOLXYTYQD-WPRPVWTQSA-N -1 1 334.384 -0.012 20 0 EBADMM C[C@@H]1CO[C@H](C(=O)N(C)CCCc2[nH]nc(N)c2-c2nn[n-]n2)C1 ZINC001570935150 948968157 /nfs/dbraw/zinc/96/81/57/948968157.db2.gz QAARPNOLXYTYQD-WPRPVWTQSA-N -1 1 334.384 -0.012 20 0 EBADMM CC(C)c1nnc(Sc2[nH]c(=O)n(C)c(=O)c2-c2nn[n-]n2)n1C ZINC001574435013 948988470 /nfs/dbraw/zinc/98/84/70/948988470.db2.gz OYZQZRVIRCVGOR-UHFFFAOYSA-N -1 1 349.380 -0.343 20 0 EBADMM O=C(Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)N1Cc2ccccc2C1 ZINC001570935620 948989621 /nfs/dbraw/zinc/98/96/21/948989621.db2.gz PRVODGGOKAQVTH-UHFFFAOYSA-N -1 1 339.315 -0.329 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)[C@@H](C)CC(N)=O ZINC001574690728 949007487 /nfs/dbraw/zinc/00/74/87/949007487.db2.gz QQEUAHDPNAHXQC-DTWKUNHWSA-N -1 1 347.383 -0.525 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)[C@@H](C)CC(N)=O ZINC001574690728 949007505 /nfs/dbraw/zinc/00/75/05/949007505.db2.gz QQEUAHDPNAHXQC-DTWKUNHWSA-N -1 1 347.383 -0.525 20 0 EBADMM C[C@H](F)CCNC(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001575245494 949022679 /nfs/dbraw/zinc/02/26/79/949022679.db2.gz CJAIEGKQGCZCBJ-ZETCQYMHSA-N -1 1 340.319 -0.824 20 0 EBADMM CC(C)CC[C@@H](CO)NC(=O)NCCn1cnc(-c2nn[n-]n2)n1 ZINC001570936295 949025463 /nfs/dbraw/zinc/02/54/63/949025463.db2.gz VLKJCBLLRGDQEA-JTQLQIEISA-N -1 1 337.388 -0.446 20 0 EBADMM CC(C)c1noc(CCNc2[nH]c(=O)n(C)c(=O)c2-c2nn[n-]n2)n1 ZINC001574440803 949039965 /nfs/dbraw/zinc/03/99/65/949039965.db2.gz VGTKFIKVTZVWPA-UHFFFAOYSA-N -1 1 347.339 -0.173 20 0 EBADMM Cn1cc(C(=O)N2CC[C@H](Nc3nccnc3-c3nnn[n-]3)C2)cn1 ZINC001570938327 949100547 /nfs/dbraw/zinc/10/05/47/949100547.db2.gz PUMWUEIXCJIIKM-JTQLQIEISA-N -1 1 340.351 -0.283 20 0 EBADMM Cn1cc(C(=O)N2CC[C@H](Nc3nccnc3-c3nn[n-]n3)C2)cn1 ZINC001570938327 949100556 /nfs/dbraw/zinc/10/05/56/949100556.db2.gz PUMWUEIXCJIIKM-JTQLQIEISA-N -1 1 340.351 -0.283 20 0 EBADMM O=C(Cn1ccnc1)N1CCN(c2cnc(-c3nn[n-]n3)cn2)CC1 ZINC001570938308 949102229 /nfs/dbraw/zinc/10/22/29/949102229.db2.gz PDAOFWDWUAKJPB-UHFFFAOYSA-N -1 1 340.351 -0.798 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)Cn1ccnc1 ZINC001574695398 949112729 /nfs/dbraw/zinc/11/27/29/949112729.db2.gz GZNCRZBTIPKAFP-JTQLQIEISA-N -1 1 342.367 -0.140 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)Cn1ccnc1 ZINC001574695398 949112740 /nfs/dbraw/zinc/11/27/40/949112740.db2.gz GZNCRZBTIPKAFP-JTQLQIEISA-N -1 1 342.367 -0.140 20 0 EBADMM CN(C)C(=O)c1ccc(NC(=O)Cn2cnc(-c3nn[n-]n3)n2)cn1 ZINC001570938918 949119837 /nfs/dbraw/zinc/11/98/37/949119837.db2.gz JIXYOJPIDOIRIN-UHFFFAOYSA-N -1 1 342.323 -0.806 20 0 EBADMM Cc1nonc1C(=O)N[C@H]1CCN(c2cncc(-c3nnn[n-]3)n2)C1 ZINC001570938921 949121625 /nfs/dbraw/zinc/12/16/25/949121625.db2.gz JLNOFQWCBFKEBR-QMMMGPOBSA-N -1 1 342.323 -0.643 20 0 EBADMM Cc1nonc1C(=O)N[C@H]1CCN(c2cncc(-c3nn[n-]n3)n2)C1 ZINC001570938921 949121639 /nfs/dbraw/zinc/12/16/39/949121639.db2.gz JLNOFQWCBFKEBR-QMMMGPOBSA-N -1 1 342.323 -0.643 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)c1cnon1 ZINC001574696035 949126093 /nfs/dbraw/zinc/12/60/93/949126093.db2.gz MBIFGVVSWIHQFJ-ZETCQYMHSA-N -1 1 330.312 -0.340 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)c1cnon1 ZINC001574696035 949126108 /nfs/dbraw/zinc/12/61/08/949126108.db2.gz MBIFGVVSWIHQFJ-ZETCQYMHSA-N -1 1 330.312 -0.340 20 0 EBADMM C[C@@H](CNC(=O)COCC1CC1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574932075 949129343 /nfs/dbraw/zinc/12/93/43/949129343.db2.gz UQPULBYLUKIKFT-VIFPVBQESA-N -1 1 332.368 0.000 20 0 EBADMM C[C@@H](CNC(=O)COCC1CC1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574932075 949129357 /nfs/dbraw/zinc/12/93/57/949129357.db2.gz UQPULBYLUKIKFT-VIFPVBQESA-N -1 1 332.368 0.000 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)[C@@H]1CCC(=O)N1 ZINC001574696422 949130210 /nfs/dbraw/zinc/13/02/10/949130210.db2.gz QBUXCXFQOLQXKK-IUCAKERBSA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)[C@@H]1CCC(=O)N1 ZINC001574696422 949130223 /nfs/dbraw/zinc/13/02/23/949130223.db2.gz QBUXCXFQOLQXKK-IUCAKERBSA-N -1 1 345.367 -0.758 20 0 EBADMM CC(C)CC(=O)N(CCO)CCNc1nccnc1-c1nnn[n-]1 ZINC001574098514 949162124 /nfs/dbraw/zinc/16/21/24/949162124.db2.gz BPTZOIOGECSQEJ-UHFFFAOYSA-N -1 1 334.384 -0.064 20 0 EBADMM CC(C)CC(=O)N(CCO)CCNc1nccnc1-c1nn[n-]n1 ZINC001574098514 949162144 /nfs/dbraw/zinc/16/21/44/949162144.db2.gz BPTZOIOGECSQEJ-UHFFFAOYSA-N -1 1 334.384 -0.064 20 0 EBADMM CC(C)CC(=O)N(C)C[C@H](O)CNc1cncc(-c2nnn[n-]2)n1 ZINC001574099166 949169665 /nfs/dbraw/zinc/16/96/65/949169665.db2.gz IPVVHJNTLVBSAU-SNVBAGLBSA-N -1 1 334.384 -0.066 20 0 EBADMM CC(C)CC(=O)N(C)C[C@H](O)CNc1cncc(-c2nn[n-]n2)n1 ZINC001574099166 949169673 /nfs/dbraw/zinc/16/96/73/949169673.db2.gz IPVVHJNTLVBSAU-SNVBAGLBSA-N -1 1 334.384 -0.066 20 0 EBADMM CC(C)CC(=O)N(C)C[C@H](O)CN(C)c1cncc(-c2nnn[n-]2)n1 ZINC001574100326 949195506 /nfs/dbraw/zinc/19/55/06/949195506.db2.gz VSUONUUDQRWTNM-LLVKDONJSA-N -1 1 348.411 -0.042 20 0 EBADMM CC(C)CC(=O)N(C)C[C@H](O)CN(C)c1cncc(-c2nn[n-]n2)n1 ZINC001574100326 949195518 /nfs/dbraw/zinc/19/55/18/949195518.db2.gz VSUONUUDQRWTNM-LLVKDONJSA-N -1 1 348.411 -0.042 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H](C)CC(N)=O)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574699222 949234572 /nfs/dbraw/zinc/23/45/72/949234572.db2.gz UJICPCVKUPCDKJ-RKDXNWHRSA-N -1 1 347.383 -0.573 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H](C)CC(N)=O)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574699222 949234592 /nfs/dbraw/zinc/23/45/92/949234592.db2.gz UJICPCVKUPCDKJ-RKDXNWHRSA-N -1 1 347.383 -0.573 20 0 EBADMM O=C([C@@H]1CCOC1)N1C[C@@H]2C[C@H]1CN2c1ccc(-c2nnn[n-]2)nn1 ZINC001570941679 949235888 /nfs/dbraw/zinc/23/58/88/949235888.db2.gz YZUMBYITEBEBJZ-VWYCJHECSA-N -1 1 342.363 -0.517 20 0 EBADMM O=C([C@@H]1CCOC1)N1C[C@@H]2C[C@H]1CN2c1ccc(-c2nn[n-]n2)nn1 ZINC001570941679 949235909 /nfs/dbraw/zinc/23/59/09/949235909.db2.gz YZUMBYITEBEBJZ-VWYCJHECSA-N -1 1 342.363 -0.517 20 0 EBADMM C[C@]1(O)CCC[N@H+](Cc2cc(=O)n3[n-]cc(-c4nn[n-]n4)c3n2)C1 ZINC001575857843 949277889 /nfs/dbraw/zinc/27/78/89/949277889.db2.gz WQWNBUUFVJHFFV-AWEZNQCLSA-N -1 1 330.352 -0.038 20 0 EBADMM C[C@]1(O)CCC[N@@H+](Cc2cc(=O)n3[n-]cc(-c4nn[n-]n4)c3n2)C1 ZINC001575857843 949277912 /nfs/dbraw/zinc/27/79/12/949277912.db2.gz WQWNBUUFVJHFFV-AWEZNQCLSA-N -1 1 330.352 -0.038 20 0 EBADMM Cn1c(=O)[nH]c(N2CCC[C@@H](c3cc[nH]n3)C2)c(-c2nn[n-]n2)c1=O ZINC001570942790 949308474 /nfs/dbraw/zinc/30/84/74/949308474.db2.gz RMXBKKATGKGNCV-MRVPVSSYSA-N -1 1 343.351 -0.639 20 0 EBADMM O=C(N[C@H]1C[C@H](Nc2ccnc(-c3nn[n-]n3)n2)C1)c1cncs1 ZINC001570942807 949308747 /nfs/dbraw/zinc/30/87/47/949308747.db2.gz ALIXZGJRGABJDZ-ZKCHVHJHSA-N -1 1 343.376 -0.092 20 0 EBADMM C[C@@H](NC(=O)C1=COCCO1)[C@@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001575259874 949339549 /nfs/dbraw/zinc/33/95/49/949339549.db2.gz RIHNNTZUSGEPKD-RKDXNWHRSA-N -1 1 346.351 -0.150 20 0 EBADMM C[C@@H](NC(=O)C1=COCCO1)[C@@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001575259874 949339566 /nfs/dbraw/zinc/33/95/66/949339566.db2.gz RIHNNTZUSGEPKD-RKDXNWHRSA-N -1 1 346.351 -0.150 20 0 EBADMM CSCC[C@H](NC(N)=O)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000378723327 971574946 /nfs/dbraw/zinc/57/49/46/971574946.db2.gz RXUAWEWUOQVXBI-IUCAKERBSA-N -1 1 329.382 -0.688 20 0 EBADMM CC(C)(C)C(=O)N[C@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C[C@H]1O ZINC001573799372 949368467 /nfs/dbraw/zinc/36/84/67/949368467.db2.gz LWGAVNDBNIPPBG-GXSJLCMTSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)(C)C(=O)N[C@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C[C@H]1O ZINC001573799372 949368487 /nfs/dbraw/zinc/36/84/87/949368487.db2.gz LWGAVNDBNIPPBG-GXSJLCMTSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)(C)C(=O)NC[C@@]1(O)CCN(c2ccnc(-c3nn[n-]n3)n2)C1 ZINC001573802556 949420450 /nfs/dbraw/zinc/42/04/50/949420450.db2.gz IINWLDGJZRJCQH-HNNXBMFYSA-N -1 1 346.395 -0.240 20 0 EBADMM C[C@H](CNC(=O)Cc1cnn(C)c1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574945773 949421143 /nfs/dbraw/zinc/42/11/43/949421143.db2.gz NWWYYNNYLBKECV-SECBINFHSA-N -1 1 342.367 -0.451 20 0 EBADMM C[C@H](CNC(=O)Cc1cnn(C)c1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574945773 949421152 /nfs/dbraw/zinc/42/11/52/949421152.db2.gz NWWYYNNYLBKECV-SECBINFHSA-N -1 1 342.367 -0.451 20 0 EBADMM O=C(CC1(n2cnnn2)CCOCC1)Nc1c[nH]nc1-c1nn[n-]n1 ZINC001570944898 949443918 /nfs/dbraw/zinc/44/39/18/949443918.db2.gz ZTSDBTJWSQFZOX-UHFFFAOYSA-N -1 1 345.327 -0.889 20 0 EBADMM C[C@@H](CNC(=O)Cn1ccnc1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574949035 949480705 /nfs/dbraw/zinc/48/07/05/949480705.db2.gz PTHDSQQTYDOZCA-VIFPVBQESA-N -1 1 328.340 -0.530 20 0 EBADMM O=C(N[C@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C1)[C@@H]1CCCCO1 ZINC001570945544 949488556 /nfs/dbraw/zinc/48/85/56/949488556.db2.gz DLQJFSIITVIVFT-JQWIXIFHSA-N -1 1 344.379 -0.079 20 0 EBADMM O=C(N[C@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C1)[C@@H]1CCCCO1 ZINC001570945544 949488575 /nfs/dbraw/zinc/48/85/75/949488575.db2.gz DLQJFSIITVIVFT-JQWIXIFHSA-N -1 1 344.379 -0.079 20 0 EBADMM Cc1cc(-c2nnn[n-]2)nc(N2C[C@@H](NC(=O)[C@@H]3C[C@H]3C)[C@@H](O)C2)n1 ZINC001570945585 949490192 /nfs/dbraw/zinc/49/01/92/949490192.db2.gz FDBAJDSMWNBMEE-QNKRVWAESA-N -1 1 344.379 -0.713 20 0 EBADMM Cc1cc(-c2nn[n-]n2)nc(N2C[C@@H](NC(=O)[C@@H]3C[C@H]3C)[C@@H](O)C2)n1 ZINC001570945585 949490200 /nfs/dbraw/zinc/49/02/00/949490200.db2.gz FDBAJDSMWNBMEE-QNKRVWAESA-N -1 1 344.379 -0.713 20 0 EBADMM C[C@H](CN(C)C(=O)CC(C)(C)O)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574710761 949511615 /nfs/dbraw/zinc/51/16/15/949511615.db2.gz BAWKWIUCVBAXCQ-SECBINFHSA-N -1 1 334.384 -0.502 20 0 EBADMM COC(=O)[C@@H]1O[C@@H](C(=O)NCc2ccnc(-c3nnn[n-]3)c2)C[C@H]1C ZINC001570946025 949519457 /nfs/dbraw/zinc/51/94/57/949519457.db2.gz IFVTUFRVXYXLHP-GGZOMVNGSA-N -1 1 346.347 -0.156 20 0 EBADMM COC(=O)[C@@H]1O[C@@H](C(=O)NCc2ccnc(-c3nn[n-]n3)c2)C[C@H]1C ZINC001570946025 949519472 /nfs/dbraw/zinc/51/94/72/949519472.db2.gz IFVTUFRVXYXLHP-GGZOMVNGSA-N -1 1 346.347 -0.156 20 0 EBADMM CCCC(=O)N1CCO[C@@H]([C@H](C)Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570946340 949529736 /nfs/dbraw/zinc/52/97/36/949529736.db2.gz LVKMVRRTMFOEBZ-WDEREUQCSA-N -1 1 346.395 -0.094 20 0 EBADMM C[C@@H](NC(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)c1ccc[nH]1 ZINC001570946492 949534875 /nfs/dbraw/zinc/53/48/75/949534875.db2.gz PKIBFELOWWGUSC-MRVPVSSYSA-N -1 1 344.335 -0.275 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1CCOC1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574711576 949547254 /nfs/dbraw/zinc/54/72/54/949547254.db2.gz JLMVRRLJUCHRNM-NXEZZACHSA-N -1 1 332.368 -0.048 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1CCOC1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574711576 949547271 /nfs/dbraw/zinc/54/72/71/949547271.db2.gz JLMVRRLJUCHRNM-NXEZZACHSA-N -1 1 332.368 -0.048 20 0 EBADMM CO[C@@H](C)C(=O)N1CC[C@H]2CN(c3ccc(-c4nnn[n-]4)nn3)C[C@H]21 ZINC001570946871 949547441 /nfs/dbraw/zinc/54/74/41/949547441.db2.gz RYENRXFHGRAJSS-JBLDHEPKSA-N -1 1 344.379 -0.271 20 0 EBADMM CO[C@@H](C)C(=O)N1CC[C@H]2CN(c3ccc(-c4nn[n-]n4)nn3)C[C@H]21 ZINC001570946871 949547456 /nfs/dbraw/zinc/54/74/56/949547456.db2.gz RYENRXFHGRAJSS-JBLDHEPKSA-N -1 1 344.379 -0.271 20 0 EBADMM O=C(c1cc(-c2nn[nH]n2)c[nH]1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001570946901 949551105 /nfs/dbraw/zinc/55/11/05/949551105.db2.gz SSCSVIMMVMUDMR-QMMMGPOBSA-N -1 1 344.339 -0.977 20 0 EBADMM C[C@@H](CNC(=O)[C@@H]1CCC(=O)N1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001574951263 949554565 /nfs/dbraw/zinc/55/45/65/949554565.db2.gz YBTHGVAKPKMOOY-BDAKNGLRSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@@H](CNC(=O)[C@@H]1CCC(=O)N1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001574951263 949554578 /nfs/dbraw/zinc/55/45/78/949554578.db2.gz YBTHGVAKPKMOOY-BDAKNGLRSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1CCOC1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574711963 949565334 /nfs/dbraw/zinc/56/53/34/949565334.db2.gz ORJDPYOIEWBJDR-VHSXEESVSA-N -1 1 332.368 -0.048 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1CCOC1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574711963 949565352 /nfs/dbraw/zinc/56/53/52/949565352.db2.gz ORJDPYOIEWBJDR-VHSXEESVSA-N -1 1 332.368 -0.048 20 0 EBADMM COCC(=O)N1CC[C@@]2(CCN(c3cncc(-c4nnn[n-]4)n3)C2)C1 ZINC001570947344 949567822 /nfs/dbraw/zinc/56/78/22/949567822.db2.gz XTOIKDMTZLGMHM-OAHLLOKOSA-N -1 1 344.379 -0.268 20 0 EBADMM COCC(=O)N1CC[C@@]2(CCN(c3cncc(-c4nn[n-]n4)n3)C2)C1 ZINC001570947344 949567856 /nfs/dbraw/zinc/56/78/56/949567856.db2.gz XTOIKDMTZLGMHM-OAHLLOKOSA-N -1 1 344.379 -0.268 20 0 EBADMM O=C(N1CCN(c2nccnc2-c2nnn[n-]2)CC1)[C@]1(F)CCOC1 ZINC001570948464 949605856 /nfs/dbraw/zinc/60/58/56/949605856.db2.gz GHRQTULYUKXLLE-AWEZNQCLSA-N -1 1 348.342 -0.566 20 0 EBADMM O=C(N1CCN(c2nccnc2-c2nn[n-]n2)CC1)[C@]1(F)CCOC1 ZINC001570948464 949605868 /nfs/dbraw/zinc/60/58/68/949605868.db2.gz GHRQTULYUKXLLE-AWEZNQCLSA-N -1 1 348.342 -0.566 20 0 EBADMM CCO[C@@H]1C[C@H]1C(=O)Nc1[nH]nc(N2CCOCC2)c1-c1nnn[n-]1 ZINC001570948366 949607971 /nfs/dbraw/zinc/60/79/71/949607971.db2.gz FDNHQIAFTLUBSJ-RKDXNWHRSA-N -1 1 348.367 -0.210 20 0 EBADMM CCO[C@@H]1C[C@H]1C(=O)Nc1[nH]nc(N2CCOCC2)c1-c1nn[n-]n1 ZINC001570948366 949607989 /nfs/dbraw/zinc/60/79/89/949607989.db2.gz FDNHQIAFTLUBSJ-RKDXNWHRSA-N -1 1 348.367 -0.210 20 0 EBADMM C[C@H](CN(C)C(=O)C1CCOCC1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574713860 949615044 /nfs/dbraw/zinc/61/50/44/949615044.db2.gz YQHVDLRHRHBDNY-SNVBAGLBSA-N -1 1 346.395 -0.236 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)N(C)c1nccnc1-c1nnn[n-]1 ZINC001574957523 949617869 /nfs/dbraw/zinc/61/78/69/949617869.db2.gz ACOFHTBHSAWITM-UKKRHICBSA-N -1 1 344.379 -0.510 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)N(C)c1nccnc1-c1nn[n-]n1 ZINC001574957523 949617885 /nfs/dbraw/zinc/61/78/85/949617885.db2.gz ACOFHTBHSAWITM-UKKRHICBSA-N -1 1 344.379 -0.510 20 0 EBADMM C[C@@H](CNC(=O)[C@]12C[C@H]1COC2)Nc1nccnc1-c1nnn[n-]1 ZINC001574957805 949631815 /nfs/dbraw/zinc/63/18/15/949631815.db2.gz ITAMWTUMQYLEGX-FZNYLWTLSA-N -1 1 330.352 -0.390 20 0 EBADMM C[C@@H](CNC(=O)[C@]12C[C@H]1COC2)Nc1nccnc1-c1nn[n-]n1 ZINC001574957805 949631830 /nfs/dbraw/zinc/63/18/30/949631830.db2.gz ITAMWTUMQYLEGX-FZNYLWTLSA-N -1 1 330.352 -0.390 20 0 EBADMM Cc1ncsc1C(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570949067 949631973 /nfs/dbraw/zinc/63/19/73/949631973.db2.gz LPSYHFGXERXUGZ-UHFFFAOYSA-N -1 1 348.348 -0.676 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCc1nc2c(s1)COCC2 ZINC001570949336 949641048 /nfs/dbraw/zinc/64/10/48/949641048.db2.gz VCLSJRHPEMRWEQ-UHFFFAOYSA-N -1 1 347.364 -0.696 20 0 EBADMM C[C@@H](OCC1CC1)C(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570950299 949681242 /nfs/dbraw/zinc/68/12/42/949681242.db2.gz GTCUMMBLJFPZQX-MRVPVSSYSA-N -1 1 349.351 -0.940 20 0 EBADMM C[C@H](CN(C)C(=O)C[C@H]1CCCO1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574716130 949685960 /nfs/dbraw/zinc/68/59/60/949685960.db2.gz MYNFFVKWPLKPQU-GHMZBOCLSA-N -1 1 346.395 -0.094 20 0 EBADMM Cc1nc2c(c(=O)[nH]1)CN(S(=O)(=O)c1ccc(-c3nn[n-]n3)o1)C2 ZINC001570950695 949698076 /nfs/dbraw/zinc/69/80/76/949698076.db2.gz QWLAKLLJIPXHHN-UHFFFAOYSA-N -1 1 349.332 -0.032 20 0 EBADMM C[C@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001574959178 949710492 /nfs/dbraw/zinc/71/04/92/949710492.db2.gz ZWMXQAWIEITCNQ-DNJQJEMRSA-N -1 1 344.379 -0.510 20 0 EBADMM C[C@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001574959178 949710497 /nfs/dbraw/zinc/71/04/97/949710497.db2.gz ZWMXQAWIEITCNQ-DNJQJEMRSA-N -1 1 344.379 -0.510 20 0 EBADMM C[C@@H](NC(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)C1CCC1 ZINC001575277366 949750427 /nfs/dbraw/zinc/75/04/27/949750427.db2.gz QEAWYNZWNWJMOA-MRVPVSSYSA-N -1 1 333.352 -0.176 20 0 EBADMM C[C@H](CN(C)C(=O)CCCC(N)=O)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574718065 949757280 /nfs/dbraw/zinc/75/72/80/949757280.db2.gz RWNMXLVDOYYOCL-SECBINFHSA-N -1 1 347.383 -0.429 20 0 EBADMM C[C@H](CN(C)C(=O)CCCC(N)=O)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574718065 949757287 /nfs/dbraw/zinc/75/72/87/949757287.db2.gz RWNMXLVDOYYOCL-SECBINFHSA-N -1 1 347.383 -0.429 20 0 EBADMM CC(C)(C)[C@H](NS(C)(=O)=O)C(=O)Nc1n[nH]cc1-c1nnn[n-]1 ZINC001573825178 949861333 /nfs/dbraw/zinc/86/13/33/949861333.db2.gz LPPMEALDHRUTIM-SSDOTTSWSA-N -1 1 342.385 -0.508 20 0 EBADMM CC(C)(C)[C@H](NS(C)(=O)=O)C(=O)Nc1n[nH]cc1-c1nn[n-]n1 ZINC001573825178 949861356 /nfs/dbraw/zinc/86/13/56/949861356.db2.gz LPPMEALDHRUTIM-SSDOTTSWSA-N -1 1 342.385 -0.508 20 0 EBADMM C[C@H]1CCCN(c2[nH]c(=O)n(C)c(=O)c2-c2nn[n-]n2)[C@@H]1CCO ZINC001576177937 949869423 /nfs/dbraw/zinc/86/94/23/949869423.db2.gz DYIXZPIOOBVWGM-DTWKUNHWSA-N -1 1 335.368 -0.759 20 0 EBADMM C[C@]1(C(=O)N[C@@H]2CCN(c3cncc(-c4nnn[n-]4)n3)C2)CCOC1 ZINC001575570896 949949353 /nfs/dbraw/zinc/94/93/53/949949353.db2.gz WEUMSJVUHOVIJB-BMIGLBTASA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@]1(C(=O)N[C@@H]2CCN(c3cncc(-c4nn[n-]n4)n3)C2)CCOC1 ZINC001575570896 949949375 /nfs/dbraw/zinc/94/93/75/949949375.db2.gz WEUMSJVUHOVIJB-BMIGLBTASA-N -1 1 344.379 -0.222 20 0 EBADMM CCCNC(=O)C[NH+]1CCC(NC(=O)c2cc(C(=O)[O-])n[n-]2)CC1 ZINC001589676798 949997277 /nfs/dbraw/zinc/99/72/77/949997277.db2.gz CGDSIYIFMJZYMC-UHFFFAOYSA-N -1 1 337.380 -0.172 20 0 EBADMM C[C@@H]1CCN(C(=O)CCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)CCO1 ZINC001576181785 950020200 /nfs/dbraw/zinc/02/02/00/950020200.db2.gz JPFOSBGEHKVBFH-SECBINFHSA-N -1 1 349.351 -0.844 20 0 EBADMM C[C@@H](CN(C)C(=O)Cc1nnc[nH]1)Nc1nccnc1-c1nn[n-]n1 ZINC001574730731 950039982 /nfs/dbraw/zinc/03/99/82/950039982.db2.gz BFCXMNDJBXBWFS-QMMMGPOBSA-N -1 1 343.355 -0.724 20 0 EBADMM C[C@@H](CN(C)C(=O)Cc1cc[nH]n1)Nc1nccnc1-c1nn[n-]n1 ZINC001574731588 950057708 /nfs/dbraw/zinc/05/77/08/950057708.db2.gz XUEFHMGVBFGLPF-VIFPVBQESA-N -1 1 342.367 -0.119 20 0 EBADMM C[C@H](CN(C)C(=O)Cc1cc[nH]n1)Nc1nccnc1-c1nn[n-]n1 ZINC001574731587 950058905 /nfs/dbraw/zinc/05/89/05/950058905.db2.gz XUEFHMGVBFGLPF-SECBINFHSA-N -1 1 342.367 -0.119 20 0 EBADMM CC(C)(C)CC(=O)N[C@@H]1CN(c2cnc(-c3nnn[n-]3)cn2)C[C@@H]1O ZINC001573838861 950120068 /nfs/dbraw/zinc/12/00/68/950120068.db2.gz JPMMRBYVRMLAMT-MNOVXSKESA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)(C)CC(=O)N[C@@H]1CN(c2cnc(-c3nn[n-]n3)cn2)C[C@@H]1O ZINC001573838861 950120080 /nfs/dbraw/zinc/12/00/80/950120080.db2.gz JPMMRBYVRMLAMT-MNOVXSKESA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21)Nc1nccnc1-c1nnn[n-]1 ZINC001574733907 950124150 /nfs/dbraw/zinc/12/41/50/950124150.db2.gz GSBMXEABZGTVOQ-UKKRHICBSA-N -1 1 344.379 -0.192 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21)Nc1nccnc1-c1nn[n-]n1 ZINC001574733907 950124158 /nfs/dbraw/zinc/12/41/58/950124158.db2.gz GSBMXEABZGTVOQ-UKKRHICBSA-N -1 1 344.379 -0.192 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1C[C@H]1C(N)=O)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574742291 950278859 /nfs/dbraw/zinc/27/88/59/950278859.db2.gz NRASZMRIXUJPGE-YIZRAAEISA-N -1 1 345.367 -0.963 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1C[C@H]1C(N)=O)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574742291 950278877 /nfs/dbraw/zinc/27/88/77/950278877.db2.gz NRASZMRIXUJPGE-YIZRAAEISA-N -1 1 345.367 -0.963 20 0 EBADMM C[C@@H](CNC(=O)c1cnc[nH]c1=O)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574984601 950280022 /nfs/dbraw/zinc/28/00/22/950280022.db2.gz ZLXCTALCXRGAHC-ZETCQYMHSA-N -1 1 342.323 -0.617 20 0 EBADMM CC1(C(=O)NC[C@@H]2CN(c3nccnc3-c3nnn[n-]3)CCO2)CC1 ZINC001575594531 950339351 /nfs/dbraw/zinc/33/93/51/950339351.db2.gz KQKIOKUCTVQIEJ-SNVBAGLBSA-N -1 1 344.379 -0.222 20 0 EBADMM CC1(C(=O)NC[C@@H]2CN(c3nccnc3-c3nn[n-]n3)CCO2)CC1 ZINC001575594531 950339371 /nfs/dbraw/zinc/33/93/71/950339371.db2.gz KQKIOKUCTVQIEJ-SNVBAGLBSA-N -1 1 344.379 -0.222 20 0 EBADMM CC1(C(=O)N[C@@H]2CN(c3cncc(-c4nnn[n-]4)n3)C[C@@H]2O)CC1 ZINC001575604486 950445539 /nfs/dbraw/zinc/44/55/39/950445539.db2.gz BCCPHRSZKOSHEM-ZJUUUORDSA-N -1 1 330.352 -0.878 20 0 EBADMM CC1(C(=O)N[C@@H]2CN(c3cncc(-c4nn[n-]n4)n3)C[C@@H]2O)CC1 ZINC001575604486 950445554 /nfs/dbraw/zinc/44/55/54/950445554.db2.gz BCCPHRSZKOSHEM-ZJUUUORDSA-N -1 1 330.352 -0.878 20 0 EBADMM C[C@@H](CC(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)C1CC1 ZINC001574509781 950528854 /nfs/dbraw/zinc/52/88/54/950528854.db2.gz XGGUINSRBVTDAX-QMMMGPOBSA-N -1 1 333.352 -0.319 20 0 EBADMM C[C@H](CNC(=O)c1cc(F)c[nH]1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575001259 950628524 /nfs/dbraw/zinc/62/85/24/950628524.db2.gz JLDUBJMEOSMQRJ-SSDOTTSWSA-N -1 1 331.315 -0.224 20 0 EBADMM C[C@H](CN(C)C(=O)c1cnn(C)c1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574763976 950738753 /nfs/dbraw/zinc/73/87/53/950738753.db2.gz OFJDYUNHRPGNNS-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CN(C)C(=O)c1cnn(C)c1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574763976 950738774 /nfs/dbraw/zinc/73/87/74/950738774.db2.gz OFJDYUNHRPGNNS-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM CC(C)CCC(=O)NC[C@H](CO)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574182475 950755854 /nfs/dbraw/zinc/75/58/54/950755854.db2.gz WNFOHPSRZCWSOY-SNVBAGLBSA-N -1 1 334.384 -0.018 20 0 EBADMM CC(C)CCC(=O)NC[C@H](CO)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574182475 950755873 /nfs/dbraw/zinc/75/58/73/950755873.db2.gz WNFOHPSRZCWSOY-SNVBAGLBSA-N -1 1 334.384 -0.018 20 0 EBADMM C[C@H]1[C@@H](Nc2cncc(-c3nnn[n-]3)n2)CCN1C(=O)c1nc[nH]n1 ZINC001575930018 950792600 /nfs/dbraw/zinc/79/26/00/950792600.db2.gz DEPSNCCFXMUNEM-YUMQZZPRSA-N -1 1 341.339 -0.510 20 0 EBADMM C[C@H]1[C@@H](Nc2cncc(-c3nn[n-]n3)n2)CCN1C(=O)c1nc[nH]n1 ZINC001575930018 950792622 /nfs/dbraw/zinc/79/26/22/950792622.db2.gz DEPSNCCFXMUNEM-YUMQZZPRSA-N -1 1 341.339 -0.510 20 0 EBADMM C[C@H]1CCc2n[nH]cc2[C@@H]1C(=O)NCCn1cnc(-c2nn[n-]n2)n1 ZINC001576220259 950824510 /nfs/dbraw/zinc/82/45/10/950824510.db2.gz WTNGPCZUFLMMKD-GZMMTYOYSA-N -1 1 342.367 -0.336 20 0 EBADMM CCOC(=O)C1CCC(O)(CN2CCN(C(=O)C(=O)[O-])CC2)CC1 ZINC001590208371 950884648 /nfs/dbraw/zinc/88/46/48/950884648.db2.gz JRYIFEXQNUNDDV-UHFFFAOYSA-N -1 1 342.392 -0.300 20 0 EBADMM C[C@H](CN(C)C(=O)c1ncn(C)n1)Nc1nccnc1-c1nnn[n-]1 ZINC001574768729 950899188 /nfs/dbraw/zinc/89/91/88/950899188.db2.gz WEEZEYBYQYKSEP-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CN(C)C(=O)c1ncn(C)n1)Nc1nccnc1-c1nn[n-]n1 ZINC001574768729 950899206 /nfs/dbraw/zinc/89/92/06/950899206.db2.gz WEEZEYBYQYKSEP-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CNC(=O)c1ccc(=O)[nH]n1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575017645 951006016 /nfs/dbraw/zinc/00/60/16/951006016.db2.gz IKHRBASWSNPKPN-SSDOTTSWSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@H](CNC(=O)c1ccc(=O)[nH]n1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575017645 951006039 /nfs/dbraw/zinc/00/60/39/951006039.db2.gz IKHRBASWSNPKPN-SSDOTTSWSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@H](CNC(=O)c1cccc(=O)[nH]1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575028441 951174056 /nfs/dbraw/zinc/17/40/56/951174056.db2.gz XEXPIIZCUPXKJU-MRVPVSSYSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@H](CNC(=O)c1cccc(=O)[nH]1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575028441 951174064 /nfs/dbraw/zinc/17/40/64/951174064.db2.gz XEXPIIZCUPXKJU-MRVPVSSYSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@H](CNC(=O)c1cccn1C)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575032139 951214692 /nfs/dbraw/zinc/21/46/92/951214692.db2.gz RUQXPPBFCYGQJD-JTQLQIEISA-N -1 1 341.379 -0.105 20 0 EBADMM C[C@@H](CNC(=O)c1ccncn1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575033895 951231555 /nfs/dbraw/zinc/23/15/55/951231555.db2.gz DOHCSMPWYUCYTF-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1ccncn1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575033895 951231563 /nfs/dbraw/zinc/23/15/63/951231563.db2.gz DOHCSMPWYUCYTF-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1ccncn1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575034600 951251099 /nfs/dbraw/zinc/25/10/99/951251099.db2.gz OJEPOSXZPLZQBZ-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1ccncn1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575034600 951251112 /nfs/dbraw/zinc/25/11/12/951251112.db2.gz OJEPOSXZPLZQBZ-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1ccn(C)n1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001575036513 951294772 /nfs/dbraw/zinc/29/47/72/951294772.db2.gz TZFSEZVFBBCYTP-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@@H](CNC(=O)c1ccn(C)n1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575036513 951294781 /nfs/dbraw/zinc/29/47/81/951294781.db2.gz TZFSEZVFBBCYTP-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)cn1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001575042634 951364997 /nfs/dbraw/zinc/36/49/97/951364997.db2.gz LJKJBEQRPSYQPY-VIFPVBQESA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)cn1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575042634 951365011 /nfs/dbraw/zinc/36/50/11/951365011.db2.gz LJKJBEQRPSYQPY-VIFPVBQESA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)cn1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575042595 951365883 /nfs/dbraw/zinc/36/58/83/951365883.db2.gz LBPYNPCQELUJIO-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1cn[nH]c1)CNc1nccnc1-c1nnn[n-]1 ZINC001575042774 951372110 /nfs/dbraw/zinc/37/21/10/951372110.db2.gz BNOOZOYPFQIJKM-QMMMGPOBSA-N -1 1 328.340 -0.142 20 0 EBADMM C[C@H](CNC(=O)c1cn[nH]c1)CNc1nccnc1-c1nn[n-]n1 ZINC001575042774 951372124 /nfs/dbraw/zinc/37/21/24/951372124.db2.gz BNOOZOYPFQIJKM-QMMMGPOBSA-N -1 1 328.340 -0.142 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)nn1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575043363 951390925 /nfs/dbraw/zinc/39/09/25/951390925.db2.gz REOAVTRXFFGHBL-QMMMGPOBSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)nn1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575043363 951390935 /nfs/dbraw/zinc/39/09/35/951390935.db2.gz REOAVTRXFFGHBL-QMMMGPOBSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@H](CNC(=O)c1cncn1C)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001575045568 951427614 /nfs/dbraw/zinc/42/76/14/951427614.db2.gz DLGJSWKPPLYOQP-VIFPVBQESA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@H](CNC(=O)c1cncn1C)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001575045568 951427620 /nfs/dbraw/zinc/42/76/20/951427620.db2.gz DLGJSWKPPLYOQP-VIFPVBQESA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@@H](CNC(=O)c1cncnc1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575045590 951430359 /nfs/dbraw/zinc/43/03/59/951430359.db2.gz DXWHUTSXNVXGCD-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@@H](CNC(=O)c1cncnc1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575045590 951430362 /nfs/dbraw/zinc/43/03/62/951430362.db2.gz DXWHUTSXNVXGCD-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1cncs1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575052624 951469275 /nfs/dbraw/zinc/46/92/75/951469275.db2.gz MTMAIKRFKCUOQP-SSDOTTSWSA-N -1 1 331.365 -0.235 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)n1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001575053758 951482908 /nfs/dbraw/zinc/48/29/08/951482908.db2.gz VHBFAXJYKRYNNV-MRVPVSSYSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)n1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575053758 951482913 /nfs/dbraw/zinc/48/29/13/951482913.db2.gz VHBFAXJYKRYNNV-MRVPVSSYSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@H](CNC(=O)c1cnns1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001575054722 951496079 /nfs/dbraw/zinc/49/60/79/951496079.db2.gz KINZKCXELYOFFK-ZCFIWIBFSA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@H](CNC(=O)c1cnns1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575054722 951496085 /nfs/dbraw/zinc/49/60/85/951496085.db2.gz KINZKCXELYOFFK-ZCFIWIBFSA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@@H](CNC(=O)c1cnnn1C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001575055206 951499527 /nfs/dbraw/zinc/49/95/27/951499527.db2.gz OBZCBFOSWFDETG-ZETCQYMHSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@@H](CNC(=O)c1cnnn1C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575055206 951499531 /nfs/dbraw/zinc/49/95/31/951499531.db2.gz OBZCBFOSWFDETG-ZETCQYMHSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@@H](CNC(=O)c1cnns1)CNc1nccnc1-c1nnn[n-]1 ZINC001575055068 951499567 /nfs/dbraw/zinc/49/95/67/951499567.db2.gz NDARETQMQKGTHY-SSDOTTSWSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@@H](CNC(=O)c1cnns1)CNc1nccnc1-c1nn[n-]n1 ZINC001575055068 951499572 /nfs/dbraw/zinc/49/95/72/951499572.db2.gz NDARETQMQKGTHY-SSDOTTSWSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@@H](CNC(=O)c1cocn1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575059260 951540189 /nfs/dbraw/zinc/54/01/89/951540189.db2.gz YHTIOYJATXCTIP-QMMMGPOBSA-N -1 1 329.324 -0.101 20 0 EBADMM C[C@H](CNC(=O)c1cscn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575061233 951554552 /nfs/dbraw/zinc/55/45/52/951554552.db2.gz LWAFFABVIMGSHO-SSDOTTSWSA-N -1 1 331.365 -0.235 20 0 EBADMM C[C@@H](CNC(=O)c1csnn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575061288 951558832 /nfs/dbraw/zinc/55/88/32/951558832.db2.gz MNJCTGRCEXAMGV-LURJTMIESA-N -1 1 332.353 -0.840 20 0 EBADMM C[C@H](CNC(=O)c1csnn1)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001575062805 951570486 /nfs/dbraw/zinc/57/04/86/951570486.db2.gz YTNXWJPBBQWSIS-ZETCQYMHSA-N -1 1 346.380 -0.592 20 0 EBADMM C[C@H](CNC(=O)c1ncn(C)n1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575067858 951625835 /nfs/dbraw/zinc/62/58/35/951625835.db2.gz PTHPLHYLPMBQLW-MRVPVSSYSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@H](CNC(=O)c1ncn(C)n1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575067858 951625840 /nfs/dbraw/zinc/62/58/40/951625840.db2.gz PTHPLHYLPMBQLW-MRVPVSSYSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])Nc1cnc(-c2nn[nH]n2)cn1 ZINC001575068236 951631937 /nfs/dbraw/zinc/63/19/37/951631937.db2.gz YILJXNWIJCJBPG-MRVPVSSYSA-N -1 1 341.335 -0.012 20 0 EBADMM O=C([O-])COCC(=O)N[C@H]1CCCN(CCN2CCOCC2)C1 ZINC001595108279 951899360 /nfs/dbraw/zinc/89/93/60/951899360.db2.gz QNYODKZVWKXHRL-ZDUSSCGKSA-N -1 1 329.397 -1.000 20 0 EBADMM C[C@@](CNc1ccc(-c2nnn[n-]2)nn1)(NC(=O)CC(N)=O)C1CC1 ZINC001575129272 952080316 /nfs/dbraw/zinc/08/03/16/952080316.db2.gz SIDCOCQUYDAOIS-AWEZNQCLSA-N -1 1 345.367 -0.771 20 0 EBADMM C[C@@](CNc1ccc(-c2nn[n-]n2)nn1)(NC(=O)CC(N)=O)C1CC1 ZINC001575129272 952080321 /nfs/dbraw/zinc/08/03/21/952080321.db2.gz SIDCOCQUYDAOIS-AWEZNQCLSA-N -1 1 345.367 -0.771 20 0 EBADMM C[C@@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)[C@H]1COC(=O)N1 ZINC001575132289 952092443 /nfs/dbraw/zinc/09/24/43/952092443.db2.gz FBUVQMGJAFUEIB-IONNQARKSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)[C@H]1COC(=O)N1 ZINC001575132289 952092453 /nfs/dbraw/zinc/09/24/53/952092453.db2.gz FBUVQMGJAFUEIB-IONNQARKSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)c1cnnn1C ZINC001575137056 952134602 /nfs/dbraw/zinc/13/46/02/952134602.db2.gz SPOSDJBUSAFWNS-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)c1cnnn1C ZINC001575137056 952134607 /nfs/dbraw/zinc/13/46/07/952134607.db2.gz SPOSDJBUSAFWNS-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1ncccn1 ZINC001575143728 952156502 /nfs/dbraw/zinc/15/65/02/952156502.db2.gz CIMGWFFWAYHIDA-SECBINFHSA-N -1 1 340.351 -0.559 20 0 EBADMM C[C@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)c1cn[nH]n1 ZINC001575155626 952242757 /nfs/dbraw/zinc/24/27/57/952242757.db2.gz OROWIBPSZBCXEA-SSDOTTSWSA-N -1 1 329.328 -0.653 20 0 EBADMM C[C@@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)[C@@H]1COCCO1 ZINC001575156721 952256634 /nfs/dbraw/zinc/25/66/34/952256634.db2.gz HTYLFLFGLVRKBS-ONGXEEELSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)[C@@H]1COCCO1 ZINC001575156721 952256638 /nfs/dbraw/zinc/25/66/38/952256638.db2.gz HTYLFLFGLVRKBS-ONGXEEELSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)c1cnon1 ZINC001575157220 952261896 /nfs/dbraw/zinc/26/18/96/952261896.db2.gz YGEISJSEYVISRE-SSDOTTSWSA-N -1 1 330.312 -0.388 20 0 EBADMM C[C@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)c1cnon1 ZINC001575157220 952261900 /nfs/dbraw/zinc/26/19/00/952261900.db2.gz YGEISJSEYVISRE-SSDOTTSWSA-N -1 1 330.312 -0.388 20 0 EBADMM O=C([O-])c1cc(C(=O)N2CCC[N@H+](CC(=O)N3CCCC3)CC2)[n-]n1 ZINC001595206712 952331685 /nfs/dbraw/zinc/33/16/85/952331685.db2.gz GUCRWXXGVFGYQO-UHFFFAOYSA-N -1 1 349.391 -0.122 20 0 EBADMM O=C([O-])c1cc(C(=O)N2CCC[N@@H+](CC(=O)N3CCCC3)CC2)[n-]n1 ZINC001595206712 952331693 /nfs/dbraw/zinc/33/16/93/952331693.db2.gz GUCRWXXGVFGYQO-UHFFFAOYSA-N -1 1 349.391 -0.122 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)[C@H]1COC(=O)N1 ZINC001575174047 952364145 /nfs/dbraw/zinc/36/41/45/952364145.db2.gz FYXRUSKRGHMNNL-JGVFFNPUSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)[C@H]1COC(=O)N1 ZINC001575174047 952364153 /nfs/dbraw/zinc/36/41/53/952364153.db2.gz FYXRUSKRGHMNNL-JGVFFNPUSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001575179448 952411781 /nfs/dbraw/zinc/41/17/81/952411781.db2.gz OZOVPSPUIVSQQT-UKKRHICBSA-N -1 1 344.379 -0.192 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001575179448 952411783 /nfs/dbraw/zinc/41/17/83/952411783.db2.gz OZOVPSPUIVSQQT-UKKRHICBSA-N -1 1 344.379 -0.192 20 0 EBADMM C[C@@](Cn1cccn1)(NC(=O)c1csc(-c2nn[n-]n2)c1)C(N)=O ZINC001575207945 952536986 /nfs/dbraw/zinc/53/69/86/952536986.db2.gz WWOYWYOPEDOBPZ-ZDUSSCGKSA-N -1 1 346.376 -0.201 20 0 EBADMM C[C@](Cn1cccn1)(NC(=O)c1ccc(-c2nnn[n-]2)o1)C(N)=O ZINC001575207993 952537448 /nfs/dbraw/zinc/53/74/48/952537448.db2.gz YMIYFUYVRXFGAC-CYBMUJFWSA-N -1 1 330.308 -0.670 20 0 EBADMM C[C@](Cn1cccn1)(NC(=O)c1ccc(-c2nn[n-]n2)o1)C(N)=O ZINC001575207993 952537452 /nfs/dbraw/zinc/53/74/52/952537452.db2.gz YMIYFUYVRXFGAC-CYBMUJFWSA-N -1 1 330.308 -0.670 20 0 EBADMM CCc1ncc(CNC(=O)C2(C(=O)[O-])CCS(=O)(=O)CC2)[nH]1 ZINC001593649748 953702374 /nfs/dbraw/zinc/70/23/74/953702374.db2.gz LHVAICHDMCKUBG-UHFFFAOYSA-N -1 1 329.378 -0.132 20 0 EBADMM O=C([O-])C(=O)N1CCN(C(=O)c2ccn(-c3ccncc3)n2)CC1 ZINC001594828324 953956618 /nfs/dbraw/zinc/95/66/18/953956618.db2.gz UZTSWMDBPWTDJT-UHFFFAOYSA-N -1 1 329.316 -0.364 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2CCC(O)(CN3CCOCC3)CC2)CCCO1 ZINC001594846382 954152311 /nfs/dbraw/zinc/15/23/11/954152311.db2.gz YCNKUPZMEPIXDY-MRXNPFEDSA-N -1 1 342.392 -0.694 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2CCC(O)(CN3CCOCC3)CC2)CCCO1 ZINC001594846381 954152355 /nfs/dbraw/zinc/15/23/55/954152355.db2.gz YCNKUPZMEPIXDY-INIZCTEOSA-N -1 1 342.392 -0.694 20 0 EBADMM CN(CCC(=O)[O-])C(=O)C(=O)N1CCN(CC2CCOCC2)CC1 ZINC001593731089 954317894 /nfs/dbraw/zinc/31/78/94/954317894.db2.gz DWTZXLLGKQXWCW-UHFFFAOYSA-N -1 1 341.408 -0.510 20 0 EBADMM C[C@H]1COCCN1C1CCN(C(=O)c2cn(CC(=O)[O-])nn2)CC1 ZINC001589408389 954488051 /nfs/dbraw/zinc/48/80/51/954488051.db2.gz FRDLFGSKOUAGAR-NSHDSACASA-N -1 1 337.380 -0.312 20 0 EBADMM O=C([O-])[C@H]1CC(=O)N(C2CCN(C(=O)CCc3c[nH]nn3)CC2)C1 ZINC001594896046 954567733 /nfs/dbraw/zinc/56/77/33/954567733.db2.gz LBIVBCKTHGDDLU-JTQLQIEISA-N -1 1 335.364 -0.339 20 0 EBADMM O=C([O-])[C@H]1CC(=O)N(C2CCN(C(=O)CCc3cnn[nH]3)CC2)C1 ZINC001594896046 954567741 /nfs/dbraw/zinc/56/77/41/954567741.db2.gz LBIVBCKTHGDDLU-JTQLQIEISA-N -1 1 335.364 -0.339 20 0 EBADMM CN1CCC[C@H](CNC(=O)C2(C(=O)[O-])CCS(=O)(=O)CC2)C1 ZINC001593779550 954628925 /nfs/dbraw/zinc/62/89/25/954628925.db2.gz PPQOMMLQZUANKB-LLVKDONJSA-N -1 1 332.422 -0.276 20 0 EBADMM CC(C)C[C@@H](CNC(=O)C(=O)NC[C@H]1CN(C)CCN1C)C(=O)[O-] ZINC001603004734 972055303 /nfs/dbraw/zinc/05/53/03/972055303.db2.gz KMKIQDXRESOTQO-STQMWFEESA-N -1 1 342.440 -0.789 20 0 EBADMM O=C([O-])[C@H]1CN(CN2C[C@]3(CN4CCC3CC4)OC2=O)CCO1 ZINC001594951541 954955857 /nfs/dbraw/zinc/95/58/57/954955857.db2.gz MAWIWHDLQBTWJK-DOMZBBRYSA-N -1 1 325.365 -0.354 20 0 EBADMM Cn1cc([C@@H]2C[C@@H](C(=O)[O-])CN(CC(=O)N3CCNC3=O)C2)cn1 ZINC001594540793 955642020 /nfs/dbraw/zinc/64/20/20/955642020.db2.gz ULNJFOWHNQGBSE-GHMZBOCLSA-N -1 1 335.364 -0.538 20 0 EBADMM C[C@@H](CNC(=O)c1cccn(CC(=O)[O-])c1=O)N1CCN(C)CC1 ZINC001589063620 955734600 /nfs/dbraw/zinc/73/46/00/955734600.db2.gz LOEFFHRDNMVSDT-LBPRGKRZSA-N -1 1 336.392 -0.701 20 0 EBADMM CO[C@](C)(C(=O)[O-])C(=O)N1CCC(O)(CN2CCOCC2)CC1 ZINC001593919753 956063506 /nfs/dbraw/zinc/06/35/06/956063506.db2.gz VAWWDSVILRBDLC-AWEZNQCLSA-N -1 1 330.381 -0.838 20 0 EBADMM CO[C@](C)(C(=O)[O-])C(=O)NC1CCN([C@H]2CCN(C)C2=O)CC1 ZINC001593925639 956120140 /nfs/dbraw/zinc/12/01/40/956120140.db2.gz ZSZXMCCXEDNAQS-NHYWBVRUSA-N -1 1 327.381 -0.713 20 0 EBADMM C[C@@]1(C(=O)[O-])CCN(C(=O)C(=O)NCCCCN2CCOCC2)C1 ZINC001589187952 956573813 /nfs/dbraw/zinc/57/38/13/956573813.db2.gz OQGNOQMCQWMMPC-MRXNPFEDSA-N -1 1 341.408 -0.462 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)NC2CCC(C(=O)[O-])CC2)C1 ZINC001594014394 957016131 /nfs/dbraw/zinc/01/61/31/957016131.db2.gz CLVWKMXWMFFAJF-BPCQOVAHSA-N -1 1 341.408 -0.417 20 0 EBADMM C[C@H](CN(C)C(=O)C(=O)N1CC[C@H]2[C@@H]1CCCN2CCO)C(=O)[O-] ZINC001603144607 972239937 /nfs/dbraw/zinc/23/99/37/972239937.db2.gz CIIRRYVWINCLRM-AGIUHOORSA-N -1 1 341.408 -0.777 20 0 EBADMM COCc1nc(CNC(=O)C(=O)Nc2ccccc2C(=O)[O-])n[nH]1 ZINC001594032978 957778205 /nfs/dbraw/zinc/77/82/05/957778205.db2.gz KVZIOVMFEYIHEN-UHFFFAOYSA-N -1 1 333.304 -0.096 20 0 EBADMM COCc1nnc(CNC(=O)C(=O)Nc2ccccc2C(=O)[O-])[nH]1 ZINC001594032978 957778210 /nfs/dbraw/zinc/77/82/10/957778210.db2.gz KVZIOVMFEYIHEN-UHFFFAOYSA-N -1 1 333.304 -0.096 20 0 EBADMM C[C@@H](NC(=O)NCCOCCOCC(=O)[O-])[C@H]1CN(C)CCN1C ZINC001603232782 972357501 /nfs/dbraw/zinc/35/75/01/972357501.db2.gz XVWDAFXPMBIOFG-CHWSQXEVSA-N -1 1 346.428 -0.962 20 0 EBADMM CC(=O)NCCN(C(=O)CN(C)[C@@H]1CCC[C@H]1O)[C@H](C)C(=O)[O-] ZINC001588506926 958735352 /nfs/dbraw/zinc/73/53/52/958735352.db2.gz VPWVNZBAEMSTBM-RAIGVLPGSA-N -1 1 329.397 -0.731 20 0 EBADMM Cc1nc(C2CN(S(=O)(=O)N3CCC(C(=O)[O-])CC3)C2)n[nH]1 ZINC001594451824 959379217 /nfs/dbraw/zinc/37/92/17/959379217.db2.gz MUPINCIYYKBGJJ-UHFFFAOYSA-N -1 1 329.382 -0.446 20 0 EBADMM CC(C)(C(=O)[O-])n1ccc(NC(=O)NCCN2CC[C@H](O)C2)n1 ZINC001588625117 959566921 /nfs/dbraw/zinc/56/69/21/959566921.db2.gz BDIQAPRMXBRRDZ-JTQLQIEISA-N -1 1 325.369 -0.109 20 0 EBADMM C[C@H](O)[C@H](O)C[N@H+]1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC001603266262 972458422 /nfs/dbraw/zinc/45/84/22/972458422.db2.gz SMLIRDXHBPNLLQ-RBXMUDONSA-N -1 1 328.287 -0.676 20 0 EBADMM CS(C)(=O)=NS(=O)(=O)N[C@@H]1CCCCN(CC(=O)[O-])C1=O ZINC001604948409 972519788 /nfs/dbraw/zinc/51/97/88/972519788.db2.gz KTIJGDXKVOGBQC-MRVPVSSYSA-N -1 1 341.411 -0.986 20 0 EBADMM Cn1cc(-c2[nH]ncc2C(=O)N2C[C@H]3COC[C@@]3(C(=O)[O-])C2)cn1 ZINC001574448571 961459458 /nfs/dbraw/zinc/45/94/58/961459458.db2.gz BKLRSTDQUJCWMV-BONVTDFDSA-N -1 1 331.332 -0.017 20 0 EBADMM CS(=O)(=O)CC1(CC(=O)N[C@H](Cc2cnc[nH]2)C(=O)[O-])CC1 ZINC001571186953 962218896 /nfs/dbraw/zinc/21/88/96/962218896.db2.gz ZJILXJNRTYZDBA-SNVBAGLBSA-N -1 1 329.378 -0.264 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2CCN([C@H]3CCNC(=O)CC3)CC2)CCCO1 ZINC001606560309 970350366 /nfs/dbraw/zinc/35/03/66/970350366.db2.gz SGRQWNKCHGZKHB-WBMJQRKESA-N -1 1 339.392 -0.567 20 0 EBADMM CN1CC[C@@]2(CCN(C(=O)C3(C(=O)[O-])CCS(=O)(=O)CC3)C2)C1 ZINC001604210082 972684731 /nfs/dbraw/zinc/68/47/31/972684731.db2.gz KSUIJALACCUXGE-CQSZACIVSA-N -1 1 344.433 -0.180 20 0 EBADMM CN1CC[C@H]1CNC(=O)N1CCC(N2C[C@@H](C(=O)[O-])CC2=O)CC1 ZINC001604211135 972692440 /nfs/dbraw/zinc/69/24/40/972692440.db2.gz YWYAZDUQMVXXHM-AAEUAGOBSA-N -1 1 338.408 -0.202 20 0 EBADMM O=C([O-])C1(C(=O)N2CC[C@@H](c3nc[nH]n3)C2)CCS(=O)(=O)CC1 ZINC001605912222 972780524 /nfs/dbraw/zinc/78/05/24/972780524.db2.gz KHVWVNBIRGAEFY-SECBINFHSA-N -1 1 342.377 -0.600 20 0 EBADMM O=C([O-])C1(C(=O)N[C@@H]2CCCN(CCN3CCOCC3)C2)CC1 ZINC001605923189 972819590 /nfs/dbraw/zinc/81/95/90/972819590.db2.gz WOMFFSWIQBBTEM-CYBMUJFWSA-N -1 1 325.409 -0.236 20 0 EBADMM O=C([O-])[C@@H]1CS(=O)(=O)CCN1C(=O)[C@H]1CCCc2[nH]ncc21 ZINC001606053703 973159817 /nfs/dbraw/zinc/15/98/17/973159817.db2.gz IWCNHIWTJJGUOT-KWQFWETISA-N -1 1 327.362 -0.460 20 0 EBADMM O=C([O-])CN1CCC(NC(=O)NCCS(=O)(=O)C2CC2)CC1 ZINC001606240373 973513642 /nfs/dbraw/zinc/51/36/42/973513642.db2.gz JESWGHLZYKAGRR-UHFFFAOYSA-N -1 1 333.410 -0.588 20 0 EBADMM COC[C@]1(C(=O)[O-])CN(C(=O)CN2CCC(CO)CC2)CCO1 ZINC001604550458 973556066 /nfs/dbraw/zinc/55/60/66/973556066.db2.gz IOPNSNRJEAESHV-HNNXBMFYSA-N -1 1 330.381 -0.981 20 0 EBADMM COC[C@]1(C(=O)[O-])CN(C(=O)NCCN2CCCOCC2)CCO1 ZINC001604550765 973562042 /nfs/dbraw/zinc/56/20/42/973562042.db2.gz ORTOXGCHDQUALF-HNNXBMFYSA-N -1 1 345.396 -0.780 20 0 EBADMM O=C([O-])CNC(=O)COC(=O)CC1(N2CCOCC2)CCCC1 ZINC001606264990 973633858 /nfs/dbraw/zinc/63/38/58/973633858.db2.gz FUSUOHGDYLARNA-UHFFFAOYSA-N -1 1 328.365 -0.235 20 0 EBADMM Cn1cnc(C(=O)N2CCC[C@@H](NC(=O)C(F)(F)F)[C@H]2C(=O)[O-])n1 ZINC001605519417 973700766 /nfs/dbraw/zinc/70/07/66/973700766.db2.gz HJBGYTVCTYIGQV-RQJHMYQMSA-N -1 1 349.269 -0.449 20 0 EBADMM O=C([O-])CS(=O)(=O)CCNCCN1C(=O)Cc2ccccc21 ZINC001606296432 973701421 /nfs/dbraw/zinc/70/14/21/973701421.db2.gz FGTNETYLWMEJKC-UHFFFAOYSA-N -1 1 326.374 -0.335 20 0 EBADMM O=C([O-])c1cc(C(=O)N2CC[C@H](OCC[NH+]3CCOCC3)C2)[n-]n1 ZINC001606460800 973917010 /nfs/dbraw/zinc/91/70/10/973917010.db2.gz WZFUDXZBAWOCLP-NSHDSACASA-N -1 1 338.364 -0.329 20 0 EBADMM C[C@H](CN1CCN(C)CC1)NC(=O)NC[C@H]1CCO[C@H]1C(=O)[O-] ZINC001592615947 978578095 /nfs/dbraw/zinc/57/80/95/978578095.db2.gz OMKYYCBTSLLTKI-JHJVBQTASA-N -1 1 328.413 -0.589 20 0 EBADMM C[C@H](CNc1ccnc(C(=O)[O-])n1)N(C)C(=O)C1=NC(=O)N(C)C1 ZINC001592729720 979244942 /nfs/dbraw/zinc/24/49/42/979244942.db2.gz PRDFFZYLFYJYJA-MRVPVSSYSA-N -1 1 334.336 -0.392 20 0 EBADMM CC[C@H](O)Cn1cc(C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)nn1 ZINC001595331767 980575150 /nfs/dbraw/zinc/57/51/50/980575150.db2.gz KJLIIIGAPQBFLS-NSHDSACASA-N -1 1 339.396 -0.330 20 0 EBADMM CCN(C(=O)[C@H](C)N[C@@H](C(=O)[O-])C1CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001596128097 983471597 /nfs/dbraw/zinc/47/15/97/983471597.db2.gz RXWFHDJSOHQPGJ-MVWJERBFSA-N -1 1 332.422 -0.137 20 0 EBADMM CCOC(=O)C1CCN(C(=O)CN2CC[C@](OC)(C(=O)[O-])C2)CC1 ZINC001596576385 984483802 /nfs/dbraw/zinc/48/38/02/984483802.db2.gz KSPSLKWSHBKCPY-MRXNPFEDSA-N -1 1 342.392 -0.036 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)CNC(=O)N1CCCC1 ZINC001594515864 985885426 /nfs/dbraw/zinc/88/54/26/985885426.db2.gz ROVFAJHIDMEHRX-LBPRGKRZSA-N -1 1 326.397 -0.201 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)Cc1nnn(C(C)(C)C)n1 ZINC001594516735 985914012 /nfs/dbraw/zinc/91/40/12/985914012.db2.gz RMKHHRZWVVQTTJ-LLVKDONJSA-N -1 1 338.412 -0.022 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NCCCc1nnnn1C ZINC001594519303 985950079 /nfs/dbraw/zinc/95/00/79/985950079.db2.gz RBGLTDJCXJYYQI-LLVKDONJSA-N -1 1 339.400 -0.667 20 0 EBADMM C[C@@H]1CN(CCCNC(=O)N2C[C@H](O)C[C@@H](C(=O)[O-])C2)C[C@@H](C)O1 ZINC001594529009 986108031 /nfs/dbraw/zinc/10/80/31/986108031.db2.gz SSXITRUWVZNRHH-AAVRWANBSA-N -1 1 343.424 -0.037 20 0 EBADMM C[C@H]1CN(CCNS(=O)(=O)c2cc(C(=O)[O-])no2)[C@@H](C)CO1 ZINC001594531993 986128179 /nfs/dbraw/zinc/12/81/79/986128179.db2.gz RPGSYMAJDWPMIK-IUCAKERBSA-N -1 1 333.366 -0.240 20 0 EBADMM C[C@@H]1CN(S(=O)(=O)c2n[n-]cc2C(=O)[O-])CC[N@@H+]1CC(C)(C)O ZINC001594555120 986282286 /nfs/dbraw/zinc/28/22/86/986282286.db2.gz JVIRRPXKENGDKW-SECBINFHSA-N -1 1 346.409 -0.426 20 0 EBADMM C[C@@H]1CN(S(=O)(=O)c2n[n-]cc2C(=O)[O-])CC[N@H+]1CC(C)(C)O ZINC001594555120 986282295 /nfs/dbraw/zinc/28/22/95/986282295.db2.gz JVIRRPXKENGDKW-SECBINFHSA-N -1 1 346.409 -0.426 20 0 EBADMM CCc1nc([C@@H](C)NC(=O)NCCC(=O)NCCC(=O)[O-])n[nH]1 ZINC001597656029 988705666 /nfs/dbraw/zinc/70/56/66/988705666.db2.gz JHZUBCSDSBPQTA-MRVPVSSYSA-N -1 1 326.357 -0.292 20 0 EBADMM CCc1ncc(NC(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)cn1 ZINC001597702449 988927949 /nfs/dbraw/zinc/92/79/49/988927949.db2.gz HKGKSCDQUGRUIC-UHFFFAOYSA-N -1 1 349.391 -0.015 20 0 EBADMM C[C@@H]1CN(S(=O)(=O)CCCN2CCN(C)CC2)C[C@@H](C(=O)[O-])O1 ZINC001599867739 989298324 /nfs/dbraw/zinc/29/83/24/989298324.db2.gz MWZLRBBIHWXZKH-OLZOCXBDSA-N -1 1 349.453 -0.872 20 0 EBADMM CC(C)(NC(=O)C(F)(F)F)C(=O)N1CCC(O)(C(=O)[O-])CC1 ZINC001590841197 990711498 /nfs/dbraw/zinc/71/14/98/990711498.db2.gz ZJHKPLJLVCQZDW-UHFFFAOYSA-N -1 1 326.271 -0.118 20 0 EBADMM COc1ccncc1NC(=O)C(=O)N1CCN(C)C[C@@H](C(=O)[O-])C1 ZINC001599722146 991557415 /nfs/dbraw/zinc/55/74/15/991557415.db2.gz XRDGQXGTBFKTRG-SNVBAGLBSA-N -1 1 336.348 -0.497 20 0 EBADMM CN(CC(=O)N1CCNCC1)S(=O)(=O)[C@H]1CCCC[C@H]1C(=O)[O-] ZINC001598380349 991580362 /nfs/dbraw/zinc/58/03/62/991580362.db2.gz FJLGAWXAIMVCPY-NEPJUHHUSA-N -1 1 347.437 -0.677 20 0 EBADMM CN(CC(=O)N1CCNCC1)S(=O)(=O)c1ccccc1C(=O)[O-] ZINC001598380599 991584593 /nfs/dbraw/zinc/58/45/93/991584593.db2.gz JUGJWZVXPUICIJ-UHFFFAOYSA-N -1 1 341.389 -0.563 20 0 EBADMM CN(CC(=O)N[C@H](C(=O)[O-])c1ccn(C)n1)c1ncnc2[nH]cnc21 ZINC001598384907 991600302 /nfs/dbraw/zinc/60/03/02/991600302.db2.gz NSNDOGSJQLEVGS-JTQLQIEISA-N -1 1 344.335 -0.535 20 0 EBADMM CN(CC(=O)N[C@@H](C[C@H]1CCCO1)C(=O)[O-])c1ncnc2[nH]cnc21 ZINC001598387851 991625206 /nfs/dbraw/zinc/62/52/06/991625206.db2.gz XEENROMYGXNBKM-ZJUUUORDSA-N -1 1 348.363 -0.072 20 0 EBADMM CN(CC(=O)Nc1cccc(C(=O)[O-])c1)CC(=O)N1CCNCC1 ZINC001598397871 991680312 /nfs/dbraw/zinc/68/03/12/991680312.db2.gz ZGRRUNZQESACRQ-UHFFFAOYSA-N -1 1 334.376 -0.313 20 0 EBADMM C[S@@](=O)(=NS(=O)(=O)NC[C@@H](O)CC(=O)[O-])c1ccccc1 ZINC001599743586 991896765 /nfs/dbraw/zinc/89/67/65/991896765.db2.gz IFOISMIIAMOXJA-LXGOIASLSA-N -1 1 336.391 -0.187 20 0 EBADMM CN(CCC(=O)[O-])S(=O)(=O)N(C)CCN1CC2(C1)CCOCC2 ZINC001598480971 992149552 /nfs/dbraw/zinc/14/95/52/992149552.db2.gz OQTOZAJCHXDHBO-UHFFFAOYSA-N -1 1 349.453 -0.318 20 0 EBADMM CN1CCC(C(=O)NC2(CC(=O)[O-])CCS(=O)(=O)CC2)CC1 ZINC001598580084 993328350 /nfs/dbraw/zinc/32/83/50/993328350.db2.gz FBTNFXDYCKWKHY-UHFFFAOYSA-N -1 1 332.422 -0.134 20 0 EBADMM CN1CCC[C@H]1C(=O)NCC1CC(Nc2ccnc(C(=O)[O-])n2)C1 ZINC001598594230 993480306 /nfs/dbraw/zinc/48/03/06/993480306.db2.gz PKEGNYFTACVNOH-MCIGGMRASA-N -1 1 333.392 -0.002 20 0 EBADMM CN1CCN(C(=O)C(=O)Nc2ccc3c(n2)CCC3)C[C@@H](C(=O)[O-])C1 ZINC001598603444 993602527 /nfs/dbraw/zinc/60/25/27/993602527.db2.gz HKFWPRQGYIZELI-LBPRGKRZSA-N -1 1 346.387 -0.016 20 0 EBADMM CN1CCN(C(=O)C(=O)Nc2ccc3nccn3c2)C[C@H](C(=O)[O-])C1 ZINC001598605140 993636447 /nfs/dbraw/zinc/63/64/47/993636447.db2.gz ZPQYGVOBOYCIDT-LLVKDONJSA-N -1 1 345.359 -0.252 20 0 EBADMM CN1CCN(C(=O)CS(=O)(=O)NC(C)(C)C)C[C@@H](C(=O)[O-])C1 ZINC001598608156 993712090 /nfs/dbraw/zinc/71/20/90/993712090.db2.gz ZJFIURYNPALMQE-JTQLQIEISA-N -1 1 335.426 -0.821 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)[C@H]2CCN(C)C(=O)C2)CC1 ZINC001598617736 993924260 /nfs/dbraw/zinc/92/42/60/993924260.db2.gz DYBNHDUHMQKHGI-ZDUSSCGKSA-N -1 1 340.424 -0.985 20 0 EBADMM CN1CCN(CCCS(=O)(=O)N2CCOC[C@H]2CC(=O)[O-])CC1 ZINC001598617854 993926477 /nfs/dbraw/zinc/92/64/77/993926477.db2.gz IWRPSWYEDOTRIZ-CYBMUJFWSA-N -1 1 349.453 -0.871 20 0 EBADMM CN1CCN(CCNC(=O)Nc2nc3n(c2C(=O)[O-])CCC3)CC1 ZINC001598618597 993945132 /nfs/dbraw/zinc/94/51/32/993945132.db2.gz NDNZVPMQWHCDNB-UHFFFAOYSA-N -1 1 336.396 -0.104 20 0 EBADMM CN1CCN(S(=O)(=O)[C@H]2CC(=O)N(C3CC3)C2)C[C@H](C(=O)[O-])C1 ZINC001598621718 994016320 /nfs/dbraw/zinc/01/63/20/994016320.db2.gz TWEORFFQMDEILQ-PWSUYJOCSA-N -1 1 345.421 -0.972 20 0 EBADMM C[C@@H](N[C@@H](C(=O)[O-])C1CC1)C(=O)N1CCN(c2ncccn2)CC1 ZINC001593190036 994117594 /nfs/dbraw/zinc/11/75/94/994117594.db2.gz KETLEIYILUKYIL-DGCLKSJQSA-N -1 1 333.392 -0.034 20 0 EBADMM CNS(=O)(=O)c1cccc([C@@H](C)N[C@H](C)C(=O)NCC(=O)[O-])c1 ZINC001598676422 994852204 /nfs/dbraw/zinc/85/22/04/994852204.db2.gz GSFJLXRDGIQJPL-NXEZZACHSA-N -1 1 343.405 -0.165 20 0 EBADMM C[C@](O)(CN1CCN(Cc2nnnn2-c2ccccc2)CC1)C(=O)[O-] ZINC001593396109 995136659 /nfs/dbraw/zinc/13/66/59/995136659.db2.gz GYQNTTFYAVMJAX-INIZCTEOSA-N -1 1 346.391 -0.385 20 0 EBADMM COC(=O)[C@@H]1CC[C@@H](C(=O)[O-])N(C(=O)CN(C)[C@@H]2CCC[C@H]2O)C1 ZINC001598780054 995973703 /nfs/dbraw/zinc/97/37/03/995973703.db2.gz YIBSPZUGVAMQIV-FVCCEPFGSA-N -1 1 342.392 -0.304 20 0 EBADMM COC(=O)C1CCC(NC(=O)CCc2nn[nH]n2)(C(=O)[O-])CC1 ZINC001598784092 996013584 /nfs/dbraw/zinc/01/35/84/996013584.db2.gz CHBHARDUIFZWPS-UHFFFAOYSA-N -1 1 325.325 -0.565 20 0 EBADMM COC(=O)[C@H]1CCN(C(=O)CN2CCC(CO)CC2)[C@@H](C(=O)[O-])C1 ZINC001598793534 996093566 /nfs/dbraw/zinc/09/35/66/996093566.db2.gz KWKNKPLOCOJFIF-QWHCGFSZSA-N -1 1 342.392 -0.445 20 0 EBADMM COC(=O)[C@@H]1CN(C)CCN(C(=O)N[C@H]2C[C@@H]3C[C@]3(C(=O)[O-])C2)C1 ZINC001598816133 996272238 /nfs/dbraw/zinc/27/22/38/996272238.db2.gz ATUUVQLHFAQRAI-YMEQNVIZSA-N -1 1 339.392 -0.014 20 0 EBADMM COC(=O)[C@H]1CN(C)CCN(C(=O)Nc2nn(C)cc2C(=O)[O-])C1 ZINC001598821354 996330504 /nfs/dbraw/zinc/33/05/04/996330504.db2.gz YYQFMFFAROVYFH-VIFPVBQESA-N -1 1 339.352 -0.313 20 0 EBADMM COC(=O)[C@@H]1CN(C)CCN(C(=O)Nc2nn(C)cc2C(=O)[O-])C1 ZINC001598821353 996330975 /nfs/dbraw/zinc/33/09/75/996330975.db2.gz YYQFMFFAROVYFH-SECBINFHSA-N -1 1 339.352 -0.313 20 0 EBADMM COC(=O)CC[C@@H](C(=O)[O-])N1CCN([C@H](C)C(=O)NC2CC2)CC1 ZINC001598848815 996586350 /nfs/dbraw/zinc/58/63/50/996586350.db2.gz SLRWZYUTMDVCSV-YPMHNXCESA-N -1 1 341.408 -0.323 20 0 EBADMM COC(=O)CN(CCN1CCN(C)CC1)C(=O)[C@H]1CC[C@H]1C(=O)[O-] ZINC001598862895 996689474 /nfs/dbraw/zinc/68/94/74/996689474.db2.gz LXOHMRRJVYGLJI-QWHCGFSZSA-N -1 1 341.408 -0.654 20 0 EBADMM COCc1cc(NC(=O)C(=O)NCCc2nc[nH]n2)cc(C(=O)[O-])c1 ZINC001599285300 996966268 /nfs/dbraw/zinc/96/62/68/996966268.db2.gz WVVWCJDZZJNHGQ-UHFFFAOYSA-N -1 1 347.331 -0.053 20 0 EBADMM CO[C@H]1CC[C@H](NC(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)C1 ZINC001599102923 997421111 /nfs/dbraw/zinc/42/11/11/997421111.db2.gz SHWQNEPLMZTKNI-RYUDHWBXSA-N -1 1 341.408 -0.323 20 0 EBADMM COCCNC(=O)[C@@H]1CC[C@@H](C)N(CC(=O)NCCC(=O)[O-])C1 ZINC001599243248 998087583 /nfs/dbraw/zinc/08/75/83/998087583.db2.gz ZMNMLMMUYBKYBP-VXGBXAGGSA-N -1 1 329.397 -0.560 20 0 EBADMM COCC[N@@H+]1CC[C@@H](NS(=O)(=O)c2n[n-]c(C)c2C(=O)[O-])C1 ZINC001599244077 998108218 /nfs/dbraw/zinc/10/82/18/998108218.db2.gz SSZUJYQVRLMTRQ-SECBINFHSA-N -1 1 332.382 -0.585 20 0 EBADMM COCC[N@H+]1CC[C@@H](NS(=O)(=O)c2n[n-]c(C)c2C(=O)[O-])C1 ZINC001599244077 998108220 /nfs/dbraw/zinc/10/82/20/998108220.db2.gz SSZUJYQVRLMTRQ-SECBINFHSA-N -1 1 332.382 -0.585 20 0 EBADMM COc1ccc(CNC(=O)C(=O)N2CCN[C@@H](C)C2)cc1C(=O)[O-] ZINC001599561764 998211464 /nfs/dbraw/zinc/21/14/64/998211464.db2.gz GFIQCEIPLHHJCK-JTQLQIEISA-N -1 1 335.360 -0.170 20 0 EBADMM COC[C@](C)(CC(=O)OC)NS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC001599151225 998302456 /nfs/dbraw/zinc/30/24/56/998302456.db2.gz QXZKWGMVIQOXAY-NSHDSACASA-N -1 1 336.322 -0.381 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)N(CCN2CCN(C)CC2)CC(=O)[O-])[C@H](C)O1 ZINC001594201029 998776426 /nfs/dbraw/zinc/77/64/26/998776426.db2.gz VJFCCIANHRKARG-HZSPNIEDSA-N -1 1 327.425 -0.040 20 0 EBADMM C[C@@H]1C[C@H](N2CCOCC2)CN1[C@H]1CCN(CCC(=O)[O-])C1=O ZINC001594249273 998952552 /nfs/dbraw/zinc/95/25/52/998952552.db2.gz HCEOUWVELDKPFZ-RDBSUJKOSA-N -1 1 325.409 -0.143 20 0 EBADMM C[C@@H]1CN(C(=O)C(=O)Nc2cnn(C(C)(C)C(=O)[O-])c2)[C@@H](C)CN1 ZINC001594458452 999665080 /nfs/dbraw/zinc/66/50/80/999665080.db2.gz HDBRDXBWLQMKOW-ZJUUUORDSA-N -1 1 337.380 -0.150 20 0 EBADMM C[C@H]1CN(C(=O)[C@H]2CC(c3cnn(C)c3)=NO2)CCN1CCC(=O)[O-] ZINC001594463663 999678747 /nfs/dbraw/zinc/67/87/47/999678747.db2.gz XUDZTMCJIXFXFZ-SMDDNHRTSA-N -1 1 349.391 -0.080 20 0 EBADMM C[C@H]1CN(C(=O)[C@@H]2CC(c3cnn(C)c3)=NO2)CCN1CCC(=O)[O-] ZINC001594463661 999678807 /nfs/dbraw/zinc/67/88/07/999678807.db2.gz XUDZTMCJIXFXFZ-FZMZJTMJSA-N -1 1 349.391 -0.080 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2CCCN2S(C)(=O)=O)CCN1CCC(=O)[O-] ZINC001594466333 999687768 /nfs/dbraw/zinc/68/77/68/999687768.db2.gz HAWUFILFQKQRIZ-VXGBXAGGSA-N -1 1 347.437 -0.582 20 0 EBADMM C[C@H]1CN(C(=O)Cc2ccn[nH]2)C[C@H]1Nc1ccnc(C(=O)[O-])n1 ZINC001594471664 999705319 /nfs/dbraw/zinc/70/53/19/999705319.db2.gz ZJHOSASMEXKYBR-GXSJLCMTSA-N -1 1 330.348 -0.179 20 0 EBADMM CN(CCNC(=O)c1cc2ccccc2nn1)Cc1nc(=O)n(C)[n-]1 ZINC001690966562 1125795311 /nfs/dbraw/zinc/79/53/11/1125795311.db2.gz KSYALNAOMXKEPF-UHFFFAOYSA-N -1 1 341.375 -0.087 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@H]1CCc2ncncc2C1 ZINC001691343253 1125852763 /nfs/dbraw/zinc/85/27/63/1125852763.db2.gz HZHNEHMRMPRXJT-JTQLQIEISA-N -1 1 331.380 -0.347 20 0 EBADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)c1ccc(=O)n(C)c1 ZINC001408554612 1125862342 /nfs/dbraw/zinc/86/23/42/1125862342.db2.gz WKTDQQHCNIJZDI-UHFFFAOYSA-N -1 1 330.344 -0.012 20 0 EBADMM Cc1ncc(CN(C)CCNC(=O)CCn2cc[n-]c(=O)c2=O)o1 ZINC001480924450 1125874675 /nfs/dbraw/zinc/87/46/75/1125874675.db2.gz QHQXJPFYCMKBHG-UHFFFAOYSA-N -1 1 335.364 -0.529 20 0 EBADMM C[C@H](CC(N)=O)C(=O)NCCN(C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001408648635 1125900549 /nfs/dbraw/zinc/90/05/49/1125900549.db2.gz SNIYIZHZOOFOIM-SECBINFHSA-N -1 1 349.391 -0.241 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)C[C@@H]3CCCOC3)C2)nc1=O ZINC001481122919 1125927042 /nfs/dbraw/zinc/92/70/42/1125927042.db2.gz DJSOMSCSUDPWEF-QWHCGFSZSA-N -1 1 337.424 -0.137 20 0 EBADMM Cc1nnccc1C(=O)NCCN(Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001481177343 1125934094 /nfs/dbraw/zinc/93/40/94/1125934094.db2.gz BVNAPDCDGVFWID-UHFFFAOYSA-N -1 1 331.380 -0.399 20 0 EBADMM CCN(CCNC(=O)[C@@H]1CCC(=O)NC1)C(=O)c1ncccc1[O-] ZINC001408765444 1125943745 /nfs/dbraw/zinc/94/37/45/1125943745.db2.gz XCVCUKNRIDFCSJ-LLVKDONJSA-N -1 1 334.376 -0.108 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)CCc3ccc(=O)[nH]c3)C2)nc1=O ZINC001481577740 1126017315 /nfs/dbraw/zinc/01/73/15/1126017315.db2.gz HTNKVEBKYHSWTD-UHFFFAOYSA-N -1 1 332.364 -0.858 20 0 EBADMM O=C(Cn1ncnn1)N(CCNC(=O)c1ncccc1[O-])C1CC1 ZINC001408910193 1126019179 /nfs/dbraw/zinc/01/91/79/1126019179.db2.gz NMRAXNZFDROFMZ-UHFFFAOYSA-N -1 1 331.336 -0.805 20 0 EBADMM O=C(N[C@@H]1CCN(CCOCC2CCC2)C1)c1n[nH]c(=O)[n-]c1=O ZINC001481703744 1126042573 /nfs/dbraw/zinc/04/25/73/1126042573.db2.gz ILEMLABLPBSFCT-LLVKDONJSA-N -1 1 337.380 -0.096 20 0 EBADMM C[C@H](CC(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)n1ccnc1 ZINC001481745411 1126050117 /nfs/dbraw/zinc/05/01/17/1126050117.db2.gz RYJGOEFFKPCNIC-OLZOCXBDSA-N -1 1 347.423 -0.011 20 0 EBADMM CN(C(=O)CCc1cncn1C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001481750932 1126051355 /nfs/dbraw/zinc/05/13/55/1126051355.db2.gz STOCHBMGGJDBER-ZDUSSCGKSA-N -1 1 347.423 -0.493 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)c1cnc2n1CCOC2 ZINC001482295645 1126121963 /nfs/dbraw/zinc/12/19/63/1126121963.db2.gz DNSNAAPLDFZNHQ-SECBINFHSA-N -1 1 335.368 -0.513 20 0 EBADMM CO[C@H](C(=O)NC1CN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCOC1 ZINC001409084216 1126189376 /nfs/dbraw/zinc/18/93/76/1126189376.db2.gz VOGHBSYMDAIEOO-YGRLFVJLSA-N -1 1 335.360 -0.221 20 0 EBADMM CC(C)[C@@H](CNC(=O)Cn1ccccc1=O)NCc1n[nH]c(=O)[n-]1 ZINC001482568156 1126198570 /nfs/dbraw/zinc/19/85/70/1126198570.db2.gz IZTZKPPBXUFZFQ-LLVKDONJSA-N -1 1 334.380 -0.397 20 0 EBADMM CN(CCOCCN(C)C(=O)c1cocn1)Cc1nc(=O)n(C)[n-]1 ZINC001691593581 1126323571 /nfs/dbraw/zinc/32/35/71/1126323571.db2.gz HPALDIBKJZIGRZ-UHFFFAOYSA-N -1 1 338.368 -0.683 20 0 EBADMM CC[C@H](CNC(=O)CCS(C)(=O)=O)NC(=O)c1ncccc1[O-] ZINC001409315767 1126341798 /nfs/dbraw/zinc/34/17/98/1126341798.db2.gz MTIYXFHRXVNUIG-SNVBAGLBSA-N -1 1 343.405 -0.154 20 0 EBADMM O=C(NC1(CNCc2n[nH]c(=O)[n-]2)CCCCC1)[C@@H]1COCCO1 ZINC001409760423 1126408041 /nfs/dbraw/zinc/40/80/41/1126408041.db2.gz HHJRWOAGWIAJNA-NSHDSACASA-N -1 1 339.396 -0.166 20 0 EBADMM Cc1nn2cccnc2c1C(=O)N[C@@H](C)CNCc1n[nH]c(=O)[n-]1 ZINC001483292974 1126414684 /nfs/dbraw/zinc/41/46/84/1126414684.db2.gz HEUGQAXIMYXZTA-QMMMGPOBSA-N -1 1 330.352 -0.230 20 0 EBADMM CCc1nnsc1C(=O)N[C@H](C)CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001483358949 1126420343 /nfs/dbraw/zinc/42/03/43/1126420343.db2.gz NWDHWAQDRPAWQA-MRVPVSSYSA-N -1 1 339.425 -0.227 20 0 EBADMM C[C@H](CN(C)Cc1nnnn1C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001483394618 1126423424 /nfs/dbraw/zinc/42/34/24/1126423424.db2.gz MNLLHSKASALAQF-SECBINFHSA-N -1 1 346.395 -0.167 20 0 EBADMM C[C@@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)CCCC(=O)N(C)C ZINC001483408286 1126424881 /nfs/dbraw/zinc/42/48/81/1126424881.db2.gz GEMBDWDBQVWJBX-NSHDSACASA-N -1 1 340.428 -0.697 20 0 EBADMM CNC(=O)CCCCC(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001483705236 1126462079 /nfs/dbraw/zinc/46/20/79/1126462079.db2.gz GVSUPQUDSRLXFS-NSHDSACASA-N -1 1 338.412 -0.895 20 0 EBADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(CCNS(C)(=O)=O)C1 ZINC001484041334 1126501022 /nfs/dbraw/zinc/50/10/22/1126501022.db2.gz SVSMWZQOUVOWDL-CQSZACIVSA-N -1 1 342.421 -0.469 20 0 EBADMM Cn1[n-]c(CN2CC(O)(CNC(=O)CCC(C)(F)F)C2)nc1=O ZINC001484279220 1126553024 /nfs/dbraw/zinc/55/30/24/1126553024.db2.gz COSFBIUBMFDQKE-UHFFFAOYSA-N -1 1 333.339 -0.793 20 0 EBADMM CCC[C@H](C)C(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001484588521 1126606166 /nfs/dbraw/zinc/60/61/66/1126606166.db2.gz FOABTDJJWBXSPG-RYUDHWBXSA-N -1 1 325.413 -0.138 20 0 EBADMM O=C(NC[C@@H]1COCCN1CCNC(=O)C1CC1)c1ncccc1[O-] ZINC001484590275 1126606573 /nfs/dbraw/zinc/60/65/73/1126606573.db2.gz KMMGIYOZAATCKN-CYBMUJFWSA-N -1 1 348.403 -0.256 20 0 EBADMM O=C([C@@H]1CCCCO1)N1CCC(O)(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001484952880 1126657816 /nfs/dbraw/zinc/65/78/16/1126657816.db2.gz VEXFTPIXCVEHFM-NSHDSACASA-N -1 1 339.396 -0.478 20 0 EBADMM O=C([C@H]1CCCCO1)N1CCC(O)(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001484952879 1126657852 /nfs/dbraw/zinc/65/78/52/1126657852.db2.gz VEXFTPIXCVEHFM-LLVKDONJSA-N -1 1 339.396 -0.478 20 0 EBADMM Cn1[n-]c(CN2CCC(CO)(NC(=O)c3cc[nH]c3)CC2)nc1=O ZINC001485021690 1126663804 /nfs/dbraw/zinc/66/38/04/1126663804.db2.gz QVSJRELHYVMBGY-UHFFFAOYSA-N -1 1 334.380 -0.807 20 0 EBADMM Cn1[n-]c(CN2CCC(CO)(NC(=O)C[C@@H]3C=CCC3)CC2)nc1=O ZINC001485014295 1126664286 /nfs/dbraw/zinc/66/42/86/1126664286.db2.gz UUXAFYUDFICJBD-CYBMUJFWSA-N -1 1 349.435 -0.092 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)C(=O)N1CCC(C)CC1 ZINC001485200287 1126680195 /nfs/dbraw/zinc/68/01/95/1126680195.db2.gz QKKBQUUATSJSKL-MNOVXSKESA-N -1 1 338.412 -0.248 20 0 EBADMM CCO[C@@H](C(=O)NC[C@@H](C)N(C)Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001485299800 1126686658 /nfs/dbraw/zinc/68/66/58/1126686658.db2.gz AAUIIMIGHHLNCB-ZWNOBZJWSA-N -1 1 325.413 -0.140 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN([C@@H](C)C(=O)NC(N)=O)C1 ZINC001485501356 1126734271 /nfs/dbraw/zinc/73/42/71/1126734271.db2.gz XXJGMAPNDGVIOW-BDAKNGLRSA-N -1 1 335.364 -0.579 20 0 EBADMM Cc1cncc(CC(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001485514576 1126733174 /nfs/dbraw/zinc/73/31/74/1126733174.db2.gz AJBLDVYAIXECGM-GFCCVEGCSA-N -1 1 344.419 -0.009 20 0 EBADMM Cc1noc(CCC(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001485519454 1126741310 /nfs/dbraw/zinc/74/13/10/1126741310.db2.gz VBHMNDTVYFMUHB-SECBINFHSA-N -1 1 349.395 -0.631 20 0 EBADMM CC1(C)CN(Cc2n[nH]c(=O)[n-]2)C[C@@H]1NC(=O)CCc1nc[nH]n1 ZINC001485566258 1126760593 /nfs/dbraw/zinc/76/05/93/1126760593.db2.gz KBHUEKWYSROHLV-VIFPVBQESA-N -1 1 334.384 -0.412 20 0 EBADMM COCC(C)(C)C(=O)N1CCO[C@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC001485641302 1126783404 /nfs/dbraw/zinc/78/34/04/1126783404.db2.gz REXATMWGJLLGCP-QWRGUYRKSA-N -1 1 341.412 -0.112 20 0 EBADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)[C@@H]1CCCNC1=O ZINC001687444011 1126840168 /nfs/dbraw/zinc/84/01/68/1126840168.db2.gz BERWMQOTEWYNFC-LLVKDONJSA-N -1 1 334.376 -0.108 20 0 EBADMM C[C@@H](CC(=O)NCCCN(C)C(=O)c1ncccc1[O-])NC(N)=O ZINC001687474900 1126875440 /nfs/dbraw/zinc/87/54/40/1126875440.db2.gz JMPDTQMKTKSFRU-JTQLQIEISA-N -1 1 337.380 -0.188 20 0 EBADMM C[C@H](CN(C)C(=O)c1n[nH]c(=O)[n-]c1=O)N[C@@H](C)c1cnccn1 ZINC001485895614 1126911212 /nfs/dbraw/zinc/91/12/12/1126911212.db2.gz NETJYZYYBQHHLQ-BDAKNGLRSA-N -1 1 333.352 -0.116 20 0 EBADMM O=C(NC[C@H](CO)NCc1cc(=O)n2[n-]ccc2n1)[C@@H]1CC1(F)F ZINC001485993816 1126956525 /nfs/dbraw/zinc/95/65/25/1126956525.db2.gz OKUIXWACWKGPKU-ZJUUUORDSA-N -1 1 341.318 -0.756 20 0 EBADMM Cn1[n-]c(CN[C@@H](CO)CNC(=O)c2cc3cnccc3o2)nc1=O ZINC001486013352 1126964189 /nfs/dbraw/zinc/96/41/89/1126964189.db2.gz QOCYPQVPNCFROL-SNVBAGLBSA-N -1 1 346.347 -0.870 20 0 EBADMM CC1=CC[C@](C)(C(=O)NC[C@H](CO)NCc2nc(=O)n(C)[n-]2)CC1 ZINC001486064406 1127014281 /nfs/dbraw/zinc/01/42/81/1127014281.db2.gz ZXDQOSBGIQNNOC-WBMJQRKESA-N -1 1 337.424 -0.188 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)CCCC(N)=O)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001486187853 1127058000 /nfs/dbraw/zinc/05/80/00/1127058000.db2.gz SSQLZFNVYSKYAJ-MNOVXSKESA-N -1 1 338.412 -0.767 20 0 EBADMM CN(C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)[C@@H]1CCC(F)(F)C1 ZINC001486279500 1127075279 /nfs/dbraw/zinc/07/52/79/1127075279.db2.gz RUKBLLKCNWAZIJ-RKDXNWHRSA-N -1 1 333.339 -0.145 20 0 EBADMM CCc1cc(C(=O)N(C)C[C@H](O)CNCc2n[nH]c(=O)[n-]2)c(C)nn1 ZINC001486290496 1127099952 /nfs/dbraw/zinc/09/99/52/1127099952.db2.gz FUBYJDYSWKBJJE-LLVKDONJSA-N -1 1 349.395 -0.606 20 0 EBADMM Cc1cncc(CC(=O)N(C)C[C@@H](O)CNCc2n[nH]c(=O)[n-]2)c1 ZINC001486291671 1127100361 /nfs/dbraw/zinc/10/03/61/1127100361.db2.gz KCPNVVOVRMPYDD-LBPRGKRZSA-N -1 1 334.380 -0.635 20 0 EBADMM COCCN(CCNC(=O)c1cocc1C)Cc1nc(=O)n(C)[n-]1 ZINC001486430773 1127173315 /nfs/dbraw/zinc/17/33/15/1127173315.db2.gz LBDNEYRWICRDRG-UHFFFAOYSA-N -1 1 337.380 -0.112 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1CC(NC(=O)C2CC2)C1 ZINC001486575889 1127207271 /nfs/dbraw/zinc/20/72/71/1127207271.db2.gz DNLMAMLEWOTGOO-UHFFFAOYSA-N -1 1 334.376 -0.652 20 0 EBADMM Cc1n[nH]cc1C(=O)N1CC(CCO)(NC(=O)c2ncccc2[O-])C1 ZINC001487030156 1127373128 /nfs/dbraw/zinc/37/31/28/1127373128.db2.gz HLMJTWPKJWPEQI-UHFFFAOYSA-N -1 1 345.359 -0.174 20 0 EBADMM CCC(=O)N[C@@H]1CCC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001487359862 1127483470 /nfs/dbraw/zinc/48/34/70/1127483470.db2.gz FWJYFLCKJPZEJQ-VXGBXAGGSA-N -1 1 336.392 -0.120 20 0 EBADMM CC(=O)N1C[C@@H]2CC[C@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C[C@@H]2C1 ZINC001487363759 1127483983 /nfs/dbraw/zinc/48/39/83/1127483983.db2.gz VWQPDIWQKZZZIP-MJBXVCDLSA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CCC(=O)N1)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001410366086 1127689639 /nfs/dbraw/zinc/68/96/39/1127689639.db2.gz HZAWWOKEKDEXMM-GZMMTYOYSA-N -1 1 347.375 -0.427 20 0 EBADMM CO[C@H]1CS(=O)(=O)C[C@H]1[N-]S(=O)(=O)c1cc(C)ns1 ZINC001364909326 1127722463 /nfs/dbraw/zinc/72/24/63/1127722463.db2.gz UZZWDNFEJQGFLU-SFYZADRCSA-N -1 1 326.421 -0.458 20 0 EBADMM Cn1[n-]c(CN[C@H](CO)CNC(=O)[C@@H]2CCCC3(CC3)C2)nc1=O ZINC001410647882 1127788618 /nfs/dbraw/zinc/78/86/18/1127788618.db2.gz GGSYXRMBJJNZCV-NEPJUHHUSA-N -1 1 337.424 -0.355 20 0 EBADMM CC(C)N(CCN(C)Cc1nc(=O)n(C)[n-]1)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001411197037 1128090061 /nfs/dbraw/zinc/09/00/61/1128090061.db2.gz ATRHNXXIZXYKOM-IMRBUKKESA-N -1 1 337.424 -0.330 20 0 EBADMM CC(C)(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CO[C@H]1CCOC1 ZINC001411206114 1128091287 /nfs/dbraw/zinc/09/12/87/1128091287.db2.gz BNAHKLFHGBJZIW-WDEREUQCSA-N -1 1 341.412 -0.064 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)c1ccc(=O)[nH]n1 ZINC001092447392 1128245150 /nfs/dbraw/zinc/24/51/50/1128245150.db2.gz WPFDEWJJNDDINC-RKDXNWHRSA-N -1 1 333.352 -0.496 20 0 EBADMM CCC(=O)N[C@@H]1CC2(CN(Cc3nc(=O)n(C)[n-]3)C2)n2ccnc21 ZINC001092336650 1128230717 /nfs/dbraw/zinc/23/07/17/1128230717.db2.gz PTPMHRZXIHTRFT-SNVBAGLBSA-N -1 1 331.380 -0.513 20 0 EBADMM NC(=O)CN1CC2(C1)C[C@@H](NC(=O)c1ncccc1[O-])c1nccn12 ZINC001092365991 1128240357 /nfs/dbraw/zinc/24/03/57/1128240357.db2.gz FQWQXNRYUGNTPR-SNVBAGLBSA-N -1 1 342.359 -0.645 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)c1ccc(C(N)=O)o1 ZINC001092806961 1128274869 /nfs/dbraw/zinc/27/48/69/1128274869.db2.gz IJTXUFRVWDGHLX-RKDXNWHRSA-N -1 1 348.363 -0.300 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C[C@H](C)NC(=O)[C@@H](C)OC ZINC001487843381 1128431450 /nfs/dbraw/zinc/43/14/50/1128431450.db2.gz PYKDTUFLXNQDAX-JGVFFNPUSA-N -1 1 342.352 -0.492 20 0 EBADMM CCc1cc(C(=O)N[C@H](CO)CNC(=O)c2ncccc2[O-])nn1C ZINC001487976569 1128472642 /nfs/dbraw/zinc/47/26/42/1128472642.db2.gz JBAPYEKOVWFRFP-JTQLQIEISA-N -1 1 347.375 -0.396 20 0 EBADMM COCC[C@H](C)C(=O)N[C@H](CO)CNC(=O)c1ncccc1[O-] ZINC001487978368 1128474452 /nfs/dbraw/zinc/47/44/52/1128474452.db2.gz RXAABDZMUAXPKG-QWRGUYRKSA-N -1 1 325.365 -0.333 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)CCn2cnnn2)CN1C(=O)c1ncccc1[O-] ZINC001488176605 1128638534 /nfs/dbraw/zinc/63/85/34/1128638534.db2.gz CWFQUENPQKCNKQ-MNOVXSKESA-N -1 1 345.363 -0.417 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H]1C[C@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC001488178146 1128643179 /nfs/dbraw/zinc/64/31/79/1128643179.db2.gz INJAORXCDGYKSK-CMPLNLGQSA-N -1 1 334.376 -0.015 20 0 EBADMM CN(C[C@H](O)CNC(=O)c1ncccc1[O-])C(=O)c1cnccn1 ZINC001488322298 1128669843 /nfs/dbraw/zinc/66/98/43/1128669843.db2.gz XYZPTVWSYMRRBG-SNVBAGLBSA-N -1 1 331.332 -0.560 20 0 EBADMM CN(C[C@H](O)CNC(=O)c1ncccc1[O-])C(=O)Cc1cnoc1 ZINC001488395172 1128733933 /nfs/dbraw/zinc/73/39/33/1128733933.db2.gz JEYGRGKWAXGHJW-LLVKDONJSA-N -1 1 334.332 -0.433 20 0 EBADMM CN(C[C@H](O)CN(C)C(=O)[C@H]1CCOC1)C(=O)c1ncccc1[O-] ZINC001488427194 1128756826 /nfs/dbraw/zinc/75/68/26/1128756826.db2.gz ZGEZETGTKVBPSG-NWDGAFQWSA-N -1 1 337.376 -0.285 20 0 EBADMM CO[C@@H](C(=O)NC[C@@H]1CCCN1Cc1nc(=O)n(C)[n-]1)C(C)C ZINC001493134161 1128807221 /nfs/dbraw/zinc/80/72/21/1128807221.db2.gz VIMUCWHSXIOSNQ-WCQYABFASA-N -1 1 325.413 -0.140 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)[C@]1(C)CCCOC1 ZINC001488676498 1128822554 /nfs/dbraw/zinc/82/25/54/1128822554.db2.gz NMILOPYSIUDJMJ-MLGOLLRUSA-N -1 1 337.424 -0.042 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@H](O)C(C)C ZINC001489519033 1128992005 /nfs/dbraw/zinc/99/20/05/1128992005.db2.gz SEXDSTXKJCAAGX-MPKXVKKWSA-N -1 1 337.376 -0.240 20 0 EBADMM O=C(CC[C@H]1CCOC1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001489567483 1129007270 /nfs/dbraw/zinc/00/72/70/1129007270.db2.gz JJTYLUKILOFLLF-SCRDCRAPSA-N -1 1 349.387 -0.095 20 0 EBADMM C/C=C(\C)C(=O)N1CC[C@@H]1CN(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001489763487 1129062978 /nfs/dbraw/zinc/06/29/78/1129062978.db2.gz XZCRQSBTWKBTKG-YKAQBRKTSA-N -1 1 348.403 -0.048 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)[C@H]1C ZINC001490111970 1129108654 /nfs/dbraw/zinc/10/86/54/1129108654.db2.gz SGXRWINMCNEMDH-RNJOBUHISA-N -1 1 348.403 -0.312 20 0 EBADMM Nc1nc2nc(CN3CCC(O[C@H]4CCOC4)CC3)cc(=O)n2[n-]1 ZINC001414008489 1131284268 /nfs/dbraw/zinc/28/42/68/1131284268.db2.gz PDMWCKBVVVTJBQ-LBPRGKRZSA-N -1 1 334.380 -0.230 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)CCCC(N)=O)C1 ZINC001490785281 1129257822 /nfs/dbraw/zinc/25/78/22/1129257822.db2.gz ZEMSJIPMJRTHIH-LLVKDONJSA-N -1 1 338.412 -0.164 20 0 EBADMM COC1CC(C(=O)NC2(CCO)CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001411757022 1129369839 /nfs/dbraw/zinc/36/98/39/1129369839.db2.gz BPCVGQLUUOBEKO-UHFFFAOYSA-N -1 1 349.387 -0.095 20 0 EBADMM CC1(Cn2cc(C(=O)N3CCC[C@H](c4nn[n-]n4)C3)nn2)COC1 ZINC001412054360 1129436768 /nfs/dbraw/zinc/43/67/68/1129436768.db2.gz DIEIHLPOQXAOAD-JTQLQIEISA-N -1 1 332.368 -0.153 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC[C@H](CS(C)(=O)=O)C1 ZINC001412196407 1129473179 /nfs/dbraw/zinc/47/31/79/1129473179.db2.gz XLLKMPDQQLFZDF-QMMMGPOBSA-N -1 1 345.377 -0.207 20 0 EBADMM C[C@@H]1C(=O)N(C)[C@H](C)[C@H](C)N1C(=O)CNC(=O)c1ncccc1[O-] ZINC001412296745 1129498043 /nfs/dbraw/zinc/49/80/43/1129498043.db2.gz LMWHQGSFVRQKNM-OUAUKWLOSA-N -1 1 334.376 -0.017 20 0 EBADMM CSCC[C@H](NC(=O)CNC(=O)N1CCCC1)c1nn[n-]n1 ZINC001412372080 1129510915 /nfs/dbraw/zinc/51/09/15/1129510915.db2.gz YCZBYJOXEQSGBQ-VIFPVBQESA-N -1 1 327.414 -0.085 20 0 EBADMM Cn1nc2c(c1C(=O)N1CCOC[C@@H]1c1nn[n-]n1)CSCC2 ZINC001412427758 1129526317 /nfs/dbraw/zinc/52/63/17/1129526317.db2.gz ONMCLNATBDXVES-SNVBAGLBSA-N -1 1 335.393 -0.064 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)CN(C)C(=O)[C@H](C)OC ZINC001412465988 1129535557 /nfs/dbraw/zinc/53/55/57/1129535557.db2.gz ZNYIFFIZQNYMGS-YUMQZZPRSA-N -1 1 342.352 -0.492 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)[C@@H]1CC(=O)N(C)C1 ZINC001412489506 1129540486 /nfs/dbraw/zinc/54/04/86/1129540486.db2.gz JEPGXXVVPFJAFG-WDEREUQCSA-N -1 1 334.376 -0.158 20 0 EBADMM O=C(c1cn(C[C@H]2CCOC2)nn1)N1CCC(c2nn[n-]n2)CC1 ZINC001412493741 1129541638 /nfs/dbraw/zinc/54/16/38/1129541638.db2.gz UIKSRSQVCQOSAP-SNVBAGLBSA-N -1 1 332.368 -0.153 20 0 EBADMM C[C@]1(C(=O)N2CCC[C@H](Cc3nn[n-]n3)C2)CCCS1(=O)=O ZINC001412612886 1129577039 /nfs/dbraw/zinc/57/70/39/1129577039.db2.gz QMDGOKLOBHTNGG-ZWNOBZJWSA-N -1 1 327.410 -0.052 20 0 EBADMM O=C(C[C@@H]1C=CS(=O)(=O)C1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001412614817 1129577553 /nfs/dbraw/zinc/57/75/53/1129577553.db2.gz TWBPOZHLWIPNOO-MNOVXSKESA-N -1 1 325.394 -0.071 20 0 EBADMM O=C(CCc1nn[n-]n1)Nc1ccnn1[C@@H]1CCS(=O)(=O)C1 ZINC001412631690 1129580984 /nfs/dbraw/zinc/58/09/84/1129580984.db2.gz XEMMRMWZWSEQGX-MRVPVSSYSA-N -1 1 325.354 -0.673 20 0 EBADMM Cn1cc([C@@H]2C[S@](=O)CCN2C(=O)CCCc2nn[n-]n2)cn1 ZINC001412830711 1129688642 /nfs/dbraw/zinc/68/86/42/1129688642.db2.gz RYXWKNHCGGHRKU-BSNNYGBQSA-N -1 1 337.409 -0.412 20 0 EBADMM Cn1cc([C@H]2C[S@@](=O)CCN2C(=O)CCCc2nn[n-]n2)cn1 ZINC001412830693 1129688718 /nfs/dbraw/zinc/68/87/18/1129688718.db2.gz RYXWKNHCGGHRKU-BGJPBQGDSA-N -1 1 337.409 -0.412 20 0 EBADMM CCS(=O)(=O)N[C@H](C)C(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC001413198767 1129946393 /nfs/dbraw/zinc/94/63/93/1129946393.db2.gz ITANDOQBXXHDBL-SSDOTTSWSA-N -1 1 334.423 -0.152 20 0 EBADMM CN(C[C@@H](O)CNC(=O)c1cn(C)cn1)C(=O)c1ncccc1[O-] ZINC001413240914 1129963351 /nfs/dbraw/zinc/96/33/51/1129963351.db2.gz ATHJYRDXALJXQE-JTQLQIEISA-N -1 1 333.348 -0.616 20 0 EBADMM Cc1cn(C)nc1C(=O)N(C)C[C@@H](O)CNC(=O)c1ncccc1[O-] ZINC001413250267 1129971454 /nfs/dbraw/zinc/97/14/54/1129971454.db2.gz BXALXTGZBBNAPU-NSHDSACASA-N -1 1 347.375 -0.308 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCn3ccnc3C2)[n-]n1 ZINC001413314722 1130008101 /nfs/dbraw/zinc/00/81/01/1130008101.db2.gz CCWWONGIEUXIEE-QMMMGPOBSA-N -1 1 325.350 -0.314 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CCn3ccnc3C2)n[n-]1 ZINC001413314722 1130008107 /nfs/dbraw/zinc/00/81/07/1130008107.db2.gz CCWWONGIEUXIEE-QMMMGPOBSA-N -1 1 325.350 -0.314 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCNC(=O)[C@@H]2CC(C)C)[n-]n1 ZINC001413317986 1130010609 /nfs/dbraw/zinc/01/06/09/1130010609.db2.gz NLJZSJTXVWXRDM-JTQLQIEISA-N -1 1 344.393 -0.269 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCNC(=O)[C@@H]2CC(C)C)n[n-]1 ZINC001413317986 1130010614 /nfs/dbraw/zinc/01/06/14/1130010614.db2.gz NLJZSJTXVWXRDM-JTQLQIEISA-N -1 1 344.393 -0.269 20 0 EBADMM C[C@H]1C[C@H]([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)c2nccn21 ZINC001413339695 1130028055 /nfs/dbraw/zinc/02/80/55/1130028055.db2.gz CTYJRWTYUHGSOR-IUCAKERBSA-N -1 1 339.377 -0.735 20 0 EBADMM COC(=O)CC1(CS(=O)(=O)[N-]CC(=O)N=S(C)(C)=O)CC1 ZINC001413380848 1130062885 /nfs/dbraw/zinc/06/28/85/1130062885.db2.gz MKUPSPGTZZILCH-UHFFFAOYSA-N -1 1 340.423 -0.497 20 0 EBADMM CCO[C@@H]1COCC[C@H]1CC(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC001413390389 1130069278 /nfs/dbraw/zinc/06/92/78/1130069278.db2.gz WWOFBFYIQVTHBN-SDDRHHMPSA-N -1 1 325.369 -0.069 20 0 EBADMM CC(C)c1nc(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)c[nH]1 ZINC001413442979 1130089727 /nfs/dbraw/zinc/08/97/27/1130089727.db2.gz BUIRPZZTWDJFOP-UHFFFAOYSA-N -1 1 341.393 -0.591 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2cccc3c2OCC3)c(=O)[nH]c1=O ZINC001413449250 1130092358 /nfs/dbraw/zinc/09/23/58/1130092358.db2.gz ZCTPWMWUUCTAOU-UHFFFAOYSA-N -1 1 337.357 -0.101 20 0 EBADMM O=C(C1=CN2CCS(=O)(=O)N=C2C=C1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001413468023 1130098522 /nfs/dbraw/zinc/09/85/22/1130098522.db2.gz KNSQNWXIGMDDET-SECBINFHSA-N -1 1 349.376 -0.987 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@@H](O)CS(C)(=O)=O ZINC001413742289 1130251690 /nfs/dbraw/zinc/25/16/90/1130251690.db2.gz ZLVNXNIRSRYJHU-SECBINFHSA-N -1 1 331.394 -0.251 20 0 EBADMM CCO[C@H]1CCN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C1 ZINC001413746254 1130253780 /nfs/dbraw/zinc/25/37/80/1130253780.db2.gz NPNWQBYGXWCMHW-VIFPVBQESA-N -1 1 345.377 -0.706 20 0 EBADMM CO[C@@H]1CN(CCOC(C)C)C[C@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001213127343 1130520251 /nfs/dbraw/zinc/52/02/51/1130520251.db2.gz SINQGLLKUVTNSP-NXEZZACHSA-N -1 1 341.368 -0.863 20 0 EBADMM C[C@H](CNC(=O)[C@H](C)N(C)CC(F)(F)F)NCc1n[nH]c(=O)[n-]1 ZINC001491557621 1130528271 /nfs/dbraw/zinc/52/82/71/1130528271.db2.gz HCGRNNPULUVXPT-SFYZADRCSA-N -1 1 338.334 -0.013 20 0 EBADMM NC(=O)CNC(=O)C[N-]S(=O)(=O)c1cc(Cl)c(F)cc1F ZINC001260207901 1130542827 /nfs/dbraw/zinc/54/28/27/1130542827.db2.gz BEPRESLALLAIMG-UHFFFAOYSA-N -1 1 341.723 -0.502 20 0 EBADMM Cn1cc(Cl)c(C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)n1 ZINC001475313756 1130707425 /nfs/dbraw/zinc/70/74/25/1130707425.db2.gz YCHJOLOJEZUWGT-UHFFFAOYSA-N -1 1 333.757 -0.649 20 0 EBADMM CC(C)[C@@H](O)C(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001479886906 1130742929 /nfs/dbraw/zinc/74/29/29/1130742929.db2.gz HRACQIGUKHCIRB-CYBMUJFWSA-N -1 1 325.413 -0.452 20 0 EBADMM CO[C@@H](C(=O)NC[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1)C1CC1 ZINC001480250875 1130766941 /nfs/dbraw/zinc/76/69/41/1130766941.db2.gz KWUMFLMVTZJZNK-BXUZGUMPSA-N -1 1 337.424 -0.138 20 0 EBADMM CCN(CC)C(=O)CNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001413923131 1130830626 /nfs/dbraw/zinc/83/06/26/1130830626.db2.gz UOGDQKOEHMJGQD-UHFFFAOYSA-N -1 1 337.380 -0.078 20 0 EBADMM COCCC1(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)CC1 ZINC001413971551 1130877726 /nfs/dbraw/zinc/87/77/26/1130877726.db2.gz PQFXNNULTUDHOO-DGCLKSJQSA-N -1 1 349.387 -0.095 20 0 EBADMM CC1(CC(=O)NC2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)CC1 ZINC001356778011 1130935531 /nfs/dbraw/zinc/93/55/31/1130935531.db2.gz VMRYXHOMIVXQCH-UHFFFAOYSA-N -1 1 334.376 -0.556 20 0 EBADMM CCCOCC(=O)N1CC(NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC001356778671 1130936419 /nfs/dbraw/zinc/93/64/19/1130936419.db2.gz PUSHTALLOSUQRX-UHFFFAOYSA-N -1 1 340.336 -0.736 20 0 EBADMM C/C=C(/C)C(=O)NC[C@H](C)CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001357016011 1131024742 /nfs/dbraw/zinc/02/47/42/1131024742.db2.gz VBNTZFVIYRKMMF-SGRPLGENSA-N -1 1 332.364 -0.134 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CCC[C@@H]1CNC(=O)C1CC1 ZINC001357346804 1131069595 /nfs/dbraw/zinc/06/95/95/1131069595.db2.gz KXXMGVYRLUFXDR-OLZOCXBDSA-N -1 1 348.403 -0.262 20 0 EBADMM CNC(=O)CC(=O)NC[C@@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001358165290 1131170034 /nfs/dbraw/zinc/17/00/34/1131170034.db2.gz VFIIMMKSAQCOFQ-MRVPVSSYSA-N -1 1 335.364 -0.570 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ccn2nnnc2c1 ZINC001358531437 1131205370 /nfs/dbraw/zinc/20/53/70/1131205370.db2.gz JFZJHRJIRVPRRK-VIFPVBQESA-N -1 1 341.331 -0.227 20 0 EBADMM Cc1coc(C(=O)N[C@@H](C)CNC(=O)c2cc(=O)n3[n-]cnc3n2)c1 ZINC001358535291 1131207721 /nfs/dbraw/zinc/20/77/21/1131207721.db2.gz LESIFPHRMZFRDG-VIFPVBQESA-N -1 1 344.331 -0.133 20 0 EBADMM CNC(=O)CC(=O)N1CC[C@H]1CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001358609231 1131227749 /nfs/dbraw/zinc/22/77/49/1131227749.db2.gz NXAJANOGRSODLN-JTQLQIEISA-N -1 1 347.375 -0.474 20 0 EBADMM CCOC(=O)N1CCN(C(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC001361741770 1131447780 /nfs/dbraw/zinc/44/77/80/1131447780.db2.gz BLSFOQGVJFRQAQ-UHFFFAOYSA-N -1 1 336.348 -0.182 20 0 EBADMM O=C(CCNC(=O)c1cccc([O-])c1F)N[C@@H]1CCS(=O)(=O)C1 ZINC001361807783 1131459218 /nfs/dbraw/zinc/45/92/18/1131459218.db2.gz QOYOIOKVYIBEPW-SECBINFHSA-N -1 1 344.364 -0.046 20 0 EBADMM O=C(CCNC(=O)c1ccc([O-])cc1F)N[C@H]1CCS(=O)(=O)C1 ZINC001361807693 1131459344 /nfs/dbraw/zinc/45/93/44/1131459344.db2.gz PBCDDGJHEYJJRX-VIFPVBQESA-N -1 1 344.364 -0.046 20 0 EBADMM O=C(CCNC(=O)c1ccc(F)c([O-])c1)N[C@@H]1CCS(=O)(=O)C1 ZINC001361830201 1131463394 /nfs/dbraw/zinc/46/33/94/1131463394.db2.gz PINJMSLGAANSBB-SNVBAGLBSA-N -1 1 344.364 -0.046 20 0 EBADMM COc1ccc(NC(=O)CCNC(=O)CO)cc1[N-]S(C)(=O)=O ZINC001361897361 1131474397 /nfs/dbraw/zinc/47/43/97/1131474397.db2.gz IBTACEFTAIPKPH-UHFFFAOYSA-N -1 1 345.377 -0.496 20 0 EBADMM O=C(c1cnncc1[O-])N(C[C@H]1CCCO1)[C@H]1CCS(=O)(=O)C1 ZINC001361926056 1131481102 /nfs/dbraw/zinc/48/11/02/1131481102.db2.gz LIRSXJJACFZPCA-WDEREUQCSA-N -1 1 341.389 -0.010 20 0 EBADMM CN(C)S(=O)(=O)c1ccc(CNC(=O)CCc2nn[n-]n2)cc1 ZINC001362054459 1131505917 /nfs/dbraw/zinc/50/59/17/1131505917.db2.gz UPSJCYZCTMZETK-UHFFFAOYSA-N -1 1 338.393 -0.301 20 0 EBADMM O=C(N[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ccc([O-])cn1 ZINC001362113597 1131518321 /nfs/dbraw/zinc/51/83/21/1131518321.db2.gz NPZSQRHKFHDWKQ-OLZOCXBDSA-N -1 1 341.389 -0.985 20 0 EBADMM NC(=O)c1ccc(CN2CCN(C(=O)CCc3nn[n-]n3)CC2)cc1 ZINC001362116757 1131518606 /nfs/dbraw/zinc/51/86/06/1131518606.db2.gz DUDCXNZJYPFYRC-UHFFFAOYSA-N -1 1 343.391 -0.424 20 0 EBADMM O=C(Cc1ccccc1F)N1C[C@@H](O)C[C@H]1C(=O)NCc1nn[n-]n1 ZINC001362122071 1131519808 /nfs/dbraw/zinc/51/98/08/1131519808.db2.gz BUPLTPAWPSMTNC-JQWIXIFHSA-N -1 1 348.338 -0.841 20 0 EBADMM CCC[C@H](NC(=O)C1=CN(C)S(=O)(=O)N=C1C)c1nn[n-]n1 ZINC001362208071 1131536557 /nfs/dbraw/zinc/53/65/57/1131536557.db2.gz YHPBSDWXDWVQSH-VIFPVBQESA-N -1 1 327.370 -0.308 20 0 EBADMM Cc1nc(N2CCOCC2)[n-]c(=O)c1CCC(=O)NCCC(N)=O ZINC001362237151 1131541822 /nfs/dbraw/zinc/54/18/22/1131541822.db2.gz UUUAZHAIUORLTQ-UHFFFAOYSA-N -1 1 337.380 -0.749 20 0 EBADMM O=C(Cn1nc2n(c1=O)CCCCC2)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362377769 1131571893 /nfs/dbraw/zinc/57/18/93/1131571893.db2.gz VVWVFZKNIKDNTK-NSHDSACASA-N -1 1 346.395 -0.310 20 0 EBADMM O=C(C[C@@H]1CCCS1(=O)=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC001362412819 1131578479 /nfs/dbraw/zinc/57/84/79/1131578479.db2.gz WZGMYFAHMWPQLN-DTWKUNHWSA-N -1 1 331.423 -0.217 20 0 EBADMM COC(=O)CC1(NC(=O)c2cnncc2[O-])CCS(=O)(=O)CC1 ZINC001362515777 1131599461 /nfs/dbraw/zinc/59/94/61/1131599461.db2.gz FSTNMKHHXOUGBV-UHFFFAOYSA-N -1 1 343.361 -0.578 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCN(Cc2cn[nH]c2)CC1 ZINC001362578072 1131616617 /nfs/dbraw/zinc/61/66/17/1131616617.db2.gz QJRFARPWIAETEL-UHFFFAOYSA-N -1 1 344.375 -0.416 20 0 EBADMM CS(=O)(=O)N[C@H]1CCCC[C@H]1CNC(=O)CCc1nn[n-]n1 ZINC001362639058 1131629976 /nfs/dbraw/zinc/62/99/76/1131629976.db2.gz MYVJAUNOKCZJDU-UWVGGRQHSA-N -1 1 330.414 -0.644 20 0 EBADMM Cc1nc([C@@H]2COCCN2C(=O)CNC(=O)c2ncccc2[O-])no1 ZINC001362644489 1131631806 /nfs/dbraw/zinc/63/18/06/1131631806.db2.gz APKDNPZZWXRPBM-JTQLQIEISA-N -1 1 347.331 -0.191 20 0 EBADMM CNS(=O)(=O)N1CCN(C(=O)c2cnc(SC)[n-]c2=O)CC1 ZINC001362655435 1131634110 /nfs/dbraw/zinc/63/41/10/1131634110.db2.gz OSMXYBJFRBOQHT-UHFFFAOYSA-N -1 1 347.422 -0.874 20 0 EBADMM O=C(c1cn(C[C@@H]2CCOC2)nn1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362710939 1131648853 /nfs/dbraw/zinc/64/88/53/1131648853.db2.gz OGFRJUULNDKTKQ-WDEREUQCSA-N -1 1 332.368 -0.153 20 0 EBADMM O=C(c1cnc2n(c1=O)CCS2)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362713362 1131650178 /nfs/dbraw/zinc/65/01/78/1131650178.db2.gz YPLMUCFMXGSYEF-MRVPVSSYSA-N -1 1 333.377 -0.118 20 0 EBADMM CC(C)CN1C[C@H](C(=O)N2CCC(O)(c3nn[n-]n3)CC2)CC1=O ZINC001362726581 1131655427 /nfs/dbraw/zinc/65/54/27/1131655427.db2.gz APCZPHWQMPVCHP-LLVKDONJSA-N -1 1 336.396 -0.486 20 0 EBADMM C[C@@H](Oc1cccc(CO)c1)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362731605 1131657166 /nfs/dbraw/zinc/65/71/66/1131657166.db2.gz QPHQLTYAMZMFTF-LLVKDONJSA-N -1 1 347.375 -0.031 20 0 EBADMM O=C([C@H]1CCCN1c1ncccn1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362732775 1131658048 /nfs/dbraw/zinc/65/80/48/1131658048.db2.gz UDAINUSODIMUOK-LLVKDONJSA-N -1 1 344.379 -0.531 20 0 EBADMM COC(=O)C[C@@H]1CS(=O)(=O)CCN1C(=O)c1ccc([O-])cn1 ZINC001362768774 1131667771 /nfs/dbraw/zinc/66/77/71/1131667771.db2.gz JLVHVFUIMOJPRB-SECBINFHSA-N -1 1 328.346 -0.411 20 0 EBADMM COC(=O)CNC(=O)[C@@H]1CCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001362789917 1131674993 /nfs/dbraw/zinc/67/49/93/1131674993.db2.gz RDSHTKMIFWRHCJ-NSHDSACASA-N -1 1 348.359 -0.047 20 0 EBADMM CC1(Cn2cc(C(=O)N3CCC(c4nn[n-]n4)CC3)nn2)COC1 ZINC001362803182 1131679484 /nfs/dbraw/zinc/67/94/84/1131679484.db2.gz LUGHIWIKPSWANK-UHFFFAOYSA-N -1 1 332.368 -0.153 20 0 EBADMM CC(C)CN(Cc1nn[n-]n1)C(=O)C1(S(C)(=O)=O)CCOCC1 ZINC001362830801 1131688393 /nfs/dbraw/zinc/68/83/93/1131688393.db2.gz MJNNKRWGIAKAJV-UHFFFAOYSA-N -1 1 345.425 -0.222 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCN(C(=O)C3CC3)C2)o1 ZINC001362899919 1131707261 /nfs/dbraw/zinc/70/72/61/1131707261.db2.gz DONJCUFQECJVOP-UHFFFAOYSA-N -1 1 327.362 -0.160 20 0 EBADMM COC[C@H](NC(=O)[C@@]1(c2ccccc2)CCC(=O)NC1)c1nn[n-]n1 ZINC001362904317 1131709292 /nfs/dbraw/zinc/70/92/92/1131709292.db2.gz APPQUOAKLINSPS-LRDDRELGSA-N -1 1 344.375 -0.149 20 0 EBADMM CSc1nc(=O)[n-]c(C)c1C(=O)NC[C@@H]1CC(C(N)=O)=NO1 ZINC001362943214 1131719261 /nfs/dbraw/zinc/71/92/61/1131719261.db2.gz VCNAFEVGQGCRJR-LURJTMIESA-N -1 1 325.350 -0.427 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCS(=O)(=O)[C@H](C)C1 ZINC001362966110 1131727652 /nfs/dbraw/zinc/72/76/52/1131727652.db2.gz ACVATEGFTHXKIW-RNFRBKRXSA-N -1 1 331.350 -0.408 20 0 EBADMM COC(=O)[C@@H]1CN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C[C@H](C)O1 ZINC001363000281 1131739889 /nfs/dbraw/zinc/73/98/89/1131739889.db2.gz NXDHQUVBANJDPN-BQBZGAKWSA-N -1 1 327.293 -0.701 20 0 EBADMM COC(=O)c1ccc(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)cn1 ZINC001363029523 1131753979 /nfs/dbraw/zinc/75/39/79/1131753979.db2.gz RFJGQKLBUSVMMD-UHFFFAOYSA-N -1 1 332.320 -0.495 20 0 EBADMM COc1cnc([C@H]2CCCN2C(=O)[C@@H]2CCS(=O)(=O)N2)[n-]c1=O ZINC001363039823 1131758268 /nfs/dbraw/zinc/75/82/68/1131758268.db2.gz LVERHMSJZFEVLG-DTWKUNHWSA-N -1 1 342.377 -0.454 20 0 EBADMM O=C(CCc1nn[n-]n1)NC1(C(=O)N2CCOCC2)CCCCC1 ZINC001363079947 1131774963 /nfs/dbraw/zinc/77/49/63/1131774963.db2.gz DBOUEWFIYZURRA-UHFFFAOYSA-N -1 1 336.396 -0.190 20 0 EBADMM CC(C)C(=O)N1CCC(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)CC1 ZINC001363115818 1131790159 /nfs/dbraw/zinc/79/01/59/1131790159.db2.gz GDGMTXQIALVUNC-GFCCVEGCSA-N -1 1 336.396 -0.006 20 0 EBADMM O=C(CCc1nn[n-]n1)N[C@@H]1CCCC[C@H]1NS(=O)(=O)C1CC1 ZINC001363159584 1131807900 /nfs/dbraw/zinc/80/79/00/1131807900.db2.gz BKUWPLULMQCHOU-GHMZBOCLSA-N -1 1 342.425 -0.359 20 0 EBADMM CCS(=O)(=O)N[C@@H](C)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001363164951 1131809901 /nfs/dbraw/zinc/80/99/01/1131809901.db2.gz LGWCYIWVUZSLFD-GXSJLCMTSA-N -1 1 338.393 -0.267 20 0 EBADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)NC[C@@H](O)[C@H](C)O ZINC001363167147 1131811461 /nfs/dbraw/zinc/81/14/61/1131811461.db2.gz VJKMKSLQPZEEDI-GXFFZTMASA-N -1 1 332.360 -0.459 20 0 EBADMM Cn1cc(C[C@H](CO)CNC(=O)CNC(=O)c2ncccc2[O-])cn1 ZINC001363192697 1131825004 /nfs/dbraw/zinc/82/50/04/1131825004.db2.gz RQHVUTJQQJCUSB-LBPRGKRZSA-N -1 1 347.375 -0.782 20 0 EBADMM COc1cc(OC)nc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)n1 ZINC001363207062 1131830481 /nfs/dbraw/zinc/83/04/81/1131830481.db2.gz LYJVYFATKQAZDH-UHFFFAOYSA-N -1 1 347.331 -0.008 20 0 EBADMM CCOC(=O)c1nc([C@H](C)NC(=O)CC2CS(=O)(=O)C2)n[n-]1 ZINC001363230918 1131841576 /nfs/dbraw/zinc/84/15/76/1131841576.db2.gz KODPNKRFVGGISM-ZETCQYMHSA-N -1 1 330.366 -0.407 20 0 EBADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CC2CS(=O)(=O)C2)[n-]1 ZINC001363230918 1131841579 /nfs/dbraw/zinc/84/15/79/1131841579.db2.gz KODPNKRFVGGISM-ZETCQYMHSA-N -1 1 330.366 -0.407 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CC2CS(=O)(=O)C2)n1 ZINC001363230918 1131841583 /nfs/dbraw/zinc/84/15/83/1131841583.db2.gz KODPNKRFVGGISM-ZETCQYMHSA-N -1 1 330.366 -0.407 20 0 EBADMM Cn1cc(N2C[C@H](C(=O)NC3(c4nn[n-]n4)CCC3)CCC2=O)cn1 ZINC001363276028 1131866176 /nfs/dbraw/zinc/86/61/76/1131866176.db2.gz BGALGTAAKFZOBB-SNVBAGLBSA-N -1 1 344.379 -0.128 20 0 EBADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@H]1C[C@H](O)CN1c1ccncn1 ZINC001363275514 1131866832 /nfs/dbraw/zinc/86/68/32/1131866832.db2.gz HYRDPAASSGQQFU-NWDGAFQWSA-N -1 1 346.395 -0.386 20 0 EBADMM Cc1ccc(S(N)(=O)=O)c(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)c1 ZINC001363308440 1131887721 /nfs/dbraw/zinc/88/77/21/1131887721.db2.gz MLFNXQLQWJSNCI-SECBINFHSA-N -1 1 336.377 -0.215 20 0 EBADMM CC(C)(C)OC(=O)NC[C@@H](O)CC(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001363315113 1131893197 /nfs/dbraw/zinc/89/31/97/1131893197.db2.gz HMHFUCNAEVHIMM-UWVGGRQHSA-N -1 1 340.384 -0.209 20 0 EBADMM O=C(c1coc2c1C(=O)NCCC2)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001363406763 1131936257 /nfs/dbraw/zinc/93/62/57/1131936257.db2.gz FBCHLVJTIOJHSR-SECBINFHSA-N -1 1 332.320 -0.318 20 0 EBADMM CN1CC(=O)Nc2cc(C(=O)N3CCOC[C@@H]3c3nn[n-]n3)cnc21 ZINC001363408938 1131937194 /nfs/dbraw/zinc/93/71/94/1131937194.db2.gz QKOHIAQBOZKFID-SNVBAGLBSA-N -1 1 344.335 -0.803 20 0 EBADMM O=C(c1cncc(N2CCCC2)n1)N1CCOC[C@H]1c1nn[n-]n1 ZINC001363408725 1131937730 /nfs/dbraw/zinc/93/77/30/1131937730.db2.gz OMPXNCVYRFWZCO-NSHDSACASA-N -1 1 330.352 -0.196 20 0 EBADMM CS(=O)(=O)C1CCC(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)CC1 ZINC001363411144 1131938133 /nfs/dbraw/zinc/93/81/33/1131938133.db2.gz YYDZQKUVYQIHRX-VQXHTEKXSA-N -1 1 343.409 -0.297 20 0 EBADMM NC(=O)c1cccc(OCCC(=O)N2CCOC[C@H]2c2nn[n-]n2)c1 ZINC001363409241 1131938255 /nfs/dbraw/zinc/93/82/55/1131938255.db2.gz AOADPZPVTHNMEJ-LBPRGKRZSA-N -1 1 346.347 -0.332 20 0 EBADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)NC1=NCC(=O)N1C ZINC001363485384 1131968177 /nfs/dbraw/zinc/96/81/77/1131968177.db2.gz KXWCTVFLSPZLGR-UHFFFAOYSA-N -1 1 340.343 -0.373 20 0 EBADMM O=C(CCCc1nn[n-]n1)N1Cc2cccnc2N2C[C@H](O)C[C@H]2C1 ZINC001363484399 1131968245 /nfs/dbraw/zinc/96/82/45/1131968245.db2.gz ILYKEPYUIUURDN-QWHCGFSZSA-N -1 1 343.391 -0.101 20 0 EBADMM CN(C(=O)c1c(Br)nc2n1CCOC2)c1nn[nH]n1 ZINC001363491004 1131971564 /nfs/dbraw/zinc/97/15/64/1131971564.db2.gz RQVLVIPARHBZPN-UHFFFAOYSA-N -1 1 328.130 -0.035 20 0 EBADMM CN(C(=O)c1c(Br)nc2n1CCOC2)c1nn[n-]n1 ZINC001363491004 1131971576 /nfs/dbraw/zinc/97/15/76/1131971576.db2.gz RQVLVIPARHBZPN-UHFFFAOYSA-N -1 1 328.130 -0.035 20 0 EBADMM CC(C)C(=O)N[C@@H](CO)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001363494353 1131974497 /nfs/dbraw/zinc/97/44/97/1131974497.db2.gz FRPJVABPDWZYDF-RYUDHWBXSA-N -1 1 332.364 -0.462 20 0 EBADMM CC(C)OC1CN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C1 ZINC001363501756 1131978941 /nfs/dbraw/zinc/97/89/41/1131978941.db2.gz PCQNOSPKTSQBAI-UHFFFAOYSA-N -1 1 345.377 -0.707 20 0 EBADMM CN(C)C(=O)N1CCC(C(=O)N2CCOC[C@H]2c2nn[n-]n2)CC1 ZINC001363510677 1131983017 /nfs/dbraw/zinc/98/30/17/1131983017.db2.gz GMPFZGLIBOEPNF-NSHDSACASA-N -1 1 337.384 -0.507 20 0 EBADMM O=C([C@H]1CNC(=O)C[C@@H]1C(F)(F)F)N1CC[C@H](c2nn[n-]n2)C1 ZINC001363521790 1131989481 /nfs/dbraw/zinc/98/94/81/1131989481.db2.gz AQSQSDROEWJOGV-FXQIFTODSA-N -1 1 332.286 -0.170 20 0 EBADMM CC(C)(C)[C@@H](NS(C)(=O)=O)C(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001363521820 1131990531 /nfs/dbraw/zinc/99/05/31/1131990531.db2.gz BBZMWLFBEBONLF-IUCAKERBSA-N -1 1 330.414 -0.521 20 0 EBADMM C[C@@H](C(=O)N1CC[C@@H](c2nn[n-]n2)C1)S(=O)(=O)C1CCOCC1 ZINC001363525990 1131994037 /nfs/dbraw/zinc/99/40/37/1131994037.db2.gz QNCAIUZAYSFARM-VHSXEESVSA-N -1 1 343.409 -0.502 20 0 EBADMM CN1CC(=O)Nc2cc(C(=O)NC3(c4nn[n-]n4)CCC3)cnc21 ZINC001363552522 1132010026 /nfs/dbraw/zinc/01/00/26/1132010026.db2.gz RYXVEOPMWAXBCD-UHFFFAOYSA-N -1 1 328.336 -0.208 20 0 EBADMM CCOC(=O)c1[n-]nnc1[C@H]1CCCN1C(=O)CCNC(=O)CO ZINC001363559900 1132014879 /nfs/dbraw/zinc/01/48/79/1132014879.db2.gz OKWRXVIOBSFIKD-SECBINFHSA-N -1 1 339.352 -0.857 20 0 EBADMM CCOC(=O)c1nn[n-]c1[C@H]1CCCN1C(=O)CCNC(=O)CO ZINC001363559900 1132014882 /nfs/dbraw/zinc/01/48/82/1132014882.db2.gz OKWRXVIOBSFIKD-SECBINFHSA-N -1 1 339.352 -0.857 20 0 EBADMM CCOC(=O)c1n[n-]nc1[C@H]1CCCN1C(=O)CCNC(=O)CO ZINC001363559900 1132014891 /nfs/dbraw/zinc/01/48/91/1132014891.db2.gz OKWRXVIOBSFIKD-SECBINFHSA-N -1 1 339.352 -0.857 20 0 EBADMM COC[C@@H](NC(=O)c1cccc(OCC(=O)OC)c1)c1nn[n-]n1 ZINC001363585055 1132022995 /nfs/dbraw/zinc/02/29/95/1132022995.db2.gz GPEBQLYIPXXHBC-LLVKDONJSA-N -1 1 335.320 -0.131 20 0 EBADMM CSCC[C@H](NC(N)=O)C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001363584820 1132023472 /nfs/dbraw/zinc/02/34/72/1132023472.db2.gz OKVNJDBVBROVFI-UWVGGRQHSA-N -1 1 341.441 -0.229 20 0 EBADMM COC(=O)c1c(NC(=O)[C@H]2C[C@@H](C(=O)OC)C2)n[n-]c1OCCO ZINC001363733928 1132071677 /nfs/dbraw/zinc/07/16/77/1132071677.db2.gz NJMYMKLTMXMHSI-OCAPTIKFSA-N -1 1 341.320 -0.295 20 0 EBADMM COC(=O)c1c(NC(=O)[C@H]2C[C@@H](C(=O)OC)C2)[n-]nc1OCCO ZINC001363733928 1132071687 /nfs/dbraw/zinc/07/16/87/1132071687.db2.gz NJMYMKLTMXMHSI-OCAPTIKFSA-N -1 1 341.320 -0.295 20 0 EBADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-]CC(=O)N1CCCC1 ZINC001363766221 1132080292 /nfs/dbraw/zinc/08/02/92/1132080292.db2.gz SSGRPNCQZYPLAR-UHFFFAOYSA-N -1 1 330.366 -0.595 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C3CCC2(C(N)=O)CC3)o1 ZINC001363775235 1132084023 /nfs/dbraw/zinc/08/40/23/1132084023.db2.gz OYEHRQQHYXOSMQ-UHFFFAOYSA-N -1 1 327.362 -0.190 20 0 EBADMM C[C@@H](NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)C1(C)CC1 ZINC001363805247 1132094342 /nfs/dbraw/zinc/09/43/42/1132094342.db2.gz AXAKALIISMOGJQ-MRVPVSSYSA-N -1 1 329.378 -0.038 20 0 EBADMM Cc1nc(CS(=O)(=O)[N-]C[C@](C)(O)C(=O)OC(C)(C)C)no1 ZINC001363855907 1132112486 /nfs/dbraw/zinc/11/24/86/1132112486.db2.gz VKZVXJRYEFOVPD-LBPRGKRZSA-N -1 1 335.382 -0.110 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CC[C@@H]2NC(=O)CC[C@H]2C1 ZINC001363882312 1132118070 /nfs/dbraw/zinc/11/80/70/1132118070.db2.gz BSHVSVJFEIOFEZ-QWRGUYRKSA-N -1 1 332.360 -0.356 20 0 EBADMM Cc1nc(C(C)(C)[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)no1 ZINC001363928873 1132132478 /nfs/dbraw/zinc/13/24/78/1132132478.db2.gz IXLWWBBGVDXIIU-UHFFFAOYSA-N -1 1 329.338 -0.609 20 0 EBADMM NC(=O)N1CCC[C@H](C[N-]S(=O)(=O)N=S2(=O)CCCC2)C1 ZINC001363943019 1132137489 /nfs/dbraw/zinc/13/74/89/1132137489.db2.gz NLRIDAQEJMHQOU-SNVBAGLBSA-N -1 1 338.455 -0.127 20 0 EBADMM CC[C@@](COC)([N-]S(=O)(=O)CCCC(=O)OC)C(=O)OC ZINC001363951942 1132140606 /nfs/dbraw/zinc/14/06/06/1132140606.db2.gz WKDVKXGUMVDSND-LBPRGKRZSA-N -1 1 325.383 -0.173 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](CC(F)F)C(=O)OC ZINC001363966328 1132146732 /nfs/dbraw/zinc/14/67/32/1132146732.db2.gz BMJLHILAMIAJSS-LURJTMIESA-N -1 1 341.292 -0.329 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H](C)O[C@]3(CCOC3)C2)[n-]n1 ZINC001363971925 1132148915 /nfs/dbraw/zinc/14/89/15/1132148915.db2.gz RBTOFKZQLYFTJP-NOZJJQNGSA-N -1 1 345.377 -0.235 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H](C)O[C@]3(CCOC3)C2)n[n-]1 ZINC001363971925 1132148918 /nfs/dbraw/zinc/14/89/18/1132148918.db2.gz RBTOFKZQLYFTJP-NOZJJQNGSA-N -1 1 345.377 -0.235 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC2([S@](C)=O)CCC2)c(=O)[nH]c1=O ZINC001363973146 1132149207 /nfs/dbraw/zinc/14/92/07/1132149207.db2.gz VGFIILQYYVGOPD-FQEVSTJZSA-N -1 1 335.407 -0.935 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@H]3[C@H](C(=O)OC)[C@H]3C2)[n-]n1 ZINC001363987898 1132154997 /nfs/dbraw/zinc/15/49/97/1132154997.db2.gz WMBVSQVJBSPRKK-MTBHXBHISA-N -1 1 329.334 -0.764 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@H]3[C@H](C(=O)OC)[C@H]3C2)n[n-]1 ZINC001363987898 1132155003 /nfs/dbraw/zinc/15/50/03/1132155003.db2.gz WMBVSQVJBSPRKK-MTBHXBHISA-N -1 1 329.334 -0.764 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](CO)[C@@H]1CCCOC1 ZINC001364005758 1132162515 /nfs/dbraw/zinc/16/25/15/1132162515.db2.gz PUNUBWLSXZEJDO-SCZZXKLOSA-N -1 1 333.366 -0.738 20 0 EBADMM COC(=O)c1cnc([C@@H](C)[N-]S(=O)(=O)[C@@H]2COC[C@H]2O)s1 ZINC001364014792 1132167075 /nfs/dbraw/zinc/16/70/75/1132167075.db2.gz VEGBZBRNNXAGHG-ZXFLCMHBSA-N -1 1 336.391 -0.330 20 0 EBADMM COC[C@H](NC(=O)CNS(=O)(=O)c1cccs1)c1nn[n-]n1 ZINC001364030693 1132173949 /nfs/dbraw/zinc/17/39/49/1132173949.db2.gz LRZNRYARWGVQNL-ZETCQYMHSA-N -1 1 346.394 -0.957 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@]2(CO)COCCN2C)o1 ZINC001364037741 1132177689 /nfs/dbraw/zinc/17/76/89/1132177689.db2.gz VXZHYQPRHULHRX-ZDUSSCGKSA-N -1 1 348.377 -0.962 20 0 EBADMM O=S(=O)([N-][C@@H](CO)c1ncc[nH]1)c1nc[nH]c1Br ZINC001364041676 1132179492 /nfs/dbraw/zinc/17/94/92/1132179492.db2.gz GYRLBYDYJMJSJZ-YFKPBYRVSA-N -1 1 336.171 -0.093 20 0 EBADMM CCC[C@@H](C(=O)OCC)S(=O)(=O)[N-][C@@H](CO)c1nncn1C ZINC001364043869 1132180662 /nfs/dbraw/zinc/18/06/62/1132180662.db2.gz IXPDYQCAIKDCOH-UWVGGRQHSA-N -1 1 334.398 -0.500 20 0 EBADMM CC[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1ccccn1 ZINC001364044902 1132181134 /nfs/dbraw/zinc/18/11/34/1132181134.db2.gz BEENJBVPWZQDHP-JTQLQIEISA-N -1 1 338.389 -0.091 20 0 EBADMM CCO[C@@H]1COCC[C@H]1CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC001364051130 1132185215 /nfs/dbraw/zinc/18/52/15/1132185215.db2.gz UQMICQRARMUXPO-DTWKUNHWSA-N -1 1 332.382 -0.022 20 0 EBADMM CCO[C@@H]1COCC[C@H]1CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC001364051130 1132185217 /nfs/dbraw/zinc/18/52/17/1132185217.db2.gz UQMICQRARMUXPO-DTWKUNHWSA-N -1 1 332.382 -0.022 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@H]2CCO[C@H]2C(=O)OC)[n-]n1 ZINC001364056205 1132187616 /nfs/dbraw/zinc/18/76/16/1132187616.db2.gz WNUGLHXBHUVAGZ-GMSGAONNSA-N -1 1 347.349 -0.947 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@H]2CCO[C@H]2C(=O)OC)n[n-]1 ZINC001364056205 1132187618 /nfs/dbraw/zinc/18/76/18/1132187618.db2.gz WNUGLHXBHUVAGZ-GMSGAONNSA-N -1 1 347.349 -0.947 20 0 EBADMM COCC(COC)S(=O)(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001364071823 1132195963 /nfs/dbraw/zinc/19/59/63/1132195963.db2.gz BRLBGDHEWZOAPQ-UHFFFAOYSA-N -1 1 347.393 -0.664 20 0 EBADMM C[C@@H]1C[C@H]1[C@@H]1C[C@H]1NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC001364132752 1132216365 /nfs/dbraw/zinc/21/63/65/1132216365.db2.gz AQOZXAKIJKHEDU-DOLQZWNJSA-N -1 1 341.389 -0.183 20 0 EBADMM CCS(=O)(=O)N(C)CC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001364246457 1132252217 /nfs/dbraw/zinc/25/22/17/1132252217.db2.gz BDFCZKCOVJNMKP-GFCCVEGCSA-N -1 1 338.393 -0.313 20 0 EBADMM CN(C)S(=O)(=O)CCC(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001364260287 1132254800 /nfs/dbraw/zinc/25/48/00/1132254800.db2.gz YVWNMIYWEGNXGH-LBPRGKRZSA-N -1 1 338.393 -0.313 20 0 EBADMM COC(=O)c1cc(=O)n(CC(=O)CC[N-]C(=O)C(F)(F)F)cn1 ZINC001364300657 1132267457 /nfs/dbraw/zinc/26/74/57/1132267457.db2.gz DNQDTEHMZBCLKZ-UHFFFAOYSA-N -1 1 335.238 -0.332 20 0 EBADMM CCCNC(=O)CCNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001364336140 1132281359 /nfs/dbraw/zinc/28/13/59/1132281359.db2.gz VJAZCXQMQLJTEH-UHFFFAOYSA-N -1 1 337.380 -0.030 20 0 EBADMM O=C(CNC(=O)Nc1ccccc1)N1CCOC[C@H]1c1nn[n-]n1 ZINC001364384402 1132300937 /nfs/dbraw/zinc/30/09/37/1132300937.db2.gz VGDBCYJKRMGEPW-NSHDSACASA-N -1 1 331.336 -0.079 20 0 EBADMM COC(=O)[C@H]1CS(=O)(=O)CCN1C(=O)c1ccc(F)c([O-])c1 ZINC001364393981 1132303625 /nfs/dbraw/zinc/30/36/25/1132303625.db2.gz QXTVYXFWYHQASF-SNVBAGLBSA-N -1 1 331.321 -0.057 20 0 EBADMM COC(=O)[C@@H]1CN(C)C(=O)CN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001364395300 1132303642 /nfs/dbraw/zinc/30/36/42/1132303642.db2.gz CYRKNEVFCLJANU-JTQLQIEISA-N -1 1 334.332 -0.485 20 0 EBADMM O=C(c1cnncc1[O-])N1CCOC2(CCS(=O)(=O)CC2)C1 ZINC001364450368 1132321372 /nfs/dbraw/zinc/32/13/72/1132321372.db2.gz IRWXFIQJQJKXHB-UHFFFAOYSA-N -1 1 327.362 -0.398 20 0 EBADMM Cc1sc(S(N)(=O)=O)cc1C(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001364568334 1132363789 /nfs/dbraw/zinc/36/37/89/1132363789.db2.gz OXQRYJMFTAEYGL-ZETCQYMHSA-N -1 1 342.406 -0.153 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@H]2C[C@@H]3COC[C@@H]3O2)co1 ZINC001364732423 1132406737 /nfs/dbraw/zinc/40/67/37/1132406737.db2.gz SXGUVGFTCRRQBB-IEBDPFPHSA-N -1 1 330.362 -0.279 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@](C)(C(=O)OC)C2CC2)[n-]n1 ZINC001364781343 1132424831 /nfs/dbraw/zinc/42/48/31/1132424831.db2.gz PLVRUZUHTIUVAE-LBPRGKRZSA-N -1 1 331.350 -0.184 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@](C)(C(=O)OC)C2CC2)n[n-]1 ZINC001364781343 1132424835 /nfs/dbraw/zinc/42/48/35/1132424835.db2.gz PLVRUZUHTIUVAE-LBPRGKRZSA-N -1 1 331.350 -0.184 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCCN(C(=O)OC)C1 ZINC001364796208 1132429334 /nfs/dbraw/zinc/42/93/34/1132429334.db2.gz JESJGEZXORUQRY-MRVPVSSYSA-N -1 1 346.365 -0.295 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCN1CCO[C@H](C)C1 ZINC001364819141 1132438108 /nfs/dbraw/zinc/43/81/08/1132438108.db2.gz FKNLASGDMXKQGM-SECBINFHSA-N -1 1 332.382 -0.805 20 0 EBADMM CO[C@]1(C(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)CCS(=O)(=O)C1 ZINC001364817363 1132438438 /nfs/dbraw/zinc/43/84/38/1132438438.db2.gz AMVVORANVFPYSW-GXFFZTMASA-N -1 1 343.409 -0.816 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)NC[C@H](O)Cn2ccnn2)c1 ZINC001364859242 1132456811 /nfs/dbraw/zinc/45/68/11/1132456811.db2.gz PLZACUNLIMHKPS-VIFPVBQESA-N -1 1 328.350 -0.668 20 0 EBADMM CNC(=O)c1ccc(CS(=O)(=O)[N-][C@](C)(CO)C(=O)OC)cc1 ZINC001364862551 1132458786 /nfs/dbraw/zinc/45/87/86/1132458786.db2.gz SXJQVRQWKVDPRA-CQSZACIVSA-N -1 1 344.389 -0.610 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](CO)Cc2cnn(C)c2)c1 ZINC001364873041 1132463155 /nfs/dbraw/zinc/46/31/55/1132463155.db2.gz WWRGANGIARFALC-NSHDSACASA-N -1 1 343.361 -0.318 20 0 EBADMM CO[C@@](C)([C@@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)C1CC1 ZINC001364873394 1132463169 /nfs/dbraw/zinc/46/31/69/1132463169.db2.gz WYABVWXXUWQYJD-OQPBUACISA-N -1 1 331.394 -0.032 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H](c3nncn3C)C2)[n-]n1 ZINC001364914508 1132482684 /nfs/dbraw/zinc/48/26/84/1132482684.db2.gz CFYQPCXKTBUOFB-QMMMGPOBSA-N -1 1 340.365 -0.497 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H](c3nncn3C)C2)n[n-]1 ZINC001364914508 1132482689 /nfs/dbraw/zinc/48/26/89/1132482689.db2.gz CFYQPCXKTBUOFB-QMMMGPOBSA-N -1 1 340.365 -0.497 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-]CCn2cnccc2=O)cc1C ZINC001364923363 1132487351 /nfs/dbraw/zinc/48/73/51/1132487351.db2.gz NOBTUZPDKPZSLJ-UHFFFAOYSA-N -1 1 341.345 -0.090 20 0 EBADMM Cn1cnnc1S(=O)(=O)[N-]C[C@H](n1cncn1)C(F)(F)F ZINC001364925037 1132488781 /nfs/dbraw/zinc/48/87/81/1132488781.db2.gz XTOTUHZWDLHAHJ-LURJTMIESA-N -1 1 325.276 -0.512 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC[C@@H]1CNC(=O)C1 ZINC001364955745 1132501862 /nfs/dbraw/zinc/50/18/62/1132501862.db2.gz WQKNCAGWIYXEMY-QMMMGPOBSA-N -1 1 330.366 -0.609 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1[nH]cc(C)c(=O)c1C ZINC001364982461 1132511432 /nfs/dbraw/zinc/51/14/32/1132511432.db2.gz LNVYEIVWSIMXEL-UHFFFAOYSA-N -1 1 340.361 -0.020 20 0 EBADMM CN(Cc1nnc[n-]1)C(=O)Nc1ccn(CCN2CC[NH+]=C2[O-])n1 ZINC001365085071 1132538670 /nfs/dbraw/zinc/53/86/70/1132538670.db2.gz GYJCIDQQMQCMBY-UHFFFAOYSA-N -1 1 333.356 -0.310 20 0 EBADMM CO[C@H]1CCn2cc(C(=O)Nc3nc(S(C)(=O)=O)n[n-]3)nc2C1 ZINC001365182782 1132573111 /nfs/dbraw/zinc/57/31/11/1132573111.db2.gz HGAAXIOPGPTRFM-ZETCQYMHSA-N -1 1 340.365 -0.382 20 0 EBADMM CO[C@H]1CCn2cc(C(=O)Nc3nnc(S(C)(=O)=O)[n-]3)nc2C1 ZINC001365182782 1132573117 /nfs/dbraw/zinc/57/31/17/1132573117.db2.gz HGAAXIOPGPTRFM-ZETCQYMHSA-N -1 1 340.365 -0.382 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)[C@H]2CCCCS2(=O)=O)n[n-]1 ZINC001365193413 1132575751 /nfs/dbraw/zinc/57/57/51/1132575751.db2.gz HAOCXVBNCQLNOD-MRVPVSSYSA-N -1 1 330.366 -0.435 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H]2CCCCS2(=O)=O)n1 ZINC001365193413 1132575756 /nfs/dbraw/zinc/57/57/56/1132575756.db2.gz HAOCXVBNCQLNOD-MRVPVSSYSA-N -1 1 330.366 -0.435 20 0 EBADMM CCn1[n-]nnc1=NC(=O)NCc1ccnc(N2CCN(C)CC2)c1 ZINC001365202805 1132578673 /nfs/dbraw/zinc/57/86/73/1132578673.db2.gz RFMCIKJRGAZYGF-UHFFFAOYSA-N -1 1 345.411 -0.417 20 0 EBADMM C[C@H]1C(=O)N(C)S(=O)(=O)N1CC(=O)CC[N-]C(=O)C(F)(F)F ZINC001365260466 1132599467 /nfs/dbraw/zinc/59/94/67/1132599467.db2.gz TZDGNDDSFDZSEP-LURJTMIESA-N -1 1 345.299 -0.969 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](CO)[C@@H]1CCOC1 ZINC001365382418 1132643291 /nfs/dbraw/zinc/64/32/91/1132643291.db2.gz XJBBDFMRTUBQNJ-SCZZXKLOSA-N -1 1 333.366 -0.738 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](CO)[C@H]1CCOC1 ZINC001365382422 1132644015 /nfs/dbraw/zinc/64/40/15/1132644015.db2.gz XJBBDFMRTUBQNJ-WCBMZHEXSA-N -1 1 333.366 -0.738 20 0 EBADMM NC(=O)C12CCC(CC1)N2C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001365430146 1132669492 /nfs/dbraw/zinc/66/94/92/1132669492.db2.gz RFQWDMMOYNUFGE-UHFFFAOYSA-N -1 1 347.375 -0.053 20 0 EBADMM O=S(=O)(C[C@H]1CCCC1(F)F)[N-][C@@H]1CS(=O)(=O)C[C@H]1O ZINC001365453193 1132685092 /nfs/dbraw/zinc/68/50/92/1132685092.db2.gz YRPJYWACBBBPNG-IWSPIJDZSA-N -1 1 333.378 -0.501 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H](C2CCC2)[C@@H]2CCOC2)c(=O)[nH]c1=O ZINC001365454680 1132686408 /nfs/dbraw/zinc/68/64/08/1132686408.db2.gz TVAHDRZCHZJSCW-PWSUYJOCSA-N -1 1 343.405 -0.031 20 0 EBADMM CCN1C[C@H](S(=O)(=O)[N-][C@H](C[C@H]2CCCO2)C(=O)OC)CC1=O ZINC001365459167 1132691684 /nfs/dbraw/zinc/69/16/84/1132691684.db2.gz QEGYXMXNIVGCPT-IJLUTSLNSA-N -1 1 348.421 -0.363 20 0 EBADMM O=C1NCCN1CC[N-]S(=O)(=O)c1nc[nH]c1Br ZINC001365461978 1132693923 /nfs/dbraw/zinc/69/39/23/1132693923.db2.gz RFFQDEVRMBVALM-UHFFFAOYSA-N -1 1 338.187 -0.524 20 0 EBADMM COc1nscc1S(=O)(=O)[N-]C(C)(C)CNS(C)(=O)=O ZINC001365465430 1132695571 /nfs/dbraw/zinc/69/55/71/1132695571.db2.gz NDZQDFHVZAVVBH-UHFFFAOYSA-N -1 1 343.452 -0.242 20 0 EBADMM CCOC(=O)N1C[C@H]([N-]S(=O)(=O)c2ccns2)[C@@H](CO)C1 ZINC001365471581 1132699205 /nfs/dbraw/zinc/69/92/05/1132699205.db2.gz ZZZPCDIYTBJHJX-BDAKNGLRSA-N -1 1 335.407 -0.129 20 0 EBADMM CC[C@@H]1OCC[C@H]1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001365599384 1132732260 /nfs/dbraw/zinc/73/22/60/1132732260.db2.gz UVRDFEZSZXIDDW-OLZOCXBDSA-N -1 1 349.435 -0.042 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@H]3C)C2)[n-]n1 ZINC001365671836 1132757561 /nfs/dbraw/zinc/75/75/61/1132757561.db2.gz DLSZFQDLCRJOEW-NQMVMOMDSA-N -1 1 342.377 -0.516 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@H]3C)C2)n[n-]1 ZINC001365671836 1132757567 /nfs/dbraw/zinc/75/75/67/1132757567.db2.gz DLSZFQDLCRJOEW-NQMVMOMDSA-N -1 1 342.377 -0.516 20 0 EBADMM CCOC(=O)[C@@](C)(O)C[N-]S(=O)(=O)Cc1noc(C2CC2)n1 ZINC001365698773 1132766563 /nfs/dbraw/zinc/76/65/63/1132766563.db2.gz MSRANJMZMIVOGY-LBPRGKRZSA-N -1 1 333.366 -0.320 20 0 EBADMM COC[C@H](NS(=O)(=O)c1cc(C(=O)OC)n[n-]1)[C@@H]1CCCO1 ZINC001365703618 1132768532 /nfs/dbraw/zinc/76/85/32/1132768532.db2.gz IIOGMADEQSXGDO-UWVGGRQHSA-N -1 1 333.366 -0.331 20 0 EBADMM COC[C@H](NS(=O)(=O)c1cc(C(=O)OC)[n-]n1)[C@@H]1CCCO1 ZINC001365703618 1132768535 /nfs/dbraw/zinc/76/85/35/1132768535.db2.gz IIOGMADEQSXGDO-UWVGGRQHSA-N -1 1 333.366 -0.331 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2[C@H](C)C(=O)N[C@@H](C)[C@@H]2C)[n-]n1 ZINC001365718538 1132774590 /nfs/dbraw/zinc/77/45/90/1132774590.db2.gz FVJXTDWQSOWTAQ-BIIVOSGPSA-N -1 1 330.366 -0.518 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2[C@H](C)C(=O)N[C@@H](C)[C@@H]2C)n[n-]1 ZINC001365718538 1132774599 /nfs/dbraw/zinc/77/45/99/1132774599.db2.gz FVJXTDWQSOWTAQ-BIIVOSGPSA-N -1 1 330.366 -0.518 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2[C@H](C)C(=O)N[C@H](C)[C@@H]2C)[n-]n1 ZINC001365718540 1132774916 /nfs/dbraw/zinc/77/49/16/1132774916.db2.gz FVJXTDWQSOWTAQ-GJMOJQLCSA-N -1 1 330.366 -0.518 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2[C@H](C)C(=O)N[C@H](C)[C@@H]2C)n[n-]1 ZINC001365718540 1132774925 /nfs/dbraw/zinc/77/49/25/1132774925.db2.gz FVJXTDWQSOWTAQ-GJMOJQLCSA-N -1 1 330.366 -0.518 20 0 EBADMM Cn1cnnc1[C@H](CO)[N-]S(=O)(=O)c1cccc2nsnc21 ZINC001365734739 1132783848 /nfs/dbraw/zinc/78/38/48/1132783848.db2.gz NVNIJDIFWWJPGG-QMMMGPOBSA-N -1 1 340.390 -0.168 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@]3(CCOC3=O)C2)[n-]n1 ZINC001365751235 1132791124 /nfs/dbraw/zinc/79/11/24/1132791124.db2.gz MFBWYUJSUYHLKU-GFCCVEGCSA-N -1 1 329.334 -0.476 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@]3(CCOC3=O)C2)n[n-]1 ZINC001365751235 1132791129 /nfs/dbraw/zinc/79/11/29/1132791129.db2.gz MFBWYUJSUYHLKU-GFCCVEGCSA-N -1 1 329.334 -0.476 20 0 EBADMM CC[C@H](NC(C)=O)C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001365792848 1132800668 /nfs/dbraw/zinc/80/06/68/1132800668.db2.gz HJCHDWWYNCIMRK-RYUDHWBXSA-N -1 1 338.412 -0.944 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)COCc3ccncc3)C2)nc1=O ZINC001365996941 1132956523 /nfs/dbraw/zinc/95/65/23/1132956523.db2.gz RUODFMQFAOZBTD-ZDUSSCGKSA-N -1 1 346.391 -0.589 20 0 EBADMM O=C(NC[C@H](NCc1n[nH]c(=O)[n-]1)C1CC1)c1cc2n(n1)CCCO2 ZINC001366039575 1132976655 /nfs/dbraw/zinc/97/66/55/1132976655.db2.gz JGMRKHNKIAGBCK-NSHDSACASA-N -1 1 347.379 -0.213 20 0 EBADMM C[C@@H](CNC(=O)c1ccc2c(n1)OCCO2)NCc1n[nH]c(=O)[n-]1 ZINC001366305032 1133093188 /nfs/dbraw/zinc/09/31/88/1133093188.db2.gz SWEMWDWSWGXEJH-QMMMGPOBSA-N -1 1 334.336 -0.415 20 0 EBADMM C[C@H](CNC(=O)c1cnc(OCC2CC2)cn1)NCc1n[nH]c(=O)[n-]1 ZINC001366339660 1133104253 /nfs/dbraw/zinc/10/42/53/1133104253.db2.gz CFGDVESAIPQUMO-SECBINFHSA-N -1 1 347.379 -0.003 20 0 EBADMM CC(=O)N[C@@H](CC(C)C)C(=O)NC[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001366344376 1133105970 /nfs/dbraw/zinc/10/59/70/1133105970.db2.gz GPSLCCZBBHGPBZ-KOLCDFICSA-N -1 1 326.401 -0.345 20 0 EBADMM CO[C@H]1CCC[C@H](C(=O)NCCN(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001366561937 1133189329 /nfs/dbraw/zinc/18/93/29/1133189329.db2.gz AAQOMOCZBNRGIB-RYUDHWBXSA-N -1 1 325.413 -0.138 20 0 EBADMM Cn1[n-]c(CN(CCNC(=O)[C@@]2(F)CCOC2)C2CC2)nc1=O ZINC001366755472 1133285478 /nfs/dbraw/zinc/28/54/78/1133285478.db2.gz WZFWHWQVBVSYBQ-CQSZACIVSA-N -1 1 327.360 -0.682 20 0 EBADMM COC[C@H](C)OC[C@@H](O)CN1CC(NC(=O)c2ncccc2[O-])C1 ZINC001366917439 1133361637 /nfs/dbraw/zinc/36/16/37/1133361637.db2.gz QWPMUWDXYXEXJM-AAEUAGOBSA-N -1 1 339.392 -0.386 20 0 EBADMM Cc1n[nH]c(C)c1[C@H](C)CC(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001366930384 1133371126 /nfs/dbraw/zinc/37/11/26/1133371126.db2.gz ZQXNOYXCAFQFLY-SECBINFHSA-N -1 1 347.423 -0.057 20 0 EBADMM C[C@H](C(=O)NC(N)=O)N1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001367050650 1133454586 /nfs/dbraw/zinc/45/45/86/1133454586.db2.gz GWDQCTJLFDZZAQ-ZJUUUORDSA-N -1 1 335.364 -0.483 20 0 EBADMM O=C(CCc1n[nH]c(=O)[n-]c1=O)NC[C@H]1CN(CC2CC2)CCO1 ZINC001367303599 1133536744 /nfs/dbraw/zinc/53/67/44/1133536744.db2.gz IUWGQOWNJCNZKX-NSHDSACASA-N -1 1 337.380 -0.558 20 0 EBADMM CC[C@H](C(=O)N(C)[C@@H](C)CNCc1n[nH]c(=O)[n-]1)N1CCCC1=O ZINC001367396999 1133577389 /nfs/dbraw/zinc/57/73/89/1133577389.db2.gz CKRXDKRDTRPBEX-WDEREUQCSA-N -1 1 338.412 -0.152 20 0 EBADMM CN(CCN(C)C(=O)c1cnc(C2CC2)[n-]c1=O)Cc1nnn(C)n1 ZINC001367540255 1133619994 /nfs/dbraw/zinc/61/99/94/1133619994.db2.gz FUOYPWXLRPIYSG-UHFFFAOYSA-N -1 1 346.395 -0.213 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)NC[C@H](O)CNC/C(Cl)=C/Cl ZINC001367629622 1133718440 /nfs/dbraw/zinc/71/84/40/1133718440.db2.gz WSARMFDTVUKYIA-DICHJRQSSA-N -1 1 340.167 -0.925 20 0 EBADMM C[C@@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)c1c(Cl)cnn1C ZINC001367803592 1133790949 /nfs/dbraw/zinc/79/09/49/1133790949.db2.gz ILXRBZHSZJTRGL-QMMMGPOBSA-N -1 1 341.803 -0.254 20 0 EBADMM CCO[C@H](C(=O)N[C@H](C)CN(C)Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001367809554 1133793678 /nfs/dbraw/zinc/79/36/78/1133793678.db2.gz JLONHIBOFWZOAB-MFKMUULPSA-N -1 1 325.413 -0.140 20 0 EBADMM C[C@H](Oc1ccccn1)C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001368085820 1133931093 /nfs/dbraw/zinc/93/10/93/1133931093.db2.gz HPUCUDWVGOVWRJ-NSHDSACASA-N -1 1 346.391 -0.387 20 0 EBADMM Cn1[n-]c(CN2CCC[C@](C)(CNC(=O)Cc3ncc[nH]3)C2)nc1=O ZINC001368233235 1134001365 /nfs/dbraw/zinc/00/13/65/1134001365.db2.gz ZTDDLTMFNATRCG-MRXNPFEDSA-N -1 1 347.423 -0.208 20 0 EBADMM Cn1[n-]c(CN2CC(O)(CNC(=O)CC3CC(F)(F)C3)C2)nc1=O ZINC001368310226 1134134550 /nfs/dbraw/zinc/13/45/50/1134134550.db2.gz UVJPCVMCZKZSLJ-UHFFFAOYSA-N -1 1 345.350 -0.793 20 0 EBADMM CC[C@H](C(N)=O)N1CCC[C@@](CO)(NC(=O)c2ncccc2[O-])C1 ZINC001368367625 1134170844 /nfs/dbraw/zinc/17/08/44/1134170844.db2.gz AOHZVKQSYSMHIJ-BDJLRTHQSA-N -1 1 336.392 -0.392 20 0 EBADMM CCC[C@@H](C)C(=O)NC1(CO)CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001368762833 1134425294 /nfs/dbraw/zinc/42/52/94/1134425294.db2.gz JCDGPVSXGOBVFL-GFCCVEGCSA-N -1 1 339.440 -0.012 20 0 EBADMM Cn1[n-]c(CN2CCC(CO)(NC(=O)[C@H]3CC3(C)C)CC2)nc1=O ZINC001368764875 1134426748 /nfs/dbraw/zinc/42/67/48/1134426748.db2.gz MRRSOZWITFLVPL-LLVKDONJSA-N -1 1 337.424 -0.402 20 0 EBADMM CCC(=O)NCC(=O)NC[C@H](C)N(C)Cc1cc(=O)n2[n-]ccc2n1 ZINC001368937212 1134488815 /nfs/dbraw/zinc/48/88/15/1134488815.db2.gz UUGXTHHSZBJYMB-NSHDSACASA-N -1 1 348.407 -0.515 20 0 EBADMM C[C@@H](CNC(=O)CCn1ccccc1=O)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001368956666 1134497406 /nfs/dbraw/zinc/49/74/06/1134497406.db2.gz UKFRRBOXAKTLHE-LBPRGKRZSA-N -1 1 348.407 -0.703 20 0 EBADMM C[C@@H](CNC(=O)[C@@H]1CCC(=O)N1)N(C)Cc1cc(=O)n2[n-]ccc2n1 ZINC001368993171 1134511961 /nfs/dbraw/zinc/51/19/61/1134511961.db2.gz HHCHIVDOJOEPJJ-JQWIXIFHSA-N -1 1 346.391 -0.762 20 0 EBADMM Cn1[n-]c(CN2CC(CCO)(NC(=O)C3(C(F)F)CC3)C2)nc1=O ZINC001369026702 1134532858 /nfs/dbraw/zinc/53/28/58/1134532858.db2.gz ZEPJKZKHHQXBPC-UHFFFAOYSA-N -1 1 345.350 -0.793 20 0 EBADMM C[C@@H](NC(=O)COc1cccnc1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001369093546 1134561235 /nfs/dbraw/zinc/56/12/35/1134561235.db2.gz CJAZJOIXSWXUPM-LLVKDONJSA-N -1 1 346.391 -0.481 20 0 EBADMM C[C@H](NC(=O)COc1cccnc1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001369093549 1134561672 /nfs/dbraw/zinc/56/16/72/1134561672.db2.gz CJAZJOIXSWXUPM-NSHDSACASA-N -1 1 346.391 -0.481 20 0 EBADMM C[C@H](CN(C)C(=O)COC[C@@H]1CCCO1)NCc1n[nH]c(=O)[n-]1 ZINC001369292054 1134642759 /nfs/dbraw/zinc/64/27/59/1134642759.db2.gz AOHOGDFDVWWHCK-MNOVXSKESA-N -1 1 327.385 -0.358 20 0 EBADMM CN(C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)Cn1cc(C2CC2)cn1 ZINC001369473692 1134830724 /nfs/dbraw/zinc/83/07/24/1134830724.db2.gz MDPHBHPQADQRMJ-GFCCVEGCSA-N -1 1 349.395 -0.807 20 0 EBADMM CN(C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)c1csc(Cl)n1 ZINC001369480107 1134845512 /nfs/dbraw/zinc/84/55/12/1134845512.db2.gz MYUWRACUNDVWOC-ZCFIWIBFSA-N -1 1 346.800 -0.157 20 0 EBADMM CN(C(=O)CC1(O)CCC1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001369746911 1135004944 /nfs/dbraw/zinc/00/49/44/1135004944.db2.gz GFNZFAOBSHDPKG-UHFFFAOYSA-N -1 1 337.424 -0.164 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)CS(C)(=O)=O ZINC001369822679 1135034289 /nfs/dbraw/zinc/03/42/89/1135034289.db2.gz ARUSMTCKAABSEY-VIFPVBQESA-N -1 1 329.378 -0.592 20 0 EBADMM C[C@H](CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)n1ccnc1 ZINC001370014527 1135174517 /nfs/dbraw/zinc/17/45/17/1135174517.db2.gz FKLLAVJRYKCVKA-NEPJUHHUSA-N -1 1 333.396 -0.353 20 0 EBADMM CCC(=O)NCC(=O)N1CC([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001370105717 1135203872 /nfs/dbraw/zinc/20/38/72/1135203872.db2.gz VLYBBEKZLWWMBY-SNVBAGLBSA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@@H](CC(N)=O)C(=O)N1C[C@@H]2[C@@H](CNC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001370219666 1135255553 /nfs/dbraw/zinc/25/55/53/1135255553.db2.gz BYBNIQDURGIXRM-FIQHERPVSA-N -1 1 346.387 -0.267 20 0 EBADMM Cn1cc(CCC(=O)NC[C@H](NCc2n[nH]c(=O)[n-]2)C2CC2)cn1 ZINC001370278565 1135280373 /nfs/dbraw/zinc/28/03/73/1135280373.db2.gz VPOSJSNBFKFLHN-LBPRGKRZSA-N -1 1 333.396 -0.139 20 0 EBADMM CCOCC(=O)N1CCC[C@H]2C[N@H+](Cc3nc(=O)n(C)[nH]3)CC[C@@H]21 ZINC001370600329 1135426068 /nfs/dbraw/zinc/42/60/68/1135426068.db2.gz XRYLHMKTLDHNLL-STQMWFEESA-N -1 1 337.424 -0.042 20 0 EBADMM CO[C@H](C)CCC(=O)N[C@@H](CO)CNC(=O)c1ncccc1[O-] ZINC001370674082 1135480179 /nfs/dbraw/zinc/48/01/79/1135480179.db2.gz HPOZHINOXMIJKY-GHMZBOCLSA-N -1 1 325.365 -0.191 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H]2CNC(=O)[C@@]2(F)CCOC2)nc1=O ZINC001370884138 1135684069 /nfs/dbraw/zinc/68/40/69/1135684069.db2.gz LJEFYEWPZDNYIM-IAQYHMDHSA-N -1 1 341.387 -0.292 20 0 EBADMM CN(C[C@@H](O)CNC(=O)c1cnns1)C(=O)c1ncccc1[O-] ZINC001370992390 1135745353 /nfs/dbraw/zinc/74/53/53/1135745353.db2.gz WIKHJTALWHBLPJ-QMMMGPOBSA-N -1 1 337.361 -0.498 20 0 EBADMM CN(C[C@@H](O)CNC(=O)c1ncccc1[O-])C(=O)[C@@]1(F)CCOC1 ZINC001371018681 1135781290 /nfs/dbraw/zinc/78/12/90/1135781290.db2.gz IFWPNSRBGRZXTF-ZUZCIYMTSA-N -1 1 341.339 -0.535 20 0 EBADMM CN(C[C@@H](O)CNC(=O)c1ncccc1[O-])C(=O)c1n[nH]cc1F ZINC001371031481 1135797320 /nfs/dbraw/zinc/79/73/20/1135797320.db2.gz XSELASJSARDKJR-QMMMGPOBSA-N -1 1 337.311 -0.488 20 0 EBADMM CCOCC1(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)CC1 ZINC001371463855 1135924291 /nfs/dbraw/zinc/92/42/91/1135924291.db2.gz GSONVJGZACVBRH-DGCLKSJQSA-N -1 1 349.387 -0.095 20 0 EBADMM CCN(CCNC(=O)C[C@@H](C)n1cccn1)Cc1nc(=O)n(C)[n-]1 ZINC001372449341 1136203582 /nfs/dbraw/zinc/20/35/82/1136203582.db2.gz RKWNHFMDPULICL-GFCCVEGCSA-N -1 1 335.412 -0.106 20 0 EBADMM O=C([N-]CC1CN(C(=O)NCC[C@H]2CNC(=O)C2)C1)C(F)(F)F ZINC001372474935 1136207958 /nfs/dbraw/zinc/20/79/58/1136207958.db2.gz PMAPPKWWKJYKLJ-MRVPVSSYSA-N -1 1 336.314 -0.168 20 0 EBADMM CC(=O)NCC(=O)N1CCC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001372658511 1136260956 /nfs/dbraw/zinc/26/09/56/1136260956.db2.gz PBGFWJBCNHWHQF-GFCCVEGCSA-N -1 1 334.376 -0.108 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CCCS1(=O)=O ZINC001372837286 1136301143 /nfs/dbraw/zinc/30/11/43/1136301143.db2.gz UFPNHJMRMWYOBA-MWLCHTKSSA-N -1 1 341.389 -0.401 20 0 EBADMM C[C@@H](CNC(=O)[C@@H]1CCN(C)C(=O)C1)NC(=O)c1ncccc1[O-] ZINC001372959912 1136338919 /nfs/dbraw/zinc/33/89/19/1136338919.db2.gz IUSQNVZNUXRJEL-WDEREUQCSA-N -1 1 334.376 -0.110 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001373151370 1136382801 /nfs/dbraw/zinc/38/28/01/1136382801.db2.gz SQSUEUHTPSDTCG-ZYHUDNBSSA-N -1 1 334.376 -0.110 20 0 EBADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)[C@@]1(C)CCNC(=O)C1 ZINC001373413414 1136449147 /nfs/dbraw/zinc/44/91/47/1136449147.db2.gz ROSDFQQIXCNJSF-INIZCTEOSA-N -1 1 334.376 -0.108 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCN(C)C(=O)CSC ZINC001373572419 1136499570 /nfs/dbraw/zinc/49/95/70/1136499570.db2.gz FWHPWRPTTDCBIB-UHFFFAOYSA-N -1 1 330.366 -0.552 20 0 EBADMM CCN(C(=O)Cc1[nH]cnc1C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001373715841 1136546767 /nfs/dbraw/zinc/54/67/67/1136546767.db2.gz KUAJTBYFFJBGOT-LBPRGKRZSA-N -1 1 347.423 -0.195 20 0 EBADMM CCN(CCNC(=O)c1cc(=O)n(C)cn1)C(=O)c1ncccc1[O-] ZINC001373860224 1136574670 /nfs/dbraw/zinc/57/46/70/1136574670.db2.gz HRWWEUMBFKUADP-UHFFFAOYSA-N -1 1 345.359 -0.227 20 0 EBADMM Cn1cccc(C(=O)N[C@](C)(CNCc2n[nH]c(=O)[n-]2)C2CC2)c1=O ZINC001373891674 1136581813 /nfs/dbraw/zinc/58/18/13/1136581813.db2.gz AFEPTRUFGJOPJH-MRXNPFEDSA-N -1 1 346.391 -0.103 20 0 EBADMM O=C(NCCC1CCN(Cc2n[nH]c(=O)[n-]2)CC1)[C@@H]1COC(=O)N1 ZINC001373943579 1136590567 /nfs/dbraw/zinc/59/05/67/1136590567.db2.gz IEAGREJLVQEFBR-JTQLQIEISA-N -1 1 338.368 -0.663 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)Cc3ccccc3)C2)nc1=O ZINC001374132813 1136633438 /nfs/dbraw/zinc/63/34/38/1136633438.db2.gz SVLLSBOYJAXTGI-AWEZNQCLSA-N -1 1 345.403 -0.332 20 0 EBADMM CC(C)[C@H](CNC(=O)[C@@H]1CCc2nncn2C1)NCc1n[nH]c(=O)[n-]1 ZINC001374449228 1136690575 /nfs/dbraw/zinc/69/05/75/1136690575.db2.gz DUCKKAMEYGOLBU-MNOVXSKESA-N -1 1 348.411 -0.405 20 0 EBADMM CN(C[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C(=O)CNC(N)=O ZINC001374470080 1136696496 /nfs/dbraw/zinc/69/64/96/1136696496.db2.gz DJWLWAVQBTZXMQ-SNVBAGLBSA-N -1 1 335.364 -0.624 20 0 EBADMM Cc1cnccc1CC(=O)N(C)CCN(C)Cc1nc(=O)n(C)[n-]1 ZINC001374494676 1136701451 /nfs/dbraw/zinc/70/14/51/1136701451.db2.gz VWLPERPJOCYYQG-UHFFFAOYSA-N -1 1 332.408 -0.055 20 0 EBADMM CCn1cc(C(=O)N(C)CCN(C)Cc2nc(=O)n(C)[n-]2)c(C)n1 ZINC001374538625 1136713878 /nfs/dbraw/zinc/71/38/78/1136713878.db2.gz JBIKLHWTMHAXNY-UHFFFAOYSA-N -1 1 335.412 -0.163 20 0 EBADMM O=C(C[C@@H]1CCCC1(F)F)NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001374634591 1136764493 /nfs/dbraw/zinc/76/44/93/1136764493.db2.gz DZXYYIKPSRRNRV-IUCAKERBSA-N -1 1 333.339 -0.098 20 0 EBADMM CC(F)(F)C(=O)NCC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001374783101 1136832273 /nfs/dbraw/zinc/83/22/73/1136832273.db2.gz IGVIQPXFQYDVIV-UHFFFAOYSA-N -1 1 340.290 -0.739 20 0 EBADMM CCc1cc(NC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)n[nH]1 ZINC000616352223 375884118 /nfs/dbraw/zinc/88/41/18/375884118.db2.gz XLPBYLMNFJFSLT-UHFFFAOYSA-N -1 1 341.349 -0.419 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCCc2ccccc2)c(=O)n(C)c1=O ZINC000015324794 375987945 /nfs/dbraw/zinc/98/79/45/375987945.db2.gz AIOLDLPEMOBFIM-UHFFFAOYSA-N -1 1 337.401 -0.005 20 0 EBADMM COc1cccc(S([O-])=CC(=O)NCCN2CC[C@@H](O)C2)c1 ZINC000600532923 376432139 /nfs/dbraw/zinc/43/21/39/376432139.db2.gz IHRPJFIFZKFSFL-VERVWZFWSA-N -1 1 326.418 -0.014 20 0 EBADMM CC(C)(C)NS(=O)(=O)CC(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000605531732 376527287 /nfs/dbraw/zinc/52/72/87/376527287.db2.gz NEKTXKBWZMAJNP-UHFFFAOYSA-N -1 1 330.414 -0.197 20 0 EBADMM CCc1cc(=O)n2[n-]c(NC(=O)CN3CCN(C4CC4)C3=O)nc2n1 ZINC000622992370 376607274 /nfs/dbraw/zinc/60/72/74/376607274.db2.gz IMCBYXCGHDAZIC-UHFFFAOYSA-N -1 1 345.363 -0.182 20 0 EBADMM Cc1cnc(N)c(S(=O)(=O)N2CC[N@H+]3CCC[C@@H]3[C@H]2C(=O)[O-])c1 ZINC000560417842 376698612 /nfs/dbraw/zinc/69/86/12/376698612.db2.gz YTAZGQKTWZRJFE-PWSUYJOCSA-N -1 1 340.405 -0.106 20 0 EBADMM Cc1cnc(N)c(S(=O)(=O)N2CCN3CCC[C@@H]3[C@H]2C(=O)[O-])c1 ZINC000560417842 376698619 /nfs/dbraw/zinc/69/86/19/376698619.db2.gz YTAZGQKTWZRJFE-PWSUYJOCSA-N -1 1 340.405 -0.106 20 0 EBADMM CC(C)(O)CNS(=O)(=O)CCCS(=O)(=O)[N-]c1c[nH]cn1 ZINC000565227869 377053008 /nfs/dbraw/zinc/05/30/08/377053008.db2.gz IZUCIJJBNDAKJZ-UHFFFAOYSA-N -1 1 340.427 -0.768 20 0 EBADMM COc1ccc(Cn2[n-]nnc2=NC[C@@H]2CN(C)CCN2C)cc1 ZINC000631116230 377194429 /nfs/dbraw/zinc/19/44/29/377194429.db2.gz ZIUMLRKFZIDUOL-CQSZACIVSA-N -1 1 331.424 -0.190 20 0 EBADMM CC(C)n1ccc(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)n1 ZINC000569768853 377163205 /nfs/dbraw/zinc/16/32/05/377163205.db2.gz FXSBBXLWEOBIPK-UHFFFAOYSA-N -1 1 341.393 -0.660 20 0 EBADMM Cc1ccc2nc(CNC(=O)C(=O)N3CCO[C@@H](C(=O)[O-])C3)[nH]c2c1 ZINC000643162881 377617850 /nfs/dbraw/zinc/61/78/50/377617850.db2.gz GWNRLOSKEBVCFE-GFCCVEGCSA-N -1 1 346.343 -0.200 20 0 EBADMM COC(=O)C[C@@H]([N-]S(=O)(=O)CCC[C@H]1CCOC1)C(=O)OC ZINC000592011897 377783118 /nfs/dbraw/zinc/78/31/18/377783118.db2.gz GUHHVJGNYBBUCL-WDEREUQCSA-N -1 1 337.394 -0.173 20 0 EBADMM COC(=O)Cn1cc([N-]S(=O)(=O)C[C@@H](OC)[C@@H]2CCOC2)cn1 ZINC000594695521 377947890 /nfs/dbraw/zinc/94/78/90/377947890.db2.gz SFFLKBWSOZONFR-ZYHUDNBSSA-N -1 1 347.393 -0.151 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H](OC)[C@@H]1CCOC1 ZINC000594790721 377954327 /nfs/dbraw/zinc/95/43/27/377954327.db2.gz GQSHEVVVGCJGHQ-KOLCDFICSA-N -1 1 347.393 -0.084 20 0 EBADMM COC[C@H]([N-]S(=O)(=O)c1cc(C)c(C(=O)OC)o1)C(=O)OC ZINC000595321940 377996536 /nfs/dbraw/zinc/99/65/36/377996536.db2.gz OHNJKGPPGMYLAP-QMMMGPOBSA-N -1 1 335.334 -0.159 20 0 EBADMM CCOC(=O)[C@H]1CCCN(S(=O)(=O)[N-][C@@H]2COCCC2=O)C1 ZINC000599442662 378240634 /nfs/dbraw/zinc/24/06/34/378240634.db2.gz XCOLXZRZOQWZQG-WDEREUQCSA-N -1 1 334.394 -0.546 20 0 EBADMM Cn1c(=O)c2ccc(C(=O)Nc3ccncc3[O-])nc2n(C)c1=O ZINC000336905807 378579571 /nfs/dbraw/zinc/57/95/71/378579571.db2.gz XHSSLKFGHDCBQC-UHFFFAOYSA-N -1 1 327.300 -0.593 20 0 EBADMM O=C(Nc1nnn[n-]1)[C@H]1CCN(c2ccc3c(c2)OCCO3)C1=O ZINC000365439766 378531689 /nfs/dbraw/zinc/53/16/89/378531689.db2.gz XXZUTZKGPFERMO-SECBINFHSA-N -1 1 330.304 -0.038 20 0 EBADMM O=C(Nc1nn[n-]n1)[C@H]1CCN(c2ccc3c(c2)OCCO3)C1=O ZINC000365439766 378531696 /nfs/dbraw/zinc/53/16/96/378531696.db2.gz XXZUTZKGPFERMO-SECBINFHSA-N -1 1 330.304 -0.038 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NC2(C(F)F)CC2)o1 ZINC000337695065 378682541 /nfs/dbraw/zinc/68/25/41/378682541.db2.gz PQINUTUHXGGLEO-UHFFFAOYSA-N -1 1 337.304 -0.429 20 0 EBADMM CCN(C(=O)CNC(=O)c1ncccc1[O-])[C@@H](C)CS(C)(=O)=O ZINC000337913975 378706572 /nfs/dbraw/zinc/70/65/72/378706572.db2.gz RTCOJJZKQMJLJL-JTQLQIEISA-N -1 1 343.405 -0.201 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC(n2cncn2)CC1 ZINC000337947423 378718743 /nfs/dbraw/zinc/71/87/43/378718743.db2.gz FAURSLRHZLFFPJ-UHFFFAOYSA-N -1 1 330.348 -0.028 20 0 EBADMM CC1=CCN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)CC1 ZINC000339568250 378947928 /nfs/dbraw/zinc/94/79/28/378947928.db2.gz NWULUNWYSIPAOB-UHFFFAOYSA-N -1 1 327.362 -0.165 20 0 EBADMM CN1C(=O)N(CC(=O)NN2CC(=O)[N-]C2=O)C(=O)C12CCCCC2 ZINC000061715097 379031802 /nfs/dbraw/zinc/03/18/02/379031802.db2.gz UQWHTBQXSWKTDD-UHFFFAOYSA-N -1 1 337.336 -0.834 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCCC2CCOCC2)c(=O)n(C)c1=O ZINC000451608574 379055285 /nfs/dbraw/zinc/05/52/85/379055285.db2.gz NYDBYVBVSLVYAF-UHFFFAOYSA-N -1 1 345.421 -0.431 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2C[C@@H]2c2ccccc2)c(=O)n(C)c1=O ZINC000067877641 379062997 /nfs/dbraw/zinc/06/29/97/379062997.db2.gz GYRDXYFTWQBBPE-NEPJUHHUSA-N -1 1 335.385 -0.082 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)CC(=O)NCCCOC)o1 ZINC000068924310 379077743 /nfs/dbraw/zinc/07/77/43/379077743.db2.gz VGBSEFMKVRJPRR-UHFFFAOYSA-N -1 1 347.393 -0.588 20 0 EBADMM CCO[C@@H]1CCC[C@H]1[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000452062574 379081958 /nfs/dbraw/zinc/08/19/58/379081958.db2.gz SZLNJUNNWNYNJX-NXEZZACHSA-N -1 1 331.394 -0.680 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H]1C[C@H]2CCCCN2C1=O ZINC000457274839 379185137 /nfs/dbraw/zinc/18/51/37/379185137.db2.gz MLYPIRZIORBEDT-GHMZBOCLSA-N -1 1 332.360 -0.213 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NCC2=CCCC2)o1 ZINC000620005431 379247736 /nfs/dbraw/zinc/24/77/36/379247736.db2.gz BGVLYDVCTRHVJA-UHFFFAOYSA-N -1 1 327.362 -0.117 20 0 EBADMM CS(=O)(=O)Nc1ccc(C(=O)NCCCc2n[nH]c(=O)[n-]2)cn1 ZINC000629967557 379278264 /nfs/dbraw/zinc/27/82/64/379278264.db2.gz PGUQOTGIXVRGIG-UHFFFAOYSA-N -1 1 340.365 -0.361 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N[C@H]1CCC(=O)NC1=O ZINC000269565760 379382484 /nfs/dbraw/zinc/38/24/84/379382484.db2.gz MDAGFGNNSDJYEU-NSHDSACASA-N -1 1 345.359 -0.094 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CC(=O)N(C(C)C)C1 ZINC000269649420 379389994 /nfs/dbraw/zinc/38/99/94/379389994.db2.gz HHYLOJRYGIBLSX-VIFPVBQESA-N -1 1 344.393 -0.126 20 0 EBADMM COCCCS(=O)(=O)N1CCN(C(=O)c2cncc([O-])c2)CC1 ZINC000269331275 379360479 /nfs/dbraw/zinc/36/04/79/379360479.db2.gz IISODTLWVUWVLF-UHFFFAOYSA-N -1 1 343.405 -0.089 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)CS(C)(=O)=O)o1 ZINC000270193024 379435751 /nfs/dbraw/zinc/43/57/51/379435751.db2.gz LECXCXVSTQOSJA-SSDOTTSWSA-N -1 1 325.364 -0.222 20 0 EBADMM CC[C@@H](CO)N1CCN(C(=O)c2c[nH]c3nc(=O)[n-]c(=O)c-3c2)CC1 ZINC000270370642 379447026 /nfs/dbraw/zinc/44/70/26/379447026.db2.gz CXYGFQMEAZQWLB-NSHDSACASA-N -1 1 347.375 -0.035 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H](O)C1CCOCC1 ZINC000269839154 379412822 /nfs/dbraw/zinc/41/28/22/379412822.db2.gz YEVZSOBOUDLUAX-NSHDSACASA-N -1 1 347.393 -0.348 20 0 EBADMM O=C(NCCN1CCN(Cc2ccccc2)CC1)c1n[nH]c(=O)[n-]1 ZINC000080349536 379425996 /nfs/dbraw/zinc/42/59/96/379425996.db2.gz UQIXKSBHVQKKDV-UHFFFAOYSA-N -1 1 330.392 -0.354 20 0 EBADMM O=C(CCN1C(=O)CCC1=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000622612447 379451090 /nfs/dbraw/zinc/45/10/90/379451090.db2.gz XGLPQJKQMOHPQP-CQSZACIVSA-N -1 1 328.332 -0.056 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@H](NC(N)=O)C1 ZINC000270801932 379479489 /nfs/dbraw/zinc/47/94/89/379479489.db2.gz WOMUDIUOAUERAV-JTQLQIEISA-N -1 1 332.364 -0.549 20 0 EBADMM CCC[C@@H]1C(=O)NCCN1C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000270923310 379488584 /nfs/dbraw/zinc/48/85/84/379488584.db2.gz VDHMKYGMOXCJAI-SNVBAGLBSA-N -1 1 329.378 -0.072 20 0 EBADMM CC(C)(C(N)=O)N1CCN(C(=O)c2nc3ccccc3c(=O)[n-]2)CC1 ZINC000487319184 379491784 /nfs/dbraw/zinc/49/17/84/379491784.db2.gz GOQWMHDFZKTHDV-UHFFFAOYSA-N -1 1 343.387 -0.055 20 0 EBADMM CC(C)[C@H](O)C1(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000271825305 379546013 /nfs/dbraw/zinc/54/60/13/379546013.db2.gz NYHRDRYRXOKVJS-NSHDSACASA-N -1 1 345.421 -0.841 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CC(C)(C)OC2(C)C)c(=O)n(C)c1=O ZINC000272180047 379564537 /nfs/dbraw/zinc/56/45/37/379564537.db2.gz SITIDZXQMNIZAI-SNVBAGLBSA-N -1 1 345.421 -0.292 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC[C@H]2CCCCO2)c(=O)n(C)c1=O ZINC000272352829 379575603 /nfs/dbraw/zinc/57/56/03/379575603.db2.gz AICFFHOEMWPILQ-SNVBAGLBSA-N -1 1 331.394 -0.679 20 0 EBADMM C[C@H](CN(C)C(=O)CN1C(=O)N[C@](C)(C2CC2)C1=O)c1nn[n-]n1 ZINC000273554805 379651625 /nfs/dbraw/zinc/65/16/25/379651625.db2.gz WHDWKWFGHVRADI-XLKFXECMSA-N -1 1 335.368 -0.518 20 0 EBADMM CCC[C@H](NC(=O)c1ccc(S(=O)(=O)NC)nc1)c1nn[n-]n1 ZINC000274485416 379696849 /nfs/dbraw/zinc/69/68/49/379696849.db2.gz CEJOVCZWEXDBOK-VIFPVBQESA-N -1 1 339.381 -0.226 20 0 EBADMM COCC(=O)N1CCN(S(=O)(=O)c2cc(OC)ccc2[O-])CC1 ZINC000631762577 379755206 /nfs/dbraw/zinc/75/52/06/379755206.db2.gz PHQFPHQWBYDKLD-UHFFFAOYSA-N -1 1 344.389 -0.120 20 0 EBADMM O=C(NN1CC(=O)[N-]C1=O)[C@H]1CC(=O)N(c2cc(F)cc(F)c2)C1 ZINC000053762166 379829535 /nfs/dbraw/zinc/82/95/35/379829535.db2.gz VENHHPFWUQDNFP-ZETCQYMHSA-N -1 1 338.270 -0.099 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@@H]1[N-]S(=O)(=O)C[C@H]1CCC1(F)F ZINC000632551588 379879738 /nfs/dbraw/zinc/87/97/38/379879738.db2.gz FFNDYSVGXYLDDR-HRDYMLBCSA-N -1 1 333.378 -0.237 20 0 EBADMM CO[C@@H]1CCC[C@H]1S(=O)(=O)[N-][C@@H]1CS(=O)(=O)C[C@H]1OC ZINC000632552039 379880590 /nfs/dbraw/zinc/88/05/90/379880590.db2.gz LLQWXKGLTBHZGX-GWOFURMSSA-N -1 1 327.424 -0.715 20 0 EBADMM Cc1cccc(=O)n1C[C@@](C)(O)C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000280329082 379979794 /nfs/dbraw/zinc/97/97/94/379979794.db2.gz PAZZZJKUMCOHME-MEBBXXQBSA-N -1 1 334.380 -0.317 20 0 EBADMM C[C@H](O)CN1CCN(C(=O)c2c[nH]c3nc(=O)[n-]c(=O)c-3c2)[C@H](C)C1 ZINC000281665924 380033384 /nfs/dbraw/zinc/03/33/84/380033384.db2.gz SRHRLYYKVUCJSG-ZJUUUORDSA-N -1 1 347.375 -0.037 20 0 EBADMM C[C@@H](O)CN1CCN(C(=O)c2c[nH]c3nc(=O)[n-]c(=O)c-3c2)[C@H](C)C1 ZINC000281665918 380033869 /nfs/dbraw/zinc/03/38/69/380033869.db2.gz SRHRLYYKVUCJSG-NXEZZACHSA-N -1 1 347.375 -0.037 20 0 EBADMM Cc1nnc(C[N-]S(=O)(=O)c2cccc(S(N)(=O)=O)c2)s1 ZINC000541871129 380034465 /nfs/dbraw/zinc/03/44/65/380034465.db2.gz KENFVDCMVPNKMF-UHFFFAOYSA-N -1 1 348.431 -0.028 20 0 EBADMM Cn1ncc(NS(=O)(=O)c2ccc(-n3cnnn3)cc2)c1C(=O)[O-] ZINC000540294109 380010465 /nfs/dbraw/zinc/01/04/65/380010465.db2.gz FBGBFYQZYXSHRB-UHFFFAOYSA-N -1 1 349.332 -0.105 20 0 EBADMM CC(C)(C)[C@@H](NS(C)(=O)=O)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000540425384 380012895 /nfs/dbraw/zinc/01/28/95/380012895.db2.gz QCPCZLBETKXLHV-ZJUUUORDSA-N -1 1 344.441 -0.130 20 0 EBADMM CC(=O)N1CCN(CC[N-]S(=O)(=O)c2c(C)onc2N)CC1 ZINC000281236041 380013722 /nfs/dbraw/zinc/01/37/22/380013722.db2.gz SNTCZJKESZXMDV-UHFFFAOYSA-N -1 1 331.398 -0.992 20 0 EBADMM CS(=O)(=O)c1ccc(S(=O)(=O)[N-]CC(F)(F)CO)cn1 ZINC000281546249 380029909 /nfs/dbraw/zinc/02/99/09/380029909.db2.gz SNKGRQOPLQBCGK-UHFFFAOYSA-N -1 1 330.334 -0.609 20 0 EBADMM CC(C)[C@@H](C(=O)N(C)C[C@@H](C)c1nn[n-]n1)N1CCCS1(=O)=O ZINC000542772812 380051534 /nfs/dbraw/zinc/05/15/34/380051534.db2.gz GQTLBWZJHBZZKB-MNOVXSKESA-N -1 1 344.441 -0.178 20 0 EBADMM C[C@@H]1C[C@H](C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])CC(=O)N1 ZINC000543570416 380064406 /nfs/dbraw/zinc/06/44/06/380064406.db2.gz ZNYUHUBMFBNLJR-SFYZADRCSA-N -1 1 327.362 -0.107 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@](C)(O)CCOC ZINC000269767330 380071746 /nfs/dbraw/zinc/07/17/46/380071746.db2.gz LNPWTWGZPNGFFK-GFCCVEGCSA-N -1 1 335.382 -0.348 20 0 EBADMM Cc1cc(C(=O)NC[C@]2(O)CC[N@@H+](C)C2)[n-]c2nc(=O)[n-]c(=O)c1-2 ZINC000545171924 380084423 /nfs/dbraw/zinc/08/44/23/380084423.db2.gz DLOMJKOHSIOPLP-OAHLLOKOSA-N -1 1 333.348 -0.459 20 0 EBADMM Cc1cc(C(=O)NC[C@]2(O)CC[N@H+](C)C2)[n-]c2nc(=O)[n-]c(=O)c1-2 ZINC000545171924 380084427 /nfs/dbraw/zinc/08/44/27/380084427.db2.gz DLOMJKOHSIOPLP-OAHLLOKOSA-N -1 1 333.348 -0.459 20 0 EBADMM CC[C@@H](C)[C@H](O)CNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000355103497 380145036 /nfs/dbraw/zinc/14/50/36/380145036.db2.gz YYQQSOCLMPQRNN-RKDXNWHRSA-N -1 1 347.393 -0.820 20 0 EBADMM COC(=O)[C@H](C)CS(=O)(=O)N[C@H](CN1CCCCC1)C(=O)[O-] ZINC000547561643 380145876 /nfs/dbraw/zinc/14/58/76/380145876.db2.gz BJSQSCJGSAXYLB-GHMZBOCLSA-N -1 1 336.410 -0.346 20 0 EBADMM CCS(=O)(=O)N1CCC[C@H](C(=O)N(C)C[C@@H](C)c2nn[n-]n2)C1 ZINC000548138854 380187022 /nfs/dbraw/zinc/18/70/22/380187022.db2.gz FMTKBVZAZOQNSW-MNOVXSKESA-N -1 1 344.441 -0.177 20 0 EBADMM Cc1ccc(NC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)nc1 ZINC000355371007 380190925 /nfs/dbraw/zinc/19/09/25/380190925.db2.gz BNZVXFOPMDAZRQ-UHFFFAOYSA-N -1 1 338.345 -0.001 20 0 EBADMM CN(C)C(=O)[C@@H]1CCCN1C(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287852824 380377612 /nfs/dbraw/zinc/37/76/12/380377612.db2.gz CPOKZMBGCWHJAJ-XHEYCZLTSA-N -1 1 344.375 -0.641 20 0 EBADMM CN(CC(=O)N1CCOCC1)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287369783 380347346 /nfs/dbraw/zinc/34/73/46/380347346.db2.gz ZJVWYCRHDJHDOI-PDGQHHTCSA-N -1 1 332.364 -0.336 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCN(C(=O)N(C)C)CC2)co1 ZINC000555588404 380362811 /nfs/dbraw/zinc/36/28/11/380362811.db2.gz OPWWPKCQDNRUIB-UHFFFAOYSA-N -1 1 344.393 -0.373 20 0 EBADMM NS(=O)(=O)CCOCC[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000288939438 380424191 /nfs/dbraw/zinc/42/41/91/380424191.db2.gz NXWGARHGACRDQU-UHFFFAOYSA-N -1 1 344.361 -0.452 20 0 EBADMM COC[C@@H](NC(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2)[C@@H]1CCCO1 ZINC000288490464 380408576 /nfs/dbraw/zinc/40/85/76/380408576.db2.gz SCUABJLHGQWINY-BXPCDAKESA-N -1 1 347.375 -0.410 20 0 EBADMM CS[C@H](CO)[C@@H](C)NC(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000288714394 380415093 /nfs/dbraw/zinc/41/50/93/380415093.db2.gz IRJXKANEDPINKL-YEOCATJESA-N -1 1 337.405 -0.491 20 0 EBADMM O=C([O-])c1ccc(N2C(=O)C[C@H](NCCN3CCOCC3)C2=O)cc1 ZINC000565488732 380422211 /nfs/dbraw/zinc/42/22/11/380422211.db2.gz SARCPJQSIRUFRH-AWEZNQCLSA-N -1 1 347.371 -0.062 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)c2ccc3nnnn3c2)c1 ZINC000567102410 380502262 /nfs/dbraw/zinc/50/22/62/380502262.db2.gz NBHJFQPSIWHNQL-UHFFFAOYSA-N -1 1 348.344 -0.010 20 0 EBADMM CC(=O)N1CC[C@H](NC(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC000159158256 380597834 /nfs/dbraw/zinc/59/78/34/380597834.db2.gz OJYOLQNGPPDUIV-LBPRGKRZSA-N -1 1 331.376 -0.081 20 0 EBADMM Cn1ncc2c1nc(N)nc2N1CCN(c2nc(=N)[n-]s2)CC1 ZINC000568415066 380601576 /nfs/dbraw/zinc/60/15/76/380601576.db2.gz FJWNTEBNCQMJRM-UHFFFAOYSA-N -1 1 332.397 -0.633 20 0 EBADMM O=C([O-])[C@@H](c1ccccc1)N1CC[C@H](NC(=O)c2cnn[nH]2)C1=O ZINC000573205950 380663603 /nfs/dbraw/zinc/66/36/03/380663603.db2.gz KONBFDYQFWQXJN-CMPLNLGQSA-N -1 1 329.316 -0.039 20 0 EBADMM CC(C)(C)[C@H](NS(C)(=O)=O)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000372070753 380686506 /nfs/dbraw/zinc/68/65/06/380686506.db2.gz DNMRLZMKAJTDDD-RKDXNWHRSA-N -1 1 346.413 -0.937 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)[C@H]2CCC(=O)N(C)C2)co1 ZINC000574106425 380701468 /nfs/dbraw/zinc/70/14/68/380701468.db2.gz ASSGOYVCKDBZOV-JTQLQIEISA-N -1 1 329.378 -0.120 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@H](OC)C[C@H]2C(=O)OC)co1 ZINC000372307106 380701716 /nfs/dbraw/zinc/70/17/16/380701716.db2.gz JHIKIWSGDGBUTG-ZJUUUORDSA-N -1 1 346.361 -0.410 20 0 EBADMM COc1ccc(NC(=O)N(C)C[C@H](O)CO)cc1[N-]S(C)(=O)=O ZINC000341939110 380744896 /nfs/dbraw/zinc/74/48/96/380744896.db2.gz BMFRDMKUBISXTK-JTQLQIEISA-N -1 1 347.393 -0.116 20 0 EBADMM COCCC[N@@H+](C)CCNS(=O)(=O)c1cnn2c1OCCC2 ZINC000416407187 380781975 /nfs/dbraw/zinc/78/19/75/380781975.db2.gz IXAZIENTKFFQJS-UHFFFAOYSA-N -1 1 332.426 -0.088 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@@H]3C)C2)co1 ZINC000374334958 380789285 /nfs/dbraw/zinc/78/92/85/380789285.db2.gz YFTPWIIQAUCZNQ-JMJZKYOTSA-N -1 1 341.389 -0.073 20 0 EBADMM C[C@@H]1C[C@H](C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)[C@H](C)O1 ZINC000416595542 380790513 /nfs/dbraw/zinc/79/05/13/380790513.db2.gz FBMBADOGCOHRDG-KXUCPTDWSA-N -1 1 331.394 -0.824 20 0 EBADMM CC(C)OCC(C)(C)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000416622703 380791524 /nfs/dbraw/zinc/79/15/24/380791524.db2.gz KQZDVSVCGLVRQO-UHFFFAOYSA-N -1 1 333.410 -0.434 20 0 EBADMM CO[C@H](CS(=O)(=O)[N-][C@]1(C(N)=O)CCOC1)c1ccccc1 ZINC000577291992 380816283 /nfs/dbraw/zinc/81/62/83/380816283.db2.gz FRZKFIVMSKJOJO-TZMCWYRMSA-N -1 1 328.390 -0.062 20 0 EBADMM O=S(=O)(CCCS(=O)(=O)N1CC[C@H](O)C1)[N-]c1ccccn1 ZINC000349530050 380887147 /nfs/dbraw/zinc/88/71/47/380887147.db2.gz BRORSFHYTKAIMD-NSHDSACASA-N -1 1 349.434 -0.390 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H](OC)C[C@H]2C(=O)OC)o1 ZINC000377630965 380983774 /nfs/dbraw/zinc/98/37/74/380983774.db2.gz XROXPJOGPFTYJS-BDAKNGLRSA-N -1 1 346.361 -0.410 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)c1ncnn1C ZINC000353790830 380938457 /nfs/dbraw/zinc/93/84/57/380938457.db2.gz JMZFJYQKIHCHQS-SSDOTTSWSA-N -1 1 328.354 -0.246 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCN([C@H]3CCOC3)CC2)co1 ZINC000366832377 381005943 /nfs/dbraw/zinc/00/59/43/381005943.db2.gz PDKULHVBDHKBJO-LBPRGKRZSA-N -1 1 343.405 -0.266 20 0 EBADMM COC(=O)C(C)(C)c1ccc(NC(=O)C(=O)NCc2nn[n-]n2)cc1 ZINC000610379379 381122815 /nfs/dbraw/zinc/12/28/15/381122815.db2.gz XGERGQMKVWEPDE-UHFFFAOYSA-N -1 1 346.347 -0.095 20 0 EBADMM CC1(O)CN(S(=O)(=O)CCCS(=O)(=O)[N-]c2ccccn2)C1 ZINC000349464500 381139386 /nfs/dbraw/zinc/13/93/86/381139386.db2.gz NZHLJMNGOJMOLY-UHFFFAOYSA-N -1 1 349.434 -0.390 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@H](CO)NC(=O)C(C)C ZINC000584565094 381181443 /nfs/dbraw/zinc/18/14/43/381181443.db2.gz MCGAQLNXNPIFKV-LBPRGKRZSA-N -1 1 349.391 -0.199 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NCCN1CCOCC1 ZINC000104012733 381205537 /nfs/dbraw/zinc/20/55/37/381205537.db2.gz YAFYMEVAWLZHER-UHFFFAOYSA-N -1 1 333.392 -0.370 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C[C@H]1C ZINC000347745313 381270609 /nfs/dbraw/zinc/27/06/09/381270609.db2.gz VKUVDCXRRKOTFB-RKDXNWHRSA-N -1 1 329.378 -0.229 20 0 EBADMM NC(=O)c1cnn2c1nccc2C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000348444388 381376204 /nfs/dbraw/zinc/37/62/04/381376204.db2.gz QINPICFMPXKCFM-UHFFFAOYSA-N -1 1 341.335 -0.459 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCCn2cc(CO)nn2)o1 ZINC000349783917 381483072 /nfs/dbraw/zinc/48/30/72/381483072.db2.gz NUYITZGWCVNQJF-UHFFFAOYSA-N -1 1 344.349 -0.481 20 0 EBADMM COC(=O)C[N-]S(=O)(=O)c1nc(C)n(C)c1Br ZINC000286486067 381666107 /nfs/dbraw/zinc/66/61/07/381666107.db2.gz COJZICLHUAISHI-UHFFFAOYSA-N -1 1 326.172 -0.058 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)CNC(=O)c2ncccc2[O-])CCS1(=O)=O ZINC000351971185 381854390 /nfs/dbraw/zinc/85/43/90/381854390.db2.gz QMLFPMBXNNDOPE-BDAKNGLRSA-N -1 1 327.362 -0.791 20 0 EBADMM NS(=O)(=O)c1ccsc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000612814769 381867002 /nfs/dbraw/zinc/86/70/02/381867002.db2.gz CXVZHQLFPBISPE-SSDOTTSWSA-N -1 1 342.406 -0.072 20 0 EBADMM COC(=O)[C@@H]1C[C@H](OC)CN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000352544803 381999190 /nfs/dbraw/zinc/99/91/90/381999190.db2.gz SYMNRMLWLMMXJW-UWVGGRQHSA-N -1 1 337.332 -0.694 20 0 EBADMM COC(=O)[C@@H]1C[C@@H](OC)CN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000352544808 382000473 /nfs/dbraw/zinc/00/04/73/382000473.db2.gz SYMNRMLWLMMXJW-ZJUUUORDSA-N -1 1 337.332 -0.694 20 0 EBADMM CS(=O)(=O)NCC[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000352897522 382073251 /nfs/dbraw/zinc/07/32/51/382073251.db2.gz PJKQBAABPSUCHP-UHFFFAOYSA-N -1 1 347.212 -0.130 20 0 EBADMM O=C(CCNC(=O)c1nc2ccccc2c(=O)[n-]1)N1CCOCC1 ZINC000353477675 382149726 /nfs/dbraw/zinc/14/97/26/382149726.db2.gz PIWPSPIOYTUWDQ-UHFFFAOYSA-N -1 1 330.344 -0.098 20 0 EBADMM CN(CC(=O)[O-])[C@H]1CN(CCS(=O)(=O)c2ccccc2)C[C@H]1O ZINC000328608505 258039831 /nfs/dbraw/zinc/03/98/31/258039831.db2.gz ZDVARZBOACTDFS-UONOGXRCSA-N -1 1 342.417 -0.478 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H](C)CN2CCCC2=O)o1 ZINC000298896977 155140326 /nfs/dbraw/zinc/14/03/26/155140326.db2.gz AFEBEEJXTLUFHJ-VIFPVBQESA-N -1 1 329.378 -0.072 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)N1CCO[C@H](C(N)=O)C1 ZINC000299576778 155161144 /nfs/dbraw/zinc/16/11/44/155161144.db2.gz CMFGBBANOLWICT-LBPRGKRZSA-N -1 1 347.375 -0.303 20 0 EBADMM Cc1nc(CN2C[C@@H](F)C[C@H]2CN(C)Cc2nc(=O)n(C)[n-]2)no1 ZINC000329939421 155247846 /nfs/dbraw/zinc/24/78/46/155247846.db2.gz FRIQJAMCFUEDQQ-QWRGUYRKSA-N -1 1 339.375 -0.156 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@@H](NC(C)=O)C2)o1 ZINC000330461623 155271878 /nfs/dbraw/zinc/27/18/78/155271878.db2.gz AGJWUIKLBJUQOH-SNVBAGLBSA-N -1 1 329.378 -0.072 20 0 EBADMM COC(=O)C[C@@H]([N-]S(=O)(=O)N1C[C@H](C)C[C@H](C)C1)C(=O)OC ZINC000330736062 155306195 /nfs/dbraw/zinc/30/61/95/155306195.db2.gz WQCPGAWUAFJEHH-OUAUKWLOSA-N -1 1 336.410 -0.097 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC(OCC(=O)N(C)C)C2)o1 ZINC000331572332 155380219 /nfs/dbraw/zinc/38/02/19/155380219.db2.gz RXFPNPYMLRCDML-UHFFFAOYSA-N -1 1 345.377 -0.883 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CCc3nc(C)nn3C2)o1 ZINC000171456045 154094558 /nfs/dbraw/zinc/09/45/58/154094558.db2.gz DUTCLLDLBDMMHD-VIFPVBQESA-N -1 1 339.377 -0.168 20 0 EBADMM CC[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1ccncc1 ZINC000173220677 154099062 /nfs/dbraw/zinc/09/90/62/154099062.db2.gz FUNMFYHFEDOGDA-LLVKDONJSA-N -1 1 338.389 -0.091 20 0 EBADMM COC(=O)C[C@H]([N-]S(=O)(=O)c1ccc(C(=O)OC)o1)C(=O)OC ZINC000264616067 154286270 /nfs/dbraw/zinc/28/62/70/154286270.db2.gz QQGXPUFLFRVUOU-ZETCQYMHSA-N -1 1 349.317 -0.551 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N(C)[C@@H]1CCN(C)C1=O ZINC000265618560 154322601 /nfs/dbraw/zinc/32/26/01/154322601.db2.gz AYRYJYIRGJHFJL-GFCCVEGCSA-N -1 1 331.376 -0.129 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)C(=O)N(C)CC ZINC000276747452 154706220 /nfs/dbraw/zinc/70/62/20/154706220.db2.gz SNKCGJIQZNEWRG-QMMMGPOBSA-N -1 1 332.382 -0.269 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@@]1(O)CCO[C@@H]1C ZINC000279422485 154746907 /nfs/dbraw/zinc/74/69/07/154746907.db2.gz ILQJIPXPUDUQEU-BZNIZROVSA-N -1 1 334.376 -0.162 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CN(C)C(=O)COC)c1 ZINC000292327782 155030984 /nfs/dbraw/zinc/03/09/84/155030984.db2.gz USROVDBTYRJHMM-UHFFFAOYSA-N -1 1 345.377 -0.656 20 0 EBADMM NC(=O)c1ccc(OCC(=O)N2CCC[C@@H](c3nc(=O)[n-][nH]3)C2)cc1 ZINC000294417788 155061567 /nfs/dbraw/zinc/06/15/67/155061567.db2.gz OGSRFPSPJICLOM-LLVKDONJSA-N -1 1 345.359 -0.018 20 0 EBADMM Cc1cccnc1CC[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000296033186 155074241 /nfs/dbraw/zinc/07/42/41/155074241.db2.gz MPBQHDVBCHNOCI-UHFFFAOYSA-N -1 1 338.389 -0.692 20 0 EBADMM Cc1ccc2c(c1)C(=O)N([C@H](C)C(=O)NN1CC(=O)[N-]C1=O)C2=O ZINC000298085500 155099667 /nfs/dbraw/zinc/09/96/67/155099667.db2.gz HJYNIVPJJBNXKN-MRVPVSSYSA-N -1 1 330.300 -0.437 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCN2C(=O)CCCC2=O)o1 ZINC000342304490 156025664 /nfs/dbraw/zinc/02/56/64/156025664.db2.gz QXYUPKVTJSEZKT-UHFFFAOYSA-N -1 1 344.345 -0.116 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@](C)(O)C(=O)OCC ZINC000342512020 156040676 /nfs/dbraw/zinc/04/06/76/156040676.db2.gz ODZZDMJKBZWJMG-LBPRGKRZSA-N -1 1 349.365 -0.821 20 0 EBADMM Cc1ccc2c([O-])c(C(=O)N3CCN(C(=O)CO)CC3)cnc2n1 ZINC000343079951 156095345 /nfs/dbraw/zinc/09/53/45/156095345.db2.gz SIWHHHFFGJRAQT-UHFFFAOYSA-N -1 1 330.344 -0.079 20 0 EBADMM Cc1ccc2c(=O)c(C(=O)N3CCN(C(=O)CO)CC3)c[n-]c2n1 ZINC000343079951 156095347 /nfs/dbraw/zinc/09/53/47/156095347.db2.gz SIWHHHFFGJRAQT-UHFFFAOYSA-N -1 1 330.344 -0.079 20 0 EBADMM COCC[C@H](NC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C)C(N)=O ZINC000343304828 156121794 /nfs/dbraw/zinc/12/17/94/156121794.db2.gz OYRUKRQSSWORFQ-LBPRGKRZSA-N -1 1 349.391 -0.009 20 0 EBADMM COC(=O)CSCCNC(=O)CNC(=O)c1ncccc1[O-] ZINC000343671568 156153864 /nfs/dbraw/zinc/15/38/64/156153864.db2.gz PJDBSZVCSYKXAD-UHFFFAOYSA-N -1 1 327.362 -0.461 20 0 EBADMM Cn1ccnc1N1CCN(C(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000343720756 156161505 /nfs/dbraw/zinc/16/15/05/156161505.db2.gz JUSDICVHLKXJPC-UHFFFAOYSA-N -1 1 344.375 -0.401 20 0 EBADMM CCC[C@@H](NC(=O)CNS(=O)(=O)CCOC(C)C)c1nn[n-]n1 ZINC000343764335 156166656 /nfs/dbraw/zinc/16/66/56/156166656.db2.gz LVDJSSURXQGUET-SNVBAGLBSA-N -1 1 348.429 -0.499 20 0 EBADMM NC(=O)c1ccc(CNC(=O)CNC(=O)c2ncccc2[O-])cn1 ZINC000343818399 156170461 /nfs/dbraw/zinc/17/04/61/156170461.db2.gz CTSJVQZYDIBXHW-UHFFFAOYSA-N -1 1 329.316 -0.673 20 0 EBADMM CC(=O)N1CC[C@H](CN(C)C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000344770619 156263626 /nfs/dbraw/zinc/26/36/26/156263626.db2.gz GBUJPMKODKLWQY-GFCCVEGCSA-N -1 1 334.376 -0.156 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@H](C3CC3)C2)o1 ZINC000345050373 156297585 /nfs/dbraw/zinc/29/75/85/156297585.db2.gz LLNVASWMJOKEOX-JTQLQIEISA-N -1 1 341.389 -0.085 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCC[C@@H]1C(=O)NC ZINC000345495395 156335812 /nfs/dbraw/zinc/33/58/12/156335812.db2.gz VKAZWRYPWLRHNP-WPRPVWTQSA-N -1 1 344.393 -0.221 20 0 EBADMM CN1CC(=O)N(CCCC(=O)NC2(c3nn[n-]n3)CCCC2)C1=O ZINC000345552245 156345461 /nfs/dbraw/zinc/34/54/61/156345461.db2.gz RVTZMRXOYTYHNN-UHFFFAOYSA-N -1 1 335.368 -0.241 20 0 EBADMM CO[C@@H](C)CCNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000345967145 156374716 /nfs/dbraw/zinc/37/47/16/156374716.db2.gz DETVDMTWPKNAJM-QMMMGPOBSA-N -1 1 333.366 -0.802 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)CCNC(=O)N(C)C)o1 ZINC000355624598 157016005 /nfs/dbraw/zinc/01/60/05/157016005.db2.gz WUEXSAUULMSIAF-UHFFFAOYSA-N -1 1 332.382 -0.469 20 0 EBADMM Cn1ncc2c1[n-]cc(C(=O)NCCCn1cc(CO)nn1)c2=O ZINC000356623441 157080306 /nfs/dbraw/zinc/08/03/06/157080306.db2.gz DLYBGOHXGIKBQN-UHFFFAOYSA-N -1 1 331.336 -0.422 20 0 EBADMM CC(C)(C)[C@H](O)C[C@@H](CO)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000356667738 157085429 /nfs/dbraw/zinc/08/54/29/157085429.db2.gz GYCBFXRCNKTDTO-CMPLNLGQSA-N -1 1 339.392 -0.209 20 0 EBADMM COCCC(=O)N1CCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CC1 ZINC000356708406 157086693 /nfs/dbraw/zinc/08/66/93/157086693.db2.gz XCDLFLHBVCYXFR-UHFFFAOYSA-N -1 1 347.375 -0.005 20 0 EBADMM C[C@H](C(=O)NC1(c2nn[n-]n2)CC1)N1C(=O)[C@@H]2CCCC[C@@H]2C1=O ZINC000357067868 157117276 /nfs/dbraw/zinc/11/72/76/157117276.db2.gz GJXQQMMTLHRMPQ-BBBLOLIVSA-N -1 1 332.364 -0.131 20 0 EBADMM C[C@@H]1CCS(=O)(=O)CCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000357140278 157121614 /nfs/dbraw/zinc/12/16/14/157121614.db2.gz KPEFBPNJRFVKQV-SNVBAGLBSA-N -1 1 341.389 -0.447 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H](CO)Cc2cccnc2)o1 ZINC000357276074 157131928 /nfs/dbraw/zinc/13/19/28/157131928.db2.gz VQAGBEKTOYVNKM-NSHDSACASA-N -1 1 339.373 -0.084 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC23CCC3)o1 ZINC000357395698 157141147 /nfs/dbraw/zinc/14/11/47/157141147.db2.gz DHJIQQMLTAJLSU-UHFFFAOYSA-N -1 1 327.362 -0.188 20 0 EBADMM Cc1[nH]c(=O)sc1S(=O)(=O)[N-]CCNC(=O)C1(O)CCC1 ZINC000357559997 157153465 /nfs/dbraw/zinc/15/34/65/157153465.db2.gz DYIOEHVIDDMBCX-UHFFFAOYSA-N -1 1 335.407 -0.533 20 0 EBADMM COC(=O)C[C@@H](NC(=O)c1c[n-]c2c(cnn2C)c1=O)C(=O)OC ZINC000358324920 157231136 /nfs/dbraw/zinc/23/11/36/157231136.db2.gz OXABEDPWFPETHQ-SECBINFHSA-N -1 1 336.304 -0.492 20 0 EBADMM C[C@@H](O)C(=O)N1CCN(C(=O)c2c[n-]c3c(cnn3C)c2=O)CC1 ZINC000358344412 157234297 /nfs/dbraw/zinc/23/42/97/157234297.db2.gz WPLNCAPNSIRZFN-SECBINFHSA-N -1 1 333.348 -0.661 20 0 EBADMM CC[N@H+]1CCC[C@H]1CN1C[C@H](C(=O)NCc2n[nH]c(=O)[n-]2)CC1=O ZINC000359270517 157307948 /nfs/dbraw/zinc/30/79/48/157307948.db2.gz KLJXXDZFAQNMHO-MNOVXSKESA-N -1 1 336.396 -0.541 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H](CCO)C1CCOCC1 ZINC000359723641 157338605 /nfs/dbraw/zinc/33/86/05/157338605.db2.gz LPXRIQCGUDSALM-LBPRGKRZSA-N -1 1 337.376 -0.189 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NC[C@H](CO)c1cccnc1 ZINC000360456541 157381366 /nfs/dbraw/zinc/38/13/66/157381366.db2.gz BIUKYKJZODBMTD-GFCCVEGCSA-N -1 1 330.344 -0.196 20 0 EBADMM CCOC(=O)[C@@H](CO)NC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000360595735 157388479 /nfs/dbraw/zinc/38/84/79/157388479.db2.gz KUURUBUFSGSJNN-LLVKDONJSA-N -1 1 336.348 -0.366 20 0 EBADMM C[C@@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])C[C@H](C)[S@@]1=O ZINC000360725660 157393924 /nfs/dbraw/zinc/39/39/24/157393924.db2.gz MIYAEWBBXAQREF-ONPAFVRTSA-N -1 1 325.390 -0.115 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)[C@H]2CCCCNC2=O)co1 ZINC000366816462 157539221 /nfs/dbraw/zinc/53/92/21/157539221.db2.gz SZHWUZNBESMOJC-JTQLQIEISA-N -1 1 329.378 -0.072 20 0 EBADMM CC(C)(C)n1cnc(=NC(=O)N2CCN(Cc3nnc[nH]3)CC2)[n-]1 ZINC000650124457 418950538 /nfs/dbraw/zinc/95/05/38/418950538.db2.gz XMCFJNLJVZYWJO-UHFFFAOYSA-N -1 1 333.400 -0.077 20 0 EBADMM O=C(N=c1nc2ccccn2[n-]1)N1CCN(Cc2nnc[nH]2)CC1 ZINC000650117385 418950897 /nfs/dbraw/zinc/95/08/97/418950897.db2.gz RFCCTBMOMIVJRU-UHFFFAOYSA-N -1 1 327.352 -0.381 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@]1(O)CCO[C@H]1C ZINC000278641531 419026889 /nfs/dbraw/zinc/02/68/89/419026889.db2.gz FXFZGRARNMENDI-UFBFGSQYSA-N -1 1 333.366 -0.595 20 0 EBADMM Cc1nn(CC(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)c(=O)n1C ZINC000655391388 419049170 /nfs/dbraw/zinc/04/91/70/419049170.db2.gz KASJHRFBWBHKGW-GFCCVEGCSA-N -1 1 328.336 -0.691 20 0 EBADMM CC(=O)Nc1cccc(N2C(=O)C[C@@H](NCc3nn[n-]n3)C2=O)c1 ZINC000653285172 419120528 /nfs/dbraw/zinc/12/05/28/419120528.db2.gz GGCPIQXSCODKTH-LLVKDONJSA-N -1 1 329.320 -0.420 20 0 EBADMM N=c1nc(N2CCN(C(=O)NCC[C@@]3(O)CCOC3)CC2)s[n-]1 ZINC000651282780 419185084 /nfs/dbraw/zinc/18/50/84/419185084.db2.gz YROYFNKLBODEEM-CYBMUJFWSA-N -1 1 342.425 -0.676 20 0 EBADMM COCCN1CCC[C@H]1CNC(=O)C(=O)N=c1ncn(C(C)C)[n-]1 ZINC000651575634 419210338 /nfs/dbraw/zinc/21/03/38/419210338.db2.gz HMDNEVCGQLHRET-LBPRGKRZSA-N -1 1 338.412 -0.554 20 0 EBADMM COC(=O)CC[C@@H]([N-]S(=O)(=O)c1c(C)onc1N)C(=O)OC ZINC000289797264 419349551 /nfs/dbraw/zinc/34/95/51/419349551.db2.gz JBFPLWZYGOVPKS-SSDOTTSWSA-N -1 1 335.338 -0.662 20 0 EBADMM CCC[N@@H+](CC)C[C@@H](C)NS(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000289450080 419347244 /nfs/dbraw/zinc/34/72/44/419347244.db2.gz FWNFWQWBSYGBDY-LLVKDONJSA-N -1 1 346.453 -0.517 20 0 EBADMM COCC(=O)N1CCN(CC(=O)[N-]C(=O)c2ccc(OC)cc2)CC1 ZINC000298757857 419368270 /nfs/dbraw/zinc/36/82/70/419368270.db2.gz OGFWMIQCUKMTOM-UHFFFAOYSA-N -1 1 349.387 -0.258 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@H](CO)Cc1cccnc1 ZINC000416225627 419429183 /nfs/dbraw/zinc/42/91/83/419429183.db2.gz JINGTBFQTVUTIA-LBPRGKRZSA-N -1 1 330.344 -0.368 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@H]1CCN(CC(F)F)C1 ZINC000416344982 419433823 /nfs/dbraw/zinc/43/38/23/419433823.db2.gz ICRCLUVJPVHZIB-VIFPVBQESA-N -1 1 328.319 -0.027 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CC(=O)Nc2cnccc21 ZINC000352545348 419599267 /nfs/dbraw/zinc/59/92/67/419599267.db2.gz WSKNZDMPBHSTNY-UHFFFAOYSA-N -1 1 327.300 -0.103 20 0 EBADMM Cc1ccc(F)cc1C(=O)C(=O)Nc1n[n-]c(S(C)(=O)=O)n1 ZINC000359503389 420520846 /nfs/dbraw/zinc/52/08/46/420520846.db2.gz XBCWGKOTHWNLEK-UHFFFAOYSA-N -1 1 326.309 0.477 20 0 EBADMM Cc1ccc(F)cc1C(=O)C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000359503389 420520851 /nfs/dbraw/zinc/52/08/51/420520851.db2.gz XBCWGKOTHWNLEK-UHFFFAOYSA-N -1 1 326.309 0.477 20 0 EBADMM CC(C)(C)OC(=O)NCCS(=O)(=O)[N-]C(=O)CCc1nc[nH]n1 ZINC000495756958 420606359 /nfs/dbraw/zinc/60/63/59/420606359.db2.gz XQWFFEIGHVSINU-UHFFFAOYSA-N -1 1 347.397 -0.292 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CC(=O)N(CC(F)(F)F)C1 ZINC000489119144 420545793 /nfs/dbraw/zinc/54/57/93/420545793.db2.gz WAVJGKKQESMZEF-UHFFFAOYSA-N -1 1 346.265 -0.292 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1CCCN(S(C)(=O)=O)C1)c1nn[n-]n1 ZINC000529020333 420756857 /nfs/dbraw/zinc/75/68/57/420756857.db2.gz JDKZIALMGISBCX-ZJUUUORDSA-N -1 1 330.414 -0.567 20 0 EBADMM CN1CC[C@@H](C(=O)N2CCC[C@@H](c3n[n-]c(=O)o3)C2)S1(=O)=O ZINC000649219199 420790586 /nfs/dbraw/zinc/79/05/86/420790586.db2.gz WIAMOKRCBNFFLW-BDAKNGLRSA-N -1 1 330.366 -0.485 20 0 EBADMM CN1CC[C@@H](C(=O)N2CCC[C@H](c3n[n-]c(=O)o3)C2)S1(=O)=O ZINC000649219201 420791900 /nfs/dbraw/zinc/79/19/00/420791900.db2.gz WIAMOKRCBNFFLW-IUCAKERBSA-N -1 1 330.366 -0.485 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC(=O)NCC1CC1 ZINC000272191567 420818744 /nfs/dbraw/zinc/81/87/44/420818744.db2.gz VPUASAIZRBTVEV-UHFFFAOYSA-N -1 1 330.366 -0.609 20 0 EBADMM CO[C@H](CS(=O)(=O)[N-]Cc1nnn(C(C)(C)C)n1)[C@H]1CCOC1 ZINC000657024041 420910778 /nfs/dbraw/zinc/91/07/78/420910778.db2.gz YEUHPOROOQTDSX-WDEREUQCSA-N -1 1 347.441 -0.101 20 0 EBADMM C[C@@H](C(=O)N(C)C)S(=O)(=O)[N-][C@H]1Cc2ccccc2N(C)C1=O ZINC000657070119 420911910 /nfs/dbraw/zinc/91/19/10/420911910.db2.gz BPKJJXQIIROLOT-JQWIXIFHSA-N -1 1 339.417 -0.030 20 0 EBADMM COc1cc(C[N-]S(=O)(=O)c2ccc(S(C)(=O)=O)nc2)on1 ZINC000660013616 421037095 /nfs/dbraw/zinc/03/70/95/421037095.db2.gz QYKFETSRYDOSNB-UHFFFAOYSA-N -1 1 347.374 -0.040 20 0 EBADMM O=C(NC[C@@]1(OCCO)CCOC1)c1nc2ccccc2c(=O)[n-]1 ZINC000664330712 421153553 /nfs/dbraw/zinc/15/35/53/421153553.db2.gz RGBGWHBTBKDHIH-INIZCTEOSA-N -1 1 333.344 -0.179 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]CCNc2cnccn2)c1 ZINC000338214830 421251245 /nfs/dbraw/zinc/25/12/45/421251245.db2.gz POLIIMWPBXJMFD-UHFFFAOYSA-N -1 1 326.334 0.247 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2nccc(OC)n2)co1 ZINC000424931766 265079970 /nfs/dbraw/zinc/07/99/70/265079970.db2.gz YAAQDQNRDSQBHR-UHFFFAOYSA-N -1 1 326.334 -0.084 20 0 EBADMM CC1(CNC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)CCC1 ZINC000427225253 265103791 /nfs/dbraw/zinc/10/37/91/265103791.db2.gz VHNGZCCHCYBLRT-UHFFFAOYSA-N -1 1 329.378 -0.037 20 0 EBADMM COC(=O)C[C@@H]([N-]S(=O)(=O)CCC1CCOCC1)C(=O)OC ZINC000431531086 265165707 /nfs/dbraw/zinc/16/57/07/265165707.db2.gz JPSCBMZQYDDZBW-LLVKDONJSA-N -1 1 337.394 -0.173 20 0 EBADMM CCC[C@H](NC(=O)c1ccc(S(=O)(=O)NC)o1)c1nn[n-]n1 ZINC000434763078 265214771 /nfs/dbraw/zinc/21/47/71/265214771.db2.gz JEANZHXDYRUZGY-ZETCQYMHSA-N -1 1 328.354 -0.028 20 0 EBADMM CNC(=O)COc1cccc(CC(=O)NC(C)(C)c2nn[n-]n2)c1 ZINC000435982946 265235061 /nfs/dbraw/zinc/23/50/61/265235061.db2.gz PNMYEVXQXBXGJI-UHFFFAOYSA-N -1 1 332.364 -0.082 20 0 EBADMM CNS(=O)(=O)CC[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000439088856 265264548 /nfs/dbraw/zinc/26/45/48/265264548.db2.gz ISKKCKOEPPZFDO-UHFFFAOYSA-N -1 1 347.212 -0.130 20 0 EBADMM Cc1nc([C@@H]2CN(S(=O)(=O)[N-]CC(F)(F)F)CCO2)n[nH]1 ZINC000443022003 265335127 /nfs/dbraw/zinc/33/51/27/265335127.db2.gz JGXPIHKCSNJFIZ-ZETCQYMHSA-N -1 1 329.304 -0.117 20 0 EBADMM CC[C@@H](C[C@@H](C)CO)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000443339705 265347683 /nfs/dbraw/zinc/34/76/83/265347683.db2.gz DIVSCLBSKHEVTI-ZJUUUORDSA-N -1 1 333.410 -0.841 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@@H](O)[C@H]2C(=O)OC)co1 ZINC000444434920 265363522 /nfs/dbraw/zinc/36/35/22/265363522.db2.gz JELODLQTMVVULP-KOLCDFICSA-N -1 1 346.361 -0.674 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCN3C(=O)OC[C@@H]3C2)o1 ZINC000493336399 272869186 /nfs/dbraw/zinc/86/91/86/272869186.db2.gz HTSCIJKHEKCBHU-QMMMGPOBSA-N -1 1 329.334 -0.536 20 0 EBADMM C[C@@H]1CSC[C@@H]1NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000342461320 288431276 /nfs/dbraw/zinc/43/12/76/288431276.db2.gz ORHHIVBRNBHMFM-SFYZADRCSA-N -1 1 347.418 -0.476 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CCN(C)C2=O)c(C(F)(F)F)n1 ZINC000293563170 302919516 /nfs/dbraw/zinc/91/95/16/302919516.db2.gz SDUQURXBHLTGQP-ZCFIWIBFSA-N -1 1 326.300 -0.052 20 0 EBADMM O=C(CCN1CCCS1(=O)=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000670405596 545996881 /nfs/dbraw/zinc/99/68/81/545996881.db2.gz CMGZNNSHDIJESD-UHFFFAOYSA-N -1 1 344.393 -0.093 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]CCNC(=O)c1cccnc1 ZINC000580337849 546042417 /nfs/dbraw/zinc/04/24/17/546042417.db2.gz XCDNCTFNUZADBE-UHFFFAOYSA-N -1 1 325.350 -0.331 20 0 EBADMM CN1CCC[C@@H](NS(=O)(=O)c2c[nH]c3nc(=O)[n-]c(=O)c-3c2)C1 ZINC000674487383 546166049 /nfs/dbraw/zinc/16/60/49/546166049.db2.gz PGYKMLAUEHKOIU-MRVPVSSYSA-N -1 1 339.377 -0.192 20 0 EBADMM C[C@H](C[C@@H]1CCOC1)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000675330979 546182383 /nfs/dbraw/zinc/18/23/83/546182383.db2.gz YUVXBTHDZKXDNZ-ZJUUUORDSA-N -1 1 331.394 -0.823 20 0 EBADMM CC1(C)CCC[C@]2(C1)NC(=O)N(CC(=O)NCc1nn[n-]n1)C2=O ZINC000676173562 546197504 /nfs/dbraw/zinc/19/75/04/546197504.db2.gz YLKKIRAPPQOFGX-CQSZACIVSA-N -1 1 335.368 -0.293 20 0 EBADMM O=C([O-])[C@H]1CN(C(=O)CCNC(=O)c2n[nH]c3ccccc32)CCO1 ZINC000676212870 546198233 /nfs/dbraw/zinc/19/82/33/546198233.db2.gz IYGOJLXSIWUVFJ-GFCCVEGCSA-N -1 1 346.343 -0.005 20 0 EBADMM Cc1c(NC(=O)C(=O)NCc2nn[n-]n2)cnn1-c1ccccc1 ZINC000676453000 546209443 /nfs/dbraw/zinc/20/94/43/546209443.db2.gz FOWCRSWJBQHOTG-UHFFFAOYSA-N -1 1 326.320 -0.051 20 0 EBADMM CN1C(=O)c2ccc(S(=O)(=O)[N-]c3cc(C(N)=O)[nH]n3)cc2C1=O ZINC000679202865 546267480 /nfs/dbraw/zinc/26/74/80/546267480.db2.gz PQHZHYYFYAJPNO-UHFFFAOYSA-N -1 1 349.328 -0.465 20 0 EBADMM CNC(=O)[C@H](CO)[N-]S(=O)(=O)C[C@@]12CC[C@@H](CC1=O)C2(C)C ZINC000680838166 546294068 /nfs/dbraw/zinc/29/40/68/546294068.db2.gz QIMALTJWVMHKPH-BHDSKKPTSA-N -1 1 332.422 -0.592 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCCOCC(F)(F)F)ccnc1-2 ZINC000806835432 582542535 /nfs/dbraw/zinc/54/25/35/582542535.db2.gz MDNQXSLESWDLOQ-UHFFFAOYSA-N -1 1 345.281 -0.025 20 0 EBADMM Cn1cc(N2CCC[C@@H]([N-]S(=O)(=O)N=S(C)(C)=O)C2)cn1 ZINC000866872212 582566767 /nfs/dbraw/zinc/56/67/67/582566767.db2.gz ZRZZSKSARNCOBM-SNVBAGLBSA-N -1 1 335.455 -0.049 20 0 EBADMM NC(=O)CN1C[C@H](OC(=O)c2sccc2-c2nn[n-]n2)CC1=O ZINC000737751897 582584895 /nfs/dbraw/zinc/58/48/95/582584895.db2.gz AYBQIOOIEMOTIX-ZCFIWIBFSA-N -1 1 336.333 -0.829 20 0 EBADMM NC(=O)CN1C[C@@H](OC(=O)c2sccc2-c2nn[n-]n2)CC1=O ZINC000737751896 582585118 /nfs/dbraw/zinc/58/51/18/582585118.db2.gz AYBQIOOIEMOTIX-LURJTMIESA-N -1 1 336.333 -0.829 20 0 EBADMM C[N@@H+]1Cc2ccccc2[C@H](NC(=O)N2CC3(C2)NC(=O)NC3=O)C1 ZINC000893854486 582611457 /nfs/dbraw/zinc/61/14/57/582611457.db2.gz AMWFDWMDNBHKGW-GFCCVEGCSA-N -1 1 329.360 -0.224 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC(=O)OCc1ccccc1 ZINC000726864571 582623242 /nfs/dbraw/zinc/62/32/42/582623242.db2.gz FOWSJBXYOWBUKQ-UHFFFAOYSA-N -1 1 331.328 -0.214 20 0 EBADMM NS(=O)(=O)c1ccc(CNc2nccnc2-c2nnn[n-]2)s1 ZINC000737769082 582631184 /nfs/dbraw/zinc/63/11/84/582631184.db2.gz DNDYURYWTOPQRA-UHFFFAOYSA-N -1 1 338.378 -0.022 20 0 EBADMM NS(=O)(=O)c1ccc(CNc2nccnc2-c2nn[n-]n2)s1 ZINC000737769082 582631187 /nfs/dbraw/zinc/63/11/87/582631187.db2.gz DNDYURYWTOPQRA-UHFFFAOYSA-N -1 1 338.378 -0.022 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCNC(=O)[C@H]1c1ccccc1 ZINC000727853926 582661759 /nfs/dbraw/zinc/66/17/59/582661759.db2.gz TZZLUMJZZIRAAI-CQSZACIVSA-N -1 1 342.355 -0.374 20 0 EBADMM O=C(Nc1nnn[n-]1)c1ccc2c(c1)S(=O)(=O)N(C1CC1)C2=O ZINC000728207733 582672013 /nfs/dbraw/zinc/67/20/13/582672013.db2.gz GICYUEHNSPPSTR-UHFFFAOYSA-N -1 1 334.317 -0.241 20 0 EBADMM O=C(Nc1nn[n-]n1)c1ccc2c(c1)S(=O)(=O)N(C1CC1)C2=O ZINC000728207733 582672015 /nfs/dbraw/zinc/67/20/15/582672015.db2.gz GICYUEHNSPPSTR-UHFFFAOYSA-N -1 1 334.317 -0.241 20 0 EBADMM C[C@@H]1CCN(S(=O)(=O)[N-]c2ccn(CCN3CC[NH+]=C3[O-])n2)C1 ZINC000901747566 582799040 /nfs/dbraw/zinc/79/90/40/582799040.db2.gz IYUYPNSSHSAWOO-LLVKDONJSA-N -1 1 342.425 -0.093 20 0 EBADMM O=C(CCC(=O)N1CCOc2ccccc21)NN1CC(=O)[N-]C1=O ZINC000730271936 582826347 /nfs/dbraw/zinc/82/63/47/582826347.db2.gz FBWVPJBOBBKNMR-UHFFFAOYSA-N -1 1 332.316 -0.225 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)OCCNS(C)(=O)=O ZINC000731447208 582837185 /nfs/dbraw/zinc/83/71/85/582837185.db2.gz HNRJUUACLNOKGO-UHFFFAOYSA-N -1 1 342.377 -0.313 20 0 EBADMM O=C(Cc1ccc(-n2cnnn2)cc1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000731880171 582841694 /nfs/dbraw/zinc/84/16/94/582841694.db2.gz IDRJPBRJVMYLSH-GFCCVEGCSA-N -1 1 341.335 -0.682 20 0 EBADMM Cc1ccc([C@@]2(C)NC(=O)N(CC(=O)NCc3nn[n-]n3)C2=O)cc1 ZINC000731887120 582842115 /nfs/dbraw/zinc/84/21/15/582842115.db2.gz HVALXMYWAVBNOY-OAHLLOKOSA-N -1 1 343.347 -0.408 20 0 EBADMM NC(=O)N[C@@H](CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1)c1ccccc1 ZINC000732090459 582844314 /nfs/dbraw/zinc/84/43/14/582844314.db2.gz WXBWCIAQYSHBAF-NWDGAFQWSA-N -1 1 345.363 -0.101 20 0 EBADMM Cc1ccc(C(=O)NCCNC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC000732293203 582847470 /nfs/dbraw/zinc/84/74/70/582847470.db2.gz ZCTBLJOTQCLHRN-UHFFFAOYSA-N -1 1 344.371 -0.219 20 0 EBADMM CC1(C)C(=O)NC(=O)N1CC(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000735944723 582911286 /nfs/dbraw/zinc/91/12/86/582911286.db2.gz MZPPXHNGUDMUMU-UHFFFAOYSA-N -1 1 347.327 -0.183 20 0 EBADMM CC[C@H](C)NC(=O)CN1CCN(c2cnc(-c3nnn[n-]3)cn2)CC1 ZINC000736325488 582930235 /nfs/dbraw/zinc/93/02/35/582930235.db2.gz KKKYUSOJAIHYTP-NSHDSACASA-N -1 1 345.411 -0.307 20 0 EBADMM CC[C@H](C)NC(=O)CN1CCN(c2cnc(-c3nn[n-]n3)cn2)CC1 ZINC000736325488 582930236 /nfs/dbraw/zinc/93/02/36/582930236.db2.gz KKKYUSOJAIHYTP-NSHDSACASA-N -1 1 345.411 -0.307 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)COC(=O)[C@@H]2C[C@H]2C(=O)[O-])C1 ZINC000736444599 582934200 /nfs/dbraw/zinc/93/42/00/582934200.db2.gz YUYWTGBDKXGHOA-JHJVBQTASA-N -1 1 342.392 -0.277 20 0 EBADMM CCCN(CC(F)F)c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000736469148 582935333 /nfs/dbraw/zinc/93/53/33/582935333.db2.gz GHBORNXOYYRDPL-UHFFFAOYSA-N -1 1 329.311 -0.254 20 0 EBADMM CCn1cc(-c2nn[n-]n2)c(=O)n(CC(=O)NCC2CCC2)c1=O ZINC000736714128 582951165 /nfs/dbraw/zinc/95/11/65/582951165.db2.gz NXSRFQVYGXKFIF-UHFFFAOYSA-N -1 1 333.352 -0.874 20 0 EBADMM CCn1cc(-c2nn[n-]n2)c(=O)n(Cc2cc(C(=O)OC)co2)c1=O ZINC000736712814 582951351 /nfs/dbraw/zinc/95/13/51/582951351.db2.gz DDDYLQIKVLSTRY-UHFFFAOYSA-N -1 1 346.303 -0.362 20 0 EBADMM CC[C@@H](C)NC(=O)CN1CCN(CCC(=O)N(C)CC(=O)[O-])CC1 ZINC000736886556 582969617 /nfs/dbraw/zinc/96/96/17/582969617.db2.gz JSJFCQNQKSWAJS-CYBMUJFWSA-N -1 1 342.440 -0.548 20 0 EBADMM COCC(=O)N1CCN(C(=O)c2ccc(-c3nnn[n-]3)nc2)CC1 ZINC000736965217 582978007 /nfs/dbraw/zinc/97/80/07/582978007.db2.gz AMHKHHCPZMLOIQ-UHFFFAOYSA-N -1 1 331.336 -0.808 20 0 EBADMM COCC(=O)N1CCN(C(=O)c2ccc(-c3nn[n-]n3)nc2)CC1 ZINC000736965217 582978009 /nfs/dbraw/zinc/97/80/09/582978009.db2.gz AMHKHHCPZMLOIQ-UHFFFAOYSA-N -1 1 331.336 -0.808 20 0 EBADMM COCCCNC(=O)[C@H](C)OC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736986569 582979594 /nfs/dbraw/zinc/97/95/94/582979594.db2.gz NMRYPUNPUVDDFN-VIFPVBQESA-N -1 1 334.336 -0.040 20 0 EBADMM COCCCNC(=O)[C@H](C)OC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736986569 582979596 /nfs/dbraw/zinc/97/95/96/582979596.db2.gz NMRYPUNPUVDDFN-VIFPVBQESA-N -1 1 334.336 -0.040 20 0 EBADMM CSc1[nH]c(=O)c(C(=O)N[C@H]2CC(=O)N(C)C2)cc1-c1nn[n-]n1 ZINC000737186037 582995918 /nfs/dbraw/zinc/99/59/18/582995918.db2.gz DKHPILGWGHUFMO-LURJTMIESA-N -1 1 349.376 -0.350 20 0 EBADMM Cc1ccc(CCNc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)cn1 ZINC000737336853 583005153 /nfs/dbraw/zinc/00/51/53/583005153.db2.gz HZGKFVNYDHHIBF-UHFFFAOYSA-N -1 1 342.363 -0.378 20 0 EBADMM CN(C)CC(=O)N1CCN(Cc2noc(CCCC(=O)[O-])n2)CC1 ZINC000737359233 583005843 /nfs/dbraw/zinc/00/58/43/583005843.db2.gz ALGJUQCQTRSRND-UHFFFAOYSA-N -1 1 339.396 -0.317 20 0 EBADMM Cc1nn(C)cc1[C@@H](C)Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000737512933 583011802 /nfs/dbraw/zinc/01/18/02/583011802.db2.gz CFLNPRUAXAQEMO-SSDOTTSWSA-N -1 1 345.367 -0.521 20 0 EBADMM CN1CCN(C[C@H]2CN(C(=O)NCCCC(=O)[O-])CCO2)CC1 ZINC000737525187 583012477 /nfs/dbraw/zinc/01/24/77/583012477.db2.gz CCQSVCHDWPJQBH-ZDUSSCGKSA-N -1 1 328.413 -0.491 20 0 EBADMM Cc1nnc2n1C[C@H](NC(=O)c1ccc(-c3nnn[n-]3)nc1)CC2 ZINC000737534732 583013238 /nfs/dbraw/zinc/01/32/38/583013238.db2.gz VXMFWBRGJLTLAM-SNVBAGLBSA-N -1 1 325.336 -0.094 20 0 EBADMM Cc1nnc2n1C[C@H](NC(=O)c1ccc(-c3nn[n-]n3)nc1)CC2 ZINC000737534732 583013239 /nfs/dbraw/zinc/01/32/39/583013239.db2.gz VXMFWBRGJLTLAM-SNVBAGLBSA-N -1 1 325.336 -0.094 20 0 EBADMM Cn1c(COC(=O)c2ccc(-c3nnn[n-]3)nc2)cc(=O)n(C)c1=O ZINC000737591456 583018266 /nfs/dbraw/zinc/01/82/66/583018266.db2.gz KYIHOKRHQMVALB-UHFFFAOYSA-N -1 1 343.303 -0.984 20 0 EBADMM Cn1c(COC(=O)c2ccc(-c3nn[n-]n3)nc2)cc(=O)n(C)c1=O ZINC000737591456 583018269 /nfs/dbraw/zinc/01/82/69/583018269.db2.gz KYIHOKRHQMVALB-UHFFFAOYSA-N -1 1 343.303 -0.984 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NCc2cccc(CO)c2)n(C)c1=O ZINC000737595131 583018895 /nfs/dbraw/zinc/01/88/95/583018895.db2.gz HCTQQALLEDLTMM-UHFFFAOYSA-N -1 1 343.347 -0.632 20 0 EBADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)Cc1ccc(S(N)(=O)=O)cc1 ZINC000737778447 583027831 /nfs/dbraw/zinc/02/78/31/583027831.db2.gz ZFUTUCSRMLKMSF-UHFFFAOYSA-N -1 1 348.348 -0.824 20 0 EBADMM O=C(Cn1cnnc1-c1nn[n-]n1)NC(=O)NCc1ccccc1 ZINC000737988122 583037302 /nfs/dbraw/zinc/03/73/02/583037302.db2.gz GSPGTOGJVAAAFJ-UHFFFAOYSA-N -1 1 327.308 -0.516 20 0 EBADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CC[C@@H](N2CCOCC2)C1 ZINC000738258748 583046107 /nfs/dbraw/zinc/04/61/07/583046107.db2.gz MSKKMBWXQJJBHB-GFCCVEGCSA-N -1 1 329.364 -0.192 20 0 EBADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CC[C@@H](N2CCOCC2)C1 ZINC000738258748 583046109 /nfs/dbraw/zinc/04/61/09/583046109.db2.gz MSKKMBWXQJJBHB-GFCCVEGCSA-N -1 1 329.364 -0.192 20 0 EBADMM O=C1CNC(=O)N1CCNc1snc(Cl)c1-c1nnn[n-]1 ZINC000738308083 583049302 /nfs/dbraw/zinc/04/93/02/583049302.db2.gz YTDICFJVECEMHT-UHFFFAOYSA-N -1 1 328.745 -0.060 20 0 EBADMM O=C1CNC(=O)N1CCNc1snc(Cl)c1-c1nn[n-]n1 ZINC000738308083 583049306 /nfs/dbraw/zinc/04/93/06/583049306.db2.gz YTDICFJVECEMHT-UHFFFAOYSA-N -1 1 328.745 -0.060 20 0 EBADMM COC(=O)C[C@H]1CSCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000740829951 583068131 /nfs/dbraw/zinc/06/81/31/583068131.db2.gz VJYPHRFYLPSTTP-JTQLQIEISA-N -1 1 341.389 -0.566 20 0 EBADMM CNC(=O)c1cccc(CCNC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000740842188 583068162 /nfs/dbraw/zinc/06/81/62/583068162.db2.gz QFQLIWABFPQNGW-UHFFFAOYSA-N -1 1 344.371 -0.355 20 0 EBADMM COC(=O)C1([N-]S(=O)(=O)CCS(C)(=O)=O)CCCCC1 ZINC000742272812 583078394 /nfs/dbraw/zinc/07/83/94/583078394.db2.gz LQEBYWQZAUWRSI-UHFFFAOYSA-N -1 1 327.424 -0.174 20 0 EBADMM CNS(=O)(=O)c1ccc(Cl)c(C(=O)[N-]N2CC(=O)NC2=O)c1 ZINC000743288712 583084768 /nfs/dbraw/zinc/08/47/68/583084768.db2.gz YGHVJMWTEUUYNH-UHFFFAOYSA-N -1 1 346.752 -0.555 20 0 EBADMM NC(=O)Cn1cc(C(=O)OCc2cc(=O)oc3cc([O-])ccc23)nn1 ZINC000745470831 583098335 /nfs/dbraw/zinc/09/83/35/583098335.db2.gz KOFSNGBQQCQBRU-UHFFFAOYSA-N -1 1 344.283 -0.068 20 0 EBADMM COc1cc(C)[n-]c(=NNC(=S)NCCN2CCOCC2)n1 ZINC000747655050 583114910 /nfs/dbraw/zinc/11/49/10/583114910.db2.gz VCDOUQFHPQAISX-UHFFFAOYSA-N -1 1 326.426 -0.083 20 0 EBADMM CCNC(=O)[C@H](C)[N-]S(=O)(=O)c1n[nH]c(C)c1C(=O)OCC ZINC000750990871 583140629 /nfs/dbraw/zinc/14/06/29/583140629.db2.gz FPLRDRVZVJRPEI-QMMMGPOBSA-N -1 1 332.382 -0.302 20 0 EBADMM CCNS(=O)(=O)CC[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000754016793 583165578 /nfs/dbraw/zinc/16/55/78/583165578.db2.gz SCALOHSNKQIVDE-UHFFFAOYSA-N -1 1 340.379 -0.716 20 0 EBADMM CO[C@@H]1CC[C@H]2OCCN(C(=O)CCn3cc[n-]c(=O)c3=O)[C@@H]2C1 ZINC000754881175 583171926 /nfs/dbraw/zinc/17/19/26/583171926.db2.gz USIBVHDPUXLLQP-JHJVBQTASA-N -1 1 337.376 -0.278 20 0 EBADMM CCN(CCS(C)(=O)=O)C(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000756021024 583179948 /nfs/dbraw/zinc/17/99/48/583179948.db2.gz DDDZDUHQWQGXPP-UHFFFAOYSA-N -1 1 338.407 -0.306 20 0 EBADMM CCNC(=O)NC(=O)COC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000756404480 583183077 /nfs/dbraw/zinc/18/30/77/583183077.db2.gz XGFBRTFPSONUKH-UHFFFAOYSA-N -1 1 333.322 -0.810 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCOC[C@@H]1[C@@H]1CCCCC1=O ZINC000759984543 583220130 /nfs/dbraw/zinc/22/01/30/583220130.db2.gz CVJWWSNIXMJVMA-QWHCGFSZSA-N -1 1 349.387 -0.087 20 0 EBADMM COC[C@@H](NC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1)C(N)=O ZINC000760062795 583223031 /nfs/dbraw/zinc/22/30/31/583223031.db2.gz SMUFVNMCBODXKS-MRVPVSSYSA-N -1 1 347.393 -0.414 20 0 EBADMM Cn1[n-]c(COC(=O)c2ccc(Cl)c(S(N)(=O)=O)c2)nc1=O ZINC000765430026 583282323 /nfs/dbraw/zinc/28/23/23/583282323.db2.gz GPYIWACKEHLNIA-UHFFFAOYSA-N -1 1 346.752 -0.234 20 0 EBADMM C[C@H](NS(=O)(=O)c1cccs1)C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765436057 583282340 /nfs/dbraw/zinc/28/23/40/583282340.db2.gz WUQFFKAOLKTTMT-ZETCQYMHSA-N -1 1 346.390 -0.420 20 0 EBADMM Cc1oc(S(=O)(=O)N(C)C)cc1C(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765497113 583284127 /nfs/dbraw/zinc/28/41/27/583284127.db2.gz QJWHGGVVFVCULK-UHFFFAOYSA-N -1 1 344.349 -0.383 20 0 EBADMM CCOC(=O)[C@H](COC)OC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000766311471 583293335 /nfs/dbraw/zinc/29/33/35/583293335.db2.gz KGHGSEHENSLXFG-VIFPVBQESA-N -1 1 335.334 -0.077 20 0 EBADMM CC[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H](C)S1(=O)=O ZINC000805396092 583329603 /nfs/dbraw/zinc/32/96/03/583329603.db2.gz IIWNAGCEQWHYFM-QWRGUYRKSA-N -1 1 343.405 -0.649 20 0 EBADMM O=C(Nc1ccc(C[C@@H]2CC(=O)NC2=O)cc1)NN1CC(=O)[N-]C1=O ZINC000770524391 583339146 /nfs/dbraw/zinc/33/91/46/583339146.db2.gz VHHUBZBWPQRMRC-SECBINFHSA-N -1 1 345.315 -0.520 20 0 EBADMM COc1ccccc1[C@H](CO)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000771138079 583345756 /nfs/dbraw/zinc/34/57/56/583345756.db2.gz NOPJSOVNDDWXSK-LBPRGKRZSA-N -1 1 333.344 -0.215 20 0 EBADMM O=S(=O)(c1nc(-c2ccccc2)n[n-]1)[C@@H]1CS(=O)(=O)C[C@H]1O ZINC000774008882 583378765 /nfs/dbraw/zinc/37/87/65/583378765.db2.gz MECLONHKOXQLFD-NXEZZACHSA-N -1 1 343.386 -0.597 20 0 EBADMM O=S(=O)(c1n[n-]c(-c2ccccc2)n1)[C@@H]1CS(=O)(=O)C[C@H]1O ZINC000774008882 583378766 /nfs/dbraw/zinc/37/87/66/583378766.db2.gz MECLONHKOXQLFD-NXEZZACHSA-N -1 1 343.386 -0.597 20 0 EBADMM O=S(=O)(c1nc(-c2ccccc2)n[n-]1)[C@H]1CS(=O)(=O)C[C@H]1O ZINC000774008893 583378823 /nfs/dbraw/zinc/37/88/23/583378823.db2.gz MECLONHKOXQLFD-ZJUUUORDSA-N -1 1 343.386 -0.597 20 0 EBADMM O=S(=O)(c1n[n-]c(-c2ccccc2)n1)[C@H]1CS(=O)(=O)C[C@H]1O ZINC000774008893 583378825 /nfs/dbraw/zinc/37/88/25/583378825.db2.gz MECLONHKOXQLFD-ZJUUUORDSA-N -1 1 343.386 -0.597 20 0 EBADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)[C@H]1CC(=O)N(C2CC2)C1 ZINC000777354983 583412639 /nfs/dbraw/zinc/41/26/39/583412639.db2.gz IJBJERKTVJULEU-JTQLQIEISA-N -1 1 345.359 -0.177 20 0 EBADMM CN(CCO)c1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC000777428729 583413166 /nfs/dbraw/zinc/41/31/66/583413166.db2.gz KEZVSZKWPWEIKK-UHFFFAOYSA-N -1 1 332.360 -0.006 20 0 EBADMM O=C([O-])[C@@H](Cc1cnc[nH]1)NS(=O)(=O)c1cnn(CC2CC2)c1 ZINC000777907846 583416053 /nfs/dbraw/zinc/41/60/53/583416053.db2.gz CEAVXFWHXLLKAX-GFCCVEGCSA-N -1 1 339.377 -0.010 20 0 EBADMM CCOC(=O)N1CCN(C(=O)[C@H](C)OC(=O)c2cn[n-]n2)CC1 ZINC000805602890 583418687 /nfs/dbraw/zinc/41/86/87/583418687.db2.gz DPKLRAFNVZGZCD-VIFPVBQESA-N -1 1 325.325 -0.349 20 0 EBADMM O=C(N[C@@H]1CN(Cc2ccccc2)CC[C@@H]1CO)c1cc(=O)[nH][n-]1 ZINC000779238813 583432300 /nfs/dbraw/zinc/43/23/00/583432300.db2.gz QEVATIQZYIOODJ-UKRRQHHQSA-N -1 1 330.388 -0.139 20 0 EBADMM COC(=O)c1cncc(S(=O)(=O)NN=c2nc(OC)cc[n-]2)c1 ZINC000788480913 583531123 /nfs/dbraw/zinc/53/11/23/583531123.db2.gz NTSIWSZKGDZHAL-UHFFFAOYSA-N -1 1 339.333 -0.028 20 0 EBADMM C[C@@H]1OCC[C@]12CN(C(=O)CCn1cc[n-]c(=O)c1=O)C[C@@H](C)O2 ZINC000791064099 583549243 /nfs/dbraw/zinc/54/92/43/583549243.db2.gz QOKLHYUESQUFSJ-WQGACYEGSA-N -1 1 337.376 -0.278 20 0 EBADMM CNS(=O)(=O)CC(=O)N1CCC[C@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000793464763 583565825 /nfs/dbraw/zinc/56/58/25/583565825.db2.gz AFXQGDIALZLDFL-MRVPVSSYSA-N -1 1 345.343 -0.547 20 0 EBADMM Cn1c2ccc(OC(=O)CCn3cc[n-]c(=O)c3=O)cc2n(C)c1=O ZINC000794163888 583577359 /nfs/dbraw/zinc/57/73/59/583577359.db2.gz GVYWUILUTMUOBQ-UHFFFAOYSA-N -1 1 344.327 -0.277 20 0 EBADMM CC(C)(C)OC(=O)N1CCOC[C@@H]1CC(=O)NCc1nn[n-]n1 ZINC000796376070 583595707 /nfs/dbraw/zinc/59/57/07/583595707.db2.gz IHVIDCOVACQNGL-VIFPVBQESA-N -1 1 326.357 -0.158 20 0 EBADMM C[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@]2(CCCOC2)O1 ZINC000796502874 583595939 /nfs/dbraw/zinc/59/59/39/583595939.db2.gz CLENFRPANOJQFG-BLLLJJGKSA-N -1 1 337.376 -0.277 20 0 EBADMM CNC(=O)C[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1ccccc1 ZINC000797766731 583601351 /nfs/dbraw/zinc/60/13/51/583601351.db2.gz PPTSNYNCLOVFSO-ZDUSSCGKSA-N -1 1 344.371 -0.080 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cc(S(N)(=O)=O)ccc1F)c1nn[n-]n1 ZINC000798339210 583604440 /nfs/dbraw/zinc/60/44/40/583604440.db2.gz QZDBQKIUEKEVJS-ZETCQYMHSA-N -1 1 342.356 -0.138 20 0 EBADMM NC(=O)NC(=O)c1cccc(NC(=O)CCn2cc[n-]c(=O)c2=O)c1 ZINC000802328640 583625024 /nfs/dbraw/zinc/62/50/24/583625024.db2.gz WFRIGMKOUDECKY-UHFFFAOYSA-N -1 1 345.315 -0.626 20 0 EBADMM CC(C)COC[C@@H](O)CNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806852775 583654953 /nfs/dbraw/zinc/65/49/53/583654953.db2.gz NFSAXTHBXUXVEB-NSHDSACASA-N -1 1 349.391 -0.570 20 0 EBADMM CC[C@H](C)NC(=O)[C@@H](C)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806871067 583655026 /nfs/dbraw/zinc/65/50/26/583655026.db2.gz RNOCXTMAEZLNFA-VHSXEESVSA-N -1 1 346.391 -0.300 20 0 EBADMM O=C(Nc1ccc2c(c1)OC(F)(F)O2)C(=O)NN1CC(=O)[N-]C1=O ZINC000807062482 583657559 /nfs/dbraw/zinc/65/75/59/583657559.db2.gz ZOUVCODUWOIAOS-UHFFFAOYSA-N -1 1 342.214 -0.470 20 0 EBADMM C[C@H]1OCC[C@@H]1N(CCO)C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000807071438 583657716 /nfs/dbraw/zinc/65/77/16/583657716.db2.gz JMSWRCMROKMXCB-MFKMUULPSA-N -1 1 347.375 -0.721 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-]CCCn2cc(CO)nn2)c1Cl ZINC000809858864 583681036 /nfs/dbraw/zinc/68/10/36/583681036.db2.gz KKNPAMFGSFVHHW-UHFFFAOYSA-N -1 1 334.789 -0.474 20 0 EBADMM O=C([N-]OC/C=C\Cl)[C@@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC000812399378 583695406 /nfs/dbraw/zinc/69/54/06/583695406.db2.gz NRDJRTSGSLIUMC-LPVNXEGMSA-N -1 1 336.797 -0.178 20 0 EBADMM O=C(Cc1ccc(-n2cnnc2)cc1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000819256465 583757001 /nfs/dbraw/zinc/75/70/01/583757001.db2.gz LGTXHYVMHFLDCP-CYBMUJFWSA-N -1 1 340.347 -0.077 20 0 EBADMM C[C@H](C(=O)OC(C)(C)C)N(C)C(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000819875960 583762200 /nfs/dbraw/zinc/76/22/00/583762200.db2.gz COZPNHHBGKIFHO-MRVPVSSYSA-N -1 1 336.356 -0.353 20 0 EBADMM C[C@@H](CS(C)(=O)=O)N(C)C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820292817 583770895 /nfs/dbraw/zinc/77/08/95/583770895.db2.gz HOVKWJCKEUXRAI-ZETCQYMHSA-N -1 1 342.385 -0.503 20 0 EBADMM C[C@@H](CS(C)(=O)=O)N(C)C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820292817 583770897 /nfs/dbraw/zinc/77/08/97/583770897.db2.gz HOVKWJCKEUXRAI-ZETCQYMHSA-N -1 1 342.385 -0.503 20 0 EBADMM C[C@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)C(=O)Nc1ccccc1 ZINC000820333375 583771575 /nfs/dbraw/zinc/77/15/75/583771575.db2.gz AEUVTFDSTPNFAQ-VIFPVBQESA-N -1 1 341.335 -0.398 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nnn[n-]2)CCN1C(=O)[C@@H]1CCCO1 ZINC000820778042 583779277 /nfs/dbraw/zinc/77/92/77/583779277.db2.gz USIVFFGTBUBZFN-MNOVXSKESA-N -1 1 344.379 -0.127 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1C(=O)[C@@H]1CCCO1 ZINC000820778042 583779279 /nfs/dbraw/zinc/77/92/79/583779279.db2.gz USIVFFGTBUBZFN-MNOVXSKESA-N -1 1 344.379 -0.127 20 0 EBADMM C[C@H]1CN(c2nccnc2-c2nnn[n-]2)CCN1Cc1nncn1C ZINC000820804058 583781061 /nfs/dbraw/zinc/78/10/61/583781061.db2.gz MCXBUEUCVCGSHS-JTQLQIEISA-N -1 1 341.383 -0.504 20 0 EBADMM C[C@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1Cc1nncn1C ZINC000820804058 583781063 /nfs/dbraw/zinc/78/10/63/583781063.db2.gz MCXBUEUCVCGSHS-JTQLQIEISA-N -1 1 341.383 -0.504 20 0 EBADMM CC[C@H](C[C@H](C)CO)Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000820882556 583782519 /nfs/dbraw/zinc/78/25/19/583782519.db2.gz TULAQEPKNARQFA-DTWKUNHWSA-N -1 1 337.384 -0.527 20 0 EBADMM Cc1cc(N2CCC[C@@H](N3CCN(CC(=O)[O-])CC3)C2=O)n(C)n1 ZINC000820979739 583783345 /nfs/dbraw/zinc/78/33/45/583783345.db2.gz CSLPRUKFLRRIOZ-CYBMUJFWSA-N -1 1 335.408 -0.074 20 0 EBADMM CCCOc1ncccc1CNC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821138637 583785590 /nfs/dbraw/zinc/78/55/90/583785590.db2.gz DSOIDIOEETVABL-UHFFFAOYSA-N -1 1 343.351 -0.042 20 0 EBADMM CCOCCNC(=O)Cn1nc(CC)c(CC)c(-c2nn[n-]n2)c1=O ZINC000821383684 583790511 /nfs/dbraw/zinc/79/05/11/583790511.db2.gz HNDCKFHORMSQBU-UHFFFAOYSA-N -1 1 349.395 -0.299 20 0 EBADMM CN(C)C(=O)[C@@H]1CCC[C@@H](NC(=O)Cn2cnc(-c3nn[n-]n3)n2)C1 ZINC000821575540 583793344 /nfs/dbraw/zinc/79/33/44/583793344.db2.gz GLXMHAQWXUSLED-NXEZZACHSA-N -1 1 347.383 -0.779 20 0 EBADMM CN(C[C@H](O)CN1CCOCC1)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821595205 583794481 /nfs/dbraw/zinc/79/44/81/583794481.db2.gz PDDMXIATYWEHSI-LBPRGKRZSA-N -1 1 347.379 -0.973 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nn[n-]n1)c1nccn2nnnc12 ZINC000821601801 583795239 /nfs/dbraw/zinc/79/52/39/583795239.db2.gz ZQRVPPZCIVXLGF-UHFFFAOYSA-N -1 1 341.343 -0.926 20 0 EBADMM COC(=O)[C@H](CNC(=O)Cn1cnc(-c2nn[n-]n2)n1)CC(C)C ZINC000821640639 583798574 /nfs/dbraw/zinc/79/85/74/583798574.db2.gz LZDRFBLNVHOOEU-VIFPVBQESA-N -1 1 336.356 -0.590 20 0 EBADMM COC(=O)CN(C(=O)Cn1cnc(-c2nn[n-]n2)n1)C1CCCC1 ZINC000821661319 583799517 /nfs/dbraw/zinc/79/95/17/583799517.db2.gz CQAZDMNYKPDYHG-UHFFFAOYSA-N -1 1 334.340 -0.598 20 0 EBADMM COC[C@@H](Cc1ccccc1)NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000821682486 583801111 /nfs/dbraw/zinc/80/11/11/583801111.db2.gz GRGVPLDNWXPRCD-GFCCVEGCSA-N -1 1 342.363 -0.168 20 0 EBADMM COCCS(=O)(=O)CC(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000821694671 583802058 /nfs/dbraw/zinc/80/20/58/583802058.db2.gz XKBKDPGABDDZOP-UHFFFAOYSA-N -1 1 341.349 -0.428 20 0 EBADMM CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNc1nccnc1-c1nnn[n-]1 ZINC000821931627 583806407 /nfs/dbraw/zinc/80/64/07/583806407.db2.gz DZOFWQAWAPWSOC-RKDXNWHRSA-N -1 1 338.397 -0.214 20 0 EBADMM CS(=O)(=O)N[C@@H]1CCC[C@@H]1CNc1nccnc1-c1nn[n-]n1 ZINC000821931627 583806408 /nfs/dbraw/zinc/80/64/08/583806408.db2.gz DZOFWQAWAPWSOC-RKDXNWHRSA-N -1 1 338.397 -0.214 20 0 EBADMM C[C@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)C(=O)OC1CCCC1 ZINC000822000338 583806910 /nfs/dbraw/zinc/80/69/10/583806910.db2.gz ISWCEVCAZKHLCU-QMMMGPOBSA-N -1 1 334.340 -0.551 20 0 EBADMM Cc1nc2n(n1)C[C@@H](NC(=O)Nc1nn(C)cc1-c1nnn[n-]1)CC2 ZINC000822464017 583811281 /nfs/dbraw/zinc/81/12/81/583811281.db2.gz YGOPSDHKVVPMLD-QMMMGPOBSA-N -1 1 343.355 -0.363 20 0 EBADMM Cc1nc2n(n1)C[C@@H](NC(=O)Nc1nn(C)cc1-c1nn[n-]n1)CC2 ZINC000822464017 583811282 /nfs/dbraw/zinc/81/12/82/583811282.db2.gz YGOPSDHKVVPMLD-QMMMGPOBSA-N -1 1 343.355 -0.363 20 0 EBADMM Cn1c(=O)ccn(CC(=O)Nc2cc(-c3nn[nH]n3)ccc2[O-])c1=O ZINC000822596060 583812998 /nfs/dbraw/zinc/81/29/98/583812998.db2.gz GUCKTYMRSJWCSY-UHFFFAOYSA-N -1 1 343.303 -0.929 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCC(C)(C)S(C)(=O)=O)n1 ZINC000822613716 583814207 /nfs/dbraw/zinc/81/42/07/583814207.db2.gz FVRMQIYBVOXGLU-UHFFFAOYSA-N -1 1 342.385 -0.455 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCC(C)(C)S(C)(=O)=O)n1 ZINC000822613716 583814210 /nfs/dbraw/zinc/81/42/10/583814210.db2.gz FVRMQIYBVOXGLU-UHFFFAOYSA-N -1 1 342.385 -0.455 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CCC[C@H](OCCO)C2)n1 ZINC000822609670 583814268 /nfs/dbraw/zinc/81/42/68/583814268.db2.gz UKQZZDQVOHCVKV-VIFPVBQESA-N -1 1 336.356 -0.395 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CCC[C@H](OCCO)C2)n1 ZINC000822609670 583814271 /nfs/dbraw/zinc/81/42/71/583814271.db2.gz UKQZZDQVOHCVKV-VIFPVBQESA-N -1 1 336.356 -0.395 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCC2N=NC(=S)N2C)n1 ZINC000822619541 583814777 /nfs/dbraw/zinc/81/47/77/583814777.db2.gz AGKKOHMSDBUKCG-UHFFFAOYSA-N -1 1 335.357 -0.661 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCC2N=NC(=S)N2C)n1 ZINC000822619541 583814779 /nfs/dbraw/zinc/81/47/79/583814779.db2.gz AGKKOHMSDBUKCG-UHFFFAOYSA-N -1 1 335.357 -0.661 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@H]1c2ccccc2C[C@@H]1O ZINC000823126348 583824849 /nfs/dbraw/zinc/82/48/49/583824849.db2.gz ISTHBZOFMKLGKL-JQWIXIFHSA-N -1 1 326.320 -0.767 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NC[C@@H](CCO)c1ccccc1 ZINC000823127005 583825035 /nfs/dbraw/zinc/82/50/35/583825035.db2.gz QOWCMJPAHYVTBA-GFCCVEGCSA-N -1 1 342.363 -0.259 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCCCOC[C@H]1CCCO1 ZINC000823131753 583825431 /nfs/dbraw/zinc/82/54/31/583825431.db2.gz NEJNEZRSXSRQLM-SNVBAGLBSA-N -1 1 336.356 -0.840 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)Nc1cccc2c1OCCO2 ZINC000823135946 583825961 /nfs/dbraw/zinc/82/59/61/583825961.db2.gz BLUKVIAMCMGOSK-UHFFFAOYSA-N -1 1 328.292 -0.132 20 0 EBADMM O=C([O-])Cc1cccc(NC(=O)Cn2cnc(-c3nn[nH]n3)n2)c1 ZINC000823387656 583828842 /nfs/dbraw/zinc/82/88/42/583828842.db2.gz SMLHTOMWWCPXLH-UHFFFAOYSA-N -1 1 328.292 -0.276 20 0 EBADMM CC(C)(NS(C)(=O)=O)C(=O)Nc1cc(-c2nn[nH]n2)ccc1[O-] ZINC000824168029 583841880 /nfs/dbraw/zinc/84/18/80/583841880.db2.gz WXKOWEQFAVHIHD-UHFFFAOYSA-N -1 1 340.365 -0.161 20 0 EBADMM CC(C)c1nnc([C@H](C)NC(=O)Cn2cnc(-c3nn[n-]n3)n2)[nH]1 ZINC000824358835 583844231 /nfs/dbraw/zinc/84/42/31/583844231.db2.gz QEXPXCMIZMCOSB-ZETCQYMHSA-N -1 1 331.344 -0.423 20 0 EBADMM C[C@@H](O)C[C@@H](C)CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000824691309 583848940 /nfs/dbraw/zinc/84/89/40/583848940.db2.gz ZNUFZLYRIYJDHP-RKDXNWHRSA-N -1 1 326.382 -0.053 20 0 EBADMM C[C@@H](O)C[C@@H](C)CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000824691309 583848941 /nfs/dbraw/zinc/84/89/41/583848941.db2.gz ZNUFZLYRIYJDHP-RKDXNWHRSA-N -1 1 326.382 -0.053 20 0 EBADMM C[C@@H]1C[C@@H](CNc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)CCO1 ZINC000824883125 583851517 /nfs/dbraw/zinc/85/15/17/583851517.db2.gz FRMGCVKHKJZOAG-BDAKNGLRSA-N -1 1 335.368 -0.509 20 0 EBADMM C[C@H](CN1CCN(C)CC1)NC(=O)CO[C@H]1CCCN(C(=O)[O-])C1 ZINC000824908021 583852044 /nfs/dbraw/zinc/85/20/44/583852044.db2.gz PRCUZDRAJSGCCA-KGLIPLIRSA-N -1 1 342.440 -0.103 20 0 EBADMM C[C@@H](CN1CCN(C)CC1)NC(=O)CO[C@@H]1CCCN(C(=O)[O-])C1 ZINC000824908022 583852125 /nfs/dbraw/zinc/85/21/25/583852125.db2.gz PRCUZDRAJSGCCA-UONOGXRCSA-N -1 1 342.440 -0.103 20 0 EBADMM C[C@H](CNC(=O)C[C@@H]1CN(C(=O)[O-])CCO1)N1CCN(C)CC1 ZINC000824922724 583852289 /nfs/dbraw/zinc/85/22/89/583852289.db2.gz SDQSGXARNLSKCW-CHWSQXEVSA-N -1 1 328.413 -0.493 20 0 EBADMM C[C@@H](NC(=O)[O-])C(=O)N(CCCN(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000825170866 583856076 /nfs/dbraw/zinc/85/60/76/583856076.db2.gz FEWUPYYZLWJLCT-MNOVXSKESA-N -1 1 335.426 -0.390 20 0 EBADMM CCCCN(CCCO)c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000825285149 583857186 /nfs/dbraw/zinc/85/71/86/583857186.db2.gz LBISPVHIUFTQRL-UHFFFAOYSA-N -1 1 337.384 -0.747 20 0 EBADMM CCCn1c(C)nnc1Cn1c(=O)c(-c2nn[n-]n2)cn(CC)c1=O ZINC000825356975 583859219 /nfs/dbraw/zinc/85/92/19/583859219.db2.gz SOYAKQQDEQCODI-UHFFFAOYSA-N -1 1 345.367 -0.432 20 0 EBADMM CCN1C(=O)[C@H]2CN(c3ccc(-c4nnn[n-]4)nn3)CCN2C1=O ZINC000825444973 583860833 /nfs/dbraw/zinc/86/08/33/583860833.db2.gz UFHKQXPJDCTVFQ-SECBINFHSA-N -1 1 329.324 -0.871 20 0 EBADMM CCN1C(=O)[C@H]2CN(c3ccc(-c4nn[n-]n4)nn3)CCN2C1=O ZINC000825444973 583860836 /nfs/dbraw/zinc/86/08/36/583860836.db2.gz UFHKQXPJDCTVFQ-SECBINFHSA-N -1 1 329.324 -0.871 20 0 EBADMM CCNC(=O)C(C)(C)CNC(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000825464425 583861080 /nfs/dbraw/zinc/86/10/80/583861080.db2.gz CORMKONXSQIMOD-UHFFFAOYSA-N -1 1 335.368 -0.016 20 0 EBADMM CCNC(=O)C(C)(C)CNC(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000825464425 583861081 /nfs/dbraw/zinc/86/10/81/583861081.db2.gz CORMKONXSQIMOD-UHFFFAOYSA-N -1 1 335.368 -0.016 20 0 EBADMM CCOC(=O)CC(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000825499760 583862099 /nfs/dbraw/zinc/86/20/99/583862099.db2.gz SZZGDTZJTXHNNW-UHFFFAOYSA-N -1 1 336.356 -0.484 20 0 EBADMM CCOC(=O)CC(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000825499760 583862101 /nfs/dbraw/zinc/86/21/01/583862101.db2.gz SZZGDTZJTXHNNW-UHFFFAOYSA-N -1 1 336.356 -0.484 20 0 EBADMM CCOC(=O)CN1CCCN(c2ccc(-c3nnn[n-]3)nn2)CC1 ZINC000825518109 583862723 /nfs/dbraw/zinc/86/27/23/583862723.db2.gz SLGABGBYRVGHFD-UHFFFAOYSA-N -1 1 332.368 -0.268 20 0 EBADMM CCOC(=O)CN1CCCN(c2ccc(-c3nn[n-]n3)nn2)CC1 ZINC000825518109 583862724 /nfs/dbraw/zinc/86/27/24/583862724.db2.gz SLGABGBYRVGHFD-UHFFFAOYSA-N -1 1 332.368 -0.268 20 0 EBADMM C[C@@H](O)CN1CCN(C(=O)CN2CCC(NC(=O)[O-])CC2)C[C@@H]1C ZINC000825528701 583862948 /nfs/dbraw/zinc/86/29/48/583862948.db2.gz RQGCYSZPAYFJHM-QWHCGFSZSA-N -1 1 342.440 -0.368 20 0 EBADMM CCOC(=O)c1ccc(NC(=O)Cn2cnc(-c3nn[n-]n3)n2)cn1 ZINC000825560583 583863307 /nfs/dbraw/zinc/86/33/07/583863307.db2.gz SCWLPDFRXXVZAL-UHFFFAOYSA-N -1 1 343.307 -0.331 20 0 EBADMM CCOCCOC1CN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000825600102 583864242 /nfs/dbraw/zinc/86/42/42/583864242.db2.gz ZTPGOOOBQQGKLI-UHFFFAOYSA-N -1 1 336.356 -0.131 20 0 EBADMM CCOCCOC1CN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000825600102 583864243 /nfs/dbraw/zinc/86/42/43/583864243.db2.gz ZTPGOOOBQQGKLI-UHFFFAOYSA-N -1 1 336.356 -0.131 20 0 EBADMM CCNS(=O)(=O)CCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000825786648 583866890 /nfs/dbraw/zinc/86/68/90/583866890.db2.gz WBOVASWBHVQKCJ-UHFFFAOYSA-N -1 1 329.407 -0.313 20 0 EBADMM CCn1cc(-c2nn[n-]n2)c(=O)n(Cc2ccnc(N(C)C)c2)c1=O ZINC000825799727 583866899 /nfs/dbraw/zinc/86/68/99/583866899.db2.gz SGDQHPYEUNNYSS-UHFFFAOYSA-N -1 1 342.363 -0.281 20 0 EBADMM CCn1cc(-c2nn[n-]n2)c(=O)n(Cc2ncnn2CC(C)C)c1=O ZINC000825799693 583866907 /nfs/dbraw/zinc/86/69/07/583866907.db2.gz QZAORWFIYAWCQP-UHFFFAOYSA-N -1 1 345.367 -0.494 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nnn[n-]1)C(=O)C1(O)CCCC1 ZINC000825923549 583870907 /nfs/dbraw/zinc/87/09/07/583870907.db2.gz LXOXQIIVPGFEOM-UHFFFAOYSA-N -1 1 334.384 -0.132 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nn[n-]n1)C(=O)C1(O)CCCC1 ZINC000825923549 583870908 /nfs/dbraw/zinc/87/09/08/583870908.db2.gz LXOXQIIVPGFEOM-UHFFFAOYSA-N -1 1 334.384 -0.132 20 0 EBADMM C[C@@H]1CN(CCCNC(=O)[C@H]2CN(C(=O)[O-])CCO2)C[C@@H](C)O1 ZINC000826056389 583875873 /nfs/dbraw/zinc/87/58/73/583875873.db2.gz CDAZTRIBKKRXJI-JHJVBQTASA-N -1 1 329.397 -0.019 20 0 EBADMM COC(=O)[C@@H]1C[C@H]1C(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000826129566 583881386 /nfs/dbraw/zinc/88/13/86/583881386.db2.gz VMBPTPFTCFRFAY-HTQZYQBOSA-N -1 1 348.367 -0.628 20 0 EBADMM COC(=O)[C@@H]1C[C@H]1C(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000826129566 583881388 /nfs/dbraw/zinc/88/13/88/583881388.db2.gz VMBPTPFTCFRFAY-HTQZYQBOSA-N -1 1 348.367 -0.628 20 0 EBADMM COC(=O)[C@@H]1CCCCCN1C(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000826133237 583881759 /nfs/dbraw/zinc/88/17/59/583881759.db2.gz KTNFCQMVLLWKPJ-VIFPVBQESA-N -1 1 334.340 -0.598 20 0 EBADMM COC(=O)CN(C)S(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000826138458 583882661 /nfs/dbraw/zinc/88/26/61/583882661.db2.gz VTBNDEKWXXRKMJ-UHFFFAOYSA-N -1 1 329.313 -0.201 20 0 EBADMM COC[C@H](CCO)NS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000826149742 583883940 /nfs/dbraw/zinc/88/39/40/583883940.db2.gz NRQAITGAIIIUKH-QMMMGPOBSA-N -1 1 345.356 -0.319 20 0 EBADMM COC[C@H](CCO)NS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000826149742 583883942 /nfs/dbraw/zinc/88/39/42/583883942.db2.gz NRQAITGAIIIUKH-QMMMGPOBSA-N -1 1 345.356 -0.319 20 0 EBADMM COCCN(CCO)S(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000826158450 583885789 /nfs/dbraw/zinc/88/57/89/583885789.db2.gz PLPBOOCHVCAIIQ-UHFFFAOYSA-N -1 1 345.356 -0.365 20 0 EBADMM COCCN(CCO)S(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000826158450 583885791 /nfs/dbraw/zinc/88/57/91/583885791.db2.gz PLPBOOCHVCAIIQ-UHFFFAOYSA-N -1 1 345.356 -0.365 20 0 EBADMM COCc1nc2n(n1)C[C@H](Nc1ccc(-c3nnn[n-]3)nn1)CC2 ZINC000826165648 583886341 /nfs/dbraw/zinc/88/63/41/583886341.db2.gz DDQKNXRNIIXPKG-MRVPVSSYSA-N -1 1 328.340 -0.179 20 0 EBADMM COCc1nc2n(n1)C[C@H](Nc1ccc(-c3nn[n-]n3)nn1)CC2 ZINC000826165648 583886343 /nfs/dbraw/zinc/88/63/43/583886343.db2.gz DDQKNXRNIIXPKG-MRVPVSSYSA-N -1 1 328.340 -0.179 20 0 EBADMM COc1cc(F)cc(CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)c1 ZINC000826180127 583887271 /nfs/dbraw/zinc/88/72/71/583887271.db2.gz SXVRFQWJEUJLPM-UHFFFAOYSA-N -1 1 332.299 -0.078 20 0 EBADMM CS(=O)(=O)NC[C@H]1CCCCN1c1ccc(-c2nnn[n-]2)nn1 ZINC000826223589 583888689 /nfs/dbraw/zinc/88/86/89/583888689.db2.gz SKJYSLCEQSQABC-SECBINFHSA-N -1 1 338.397 -0.435 20 0 EBADMM CS(=O)(=O)NC[C@H]1CCCCN1c1ccc(-c2nn[n-]n2)nn1 ZINC000826223589 583888690 /nfs/dbraw/zinc/88/86/90/583888690.db2.gz SKJYSLCEQSQABC-SECBINFHSA-N -1 1 338.397 -0.435 20 0 EBADMM C[S@](=O)CCNS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000826224356 583888710 /nfs/dbraw/zinc/88/87/10/583888710.db2.gz BETCXZHNKWZLJG-FQEVSTJZSA-N -1 1 333.370 -0.337 20 0 EBADMM Cc1ccccc1[C@H](CO)NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000826306322 583891235 /nfs/dbraw/zinc/89/12/35/583891235.db2.gz VYYOMYCJJVSXMV-NSHDSACASA-N -1 1 328.336 -0.384 20 0 EBADMM Cc1nnc(CN2CCN(c3nccnc3-c3nn[n-]n3)CC2)[nH]1 ZINC000826325848 583891336 /nfs/dbraw/zinc/89/13/36/583891336.db2.gz OANIITRDARSMIT-UHFFFAOYSA-N -1 1 327.356 -0.595 20 0 EBADMM Cc1onc(CC(=O)N(CC(N)=O)C2CCCC2)c1-c1nnn[n-]1 ZINC000826344193 583892216 /nfs/dbraw/zinc/89/22/16/583892216.db2.gz ZTLZXVNMYLGOOW-UHFFFAOYSA-N -1 1 333.352 -0.038 20 0 EBADMM Cc1onc(CC(=O)N(CC(N)=O)C2CCCC2)c1-c1nn[n-]n1 ZINC000826344193 583892218 /nfs/dbraw/zinc/89/22/18/583892218.db2.gz ZTLZXVNMYLGOOW-UHFFFAOYSA-N -1 1 333.352 -0.038 20 0 EBADMM Cc1onc(CC(=O)N2CCC[C@H]2Cn2cncn2)c1-c1nnn[n-]1 ZINC000826344910 583892475 /nfs/dbraw/zinc/89/24/75/583892475.db2.gz WLLNFDQPGMBERH-JTQLQIEISA-N -1 1 343.351 -0.012 20 0 EBADMM Cc1onc(CC(=O)N2CCC[C@H]2Cn2cncn2)c1-c1nn[n-]n1 ZINC000826344910 583892478 /nfs/dbraw/zinc/89/24/78/583892478.db2.gz WLLNFDQPGMBERH-JTQLQIEISA-N -1 1 343.351 -0.012 20 0 EBADMM Cc1onc(CC(=O)N2CCN3C(=O)OCC[C@@H]3C2)c1-c1nnn[n-]1 ZINC000826344843 583892617 /nfs/dbraw/zinc/89/26/17/583892617.db2.gz UDUMBKIMYPTHIL-SECBINFHSA-N -1 1 347.335 -0.241 20 0 EBADMM Cc1onc(CC(=O)N2CCN3C(=O)OCC[C@@H]3C2)c1-c1nn[n-]n1 ZINC000826344843 583892619 /nfs/dbraw/zinc/89/26/19/583892619.db2.gz UDUMBKIMYPTHIL-SECBINFHSA-N -1 1 347.335 -0.241 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(=O)n(CCCOCC(F)(F)F)c1=O ZINC000826356571 583893885 /nfs/dbraw/zinc/89/38/85/583893885.db2.gz WVWRUZCIBNVSEZ-UHFFFAOYSA-N -1 1 334.258 -0.304 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NC[C@H]2CCCCS2)n(C)c1=O ZINC000826356019 583893944 /nfs/dbraw/zinc/89/39/44/583893944.db2.gz XGOWJXLAQIDPPS-MRVPVSSYSA-N -1 1 337.409 -0.038 20 0 EBADMM Cn1ccc(N2CCC[C@@H](Nc3ccc(-c4nnn[n-]4)nn3)C2=O)n1 ZINC000826363649 583894819 /nfs/dbraw/zinc/89/48/19/583894819.db2.gz WACBCXAKNXLUHZ-SNVBAGLBSA-N -1 1 340.351 -0.002 20 0 EBADMM Cn1ccc(N2CCC[C@@H](Nc3ccc(-c4nn[n-]n4)nn3)C2=O)n1 ZINC000826363649 583894821 /nfs/dbraw/zinc/89/48/21/583894821.db2.gz WACBCXAKNXLUHZ-SNVBAGLBSA-N -1 1 340.351 -0.002 20 0 EBADMM Cn1ccnc1CN1CCN(c2ccc(-c3nnn[n-]3)nn2)CC1 ZINC000826364414 583894913 /nfs/dbraw/zinc/89/49/13/583894913.db2.gz VSAUNVIGOYIQEX-UHFFFAOYSA-N -1 1 326.368 -0.288 20 0 EBADMM Cn1ccnc1CN1CCN(c2ccc(-c3nn[n-]n3)nn2)CC1 ZINC000826364414 583894916 /nfs/dbraw/zinc/89/49/16/583894916.db2.gz VSAUNVIGOYIQEX-UHFFFAOYSA-N -1 1 326.368 -0.288 20 0 EBADMM Nc1n[nH]c(CCCNC(=O)Nc2ccncc2)c1-c1nnn[n-]1 ZINC000826396098 583898644 /nfs/dbraw/zinc/89/86/44/583898644.db2.gz HBHUBTAANUUHTI-UHFFFAOYSA-N -1 1 328.340 -0.257 20 0 EBADMM Nc1n[nH]c(CCCNC(=O)Nc2ccncc2)c1-c1nn[n-]n1 ZINC000826396098 583898646 /nfs/dbraw/zinc/89/86/46/583898646.db2.gz HBHUBTAANUUHTI-UHFFFAOYSA-N -1 1 328.340 -0.257 20 0 EBADMM O=C(CO)N1CCN(c2c3ccccc3nnc2-c2nnn[n-]2)CC1 ZINC000826414950 583900067 /nfs/dbraw/zinc/90/00/67/583900067.db2.gz NCIYWYFXDWIRJS-UHFFFAOYSA-N -1 1 340.347 -0.549 20 0 EBADMM O=C(CO)N1CCN(c2c3ccccc3nnc2-c2nn[n-]n2)CC1 ZINC000826414950 583900070 /nfs/dbraw/zinc/90/00/70/583900070.db2.gz NCIYWYFXDWIRJS-UHFFFAOYSA-N -1 1 340.347 -0.549 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@@H](CO)c1cccc(F)c1 ZINC000826425164 583900752 /nfs/dbraw/zinc/90/07/52/583900752.db2.gz RUPVWDHKKSKOEF-JTQLQIEISA-N -1 1 332.299 -0.553 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CC[C@@H](Cc2ccncc2)C1 ZINC000826425077 583900761 /nfs/dbraw/zinc/90/07/61/583900761.db2.gz OTRWWLYWYQQSPX-LBPRGKRZSA-N -1 1 339.363 -0.056 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CC[C@H](C2CCOCC2)C1 ZINC000826425171 583900788 /nfs/dbraw/zinc/90/07/88/583900788.db2.gz SGVJNSJQZQQQAJ-NSHDSACASA-N -1 1 332.368 -0.267 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCC[C@@H]1[C@H](O)C(F)(F)F ZINC000826424857 583900833 /nfs/dbraw/zinc/90/08/33/583900833.db2.gz GPVONDQZHHLURG-SVRRBLITSA-N -1 1 346.273 -0.628 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCC[C@H]1[C@@H](O)C(F)(F)F ZINC000826424856 583900857 /nfs/dbraw/zinc/90/08/57/583900857.db2.gz GPVONDQZHHLURG-POYBYMJQSA-N -1 1 346.273 -0.628 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCCOc1ncccc1F ZINC000826425709 583901187 /nfs/dbraw/zinc/90/11/87/583901187.db2.gz PRXABHTVOQGPIB-UHFFFAOYSA-N -1 1 333.287 -0.813 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@H](CO)Cc1ccccc1 ZINC000826425291 583901223 /nfs/dbraw/zinc/90/12/23/583901223.db2.gz XDZDGJIRQKHWJN-NSHDSACASA-N -1 1 328.336 -0.822 20 0 EBADMM O=C(NC[C@@H]1CCCCS1(=O)=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000826435631 583901899 /nfs/dbraw/zinc/90/18/99/583901899.db2.gz SPIPBBJEQOAKCF-JTQLQIEISA-N -1 1 336.377 -0.041 20 0 EBADMM O=C(NC[C@@H]1CCCCS1(=O)=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000826435631 583901901 /nfs/dbraw/zinc/90/19/01/583901901.db2.gz SPIPBBJEQOAKCF-JTQLQIEISA-N -1 1 336.377 -0.041 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCC[C@@H]1[C@@H]1CCCC1=O ZINC000826479804 583903809 /nfs/dbraw/zinc/90/38/09/583903809.db2.gz IUMUQXLVZVTZTC-VHSXEESVSA-N -1 1 330.352 -0.182 20 0 EBADMM O=C1CC[C@H]2CN(S(=O)(=O)c3ccc(-c4nnn[n-]4)nc3)CCN12 ZINC000826480041 583903853 /nfs/dbraw/zinc/90/38/53/583903853.db2.gz PMOLBXAZZKLYNZ-VIFPVBQESA-N -1 1 349.376 -0.743 20 0 EBADMM O=C1CC[C@H]2CN(S(=O)(=O)c3ccc(-c4nn[n-]n4)nc3)CCN12 ZINC000826480041 583903855 /nfs/dbraw/zinc/90/38/55/583903855.db2.gz PMOLBXAZZKLYNZ-VIFPVBQESA-N -1 1 349.376 -0.743 20 0 EBADMM O=C1N[C@H](c2cnc3c(-c4nnn[n-]4)cnn3c2)N2CCSC[C@@H]12 ZINC000826482160 583904742 /nfs/dbraw/zinc/90/47/42/583904742.db2.gz UFZDABCBCCUVLW-ONGXEEELSA-N -1 1 343.376 -0.545 20 0 EBADMM O=C1N[C@H](c2cnc3c(-c4nn[n-]n4)cnn3c2)N2CCSC[C@@H]12 ZINC000826482160 583904743 /nfs/dbraw/zinc/90/47/43/583904743.db2.gz UFZDABCBCCUVLW-ONGXEEELSA-N -1 1 343.376 -0.545 20 0 EBADMM O=S(=O)(NC[C@@]1(O)CCSC1)c1ccc(-c2nnn[n-]2)nc1 ZINC000826490462 583905739 /nfs/dbraw/zinc/90/57/39/583905739.db2.gz WNZFXEWPUJMHPE-NSHDSACASA-N -1 1 342.406 -0.592 20 0 EBADMM O=S(=O)(NC[C@@]1(O)CCSC1)c1ccc(-c2nn[n-]n2)nc1 ZINC000826490462 583905741 /nfs/dbraw/zinc/90/57/41/583905741.db2.gz WNZFXEWPUJMHPE-NSHDSACASA-N -1 1 342.406 -0.592 20 0 EBADMM O=S(=O)(c1ccc(F)c(-c2nn[n-]n2)c1)N1CCO[C@@H](CO)C1 ZINC000826495355 583906069 /nfs/dbraw/zinc/90/60/69/583906069.db2.gz MVSDCJCPABOXFY-MRVPVSSYSA-N -1 1 343.340 -0.612 20 0 EBADMM c1cc(-c2nnn[n-]2)nnc1Sc1nnnn1C[C@@H]1CCCO1 ZINC000826514787 583906678 /nfs/dbraw/zinc/90/66/78/583906678.db2.gz CQXLTKVUMXVGND-ZETCQYMHSA-N -1 1 332.353 -0.027 20 0 EBADMM c1cc(-c2nn[n-]n2)nnc1Sc1nnnn1C[C@@H]1CCCO1 ZINC000826514787 583906681 /nfs/dbraw/zinc/90/66/81/583906681.db2.gz CQXLTKVUMXVGND-ZETCQYMHSA-N -1 1 332.353 -0.027 20 0 EBADMM CC[C@H]1CN(C(=O)COC2CN(C(=O)[O-])C2)CCN1C[C@@H](C)O ZINC000826683945 583909618 /nfs/dbraw/zinc/90/96/18/583909618.db2.gz ZAFNTTAODXCAIX-NEPJUHHUSA-N -1 1 329.397 -0.331 20 0 EBADMM CC(C)C[C@H](NC(=O)CN1CCN(C(C)(C)CO)CC1)C(=O)[O-] ZINC000826788277 583910737 /nfs/dbraw/zinc/91/07/37/583910737.db2.gz WNQZWCCIKKJXGT-ZDUSSCGKSA-N -1 1 329.441 -0.010 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)CCCN2C(=O)CNC2=O)CC1 ZINC000827362741 583919811 /nfs/dbraw/zinc/91/98/11/583919811.db2.gz TZYTZHKYVHTMIY-NSHDSACASA-N -1 1 340.380 -0.674 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)CN2C(=O)NC(=O)C2(C)C)CC1 ZINC000827363040 583919834 /nfs/dbraw/zinc/91/98/34/583919834.db2.gz YKMRFLHMHMITKK-JTQLQIEISA-N -1 1 340.380 -0.676 20 0 EBADMM C[C@H](CNS(=O)(=O)N(C)CCC(=O)[O-])CN1CCN(C)CC1 ZINC000827502021 583922183 /nfs/dbraw/zinc/92/21/83/583922183.db2.gz GSRATGWVMXAPLS-GFCCVEGCSA-N -1 1 336.458 -0.889 20 0 EBADMM CC(C)[C@H](CNS(=O)(=O)C[C@H](C)C(=O)[O-])N1CCN(C)CC1 ZINC000827557851 583923827 /nfs/dbraw/zinc/92/38/27/583923827.db2.gz HVDJKOOMDHRVJQ-STQMWFEESA-N -1 1 335.470 -0.102 20 0 EBADMM CCc1nc([C@@H]2CN(C(=O)COCCNC(=O)[O-])CCO2)n[nH]1 ZINC000827620883 583925044 /nfs/dbraw/zinc/92/50/44/583925044.db2.gz NLSJXYMCOIANFH-VIFPVBQESA-N -1 1 327.341 -0.449 20 0 EBADMM CC[C@@H](C)C[C@@H]([N-]S(=O)(=O)CCS(C)(=O)=O)C(=O)OC ZINC000827815483 583928282 /nfs/dbraw/zinc/92/82/82/583928282.db2.gz WCENAGSUENIYMP-NXEZZACHSA-N -1 1 329.440 -0.072 20 0 EBADMM CN(C)CC(=O)N1CCN(C(=O)C[C@H]2CCCN2C(=O)[O-])CC1 ZINC000828010370 583931480 /nfs/dbraw/zinc/93/14/80/583931480.db2.gz RSTJRJHNQBCGRJ-GFCCVEGCSA-N -1 1 326.397 -0.249 20 0 EBADMM CN(CCNC(=O)C(=O)Nc1cnn(-c2ccncc2)c1)C(=O)[O-] ZINC000828315827 583937158 /nfs/dbraw/zinc/93/71/58/583937158.db2.gz GTLDCBNQFVIZRK-UHFFFAOYSA-N -1 1 332.320 -0.068 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(S(=O)(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000828473613 583939987 /nfs/dbraw/zinc/93/99/87/583939987.db2.gz YPYAZWDFEHMNIO-UPJWGTAASA-N -1 1 349.453 -0.179 20 0 EBADMM CCc1nnc([C@H]2CN(S(=O)(=O)N(C)CCC(=O)[O-])CCO2)[nH]1 ZINC000830304568 583965777 /nfs/dbraw/zinc/96/57/77/583965777.db2.gz QGLYOMJNXHZDHI-SECBINFHSA-N -1 1 347.397 -0.608 20 0 EBADMM Cc1nc(CN2CCN(C(=O)[C@]3(F)CCN(C(=O)[O-])C3)CC2)n[nH]1 ZINC000830752006 583970515 /nfs/dbraw/zinc/97/05/15/583970515.db2.gz RYAMCEHIJCUABO-AWEZNQCLSA-N -1 1 340.359 -0.151 20 0 EBADMM CN(CCCNC(=O)CN[C@H](C(=O)[O-])C(C)(C)C)S(C)(=O)=O ZINC000830772325 583970584 /nfs/dbraw/zinc/97/05/84/583970584.db2.gz HFZYEKJOLLKZEN-LLVKDONJSA-N -1 1 337.442 -0.527 20 0 EBADMM CCOC(=O)C[C@@H](O)C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831125654 583976804 /nfs/dbraw/zinc/97/68/04/583976804.db2.gz ORAQUMSJRZCKED-MRVPVSSYSA-N -1 1 326.271 -0.563 20 0 EBADMM CN(C)S(=O)(=O)CC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831134809 583977001 /nfs/dbraw/zinc/97/70/01/583977001.db2.gz QGXXLQHKKMBVNL-UHFFFAOYSA-N -1 1 331.316 -0.985 20 0 EBADMM C[C@H](CS(C)(=O)=O)C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831140500 583977084 /nfs/dbraw/zinc/97/70/84/583977084.db2.gz YTKSXYPJUXQOLA-SSDOTTSWSA-N -1 1 330.328 -0.196 20 0 EBADMM COCCS(=O)(=O)CC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831154140 583977319 /nfs/dbraw/zinc/97/73/19/583977319.db2.gz JBKCWTONGGBJPK-UHFFFAOYSA-N -1 1 346.327 -0.816 20 0 EBADMM O=C([O-])N1C[C@@H](O)C[C@H]1C(=O)N1CCCN(C[C@H]2CCCO2)CC1 ZINC000831271385 583981115 /nfs/dbraw/zinc/98/11/15/583981115.db2.gz BHGHEIXWWQRXEX-MJBXVCDLSA-N -1 1 341.408 -0.187 20 0 EBADMM O=C([O-])N1C[C@H](O)C[C@H]1C(=O)N1CCN(CC2CCOCC2)CC1 ZINC000831273194 583981140 /nfs/dbraw/zinc/98/11/40/583981140.db2.gz ODPDXCODVNAFTC-KGLIPLIRSA-N -1 1 341.408 -0.330 20 0 EBADMM O=C([O-])N1CCO[C@@H](C(=O)N2CC[C@@H](CN3CCOCC3)C2)C1 ZINC000832048951 583995117 /nfs/dbraw/zinc/99/51/17/583995117.db2.gz BHFDKDIBUFIOJE-QWHCGFSZSA-N -1 1 327.381 -0.454 20 0 EBADMM O=C([O-])N1CCO[C@H](CC(=O)N2CCN(C[C@@H]3CCOC3)CC2)C1 ZINC000832059467 583995467 /nfs/dbraw/zinc/99/54/67/583995467.db2.gz BBVVQMIIMQEBKJ-UONOGXRCSA-N -1 1 341.408 -0.064 20 0 EBADMM O=C([O-])N1CCO[C@H](CC(=O)N2CCN(C[C@H]3CCOC3)CC2)C1 ZINC000832059468 583995515 /nfs/dbraw/zinc/99/55/15/583995515.db2.gz BBVVQMIIMQEBKJ-ZIAGYGMSSA-N -1 1 341.408 -0.064 20 0 EBADMM O=C([O-])CNC(=O)CCN1CCN(Cc2nccn2C(F)F)CC1 ZINC000833250320 584007633 /nfs/dbraw/zinc/00/76/33/584007633.db2.gz HDITUEZKNBKWML-UHFFFAOYSA-N -1 1 345.350 -0.013 20 0 EBADMM O=C([O-])c1cc(CN[C@@H]2CS(=O)(=O)C[C@H]2N2CCOCC2)c[nH]1 ZINC000833296696 584008494 /nfs/dbraw/zinc/00/84/94/584008494.db2.gz QJUUSJIKRXQZHM-CHWSQXEVSA-N -1 1 343.405 -0.700 20 0 EBADMM CC(=O)N1CSC[C@@H]1C(=O)N1CCN(CCC(=O)[O-])C[C@H]1C ZINC000833391509 584009740 /nfs/dbraw/zinc/00/97/40/584009740.db2.gz LHESJTJWPRHPCX-ZYHUDNBSSA-N -1 1 329.422 -0.085 20 0 EBADMM CC(C)(CNC(=O)C(=O)Nc1ccc2[nH]nc(C(N)=O)c2c1)C(=O)[O-] ZINC000833458070 584010549 /nfs/dbraw/zinc/01/05/49/584010549.db2.gz LLKWFLNJKMDORR-UHFFFAOYSA-N -1 1 347.331 -0.173 20 0 EBADMM C[C@H](CS(=O)(=O)N1CC[C@@H](N2CCN(C)CC2)[C@@H](C)C1)C(=O)[O-] ZINC000833519312 584011445 /nfs/dbraw/zinc/01/14/45/584011445.db2.gz NRZOAVWFWVGPRL-BFHYXJOUSA-N -1 1 347.481 -0.005 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NCCCN1CCOCC1 ZINC000833626274 584012972 /nfs/dbraw/zinc/01/29/72/584012972.db2.gz PNGASUZDVXKKQG-CQSZACIVSA-N -1 1 342.440 -0.101 20 0 EBADMM CNC(=O)[C@@H](NC(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C)C(C)C ZINC000833698926 584014201 /nfs/dbraw/zinc/01/42/01/584014201.db2.gz APYNKVMDRPLCLD-AAEUAGOBSA-N -1 1 328.413 -0.053 20 0 EBADMM CS[C@@H]1CN(S(=O)(=O)c2cnn(CC(=O)[O-])c2)C[C@H]1N(C)C ZINC000833737398 584015751 /nfs/dbraw/zinc/01/57/51/584015751.db2.gz ARRIGTAVGFSFDM-GHMZBOCLSA-N -1 1 348.450 -0.366 20 0 EBADMM COCCN(CCNC(=O)NC[C@@H]1CN(C)CCN1C)C(=O)[O-] ZINC000833885443 584018913 /nfs/dbraw/zinc/01/89/13/584018913.db2.gz FTOIZWYPVPZJDD-GFCCVEGCSA-N -1 1 331.417 -0.842 20 0 EBADMM O=C([O-])N1CC(CNC(=O)N2CCN([C@@H]3CCC[C@H]3O)CC2)C1 ZINC000834065859 584025619 /nfs/dbraw/zinc/02/56/19/584025619.db2.gz CGXXUKJLAIXAAQ-CHWSQXEVSA-N -1 1 326.397 -0.163 20 0 EBADMM CCO[N-]C(=O)CNC(=O)CCNC(=O)c1n[nH]c2ccccc21 ZINC000846497758 584127075 /nfs/dbraw/zinc/12/70/75/584127075.db2.gz ZRPXAKRQGWBFIK-UHFFFAOYSA-N -1 1 333.348 -0.133 20 0 EBADMM CC(C)([N-]C(=O)C(F)(F)F)C(=O)N1CCN(Cc2nnc[nH]2)CC1 ZINC000846529283 584128384 /nfs/dbraw/zinc/12/83/84/584128384.db2.gz ZFBAFTGWPMZLFF-UHFFFAOYSA-N -1 1 348.329 -0.094 20 0 EBADMM CC1=C(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)[C@H](C)n2nnnc2N1C ZINC000847473579 584140043 /nfs/dbraw/zinc/14/00/43/584140043.db2.gz ZXOMDQZSRQWLMV-IUCAKERBSA-N -1 1 346.355 -0.929 20 0 EBADMM CC1=C(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)[C@@H](C)n2nnnc2N1C ZINC000847605315 584143579 /nfs/dbraw/zinc/14/35/79/584143579.db2.gz YMMJJCYXOQTBEQ-ZJUUUORDSA-N -1 1 344.383 -0.123 20 0 EBADMM COC(=O)[C@H](Cc1ccncc1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000848117903 584151682 /nfs/dbraw/zinc/15/16/82/584151682.db2.gz HSIRZNIYSMTWLZ-LBPRGKRZSA-N -1 1 346.343 -0.778 20 0 EBADMM C[C@@H](CNC(=O)C(=O)NCc1n[nH]c(-c2ccccc2)n1)C(=O)[O-] ZINC000848608495 584159180 /nfs/dbraw/zinc/15/91/80/584159180.db2.gz VAAAYJZRJHFMSW-VIFPVBQESA-N -1 1 331.332 -0.075 20 0 EBADMM C[C@@H](CNC(=O)C(=O)NCc1nc(-c2ccccc2)n[nH]1)C(=O)[O-] ZINC000848608495 584159182 /nfs/dbraw/zinc/15/91/82/584159182.db2.gz VAAAYJZRJHFMSW-VIFPVBQESA-N -1 1 331.332 -0.075 20 0 EBADMM O=C([O-])[C@H]1[C@@H](NC(=O)C(F)(F)F)CCCN1C(=O)c1cn[nH]n1 ZINC000851701154 584200804 /nfs/dbraw/zinc/20/08/04/584200804.db2.gz FSIDCXYRSXNTKU-CAHLUQPWSA-N -1 1 335.242 -0.459 20 0 EBADMM CCOC(=O)N1CCN(C(=O)[C@H](C)S(=O)(=O)c2ncn[n-]2)CC1 ZINC000853017731 584222466 /nfs/dbraw/zinc/22/24/66/584222466.db2.gz MHYAALHVVRLMQP-VIFPVBQESA-N -1 1 345.381 -0.732 20 0 EBADMM CCOC(=O)N1CCN(C(=O)[C@H](C)S(=O)(=O)c2nc[n-]n2)CC1 ZINC000853017731 584222468 /nfs/dbraw/zinc/22/24/68/584222468.db2.gz MHYAALHVVRLMQP-VIFPVBQESA-N -1 1 345.381 -0.732 20 0 EBADMM CS(=O)(=O)N=S1(=O)CCN(C(=O)c2cncc([O-])c2)CC1 ZINC000854592555 584244216 /nfs/dbraw/zinc/24/42/16/584244216.db2.gz NMEQSUIPDUOIED-UHFFFAOYSA-N -1 1 333.391 -0.329 20 0 EBADMM CCn1ncn([N-]C(=O)c2cccc(N3CCN(C)CC3)n2)c1=O ZINC000854629232 584245190 /nfs/dbraw/zinc/24/51/90/584245190.db2.gz SRTCRLKMAQZHSL-UHFFFAOYSA-N -1 1 331.380 -0.405 20 0 EBADMM O=C([N-]OCC1CCC1)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000856346360 584268167 /nfs/dbraw/zinc/26/81/67/584268167.db2.gz XGHBHBNWWGBSCO-NWDGAFQWSA-N -1 1 330.406 -0.130 20 0 EBADMM C[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@H]1NC(=O)C(F)(F)F ZINC000856418366 584268743 /nfs/dbraw/zinc/26/87/43/584268743.db2.gz WNZBVKONUGPGOA-RITPCOANSA-N -1 1 349.269 -0.188 20 0 EBADMM COC(=O)[C@@H](C[C@@H]1CCCO1)[N-]S(=O)(=O)CCn1cccn1 ZINC000885768717 584303735 /nfs/dbraw/zinc/30/37/35/584303735.db2.gz KDCDYHNNBLNCCB-NWDGAFQWSA-N -1 1 331.394 -0.087 20 0 EBADMM CN(C)C(=O)COc1cc(C(=O)OCc2nc(=O)n(C)[n-]2)ccc1N ZINC000860090984 584312887 /nfs/dbraw/zinc/31/28/87/584312887.db2.gz DQGXPSNOYBSMPK-UHFFFAOYSA-N -1 1 349.347 -0.485 20 0 EBADMM CN(CCO)CC[N-]S(=O)(=O)N=[S@@](C)(=O)c1ccccc1 ZINC000885804830 584341679 /nfs/dbraw/zinc/34/16/79/584341679.db2.gz UNMFOCNNKSKXDC-FQEVSTJZSA-N -1 1 335.451 -0.098 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCO[C@@H](CCF)C3)ccnc1-2 ZINC000862103765 584347252 /nfs/dbraw/zinc/34/72/52/584347252.db2.gz PKUQIUZHANCTOE-JTQLQIEISA-N -1 1 335.339 -0.133 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@@H]1CCCN1CC(F)F ZINC000862694030 584355876 /nfs/dbraw/zinc/35/58/76/584355876.db2.gz VQLDZYQCEQCHSX-JTQLQIEISA-N -1 1 330.335 -0.228 20 0 EBADMM CC(C)[C@H]([N-]C(=O)C(F)(F)F)C(=O)NCC[N@H+]1CC[C@@H](O)C1 ZINC000863319709 584367548 /nfs/dbraw/zinc/36/75/48/584367548.db2.gz CVVCUHSDBVLAHG-ZJUUUORDSA-N -1 1 325.331 -0.128 20 0 EBADMM CN1CCOC[C@@H]1C[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867561461 584411068 /nfs/dbraw/zinc/41/10/68/584411068.db2.gz BEUUFCJWNKQISW-ZETCQYMHSA-N -1 1 339.215 -0.219 20 0 EBADMM CN1CCOC[C@H]1C[N-]S(=O)(=O)c1nc[nH]c1Br ZINC000867561460 584411088 /nfs/dbraw/zinc/41/10/88/584411088.db2.gz BEUUFCJWNKQISW-SSDOTTSWSA-N -1 1 339.215 -0.219 20 0 EBADMM COC(=O)[C@H](C)CS(=O)(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000867902298 584420522 /nfs/dbraw/zinc/42/05/22/584420522.db2.gz FHIJBNYAWDJCMF-SSDOTTSWSA-N -1 1 346.327 -0.264 20 0 EBADMM CCN1CCOC[C@@H]1C(=O)OCC(=O)CC[N-]C(=O)C(F)(F)F ZINC000869463105 584439708 /nfs/dbraw/zinc/43/97/08/584439708.db2.gz ODLKVXZIEVIRJR-SNVBAGLBSA-N -1 1 340.298 -0.112 20 0 EBADMM CN1CCC[C@@H](NC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)C1=O ZINC000875342137 584497589 /nfs/dbraw/zinc/49/75/89/584497589.db2.gz DLQMCMXSFCYRNT-SECBINFHSA-N -1 1 336.314 -0.073 20 0 EBADMM COC[C@@H](CNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)OC ZINC000875475521 584499628 /nfs/dbraw/zinc/49/96/28/584499628.db2.gz SMDLXXPMXCWPAO-SECBINFHSA-N -1 1 327.303 -0.032 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-]C[C@@H]2CCCS2(=O)=O)c1Cl ZINC000126962988 584538596 /nfs/dbraw/zinc/53/85/96/584538596.db2.gz WAHVWKXDWCIJLW-ZETCQYMHSA-N -1 1 327.815 -0.071 20 0 EBADMM CC(C)N1CC[C@H]([N-]S(=O)(=O)N=[S@](C)(=O)N(C)C)C1=O ZINC000881897302 584579077 /nfs/dbraw/zinc/57/90/77/584579077.db2.gz BTMWGRODLOTBGC-UGZDLDLSSA-N -1 1 326.444 -0.596 20 0 EBADMM CN(C)[S@@](C)(=O)=NS(=O)(=O)[N-]CC(O)(C1CC1)C1CC1 ZINC000882400108 584589324 /nfs/dbraw/zinc/58/93/24/584589324.db2.gz DCACLGDFGOENRI-IBGZPJMESA-N -1 1 325.456 -0.054 20 0 EBADMM COC[C@@H](CS(=O)(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)OC ZINC000882506132 584591497 /nfs/dbraw/zinc/59/14/97/584591497.db2.gz RMVUBPDEELDYNM-VIFPVBQESA-N -1 1 348.343 -0.412 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCOC[C@@H]1C[C@@H]1CCOC1 ZINC000887802175 584682587 /nfs/dbraw/zinc/68/25/87/584682587.db2.gz CZWSEOQXVUTWTD-STQMWFEESA-N -1 1 337.376 -0.419 20 0 EBADMM COc1cc(-c2nn[nH]n2)ccc1OCC(=O)NCc1nn[n-]n1 ZINC000095191306 598696586 /nfs/dbraw/zinc/69/65/86/598696586.db2.gz XHCCAILIYCWEET-UHFFFAOYSA-N -1 1 331.296 -0.916 20 0 EBADMM Cc1cc(N2CCN(C(=O)CN(C)C)CC2)n2nc(C(=O)[O-])nc2n1 ZINC000738407674 599706398 /nfs/dbraw/zinc/70/63/98/599706398.db2.gz XTTODQQQSBDQRG-UHFFFAOYSA-N -1 1 347.379 -0.659 20 0 EBADMM O=C([O-])CNC(=O)COC(=O)CCNC(=O)c1n[nH]c2ccccc21 ZINC000739812124 599818024 /nfs/dbraw/zinc/81/80/24/599818024.db2.gz ADPFCDAMUWDELJ-UHFFFAOYSA-N -1 1 348.315 -0.573 20 0 EBADMM O=C([O-])COc1ccc(C(=O)N2CCO[C@H](c3nn[nH]n3)C2)cc1 ZINC000739823566 600261674 /nfs/dbraw/zinc/26/16/74/600261674.db2.gz BCKCFSZZLLNRRS-NSHDSACASA-N -1 1 333.304 -0.123 20 0 EBADMM O=C([O-])c1ccc(C(=O)N[C@H]2CCN(CCN3CCOCC3)C2)cn1 ZINC000739380683 600622666 /nfs/dbraw/zinc/62/26/66/600622666.db2.gz GASZFJGARRKHSC-AWEZNQCLSA-N -1 1 348.403 -0.084 20 0 EBADMM CC(=O)N1CCN(C(=O)CN2CCSC[C@@H]2CC(=O)[O-])CC1 ZINC000387549727 601893502 /nfs/dbraw/zinc/89/35/02/601893502.db2.gz YVUYHUIBNZJETF-LBPRGKRZSA-N -1 1 329.422 -0.431 20 0 EBADMM COC[C@@H](C)NC(=O)NC(=O)CN1CC[C@@H](CN(C)C(=O)[O-])C1 ZINC000739803099 602537681 /nfs/dbraw/zinc/53/76/81/602537681.db2.gz CARGPRAYBIZBOO-MNOVXSKESA-N -1 1 330.385 -0.221 20 0 EBADMM CC[C@H](NC(=O)[O-])C(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC000739532207 602770681 /nfs/dbraw/zinc/77/06/81/602770681.db2.gz VTSPJDCWWQEYPM-STQMWFEESA-N -1 1 328.413 -0.445 20 0 EBADMM C[C@@H]1CN(CCCNC(=O)[C@@H]2COCCN2C(=O)[O-])C[C@H](C)O1 ZINC000739184662 602834493 /nfs/dbraw/zinc/83/44/93/602834493.db2.gz XNZOBSWTIWYMSD-XQQFMLRXSA-N -1 1 329.397 -0.019 20 0 EBADMM C[C@@H]1CN(CCCNC(=O)[C@H]2COCCN2C(=O)[O-])C[C@H](C)O1 ZINC000739184656 602834638 /nfs/dbraw/zinc/83/46/38/602834638.db2.gz XNZOBSWTIWYMSD-FRRDWIJNSA-N -1 1 329.397 -0.019 20 0 EBADMM C[C@@H]1CN(CCCNC(=O)[C@@H]2C[C@H](O)CN2C(=O)[O-])C[C@@H](C)O1 ZINC000739181569 603085394 /nfs/dbraw/zinc/08/53/94/603085394.db2.gz KAJXTVWUWFHCEO-NDBYEHHHSA-N -1 1 329.397 -0.285 20 0 EBADMM Cc1nc(CN2CCN(C(=O)[C@@H]3CSCN3C(=O)[O-])CC2)n[nH]1 ZINC000740217686 603100508 /nfs/dbraw/zinc/10/05/08/603100508.db2.gz AFYJBIANDXIXPZ-JTQLQIEISA-N -1 1 340.409 -0.190 20 0 EBADMM CN1CCN(CCNC(=O)C(C)(C)N2CCN(C(=O)[O-])CC2)CC1 ZINC000739736124 603132143 /nfs/dbraw/zinc/13/21/43/603132143.db2.gz BBHJQYMAVNGSRZ-UHFFFAOYSA-N -1 1 341.456 -0.576 20 0 EBADMM O=C(COC1CN(C(=O)[O-])C1)N[C@H](c1nn[nH]n1)c1ccccc1 ZINC000831529308 603473078 /nfs/dbraw/zinc/47/30/78/603473078.db2.gz JATOUMBWDILLKD-LBPRGKRZSA-N -1 1 332.320 -0.216 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)[C@H]1CC(=O)N(CC(F)(F)F)C1 ZINC000324114470 605077219 /nfs/dbraw/zinc/07/72/19/605077219.db2.gz OMHDTMXZWQFDKV-CBAPKCEASA-N -1 1 348.281 -0.068 20 0 EBADMM Cc1nc(S(=O)(=O)[N-][C@@H]2COC[C@H]2O)c(Br)n1C ZINC000323076179 649996377 /nfs/dbraw/zinc/99/63/77/649996377.db2.gz RPOXJQJIIQWHMT-RNFRBKRXSA-N -1 1 340.199 -0.471 20 0 EBADMM Cc1nc(S(=O)(=O)[N-][C@@H]2COC[C@@H]2O)c(Br)n1C ZINC000323190408 649996384 /nfs/dbraw/zinc/99/63/84/649996384.db2.gz RPOXJQJIIQWHMT-RQJHMYQMSA-N -1 1 340.199 -0.471 20 0 EBADMM CS(=O)(=O)CCS(=O)(=O)[N-][C@@H]1CCCCC12OCCO2 ZINC000715982305 650036288 /nfs/dbraw/zinc/03/62/88/650036288.db2.gz DVYHOGLNBROAHS-SNVBAGLBSA-N -1 1 327.424 -0.364 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@H]2CC[C@H](C3CC3)O2)c(=O)n(C)c1=O ZINC000907467498 650083620 /nfs/dbraw/zinc/08/36/20/650083620.db2.gz HKOXXYISCBMKGQ-GHMZBOCLSA-N -1 1 343.405 -0.680 20 0 EBADMM COCCN(CCC(=O)[O-])C(=O)C(=O)N[C@H]1C[C@H](C)N(C2CC2)C1 ZINC000910490089 650110860 /nfs/dbraw/zinc/11/08/60/650110860.db2.gz PZNDQSAUHCTGNY-RYUDHWBXSA-N -1 1 341.408 -0.323 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)CN1CCCC1=O ZINC000912533216 650207027 /nfs/dbraw/zinc/20/70/27/650207027.db2.gz COPDSAQQGNZPJP-QMMMGPOBSA-N -1 1 330.366 -0.515 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C1CCC(C(N)=O)CC1 ZINC000912544645 650207383 /nfs/dbraw/zinc/20/73/83/650207383.db2.gz QTMAPSARWVUJMY-UHFFFAOYSA-N -1 1 330.366 -0.481 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCc1nccnc1C ZINC000912551932 650208339 /nfs/dbraw/zinc/20/83/39/650208339.db2.gz DXXHKQZJJFWIBL-UHFFFAOYSA-N -1 1 325.350 -0.184 20 0 EBADMM CN1CCO[C@@H](C(=O)N2CC[C@@](C)([N-]C(=O)C(F)(F)F)C2)C1=O ZINC000913780009 650245830 /nfs/dbraw/zinc/24/58/30/650245830.db2.gz OPVPGFSSIJTPOQ-PRHODGIISA-N -1 1 337.298 -0.487 20 0 EBADMM O=C1[C@@H]([N-]S(=O)(=O)[C@@H]2COC[C@H]2O)CCCN1c1ccccc1 ZINC000914114487 650252060 /nfs/dbraw/zinc/25/20/60/650252060.db2.gz YEWGRGRXESJADM-BFHYXJOUSA-N -1 1 340.401 -0.139 20 0 EBADMM Cc1nc(S(=O)(=O)[N-][C@H](CO)CN2CCOCC2)sc1C ZINC000918989720 650294525 /nfs/dbraw/zinc/29/45/25/650294525.db2.gz SNYXBMSZNCUYHN-NSHDSACASA-N -1 1 335.451 -0.269 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H](C)[C@@H]2CN(C)CCN2C)o1 ZINC000920010618 650308730 /nfs/dbraw/zinc/30/87/30/650308730.db2.gz PXWMZPWHTMVCOI-MNOVXSKESA-N -1 1 345.421 -0.021 20 0 EBADMM COc1cc(CS(=O)(=O)NCC(=O)N2CC[NH+](C)CC2)sn1 ZINC000920525994 650312449 /nfs/dbraw/zinc/31/24/49/650312449.db2.gz AAHGVPHPPCEUKA-UHFFFAOYSA-N -1 1 348.450 -0.655 20 0 EBADMM CN1CCOC[C@]1(CO)C[N-]S(=O)(=O)c1cc(F)ccc1F ZINC000921339302 650318212 /nfs/dbraw/zinc/31/82/12/650318212.db2.gz AZASHOWVSVJNQA-CYBMUJFWSA-N -1 1 336.360 -0.064 20 0 EBADMM C[C@H](CN1CCN2C(=O)C(=O)NC[C@H]2C1)C(=O)c1ccc([O-])cc1 ZINC000929845286 651566576 /nfs/dbraw/zinc/56/65/76/651566576.db2.gz WQQWKVCFKOFKHW-YPMHNXCESA-N -1 1 331.372 -0.146 20 0 EBADMM CN(C(=O)CN1CN=NC1=O)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937211403 651679761 /nfs/dbraw/zinc/67/97/61/651679761.db2.gz JIQMHEKWSKIUEA-JTQLQIEISA-N -1 1 346.347 -0.543 20 0 EBADMM CN(C(=O)[C@H]1CC(=O)N(C)C1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216436 651684947 /nfs/dbraw/zinc/68/49/47/651684947.db2.gz CNJWPFYTUXLAPP-NWDGAFQWSA-N -1 1 346.387 -0.062 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2c[nH]c(=O)cn2)C1)c1ncccc1[O-] ZINC000937860667 651956925 /nfs/dbraw/zinc/95/69/25/651956925.db2.gz KUXONLNCGNFJKQ-VIFPVBQESA-N -1 1 329.316 -0.485 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)[C@@H]2CCC(=O)NC2)C1)c1ncccc1[O-] ZINC000937864240 651959590 /nfs/dbraw/zinc/95/95/90/651959590.db2.gz OCJKWJWCBOROFX-MNOVXSKESA-N -1 1 332.360 -0.356 20 0 EBADMM CC(C)C(=O)N1CC[C@H](N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000936658374 651980691 /nfs/dbraw/zinc/98/06/91/651980691.db2.gz JLGOZGLVHPPRRV-JTQLQIEISA-N -1 1 332.364 -0.254 20 0 EBADMM CCN1C[C@@H](C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC000938091862 652074692 /nfs/dbraw/zinc/07/46/92/652074692.db2.gz NUCMDAIIYNLURK-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C1)c1cn[nH]c(=O)c1 ZINC000938115896 652090494 /nfs/dbraw/zinc/09/04/94/652090494.db2.gz UPDSVOONJKCUBG-JTQLQIEISA-N -1 1 329.316 -0.073 20 0 EBADMM CN1CC[C@H](CC(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)C1=O ZINC000938120289 652093485 /nfs/dbraw/zinc/09/34/85/652093485.db2.gz JDDKSFCYJBPHDI-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(C[C@H]1CCC(=O)N1)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000938256834 652164305 /nfs/dbraw/zinc/16/43/05/652164305.db2.gz VQKVUFMTARTKAI-MNOVXSKESA-N -1 1 332.360 -0.213 20 0 EBADMM CC1(CC(=O)N2CC[C@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)CC1 ZINC000938314700 652192614 /nfs/dbraw/zinc/19/26/14/652192614.db2.gz OPLQQLUGIZEZLJ-JTQLQIEISA-N -1 1 344.375 -0.062 20 0 EBADMM C[C@@H](C(=O)N1CCN(C2CN(Cc3nc(=O)n(C)[n-]3)C2)CC1)C1CC1 ZINC000941363187 652694718 /nfs/dbraw/zinc/69/47/18/652694718.db2.gz OXRWQLILYLPKEP-GFCCVEGCSA-N -1 1 348.451 -0.517 20 0 EBADMM CN(C(=O)CC1CC1)[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000938871329 652750980 /nfs/dbraw/zinc/75/09/80/652750980.db2.gz DMUJZODGQAYPIH-CYBMUJFWSA-N -1 1 348.403 -0.214 20 0 EBADMM CO[C@@H]1CN(C(=O)c2cnc(C)[nH]2)C[C@H]1NC(=O)c1[nH]nc(C)c1[O-] ZINC000941546185 652797030 /nfs/dbraw/zinc/79/70/30/652797030.db2.gz IPLAIIHEEYPKRV-GHMZBOCLSA-N -1 1 348.363 -0.275 20 0 EBADMM C[C@@H]1C[C@@H]1CC(=O)N1CCN(C2CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC000941551256 652798633 /nfs/dbraw/zinc/79/86/33/652798633.db2.gz YAURSMXXCQWWII-CHWSQXEVSA-N -1 1 348.451 -0.517 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H](NC(=O)C2CCCC2)C1 ZINC000939029647 652810807 /nfs/dbraw/zinc/81/08/07/652810807.db2.gz ZJVRADKLYTXXRA-CYBMUJFWSA-N -1 1 348.403 -0.166 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CCCC1 ZINC000939029199 652810914 /nfs/dbraw/zinc/81/09/14/652810914.db2.gz DXSXHKRCNWLLAV-LLVKDONJSA-N -1 1 344.375 -0.062 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)[C@H]2CCC(=O)N2)CCO1)c1ncccc1[O-] ZINC000944560337 652860004 /nfs/dbraw/zinc/86/00/04/652860004.db2.gz JBWADEPSAHXZHX-WDEREUQCSA-N -1 1 348.359 -0.977 20 0 EBADMM Cn1cc(C(=O)N2CCO[C@@H](CNC(=O)c3ncccc3[O-])C2)nn1 ZINC000944560765 652860680 /nfs/dbraw/zinc/86/06/80/652860680.db2.gz MSJAWOYYKOANGZ-JTQLQIEISA-N -1 1 346.347 -0.813 20 0 EBADMM O=C(Cc1ccon1)N1CCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944561644 652861790 /nfs/dbraw/zinc/86/17/90/652861790.db2.gz SHEJOAPCFDRWAV-GFCCVEGCSA-N -1 1 346.343 -0.025 20 0 EBADMM O=C(Cc1ncc[nH]1)N1CCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944564281 652863124 /nfs/dbraw/zinc/86/31/24/652863124.db2.gz JNTQQLQZTKINGC-LLVKDONJSA-N -1 1 345.359 -0.290 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)C2(CF)CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000941663781 652865236 /nfs/dbraw/zinc/86/52/36/652865236.db2.gz CXZRYGSECPFOPX-SECBINFHSA-N -1 1 348.338 -0.502 20 0 EBADMM C[C@@H]1CN(C(=O)CCc2ncccn2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939353715 652910937 /nfs/dbraw/zinc/91/09/37/652910937.db2.gz HCGSRJTWAKALBC-GHMZBOCLSA-N -1 1 331.380 -0.130 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)Cc1cc[nH]n1 ZINC000941911530 652948912 /nfs/dbraw/zinc/94/89/12/652948912.db2.gz FGIHXNRCUKQPRI-ZYHUDNBSSA-N -1 1 333.396 -0.599 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)Cc1ccnn1C ZINC000942003421 653017853 /nfs/dbraw/zinc/01/78/53/653017853.db2.gz CBLBOTDRVTXVJU-AAEUAGOBSA-N -1 1 347.423 -0.589 20 0 EBADMM CN1C[C@@H](C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)NC1=O ZINC000942012353 653021485 /nfs/dbraw/zinc/02/14/85/653021485.db2.gz LVWDGTGEZONESP-ZJUUUORDSA-N -1 1 333.348 -0.859 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@@]2(C)CCNC2=O)C1 ZINC000939687355 653022648 /nfs/dbraw/zinc/02/26/48/653022648.db2.gz PZFMFORPRYGKKK-DIFFPNOSSA-N -1 1 346.387 -0.014 20 0 EBADMM CCn1nncc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1C ZINC000942023233 653022970 /nfs/dbraw/zinc/02/29/70/653022970.db2.gz XQMGYZNPDZDBMB-QWRGUYRKSA-N -1 1 348.411 -0.640 20 0 EBADMM Cc1ncn(C)c1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC000942153723 653046344 /nfs/dbraw/zinc/04/63/44/653046344.db2.gz RLBGSWAUYXQWNW-ZYHUDNBSSA-N -1 1 347.423 -0.209 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1CCNC(=O)C1 ZINC000939994490 653072063 /nfs/dbraw/zinc/07/20/63/653072063.db2.gz JAIPVEUOMOHHFX-WDEREUQCSA-N -1 1 332.360 -0.356 20 0 EBADMM CC1(C)C[C@@H]1C(=O)N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000940056921 653077114 /nfs/dbraw/zinc/07/71/14/653077114.db2.gz SSALJEBFMJPMMB-NXEZZACHSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@H]1CN(Cc2cncn2C)CC[C@@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC000942306395 653078948 /nfs/dbraw/zinc/07/89/48/653078948.db2.gz YCUMUWZLIHOPKH-ONGXEEELSA-N -1 1 347.379 -0.343 20 0 EBADMM O=C(C[C@@H]1CCC(=O)N1)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000940131626 653084768 /nfs/dbraw/zinc/08/47/68/653084768.db2.gz GWVUNPLHXBVYGH-WDEREUQCSA-N -1 1 332.360 -0.213 20 0 EBADMM CCN1C[C@H](C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000940135941 653085372 /nfs/dbraw/zinc/08/53/72/653085372.db2.gz NDAJKPFYEKOGQH-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@]1(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)CNC(=O)C1 ZINC000940135889 653085509 /nfs/dbraw/zinc/08/55/09/653085509.db2.gz KTHDUDUVVSHNPO-QLJPJBMISA-N -1 1 332.360 -0.356 20 0 EBADMM CC(=O)NC[C@@H]1CCCC[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000946407748 653109174 /nfs/dbraw/zinc/10/91/74/653109174.db2.gz RZHIVWAQYGWYDK-WDEREUQCSA-N -1 1 332.364 -0.158 20 0 EBADMM CN1CCO[C@H](C(=O)NC2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC000946533411 653145005 /nfs/dbraw/zinc/14/50/05/653145005.db2.gz TWAKPCNJLWMXEA-AWEZNQCLSA-N -1 1 348.403 -0.161 20 0 EBADMM CCC(=O)N1CC[C@@H](N(CC)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000942877301 653247933 /nfs/dbraw/zinc/24/79/33/653247933.db2.gz SMONEBADSBTGRO-SNVBAGLBSA-N -1 1 332.364 -0.109 20 0 EBADMM Cc1cc(C(=O)N2CCC(NC(=O)c3n[nH]c(=O)[n-]c3=O)CC2)n[nH]1 ZINC000944820660 653272173 /nfs/dbraw/zinc/27/21/73/653272173.db2.gz GWDWIFPHBHJDJO-UHFFFAOYSA-N -1 1 347.335 -0.651 20 0 EBADMM CCC(=O)N[C@H]1CCCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000943001524 653278966 /nfs/dbraw/zinc/27/89/66/653278966.db2.gz MOYDSQIAVNQKMS-LBPRGKRZSA-N -1 1 336.392 -0.166 20 0 EBADMM O=C(N[C@H]1CCCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC000943017384 653280387 /nfs/dbraw/zinc/28/03/87/653280387.db2.gz OBOSQLGJQLLUDP-NSHDSACASA-N -1 1 344.375 -0.062 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1ccn(C)n1 ZINC000944916694 653288993 /nfs/dbraw/zinc/28/89/93/653288993.db2.gz BHJLNZOYPPNOGM-QWRGUYRKSA-N -1 1 333.396 -0.518 20 0 EBADMM CN1CC[C@@H](C(=O)N2CCCN(C(=O)c3ncccc3[O-])CC2)C1=O ZINC000940831732 653297702 /nfs/dbraw/zinc/29/77/02/653297702.db2.gz MFGKFHKDPWGNMF-GFCCVEGCSA-N -1 1 346.387 -0.060 20 0 EBADMM O=C(c1ncccc1[O-])N1CCCN(C(=O)[C@H]2CCNC2=O)CC1 ZINC000940832162 653298381 /nfs/dbraw/zinc/29/83/81/653298381.db2.gz YTSPAKPIVPONPD-NSHDSACASA-N -1 1 332.360 -0.402 20 0 EBADMM CCC(=O)N1CC2(C1)CCN(C(=O)c1cc(=O)n3[n-]cnc3n1)CC2 ZINC000944984050 653308974 /nfs/dbraw/zinc/30/89/74/653308974.db2.gz YMGUZDWWJMNCDA-UHFFFAOYSA-N -1 1 344.375 -0.108 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2cnns2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947098816 653312481 /nfs/dbraw/zinc/31/24/81/653312481.db2.gz KAMIODLYUNAIDR-DTWKUNHWSA-N -1 1 337.409 -0.257 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H](C)C2)[nH]n1 ZINC000947104018 653314609 /nfs/dbraw/zinc/31/46/09/653314609.db2.gz OKGULPMKRNAETK-QWRGUYRKSA-N -1 1 333.396 -0.077 20 0 EBADMM O=C(C[C@H]1CCNC1=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000940887145 653317766 /nfs/dbraw/zinc/31/77/66/653317766.db2.gz MJWARZQOJAPCHR-GHMZBOCLSA-N -1 1 332.360 -0.356 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2ccnn2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947127366 653320955 /nfs/dbraw/zinc/32/09/55/653320955.db2.gz YBMUOGFAPCXTGA-GHMZBOCLSA-N -1 1 333.396 -0.375 20 0 EBADMM Cc1c(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H](C)C2)nnn1C ZINC000947157873 653328743 /nfs/dbraw/zinc/32/87/43/653328743.db2.gz SASYPELZJMRHDX-MWLCHTKSSA-N -1 1 348.411 -0.672 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2cncnc2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947231646 653343522 /nfs/dbraw/zinc/34/35/22/653343522.db2.gz NMPRLOQZAZJXEU-CMPLNLGQSA-N -1 1 331.380 -0.319 20 0 EBADMM CCn1ccc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)n1 ZINC000943303767 653344207 /nfs/dbraw/zinc/34/42/07/653344207.db2.gz JJLMOGJTGKDOAD-NWDGAFQWSA-N -1 1 347.423 -0.035 20 0 EBADMM Cc1nnc(CC(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H](C)C2)o1 ZINC000947276555 653353463 /nfs/dbraw/zinc/35/34/63/653353463.db2.gz JWULSPNDJSRVSP-GXSJLCMTSA-N -1 1 349.395 -0.488 20 0 EBADMM CN1C[C@H](C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)CCC1=O ZINC000940977960 653361563 /nfs/dbraw/zinc/36/15/63/653361563.db2.gz SHECUPUBMOAMNM-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H](C)C2)nn1 ZINC000947307046 653363374 /nfs/dbraw/zinc/36/33/74/653363374.db2.gz UFADDGBUTJQKRM-VXGBXAGGSA-N -1 1 345.407 -0.010 20 0 EBADMM COCC(=O)N1CCCC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000943380859 653370625 /nfs/dbraw/zinc/37/06/25/653370625.db2.gz GTNRAPAAGOWTIK-SNVBAGLBSA-N -1 1 348.363 -0.825 20 0 EBADMM O=C(NC1CCN(C(=O)[C@H]2CCC(=O)N2)CC1)c1ncccc1[O-] ZINC000945263854 653382727 /nfs/dbraw/zinc/38/27/27/653382727.db2.gz AOZBAJDRNISBSG-LLVKDONJSA-N -1 1 332.360 -0.213 20 0 EBADMM CN1CC[C@H](CC(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)C1=O ZINC000941056188 653394827 /nfs/dbraw/zinc/39/48/27/653394827.db2.gz JDDKSFCYJBPHDI-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@@H]1CN(C(=O)CN2CCCC2=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000947418707 653396554 /nfs/dbraw/zinc/39/65/54/653396554.db2.gz PQKIADSVGBGJJM-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2cn[nH]c(=O)c2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947420694 653397082 /nfs/dbraw/zinc/39/70/82/653397082.db2.gz GNNJBCTUPIUKNZ-KOLCDFICSA-N -1 1 347.379 -0.613 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C1=COCCO1 ZINC000941101811 653412579 /nfs/dbraw/zinc/41/25/79/653412579.db2.gz XCQQUFLCQLJHOR-ZYHUDNBSSA-N -1 1 349.343 -0.369 20 0 EBADMM O=C(NC1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1)C(F)F ZINC000947497922 653415310 /nfs/dbraw/zinc/41/53/10/653415310.db2.gz OQDCJIVTSMWYJA-UHFFFAOYSA-N -1 1 340.290 -0.597 20 0 EBADMM Cc1nc(CN2CC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C[C@@H]2C)n[nH]1 ZINC000947506337 653419044 /nfs/dbraw/zinc/41/90/44/653419044.db2.gz NZZIWGRIIYBNJD-IONNQARKSA-N -1 1 348.367 -0.508 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)Cc3ncc[nH]3)C(C)(C)C2)nc1=O ZINC000941126512 653423979 /nfs/dbraw/zinc/42/39/79/653423979.db2.gz MOBSGZMQYYFIGH-LLVKDONJSA-N -1 1 347.423 -0.209 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2c[nH]c(=O)n2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947614995 653439794 /nfs/dbraw/zinc/43/97/94/653439794.db2.gz CFMKNJXCXVIPDF-NXEZZACHSA-N -1 1 349.395 -0.670 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)Cc2cnoc2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947644012 653446635 /nfs/dbraw/zinc/44/66/35/653446635.db2.gz UGAJLDGKAFNSEG-PWSUYJOCSA-N -1 1 334.380 -0.192 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2COCCO2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000947647012 653447018 /nfs/dbraw/zinc/44/70/18/653447018.db2.gz NUIOFMSRRRRLDG-WZRBSPASSA-N -1 1 335.360 -0.221 20 0 EBADMM Cn1ccc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)n1 ZINC000941221358 653455489 /nfs/dbraw/zinc/45/54/89/653455489.db2.gz RQSPTDORPNEIKF-GFCCVEGCSA-N -1 1 347.423 -0.128 20 0 EBADMM CCn1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)nn1 ZINC000943778169 653473924 /nfs/dbraw/zinc/47/39/24/653473924.db2.gz RKTKKNAUBKLXKH-WDEREUQCSA-N -1 1 348.411 -0.640 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CCC(NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000943826400 653478161 /nfs/dbraw/zinc/47/81/61/653478161.db2.gz NXZCLLHNUUJGLH-MWLCHTKSSA-N -1 1 344.375 -0.206 20 0 EBADMM CNC(=O)CN1CC[C@@H](NC(=O)c2c[n-]n3c2nccc3=O)C[C@H]1C ZINC000947789412 653483605 /nfs/dbraw/zinc/48/36/05/653483605.db2.gz UTFRDAXXZTYSLL-GHMZBOCLSA-N -1 1 346.391 -0.649 20 0 EBADMM CN1CC[C@@H](C(=O)NC[C@H]2CCCN2C(=O)c2ncccc2[O-])C1=O ZINC000943979273 653501074 /nfs/dbraw/zinc/50/10/74/653501074.db2.gz KDVFFPNLXXHTJK-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM CC(=O)NC[C@H]1[C@@H](C)CCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000945789057 653505853 /nfs/dbraw/zinc/50/58/53/653505853.db2.gz OQOBBIXRTLGLSS-AAEUAGOBSA-N -1 1 336.392 -0.310 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H]2CN(C(C)=O)CC[C@H]21 ZINC000945820526 653509695 /nfs/dbraw/zinc/50/96/95/653509695.db2.gz KSIRTXUOAYJMAG-NXEZZACHSA-N -1 1 336.348 -0.021 20 0 EBADMM CCC(=O)N1CC[C@H]2[C@H](CCN2C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000945813600 653509949 /nfs/dbraw/zinc/50/99/49/653509949.db2.gz IRGUQDUIELQWDI-OLZOCXBDSA-N -1 1 348.403 -0.214 20 0 EBADMM CC(=O)N1CC[C@@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC[C@@H]21 ZINC000945992397 653529480 /nfs/dbraw/zinc/52/94/80/653529480.db2.gz NQPSCOKWFIOBLP-PWSUYJOCSA-N -1 1 330.348 -0.500 20 0 EBADMM CC[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC[C@@H]1NC(C)=O ZINC000948488227 653549429 /nfs/dbraw/zinc/54/94/29/653549429.db2.gz FXUQCQOUXULGLI-MNOVXSKESA-N -1 1 332.364 -0.206 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3ccnc4[nH]cnc43)CC2)nc1=O ZINC000948574555 653559648 /nfs/dbraw/zinc/55/96/48/653559648.db2.gz PABSTJKGRIRMRQ-UHFFFAOYSA-N -1 1 342.363 -0.662 20 0 EBADMM C[C@@H](C(N)=O)N1CCC[C@H](N(C)C(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC000948590618 653561191 /nfs/dbraw/zinc/56/11/91/653561191.db2.gz MTVAZVPVRLNXAN-IUCAKERBSA-N -1 1 338.368 -0.917 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)Cn1nccn1 ZINC000948630239 653564330 /nfs/dbraw/zinc/56/43/30/653564330.db2.gz TZNCAQINHRXPIR-GHMZBOCLSA-N -1 1 330.348 -0.344 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)Cc3cc(C4CC4)no3)CC2)nc1=O ZINC000948715913 653568346 /nfs/dbraw/zinc/56/83/46/653568346.db2.gz PKDQTTZEWZGLFB-UHFFFAOYSA-N -1 1 346.391 -0.139 20 0 EBADMM CCOC1CC(CN2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)CC2)C1 ZINC000949111379 653595406 /nfs/dbraw/zinc/59/54/06/653595406.db2.gz RDRAUWZSROPSKC-UHFFFAOYSA-N -1 1 337.380 -0.144 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCN(C[C@@H]2C[C@]23CCOC3)CC1 ZINC000949111280 653595464 /nfs/dbraw/zinc/59/54/64/653595464.db2.gz MICDIDGRTPCQAQ-BONVTDFDSA-N -1 1 335.364 -0.533 20 0 EBADMM CC1(C)C[C@H]1C(=O)NC[C@@H]1CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000949457321 653899023 /nfs/dbraw/zinc/89/90/23/653899023.db2.gz QNNIIIHPPVFJPC-RYUDHWBXSA-N -1 1 348.403 -0.310 20 0 EBADMM CC1(C)C[C@H]1C(=O)NC[C@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000949455347 653899054 /nfs/dbraw/zinc/89/90/54/653899054.db2.gz APLWWOAAUVVPKX-ZJUUUORDSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(CCn1cncn1)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949531127 653921312 /nfs/dbraw/zinc/92/13/12/653921312.db2.gz NKPRVDQSEGHGLO-LLVKDONJSA-N -1 1 330.348 -0.200 20 0 EBADMM O=C(CCn1cncn1)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949531128 653921452 /nfs/dbraw/zinc/92/14/52/653921452.db2.gz NKPRVDQSEGHGLO-NSHDSACASA-N -1 1 330.348 -0.200 20 0 EBADMM O=C(CCn1ccnn1)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949535256 653921858 /nfs/dbraw/zinc/92/18/58/653921858.db2.gz QRGXWFQZNDNKQB-NSHDSACASA-N -1 1 330.348 -0.200 20 0 EBADMM Cc1nnc(CC(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])[nH]1 ZINC000949534785 653922364 /nfs/dbraw/zinc/92/23/64/653922364.db2.gz GHDJZNAICAEVIW-JTQLQIEISA-N -1 1 330.348 -0.213 20 0 EBADMM Cn1ncc(CCC(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])n1 ZINC000949538356 653922735 /nfs/dbraw/zinc/92/27/35/653922735.db2.gz IOACPVYPEVSBIA-LBPRGKRZSA-N -1 1 344.375 -0.121 20 0 EBADMM O=C(CN1C(=O)CCC1=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949538512 653922861 /nfs/dbraw/zinc/92/28/61/653922861.db2.gz KTJUUXBXNNFQMF-JTQLQIEISA-N -1 1 346.343 -0.733 20 0 EBADMM O=C(CCn1cnnn1)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949538617 653923933 /nfs/dbraw/zinc/92/39/33/653923933.db2.gz NHLBIPYKWYDMAR-SNVBAGLBSA-N -1 1 331.336 -0.805 20 0 EBADMM O=C(N[C@H]1CCCN(C(=O)C(F)F)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000949596044 653930891 /nfs/dbraw/zinc/93/08/91/653930891.db2.gz BJHYWWZZASKIOP-ZETCQYMHSA-N -1 1 340.290 -0.597 20 0 EBADMM COCC(=O)N1CCC[C@H](NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC000949698743 653953388 /nfs/dbraw/zinc/95/33/88/653953388.db2.gz IAADLIMLIFIFMJ-QMMMGPOBSA-N -1 1 340.336 -0.736 20 0 EBADMM C[C@@H]1CN(C(=O)C[C@H]2CCNC2=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000949732830 653961803 /nfs/dbraw/zinc/96/18/03/653961803.db2.gz XWEWWBKWEGALBB-IJLUTSLNSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@H](C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1)c1cncnc1 ZINC000949838393 653984564 /nfs/dbraw/zinc/98/45/64/653984564.db2.gz ZKEYSHBMMCGJMY-NSHDSACASA-N -1 1 331.380 -0.654 20 0 EBADMM COc1cc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cc(C)n1 ZINC000949894614 653998019 /nfs/dbraw/zinc/99/80/19/653998019.db2.gz CMKOCVLNHXDSAA-UHFFFAOYSA-N -1 1 346.391 -0.222 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(CCN2C(=O)CNC2=O)C1 ZINC000949952129 654008763 /nfs/dbraw/zinc/00/87/63/654008763.db2.gz HZVVEIARXFABNS-UHFFFAOYSA-N -1 1 347.375 -0.515 20 0 EBADMM CCN(C(=O)[C@H]1CCCO[C@H]1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000949962422 654010816 /nfs/dbraw/zinc/01/08/16/654010816.db2.gz JKCAJZOWURYSNF-AAEUAGOBSA-N -1 1 337.424 -0.044 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2CCCNC2=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000950003943 654017851 /nfs/dbraw/zinc/01/78/51/654017851.db2.gz VUWNZKMVIYRILO-GRYCIOLGSA-N -1 1 346.387 -0.110 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H]1CNC(=O)c1cc[nH]c1 ZINC000950382108 654113197 /nfs/dbraw/zinc/11/31/97/654113197.db2.gz KNTXIJFVHDZJJP-SECBINFHSA-N -1 1 347.331 -0.131 20 0 EBADMM CC1(C(=O)N[C@@H]2CCCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)CC1 ZINC000951408875 654118545 /nfs/dbraw/zinc/11/85/45/654118545.db2.gz UYSCOWZQOSAIHZ-SNVBAGLBSA-N -1 1 344.375 -0.062 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@H]1CCN1C(=O)C(N)=O ZINC000951436958 654129094 /nfs/dbraw/zinc/12/90/94/654129094.db2.gz FMGGPQRGILDWLW-SECBINFHSA-N -1 1 335.364 -0.570 20 0 EBADMM Cn1c(C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])c[nH]c1=O ZINC000951465524 654145227 /nfs/dbraw/zinc/14/52/27/654145227.db2.gz QQDJSSUBZWTHJO-VIFPVBQESA-N -1 1 331.332 -0.129 20 0 EBADMM CN1CCOC[C@@H]1C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000950494252 654147581 /nfs/dbraw/zinc/14/75/81/654147581.db2.gz NMCCBVIMLMCYPB-QWHCGFSZSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)NC[C@H]1CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000951478978 654157180 /nfs/dbraw/zinc/15/71/80/654157180.db2.gz SJRJXPXXTMSHBI-IJLUTSLNSA-N -1 1 334.376 -0.700 20 0 EBADMM O=C(N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1)[C@H]1CCC(=O)N1 ZINC000950539783 654171696 /nfs/dbraw/zinc/17/16/96/654171696.db2.gz XNHIDAVRTBHXJI-GHMZBOCLSA-N -1 1 332.360 -0.213 20 0 EBADMM CN1CCOC[C@@H]1C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950542816 654173795 /nfs/dbraw/zinc/17/37/95/654173795.db2.gz KEQXBEMOMVWTOQ-CHWSQXEVSA-N -1 1 348.403 -0.161 20 0 EBADMM CN1C[C@@H](C(=O)N[C@H]2CCCN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC000950547154 654174900 /nfs/dbraw/zinc/17/49/00/654174900.db2.gz YLHJJMIAMGATAN-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM CCN(C(=O)c1[nH]c(=O)[nH]c1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950565592 654180493 /nfs/dbraw/zinc/18/04/93/654180493.db2.gz CIRRPOSRPQLQRL-UHFFFAOYSA-N -1 1 335.368 -0.808 20 0 EBADMM CC(=O)N1CC(C(=O)N2CCC[C@@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000950589249 654187557 /nfs/dbraw/zinc/18/75/57/654187557.db2.gz REHFGSOXBGZSDW-CYBMUJFWSA-N -1 1 346.387 -0.014 20 0 EBADMM CCc1n[nH]cc1C(=O)N(CC)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950709686 654233641 /nfs/dbraw/zinc/23/36/41/654233641.db2.gz FAWAVBZVUFBAID-UHFFFAOYSA-N -1 1 333.396 -0.260 20 0 EBADMM CCN(C(=O)[C@H]1CCOC[C@H]1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000951700022 654250968 /nfs/dbraw/zinc/25/09/68/654250968.db2.gz ACTVMTIBDZIBFQ-YPMHNXCESA-N -1 1 337.424 -0.186 20 0 EBADMM CCN(C(=O)c1ccnnc1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950766363 654254601 /nfs/dbraw/zinc/25/46/01/654254601.db2.gz BZPLSRNMRUIORY-UHFFFAOYSA-N -1 1 331.380 -0.447 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(Cc2nccs2)CC1 ZINC000951777551 654279074 /nfs/dbraw/zinc/27/90/74/654279074.db2.gz UXEUQMGICMLMIX-UHFFFAOYSA-N -1 1 349.416 -0.272 20 0 EBADMM NC(=O)C(=O)N[C@@H]1CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000950847146 654284875 /nfs/dbraw/zinc/28/48/75/654284875.db2.gz OATMXYPNQKYDHP-SECBINFHSA-N -1 1 333.348 -0.734 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000952040846 654378591 /nfs/dbraw/zinc/37/85/91/654378591.db2.gz QZZBQOMMZODLRH-MXWKQRLJSA-N -1 1 332.360 -0.371 20 0 EBADMM CC(C)n1ccc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC000951116737 654391520 /nfs/dbraw/zinc/39/15/20/654391520.db2.gz GMIXFRYNOHQNCG-UHFFFAOYSA-N -1 1 333.396 -0.156 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCN1C(=O)C(F)F ZINC000951222115 654422852 /nfs/dbraw/zinc/42/28/52/654422852.db2.gz FYOAJBOFNJKPSZ-RXMQYKEDSA-N -1 1 332.263 -0.508 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCN1C(=O)c1cc[nH]n1 ZINC000951222295 654423819 /nfs/dbraw/zinc/42/38/19/654423819.db2.gz JKFYFZLFYITLFS-SSDOTTSWSA-N -1 1 348.319 -0.736 20 0 EBADMM CC(C)CC(=O)N1CC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951222587 654423838 /nfs/dbraw/zinc/42/38/38/654423838.db2.gz OCFBMIFFDJRWME-JTQLQIEISA-N -1 1 332.364 -0.206 20 0 EBADMM CC[C@@H](OC)C(=O)N1CC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951222873 654425008 /nfs/dbraw/zinc/42/50/08/654425008.db2.gz UPPPDQUAAFRXMS-MWLCHTKSSA-N -1 1 348.363 -0.827 20 0 EBADMM CSCC(=O)N1CC[C@@H]1CNC(=O)c1cc(=O)n2nc[n-]c2n1 ZINC000951223121 654425052 /nfs/dbraw/zinc/42/50/52/654425052.db2.gz YVRMDFALKHBMJD-MRVPVSSYSA-N -1 1 336.377 -0.889 20 0 EBADMM CC[C@H](F)C(=O)N1CC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951224755 654425362 /nfs/dbraw/zinc/42/53/62/654425362.db2.gz NBYPLLJTBHBFLQ-BDAKNGLRSA-N -1 1 336.327 -0.504 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)[C@H]1CC12CC2)c1cc(=O)n2[n-]cnc2n1 ZINC000951224653 654425488 /nfs/dbraw/zinc/42/54/88/654425488.db2.gz KENKMTDEHMWBMS-VHSXEESVSA-N -1 1 342.359 -0.452 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)[C@@H]1CC12CC2)c1cc(=O)n2[n-]cnc2n1 ZINC000951224652 654425570 /nfs/dbraw/zinc/42/55/70/654425570.db2.gz KENKMTDEHMWBMS-UWVGGRQHSA-N -1 1 342.359 -0.452 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)C1CCCC1)c1cc(=O)n2[n-]cnc2n1 ZINC000951224453 654425766 /nfs/dbraw/zinc/42/57/66/654425766.db2.gz FRHOCZXGIHWNAQ-LLVKDONJSA-N -1 1 344.375 -0.062 20 0 EBADMM CC1(C(=O)N2CC[C@H]2CNC(=O)c2cc(=O)n3[n-]cnc3n2)CCC1 ZINC000951225183 654426193 /nfs/dbraw/zinc/42/61/93/654426193.db2.gz WOVOMJIGOSMQBT-JTQLQIEISA-N -1 1 344.375 -0.062 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1)[C@H]1CC12CC2 ZINC000952244119 654429997 /nfs/dbraw/zinc/42/99/97/654429997.db2.gz DURFPYYQDMVBEW-VHSXEESVSA-N -1 1 342.359 -0.452 20 0 EBADMM CCN(C(=O)c1cn(CC)nc1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000952372592 654449573 /nfs/dbraw/zinc/44/95/73/654449573.db2.gz QFQYLIZAPUMKGJ-UHFFFAOYSA-N -1 1 347.423 -0.020 20 0 EBADMM CCN(C(=O)c1ccn(CC)n1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000952401786 654453968 /nfs/dbraw/zinc/45/39/68/654453968.db2.gz HALDFHSMTXNOAP-UHFFFAOYSA-N -1 1 333.396 -0.329 20 0 EBADMM CCN(C(=O)c1cnc(C2CC2)[n-]c1=O)C1CN(CC(=O)NC)C1 ZINC000952417796 654456397 /nfs/dbraw/zinc/45/63/97/654456397.db2.gz BUROAJFLACIGKE-UHFFFAOYSA-N -1 1 333.392 -0.048 20 0 EBADMM NC(=O)CC(=O)N1CC[C@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000953134772 654527884 /nfs/dbraw/zinc/52/78/84/654527884.db2.gz YJSNAKGXVHWXCY-INIZCTEOSA-N -1 1 332.360 -0.273 20 0 EBADMM CC(=O)N1CC[C@@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)[C@@H]2C1 ZINC000953215218 654544716 /nfs/dbraw/zinc/54/47/16/654544716.db2.gz AJTDXDKETPICDO-CHWSQXEVSA-N -1 1 334.376 -0.604 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H]2CCN(C(C)=O)[C@H]2C1 ZINC000953372176 654567991 /nfs/dbraw/zinc/56/79/91/654567991.db2.gz HXRSZRTYFYMWSV-UWVGGRQHSA-N -1 1 336.348 -0.021 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N(C)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000953624065 654599871 /nfs/dbraw/zinc/59/98/71/654599871.db2.gz GXVJVROGOYHQBA-PSASIEDQSA-N -1 1 330.348 -0.644 20 0 EBADMM CC(=O)N[C@H](C)[C@@H]1CCCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000953629640 654600278 /nfs/dbraw/zinc/60/02/78/654600278.db2.gz BDLOYIDUNFNMCF-SKDRFNHKSA-N -1 1 332.364 -0.063 20 0 EBADMM CN(C(=O)c1ccc[nH]1)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000953715922 654615558 /nfs/dbraw/zinc/61/55/58/654615558.db2.gz ATBOOKMMFZTXLQ-UHFFFAOYSA-N -1 1 345.359 -0.762 20 0 EBADMM C[C@@H](C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1)n1cncn1 ZINC000954100542 654685719 /nfs/dbraw/zinc/68/57/19/654685719.db2.gz UWJWEUZVBADCOS-JTQLQIEISA-N -1 1 330.348 -0.077 20 0 EBADMM C[C@@H]1CCN(Cc2nnnn2C)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000965942340 724543994 /nfs/dbraw/zinc/54/39/94/724543994.db2.gz XXCRZRMOSZBLHW-GHMZBOCLSA-N -1 1 331.380 -0.049 20 0 EBADMM Cc1cn(C)nc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1C ZINC000966073089 724564800 /nfs/dbraw/zinc/56/48/00/724564800.db2.gz FSFMDHDYLWJQLQ-ZYHUDNBSSA-N -1 1 347.423 -0.209 20 0 EBADMM C[C@H]1CN(C(=O)CN2CCCC2=O)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966138720 724574202 /nfs/dbraw/zinc/57/42/02/724574202.db2.gz PQKIADSVGBGJJM-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@@H](CN1CCN(C)CC1)NC(=O)CN(C[C@H]1CCCO1)C(=O)[O-] ZINC000738909034 719419144 /nfs/dbraw/zinc/41/91/44/719419144.db2.gz CSOIXCHTXCXOQY-UONOGXRCSA-N -1 1 342.440 -0.103 20 0 EBADMM CC(=O)[N-]S(=O)(=O)c1ccc(N2C[C@@H]3OCCN(C)[C@H]3C2)nc1 ZINC001164669430 719465092 /nfs/dbraw/zinc/46/50/92/719465092.db2.gz URJAZZNMXLNJNW-STQMWFEESA-N -1 1 340.405 -0.574 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CCC(=O)NC2)C1 ZINC000954125494 719570223 /nfs/dbraw/zinc/57/02/23/719570223.db2.gz QFRSYLMOFNRRKA-JTQLQIEISA-N -1 1 332.360 -0.404 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC000954127913 719570684 /nfs/dbraw/zinc/57/06/84/719570684.db2.gz LIQULFINIOGZQR-QGZVFWFLSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C)C(=O)[C@@H]1C[C@@H]1C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954127889 719571051 /nfs/dbraw/zinc/57/10/51/719571051.db2.gz KPKLTJWTXDVVBB-NEPJUHHUSA-N -1 1 346.387 -0.206 20 0 EBADMM CCN1CCOC[C@H]1C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000954128004 719571347 /nfs/dbraw/zinc/57/13/47/719571347.db2.gz OHWGDDLKRNTHEL-ZDUSSCGKSA-N -1 1 348.403 -0.209 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CC[C@@H]2C(N)=O)C1 ZINC000954128247 719571367 /nfs/dbraw/zinc/57/13/67/719571367.db2.gz YLWUPKZHZCVIBA-WDEREUQCSA-N -1 1 332.360 -0.419 20 0 EBADMM C/C=C(/C)C(=O)N1C[C@H](C)[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000966310077 724609266 /nfs/dbraw/zinc/60/92/66/724609266.db2.gz SLTAOFFJNKCPND-QQUROUPTSA-N -1 1 344.375 -0.040 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)c1ncn(C)n1 ZINC000966342781 724618248 /nfs/dbraw/zinc/61/82/48/724618248.db2.gz BVOBYPRFQCSVIZ-ZJUUUORDSA-N -1 1 330.348 -0.194 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)Cn1nccn1 ZINC000966351954 724620776 /nfs/dbraw/zinc/62/07/76/724620776.db2.gz TZNCAQINHRXPIR-MNOVXSKESA-N -1 1 330.348 -0.344 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)c1cnn(C)n1 ZINC000966354126 724621488 /nfs/dbraw/zinc/62/14/88/724621488.db2.gz XIDGDVPBAWIUJC-KOLCDFICSA-N -1 1 330.348 -0.194 20 0 EBADMM C[C@@H]1CN(C)C[C@H](C(=O)N(C)C2CN(C(=O)c3ncccc3[O-])C2)O1 ZINC000954646661 719816538 /nfs/dbraw/zinc/81/65/38/719816538.db2.gz LYYMSLWXYMLWCZ-BXUZGUMPSA-N -1 1 348.403 -0.211 20 0 EBADMM C[C@H]1CN(C(=O)c2cnnn2C)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966376311 724629294 /nfs/dbraw/zinc/62/92/94/724629294.db2.gz LNQIZEHPYLIBHZ-UWVGGRQHSA-N -1 1 330.348 -0.194 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)c2cnco2)C1 ZINC000954833327 719927139 /nfs/dbraw/zinc/92/71/39/719927139.db2.gz WZUOZMQZRJPLLR-UHFFFAOYSA-N -1 1 343.303 -0.998 20 0 EBADMM CC[C@H](F)C(=O)N1CC(N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000954832791 719927340 /nfs/dbraw/zinc/92/73/40/719927340.db2.gz RFMOWOKSDJRASU-VIFPVBQESA-N -1 1 336.327 -0.552 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N(C)C1CN(C(=O)CC(N)=O)C1 ZINC000954939813 719972341 /nfs/dbraw/zinc/97/23/41/719972341.db2.gz BJBBXHQTKIMBQH-UHFFFAOYSA-N -1 1 349.391 -0.228 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)N(C)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000955400542 720166236 /nfs/dbraw/zinc/16/62/36/720166236.db2.gz FJGQVPJNZKRSLC-MWLCHTKSSA-N -1 1 344.375 -0.254 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)Cn2cnnn2)CCN1C(=O)c1ncccc1[O-] ZINC000955649586 720209740 /nfs/dbraw/zinc/20/97/40/720209740.db2.gz IWVBOORDPBBZPA-MNOVXSKESA-N -1 1 345.363 -0.417 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)Cn1ncnn1 ZINC000955695304 720227615 /nfs/dbraw/zinc/22/76/15/720227615.db2.gz NBIBUFWCGSPXED-MNOVXSKESA-N -1 1 345.363 -0.417 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CCN1C(=O)C(N)=O ZINC000955806178 720268494 /nfs/dbraw/zinc/26/84/94/720268494.db2.gz VQDMAEGAZCMVLA-PSASIEDQSA-N -1 1 347.375 -0.346 20 0 EBADMM CN1C[C@H](C(=O)NC[C@@H]2CCCN2C(=O)c2ncccc2[O-])CC1=O ZINC000955977352 720314706 /nfs/dbraw/zinc/31/47/06/720314706.db2.gz QVFKKPPCMVSHGL-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM CN1C[C@@H](C(=O)NC[C@@H]2CCCN2C(=O)c2ncccc2[O-])NC1=O ZINC000955980039 720315791 /nfs/dbraw/zinc/31/57/91/720315791.db2.gz XQVUJPBHRXDCST-QWRGUYRKSA-N -1 1 347.375 -0.468 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@H]1CNC(=O)C1CCC1 ZINC000956100626 720361140 /nfs/dbraw/zinc/36/11/40/720361140.db2.gz KTTAKBXHDYOXIN-ZDUSSCGKSA-N -1 1 348.403 -0.166 20 0 EBADMM CN1CCO[C@H](C(=O)N[C@@]2(C)CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000956793200 720497232 /nfs/dbraw/zinc/49/72/32/720497232.db2.gz YVHKYSPBZUSZEN-GUYCJALGSA-N -1 1 348.403 -0.161 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@@H](C)[C@@H](NC(=O)C2CC2)C1 ZINC000966607605 724696800 /nfs/dbraw/zinc/69/68/00/724696800.db2.gz FAJLGDHMRGNSIO-APPZFPTMSA-N -1 1 336.348 -0.117 20 0 EBADMM C[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1NC(=O)C(F)F ZINC000966610021 724697926 /nfs/dbraw/zinc/69/79/26/724697926.db2.gz MJJNZWUCTJUNPX-POYBYMJQSA-N -1 1 340.290 -0.741 20 0 EBADMM Cc1ncc(CC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)o1 ZINC000966697216 724722470 /nfs/dbraw/zinc/72/24/70/724722470.db2.gz XHEGWKWJXIDSES-ZWNOBZJWSA-N -1 1 348.407 -0.026 20 0 EBADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)CN2CN=NC2=O)C1 ZINC000956849838 722119498 /nfs/dbraw/zinc/11/94/98/722119498.db2.gz ZMUFAHIDJZASJP-HNNXBMFYSA-N -1 1 346.347 -0.495 20 0 EBADMM CN1CCOC[C@H]1C(=O)N1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956849291 722119565 /nfs/dbraw/zinc/11/95/65/722119565.db2.gz PKZDPKZCFKDQEX-SJCJKPOMSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)CCn2cnnn2)C1 ZINC000956850421 722119726 /nfs/dbraw/zinc/11/97/26/722119726.db2.gz MAULBYJMCVENQY-HNNXBMFYSA-N -1 1 345.363 -0.415 20 0 EBADMM CN1CC[C@@H](C(=O)N2CC[C@@](C)(NC(=O)c3ncccc3[O-])C2)C1=O ZINC000956851401 722119864 /nfs/dbraw/zinc/11/98/64/722119864.db2.gz ZYAZKFQQJMMEDQ-PIGZYNQJSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1cnc(C(=O)N[C@]2(C)CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC000956890465 722129393 /nfs/dbraw/zinc/12/93/93/722129393.db2.gz VEHIWJGYFHZZCP-OAHLLOKOSA-N -1 1 330.348 -0.050 20 0 EBADMM CCN(C(=O)[C@H]1CCO[C@H](C)C1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000957049330 722147449 /nfs/dbraw/zinc/14/74/49/722147449.db2.gz KURXHJPXFQTEOL-NEPJUHHUSA-N -1 1 337.424 -0.044 20 0 EBADMM C[C@@H](CC(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1)n1cccn1 ZINC000957115925 722158871 /nfs/dbraw/zinc/15/88/71/722158871.db2.gz XXSZYIRWEWMRFP-LBPRGKRZSA-N -1 1 333.396 -0.400 20 0 EBADMM CCN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN([C@H](C)COC)C1 ZINC000957134412 722162139 /nfs/dbraw/zinc/16/21/39/722162139.db2.gz LOXQZCPUPAXIAW-SNVBAGLBSA-N -1 1 334.380 -0.401 20 0 EBADMM C[C@@]1(NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN(C(=O)C2CCC2)C1 ZINC000957220265 722176518 /nfs/dbraw/zinc/17/65/18/722176518.db2.gz WWORXJRRCQXQCD-MRXNPFEDSA-N -1 1 344.375 -0.062 20 0 EBADMM CN1C[C@H](C(=O)N[C@]2(C)CCN(C(=O)c3ncccc3[O-])C2)NC1=O ZINC000957272519 722183670 /nfs/dbraw/zinc/18/36/70/722183670.db2.gz MCZNDBGBMPJKPN-QLJPJBMISA-N -1 1 347.375 -0.468 20 0 EBADMM Cc1nnc(CN2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC2)o1 ZINC000957314411 722192554 /nfs/dbraw/zinc/19/25/54/722192554.db2.gz GFPXHUNMKCKYEI-UHFFFAOYSA-N -1 1 344.335 -0.933 20 0 EBADMM Cc1ncoc1CN1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000957319377 722195193 /nfs/dbraw/zinc/19/51/93/722195193.db2.gz KSUDPOMENRIISO-UHFFFAOYSA-N -1 1 343.347 -0.328 20 0 EBADMM CCN(C(=O)c1ncccc1OC)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000957319942 722195446 /nfs/dbraw/zinc/19/54/46/722195446.db2.gz AEOZDAUQRKUHSL-UHFFFAOYSA-N -1 1 346.391 -0.142 20 0 EBADMM C[C@@]1(NC(=O)[C@H]2C[C@H]2C(N)=O)CCN(C(=O)c2ncccc2[O-])C1 ZINC000957616093 722228016 /nfs/dbraw/zinc/22/80/16/722228016.db2.gz LOGBBCAEALLSNQ-KCWFYHRYSA-N -1 1 332.360 -0.371 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)COc3cccc(F)c3)CC2)nc1=O ZINC000957634979 722229818 /nfs/dbraw/zinc/22/98/18/722229818.db2.gz PSPSTPLQYCKYQL-UHFFFAOYSA-N -1 1 349.366 -0.029 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)CCc3nccs3)CC2)nc1=O ZINC000957697548 722233994 /nfs/dbraw/zinc/23/39/94/722233994.db2.gz RBZYGHOSCKIXEJ-UHFFFAOYSA-N -1 1 336.421 -0.158 20 0 EBADMM Cn1[n-]c(CN2C[C@H](O)[C@H](CNC(=O)c3cccc(F)c3)C2)nc1=O ZINC000957825294 722245749 /nfs/dbraw/zinc/24/57/49/722245749.db2.gz BZWHSDCFSFYMEN-YPMHNXCESA-N -1 1 349.366 -0.530 20 0 EBADMM CN(C)c1ccc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)nc1 ZINC000957826851 722246138 /nfs/dbraw/zinc/24/61/38/722246138.db2.gz SWDWVSNBMPZBRI-UHFFFAOYSA-N -1 1 345.407 -0.473 20 0 EBADMM CO[C@@H]1CCCC[C@@H]1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000957866014 722254206 /nfs/dbraw/zinc/25/42/06/722254206.db2.gz QWELFLGBUATLOE-QWHCGFSZSA-N -1 1 337.424 -0.042 20 0 EBADMM Cc1cncc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c1 ZINC000957958484 722277575 /nfs/dbraw/zinc/27/75/75/722277575.db2.gz WWBSLHGLPDYLEY-QWHCGFSZSA-N -1 1 346.391 -0.965 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2CCC(=O)N2C)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000957971804 722279380 /nfs/dbraw/zinc/27/93/80/722279380.db2.gz KSDBHPJYIPGYLI-IJLUTSLNSA-N -1 1 346.387 -0.015 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2CN(Cc3conc3C)C[C@@H]2O)c1[O-] ZINC000957975123 722280122 /nfs/dbraw/zinc/28/01/22/722280122.db2.gz MWAKZPHCJLIZIR-JQWIXIFHSA-N -1 1 335.364 -0.057 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2CN(Cc3cnoc3C)C[C@@H]2O)c1[O-] ZINC000957976312 722280378 /nfs/dbraw/zinc/28/03/78/722280378.db2.gz WONFTSBPLFHZDP-RYUDHWBXSA-N -1 1 335.364 -0.057 20 0 EBADMM O=C(NC[C@@H]1CN(Cc2cnsn2)C[C@@H]1O)c1ncccc1[O-] ZINC000957991926 722284423 /nfs/dbraw/zinc/28/44/23/722284423.db2.gz UTUMQRUTCIINRA-SKDRFNHKSA-N -1 1 335.389 -0.139 20 0 EBADMM O=C(NC[C@H]1CN(Cc2nncs2)C[C@@H]1O)c1ncccc1[O-] ZINC000957994875 722285017 /nfs/dbraw/zinc/28/50/17/722285017.db2.gz JCTCRZVFZVRQSA-ONGXEEELSA-N -1 1 335.389 -0.139 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@@H](CNC(=O)C3CC(C)(C)C3)C2)nc1=O ZINC000958042538 722296062 /nfs/dbraw/zinc/29/60/62/722296062.db2.gz VZYQABZFKGZBHE-NWDGAFQWSA-N -1 1 337.424 -0.547 20 0 EBADMM Cn1[n-]c(CN2C[C@H](O)[C@H](CNC(=O)C34CCC(CC3)C4)C2)nc1=O ZINC000958184263 722320585 /nfs/dbraw/zinc/32/05/85/722320585.db2.gz XRLGLWXNBJKCEN-HASVCBABSA-N -1 1 349.435 -0.402 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)[C@@H]2CCOC2)C[C@@H]1O ZINC000958830509 722604516 /nfs/dbraw/zinc/60/45/16/722604516.db2.gz XISHYTDXEUDCAA-MDZLAQPJSA-N -1 1 335.360 -0.533 20 0 EBADMM COC1CC(C(=O)N(C)[C@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)C1 ZINC000958871655 722630197 /nfs/dbraw/zinc/63/01/97/722630197.db2.gz GJGBJHDWZVEXEM-BUCSNLDVSA-N -1 1 349.387 -0.144 20 0 EBADMM CN(C(=O)c1cnon1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958932697 722660677 /nfs/dbraw/zinc/66/06/77/722660677.db2.gz UIDXXPDKYFMHBT-ONGXEEELSA-N -1 1 333.304 -0.872 20 0 EBADMM COCC(=O)N1CC[C@@H]([C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000959007708 722681973 /nfs/dbraw/zinc/68/19/73/722681973.db2.gz KNQWPENQDCFGNB-NXEZZACHSA-N -1 1 348.363 -0.969 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)Cn2cnnn2)C1 ZINC000959062719 722684611 /nfs/dbraw/zinc/68/46/11/722684611.db2.gz ZOEJMLZLRKAMRN-MNOVXSKESA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@H](NC(=O)c1n[nH]c(=O)[n-]c1=O)[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC000959072306 722684892 /nfs/dbraw/zinc/68/48/92/722684892.db2.gz JCCRPUGGSWOQJK-JGVFFNPUSA-N -1 1 347.335 -0.714 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)Cn2ncnn2)C1 ZINC000959168437 722694279 /nfs/dbraw/zinc/69/42/79/722694279.db2.gz VRKGVIZSQJMBBM-GHMZBOCLSA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@H](NC(=O)C1CC1)[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000959214019 722698889 /nfs/dbraw/zinc/69/88/89/722698889.db2.gz OZTLDHOPHTZYTL-ONGXEEELSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@@H]1CN(C(=O)C2CC2)C[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000959306914 722710645 /nfs/dbraw/zinc/71/06/45/722710645.db2.gz VFAOGESWNUJEFG-KOLCDFICSA-N -1 1 344.375 -0.348 20 0 EBADMM O=C(c1ncccc1[O-])N1CC2(C1)CCN(C(=O)[C@@H]1CNC(=O)N1)C2 ZINC001018962165 728756444 /nfs/dbraw/zinc/75/64/44/728756444.db2.gz IJUNMPLQBPXVJG-JTQLQIEISA-N -1 1 345.359 -0.857 20 0 EBADMM O=C(c1nc[nH]n1)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001018962291 728756449 /nfs/dbraw/zinc/75/64/49/728756449.db2.gz NQSLEUWQHAQWEQ-UHFFFAOYSA-N -1 1 328.332 -0.106 20 0 EBADMM O=C(c1ncccc1[O-])N1CC2(C1)CCN(C(=O)[C@H]1COC(=O)N1)C2 ZINC001018961974 728756617 /nfs/dbraw/zinc/75/66/17/728756617.db2.gz AAQBTICWWXVWCM-SNVBAGLBSA-N -1 1 346.343 -0.430 20 0 EBADMM O=C(c1ncccc1[O-])N1CC2(C1)CCN(C(=O)[C@@H]1COCCO1)C2 ZINC001018962570 728757072 /nfs/dbraw/zinc/75/70/72/728757072.db2.gz UTUFQGSHBQDRNC-ZDUSSCGKSA-N -1 1 347.371 -0.123 20 0 EBADMM C[C@@H]1CN(C(=O)Cn2ncnn2)C[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000959480055 722727763 /nfs/dbraw/zinc/72/77/63/722727763.db2.gz GHSAEKNSNDKBGE-MNOVXSKESA-N -1 1 345.363 -0.702 20 0 EBADMM C[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H]1CNC(=O)C1CC1 ZINC000959521149 722734786 /nfs/dbraw/zinc/73/47/86/722734786.db2.gz YMXYLMMOUOQJSV-YPMHNXCESA-N -1 1 348.403 -0.453 20 0 EBADMM Cc1nn[nH]c1C(=O)N1C[C@H](C)[C@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000959540189 722737668 /nfs/dbraw/zinc/73/76/68/722737668.db2.gz XNYAJEQFUYNVIV-OIBJUYFYSA-N -1 1 347.379 -0.012 20 0 EBADMM Cc1nn[nH]c1C(=O)N1C[C@H](C)[C@@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000959540191 722737756 /nfs/dbraw/zinc/73/77/56/722737756.db2.gz XNYAJEQFUYNVIV-XVKPBYJWSA-N -1 1 347.379 -0.012 20 0 EBADMM NC(=O)C(=O)N1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)c1ncccc1[O-])C2 ZINC000959729882 722758208 /nfs/dbraw/zinc/75/82/08/722758208.db2.gz HGVIUCBTUHXYLM-JGPRNRPPSA-N -1 1 332.360 -0.228 20 0 EBADMM Cc1c(C(=O)N2CCC[C@@H]2CN(C)Cc2nc(=O)n(C)[n-]2)cnn1C ZINC000960437044 722829349 /nfs/dbraw/zinc/82/93/49/722829349.db2.gz VZHFLZLQDDXVST-GFCCVEGCSA-N -1 1 347.423 -0.113 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)c1ccnn1C ZINC000960469788 722832091 /nfs/dbraw/zinc/83/20/91/722832091.db2.gz DKCJWWXUHMTCBE-NSHDSACASA-N -1 1 333.396 -0.422 20 0 EBADMM Cc1nn(C)cc1C(=O)N1CCC[C@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC000960497661 722834235 /nfs/dbraw/zinc/83/42/35/722834235.db2.gz ZUOAQVJHZNJVQS-LBPRGKRZSA-N -1 1 347.423 -0.113 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)C[C@H]1CCOC1 ZINC000960508876 722834826 /nfs/dbraw/zinc/83/48/26/722834826.db2.gz DQEVMSQLEIGPQC-OLZOCXBDSA-N -1 1 337.424 -0.042 20 0 EBADMM Cc1cc(C(=O)N2CCC[C@@H]2CN(C)Cc2nc(=O)n(C)[n-]2)ncn1 ZINC000960575663 722840332 /nfs/dbraw/zinc/84/03/32/722840332.db2.gz KVWMWGKPMIUAEQ-GFCCVEGCSA-N -1 1 345.407 -0.057 20 0 EBADMM Cc1cc(C)c(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)[nH]1 ZINC000960578930 722840992 /nfs/dbraw/zinc/84/09/92/722840992.db2.gz DHMMXVDFBZCPHB-YABSGUDNSA-N -1 1 330.392 -0.086 20 0 EBADMM Cc1nc(CN(C)C[C@@H]2CCCN2C(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC000960739278 722859103 /nfs/dbraw/zinc/85/91/03/722859103.db2.gz PEPIUKWZAVSGRS-VIFPVBQESA-N -1 1 348.367 -0.554 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)c1n[nH]cc1F ZINC000960858597 722873698 /nfs/dbraw/zinc/87/36/98/722873698.db2.gz IMSMYCIQHVKHLO-SECBINFHSA-N -1 1 337.359 -0.293 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)Cc1cncn1C ZINC000960979576 722883922 /nfs/dbraw/zinc/88/39/22/722883922.db2.gz PQNOZLQIEOKUSV-LBPRGKRZSA-N -1 1 347.423 -0.493 20 0 EBADMM Cc1nc[nH]c1C(=O)N1CCC[C@@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC000961056465 722891551 /nfs/dbraw/zinc/89/15/51/722891551.db2.gz JOZFKPAKQROJPC-LLVKDONJSA-N -1 1 333.396 -0.123 20 0 EBADMM Cc1c(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)cnn1C ZINC000961583956 723040946 /nfs/dbraw/zinc/04/09/46/723040946.db2.gz QACRVPYRASGOLH-YPMHNXCESA-N -1 1 345.359 -0.556 20 0 EBADMM Cc1ncncc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000961706606 723060777 /nfs/dbraw/zinc/06/07/77/723060777.db2.gz KQQKAXLURFAWQB-YPMHNXCESA-N -1 1 343.343 -0.499 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1cncs1 ZINC000961763699 723075040 /nfs/dbraw/zinc/07/50/40/723075040.db2.gz BLCVOFAPOOZCFY-SCZZXKLOSA-N -1 1 334.357 -0.141 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1cscn1 ZINC000961883090 723106987 /nfs/dbraw/zinc/10/69/87/723106987.db2.gz QZROTJJPBIOEKX-KCJUWKMLSA-N -1 1 334.357 -0.141 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)c(=O)[nH]1 ZINC000961887858 723107686 /nfs/dbraw/zinc/10/76/86/723107686.db2.gz MAWCKDFFHVQDRO-PJXYFTJBSA-N -1 1 344.375 -0.622 20 0 EBADMM CCn1cc(CNCC2CC(NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)nn1 ZINC000962039587 723155840 /nfs/dbraw/zinc/15/58/40/723155840.db2.gz LPUZENMIZUHBFD-UHFFFAOYSA-N -1 1 348.367 -0.808 20 0 EBADMM Cc1nc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)co1 ZINC000962428562 723248486 /nfs/dbraw/zinc/24/84/86/723248486.db2.gz BMBWCERWBGZNHG-SKDRFNHKSA-N -1 1 332.316 -0.301 20 0 EBADMM Cn1ccc(CC(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1 ZINC000962434229 723251451 /nfs/dbraw/zinc/25/14/51/723251451.db2.gz DZFJCFCCZFZPJP-YPMHNXCESA-N -1 1 345.359 -0.935 20 0 EBADMM Cc1cnoc1C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962434354 723251473 /nfs/dbraw/zinc/25/14/73/723251473.db2.gz JGUPZNKBOIOQMA-KOLCDFICSA-N -1 1 332.316 -0.301 20 0 EBADMM O=C(CC1CCOCC1)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962433903 723251479 /nfs/dbraw/zinc/25/14/79/723251479.db2.gz AEWHELSTMIQKEA-OCCSQVGLSA-N -1 1 349.387 -0.095 20 0 EBADMM O=C(C[C@H]1COC(=O)C1)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962434937 723251956 /nfs/dbraw/zinc/25/19/56/723251956.db2.gz MPPZPAHSNDKEPB-FOGDFJRCSA-N -1 1 349.343 -0.958 20 0 EBADMM CCn1ccnc1C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962435791 723252375 /nfs/dbraw/zinc/25/23/75/723252375.db2.gz ULQBZYATNURNQT-PWSUYJOCSA-N -1 1 345.359 -0.381 20 0 EBADMM O=C(CC1(O)CCC1)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962435713 723252428 /nfs/dbraw/zinc/25/24/28/723252428.db2.gz SFVOVTMNAYVLMA-PWSUYJOCSA-N -1 1 335.360 -0.606 20 0 EBADMM Cn1nccc1CC(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962435361 723252559 /nfs/dbraw/zinc/25/25/59/723252559.db2.gz QQPBDABHCFSJCA-YPMHNXCESA-N -1 1 345.359 -0.935 20 0 EBADMM O=C(Cc1ccoc1)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962435363 723252614 /nfs/dbraw/zinc/25/26/14/723252614.db2.gz QRWADVAYJMBAHH-YPMHNXCESA-N -1 1 331.328 -0.076 20 0 EBADMM O=C(CCc1c[nH]cn1)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962440176 723253858 /nfs/dbraw/zinc/25/38/58/723253858.db2.gz HDCSTCXDKZNPGU-YPMHNXCESA-N -1 1 345.359 -0.555 20 0 EBADMM CCC(=O)N1CCC[C@@H]2[C@H]1CCN2C(=O)CCn1cc[n-]c(=O)c1=O ZINC000962519640 723274428 /nfs/dbraw/zinc/27/44/28/723274428.db2.gz QKTTYJFCFTZUTP-CHWSQXEVSA-N -1 1 348.403 -0.071 20 0 EBADMM CC(=O)N1CCC[C@@H]2[C@H]1CCN2C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000962534195 723277927 /nfs/dbraw/zinc/27/79/27/723277927.db2.gz NRAXVIRWPHPALA-VXGBXAGGSA-N -1 1 330.348 -0.357 20 0 EBADMM CC(=O)N1CCC[C@H]2[C@@H]1CCN2C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000962534194 723277958 /nfs/dbraw/zinc/27/79/58/723277958.db2.gz NRAXVIRWPHPALA-RYUDHWBXSA-N -1 1 330.348 -0.357 20 0 EBADMM Cc1ccc(CC(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)cn1 ZINC000962564315 723285280 /nfs/dbraw/zinc/28/52/80/723285280.db2.gz MJTZEKCKPXOETR-VIKVFOODSA-N -1 1 342.403 -0.399 20 0 EBADMM CCn1nncc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000962742904 723315929 /nfs/dbraw/zinc/31/59/29/723315929.db2.gz UMUKYOBSGIULBG-SKDRFNHKSA-N -1 1 346.347 -0.986 20 0 EBADMM CC(=O)N1CC[C@@H]2[C@@H]1CCCN2C(=O)CCn1cc[n-]c(=O)c1=O ZINC000963412736 723419441 /nfs/dbraw/zinc/41/94/41/723419441.db2.gz NNXIEJOFAXPFKK-QWHCGFSZSA-N -1 1 334.376 -0.462 20 0 EBADMM O=C(c1c[nH]nc1[C@@H]1CCOC1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019198372 728824888 /nfs/dbraw/zinc/82/48/88/728824888.db2.gz YUSGRSKNDQVRQT-ZJUUUORDSA-N -1 1 347.379 -0.258 20 0 EBADMM CC(=O)N1C[C@@H]2CCCN(C(=O)CCn3cc[n-]c(=O)c3=O)[C@@H]2C1 ZINC000963781080 723485421 /nfs/dbraw/zinc/48/54/21/723485421.db2.gz ZPGHAXZENARHOL-QWHCGFSZSA-N -1 1 334.376 -0.604 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1ncccc1[O-])c1cnccn1 ZINC000964066201 723532462 /nfs/dbraw/zinc/53/24/62/723532462.db2.gz JWFUOCCEAKTFNJ-LLVKDONJSA-N -1 1 343.343 -0.152 20 0 EBADMM Cc1cc(CN[C@H]2CCN(C(=O)c3cnc([O-])n(C)c3=O)C2)ncn1 ZINC001019227735 728830607 /nfs/dbraw/zinc/83/06/07/728830607.db2.gz QKWZGZWWQMTRDS-NSHDSACASA-N -1 1 344.375 -0.411 20 0 EBADMM C[C@H](N[C@H]1CCN(C(=O)c2cnc([O-])n(C)c2=O)C1)c1cnccn1 ZINC001019227796 728830923 /nfs/dbraw/zinc/83/09/23/728830923.db2.gz UHXUMGUIIVIWEU-QWRGUYRKSA-N -1 1 344.375 -0.159 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1ncccc1[O-])c1cnns1 ZINC000964115510 723814101 /nfs/dbraw/zinc/81/41/01/723814101.db2.gz XLNRHKCZPKUYAR-VIFPVBQESA-N -1 1 349.372 -0.090 20 0 EBADMM Cn1cnc(C(=O)NC[C@@H]2COCCN2C(=O)c2ncccc2[O-])c1 ZINC000964151416 723838174 /nfs/dbraw/zinc/83/81/74/723838174.db2.gz UGABGZKNYIXJMT-LLVKDONJSA-N -1 1 345.359 -0.208 20 0 EBADMM O=C(Cn1cccn1)N1CCOC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000964154151 723840469 /nfs/dbraw/zinc/84/04/69/723840469.db2.gz CPCQOTNIFIBZGU-GFCCVEGCSA-N -1 1 345.359 -0.359 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1ccncn1)c1ncccc1[O-] ZINC000964154771 723840989 /nfs/dbraw/zinc/84/09/89/723840989.db2.gz MDOLMRYYFVVKPX-LLVKDONJSA-N -1 1 343.343 -0.152 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1cccnn1)c1ncccc1[O-] ZINC000964154882 723841202 /nfs/dbraw/zinc/84/12/02/723841202.db2.gz NEDCMCHEKVTTPT-NSHDSACASA-N -1 1 343.343 -0.152 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)[C@@H]1CCCO1)c1ncccc1[O-] ZINC000964157197 723843151 /nfs/dbraw/zinc/84/31/51/723843151.db2.gz YUPJQINKMCEQCZ-AAEUAGOBSA-N -1 1 335.360 -0.077 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1cnsn1)c1ncccc1[O-] ZINC000964158649 723844154 /nfs/dbraw/zinc/84/41/54/723844154.db2.gz OEJRHPZDSULPJE-SECBINFHSA-N -1 1 349.372 -0.090 20 0 EBADMM O=C([C@H]1CCCc2nn[nH]c21)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019299326 728852350 /nfs/dbraw/zinc/85/23/50/728852350.db2.gz LAPIDPFQKRDYQS-IUCAKERBSA-N -1 1 332.368 -0.561 20 0 EBADMM CCC(=O)N1CCOC[C@H]1CNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000964264692 723926425 /nfs/dbraw/zinc/92/64/25/723926425.db2.gz KMNYARRKDQMDLQ-MRVPVSSYSA-N -1 1 340.336 -0.736 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1ncccc1[O-])c1ncccn1 ZINC000964317353 723968493 /nfs/dbraw/zinc/96/84/93/723968493.db2.gz CARHFEVPFGHVPL-LLVKDONJSA-N -1 1 343.343 -0.152 20 0 EBADMM O=C([C@@H]1CNC(=O)N1)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001019349751 728866422 /nfs/dbraw/zinc/86/64/22/728866422.db2.gz RXUYVFZWLXXCHJ-JTQLQIEISA-N -1 1 345.359 -0.857 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)C1CCOCC1 ZINC000964627556 724044487 /nfs/dbraw/zinc/04/44/87/724044487.db2.gz DJADFUGNWOREEN-AAEUAGOBSA-N -1 1 337.424 -0.138 20 0 EBADMM CCC(=O)N[C@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000964639006 724046528 /nfs/dbraw/zinc/04/65/28/724046528.db2.gz KYTYGXHRSCOSDG-LBPRGKRZSA-N -1 1 336.392 -0.166 20 0 EBADMM Cc1cnc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)cn1 ZINC000964808522 724061756 /nfs/dbraw/zinc/06/17/56/724061756.db2.gz SSXJXMJDDHYUPN-GXFFZTMASA-N -1 1 345.407 -0.153 20 0 EBADMM O=C(Cc1ccc[nH]1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000964968604 724090092 /nfs/dbraw/zinc/09/00/92/724090092.db2.gz DBSBGEWFACVKPN-YPMHNXCESA-N -1 1 330.344 -0.341 20 0 EBADMM O=C(N[C@H]1CCCN(C(=O)C2CC2)CC1)c1cc(=O)n2[n-]cnc2n1 ZINC000965030696 724105244 /nfs/dbraw/zinc/10/52/44/724105244.db2.gz VHBGTUKDRFMIIA-NSHDSACASA-N -1 1 344.375 -0.062 20 0 EBADMM O=C(c1cc[nH]n1)N1CCOC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000965326447 724179207 /nfs/dbraw/zinc/17/92/07/724179207.db2.gz YMGCYIQGBCLTAJ-UHFFFAOYSA-N -1 1 343.343 -0.123 20 0 EBADMM O=C(c1ccn[nH]1)N1CCOC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000965326447 724179210 /nfs/dbraw/zinc/17/92/10/724179210.db2.gz YMGCYIQGBCLTAJ-UHFFFAOYSA-N -1 1 343.343 -0.123 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1ccns1 ZINC000965441115 724243938 /nfs/dbraw/zinc/24/39/38/724243938.db2.gz DXSMBBWBERMZSI-SCZZXKLOSA-N -1 1 334.357 -0.141 20 0 EBADMM O=C(N[C@H]1COC2(CN(C(=O)c3ncccc3[O-])C2)C1)[C@@H]1CCOC1 ZINC000965648536 724341115 /nfs/dbraw/zinc/34/11/15/724341115.db2.gz ZRECTOBJGLTOGV-VXGBXAGGSA-N -1 1 347.371 -0.077 20 0 EBADMM Cc1nnccc1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1C ZINC000967257942 724857199 /nfs/dbraw/zinc/85/71/99/724857199.db2.gz OBAUUGCSGNZQAM-MFKMUULPSA-N -1 1 345.407 -0.153 20 0 EBADMM CCN(C(=O)[C@H]1C[C@H]1C)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000967752832 724902754 /nfs/dbraw/zinc/90/27/54/724902754.db2.gz CTCUNXFIRDNBKT-YPMHNXCESA-N -1 1 348.403 -0.358 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2COCCO2)C1 ZINC000967900816 724928048 /nfs/dbraw/zinc/92/80/48/724928048.db2.gz OFERAMXFCQBURE-CYBMUJFWSA-N -1 1 335.360 -0.125 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)C(C)(C)C(N)=O)C1 ZINC000967904674 724928318 /nfs/dbraw/zinc/92/83/18/724928318.db2.gz MBLHLBGPPQTPEQ-UHFFFAOYSA-N -1 1 334.376 -0.028 20 0 EBADMM O=C(C1CC1)N1[C@@H]2CC[C@H]1CN(C(=O)c1cc(=O)n3[n-]cnc3n1)C2 ZINC000967968251 724940458 /nfs/dbraw/zinc/94/04/58/724940458.db2.gz KCROCPWMGQTIPP-PHIMTYICSA-N -1 1 342.359 -0.357 20 0 EBADMM Cc1c[nH]c(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)n1 ZINC000968400285 725059302 /nfs/dbraw/zinc/05/93/02/725059302.db2.gz OKEHGJFWWMJSEO-ONGXEEELSA-N -1 1 333.396 -0.220 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1ccncn1 ZINC000968436949 725064047 /nfs/dbraw/zinc/06/40/47/725064047.db2.gz YWCYIBQQJAPVOQ-JQWIXIFHSA-N -1 1 331.380 -0.461 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cccnn1 ZINC000968460443 725068057 /nfs/dbraw/zinc/06/80/57/725068057.db2.gz URGDZNGPUBXPSS-ZYHUDNBSSA-N -1 1 331.380 -0.461 20 0 EBADMM O=C(CCc1cscn1)N1C[C@@H](NC(=O)c2cnn[nH]2)[C@@H](O)C1 ZINC000968707164 725106381 /nfs/dbraw/zinc/10/63/81/725106381.db2.gz DFMWNUQAAVZMJR-MNOVXSKESA-N -1 1 336.377 -0.805 20 0 EBADMM O=C(c1ncccc1[O-])N1[C@@H]2CC[C@H]1CN(C(=O)[C@H]1COC(=O)N1)C2 ZINC000968886717 725125706 /nfs/dbraw/zinc/12/57/06/725125706.db2.gz WXUSZAJWDIWHGT-OUAUKWLOSA-N -1 1 346.343 -0.289 20 0 EBADMM O=C(Cn1cc(C2CC2)nn1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000968907431 725127302 /nfs/dbraw/zinc/12/73/02/725127302.db2.gz MVWGPUIQQKRPGE-SNVBAGLBSA-N -1 1 332.368 -0.630 20 0 EBADMM O=C(c1ccn(CC(F)F)n1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969016625 725142574 /nfs/dbraw/zinc/14/25/74/725142574.db2.gz XXVNEJUNGLLLDK-MRVPVSSYSA-N -1 1 341.322 -0.024 20 0 EBADMM O=C(Cn1nccn1)N1[C@@H]2CC[C@H]1CN(C(=O)c1ncccc1[O-])C2 ZINC000969091536 725150786 /nfs/dbraw/zinc/15/07/86/725150786.db2.gz LYYIIIOQFCSWJP-TXEJJXNPSA-N -1 1 342.359 -0.106 20 0 EBADMM COCc1nn(C)cc1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969130173 725153990 /nfs/dbraw/zinc/15/39/90/725153990.db2.gz VRRZSXFZDBYSIM-SECBINFHSA-N -1 1 335.368 -0.606 20 0 EBADMM C[C@H](N[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1)c1cnccn1 ZINC000969211571 725162050 /nfs/dbraw/zinc/16/20/50/725162050.db2.gz GWFCRFPEFSEYOL-DTWKUNHWSA-N -1 1 331.336 -0.362 20 0 EBADMM COc1nccc(CN[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC000969211781 725162063 /nfs/dbraw/zinc/16/20/63/725162063.db2.gz LUGVXKRPFHNVJC-SECBINFHSA-N -1 1 347.335 -0.914 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CC[C@@H](NCc2n[nH]c(C3CC3)n2)C1 ZINC000969211776 725162182 /nfs/dbraw/zinc/16/21/82/725162182.db2.gz LOXDQLTYSYVFPR-MRVPVSSYSA-N -1 1 346.351 -0.717 20 0 EBADMM O=C(c1c[nH]nc1[C@H]1CCOC1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969485977 725185015 /nfs/dbraw/zinc/18/50/15/725185015.db2.gz YUSGRSKNDQVRQT-VHSXEESVSA-N -1 1 347.379 -0.258 20 0 EBADMM CCn1ccnc1C(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969575416 725194244 /nfs/dbraw/zinc/19/42/44/725194244.db2.gz CVSRTCHPWVQPDU-SNVBAGLBSA-N -1 1 333.396 -0.425 20 0 EBADMM COc1cc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)ncn1 ZINC000969682627 725202829 /nfs/dbraw/zinc/20/28/29/725202829.db2.gz VTHWGNHPLPLDQI-VIFPVBQESA-N -1 1 347.379 -0.843 20 0 EBADMM Cc1cc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c(C)nn1 ZINC000969747603 725211252 /nfs/dbraw/zinc/21/12/52/725211252.db2.gz AQFMWDHPLMUINS-JTQLQIEISA-N -1 1 345.407 -0.234 20 0 EBADMM C[C@H](NC(=O)c1cnc2n1CCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969870117 725224194 /nfs/dbraw/zinc/22/41/94/725224194.db2.gz VTBLFYVXXSNZLX-JTQLQIEISA-N -1 1 345.407 -0.499 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1ncccn1 ZINC000970018414 725239425 /nfs/dbraw/zinc/23/94/25/725239425.db2.gz JUKJQRHRDMAHKE-KOLCDFICSA-N -1 1 329.316 -0.808 20 0 EBADMM C[C@H](NC(=O)c1ccc(=O)n(C)c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970038418 725242182 /nfs/dbraw/zinc/24/21/82/725242182.db2.gz QNUTWMWHNXJNJP-JTQLQIEISA-N -1 1 346.391 -0.943 20 0 EBADMM COc1nn(C)cc1C(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970264781 725270156 /nfs/dbraw/zinc/27/01/56/725270156.db2.gz KDPWEZLTENZBPJ-SECBINFHSA-N -1 1 349.395 -0.899 20 0 EBADMM Cc1ncc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n1C ZINC000970330055 725278654 /nfs/dbraw/zinc/27/86/54/725278654.db2.gz YXHAWCDZGGJFCJ-SECBINFHSA-N -1 1 333.396 -0.599 20 0 EBADMM Cc1conc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000970571349 725300338 /nfs/dbraw/zinc/30/03/38/725300338.db2.gz CLUJDTKUTANDTB-KOLCDFICSA-N -1 1 332.316 -0.301 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N1CC[C@@H](NCc2n[nH]c(C3CC3)n2)C1 ZINC000970581958 725301899 /nfs/dbraw/zinc/30/18/99/725301899.db2.gz QOYZZZGMEZMPEC-SECBINFHSA-N -1 1 348.367 -0.925 20 0 EBADMM C[C@@H](NC(=O)[C@@H]1CCc2[nH]nnc2C1)C1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC000970735441 725321645 /nfs/dbraw/zinc/32/16/45/725321645.db2.gz PSAGFRQPHSNDDU-RKDXNWHRSA-N -1 1 346.395 -0.630 20 0 EBADMM CCc1cc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)no1 ZINC000970756069 725324913 /nfs/dbraw/zinc/32/49/13/725324913.db2.gz ILVSDDCTJWMKIN-VIFPVBQESA-N -1 1 334.380 -0.091 20 0 EBADMM CCC(=O)N1C[C@H]2CCN(C(=O)c3[nH]c(=O)[n-]c(=O)c3OC)C[C@H]21 ZINC000970964021 725346272 /nfs/dbraw/zinc/34/62/72/725346272.db2.gz YPXMBQJZTTVSFY-RKDXNWHRSA-N -1 1 336.348 -0.021 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)CC[C@H]2CCOC2)C1 ZINC000971298437 725358400 /nfs/dbraw/zinc/35/84/00/725358400.db2.gz SVDCFKDTBYHJDP-QWHCGFSZSA-N -1 1 337.424 -0.042 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)C[C@H]2COC(=O)C2)C1 ZINC000971344755 725359823 /nfs/dbraw/zinc/35/98/23/725359823.db2.gz VBXGFJKJKGVZAE-MNOVXSKESA-N -1 1 337.380 -0.906 20 0 EBADMM Cc1cc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)cnn1 ZINC000971418309 725364460 /nfs/dbraw/zinc/36/44/60/725364460.db2.gz PIRUDCPHKVOAOA-LBPRGKRZSA-N -1 1 331.380 -0.447 20 0 EBADMM C[C@H](C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1)c1ccnn1C ZINC000971556074 725420953 /nfs/dbraw/zinc/42/09/53/725420953.db2.gz NJFXQVZZKGIWDQ-RYUDHWBXSA-N -1 1 347.423 -0.322 20 0 EBADMM C[C@@H](C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1)c1ccnn1C ZINC000971556063 725421026 /nfs/dbraw/zinc/42/10/26/725421026.db2.gz NJFXQVZZKGIWDQ-NEPJUHHUSA-N -1 1 347.423 -0.322 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)C2(F)CCOCC2)C1 ZINC000971658985 725428743 /nfs/dbraw/zinc/42/87/43/725428743.db2.gz TYUHDPGGRCPPRE-LLVKDONJSA-N -1 1 341.387 -0.340 20 0 EBADMM CCOc1cc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC000971769735 725436221 /nfs/dbraw/zinc/43/62/21/725436221.db2.gz YOKZMXVYYRGCFF-JTQLQIEISA-N -1 1 349.395 -0.423 20 0 EBADMM Cc1nn(C)cc1CN(C)[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000971869166 725444135 /nfs/dbraw/zinc/44/41/35/725444135.db2.gz IYXIZBNWSYGLSL-LLVKDONJSA-N -1 1 347.379 -0.329 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@H]2CCN(C(=O)[C@@H]3COCCO3)C[C@H]21 ZINC000971895619 725446588 /nfs/dbraw/zinc/44/65/88/725446588.db2.gz GMPZEJQARBOYTC-BZPMIXESSA-N -1 1 347.371 -0.125 20 0 EBADMM CC[C@@H]1OCC[C@H]1C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000971985108 725452351 /nfs/dbraw/zinc/45/23/51/725452351.db2.gz MNFJRSQECQTSKQ-XQQFMLRXSA-N -1 1 337.424 -0.044 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)[C@@]2(F)CCOC2)C1 ZINC000972171940 725473286 /nfs/dbraw/zinc/47/32/86/725473286.db2.gz JTGREUUMKBUUGP-QMTHXVAHSA-N -1 1 327.360 -0.730 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CC(NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000991655631 725517210 /nfs/dbraw/zinc/51/72/10/725517210.db2.gz UEHSTTRQHXJRIE-UHFFFAOYSA-N -1 1 343.347 -0.259 20 0 EBADMM CCn1ccc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000972479510 725531651 /nfs/dbraw/zinc/53/16/51/725531651.db2.gz VIFLGWLIYXXHIC-NSHDSACASA-N -1 1 333.396 -0.329 20 0 EBADMM CN([C@@H]1CCN(C(=O)c2c[n-]n3c2nccc3=O)C1)[C@H]1CCNC1=O ZINC000972495310 725536227 /nfs/dbraw/zinc/53/62/27/725536227.db2.gz MCOMCYIUXNTQPE-PWSUYJOCSA-N -1 1 344.375 -0.943 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)N1CC[C@@]2(C1)CN(Cc1nc(=O)n(C)[n-]1)CCO2 ZINC000972565364 725551473 /nfs/dbraw/zinc/55/14/73/725551473.db2.gz QUDXZAQWCPALDA-XNJGSVPQSA-N -1 1 349.435 -0.042 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)c2ncccc2F)C1 ZINC000972610871 725561580 /nfs/dbraw/zinc/56/15/80/725561580.db2.gz VUSHFYDOSOMPOH-SNVBAGLBSA-N -1 1 334.355 -0.011 20 0 EBADMM CCn1cc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC000972631474 725566088 /nfs/dbraw/zinc/56/60/88/725566088.db2.gz IOAMRCJEPJJJQY-SNVBAGLBSA-N -1 1 334.384 -0.934 20 0 EBADMM CCn1cc(CC(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC000972704739 725585761 /nfs/dbraw/zinc/58/57/61/725585761.db2.gz UKWVIGISSUQJDD-CYBMUJFWSA-N -1 1 347.423 -0.400 20 0 EBADMM CN([C@H]1CCN(C(=O)c2ccc3oc(=O)nc-3[n-]2)C1)[C@H]1CCNC1=O ZINC000972722403 725587875 /nfs/dbraw/zinc/58/78/75/725587875.db2.gz WJNBMNZQFORKRN-ONGXEEELSA-N -1 1 345.359 -0.037 20 0 EBADMM Cc1cnc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)cn1 ZINC000972776920 725591861 /nfs/dbraw/zinc/59/18/61/725591861.db2.gz QASOFZBTVQSHNL-LBPRGKRZSA-N -1 1 345.407 -0.153 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@H](NC(=O)C(C)(F)F)C1 ZINC000972891547 725599866 /nfs/dbraw/zinc/59/98/66/725599866.db2.gz LFNHHVFOPYBURQ-IZLXSQMJSA-N -1 1 346.290 -0.071 20 0 EBADMM O=C(CN1CCOCC1)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC000992049698 725709080 /nfs/dbraw/zinc/70/90/80/725709080.db2.gz QBCDHBISFFBVJE-UHFFFAOYSA-N -1 1 348.403 -0.256 20 0 EBADMM O=C(NCC1CC(NC(=O)[C@@H]2CCC(=O)N2)C1)c1ncccc1[O-] ZINC000992051548 725709901 /nfs/dbraw/zinc/70/99/01/725709901.db2.gz BLLWQMZGRAESJG-ILDUYXDCSA-N -1 1 332.360 -0.310 20 0 EBADMM Cn1nncc1C(=O)NCC1CC(NC(=O)c2ncccc2[O-])C1 ZINC000992079846 725718346 /nfs/dbraw/zinc/71/83/46/725718346.db2.gz QKMBCHWWHWFSFZ-UHFFFAOYSA-N -1 1 330.348 -0.146 20 0 EBADMM O=C(N[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1cc[nH]c1 ZINC000973357251 725718973 /nfs/dbraw/zinc/71/89/73/725718973.db2.gz VTVZEIHRKSBPDU-MGCOHNPYSA-N -1 1 341.331 -0.564 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)c4n[nH]cc4F)CCC[C@H]23)nc1=O ZINC000992155035 725737240 /nfs/dbraw/zinc/73/72/40/725737240.db2.gz SCURABSSZAVMBU-BONVTDFDSA-N -1 1 349.370 -0.102 20 0 EBADMM CCC(=O)N1C[C@H](C)[C@H](CNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001051671874 735312417 /nfs/dbraw/zinc/31/24/17/735312417.db2.gz NFWQRHFVSKMYJZ-NWDGAFQWSA-N -1 1 336.392 -0.453 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)c4ncccn4)CCC[C@H]23)nc1=O ZINC000992352986 725784688 /nfs/dbraw/zinc/78/46/88/725784688.db2.gz VAACUJRPNVQNBZ-ZBEGNZNMSA-N -1 1 343.391 -0.175 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)Cc4ncc[nH]4)CCC[C@@H]23)nc1=O ZINC000992357382 725785844 /nfs/dbraw/zinc/78/58/44/725785844.db2.gz ZTUYYLRXINPJBA-BZNIZROVSA-N -1 1 345.407 -0.313 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)[C@@H]4CCC(=O)N4)CCC[C@@H]23)nc1=O ZINC000992439850 725797647 /nfs/dbraw/zinc/79/76/47/725797647.db2.gz OUEPAQIXAYRDQM-LYOVBCGYSA-N -1 1 348.407 -1.000 20 0 EBADMM Cc1nn(C)cc1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1C ZINC000993113252 725855782 /nfs/dbraw/zinc/85/57/82/725855782.db2.gz VEMJLEWILKQGDB-AAEUAGOBSA-N -1 1 347.423 -0.067 20 0 EBADMM CN1C[C@H](C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000974057027 725859149 /nfs/dbraw/zinc/85/91/49/725859149.db2.gz VILHZAOTQRXGRS-MXWKQRLJSA-N -1 1 332.360 -0.358 20 0 EBADMM O=C(N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)[C@@H]1CCC(=O)NC1 ZINC000974056431 725859256 /nfs/dbraw/zinc/85/92/56/725859256.db2.gz JBPCOYZXWVPVLB-MXWKQRLJSA-N -1 1 332.360 -0.310 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2cc[nH]c(=O)c2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993216982 725863605 /nfs/dbraw/zinc/86/36/05/725863605.db2.gz QTSSZWBUICEZQJ-JQWIXIFHSA-N -1 1 346.391 -0.008 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)nn1 ZINC000993359464 725879855 /nfs/dbraw/zinc/87/98/55/725879855.db2.gz QIPZGQLKIXKHEH-NWDGAFQWSA-N -1 1 345.407 -0.010 20 0 EBADMM Cc1ccn(CC(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)n1 ZINC000993853456 725953837 /nfs/dbraw/zinc/95/38/37/725953837.db2.gz IRDAAJVEJNIIRT-OLZOCXBDSA-N -1 1 347.423 -0.217 20 0 EBADMM Cc1c[nH]c(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)n1 ZINC000993962483 725967708 /nfs/dbraw/zinc/96/77/08/725967708.db2.gz LVOGJULQOZXHGA-WDEREUQCSA-N -1 1 333.396 -0.077 20 0 EBADMM COCCN1CCC[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)[C@@H]1C ZINC000994011845 725971675 /nfs/dbraw/zinc/97/16/75/725971675.db2.gz SZHHNKLORNFKGP-AAEUAGOBSA-N -1 1 348.407 -0.313 20 0 EBADMM CCn1cc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)nn1 ZINC000994105522 725979333 /nfs/dbraw/zinc/97/93/33/725979333.db2.gz KHIVWALHBBTQCA-MNOVXSKESA-N -1 1 348.411 -0.497 20 0 EBADMM O=C(c1n[nH]c2c1CCC2)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051696871 735332092 /nfs/dbraw/zinc/33/20/92/735332092.db2.gz ATDBNXVFAPAANK-VIFPVBQESA-N -1 1 347.379 -0.647 20 0 EBADMM C[C@@H](C(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CCC1 ZINC000994516119 726028324 /nfs/dbraw/zinc/02/83/24/726028324.db2.gz QCFJGOVJTCAUFP-SECBINFHSA-N -1 1 344.375 -0.206 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)Cc3cncnc3)C(C)(C)C2)nc1=O ZINC000974525024 726035461 /nfs/dbraw/zinc/03/54/61/726035461.db2.gz MRRCCDPUNZXXLX-GFCCVEGCSA-N -1 1 345.407 -0.532 20 0 EBADMM Cc1nc(CC(=O)N[C@H]2CN(Cc3n[nH]c(=O)[n-]3)CC2(C)C)n[nH]1 ZINC000974595100 726042437 /nfs/dbraw/zinc/04/24/37/726042437.db2.gz HMAUZFVPIJTJDD-VIFPVBQESA-N -1 1 334.384 -0.494 20 0 EBADMM Cc1ncn(C)c1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000974684803 726052206 /nfs/dbraw/zinc/05/22/06/726052206.db2.gz GKEZJQYVSCIZFX-NSHDSACASA-N -1 1 347.423 -0.209 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)c3cn[nH]c(=O)c3)C(C)(C)C2)nc1=O ZINC000974689404 726052568 /nfs/dbraw/zinc/05/25/68/726052568.db2.gz XWTOSVSOCVHTSE-JTQLQIEISA-N -1 1 347.379 -0.756 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)Cc3cnoc3)C(C)(C)C2)nc1=O ZINC000974935387 726083891 /nfs/dbraw/zinc/08/38/91/726083891.db2.gz NGIAJWMVZMANEN-LLVKDONJSA-N -1 1 334.380 -0.334 20 0 EBADMM Cc1ncc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)n1C ZINC000974953102 726085442 /nfs/dbraw/zinc/08/54/42/726085442.db2.gz GFCKGGGWJDTZMM-LBPRGKRZSA-N -1 1 347.423 -0.209 20 0 EBADMM O=C(NC[C@H]1CCCN(C(=O)c2ncccc2[O-])C1)[C@H]1COC(=O)N1 ZINC000975259252 726124660 /nfs/dbraw/zinc/12/46/60/726124660.db2.gz VNBHQUPCIZXTET-GHMZBOCLSA-N -1 1 348.359 -0.136 20 0 EBADMM NC(=O)C(=O)N1CCC[C@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000975291896 726130441 /nfs/dbraw/zinc/13/04/41/726130441.db2.gz OHTQLTLAILFHSH-SECBINFHSA-N -1 1 347.375 -0.487 20 0 EBADMM CCN1CCOC[C@H]1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000994994108 726138852 /nfs/dbraw/zinc/13/88/52/726138852.db2.gz HPOKQAPDTYRONC-LBPRGKRZSA-N -1 1 334.376 -0.552 20 0 EBADMM Cc1nonc1CNC[C@@H]1CC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000995007810 726141977 /nfs/dbraw/zinc/14/19/77/726141977.db2.gz QBPZOZSGNNXDKC-RKDXNWHRSA-N -1 1 349.351 -0.337 20 0 EBADMM CC(=O)NC[C@]12CCC[C@H]1N(C(=O)c1cc(=O)n3[n-]cnc3n1)CC2 ZINC000995203763 726191275 /nfs/dbraw/zinc/19/12/75/726191275.db2.gz YWPWJEKZXSMOQR-MLGOLLRUSA-N -1 1 344.375 -0.062 20 0 EBADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)[C@H]1CCS(=O)(=O)C1 ZINC000995413413 726214447 /nfs/dbraw/zinc/21/44/47/726214447.db2.gz CTYVLRXQUDWBHH-VIFPVBQESA-N -1 1 339.373 -0.838 20 0 EBADMM O=C(Cn1cccn1)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000976174929 726217510 /nfs/dbraw/zinc/21/75/10/726217510.db2.gz QXAGHOIHPUKZGG-YABSGUDNSA-N -1 1 327.344 -0.130 20 0 EBADMM C[C@H]1CN(C(=O)C2CC2)C[C@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001051721747 735352371 /nfs/dbraw/zinc/35/23/71/735352371.db2.gz VJCJQRHPXQCQGK-WCQYABFASA-N -1 1 348.403 -0.453 20 0 EBADMM Cc1nn[nH]c1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1[nH]nc(C)c1[O-] ZINC000976355582 726274481 /nfs/dbraw/zinc/27/44/81/726274481.db2.gz KKQVRYVQNZSISD-MBTKJCJQSA-N -1 1 331.336 -0.649 20 0 EBADMM O=C(CO[C@@H]1CCOC1)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976396653 726291723 /nfs/dbraw/zinc/29/17/23/726291723.db2.gz FZVNBBYNUFIJHC-FJJYHAOUSA-N -1 1 347.371 -0.221 20 0 EBADMM CN1CCO[C@H](C(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ncccc2[O-])C1 ZINC000976407380 726295113 /nfs/dbraw/zinc/29/51/13/726295113.db2.gz YDRCMWZVUFIKHY-UZGDPCLZSA-N -1 1 346.387 -0.696 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)[C@H]3CCC(=O)N3)C[C@H]21)c1ncccc1[O-] ZINC000976407714 726295302 /nfs/dbraw/zinc/29/53/02/726295302.db2.gz ZZIFIDOPNIBIMO-COMAGPEQSA-N -1 1 330.344 -0.748 20 0 EBADMM COc1cc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)nn1C ZINC000996035790 726325010 /nfs/dbraw/zinc/32/50/10/726325010.db2.gz SSSFBVOQEYNCQY-JTQLQIEISA-N -1 1 349.395 -0.107 20 0 EBADMM CO[C@@H](C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1)C1CCC1 ZINC001051741189 735364244 /nfs/dbraw/zinc/36/42/44/735364244.db2.gz URGXYICZAUUDCI-DGCLKSJQSA-N -1 1 339.396 -0.358 20 0 EBADMM CN1C[C@H](C(=O)N[C@@H]2[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)CC1=O ZINC000976664758 726378879 /nfs/dbraw/zinc/37/88/79/726378879.db2.gz WQHJLJDOZXFYOE-PUHVVEEASA-N -1 1 344.371 -0.548 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)[C@H]1CCCNC1=O ZINC000976674829 726382145 /nfs/dbraw/zinc/38/21/45/726382145.db2.gz NBTLKTINMFBWDS-MEWQQHAOSA-N -1 1 344.371 -0.500 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)c2ccc[nH]2)C1 ZINC000996395762 726384187 /nfs/dbraw/zinc/38/41/87/726384187.db2.gz FHLHANJPRGPDNL-UHFFFAOYSA-N -1 1 333.304 -0.521 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)c2cccnc2)C1 ZINC000996395093 726384349 /nfs/dbraw/zinc/38/43/49/726384349.db2.gz BXKGEPUILNRBBT-UHFFFAOYSA-N -1 1 345.315 -0.454 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)c2ccon2)C1 ZINC000996399000 726387289 /nfs/dbraw/zinc/38/72/89/726387289.db2.gz YZBAVZAGRVUPHO-UHFFFAOYSA-N -1 1 335.276 -0.861 20 0 EBADMM CC1(CC(=O)N2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)CC1 ZINC000996403852 726388076 /nfs/dbraw/zinc/38/80/76/726388076.db2.gz BWNDNANIFAQPEX-UHFFFAOYSA-N -1 1 330.348 -0.452 20 0 EBADMM COc1ccc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)nn1 ZINC000996488248 726404558 /nfs/dbraw/zinc/40/45/58/726404558.db2.gz RJOQRTJXRJSXFB-SNVBAGLBSA-N -1 1 347.379 -0.051 20 0 EBADMM O=C(C[C@@H]1CCCC(=O)N1)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000996796206 726427483 /nfs/dbraw/zinc/42/74/83/726427483.db2.gz JLCDQFWXZXLFPO-JTQLQIEISA-N -1 1 332.360 -0.213 20 0 EBADMM Cc1cnc(CNC2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)CC2)o1 ZINC000997206498 726441206 /nfs/dbraw/zinc/44/12/06/726441206.db2.gz KOFQKNDSFIFXBV-UHFFFAOYSA-N -1 1 336.352 -0.233 20 0 EBADMM C[C@@H](NC(=O)c1c[n-]n2c1nccc2=O)C1CCN(CC(N)=O)CC1 ZINC000997334883 726446772 /nfs/dbraw/zinc/44/67/72/726446772.db2.gz ZEYGJTSMHJBWGJ-SNVBAGLBSA-N -1 1 346.391 -0.662 20 0 EBADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)[C@@H]1OC[C@@H]2COCC[C@H]12 ZINC000997642349 726458552 /nfs/dbraw/zinc/45/85/52/726458552.db2.gz XFFCUCNELMCWKB-ITDIGPHOSA-N -1 1 347.371 -0.221 20 0 EBADMM C[C@H](C(=O)N1CCC(CNCc2n[nH]c(=O)[n-]2)CC1)S(C)(=O)=O ZINC000997860754 726467484 /nfs/dbraw/zinc/46/74/84/726467484.db2.gz CRNCCBDCUGXXQI-SECBINFHSA-N -1 1 345.425 -0.728 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC[C@H](C(F)(F)F)[C@@H](NCC(N)=O)C2)c1[O-] ZINC000998255356 726482429 /nfs/dbraw/zinc/48/24/29/726482429.db2.gz JAMIMOQAWIKMFN-YUMQZZPRSA-N -1 1 349.313 -0.108 20 0 EBADMM CC[C@@]1(C)C[C@@H]1C(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000998863670 726499774 /nfs/dbraw/zinc/49/97/74/726499774.db2.gz JWMZQYNBNIONSI-PXAZEXFGSA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@H]1C[C@H]1CC(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000999094556 726507570 /nfs/dbraw/zinc/50/75/70/726507570.db2.gz ZMCMFKMLAWPSNT-QWRGUYRKSA-N -1 1 334.376 -0.700 20 0 EBADMM C[C@H]1C[C@@H]1CC(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000999094557 726507578 /nfs/dbraw/zinc/50/75/78/726507578.db2.gz ZMCMFKMLAWPSNT-WDEREUQCSA-N -1 1 334.376 -0.700 20 0 EBADMM CCC[C@@H]1C[C@H]1C(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000999246965 726512361 /nfs/dbraw/zinc/51/23/61/726512361.db2.gz RVQUZDACPATTBJ-DGCLKSJQSA-N -1 1 348.403 -0.310 20 0 EBADMM Cn1cc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)ccc1=O ZINC000999595999 726523980 /nfs/dbraw/zinc/52/39/80/726523980.db2.gz MAPPLOUQVAGIBE-NSHDSACASA-N -1 1 332.364 -0.397 20 0 EBADMM O=C(c1cn2cccnc2n1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999601993 726524285 /nfs/dbraw/zinc/52/42/85/726524285.db2.gz KRAZRYHQOQYMJK-JTQLQIEISA-N -1 1 342.363 -0.053 20 0 EBADMM Cn1ccnc1CCC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999776180 726531457 /nfs/dbraw/zinc/53/14/57/726531457.db2.gz NTCWRAKSGIJATI-LLVKDONJSA-N -1 1 333.396 -0.043 20 0 EBADMM O=C(c1nccn2ccnc12)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000234843 726560821 /nfs/dbraw/zinc/56/08/21/726560821.db2.gz KLRIJFDBABISPL-SNVBAGLBSA-N -1 1 342.363 -0.053 20 0 EBADMM COc1cncc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001000391254 726565992 /nfs/dbraw/zinc/56/59/92/726565992.db2.gz SCDQGNFGFCLKSS-SECBINFHSA-N -1 1 333.352 -0.297 20 0 EBADMM Cc1cc(C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)[nH]n1 ZINC001000472442 726567837 /nfs/dbraw/zinc/56/78/37/726567837.db2.gz CKTKVCIOGXIIAK-UHFFFAOYSA-N -1 1 331.380 -0.298 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)c3cnns3)CC2)nc1=O ZINC001000476685 726568150 /nfs/dbraw/zinc/56/81/50/726568150.db2.gz REATVSZZIMXNSF-UHFFFAOYSA-N -1 1 335.393 -0.478 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)c3cnsn3)CC2)nc1=O ZINC001000492820 726568720 /nfs/dbraw/zinc/56/87/20/726568720.db2.gz XACKMRUFTHGYLW-UHFFFAOYSA-N -1 1 335.393 -0.478 20 0 EBADMM O=C(c1nc2ncccn2n1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000508680 726569082 /nfs/dbraw/zinc/56/90/82/726569082.db2.gz XVCBWMCBCQCPOO-VIFPVBQESA-N -1 1 343.351 -0.658 20 0 EBADMM CCCn1cc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)nn1 ZINC001000558207 726570731 /nfs/dbraw/zinc/57/07/31/726570731.db2.gz DWUOIELEIIFZDB-JTQLQIEISA-N -1 1 334.384 -0.094 20 0 EBADMM COc1ccc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)nn1 ZINC001000610398 726572622 /nfs/dbraw/zinc/57/26/22/726572622.db2.gz WXAKQEVDCBTOAW-SECBINFHSA-N -1 1 333.352 -0.297 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(CNC(=O)[C@@H]2C[C@H]2C)C1 ZINC001000768935 726577097 /nfs/dbraw/zinc/57/70/97/726577097.db2.gz CKZVJADBYVIOGM-VXNVDRBHSA-N -1 1 336.348 -0.259 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NCC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001000773362 726577283 /nfs/dbraw/zinc/57/72/83/726577283.db2.gz MZAFCOJPMVZZQA-WPRPVWTQSA-N -1 1 330.348 -0.738 20 0 EBADMM C[C@H]1C[C@H]1C(=O)NCC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001000773360 726577288 /nfs/dbraw/zinc/57/72/88/726577288.db2.gz MZAFCOJPMVZZQA-WCBMZHEXSA-N -1 1 330.348 -0.738 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(CNC(=O)c2ccc[nH]2)C1 ZINC001000906153 726583442 /nfs/dbraw/zinc/58/34/42/726583442.db2.gz UWXHJDHPOWAOHQ-UHFFFAOYSA-N -1 1 345.359 -0.857 20 0 EBADMM O=C(CCN1CCCC1=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000947144 726584683 /nfs/dbraw/zinc/58/46/83/726584683.db2.gz ACIFNTSBZOIOJI-NSHDSACASA-N -1 1 336.396 -0.397 20 0 EBADMM O=C([C@H]1CCCS1(=O)=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000964531 726585351 /nfs/dbraw/zinc/58/53/51/726585351.db2.gz OWLDCMYSLPBBJU-NXEZZACHSA-N -1 1 343.409 -0.832 20 0 EBADMM O=C([C@H]1CCCS1(=O)=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000964534 726585364 /nfs/dbraw/zinc/58/53/64/726585364.db2.gz OWLDCMYSLPBBJU-VHSXEESVSA-N -1 1 343.409 -0.832 20 0 EBADMM Cn1cc(CCC(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001000998118 726586575 /nfs/dbraw/zinc/58/65/75/726586575.db2.gz YZXZTGANNZTFNU-LBPRGKRZSA-N -1 1 333.396 -0.043 20 0 EBADMM NC(=O)c1cccc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001001025732 726588358 /nfs/dbraw/zinc/58/83/58/726588358.db2.gz MTYIWGGFQHXNQU-SECBINFHSA-N -1 1 345.363 -0.601 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001001064593 726590480 /nfs/dbraw/zinc/59/04/80/726590480.db2.gz AYECXVOWIGSASO-JTQLQIEISA-N -1 1 345.363 -0.601 20 0 EBADMM CC1CC(C(=O)NCC2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)C1 ZINC001001383153 726604239 /nfs/dbraw/zinc/60/42/39/726604239.db2.gz TUXQGGOGJCTYHL-UHFFFAOYSA-N -1 1 348.403 -0.453 20 0 EBADMM Cn1cc(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)[nH]c1=O ZINC001001542534 726611216 /nfs/dbraw/zinc/61/12/16/726611216.db2.gz UZAXHYPMSARTJW-UHFFFAOYSA-N -1 1 331.332 -0.272 20 0 EBADMM CC(=O)N1CC[C@H](C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)C1 ZINC001001541602 726611226 /nfs/dbraw/zinc/61/12/26/726611226.db2.gz LQTFDRQIRXHQIY-ZDUSSCGKSA-N -1 1 346.387 -0.156 20 0 EBADMM O=C(C[C@@H]1COC(=O)C1)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001541307 726611242 /nfs/dbraw/zinc/61/12/42/726611242.db2.gz LCUZFJQQLITRAF-JTQLQIEISA-N -1 1 333.344 -0.071 20 0 EBADMM CN(C)C(=O)[C@@H]1C[C@@H]1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001543249 726611352 /nfs/dbraw/zinc/61/13/52/726611352.db2.gz BEANBMQWPJFZEW-NEPJUHHUSA-N -1 1 346.387 -0.300 20 0 EBADMM CN1C[C@@H](C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)CCC1=O ZINC001001545160 726611390 /nfs/dbraw/zinc/61/13/90/726611390.db2.gz QKEPFQMFEHSJJR-LBPRGKRZSA-N -1 1 346.387 -0.156 20 0 EBADMM CN1C[C@@H](C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)CC1=O ZINC001001544489 726611417 /nfs/dbraw/zinc/61/14/17/726611417.db2.gz OIEZKOKIGXLGOY-NSHDSACASA-N -1 1 332.360 -0.546 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)[nH]1 ZINC001001546173 726611471 /nfs/dbraw/zinc/61/14/71/726611471.db2.gz SUIJHNDLESDSDD-UHFFFAOYSA-N -1 1 343.343 -0.284 20 0 EBADMM C[C@@H]1OCCO[C@@H]1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001551233 726611565 /nfs/dbraw/zinc/61/15/65/726611565.db2.gz GEDPFVKSHQGUSM-HZMBPMFUSA-N -1 1 335.360 -0.221 20 0 EBADMM O=C(NCC1CN(C(=O)[C@@H]2CCC(=O)NC2)C1)c1ncccc1[O-] ZINC001001549735 726611611 /nfs/dbraw/zinc/61/16/11/726611611.db2.gz SNLWJROCVCHLMU-LLVKDONJSA-N -1 1 332.360 -0.498 20 0 EBADMM O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)[C@H]1CNC(=O)N1)c1ncccc1[O-] ZINC001002197170 726635444 /nfs/dbraw/zinc/63/54/44/726635444.db2.gz YSCMIYYDTXLCAP-DBIOUOCHSA-N -1 1 345.359 -0.670 20 0 EBADMM O=C(Cn1nccn1)N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-] ZINC001003009834 726658316 /nfs/dbraw/zinc/65/83/16/726658316.db2.gz UQHMMOVVHJGUAZ-UTUOFQBUSA-N -1 1 342.359 -0.059 20 0 EBADMM NC(=O)C(=O)N1CCC[C@@H]([C@@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC001003176328 726663256 /nfs/dbraw/zinc/66/32/56/726663256.db2.gz WBBRBZQLFNJALO-VXGBXAGGSA-N -1 1 346.387 -0.027 20 0 EBADMM COc1cc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)nn1C ZINC001003286881 726667719 /nfs/dbraw/zinc/66/77/19/726667719.db2.gz UHOIOYVFKVCCOD-UHFFFAOYSA-N -1 1 349.395 -0.755 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CN(C(=O)c2cc[nH]c2)C1 ZINC001003314289 726668983 /nfs/dbraw/zinc/66/89/83/726668983.db2.gz IUUQWRQHAYIUOI-UHFFFAOYSA-N -1 1 347.331 -0.274 20 0 EBADMM C[C@@H](C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1)c1cncnc1 ZINC001003490082 726676928 /nfs/dbraw/zinc/67/69/28/726676928.db2.gz CTPUFRSHZQOXAG-LLVKDONJSA-N -1 1 345.407 -0.217 20 0 EBADMM COc1cccc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC001003890811 726693576 /nfs/dbraw/zinc/69/35/76/726693576.db2.gz XEIFKVSUGBQETO-UHFFFAOYSA-N -1 1 346.391 -0.094 20 0 EBADMM COc1cccnc1C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001004047180 726698021 /nfs/dbraw/zinc/69/80/21/726698021.db2.gz DVNHDNFIMZXSGZ-UHFFFAOYSA-N -1 1 346.391 -0.094 20 0 EBADMM C[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)Cn1cnnn1 ZINC001004479741 726709299 /nfs/dbraw/zinc/70/92/99/726709299.db2.gz WFLYMPMKLPIHMK-MNOVXSKESA-N -1 1 345.363 -0.559 20 0 EBADMM Cn1nc(C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)ccc1=O ZINC001004567835 726711451 /nfs/dbraw/zinc/71/14/51/726711451.db2.gz SKYZFWBRELZBFM-UHFFFAOYSA-N -1 1 343.343 -0.617 20 0 EBADMM C[C@H]1CCN(C(=O)Cn2cnnn2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001004750312 726714961 /nfs/dbraw/zinc/71/49/61/726714961.db2.gz JZNHEJMWQXXAFR-WDEREUQCSA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)Cn1nccn1 ZINC001004874179 726718330 /nfs/dbraw/zinc/71/83/30/726718330.db2.gz QTTUILRAWHDCCO-GHMZBOCLSA-N -1 1 334.384 -0.339 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)Cn1cncn1 ZINC001004997361 726721882 /nfs/dbraw/zinc/72/18/82/726721882.db2.gz ILHHPGADSCYHRZ-GHMZBOCLSA-N -1 1 334.384 -0.339 20 0 EBADMM CCO[C@H]1C[C@@H]1C(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005144985 726727193 /nfs/dbraw/zinc/72/71/93/726727193.db2.gz GJJBUIHSCVRHQP-STQMWFEESA-N -1 1 337.424 -0.044 20 0 EBADMM C[C@@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@H]1NC(=O)C(N)=O ZINC001005218408 726729736 /nfs/dbraw/zinc/72/97/36/726729736.db2.gz QXXWBWKSJMQLBA-LDYMZIIASA-N -1 1 347.375 -0.488 20 0 EBADMM O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-])[C@H]1CNC(=O)N1 ZINC001005638034 726737117 /nfs/dbraw/zinc/73/71/17/726737117.db2.gz AHNIBQVPDZFRGT-DBIOUOCHSA-N -1 1 345.359 -0.670 20 0 EBADMM C[C@@H](NC(=O)[C@@H]1CCNC(=O)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005897890 726747017 /nfs/dbraw/zinc/74/70/17/726747017.db2.gz FGCMSBXXYRGFHV-GHMZBOCLSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)c2cn(C)nn2)C1 ZINC001005951535 726749635 /nfs/dbraw/zinc/74/96/35/726749635.db2.gz MWLLIAVFPVXPSV-SECBINFHSA-N -1 1 330.348 -0.194 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2COC(=O)N2)C1 ZINC001005953634 726749821 /nfs/dbraw/zinc/74/98/21/726749821.db2.gz UPIGKPSOOVPFNL-WPRPVWTQSA-N -1 1 334.332 -0.528 20 0 EBADMM CN(C(=O)c1ccncn1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001006154620 726757225 /nfs/dbraw/zinc/75/72/25/726757225.db2.gz HKTVYHHPXDUAQW-UHFFFAOYSA-N -1 1 331.380 -0.365 20 0 EBADMM CN(C(=O)[C@@H]1C[C@@]12CCOC2)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001006271927 726761162 /nfs/dbraw/zinc/76/11/62/726761162.db2.gz OTVBJWXLLBJHRY-SUMWQHHRSA-N -1 1 349.435 -0.042 20 0 EBADMM CO[C@@H](C)C(=O)N1CC([C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001006468110 726770372 /nfs/dbraw/zinc/77/03/72/726770372.db2.gz ORHRLBRKMDNXPM-IUCAKERBSA-N -1 1 348.363 -0.971 20 0 EBADMM C[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)C2CCC2)C1 ZINC001006469986 726770480 /nfs/dbraw/zinc/77/04/80/726770480.db2.gz XGYYTYAGXOVBNB-VIFPVBQESA-N -1 1 344.375 -0.206 20 0 EBADMM CC(=O)N[C@]12CCC[C@H]1CN(C(=O)c1cc(=O)n3[n-]cnc3n1)C2 ZINC001006803177 726784385 /nfs/dbraw/zinc/78/43/85/726784385.db2.gz LESHTVSAPDXBDV-BONVTDFDSA-N -1 1 330.348 -0.452 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1 ZINC001007208702 726790419 /nfs/dbraw/zinc/79/04/19/726790419.db2.gz GMFRAZXOAGVHBB-GFCCVEGCSA-N -1 1 331.380 -0.399 20 0 EBADMM CCn1ccnc1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001007236029 726790892 /nfs/dbraw/zinc/79/08/92/726790892.db2.gz QEYCNIMULWJRHL-NSHDSACASA-N -1 1 333.396 -0.281 20 0 EBADMM CCn1nncc1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001007275317 726791518 /nfs/dbraw/zinc/79/15/18/726791518.db2.gz FXGLXZBNXBTRQF-JTQLQIEISA-N -1 1 334.384 -0.886 20 0 EBADMM CCn1nnc(C)c1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001007486422 726794528 /nfs/dbraw/zinc/79/45/28/726794528.db2.gz PQEMAYWLZSWYAX-LLVKDONJSA-N -1 1 348.411 -0.577 20 0 EBADMM Cc1ncc(CC(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)o1 ZINC001007488225 726794591 /nfs/dbraw/zinc/79/45/91/726794591.db2.gz MEBQOPRJXXTIMI-LLVKDONJSA-N -1 1 334.380 -0.272 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)N[C@H]1CCCN(CCCO)C1 ZINC001008893446 726824377 /nfs/dbraw/zinc/82/43/77/726824377.db2.gz SQGNQKGQQCUHJA-NSHDSACASA-N -1 1 334.380 -0.966 20 0 EBADMM Cn1cc(C(=O)N2C[C@@H]3[C@@H](CNC(=O)c4ncccc4[O-])[C@@H]3C2)nn1 ZINC001008983160 726838490 /nfs/dbraw/zinc/83/84/90/726838490.db2.gz ZSADYQRWHAKFFK-RTCCRHLQSA-N -1 1 342.359 -0.336 20 0 EBADMM C[C@@H](NC(=O)c1cn[nH]n1)[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001009677071 726959585 /nfs/dbraw/zinc/95/95/85/726959585.db2.gz FWKMMZPAGYKLJX-SKDRFNHKSA-N -1 1 346.347 -0.435 20 0 EBADMM C[C@@H](NC(=O)c1cn[nH]n1)[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001009677064 726959765 /nfs/dbraw/zinc/95/97/65/726959765.db2.gz FWKMMZPAGYKLJX-BXKDBHETSA-N -1 1 346.347 -0.435 20 0 EBADMM COCC(=O)N1C[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1C ZINC001009932260 727013419 /nfs/dbraw/zinc/01/34/19/727013419.db2.gz OTAJQBOVAHTABM-UWVGGRQHSA-N -1 1 348.363 -0.969 20 0 EBADMM C[C@H]1C[C@H]1C(=O)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001010048258 727022444 /nfs/dbraw/zinc/02/24/44/727022444.db2.gz MXPLBJIEXCWKLJ-VHSXEESVSA-N -1 1 330.348 -0.642 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(C(=O)[C@H]2C[C@H]2C)CC1 ZINC001010048314 727022727 /nfs/dbraw/zinc/02/27/27/727022727.db2.gz NPKQTLISPQOUNW-BDAKNGLRSA-N -1 1 336.348 -0.163 20 0 EBADMM C[C@H]1C[C@@H](CNC(=O)c2nnn(C)n2)CN1C(=O)c1ncccc1[O-] ZINC001010122072 727032769 /nfs/dbraw/zinc/03/27/69/727032769.db2.gz KUUUNHJYIUXUOF-UWVGGRQHSA-N -1 1 345.363 -0.409 20 0 EBADMM C[C@H]1C[C@H](CNC(=O)C2CC2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001010233483 727052027 /nfs/dbraw/zinc/05/20/27/727052027.db2.gz TUOBTQVWUVXXFO-VHSXEESVSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(CC1=CCOCC1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051804613 735405262 /nfs/dbraw/zinc/40/52/62/735405262.db2.gz HOSGWUXZGGVXQH-LBPRGKRZSA-N -1 1 337.380 -0.436 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CCN(CCN3C(=O)CCC3=O)C2)c1[O-] ZINC001010547069 727105001 /nfs/dbraw/zinc/10/50/01/727105001.db2.gz OWJZBAKXNZQLGW-JTQLQIEISA-N -1 1 335.364 -0.623 20 0 EBADMM Cc1ncc(C(=O)N2CCN(C(=O)c3cnc([O-])n(C)c3=O)CC2)[nH]1 ZINC001010682803 727127686 /nfs/dbraw/zinc/12/76/86/727127686.db2.gz QBMIKSKSOXBBNN-UHFFFAOYSA-N -1 1 346.347 -0.884 20 0 EBADMM O=C(/C=C\C1CC1)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001010886128 727163805 /nfs/dbraw/zinc/16/38/05/727163805.db2.gz XXPRYYKQAMBYFG-ARJAWSKDSA-N -1 1 342.359 -0.332 20 0 EBADMM C[C@@H](C(=O)N1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1)C1CC1 ZINC001010912445 727165904 /nfs/dbraw/zinc/16/59/04/727165904.db2.gz CKXWQFJXWMGGFG-GFCCVEGCSA-N -1 1 348.403 -0.356 20 0 EBADMM CC1(C)C[C@H]1C(=O)N1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001011014557 727178036 /nfs/dbraw/zinc/17/80/36/727178036.db2.gz BZOUCFDRWVDCDJ-LBPRGKRZSA-N -1 1 348.403 -0.356 20 0 EBADMM CN1CCOC[C@@H]1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011024992 727180948 /nfs/dbraw/zinc/18/09/48/727180948.db2.gz OSELDCXJDHKZAM-GFCCVEGCSA-N -1 1 334.376 -0.598 20 0 EBADMM O=C(CO[C@@H]1CCOC1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011035262 727185947 /nfs/dbraw/zinc/18/59/47/727185947.db2.gz ALPBWQQZTZXLKV-GFCCVEGCSA-N -1 1 335.360 -0.123 20 0 EBADMM CN1CC[C@@H](C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)C1=O ZINC001011036309 727186266 /nfs/dbraw/zinc/18/62/66/727186266.db2.gz HXMQIYSZYXGYGB-LLVKDONJSA-N -1 1 332.360 -0.450 20 0 EBADMM CN1CC[C@@H](C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)CC1=O ZINC001011036565 727186560 /nfs/dbraw/zinc/18/65/60/727186560.db2.gz PBRQJVTXBKPQFB-GFCCVEGCSA-N -1 1 346.387 -0.060 20 0 EBADMM CN1CC[C@H](CC(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)C1=O ZINC001011036385 727186626 /nfs/dbraw/zinc/18/66/26/727186626.db2.gz QYRMCRPENMTYTN-GFCCVEGCSA-N -1 1 346.387 -0.060 20 0 EBADMM CCN1CCOC[C@@H]1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011037905 727187026 /nfs/dbraw/zinc/18/70/26/727187026.db2.gz SGORDDMGJRCWJM-CYBMUJFWSA-N -1 1 348.403 -0.208 20 0 EBADMM CCN1CCO[C@H](C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC001011037643 727187062 /nfs/dbraw/zinc/18/70/62/727187062.db2.gz FMANFTDJHUSTFR-AWEZNQCLSA-N -1 1 348.403 -0.208 20 0 EBADMM CC(C)c1cc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC001051839134 735422786 /nfs/dbraw/zinc/42/27/86/735422786.db2.gz CJRRKRWFGKVTMU-JTQLQIEISA-N -1 1 349.395 -0.012 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)ccn1 ZINC001051874972 735439307 /nfs/dbraw/zinc/43/93/07/735439307.db2.gz JLNMHJCAQKAZCZ-GFCCVEGCSA-N -1 1 332.364 -0.155 20 0 EBADMM CC(C)C(=O)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H]1C ZINC001011505041 727535035 /nfs/dbraw/zinc/53/50/35/727535035.db2.gz UYHOUTRAPJZEOP-VHSXEESVSA-N -1 1 332.364 -0.207 20 0 EBADMM Cc1cc(C(=O)N2CC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)[C@@H]2C)n[nH]1 ZINC001011540436 727539309 /nfs/dbraw/zinc/53/93/09/727539309.db2.gz PAVKNKOZGSVTEQ-JGVFFNPUSA-N -1 1 347.335 -0.653 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2ncn(C)n2)CCN1C(=O)c1ncccc1[O-] ZINC001011671572 727556770 /nfs/dbraw/zinc/55/67/70/727556770.db2.gz ISJPNAFFFXYTPP-VHSXEESVSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@H]1[C@H](NC(=O)Cc2nc[nH]n2)CCN1C(=O)c1ncccc1[O-] ZINC001011681712 727558179 /nfs/dbraw/zinc/55/81/79/727558179.db2.gz XHKCJGAAOHRCOM-VHSXEESVSA-N -1 1 330.348 -0.133 20 0 EBADMM C[C@H]1[C@H](NC(=O)Cc2nnc[nH]2)CCN1C(=O)c1ncccc1[O-] ZINC001011681712 727558184 /nfs/dbraw/zinc/55/81/84/727558184.db2.gz XHKCJGAAOHRCOM-VHSXEESVSA-N -1 1 330.348 -0.133 20 0 EBADMM C[C@H]1[C@@H](NC(=O)C(N)=O)CCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001011764074 727573451 /nfs/dbraw/zinc/57/34/51/727573451.db2.gz XQOUQDNKNCFKNM-XVKPBYJWSA-N -1 1 333.348 -0.736 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CN1C(=O)CC1CC1 ZINC001012157207 727640695 /nfs/dbraw/zinc/64/06/95/727640695.db2.gz BXMSLCZPLFQNKB-GXSJLCMTSA-N -1 1 344.375 -0.063 20 0 EBADMM COCC(=O)N1C[C@@H](NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C[C@H]1C ZINC001012165107 727641068 /nfs/dbraw/zinc/64/10/68/727641068.db2.gz MCMFYDVEAVHDHE-SFYZADRCSA-N -1 1 340.336 -0.738 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2cnc([O-])n(C)c2=O)CN1C(=O)c1ccn[nH]1 ZINC001012334108 727654933 /nfs/dbraw/zinc/65/49/33/727654933.db2.gz PHJCGZMZKOIHFA-BDAKNGLRSA-N -1 1 346.347 -0.758 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)CN1CN=NC1=O ZINC001012503234 727672604 /nfs/dbraw/zinc/67/26/04/727672604.db2.gz VDCUCSGYGORAHS-VHSXEESVSA-N -1 1 346.347 -0.496 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)CN1CN=NC1=O ZINC001012503231 727672616 /nfs/dbraw/zinc/67/26/16/727672616.db2.gz VDCUCSGYGORAHS-NXEZZACHSA-N -1 1 346.347 -0.496 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2cn(C)nn2)CN1C(=O)c1ncccc1[O-] ZINC001012510065 727673706 /nfs/dbraw/zinc/67/37/06/727673706.db2.gz KDPGFRHKRIPCEL-NXEZZACHSA-N -1 1 330.348 -0.051 20 0 EBADMM CC(C)n1cc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001051902130 735454722 /nfs/dbraw/zinc/45/47/22/735454722.db2.gz VVHBGBOKEOIEJB-LBPRGKRZSA-N -1 1 349.395 -0.082 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1cnnn1C ZINC001012544856 727679346 /nfs/dbraw/zinc/67/93/46/727679346.db2.gz AZIUZCDIKBKAOD-NXEZZACHSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1ncn(C)n1 ZINC001012556029 727681170 /nfs/dbraw/zinc/68/11/70/727681170.db2.gz BCJVMLWPZNHEFU-ZJUUUORDSA-N -1 1 330.348 -0.051 20 0 EBADMM Cc1nn[nH]c1C(=O)N1C[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C[C@@H]1C ZINC001013544985 727816922 /nfs/dbraw/zinc/81/69/22/727816922.db2.gz XFHMEXKEEPUDLT-RCOVLWMOSA-N -1 1 333.352 -0.117 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1nnn(C)n1 ZINC001013661299 727827325 /nfs/dbraw/zinc/82/73/25/727827325.db2.gz ZSIMMSZWJJYNSJ-BDAKNGLRSA-N -1 1 331.336 -0.656 20 0 EBADMM CCC(=O)NC1CC2(C1)CC(NC(=O)c1cc(=O)n3[n-]cnc3n1)C2 ZINC001013919836 727843974 /nfs/dbraw/zinc/84/39/74/727843974.db2.gz VYBMVCRHJXTWOS-UHFFFAOYSA-N -1 1 344.375 -0.015 20 0 EBADMM CC(=O)NC1CC2(C1)CC(NC(=O)c1cc(=O)n3[n-]cnc3n1)C2 ZINC001013921760 727844660 /nfs/dbraw/zinc/84/46/60/727844660.db2.gz CRCPEHNAKFEGJS-UHFFFAOYSA-N -1 1 330.348 -0.405 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@@H]3CCCc4n[nH]cc43)C2)nc1=O ZINC001014438462 727953592 /nfs/dbraw/zinc/95/35/92/727953592.db2.gz TVTYJGCAVYPTCL-WDEREUQCSA-N -1 1 345.407 -0.358 20 0 EBADMM Cc1cnccc1CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001014445634 727955260 /nfs/dbraw/zinc/95/52/60/727955260.db2.gz XCRYDHIJQFQGKH-ZDUSSCGKSA-N -1 1 330.392 -0.255 20 0 EBADMM CCC(=O)N[C@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)[C@@H]1C ZINC001014626011 727982176 /nfs/dbraw/zinc/98/21/76/727982176.db2.gz GIVHRXLVHSZJQK-NEPJUHHUSA-N -1 1 336.392 -0.168 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cc4n(n3)CCC4)C2)nc1=O ZINC001014657391 727988887 /nfs/dbraw/zinc/98/88/87/727988887.db2.gz XHXHMSYBIIBGJC-JTQLQIEISA-N -1 1 331.380 -0.745 20 0 EBADMM Cc1c(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001014680302 727991386 /nfs/dbraw/zinc/99/13/86/727991386.db2.gz UXBFCJRMMNUMGF-LBPRGKRZSA-N -1 1 333.396 -0.916 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)Cc3cc(C4CC4)no3)C2)nc1=O ZINC001014688674 727992020 /nfs/dbraw/zinc/99/20/20/727992020.db2.gz FPGJLIOYCKNQQS-NSHDSACASA-N -1 1 346.391 -0.093 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cnn4ncccc34)C2)nc1=O ZINC001014745926 728001055 /nfs/dbraw/zinc/00/10/55/728001055.db2.gz HFMWWJKXFQFOSE-SNVBAGLBSA-N -1 1 342.363 -0.845 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)Cc3ccc(F)cn3)C2)nc1=O ZINC001014979060 728049012 /nfs/dbraw/zinc/04/90/12/728049012.db2.gz PJCJPSGYWUGRAY-GFCCVEGCSA-N -1 1 334.355 -0.424 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@H]3CCn4ccnc4C3)C2)nc1=O ZINC001015000708 728052714 /nfs/dbraw/zinc/05/27/14/728052714.db2.gz QOXPAQIONBKDGK-RYUDHWBXSA-N -1 1 345.407 -0.742 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cnc4ccccn43)C2)nc1=O ZINC001015012005 728056382 /nfs/dbraw/zinc/05/63/82/728056382.db2.gz ZBIOJYXJWKCXOV-LLVKDONJSA-N -1 1 341.375 -0.240 20 0 EBADMM CCC(=O)N1CCC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@H]1C ZINC001015083534 728073921 /nfs/dbraw/zinc/07/39/21/728073921.db2.gz MIDIZMFAABZMIH-NXEZZACHSA-N -1 1 332.364 -0.063 20 0 EBADMM CCC(=O)N1CCC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H]1C ZINC001015083535 728073963 /nfs/dbraw/zinc/07/39/63/728073963.db2.gz MIDIZMFAABZMIH-UWVGGRQHSA-N -1 1 332.364 -0.063 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CCCN1C(=O)C1CC1 ZINC001015086370 728076108 /nfs/dbraw/zinc/07/61/08/728076108.db2.gz VVIBXVAWYWXUFM-GXSJLCMTSA-N -1 1 344.375 -0.063 20 0 EBADMM CCc1nnsc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001015152972 728097536 /nfs/dbraw/zinc/09/75/36/728097536.db2.gz MAIVMFYOFARUAM-MRVPVSSYSA-N -1 1 337.409 -0.473 20 0 EBADMM COc1ccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001015183720 728106200 /nfs/dbraw/zinc/10/62/00/728106200.db2.gz XCULCHHCIZLHKC-NSHDSACASA-N -1 1 332.364 -0.484 20 0 EBADMM CCC(=O)N[C@@H]1CC[C@@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001015264670 728125446 /nfs/dbraw/zinc/12/54/46/728125446.db2.gz GIPSJZGGGQNWJD-NXEZZACHSA-N -1 1 332.364 -0.158 20 0 EBADMM C[C@H](C(N)=O)N1CCN([C@@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001052017576 735505085 /nfs/dbraw/zinc/50/50/85/735505085.db2.gz OGUUSOFPNPYZRH-CHWSQXEVSA-N -1 1 347.419 -0.507 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)Cc3ncccc3F)C2)nc1=O ZINC001015614084 728424621 /nfs/dbraw/zinc/42/46/21/728424621.db2.gz QVLKAGQFKWDTPN-JTQLQIEISA-N -1 1 334.355 -0.424 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3conc3C3CC3)C2)nc1=O ZINC001015684766 728426801 /nfs/dbraw/zinc/42/68/01/728426801.db2.gz UNAOIBUSQMFXSL-SNVBAGLBSA-N -1 1 332.364 -0.022 20 0 EBADMM COc1cc(=O)[nH]cc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001015716807 728429960 /nfs/dbraw/zinc/42/99/60/728429960.db2.gz FJTWDFOIMMOTSG-SECBINFHSA-N -1 1 348.363 -0.778 20 0 EBADMM CC(C)n1ccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001015785156 728431453 /nfs/dbraw/zinc/43/14/53/728431453.db2.gz UXSXQMMREVLABL-NSHDSACASA-N -1 1 333.396 -0.110 20 0 EBADMM CN(C)c1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)ccn1 ZINC001015786894 728431826 /nfs/dbraw/zinc/43/18/26/728431826.db2.gz SCFIUBXQPAHWOR-LBPRGKRZSA-N -1 1 345.407 -0.426 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nc(C)n1 ZINC001015837584 728434540 /nfs/dbraw/zinc/43/45/40/728434540.db2.gz VZYJZOVXGLTPQJ-LLVKDONJSA-N -1 1 331.380 -0.480 20 0 EBADMM Cc1ncc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c(C)n1 ZINC001015868471 728436977 /nfs/dbraw/zinc/43/69/77/728436977.db2.gz XGKSBAKBZKTNOV-LLVKDONJSA-N -1 1 331.380 -0.480 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cccc4[nH]cnc43)C2)nc1=O ZINC001015890477 728437496 /nfs/dbraw/zinc/43/74/96/728437496.db2.gz PRRWKNAVAMXHRY-SNVBAGLBSA-N -1 1 341.375 -0.011 20 0 EBADMM Cc1c(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nnn1C(C)C ZINC001015916020 728438532 /nfs/dbraw/zinc/43/85/32/728438532.db2.gz CHDXARLJEABGNP-NSHDSACASA-N -1 1 348.411 -0.406 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N(C)C1CC(NC(=O)C(N)=O)C1 ZINC001016827314 728513407 /nfs/dbraw/zinc/51/34/07/728513407.db2.gz WGJVGTVSGRFQQE-UHFFFAOYSA-N -1 1 349.391 -0.181 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)[C@H]2COCCO2)C1 ZINC001017053582 728542012 /nfs/dbraw/zinc/54/20/12/728542012.db2.gz SLTYPTBHCLWYOT-NWDGAFQWSA-N -1 1 339.396 -0.404 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)c2cnc[nH]c2=O)C1 ZINC001017152971 728551234 /nfs/dbraw/zinc/55/12/34/728551234.db2.gz WMIISHAUOBIUCO-JTQLQIEISA-N -1 1 347.379 -0.010 20 0 EBADMM O=C(NC1(C2CCN(C(=O)[C@H]3CCC(=O)N3)CC2)CC1)c1cnn[nH]1 ZINC001017177025 728551860 /nfs/dbraw/zinc/55/18/60/728551860.db2.gz VSAIWUQPDINJED-LLVKDONJSA-N -1 1 346.391 -0.416 20 0 EBADMM O=C(NC1(C2CCN(C(=O)[C@@H]3COCCO3)CC2)CC1)c1cnn[nH]1 ZINC001017177131 728552035 /nfs/dbraw/zinc/55/20/35/728552035.db2.gz ZISBVNXAVMDINU-ZDUSSCGKSA-N -1 1 349.391 -0.279 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC001017214122 728556187 /nfs/dbraw/zinc/55/61/87/728556187.db2.gz VECGIGAVUJVYSR-MXWKQRLJSA-N -1 1 336.396 -0.698 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)C2CCC(O)CC2)nc1=O ZINC001017513962 728583411 /nfs/dbraw/zinc/58/34/11/728583411.db2.gz RMRMIBUVFFKCLJ-AKJUYKBHSA-N -1 1 349.435 -0.165 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)[C@@H]2CC2(F)F)nc1=O ZINC001017531729 728585168 /nfs/dbraw/zinc/58/51/68/728585168.db2.gz MSFMWNGZMPPTEB-AEJSXWLSSA-N -1 1 327.335 -0.061 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2cnn[nH]2)CN1C(=O)c1cn(C)c(=O)cn1 ZINC001018251306 728649876 /nfs/dbraw/zinc/64/98/76/728649876.db2.gz QQGNQKHITSIGPL-ZJUUUORDSA-N -1 1 345.363 -0.679 20 0 EBADMM CCn1nncc1C(=O)N1C[C@@H](NC(=O)c2cnn[nH]2)CC[C@@H]1C ZINC001018251808 728650277 /nfs/dbraw/zinc/65/02/77/728650277.db2.gz SHKUNDWWDZQBQG-UWVGGRQHSA-N -1 1 332.368 -0.161 20 0 EBADMM COCC(=O)N1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CC[C@H]1C ZINC001018274947 728652856 /nfs/dbraw/zinc/65/28/56/728652856.db2.gz HQRHNSXZXVEBQX-NXEZZACHSA-N -1 1 348.363 -0.827 20 0 EBADMM COCCn1cc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c(C)n1 ZINC001018843210 728693936 /nfs/dbraw/zinc/69/39/36/728693936.db2.gz LRWACGPPPAAVTM-NSHDSACASA-N -1 1 349.395 -0.334 20 0 EBADMM CC(C)N1C[C@@H](C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CC1=O ZINC001018933277 728703174 /nfs/dbraw/zinc/70/31/74/728703174.db2.gz YSBIMGKHULPIIQ-QWRGUYRKSA-N -1 1 336.396 -0.542 20 0 EBADMM Cc1nnc(CN[C@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)o1 ZINC001019650665 728962331 /nfs/dbraw/zinc/96/23/31/728962331.db2.gz NJLIGVOIXROWFH-VIFPVBQESA-N -1 1 344.335 -0.887 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CC[C@H](NCc2cscn2)C1 ZINC001019650894 728962356 /nfs/dbraw/zinc/96/23/56/728962356.db2.gz SRKVWHYMEWCMFV-VIFPVBQESA-N -1 1 345.388 -0.122 20 0 EBADMM COc1ncnc(OC)c1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019878307 729023360 /nfs/dbraw/zinc/02/33/60/729023360.db2.gz DLPRBFVXLDELNJ-QMMMGPOBSA-N -1 1 349.351 -0.678 20 0 EBADMM C[C@H]1[C@@H](NC(=O)[C@@H]2COCCO2)CCN1C(=O)c1ncccc1[O-] ZINC001020205248 729099257 /nfs/dbraw/zinc/09/92/57/729099257.db2.gz DALLGWFGCNRXOE-GVXVVHGQSA-N -1 1 335.360 -0.078 20 0 EBADMM C[C@H]1[C@@H](NC(=O)[C@@H]2CNC(=O)N2)CCN1C(=O)c1ncccc1[O-] ZINC001020206112 729099766 /nfs/dbraw/zinc/09/97/66/729099766.db2.gz MGJJAPYXZKTONG-GUBZILKMSA-N -1 1 333.348 -0.812 20 0 EBADMM C[C@H]1[C@@H](NC(=O)[C@@H]2CCN(C)C2=O)CCN1C(=O)c1ncccc1[O-] ZINC001020206126 729099832 /nfs/dbraw/zinc/09/98/32/729099832.db2.gz MXKJMDOFGJEFMF-SRVKXCTJSA-N -1 1 346.387 -0.015 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)[C@H]1C ZINC001020402948 729159605 /nfs/dbraw/zinc/15/96/05/729159605.db2.gz OBOUVOZFZZVMHZ-XQHKEYJVSA-N -1 1 348.403 -0.312 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@@H]1CCC(=O)N1 ZINC001020442197 729168028 /nfs/dbraw/zinc/16/80/28/729168028.db2.gz GTDQPVBHUXXVHU-DCAQKATOSA-N -1 1 332.360 -0.215 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@H]1CN(C)C(=O)N1 ZINC001020454991 729173952 /nfs/dbraw/zinc/17/39/52/729173952.db2.gz UQRPMLQIUHBDGX-GARJFASQSA-N -1 1 347.375 -0.470 20 0 EBADMM O=C(N[C@H]1C[C@H](NCc2cnns2)C1)c1c[n-]n2c1nccc2=O ZINC001020696511 729219483 /nfs/dbraw/zinc/21/94/83/729219483.db2.gz OZXGWUPDRCLMBM-KYZUINATSA-N -1 1 345.388 -0.075 20 0 EBADMM C[C@@H]1CN(C(=O)CC(N)=O)CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001020717529 729222229 /nfs/dbraw/zinc/22/22/29/729222229.db2.gz ZMZHNMKLLSZXBB-GHMZBOCLSA-N -1 1 334.376 -0.123 20 0 EBADMM CCC(=O)N1CC[C@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@H]21 ZINC001020866751 729249346 /nfs/dbraw/zinc/24/93/46/729249346.db2.gz JSNWDNOXRBVQMU-NWDGAFQWSA-N -1 1 334.376 -0.604 20 0 EBADMM CNC(=O)CC(=O)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001060979794 738419786 /nfs/dbraw/zinc/41/97/86/738419786.db2.gz MYSUKNFSQOPEJZ-UHFFFAOYSA-N -1 1 346.387 -0.012 20 0 EBADMM Cn1nccc1C(=O)NC1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1nc(=O)n(C)[nH]1 ZINC001021210291 729294222 /nfs/dbraw/zinc/29/42/22/729294222.db2.gz ZHMNYKOWFXZZIZ-YOGCLGLASA-N -1 1 345.407 -0.233 20 0 EBADMM O=C(Cn1cnnn1)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001021442257 729314178 /nfs/dbraw/zinc/31/41/78/729314178.db2.gz WDOBOAJREGSZGA-WDEREUQCSA-N -1 1 343.347 -0.853 20 0 EBADMM CCC(=O)N1C[C@@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)[C@@H]2C1 ZINC001022206468 729466168 /nfs/dbraw/zinc/46/61/68/729466168.db2.gz AGFGISYQEABZIJ-GXSJLCMTSA-N -1 1 330.348 -0.500 20 0 EBADMM CCn1ncnc1CN[C@H]1C[C@@H](NC(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001022528883 729503812 /nfs/dbraw/zinc/50/38/12/729503812.db2.gz QAMOACYYKBNTGA-AOOOYVTPSA-N -1 1 347.379 -0.852 20 0 EBADMM Cc1noc(CN[C@H]2C[C@@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)n1 ZINC001022969581 729565958 /nfs/dbraw/zinc/56/59/58/729565958.db2.gz CGYGDSBBWHTGCE-DTORHVGOSA-N -1 1 344.335 -0.840 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)nn1C ZINC001023147809 729620524 /nfs/dbraw/zinc/62/05/24/729620524.db2.gz GMLWOVNKJOXCJA-DGCLKSJQSA-N -1 1 345.359 -0.556 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](CNC(=O)C3=COCCO3)C2)nc1=O ZINC001023211574 729638334 /nfs/dbraw/zinc/63/83/34/729638334.db2.gz WUIXZXPRYMNOES-NSHDSACASA-N -1 1 337.380 -0.675 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](CNC(=O)C3=COCCO3)C2)nc1=O ZINC001023211573 729638439 /nfs/dbraw/zinc/63/84/39/729638439.db2.gz WUIXZXPRYMNOES-LLVKDONJSA-N -1 1 337.380 -0.675 20 0 EBADMM Cn1cc(C(=O)NC[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001023223026 729643009 /nfs/dbraw/zinc/64/30/09/729643009.db2.gz ICVNTCREYFIWKS-NSHDSACASA-N -1 1 333.396 -0.516 20 0 EBADMM O=C(CC1CCOCC1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001023237744 729647094 /nfs/dbraw/zinc/64/70/94/729647094.db2.gz BAQVKIVUIAQWPD-TZMCWYRMSA-N -1 1 349.387 -0.095 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](CNC(=O)c3nccnc3N)C2)nc1=O ZINC001023281458 729656843 /nfs/dbraw/zinc/65/68/43/729656843.db2.gz VTQIEKRDMVCIKE-SNVBAGLBSA-N -1 1 346.395 -0.877 20 0 EBADMM Cc1c(C(=O)NC[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)nnn1C ZINC001023287669 729658024 /nfs/dbraw/zinc/65/80/24/729658024.db2.gz QBUZJGXLSJHYKY-LLVKDONJSA-N -1 1 348.411 -0.813 20 0 EBADMM Cn1nnc(CN2CCC[C@@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC001023326120 729664066 /nfs/dbraw/zinc/66/40/66/729664066.db2.gz QILAUIXOOZPESZ-NSHDSACASA-N -1 1 331.380 -0.047 20 0 EBADMM CCn1nccc1C(=O)NC[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001023332196 729664958 /nfs/dbraw/zinc/66/49/58/729664958.db2.gz GLIBNKOJJWQTKM-LBPRGKRZSA-N -1 1 347.423 -0.033 20 0 EBADMM Cc1ccc(C(=O)NC[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001023362239 729671432 /nfs/dbraw/zinc/67/14/32/729671432.db2.gz MPEUPXUKSSWJKD-LBPRGKRZSA-N -1 1 345.407 -0.151 20 0 EBADMM C[C@@H](C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1)n1cccn1 ZINC001023488357 729702033 /nfs/dbraw/zinc/70/20/33/729702033.db2.gz DUOJUWYNHUATQR-DMDPSCGWSA-N -1 1 345.359 -0.454 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ccncc2F)C[C@H]1O)c1ncccc1[O-] ZINC001023488272 729702293 /nfs/dbraw/zinc/70/22/93/729702293.db2.gz AABNBSRYJCAKHX-DGCLKSJQSA-N -1 1 346.318 -0.063 20 0 EBADMM O=C(CC1(O)CCC1)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023488684 729702868 /nfs/dbraw/zinc/70/28/68/729702868.db2.gz SFVOVTMNAYVLMA-ZYHUDNBSSA-N -1 1 335.360 -0.606 20 0 EBADMM O=C(C[C@H]1CCOC1)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023488714 729702935 /nfs/dbraw/zinc/70/29/35/729702935.db2.gz TUWUUNLTBFFOBF-NQBHXWOUSA-N -1 1 335.360 -0.485 20 0 EBADMM COC1CC(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC001023494020 729704395 /nfs/dbraw/zinc/70/43/95/729704395.db2.gz WPJZDQLANBTKKP-FFJHZOIPSA-N -1 1 335.360 -0.486 20 0 EBADMM Cc1ccnn1CC(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023493914 729704659 /nfs/dbraw/zinc/70/46/59/729704659.db2.gz QYEQSWHTDFXTBT-DGCLKSJQSA-N -1 1 345.359 -0.706 20 0 EBADMM CCn1ccc(C(=O)NC[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001023632088 729742176 /nfs/dbraw/zinc/74/21/76/729742176.db2.gz CCUJRZLBDYXJMH-LBPRGKRZSA-N -1 1 347.423 -0.033 20 0 EBADMM Cc1ccnc(C(=O)NC[C@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001024413174 729883439 /nfs/dbraw/zinc/88/34/39/729883439.db2.gz WWIASGAXZSAAHB-GFCCVEGCSA-N -1 1 345.407 -0.009 20 0 EBADMM Cc1c[nH]nc1C(=O)NC[C@@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001024435610 729887649 /nfs/dbraw/zinc/88/76/49/729887649.db2.gz ZDDFKHOONPQXNT-NSHDSACASA-N -1 1 333.396 -0.076 20 0 EBADMM Cc1nn[nH]c1C(=O)NC[C@@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001024589977 729908365 /nfs/dbraw/zinc/90/83/65/729908365.db2.gz QXZIPDIHJWOUPZ-JTQLQIEISA-N -1 1 334.384 -0.681 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H]2CNC(=O)[C@H]2[C@@H]3COC[C@@H]32)nc1=O ZINC001024604947 729910792 /nfs/dbraw/zinc/91/07/92/729910792.db2.gz SADQIJSQQKIMLG-NMKXLXIOSA-N -1 1 335.408 -0.529 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H]2CNC(=O)c2cccnn2)nc1=O ZINC001024728497 729922837 /nfs/dbraw/zinc/92/28/37/729922837.db2.gz WSOJPLPVRZSJHM-LLVKDONJSA-N -1 1 331.380 -0.317 20 0 EBADMM Cc1nonc1C(=O)NC[C@@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001024824445 729933852 /nfs/dbraw/zinc/93/38/52/729933852.db2.gz PERPXCYSKWXLAC-JTQLQIEISA-N -1 1 335.368 -0.416 20 0 EBADMM O=C(CN1CCCC1=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024863822 729936882 /nfs/dbraw/zinc/93/68/82/729936882.db2.gz JLCCVTWOVBRWCQ-LLVKDONJSA-N -1 1 336.396 -0.397 20 0 EBADMM CN1CCOC[C@H]1C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024934976 729943963 /nfs/dbraw/zinc/94/39/63/729943963.db2.gz IWHFHYVFVCOCBV-NEPJUHHUSA-N -1 1 338.412 -0.688 20 0 EBADMM CN1C(=O)CC[C@@H]1C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001025054852 729990803 /nfs/dbraw/zinc/99/08/03/729990803.db2.gz BHIJTAFNDGTCQR-GHMZBOCLSA-N -1 1 336.396 -0.398 20 0 EBADMM O=C(Cc1ccco1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001025248704 730020574 /nfs/dbraw/zinc/02/05/74/730020574.db2.gz LVAGQRUMLAOIJE-DGCLKSJQSA-N -1 1 331.328 -0.076 20 0 EBADMM C[C@@H]1CCO[C@@H]1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001025702705 730075415 /nfs/dbraw/zinc/07/54/15/730075415.db2.gz YRZSTEFKRAADRN-FTUHBYGFSA-N -1 1 335.360 -0.486 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001025760639 730085075 /nfs/dbraw/zinc/08/50/75/730085075.db2.gz BCWJACUQXVRFAI-XMKDAVRRSA-N -1 1 347.371 -0.344 20 0 EBADMM Cc1nc(CNC[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)co1 ZINC001026940387 730173561 /nfs/dbraw/zinc/17/35/61/730173561.db2.gz HOFKXXLSOREJGA-SECBINFHSA-N -1 1 334.336 -0.169 20 0 EBADMM Cc1nc(CN2CCC[C@H]2CNC(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC001027999681 730255882 /nfs/dbraw/zinc/25/58/82/730255882.db2.gz NGRXSYWXYBDQHC-QMMMGPOBSA-N -1 1 334.340 -0.896 20 0 EBADMM COc1ccc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001028012915 730257588 /nfs/dbraw/zinc/25/75/88/730257588.db2.gz VBWWREOJWULHCV-GFCCVEGCSA-N -1 1 346.391 -0.094 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H]2CNC(=O)c2csc(=O)[nH]2)nc1=O ZINC001028090421 730265909 /nfs/dbraw/zinc/26/59/09/730265909.db2.gz WQCNQOWVTBFUMD-MRVPVSSYSA-N -1 1 338.393 -0.335 20 0 EBADMM O=C(NC[C@H]1CCCN1Cc1ccon1)c1cc(=O)n2[n-]cnc2n1 ZINC001028260354 730278380 /nfs/dbraw/zinc/27/83/80/730278380.db2.gz JEJQADQYWDNELJ-LLVKDONJSA-N -1 1 343.347 -0.200 20 0 EBADMM CCc1nc[nH]c1C(=O)NC[C@@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001028289095 730279860 /nfs/dbraw/zinc/27/98/60/730279860.db2.gz ACQOLCKQNOWTME-JTQLQIEISA-N -1 1 333.396 -0.212 20 0 EBADMM Cc1nccnc1CN1CC[C@@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001028661889 730334705 /nfs/dbraw/zinc/33/47/05/730334705.db2.gz QGXXAAHAVZUHAX-JTQLQIEISA-N -1 1 345.363 -0.367 20 0 EBADMM COc1nn(C)cc1C(=O)NC[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001028737311 730347721 /nfs/dbraw/zinc/34/77/21/730347721.db2.gz TYFMELVJAXODBI-JTQLQIEISA-N -1 1 349.395 -0.898 20 0 EBADMM Cc1ocnc1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029233968 730394039 /nfs/dbraw/zinc/39/40/39/730394039.db2.gz XRIPSSOPWDLWSB-PHIMTYICSA-N -1 1 332.364 -0.106 20 0 EBADMM Cc1nonc1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029609372 730477471 /nfs/dbraw/zinc/47/74/71/730477471.db2.gz WZDOGEHCIRVMJP-AOOOYVTPSA-N -1 1 333.352 -0.711 20 0 EBADMM Cc1cnn(CC(=O)N2CC[C@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)c1 ZINC001029668692 730481655 /nfs/dbraw/zinc/48/16/55/730481655.db2.gz UYJUDVZUEQJARZ-GFCCVEGCSA-N -1 1 333.396 -0.004 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)[C@H]2CCNC(=O)C2)C1 ZINC001029702822 730485090 /nfs/dbraw/zinc/48/50/90/730485090.db2.gz OKALJKUWDDREOE-MNOVXSKESA-N -1 1 336.396 -0.683 20 0 EBADMM CC(=O)N1CC(C(=O)N2CC[C@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001029719875 730487907 /nfs/dbraw/zinc/48/79/07/730487907.db2.gz POKOUNGYSLEQDZ-LLVKDONJSA-N -1 1 336.396 -0.731 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)[C@H]2CCN(C)C2=O)C1 ZINC001029810741 730506050 /nfs/dbraw/zinc/50/60/50/730506050.db2.gz QHBTWELJIDEJCK-QWRGUYRKSA-N -1 1 336.396 -0.731 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)COc2cnn(C)c2)C1 ZINC001029817253 730506710 /nfs/dbraw/zinc/50/67/10/730506710.db2.gz BJEGDFABXIWNJS-LLVKDONJSA-N -1 1 349.395 -0.397 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)c2c[nH]c(=O)cn2)C1 ZINC001029819437 730508053 /nfs/dbraw/zinc/50/80/53/730508053.db2.gz RNWZGHMUUXDVPO-VIFPVBQESA-N -1 1 333.352 -0.812 20 0 EBADMM Cc1ccnn1CC(=O)N1CC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001029855339 730513174 /nfs/dbraw/zinc/51/31/74/730513174.db2.gz IGTVUDRPRGGSOD-GFCCVEGCSA-N -1 1 333.396 -0.004 20 0 EBADMM COc1ncc(C(=O)N2CC[C@@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001029882380 730518279 /nfs/dbraw/zinc/51/82/79/730518279.db2.gz NUYQGZWBGCOSCJ-JTQLQIEISA-N -1 1 347.379 -0.097 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)Cc2cncn2C)C1 ZINC001029887908 730519341 /nfs/dbraw/zinc/51/93/41/730519341.db2.gz RDHAZLHABMGJAQ-LLVKDONJSA-N -1 1 333.396 -0.233 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)c2ccc(=O)n(C)n2)C1 ZINC001029899791 730520952 /nfs/dbraw/zinc/52/09/52/730520952.db2.gz HIPAIIANMXGLFY-JTQLQIEISA-N -1 1 347.379 -0.802 20 0 EBADMM Cc1nnc(CC(=O)N2CCC(CN(C)Cc3n[nH]c(=O)[n-]3)CC2)[nH]1 ZINC001029963723 730529237 /nfs/dbraw/zinc/52/92/37/730529237.db2.gz IGROLAQKSZKPIP-UHFFFAOYSA-N -1 1 348.411 -0.150 20 0 EBADMM C[C@@H]1CN(C(=O)CN2CC(NC(=O)c3ncccc3[O-])C2)C[C@@H](C)O1 ZINC001030243300 730558222 /nfs/dbraw/zinc/55/82/22/730558222.db2.gz AREHBOIUEZSJBV-VXGBXAGGSA-N -1 1 348.403 -0.163 20 0 EBADMM C[C@@H]1CN(C(=O)CN2CC(NC(=O)c3ncccc3[O-])C2)C[C@H](C)O1 ZINC001030243298 730558303 /nfs/dbraw/zinc/55/83/03/730558303.db2.gz AREHBOIUEZSJBV-TXEJJXNPSA-N -1 1 348.403 -0.163 20 0 EBADMM Cn1cc(Cl)c(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001030366381 730574292 /nfs/dbraw/zinc/57/42/92/730574292.db2.gz ZZCPLYSMKZXWSE-UHFFFAOYSA-N -1 1 325.760 -0.891 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cc4c([nH]3)CCOC4)C2)nc1=O ZINC001030426836 730583435 /nfs/dbraw/zinc/58/34/35/730583435.db2.gz BRCKTEQCBHQKRX-UHFFFAOYSA-N -1 1 332.364 -0.877 20 0 EBADMM CCn1nc(C2CC2)cc1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030495478 730595895 /nfs/dbraw/zinc/59/58/95/730595895.db2.gz NNXABTASZYZDLJ-UHFFFAOYSA-N -1 1 345.407 -0.184 20 0 EBADMM CC(C)Cn1[nH]c(CC(=O)NC2CN(Cc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC001030624448 730615785 /nfs/dbraw/zinc/61/57/85/730615785.db2.gz FGFQGXLAYJHAPO-UHFFFAOYSA-N -1 1 349.395 -0.801 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3onc4c3CCCC4)C2)nc1=O ZINC001030673977 730622330 /nfs/dbraw/zinc/62/23/30/730622330.db2.gz QPJNXDRAYLMJST-UHFFFAOYSA-N -1 1 332.364 -0.411 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ncc(Cl)s3)C2)nc1=O ZINC001030674314 730622335 /nfs/dbraw/zinc/62/23/35/730622335.db2.gz DNGZTMZMNBBOEZ-UHFFFAOYSA-N -1 1 328.785 -0.168 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cccc4oc(=O)[nH]c43)C2)nc1=O ZINC001030682916 730623283 /nfs/dbraw/zinc/62/32/83/730623283.db2.gz PQJJXRKAIIAJMR-UHFFFAOYSA-N -1 1 344.331 -0.431 20 0 EBADMM CCc1cc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)cc(=O)[nH]1 ZINC001030687489 730623957 /nfs/dbraw/zinc/62/39/57/730623957.db2.gz RFOTYJRYNWUYPI-UHFFFAOYSA-N -1 1 332.364 -0.614 20 0 EBADMM Cc1onc(C2CC2)c1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030691356 730624402 /nfs/dbraw/zinc/62/44/02/730624402.db2.gz WKTLGNVNZKYJAQ-UHFFFAOYSA-N -1 1 332.364 -0.104 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@H]3OCCc4ccccc43)C2)nc1=O ZINC001030774291 730636119 /nfs/dbraw/zinc/63/61/19/730636119.db2.gz GYKISGJHWSOLPW-HNNXBMFYSA-N -1 1 343.387 -0.277 20 0 EBADMM CCc1nc([C@H](C)N2CC(NC(=O)c3cnc([O-])n(C)c3=O)C2)n[nH]1 ZINC001030780198 730637237 /nfs/dbraw/zinc/63/72/37/730637237.db2.gz UFGDVXDMESILMS-QMMMGPOBSA-N -1 1 347.379 -0.658 20 0 EBADMM Cn1cc(CN2CC(NC(=O)c3cnc(C4CC4)[n-]c3=O)C2)nn1 ZINC001031061164 730669898 /nfs/dbraw/zinc/66/98/98/730669898.db2.gz QZZPEPZTZUAKHH-UHFFFAOYSA-N -1 1 329.364 -0.198 20 0 EBADMM Cc1cc2c[nH]nc2c(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001031103056 730676399 /nfs/dbraw/zinc/67/63/99/730676399.db2.gz WRLGULCTSJRXPW-UHFFFAOYSA-N -1 1 341.375 -0.093 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cccc4[nH]cnc43)C2)nc1=O ZINC001031133925 730680094 /nfs/dbraw/zinc/68/00/94/730680094.db2.gz BDCYUCWQTWWNDE-UHFFFAOYSA-N -1 1 327.348 -0.401 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(Cc2cc(C)n(C)n2)C1 ZINC001031249768 730693436 /nfs/dbraw/zinc/69/34/36/730693436.db2.gz HHKUBCNSBLUKSZ-UHFFFAOYSA-N -1 1 348.363 -0.447 20 0 EBADMM Cc1cc(CN2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)nn1C ZINC001031250231 730693610 /nfs/dbraw/zinc/69/36/10/730693610.db2.gz ZDBOLJCASTVQMH-UHFFFAOYSA-N -1 1 342.363 -0.926 20 0 EBADMM C[C@@H]1CC[C@H](CN2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)O1 ZINC001031251606 730695068 /nfs/dbraw/zinc/69/50/68/730695068.db2.gz RLKMJHKKVGMBGS-MWLCHTKSSA-N -1 1 332.364 -0.601 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)Cc3ccc4c(c3)COC4)C2)nc1=O ZINC001031310284 730700263 /nfs/dbraw/zinc/70/02/63/730700263.db2.gz UAEYGMPNHLMHQO-UHFFFAOYSA-N -1 1 343.387 -0.318 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ccc(NC(N)=O)cc3)C2)nc1=O ZINC001031351661 730706703 /nfs/dbraw/zinc/70/67/03/730706703.db2.gz SJSXNGBLAIOPMX-UHFFFAOYSA-N -1 1 345.363 -0.787 20 0 EBADMM Cc1[nH]nc2ncc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)cc12 ZINC001031390911 730714494 /nfs/dbraw/zinc/71/44/94/730714494.db2.gz VQFCJXJHLMCJNR-UHFFFAOYSA-N -1 1 342.363 -0.698 20 0 EBADMM O=C(NCC1CN(CCN2C(=O)CCC2=O)C1)c1ncccc1[O-] ZINC001031628607 730742707 /nfs/dbraw/zinc/74/27/07/730742707.db2.gz PXQNHZSHKGHBCO-UHFFFAOYSA-N -1 1 332.360 -0.402 20 0 EBADMM O=C(CN1CC(CNC(=O)c2ncccc2[O-])C1)Nc1cnccn1 ZINC001031627634 730742847 /nfs/dbraw/zinc/74/28/47/730742847.db2.gz QEDLUIFQWRKYBR-UHFFFAOYSA-N -1 1 342.359 -0.123 20 0 EBADMM CCCn1nccc1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031700647 730753404 /nfs/dbraw/zinc/75/34/04/730753404.db2.gz FHTDDXSYIUDCAS-UHFFFAOYSA-N -1 1 333.396 -0.423 20 0 EBADMM CC(C)[C@@H]1OCC[C@H]1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031723255 730757184 /nfs/dbraw/zinc/75/71/84/730757184.db2.gz MWJHQJLWPXOXGE-OCCSQVGLSA-N -1 1 337.424 -0.283 20 0 EBADMM CC(C)[C@H]1OCC[C@H]1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031723256 730757349 /nfs/dbraw/zinc/75/73/49/730757349.db2.gz MWJHQJLWPXOXGE-TZMCWYRMSA-N -1 1 337.424 -0.283 20 0 EBADMM Cc1nc2c([nH]1)C[C@H](C(=O)NCC1CN(Cc3n[nH]c(=O)[n-]3)C1)CC2 ZINC001031787790 730769499 /nfs/dbraw/zinc/76/94/99/730769499.db2.gz FYVZGYSWHRVWDK-LLVKDONJSA-N -1 1 345.407 -0.105 20 0 EBADMM CCc1cnccc1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031797091 730769916 /nfs/dbraw/zinc/76/99/16/730769916.db2.gz FRGRICUXRHCGBR-UHFFFAOYSA-N -1 1 330.392 -0.072 20 0 EBADMM CCn1cc(CCN2CC(CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)cn1 ZINC001031815934 730774124 /nfs/dbraw/zinc/77/41/24/730774124.db2.gz QRLVLJJGVXHZDC-UHFFFAOYSA-N -1 1 347.379 -0.597 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cccc4ncnn43)C2)nc1=O ZINC001031820751 730775290 /nfs/dbraw/zinc/77/52/90/730775290.db2.gz JZWRVQXPPZACSR-UHFFFAOYSA-N -1 1 342.363 -0.987 20 0 EBADMM Cc1nn(C)c(C)c1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031885596 730785398 /nfs/dbraw/zinc/78/53/98/730785398.db2.gz OINURDBJDSBBRH-UHFFFAOYSA-N -1 1 333.396 -0.679 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@@H]3CCCc4nc[nH]c43)C2)nc1=O ZINC001031968741 730797481 /nfs/dbraw/zinc/79/74/81/730797481.db2.gz HUHOUSVSNKMKOJ-LLVKDONJSA-N -1 1 345.407 -0.500 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3noc4c3CCCC4)C2)nc1=O ZINC001032001951 730802221 /nfs/dbraw/zinc/80/22/21/730802221.db2.gz RPVLDGKUTDGDDH-UHFFFAOYSA-N -1 1 346.391 -0.163 20 0 EBADMM O=C(NCC1CN(Cc2cnns2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001032127873 730816255 /nfs/dbraw/zinc/81/62/55/730816255.db2.gz HZFRHDOEZWKQNL-UHFFFAOYSA-N -1 1 346.376 -0.869 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@H]3COc4ccccc43)C2)nc1=O ZINC001032225324 730832393 /nfs/dbraw/zinc/83/23/93/730832393.db2.gz PGJUXQFECBTTHD-ZDUSSCGKSA-N -1 1 343.387 -0.167 20 0 EBADMM C[C@H](C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1)n1cccn1 ZINC001032290832 730837826 /nfs/dbraw/zinc/83/78/26/730837826.db2.gz WCLWHNRRJXEVGP-WOPDTQHZSA-N -1 1 331.380 -0.649 20 0 EBADMM C[C@H](c1nnnn1C)N1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1[O-] ZINC001032369699 730846772 /nfs/dbraw/zinc/84/67/72/730846772.db2.gz NVDSUOLKBOKQCO-VWYCJHECSA-N -1 1 329.364 -0.029 20 0 EBADMM Cc1cc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)c(C)nn1 ZINC001032422684 730856571 /nfs/dbraw/zinc/85/65/71/730856571.db2.gz FVLRNUKSBGLMHC-RYUDHWBXSA-N -1 1 343.391 -0.386 20 0 EBADMM Cn1nccc1CCC(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032429335 730857941 /nfs/dbraw/zinc/85/79/41/730857941.db2.gz PCGVAOFJXRTRCJ-STQMWFEESA-N -1 1 345.407 -0.740 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)C2(F)CCOCC2)nc1=O ZINC001032437232 730860369 /nfs/dbraw/zinc/86/03/69/730860369.db2.gz XULDIKRGYCPLNJ-QWRGUYRKSA-N -1 1 339.371 -0.588 20 0 EBADMM Cc1ncc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)n1C ZINC001032589144 730895045 /nfs/dbraw/zinc/89/50/45/730895045.db2.gz WJPMEIPYIIVHMD-QWRGUYRKSA-N -1 1 331.380 -0.751 20 0 EBADMM CO[C@@H](C)CN1C[C@@H]2C[C@H]1CN2C(=O)c1c[n-]n2c1nccc2=O ZINC001032671044 730907885 /nfs/dbraw/zinc/90/78/85/730907885.db2.gz ZEOCVZHRQRYXCR-SRVKXCTJSA-N -1 1 331.376 -0.044 20 0 EBADMM Cc1cc(CC(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC001032998453 730955960 /nfs/dbraw/zinc/95/59/60/730955960.db2.gz DDFWEQJTDCPPTA-LBPRGKRZSA-N -1 1 333.396 -0.585 20 0 EBADMM CN(C(=O)c1ccc(F)cn1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033028295 730959727 /nfs/dbraw/zinc/95/97/27/730959727.db2.gz XALFXHHWYBUZQG-NSHDSACASA-N -1 1 334.355 -0.011 20 0 EBADMM Cc1cn(C)nc1C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033069325 730965058 /nfs/dbraw/zinc/96/50/58/730965058.db2.gz JCSMDZXKMVEKFV-LLVKDONJSA-N -1 1 333.396 -0.503 20 0 EBADMM Cc1cc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c(C)nn1 ZINC001033123317 730973306 /nfs/dbraw/zinc/97/33/06/730973306.db2.gz PESOGQRZOYJECX-LBPRGKRZSA-N -1 1 345.407 -0.138 20 0 EBADMM Cc1ncn(C)c1C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033169087 730981672 /nfs/dbraw/zinc/98/16/72/730981672.db2.gz GDHDAMDCGSSNFS-LLVKDONJSA-N -1 1 333.396 -0.503 20 0 EBADMM CC[C@@H]1OCC[C@H]1C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033287032 731000526 /nfs/dbraw/zinc/00/05/26/731000526.db2.gz ODQMQOKDMDJJDN-UPJWGTAASA-N -1 1 337.424 -0.044 20 0 EBADMM CN(C(=O)c1csc(=O)[nH]1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033348789 731012884 /nfs/dbraw/zinc/01/28/84/731012884.db2.gz KSWJZMKWCBQTJN-QMMMGPOBSA-N -1 1 338.393 -0.383 20 0 EBADMM CCn1cc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c(C)n1 ZINC001033466861 731025620 /nfs/dbraw/zinc/02/56/20/731025620.db2.gz QAMNWQAJTJEFJF-GFCCVEGCSA-N -1 1 347.423 -0.020 20 0 EBADMM COc1ccc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001033555239 731038483 /nfs/dbraw/zinc/03/84/83/731038483.db2.gz NEJHYVDYRDLVES-JTQLQIEISA-N -1 1 347.379 -0.747 20 0 EBADMM COc1c(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001033626438 731049698 /nfs/dbraw/zinc/04/96/98/731049698.db2.gz ALPRQSZRCBLXFM-SNVBAGLBSA-N -1 1 349.395 -0.803 20 0 EBADMM CN(C(=O)c1ccc(=O)[nH]c1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033635949 731050741 /nfs/dbraw/zinc/05/07/41/731050741.db2.gz KPPYKAHONOTEDL-LLVKDONJSA-N -1 1 332.364 -0.445 20 0 EBADMM Cc1n[nH]c(C)c1CC(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033650806 731056145 /nfs/dbraw/zinc/05/61/45/731056145.db2.gz BJPYZRDWPBYSIS-GFCCVEGCSA-N -1 1 347.423 -0.276 20 0 EBADMM CCc1ncncc1C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033664735 731058527 /nfs/dbraw/zinc/05/85/27/731058527.db2.gz HNYVYYWHCRUXLM-NSHDSACASA-N -1 1 345.407 -0.193 20 0 EBADMM CCn1nc(C)c(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001033709949 731068265 /nfs/dbraw/zinc/06/82/65/731068265.db2.gz NCCAIFIWHUMJPZ-NSHDSACASA-N -1 1 348.411 -0.625 20 0 EBADMM CCN(C(=O)c1cnns1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033760889 731071883 /nfs/dbraw/zinc/07/18/83/731071883.db2.gz YMZSBJIEIDMRFR-SECBINFHSA-N -1 1 337.409 -0.304 20 0 EBADMM CCN(C(=O)c1cc(C)n(C)n1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033768700 731072294 /nfs/dbraw/zinc/07/22/94/731072294.db2.gz LMTGYEOOJUIGOB-LBPRGKRZSA-N -1 1 347.423 -0.113 20 0 EBADMM CCN(C(=O)c1cccc(=O)[nH]1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033791023 731074097 /nfs/dbraw/zinc/07/40/97/731074097.db2.gz CEUMQKHRDPREMJ-NSHDSACASA-N -1 1 346.391 -0.054 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H](NC(=O)Cc3ccon3)C2)nc1=O ZINC001034142480 731104966 /nfs/dbraw/zinc/10/49/66/731104966.db2.gz KHTLVLNHMSQTNE-LBPRGKRZSA-N -1 1 334.380 -0.190 20 0 EBADMM Cc1c(C(=O)N[C@@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)nnn1C ZINC001034140543 731105011 /nfs/dbraw/zinc/10/50/11/731105011.db2.gz BYPCKACXMQOWTK-LLVKDONJSA-N -1 1 348.411 -0.670 20 0 EBADMM Cc1nn(C)cc1C(=O)N[C@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034135011 731105244 /nfs/dbraw/zinc/10/52/44/731105244.db2.gz SWMBDDSROMSKRR-LBPRGKRZSA-N -1 1 347.423 -0.065 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H](NC(=O)c3cn[nH]c(=O)c3)C2)nc1=O ZINC001034265445 731115842 /nfs/dbraw/zinc/11/58/42/731115842.db2.gz QCGZFJZZMBVGDJ-NSHDSACASA-N -1 1 347.379 -0.612 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H](NC(=O)c3ccnnc3)C2)nc1=O ZINC001034410685 731129854 /nfs/dbraw/zinc/12/98/54/731129854.db2.gz HGRNBNJULBQOQK-GFCCVEGCSA-N -1 1 331.380 -0.317 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H](NC(=O)c3ccncn3)C2)nc1=O ZINC001034447548 731131928 /nfs/dbraw/zinc/13/19/28/731131928.db2.gz IVRPTYZENWXHGS-NSHDSACASA-N -1 1 331.380 -0.317 20 0 EBADMM Cc1nc[nH]c1C(=O)N[C@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034553499 731140804 /nfs/dbraw/zinc/14/08/04/731140804.db2.gz MRWZRHMUTMSOQV-NSHDSACASA-N -1 1 333.396 -0.076 20 0 EBADMM C[C@H](C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)S(C)(=O)=O ZINC001034972757 731170741 /nfs/dbraw/zinc/17/07/41/731170741.db2.gz NMYAODJOBPXFEZ-RKDXNWHRSA-N -1 1 331.398 -0.976 20 0 EBADMM COCc1nn(C)cc1C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034977218 731170881 /nfs/dbraw/zinc/17/08/81/731170881.db2.gz RUUJEOXWPTUMTJ-SNVBAGLBSA-N -1 1 349.395 -0.215 20 0 EBADMM Cn1c(=O)cccc1C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035047135 731180274 /nfs/dbraw/zinc/18/02/74/731180274.db2.gz GBSDLCNZHKPIBA-SNVBAGLBSA-N -1 1 332.364 -0.397 20 0 EBADMM O=C(COC1CCOCC1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035066356 731182393 /nfs/dbraw/zinc/18/23/93/731182393.db2.gz YRCZNYNGLWSJOH-LLVKDONJSA-N -1 1 339.396 -0.214 20 0 EBADMM CC(=O)N1CCC[C@H]1C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035105782 731186649 /nfs/dbraw/zinc/18/66/49/731186649.db2.gz FPGANEPPIRMVKP-NEPJUHHUSA-N -1 1 336.396 -0.398 20 0 EBADMM CCn1ccc(CNC[C@H]2CCCN2C(=O)Cn2c(=O)[n-][nH]c2=O)n1 ZINC001035116243 731187849 /nfs/dbraw/zinc/18/78/49/731187849.db2.gz WOWBQQXCBDQOKS-GFCCVEGCSA-N -1 1 349.395 -0.314 20 0 EBADMM O=C(c1noc2c1COCC2)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035135463 731191440 /nfs/dbraw/zinc/19/14/40/731191440.db2.gz PROKTHKQTRYORC-SECBINFHSA-N -1 1 348.363 -0.035 20 0 EBADMM NC(=O)N1CCC[C@H]1C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035141165 731192134 /nfs/dbraw/zinc/19/21/34/731192134.db2.gz QPOSFMVLDABUKG-ZJUUUORDSA-N -1 1 337.384 -0.866 20 0 EBADMM O=C([C@@H]1CCC(=O)N1)N1CCC2(CCN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035250518 731201011 /nfs/dbraw/zinc/20/10/11/731201011.db2.gz MTWQPLZOHYONRX-NSHDSACASA-N -1 1 348.407 -0.397 20 0 EBADMM O=C(Cn1cncn1)N1CCC2(CCN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001035252257 731201046 /nfs/dbraw/zinc/20/10/46/731201046.db2.gz PCCUIQVASHVCTI-UHFFFAOYSA-N -1 1 346.395 -0.384 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)c3ccncc3)C2)nc1=O ZINC001035272842 731203017 /nfs/dbraw/zinc/20/30/17/731203017.db2.gz GVXUIIQSNRTTBM-LBPRGKRZSA-N -1 1 332.364 -0.866 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)c3ccccc3)C2)nc1=O ZINC001035275754 731203535 /nfs/dbraw/zinc/20/35/35/731203535.db2.gz XXNCAMIKHQJNAU-ZDUSSCGKSA-N -1 1 331.376 -0.261 20 0 EBADMM Cc1ocnc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035303017 731208982 /nfs/dbraw/zinc/20/89/82/731208982.db2.gz NFPSUACQVNRNSK-SNVBAGLBSA-N -1 1 336.352 -0.964 20 0 EBADMM CN1CCC[C@@H](N2CCO[C@@H](CNC(=O)c3ncccc3[O-])C2)C1=O ZINC001035342217 731221229 /nfs/dbraw/zinc/22/12/29/731221229.db2.gz BHDJPEBEGDMPIB-QWHCGFSZSA-N -1 1 348.403 -0.161 20 0 EBADMM CN1CCC[C@H](N2CCO[C@H](CNC(=O)c3ncccc3[O-])C2)C1=O ZINC001035342215 731221460 /nfs/dbraw/zinc/22/14/60/731221460.db2.gz BHDJPEBEGDMPIB-OLZOCXBDSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@@H](C(=O)N(C)C)N1CCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001035344336 731222531 /nfs/dbraw/zinc/22/25/31/731222531.db2.gz QCRSTIFRKNIKAZ-RYUDHWBXSA-N -1 1 336.392 -0.306 20 0 EBADMM O=C(NC[C@H]1CCCN1c1ncccn1)c1cc(=O)n2[n-]cnc2n1 ZINC001061378371 738515472 /nfs/dbraw/zinc/51/54/72/738515472.db2.gz MGTGMJDKFYPUGZ-SNVBAGLBSA-N -1 1 340.347 -0.394 20 0 EBADMM C[C@@H]1CCCN(C(=O)c2cn(C)c(=O)[nH]2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036575064 731262744 /nfs/dbraw/zinc/26/27/44/731262744.db2.gz VROLXSSKQBVDJU-KOLCDFICSA-N -1 1 349.395 -0.020 20 0 EBADMM O=C(NC[C@H]1CCN1Cc1nnc2n1CCOC2)c1ncccc1[O-] ZINC001038190763 731291884 /nfs/dbraw/zinc/29/18/84/731291884.db2.gz FDHHRRAFGRWFBG-LLVKDONJSA-N -1 1 344.375 -0.087 20 0 EBADMM Cn1ncc(Cl)c1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038247595 731298514 /nfs/dbraw/zinc/29/85/14/731298514.db2.gz JBKYCUGMWUQYQY-MRVPVSSYSA-N -1 1 339.787 -0.500 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@H]2CCCc3c[nH]nc32)nc1=O ZINC001038262353 731300591 /nfs/dbraw/zinc/30/05/91/731300591.db2.gz MSJPSVAAFOGOBM-NEPJUHHUSA-N -1 1 345.407 -0.358 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2ccn(C(F)F)n2)nc1=O ZINC001038295755 731303642 /nfs/dbraw/zinc/30/36/42/731303642.db2.gz KDCGGLMNTJAZKX-QMMMGPOBSA-N -1 1 341.322 -0.296 20 0 EBADMM Cn1nc(C2CC2)cc1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038301623 731304481 /nfs/dbraw/zinc/30/44/81/731304481.db2.gz PBKIVEIHLNPUQS-NSHDSACASA-N -1 1 345.407 -0.276 20 0 EBADMM CCc1nn(C)cc1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038376683 731315324 /nfs/dbraw/zinc/31/53/24/731315324.db2.gz WXTLOOUWLHHNGA-JTQLQIEISA-N -1 1 333.396 -0.591 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2ccc3nc[nH]c3n2)nc1=O ZINC001038386301 731317024 /nfs/dbraw/zinc/31/70/24/731317024.db2.gz MVBQCDALIZVSLH-VIFPVBQESA-N -1 1 342.363 -0.616 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@H]2CCc3cncn3C2)nc1=O ZINC001038423842 731322905 /nfs/dbraw/zinc/32/29/05/731322905.db2.gz GDTPIYWWGFIKMG-WCQYABFASA-N -1 1 345.407 -0.742 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@@H]2CCn3ccnc3C2)nc1=O ZINC001038424873 731323535 /nfs/dbraw/zinc/32/35/35/731323535.db2.gz WDTANAGZXQITST-NEPJUHHUSA-N -1 1 345.407 -0.742 20 0 EBADMM CN(C)c1ccc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001038433302 731324764 /nfs/dbraw/zinc/32/47/64/731324764.db2.gz BWVJPPXDENSETG-GFCCVEGCSA-N -1 1 345.407 -0.426 20 0 EBADMM CCCc1n[nH]cc1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038441110 731325711 /nfs/dbraw/zinc/32/57/11/731325711.db2.gz NKPVZYQKDLAGHF-SNVBAGLBSA-N -1 1 333.396 -0.212 20 0 EBADMM CCc1c(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)cnn1C ZINC001038453393 731327894 /nfs/dbraw/zinc/32/78/94/731327894.db2.gz BFOFNLLHFKIJHR-SNVBAGLBSA-N -1 1 333.396 -0.591 20 0 EBADMM COCc1ccc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)o1 ZINC001038483805 731333825 /nfs/dbraw/zinc/33/38/25/731333825.db2.gz HLHRLHNNDBHFHY-SNVBAGLBSA-N -1 1 335.364 -0.148 20 0 EBADMM Cc1nc(C)c(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)nc1C ZINC001038491842 731335310 /nfs/dbraw/zinc/33/53/10/731335310.db2.gz JWCJGFGPHDVYFX-GFCCVEGCSA-N -1 1 345.407 -0.172 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@@H]2C[C@H]2C2CCOCC2)nc1=O ZINC001038502325 731336767 /nfs/dbraw/zinc/33/67/67/731336767.db2.gz NBHAASUYQLFMFT-HZSPNIEDSA-N -1 1 349.435 -0.138 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2ncc3ccccn32)nc1=O ZINC001038511037 731337342 /nfs/dbraw/zinc/33/73/42/731337342.db2.gz IPLOAHBEEZCEKS-NSHDSACASA-N -1 1 341.375 -0.240 20 0 EBADMM CC(C)Cn1cc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001038854789 731385457 /nfs/dbraw/zinc/38/54/57/731385457.db2.gz NLIJBZUOBIONLN-CYBMUJFWSA-N -1 1 347.423 -0.035 20 0 EBADMM Cc1c(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)nnn1C(C)C ZINC001038857268 731385714 /nfs/dbraw/zinc/38/57/14/731385714.db2.gz WFPYUORCZQIFAY-LLVKDONJSA-N -1 1 348.411 -0.406 20 0 EBADMM Cc1cc(=O)c(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)c[nH]1 ZINC001038873031 731387254 /nfs/dbraw/zinc/38/72/54/731387254.db2.gz YBZIZOWAWTYQFU-JTQLQIEISA-N -1 1 332.364 -0.891 20 0 EBADMM COc1cccc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001038876358 731387726 /nfs/dbraw/zinc/38/77/26/731387726.db2.gz LBFDVEUMMBUGHB-SNVBAGLBSA-N -1 1 332.364 -0.484 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cnn3c2CCCC3)nc1=O ZINC001038891112 731388122 /nfs/dbraw/zinc/38/81/22/731388122.db2.gz OCRUUHNUMCQPJE-LLVKDONJSA-N -1 1 345.407 -0.355 20 0 EBADMM CC(C)n1cc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001038911477 731390411 /nfs/dbraw/zinc/39/04/11/731390411.db2.gz GXTOBBLVLMWDJN-GFCCVEGCSA-N -1 1 333.396 -0.110 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCN1Cc1cncn1C ZINC001038917299 731391641 /nfs/dbraw/zinc/39/16/41/731391641.db2.gz PJYRKEDEXPUFRE-SECBINFHSA-N -1 1 348.363 -0.366 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCN1Cc1cncn1C ZINC001038917300 731391829 /nfs/dbraw/zinc/39/18/29/731391829.db2.gz PJYRKEDEXPUFRE-VIFPVBQESA-N -1 1 348.363 -0.366 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCN1Cc1nccn1C ZINC001038917706 731392373 /nfs/dbraw/zinc/39/23/73/731392373.db2.gz SEYJXPMREWSXFW-VIFPVBQESA-N -1 1 348.363 -0.366 20 0 EBADMM O=C(NC[C@@H]1CCN1Cc1cccnc1)c1cc(=O)n2[n-]cnc2n1 ZINC001038921963 731393222 /nfs/dbraw/zinc/39/32/22/731393222.db2.gz NTTSABMXJHXGMA-LBPRGKRZSA-N -1 1 339.359 -0.183 20 0 EBADMM O=C(NC[C@H]1CCN1Cc1cncs1)c1cc(=O)n2[n-]cnc2n1 ZINC001038921130 731393305 /nfs/dbraw/zinc/39/33/05/731393305.db2.gz KQAJIOHUZFKCOF-SECBINFHSA-N -1 1 345.388 -0.122 20 0 EBADMM O=C(NC[C@@H]1CCN1Cc1nncs1)c1cc(=O)n2[n-]cnc2n1 ZINC001038923453 731393783 /nfs/dbraw/zinc/39/37/83/731393783.db2.gz UHTYVHBMFVMSTB-QMMMGPOBSA-N -1 1 346.376 -0.727 20 0 EBADMM Cc1nn(C)c(F)c1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038965190 731396885 /nfs/dbraw/zinc/39/68/85/731396885.db2.gz QDEJKVMYHZIJBB-SECBINFHSA-N -1 1 337.359 -0.706 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@@H]2CC23CCOCC3)nc1=O ZINC001039105769 731418147 /nfs/dbraw/zinc/41/81/47/731418147.db2.gz TXANFVFRJZHFGR-NEPJUHHUSA-N -1 1 335.408 -0.384 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CC[C@@H](C2)N3C(=O)c2cn[nH]n2)nc1=O ZINC001039398565 731432076 /nfs/dbraw/zinc/43/20/76/731432076.db2.gz CJGKRTBOORPDRW-ZJUUUORDSA-N -1 1 332.368 -0.894 20 0 EBADMM Cn1[n-]c(CN2CCC[C@]3(CCN(C(=O)c4cn[nH]c4)C3)C2)nc1=O ZINC001040093308 731594145 /nfs/dbraw/zinc/59/41/45/731594145.db2.gz RVRMAXXYSVXFMD-INIZCTEOSA-N -1 1 345.407 -0.040 20 0 EBADMM Cn1[n-]c(CN2CCC[C@]3(CCN(C(=O)[C@H]4CCOC4)C3)C2)nc1=O ZINC001040097987 731595916 /nfs/dbraw/zinc/59/59/16/731595916.db2.gz YRBMBHXMQCZOHN-GUYCJALGSA-N -1 1 349.435 -0.041 20 0 EBADMM CO[C@@H](C)C(=O)N1CC[C@]2(C1)CCCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001040104299 731601296 /nfs/dbraw/zinc/60/12/96/731601296.db2.gz LMHNRZVULPLGSU-BLLLJJGKSA-N -1 1 337.424 -0.042 20 0 EBADMM Cc1nonc1C(=O)NC[C@@]1(O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001040221568 731653673 /nfs/dbraw/zinc/65/36/73/731653673.db2.gz VVEHNGDEVZPMHJ-HNNXBMFYSA-N -1 1 347.331 -0.514 20 0 EBADMM O=C(NC[C@]1(O)CCN(C(=O)c2ncccc2[O-])C1)c1ccncn1 ZINC001040659711 731811450 /nfs/dbraw/zinc/81/14/50/731811450.db2.gz CEAIBZIVUWEMAS-MRXNPFEDSA-N -1 1 343.343 -0.416 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(CCN(C(=O)c4cnns4)C3)C2)nc1=O ZINC001041108929 731992517 /nfs/dbraw/zinc/99/25/17/731992517.db2.gz PJQULSZHBRXAMN-AWEZNQCLSA-N -1 1 349.420 -0.302 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(CCN(C(=O)c4ncc[nH]4)C3)C2)nc1=O ZINC001041265657 732058273 /nfs/dbraw/zinc/05/82/73/732058273.db2.gz KPPJBUREKAZVSX-OAHLLOKOSA-N -1 1 331.380 -0.430 20 0 EBADMM Cc1cc(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)no1 ZINC001041368589 732104116 /nfs/dbraw/zinc/10/41/16/732104116.db2.gz KJWYHUHAJDNUEZ-UHFFFAOYSA-N -1 1 332.316 -0.299 20 0 EBADMM CS[C@@H](C)C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001041616160 732171853 /nfs/dbraw/zinc/17/18/53/732171853.db2.gz GQZAAFAFNGOQJL-VIFPVBQESA-N -1 1 325.390 -0.158 20 0 EBADMM O=C(C[C@@H]1CCCO1)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001041649443 732183000 /nfs/dbraw/zinc/18/30/00/732183000.db2.gz VSAGGHQVWXASFN-NSHDSACASA-N -1 1 335.360 -0.341 20 0 EBADMM O=C(CCc1c[nH]nn1)N1CC[C@]2(CCN(Cc3n[nH]c(=O)[n-]3)C2)C1 ZINC001041696321 732199840 /nfs/dbraw/zinc/19/98/40/732199840.db2.gz NXIGTOKBGZXKLX-HNNXBMFYSA-N -1 1 346.395 -0.314 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)C4=COCCO4)C[C@@H]32)nc1=O ZINC001041915705 732253649 /nfs/dbraw/zinc/25/36/49/732253649.db2.gz FQXYLWNEKANYGJ-RYUDHWBXSA-N -1 1 349.391 -0.581 20 0 EBADMM Cc1nonc1C(=O)N1CC[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C1 ZINC001042378834 732421793 /nfs/dbraw/zinc/42/17/93/732421793.db2.gz BAEYKQMJTRAZAK-WDEREUQCSA-N -1 1 347.379 -0.464 20 0 EBADMM O=C(C[C@@H]1COC(=O)C1)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042712447 732545369 /nfs/dbraw/zinc/54/53/69/732545369.db2.gz ACJAXGOLVRQRBB-JTQLQIEISA-N -1 1 349.343 -0.957 20 0 EBADMM CO[C@H](C)CCC(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042712740 732545944 /nfs/dbraw/zinc/54/59/44/732545944.db2.gz GYSPUBQIYKSIRJ-LLVKDONJSA-N -1 1 337.376 -0.095 20 0 EBADMM CCO[C@H]1C[C@H]1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042712543 732546246 /nfs/dbraw/zinc/54/62/46/732546246.db2.gz BXTJPFNHVQBBPU-PWSUYJOCSA-N -1 1 335.360 -0.485 20 0 EBADMM Cc1cc(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)ncn1 ZINC001042713434 732547131 /nfs/dbraw/zinc/54/71/31/732547131.db2.gz XXQPTFTWZYFFTC-UHFFFAOYSA-N -1 1 343.343 -0.497 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ccc(F)cn2)C1)c1ncccc1[O-] ZINC001042713468 732547200 /nfs/dbraw/zinc/54/72/00/732547200.db2.gz YZSXQSGIDPIJIW-UHFFFAOYSA-N -1 1 346.318 -0.062 20 0 EBADMM O=C(NCC1(O)CN(C(=O)[C@H]2CCCOCC2)C1)c1ncccc1[O-] ZINC001042713305 732547315 /nfs/dbraw/zinc/54/73/15/732547315.db2.gz SDEHVTDQMIOVJM-LBPRGKRZSA-N -1 1 349.387 -0.093 20 0 EBADMM Cc1cc(CC(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)[nH]n1 ZINC001042713447 732547369 /nfs/dbraw/zinc/54/73/69/732547369.db2.gz YFYLGMIIAOFRBW-UHFFFAOYSA-N -1 1 345.359 -0.635 20 0 EBADMM O=C(CC1=CCOCC1)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042716524 732548327 /nfs/dbraw/zinc/54/83/27/732548327.db2.gz QRRITACBIQMDKX-UHFFFAOYSA-N -1 1 347.371 -0.173 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042716235 732548338 /nfs/dbraw/zinc/54/83/38/732548338.db2.gz JCWZSQVYJIHHAW-UHFFFAOYSA-N -1 1 345.359 -0.256 20 0 EBADMM Cn1cncc1CC(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042716497 732548376 /nfs/dbraw/zinc/54/83/76/732548376.db2.gz PISALZKSQJGAGJ-UHFFFAOYSA-N -1 1 345.359 -0.934 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccn2)C1)c1ncccc1[O-] ZINC001042716619 732548904 /nfs/dbraw/zinc/54/89/04/732548904.db2.gz UYMKYUSSEKQKGN-UHFFFAOYSA-N -1 1 329.316 -0.806 20 0 EBADMM COC[C@H](C)CC(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042716564 732549000 /nfs/dbraw/zinc/54/90/00/732549000.db2.gz SKVLNYBNCFWLCI-LLVKDONJSA-N -1 1 337.376 -0.237 20 0 EBADMM O=C(Cc1cncs1)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042719436 732550037 /nfs/dbraw/zinc/55/00/37/732550037.db2.gz CONUOJNDZOFXDA-UHFFFAOYSA-N -1 1 348.384 -0.211 20 0 EBADMM C[C@@H](C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1)[C@@H]1CCCO1 ZINC001042719308 732550172 /nfs/dbraw/zinc/55/01/72/732550172.db2.gz ACECFFSXQJUVGS-YPMHNXCESA-N -1 1 349.387 -0.095 20 0 EBADMM Cc1nccn1CC(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042720531 732551685 /nfs/dbraw/zinc/55/16/85/732551685.db2.gz YGYKKBYPXISRRC-UHFFFAOYSA-N -1 1 345.359 -0.705 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCCOCC1 ZINC001042823154 732607287 /nfs/dbraw/zinc/60/72/87/732607287.db2.gz VVODOKPLWRTVJG-GFCCVEGCSA-N -1 1 349.387 -0.093 20 0 EBADMM CN(C(=O)c1c(C2CC2)cnn1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042877932 732638987 /nfs/dbraw/zinc/63/89/87/732638987.db2.gz XKCVGFJBNHMZNJ-UHFFFAOYSA-N -1 1 345.407 -0.324 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)[C@H]1CC1(F)F ZINC001042910348 732657329 /nfs/dbraw/zinc/65/73/29/732657329.db2.gz JVUYCDTXAJEBRV-MRVPVSSYSA-N -1 1 327.287 -0.255 20 0 EBADMM CN(C(=O)c1n[nH]c(=O)[n-]c1=O)C1CN(C[C@@H]2C[C@@]23CCOC3)C1 ZINC001043230850 732836939 /nfs/dbraw/zinc/83/69/39/732836939.db2.gz GFYGRROCMTYEQG-BJOHPYRUSA-N -1 1 335.364 -0.534 20 0 EBADMM CN(C(=O)c1cc(Cl)n(C)n1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043293622 732861011 /nfs/dbraw/zinc/86/10/11/732861011.db2.gz ZITPDRCTRHMSCU-UHFFFAOYSA-N -1 1 339.787 -0.548 20 0 EBADMM Cc1cc(CC(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001043332361 732874101 /nfs/dbraw/zinc/87/41/01/732874101.db2.gz KZAROXFAMMZBCX-UHFFFAOYSA-N -1 1 333.396 -0.964 20 0 EBADMM Cc1nc[nH]c1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001043828071 733138273 /nfs/dbraw/zinc/13/82/73/733138273.db2.gz ZBCNTVVUFVXWST-UHFFFAOYSA-N -1 1 331.332 -0.564 20 0 EBADMM CN(C(=O)[C@@H]1C[C@H]1c1cncn1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043914823 733197002 /nfs/dbraw/zinc/19/70/02/733197002.db2.gz HDVAASOIGYRXML-VXGBXAGGSA-N -1 1 345.407 -0.712 20 0 EBADMM CN(C(=O)[C@@H]1C[C@H]1c1cccnc1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043951025 733217213 /nfs/dbraw/zinc/21/72/13/733217213.db2.gz QZOOCJQROLCORA-UONOGXRCSA-N -1 1 342.403 -0.050 20 0 EBADMM Cc1ccc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c(=O)[nH]1 ZINC001043971360 733225686 /nfs/dbraw/zinc/22/56/86/733225686.db2.gz PNTKJOKVUDIFTI-UHFFFAOYSA-N -1 1 332.364 -0.526 20 0 EBADMM Cc1nocc1CN1CC(N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001044181158 733320855 /nfs/dbraw/zinc/32/08/55/733320855.db2.gz XTOZTYVEGDSLMV-UHFFFAOYSA-N -1 1 343.347 -0.330 20 0 EBADMM CC(C)c1ncncc1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044304420 733370203 /nfs/dbraw/zinc/37/02/03/733370203.db2.gz MIDLAGOVOQZPRB-UHFFFAOYSA-N -1 1 345.407 -0.022 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CS(C)(=O)=O ZINC001044489343 733437840 /nfs/dbraw/zinc/43/78/40/733437840.db2.gz IIZAAUSHWLQXGF-IUCAKERBSA-N -1 1 331.398 -0.976 20 0 EBADMM Cc1nonc1CN[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)[C@H](C)C1 ZINC001044663772 733474912 /nfs/dbraw/zinc/47/49/12/733474912.db2.gz NTZGPGIRRXIDBD-APPZFPTMSA-N -1 1 349.351 -0.243 20 0 EBADMM Cc1nccnc1CNC[C@@H]1CCCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001045106335 733583282 /nfs/dbraw/zinc/58/32/82/733583282.db2.gz KCORYBQVAVUWIX-JTQLQIEISA-N -1 1 345.363 -0.224 20 0 EBADMM Cc1nocc1CNC[C@@H]1CCCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001045106844 733584018 /nfs/dbraw/zinc/58/40/18/733584018.db2.gz YVGXGKOVHGCAFY-JTQLQIEISA-N -1 1 334.336 -0.026 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)c1ncccc1F ZINC001045264964 733641153 /nfs/dbraw/zinc/64/11/53/733641153.db2.gz GFAKQMUXQMWOMH-UHFFFAOYSA-N -1 1 346.318 -0.062 20 0 EBADMM CCn1ccc(CNC[C@@H]2CCCN2C(=O)Cn2c(=O)[n-][nH]c2=O)n1 ZINC001045289797 733652252 /nfs/dbraw/zinc/65/22/52/733652252.db2.gz WOWBQQXCBDQOKS-LBPRGKRZSA-N -1 1 349.395 -0.314 20 0 EBADMM Cc1cc(C(=O)NC2(C)CCN(Cc3nc(=O)n(C)[n-]3)CC2)[nH]n1 ZINC001045371165 733678365 /nfs/dbraw/zinc/67/83/65/733678365.db2.gz GXKICNXEOQRWCD-UHFFFAOYSA-N -1 1 333.396 -0.076 20 0 EBADMM Cn1nncc1C(=O)NC1(C)CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001045427621 733694085 /nfs/dbraw/zinc/69/40/85/733694085.db2.gz LQMTZHZRXRYCAP-UHFFFAOYSA-N -1 1 334.384 -0.979 20 0 EBADMM CCn1cc(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)nn1 ZINC001045573496 733729163 /nfs/dbraw/zinc/72/91/63/733729163.db2.gz ORJAWYJXJNDNPE-UHFFFAOYSA-N -1 1 346.347 -0.985 20 0 EBADMM Cn1[n-]c(CN2CCC(C)(NC(=O)c3ccc(=O)[nH]c3)CC2)nc1=O ZINC001045632854 733742096 /nfs/dbraw/zinc/74/20/96/733742096.db2.gz TXABBANTAAHDCD-UHFFFAOYSA-N -1 1 346.391 -0.007 20 0 EBADMM Cc1nonc1CC(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001045643876 733744394 /nfs/dbraw/zinc/74/43/94/733744394.db2.gz DAEQDQJCYLAYCI-UHFFFAOYSA-N -1 1 347.331 -0.976 20 0 EBADMM O=C(c1ccn[nH]1)N1CCN([C@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001046009546 733798043 /nfs/dbraw/zinc/79/80/43/733798043.db2.gz VEYBGGSTCCTCLA-NSHDSACASA-N -1 1 346.395 -0.734 20 0 EBADMM C[C@@H](C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1)[C@H]1CCCO1 ZINC001046249399 733894632 /nfs/dbraw/zinc/89/46/32/733894632.db2.gz FGBVYTQXFPNNOC-DGCLKSJQSA-N -1 1 349.387 -0.095 20 0 EBADMM Cc1cc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1 ZINC001046273784 733900509 /nfs/dbraw/zinc/90/05/09/733900509.db2.gz YVGFRROHCNQUPP-HNNXBMFYSA-N -1 1 331.380 -0.399 20 0 EBADMM CCn1ncc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001046298876 733904584 /nfs/dbraw/zinc/90/45/84/733904584.db2.gz WLWDODHTHMZYBU-CQSZACIVSA-N -1 1 334.384 -0.886 20 0 EBADMM Cc1ccc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001046304958 733905314 /nfs/dbraw/zinc/90/53/14/733905314.db2.gz BERHENSQUBYSIJ-HNNXBMFYSA-N -1 1 331.380 -0.399 20 0 EBADMM Cn1[n-]c(CN2CC[C@](C)(NC(=O)c3n[nH]c4c3CCC4)C2)nc1=O ZINC001046441030 733935824 /nfs/dbraw/zinc/93/58/24/733935824.db2.gz ZRVSZHNZHTWFAI-INIZCTEOSA-N -1 1 345.407 -0.285 20 0 EBADMM Cc1cc(=O)[nH]cc1C(=O)N[C@@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001046608927 733980381 /nfs/dbraw/zinc/98/03/81/733980381.db2.gz GJESGELCXDPUNQ-INIZCTEOSA-N -1 1 346.391 -0.088 20 0 EBADMM CCc1cc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001046730422 734015682 /nfs/dbraw/zinc/01/56/82/734015682.db2.gz OQUQFHHFEMJBHD-MRXNPFEDSA-N -1 1 347.423 -0.201 20 0 EBADMM NC(=O)C1(C(=O)NC[C@H]2COCCN2C(=O)c2ncccc2[O-])CC1 ZINC001061838354 738776159 /nfs/dbraw/zinc/77/61/59/738776159.db2.gz IOAKFHFTZOQLJO-JTQLQIEISA-N -1 1 348.359 -0.990 20 0 EBADMM CCc1cc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC001046873527 734048314 /nfs/dbraw/zinc/04/83/14/734048314.db2.gz GQDCSBNEECEKSY-MRXNPFEDSA-N -1 1 347.423 -0.201 20 0 EBADMM Nc1nccnc1C(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047039287 734084419 /nfs/dbraw/zinc/08/44/19/734084419.db2.gz HMFDLJPZYVSHSU-GUBZILKMSA-N -1 1 344.379 -0.335 20 0 EBADMM Cn1ncc(C(=O)N[C@@H]2C[C@H]3C[C@@H](C2)N(Cc2n[nH]c(=O)[n-]2)C3)n1 ZINC001047159686 734103584 /nfs/dbraw/zinc/10/35/84/734103584.db2.gz BNXGZRYNMHQCRA-AEJSXWLSSA-N -1 1 332.368 -0.578 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(CCN2CCCC2=O)C[C@@H]1O ZINC001047358163 734151485 /nfs/dbraw/zinc/15/14/85/734151485.db2.gz QGDSAICDQGSRDL-JSGCOSHPSA-N -1 1 348.403 -0.473 20 0 EBADMM C[C@@H](c1nncn1C)N1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001047358496 734152003 /nfs/dbraw/zinc/15/20/03/734152003.db2.gz AGUDRWUBHGECRC-GVXVVHGQSA-N -1 1 346.391 -0.206 20 0 EBADMM CCn1cc(CN2C[C@H](O)[C@@H](N(C)C(=O)c3ncccc3[O-])C2)nn1 ZINC001047359528 734152431 /nfs/dbraw/zinc/15/24/31/734152431.db2.gz YYMHGKUMOMOMOO-JSGCOSHPSA-N -1 1 346.391 -0.284 20 0 EBADMM NC(=O)CC(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(C(=O)c1ncccc1[O-])C2 ZINC001047417407 734183675 /nfs/dbraw/zinc/18/36/75/734183675.db2.gz VAATUTMWTAMLKJ-DCAQKATOSA-N -1 1 332.360 -0.228 20 0 EBADMM CN(C(=O)[C@]1(C)C[C@H]2C[C@H]2C1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047472843 734211700 /nfs/dbraw/zinc/21/17/00/734211700.db2.gz LZSIDGMYEIHXBX-VHYOUYBNSA-N -1 1 349.435 -0.452 20 0 EBADMM Cc1cocc1C(=O)N(C)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047482730 734218463 /nfs/dbraw/zinc/21/84/63/734218463.db2.gz IAGIQIBJLKMPGE-RYUDHWBXSA-N -1 1 335.364 -0.673 20 0 EBADMM CN(C(=O)C[C@@H]1CC=CCC1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047487723 734222311 /nfs/dbraw/zinc/22/23/11/734222311.db2.gz NFHOXBFFRXZSSZ-RDBSUJKOSA-N -1 1 349.435 -0.142 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNCc1nnn(C)n1 ZINC001048223597 734367645 /nfs/dbraw/zinc/36/76/45/734367645.db2.gz VRPXEHBUCPWDNP-GHMZBOCLSA-N -1 1 331.380 -0.191 20 0 EBADMM CC[C@H](OC)C(=O)N(C)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001048506253 734431333 /nfs/dbraw/zinc/43/13/33/734431333.db2.gz MJMWDKTYYDZWGY-DRZSPHRISA-N -1 1 337.376 -0.144 20 0 EBADMM O=C(c1cccc(=O)[nH]1)N1C[C@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C1 ZINC001048749409 734493366 /nfs/dbraw/zinc/49/33/66/734493366.db2.gz XYRBXAPLWCQMNN-AOOOYVTPSA-N -1 1 330.348 -0.185 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@H]2CCCN3C(=O)c2cnns2)nc1=O ZINC001049355110 734713850 /nfs/dbraw/zinc/71/38/50/734713850.db2.gz WASBIAVWHUUWCX-ZJUUUORDSA-N -1 1 349.420 -0.161 20 0 EBADMM O=C(CCc1nc[nH]n1)N1CCC[C@H]2[C@@H]1CCN2Cc1n[nH]c(=O)[n-]1 ZINC001049411593 734724616 /nfs/dbraw/zinc/72/46/16/734724616.db2.gz PRLUTVZFPQMGOD-QWRGUYRKSA-N -1 1 346.395 -0.174 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@@H]2CCCN3C(=O)CC(C)(C)O)nc1=O ZINC001049441846 734732071 /nfs/dbraw/zinc/73/20/71/734732071.db2.gz LFSQUJWQMKKHBL-NWDGAFQWSA-N -1 1 337.424 -0.165 20 0 EBADMM O=C(CCc1c[nH]nn1)N1CCC[C@H]2[C@@H]1CCN2Cc1n[nH]c(=O)[n-]1 ZINC001049741943 734785065 /nfs/dbraw/zinc/78/50/65/734785065.db2.gz RMQQJINCKSMBHM-RYUDHWBXSA-N -1 1 346.395 -0.174 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@H]2CCCN3C(=O)c2ccnnc2)nc1=O ZINC001049746895 734785213 /nfs/dbraw/zinc/78/52/13/734785213.db2.gz HFYVXSQMKLDBHP-CHWSQXEVSA-N -1 1 343.391 -0.223 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@@H]2CCCN3C(=O)c2ncccn2)nc1=O ZINC001049799629 734791582 /nfs/dbraw/zinc/79/15/82/734791582.db2.gz XVIWGTRCQVARTB-RYUDHWBXSA-N -1 1 343.391 -0.223 20 0 EBADMM Cn1ccc(C(=O)N2CCC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)n1 ZINC001050203176 734868013 /nfs/dbraw/zinc/86/80/13/734868013.db2.gz WSINBTYJNYCVNY-WCQYABFASA-N -1 1 345.407 -0.422 20 0 EBADMM C[C@H](NC(=O)CNC(N)=O)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050529589 734919487 /nfs/dbraw/zinc/91/94/87/734919487.db2.gz IUDNZZHOINVFPW-VHSXEESVSA-N -1 1 335.364 -0.578 20 0 EBADMM C[C@H](NC(=O)CCNC(N)=O)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050529023 734919529 /nfs/dbraw/zinc/91/95/29/734919529.db2.gz GOBHVKRIHHHGLZ-QWRGUYRKSA-N -1 1 349.391 -0.188 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)CCNC(N)=O)C1 ZINC001050706362 734948775 /nfs/dbraw/zinc/94/87/75/734948775.db2.gz YHUWIYOJYJPLDT-WDEREUQCSA-N -1 1 349.391 -0.188 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2COCCN2Cc2nc(=O)n(C)[n-]2)c(C)o1 ZINC001050812810 734965753 /nfs/dbraw/zinc/96/57/53/734965753.db2.gz MHSQDNPOVJPURQ-GFCCVEGCSA-N -1 1 349.391 -0.051 20 0 EBADMM Cc1ccoc1C(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001050819998 734966576 /nfs/dbraw/zinc/96/65/76/734966576.db2.gz IEUFGVFLVZAGLS-LLVKDONJSA-N -1 1 335.364 -0.359 20 0 EBADMM Cc1cc(C)c(C(=O)NC[C@H]2COCCN2Cc2nc(=O)n(C)[n-]2)o1 ZINC001050856610 734979744 /nfs/dbraw/zinc/97/97/44/734979744.db2.gz JTZNLIOMSWXNOZ-LBPRGKRZSA-N -1 1 349.391 -0.051 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)C2CC(F)(F)C2)nc1=O ZINC001050857305 734980239 /nfs/dbraw/zinc/98/02/39/734980239.db2.gz VYCJZZJWJHDFOX-SNVBAGLBSA-N -1 1 345.350 -0.529 20 0 EBADMM CN(C(=O)CN1CCOC[C@@H]1CNC(=O)c1ncccc1[O-])C1CC1 ZINC001050887988 734989722 /nfs/dbraw/zinc/98/97/22/734989722.db2.gz OPPLRJXHXFONHG-ZDUSSCGKSA-N -1 1 348.403 -0.161 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)[C@@H]2CC2(F)F)nc1=O ZINC001050910711 734998497 /nfs/dbraw/zinc/99/84/97/734998497.db2.gz LITKHOHQGMYYIN-BDAKNGLRSA-N -1 1 331.323 -0.919 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)[C@H]2C[C@H]3C[C@H]3C2)nc1=O ZINC001051082141 735060384 /nfs/dbraw/zinc/06/03/84/735060384.db2.gz UHYQOLSWABSRFF-MROQNXINSA-N -1 1 335.408 -0.529 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)c2nccs2)nc1=O ZINC001051181877 735090709 /nfs/dbraw/zinc/09/07/09/735090709.db2.gz ZJUCZQAEOIFWMC-VIFPVBQESA-N -1 1 338.393 -0.804 20 0 EBADMM O=C(CC1CCOCC1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051498412 735199376 /nfs/dbraw/zinc/19/93/76/735199376.db2.gz UURGZEKIBYTFRI-GFCCVEGCSA-N -1 1 339.396 -0.356 20 0 EBADMM Cc1nc(C)c(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)o1 ZINC001051510658 735216588 /nfs/dbraw/zinc/21/65/88/735216588.db2.gz SCKDNJBBEPIRFU-JTQLQIEISA-N -1 1 336.352 -0.254 20 0 EBADMM Cc1cccnc1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051531937 735222743 /nfs/dbraw/zinc/22/27/43/735222743.db2.gz CZBYIDLLQWQFIP-LLVKDONJSA-N -1 1 332.364 -0.155 20 0 EBADMM Cn1cc(CNC[C@@H]2CN(C(=O)c3ncccc3[O-])CCO2)nn1 ZINC001051566675 735238434 /nfs/dbraw/zinc/23/84/34/735238434.db2.gz PMTAFJROORLMRU-GFCCVEGCSA-N -1 1 332.364 -0.454 20 0 EBADMM Cn1ncnc1CNC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001051567375 735238766 /nfs/dbraw/zinc/23/87/66/735238766.db2.gz XMRKJODDBLACEJ-NSHDSACASA-N -1 1 332.364 -0.454 20 0 EBADMM CCC(=O)NC[C@H]1CCCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001062282388 738891469 /nfs/dbraw/zinc/89/14/69/738891469.db2.gz XDXBWPTYEIUTLI-SNVBAGLBSA-N -1 1 332.364 -0.062 20 0 EBADMM CNC(=O)CC(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001052421454 735611176 /nfs/dbraw/zinc/61/11/76/735611176.db2.gz KYQUQJWYLTUJCT-MNOVXSKESA-N -1 1 334.376 -0.252 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)Cn1cnnn1 ZINC001052423360 735611574 /nfs/dbraw/zinc/61/15/74/735611574.db2.gz WCIHGVDDXVFGNO-GHMZBOCLSA-N -1 1 345.363 -0.702 20 0 EBADMM O=C(c1ncccc1[O-])N1CCOC2(CN([C@H]3CCNC3=O)C2)C1 ZINC001053232523 735786351 /nfs/dbraw/zinc/78/63/51/735786351.db2.gz QGAHXASMXGYWTN-NSHDSACASA-N -1 1 332.360 -0.798 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)c2ccco2)CO3)nc1=O ZINC001053723887 735979319 /nfs/dbraw/zinc/97/93/19/735979319.db2.gz VLEAYQZXCCHUOH-SNVBAGLBSA-N -1 1 333.348 -0.525 20 0 EBADMM Cc1ocnc1C(=O)N[C@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053753312 735995051 /nfs/dbraw/zinc/99/50/51/735995051.db2.gz PASLGAYKDHYGCY-SNVBAGLBSA-N -1 1 348.363 -0.822 20 0 EBADMM C[C@@H](C(=O)N[C@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1)C1CC1 ZINC001053767192 736004431 /nfs/dbraw/zinc/00/44/31/736004431.db2.gz DEQYDYVKXCRGBX-ZYHUDNBSSA-N -1 1 335.408 -0.386 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)[C@H]2[C@@H]4CCC[C@@H]42)CO3)nc1=O ZINC001053770354 736005698 /nfs/dbraw/zinc/00/56/98/736005698.db2.gz OTOIWWDKGIMVAH-NMKXLXIOSA-N -1 1 347.419 -0.386 20 0 EBADMM Cc1cc(CC(=O)N[C@H]2COC3(CN(Cc4n[nH]c(=O)[n-]4)C3)C2)[nH]n1 ZINC001053772363 736006243 /nfs/dbraw/zinc/00/62/43/736006243.db2.gz MFHWSWNRROKKOU-LLVKDONJSA-N -1 1 347.379 -0.756 20 0 EBADMM Cn1ccc(C(=O)N[C@@H]2COC3(CN(Cc4nc(=O)n(C)[n-]4)C3)C2)c1 ZINC001053775955 736008729 /nfs/dbraw/zinc/00/87/29/736008729.db2.gz LGHPQDFRZSPTIB-LBPRGKRZSA-N -1 1 346.391 -0.780 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)[C@H]2CC2(C)C)CO3)nc1=O ZINC001053779362 736010631 /nfs/dbraw/zinc/01/06/31/736010631.db2.gz QOTIZTGFKWJYQH-GHMZBOCLSA-N -1 1 335.408 -0.386 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)[C@@H]2CC2(F)F)CO3)nc1=O ZINC001053797046 736026161 /nfs/dbraw/zinc/02/61/61/736026161.db2.gz SOBAAABIFOVMCT-IUCAKERBSA-N -1 1 343.334 -0.777 20 0 EBADMM CC(C)CCN1CC2(C1)C[C@@H](NC(=O)c1n[nH]c(=O)[n-]c1=O)CO2 ZINC001053858734 736061159 /nfs/dbraw/zinc/06/11/59/736061159.db2.gz PSIQKJPEKZIOJU-SNVBAGLBSA-N -1 1 337.380 -0.098 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)C2(C)CCC2)CO3)nc1=O ZINC001053895968 736082756 /nfs/dbraw/zinc/08/27/56/736082756.db2.gz QKODWQMAKOAYNT-LLVKDONJSA-N -1 1 335.408 -0.242 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)[C@H]2CC24CCC4)CO3)nc1=O ZINC001053942727 736106772 /nfs/dbraw/zinc/10/67/72/736106772.db2.gz UAXQZDFOKFRAJP-NWDGAFQWSA-N -1 1 347.419 -0.242 20 0 EBADMM O=C(NCc1ccc2c(c1)CN(CCCO)C2)c1n[nH]c(=O)[n-]c1=O ZINC001054273139 736185819 /nfs/dbraw/zinc/18/58/19/736185819.db2.gz WTJGXAIDCPIAOG-UHFFFAOYSA-N -1 1 345.359 -0.089 20 0 EBADMM C[C@@H]1CN(C(=O)c2cc3n(n2)CCO3)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054737489 736237362 /nfs/dbraw/zinc/23/73/62/736237362.db2.gz NANOPYPPQWHIHX-SCZZXKLOSA-N -1 1 333.352 -0.651 20 0 EBADMM C[C@H]1CN(C(=O)Cn2cc(C3CC3)nn2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054742386 736237726 /nfs/dbraw/zinc/23/77/26/736237726.db2.gz ZPIUAMXBSDPTNO-GXSJLCMTSA-N -1 1 346.395 -0.384 20 0 EBADMM Cc1c(CC(=O)N2C[C@@H](C)[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cnn1C ZINC001054747563 736238759 /nfs/dbraw/zinc/23/87/59/736238759.db2.gz PWOJCDISTSKPBZ-SKDRFNHKSA-N -1 1 333.396 -0.269 20 0 EBADMM C[C@H]1CN(C(=O)c2cnc3n[nH]nc3c2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054755897 736241090 /nfs/dbraw/zinc/24/10/90/736241090.db2.gz XWRHEQBLJJPZFP-XVKPBYJWSA-N -1 1 343.351 -0.573 20 0 EBADMM Cc1nocc1CN[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@H]1C ZINC001054860849 736257380 /nfs/dbraw/zinc/25/73/80/736257380.db2.gz RVYAZPQRQQKIFF-XVKPBYJWSA-N -1 1 334.336 -0.170 20 0 EBADMM Cc1ncoc1CN[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1C ZINC001054860696 736257611 /nfs/dbraw/zinc/25/76/11/736257611.db2.gz RARPSBIESQNADO-VXNVDRBHSA-N -1 1 334.336 -0.170 20 0 EBADMM C[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1NCc1nccs1 ZINC001054862334 736257777 /nfs/dbraw/zinc/25/77/77/736257777.db2.gz CGQGQFVMFUNTLA-JGVFFNPUSA-N -1 1 336.377 -0.010 20 0 EBADMM COc1cnc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H](C)C2)nc1 ZINC001054891186 736263614 /nfs/dbraw/zinc/26/36/14/736263614.db2.gz NPSPTLWOOSPEKJ-WPRPVWTQSA-N -1 1 333.352 -0.441 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccc3nnnn3c2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054894957 736265047 /nfs/dbraw/zinc/26/50/47/736265047.db2.gz BODUCTPBKANYCH-PSASIEDQSA-N -1 1 343.351 -0.802 20 0 EBADMM COc1c[nH]c(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H](C)C2)cc1=O ZINC001054909980 736266642 /nfs/dbraw/zinc/26/66/42/736266642.db2.gz WEMHTFSRRWFIHV-WPRPVWTQSA-N -1 1 348.363 -0.543 20 0 EBADMM C[C@@H]1CN(C(=O)c2coc(C(N)=O)c2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054930499 736270741 /nfs/dbraw/zinc/27/07/41/736270741.db2.gz OLOCMQPPZKSZNI-APPZFPTMSA-N -1 1 334.336 -0.548 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnn3cccnc23)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054961764 736273520 /nfs/dbraw/zinc/27/35/20/736273520.db2.gz KFBYPRVCLGTJBP-MWLCHTKSSA-N -1 1 342.363 -0.197 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnc([O-])n(C)c2=O)C[C@H]1NCc1nccn1C ZINC001054966075 736274240 /nfs/dbraw/zinc/27/42/40/736274240.db2.gz GNUSFSWCYHYBLJ-ZYHUDNBSSA-N -1 1 346.391 -0.530 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccoc2CC(N)=O)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001055016163 736281487 /nfs/dbraw/zinc/28/14/87/736281487.db2.gz WKGDBSFZEOOKIW-SCZZXKLOSA-N -1 1 348.363 -0.619 20 0 EBADMM Cc1nccc(N[C@@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)n1 ZINC001056665995 736765025 /nfs/dbraw/zinc/76/50/25/736765025.db2.gz ZMZAZQAZUGQFEU-GFCCVEGCSA-N -1 1 344.375 -0.262 20 0 EBADMM CN(c1ncccn1)[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001056938129 736885750 /nfs/dbraw/zinc/88/57/50/736885750.db2.gz AXKKWJKOFAYNNH-GFCCVEGCSA-N -1 1 344.375 -0.546 20 0 EBADMM Cc1cc(C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])nn1C ZINC001057107651 736995950 /nfs/dbraw/zinc/99/59/50/736995950.db2.gz RMGNSWQEHOKFOP-UHFFFAOYSA-N -1 1 347.375 -0.306 20 0 EBADMM C[C@H](C(=O)NCCN(CCO)C(=O)c1ncccc1[O-])n1cncn1 ZINC001057410381 737230465 /nfs/dbraw/zinc/23/04/65/737230465.db2.gz OWKMHPNZGQTLRV-LLVKDONJSA-N -1 1 348.363 -0.809 20 0 EBADMM Cn1ccc(C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])c1 ZINC001057410815 737231378 /nfs/dbraw/zinc/23/13/78/737231378.db2.gz XISBRKPFHGEBQB-UHFFFAOYSA-N -1 1 332.360 -0.010 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])CO1 ZINC001057413466 737233874 /nfs/dbraw/zinc/23/38/74/737233874.db2.gz XORWSMIXHTXSPF-VXGBXAGGSA-N -1 1 337.376 -0.237 20 0 EBADMM C[C@@H]1CCO[C@@H]1C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057413525 737234001 /nfs/dbraw/zinc/23/40/01/737234001.db2.gz ZBWINJOBYIXPPB-RISCZKNCSA-N -1 1 337.376 -0.237 20 0 EBADMM COC(=O)NCC(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062632029 738953734 /nfs/dbraw/zinc/95/37/34/738953734.db2.gz IJMXOVACMJLNBJ-UHFFFAOYSA-N -1 1 336.348 -0.088 20 0 EBADMM C[C@H]1CN(C(=O)C(F)F)C[C@@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001071425159 741135224 /nfs/dbraw/zinc/13/52/24/741135224.db2.gz DPPYNDSAECMDMP-IUCAKERBSA-N -1 1 344.318 -0.845 20 0 EBADMM COC1CC(C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])C1 ZINC001057889868 737510476 /nfs/dbraw/zinc/51/04/76/737510476.db2.gz MDUFWTUIFVURNI-UHFFFAOYSA-N -1 1 337.376 -0.237 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1cnc[nH]c1=O)c1ncccc1[O-] ZINC001057925841 737535953 /nfs/dbraw/zinc/53/59/53/737535953.db2.gz UKTZRUBHQAZZGJ-UHFFFAOYSA-N -1 1 347.331 -0.853 20 0 EBADMM C[C@@H]1CC[C@H](C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])O1 ZINC001058913989 738071339 /nfs/dbraw/zinc/07/13/39/738071339.db2.gz OXEQUISAZFJRIL-DGCLKSJQSA-N -1 1 337.376 -0.095 20 0 EBADMM O=C(N[C@H]1C[C@@H](Nc2nccnc2F)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001059680557 738226343 /nfs/dbraw/zinc/22/63/43/738226343.db2.gz HBEBWKVOEBWWQM-OCAPTIKFSA-N -1 1 344.310 -0.280 20 0 EBADMM CCC(=O)NCC[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001059969318 738285488 /nfs/dbraw/zinc/28/54/88/738285488.db2.gz FXSUELPJQPGQLV-JTQLQIEISA-N -1 1 332.364 -0.204 20 0 EBADMM O=C(NC1(CNC(=O)C2CCC2)CCC1)c1cc(=O)n2[n-]cnc2n1 ZINC001062381150 738909689 /nfs/dbraw/zinc/90/96/89/738909689.db2.gz RZRZLKAPABZWAO-UHFFFAOYSA-N -1 1 344.375 -0.014 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@@H]2C[C@H]1CN2c1ncccn1 ZINC001062510057 738933356 /nfs/dbraw/zinc/93/33/56/738933356.db2.gz FYZYOPVFHYWESV-IUCAKERBSA-N -1 1 344.331 -0.210 20 0 EBADMM Cc1nc(C(=O)NC2(CNC(=O)Cn3c(=O)[n-][nH]c3=O)CCC2)c[nH]1 ZINC001063865141 739202491 /nfs/dbraw/zinc/20/24/91/739202491.db2.gz ASCSNTLDAQEHOV-UHFFFAOYSA-N -1 1 349.351 -0.810 20 0 EBADMM O=C(Cn1cccn1)NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001065072342 739509857 /nfs/dbraw/zinc/50/98/57/739509857.db2.gz AJIKVWHYZGOCSY-GFCCVEGCSA-N -1 1 345.359 -0.359 20 0 EBADMM O=C(Cc1nc[nH]n1)NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001065074121 739511083 /nfs/dbraw/zinc/51/10/83/739511083.db2.gz SZXSRKYDHGKBJO-JTQLQIEISA-N -1 1 346.347 -0.895 20 0 EBADMM O=C(Cc1nnc[nH]1)NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001065074121 739511090 /nfs/dbraw/zinc/51/10/90/739511090.db2.gz SZXSRKYDHGKBJO-JTQLQIEISA-N -1 1 346.347 -0.895 20 0 EBADMM NC(=O)C1(C(=O)NC[C@H]2CN(C(=O)c3ncccc3[O-])CCO2)CC1 ZINC001065074606 739511727 /nfs/dbraw/zinc/51/17/27/739511727.db2.gz XAMKBOYJEZAHLT-JTQLQIEISA-N -1 1 348.359 -0.990 20 0 EBADMM CN(CCCN(C)C(=O)[C@@H]1CN(C)C(=O)N1)C(=O)c1ncccc1[O-] ZINC001067254596 740113706 /nfs/dbraw/zinc/11/37/06/740113706.db2.gz FEFLYALQOZUUES-NSHDSACASA-N -1 1 349.391 -0.269 20 0 EBADMM COCCC(=O)N1CC2(C1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC001068385624 740301766 /nfs/dbraw/zinc/30/17/66/740301766.db2.gz VDMUTFZQKRZTIB-UHFFFAOYSA-N -1 1 335.360 -0.123 20 0 EBADMM CNC(=O)CC(=O)N1CC2(C1)C[C@@H](NC(=O)c1ncccc1[O-])CO2 ZINC001068825569 740456071 /nfs/dbraw/zinc/45/60/71/740456071.db2.gz RHVGFZPAIFVTND-SNVBAGLBSA-N -1 1 348.359 -0.977 20 0 EBADMM Cn1[n-]c(CN[C@@H]2CN(C(=O)C3CCC3)C[C@@H]2n2ccnn2)nc1=O ZINC001070118168 740707444 /nfs/dbraw/zinc/70/74/44/740707444.db2.gz OYVUORYIZBPEEF-NEPJUHHUSA-N -1 1 346.395 -0.958 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](c3n[nH]cc3CNC(=O)C3CC3)C2)nc1=O ZINC001070388944 740803036 /nfs/dbraw/zinc/80/30/36/740803036.db2.gz VFEHECZOYJFKFV-LLVKDONJSA-N -1 1 345.407 -0.153 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1C[C@@H](c2cn(C)cn2)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001070522499 740855241 /nfs/dbraw/zinc/85/52/41/740855241.db2.gz DUXOMJRMYWJRFS-HNCHTBHHSA-N -1 1 345.407 -0.016 20 0 EBADMM O=C(NCc1nc([C@@H]2COCCN2CCO)n[nH]1)c1ncccc1[O-] ZINC001070584609 740879210 /nfs/dbraw/zinc/87/92/10/740879210.db2.gz BQJDPPIDDBKHHQ-JTQLQIEISA-N -1 1 348.363 -0.799 20 0 EBADMM O=C(NCc1n[nH]c([C@@H]2COCCN2CCO)n1)c1ncccc1[O-] ZINC001070584609 740879212 /nfs/dbraw/zinc/87/92/12/740879212.db2.gz BQJDPPIDDBKHHQ-JTQLQIEISA-N -1 1 348.363 -0.799 20 0 EBADMM Cn1cncc1[C@@H]1CN(C(=O)C(F)F)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001070609462 740895761 /nfs/dbraw/zinc/89/57/61/740895761.db2.gz NDEIVHZRYALOLE-HTQZYQBOSA-N -1 1 341.322 -0.407 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2cnn(C)c2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071337520 741123161 /nfs/dbraw/zinc/12/31/61/741123161.db2.gz FYHLRZLAQPXJCH-CMPLNLGQSA-N -1 1 333.396 -0.375 20 0 EBADMM COCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001071435900 741135964 /nfs/dbraw/zinc/13/59/64/741135964.db2.gz DGIOWINTNOXZFT-KOLCDFICSA-N -1 1 348.363 -0.969 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)Cc2ccnn2C)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071466523 741141901 /nfs/dbraw/zinc/14/19/01/741141901.db2.gz DFTWRQMTSMYAGF-NEPJUHHUSA-N -1 1 347.423 -0.446 20 0 EBADMM CCn1ncc(C(=O)N[C@@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001071490119 741145022 /nfs/dbraw/zinc/14/50/22/741145022.db2.gz HHEGHYLXKCNKLF-GHMZBOCLSA-N -1 1 348.411 -0.497 20 0 EBADMM Cc1ccc(C(=O)N[C@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001071505395 741148688 /nfs/dbraw/zinc/14/86/88/741148688.db2.gz VOVWWYWLLMISDC-NEPJUHHUSA-N -1 1 345.407 -0.010 20 0 EBADMM Cc1nnccc1C(=O)N[C@@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071651386 741182184 /nfs/dbraw/zinc/18/21/84/741182184.db2.gz HWSDZMYUSPTTFM-ZYHUDNBSSA-N -1 1 345.407 -0.010 20 0 EBADMM CC(=O)N(C)CC(=O)N1C[C@@H](C)[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001071657561 741184058 /nfs/dbraw/zinc/18/40/58/741184058.db2.gz GDLAESJPNKPWNY-PWSUYJOCSA-N -1 1 334.376 -0.158 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071748461 741211112 /nfs/dbraw/zinc/21/11/12/741211112.db2.gz OJWNTTVBAUVLRA-SVPLCASGSA-N -1 1 335.408 -0.530 20 0 EBADMM CCC(=O)NCC(=O)N1C[C@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001071815661 741222588 /nfs/dbraw/zinc/22/25/88/741222588.db2.gz PYILDAAXXYNDKY-QWRGUYRKSA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@@H]1CN(C(=O)C2(NC(N)=O)CC2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001071925722 741248425 /nfs/dbraw/zinc/24/84/25/741248425.db2.gz NMGVCLFEKDMHOP-ZJUUUORDSA-N -1 1 347.375 -0.435 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)C1(NC(N)=O)CC1 ZINC001071952704 741263832 /nfs/dbraw/zinc/26/38/32/741263832.db2.gz PZHSWZBNVZCECS-UWVGGRQHSA-N -1 1 347.375 -0.435 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C1(NC(N)=O)CC1 ZINC001071952703 741263991 /nfs/dbraw/zinc/26/39/91/741263991.db2.gz PZHSWZBNVZCECS-NXEZZACHSA-N -1 1 347.375 -0.435 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)CNC(=O)C1CC1 ZINC001071953271 741264175 /nfs/dbraw/zinc/26/41/75/741264175.db2.gz XHDBYQOSBKIYIL-CMPLNLGQSA-N -1 1 346.387 -0.110 20 0 EBADMM CNC(=O)NCCC(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001072022239 741285930 /nfs/dbraw/zinc/28/59/30/741285930.db2.gz XSELPUATKYTQAY-GHMZBOCLSA-N -1 1 349.391 -0.317 20 0 EBADMM Cc1nccc(C(=O)N[C@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001072077997 741301197 /nfs/dbraw/zinc/30/11/97/741301197.db2.gz DQRFWFRXOICUNU-PWSUYJOCSA-N -1 1 345.407 -0.010 20 0 EBADMM C[C@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@H]1NC(=O)CC(N)=O ZINC001072121323 741309319 /nfs/dbraw/zinc/30/93/19/741309319.db2.gz GREFDLZMMFFQIY-GZMMTYOYSA-N -1 1 347.375 -0.488 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@@H]1NC(=O)CC(N)=O ZINC001072121324 741309418 /nfs/dbraw/zinc/30/94/18/741309418.db2.gz GREFDLZMMFFQIY-KCJUWKMLSA-N -1 1 347.375 -0.488 20 0 EBADMM CC(C)=CC(=O)N[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1C ZINC001072393737 741388610 /nfs/dbraw/zinc/38/86/10/741388610.db2.gz RTFYANNWUAMLHT-CHWSQXEVSA-N -1 1 348.403 -0.144 20 0 EBADMM COCC(=O)N[C@H]1CN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C[C@H]1C ZINC001072426408 741395426 /nfs/dbraw/zinc/39/54/26/741395426.db2.gz HQJDQYTXPIIPLI-SFYZADRCSA-N -1 1 340.336 -0.881 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC3(C2)CCN([C@@H]2CCC(=O)NC2=O)C3)c1[O-] ZINC001072625556 741446199 /nfs/dbraw/zinc/44/61/99/741446199.db2.gz PSJQTKPTMJMBAM-SNVBAGLBSA-N -1 1 347.375 -0.623 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)CC4(O)CCC4)C3)C2)nc1=O ZINC001072716188 741465227 /nfs/dbraw/zinc/46/52/27/741465227.db2.gz IHNOMHODHRWZQS-UHFFFAOYSA-N -1 1 335.408 -0.552 20 0 EBADMM Cn1cncc1CN1CCC2(CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)C1 ZINC001072898161 741515308 /nfs/dbraw/zinc/51/53/08/741515308.db2.gz LWXPHNXWLGBAPT-UHFFFAOYSA-N -1 1 345.363 -0.636 20 0 EBADMM Cc1c[nH]c(C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)n1 ZINC001073046236 741548171 /nfs/dbraw/zinc/54/81/71/741548171.db2.gz VCPNNZMSZAAOGY-UHFFFAOYSA-N -1 1 331.380 -0.512 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)Cc4ccco4)C3)C2)nc1=O ZINC001073070321 741553267 /nfs/dbraw/zinc/55/32/67/741553267.db2.gz YZVCTQLPFZVVLN-UHFFFAOYSA-N -1 1 331.376 -0.022 20 0 EBADMM CNC(=O)NCC(=O)N1CCC(C)(NC(=O)c2ncccc2[O-])CC1 ZINC001073088639 741557827 /nfs/dbraw/zinc/55/78/27/741557827.db2.gz RBXHLXHUZDIBOA-UHFFFAOYSA-N -1 1 349.391 -0.173 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@H](CNC(=O)[C@H]3[C@@H]4CCC[C@@H]43)C2)nc1=O ZINC001073564168 741655914 /nfs/dbraw/zinc/65/59/14/741655914.db2.gz SAPOAKGLZIDTDE-CXTNEJHOSA-N -1 1 349.435 -0.138 20 0 EBADMM CC1CC(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CCCO2)C1 ZINC001073572045 741660939 /nfs/dbraw/zinc/66/09/39/741660939.db2.gz PYRRKXKQOZTJHN-WXRRBKDZSA-N -1 1 337.424 -0.138 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@@H](CNC(=O)[C@H]3CC3(F)F)C2)nc1=O ZINC001073597715 741678660 /nfs/dbraw/zinc/67/86/60/741678660.db2.gz GLMYGWADAITBLT-VHSXEESVSA-N -1 1 345.350 -0.529 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@@H](CNC(=O)c3cc[nH]c3)C2)nc1=O ZINC001073616498 741684811 /nfs/dbraw/zinc/68/48/11/741684811.db2.gz XRNPWRLRPAUJIB-LBPRGKRZSA-N -1 1 334.380 -0.543 20 0 EBADMM C[C@H]1CN(C(=O)CNC(N)=O)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC001073900775 741782085 /nfs/dbraw/zinc/78/20/85/741782085.db2.gz NXCHQJJSIBFZDF-UWVGGRQHSA-N -1 1 335.364 -0.578 20 0 EBADMM CNC(=O)NCC(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001073901520 741782631 /nfs/dbraw/zinc/78/26/31/741782631.db2.gz YAJHLZFBPPNOCQ-QWRGUYRKSA-N -1 1 349.391 -0.317 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCCN1C(=O)c1cnn(C)c1 ZINC001073942178 741791998 /nfs/dbraw/zinc/79/19/98/741791998.db2.gz ICCIFJXVPMRKPH-CYBMUJFWSA-N -1 1 347.423 -0.031 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCCN1C(=O)c1ncc[nH]1 ZINC001074012895 741807469 /nfs/dbraw/zinc/80/74/69/741807469.db2.gz SJXLSRVAHDMAIL-LLVKDONJSA-N -1 1 333.396 -0.042 20 0 EBADMM C[C@H]1CN(C(=O)C2CC2)CC[C@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001074064053 741824627 /nfs/dbraw/zinc/82/46/27/741824627.db2.gz UOPHSZJKOVOYRN-WCQYABFASA-N -1 1 348.403 -0.310 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H]3CCN(C(=O)CC4CC4)C[C@H]32)nc1=O ZINC001074136829 741838297 /nfs/dbraw/zinc/83/82/97/741838297.db2.gz JISJYLVXFIHSQY-CHWSQXEVSA-N -1 1 335.408 -0.290 20 0 EBADMM CSCC(=O)N1CC[C@@H]2OCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C1 ZINC001074163426 741846199 /nfs/dbraw/zinc/84/61/99/741846199.db2.gz QISSJFIVZXTQNO-MNOVXSKESA-N -1 1 341.437 -0.727 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H]3CCN(C(=O)c4cnco4)C[C@@H]32)nc1=O ZINC001074304056 741895655 /nfs/dbraw/zinc/89/56/55/741895655.db2.gz DIXOHPUFBYWNPX-WDEREUQCSA-N -1 1 348.363 -0.788 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CC[C@H]2OCCN(Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001074310650 741898120 /nfs/dbraw/zinc/89/81/20/741898120.db2.gz YPOZSZCNEMVSIC-NXEZZACHSA-N -1 1 348.367 -0.948 20 0 EBADMM CCOCC(=O)N1CCC(O)(CNC(=O)c2ncccc2[O-])CC1 ZINC001074442682 741944595 /nfs/dbraw/zinc/94/45/95/741944595.db2.gz FLEBGTXHPZTDMS-UHFFFAOYSA-N -1 1 337.376 -0.093 20 0 EBADMM CCOCC(=O)NCC1(O)CCN(C(=O)c2ncccc2[O-])CC1 ZINC001074558602 741996362 /nfs/dbraw/zinc/99/63/62/741996362.db2.gz HBGFAHRROPREFM-UHFFFAOYSA-N -1 1 337.376 -0.093 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@H]1CCCCC(=O)N1 ZINC001074871183 742124804 /nfs/dbraw/zinc/12/48/04/742124804.db2.gz RZGDQQWFBUACHG-GARJFASQSA-N -1 1 336.396 -0.352 20 0 EBADMM CCC(=O)N1CC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H]1C ZINC001075067807 742172851 /nfs/dbraw/zinc/17/28/51/742172851.db2.gz VJSYNWWVQVIRMD-RYUDHWBXSA-N -1 1 336.392 -0.168 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)[C@H]4CCCOC4)[C@@H]3C2)nc1=O ZINC001075611218 742260756 /nfs/dbraw/zinc/26/07/56/742260756.db2.gz OIXCKRRRNZKGFO-RWMBFGLXSA-N -1 1 335.408 -0.432 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)c1C ZINC001075629132 742263233 /nfs/dbraw/zinc/26/32/33/742263233.db2.gz APVAGPAEPBRVDA-NWDGAFQWSA-N -1 1 345.407 -0.205 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)CC[C@H]4CCOC4)[C@@H]3C2)nc1=O ZINC001075670087 742269236 /nfs/dbraw/zinc/26/92/36/742269236.db2.gz PFIFGNLMOGJNHW-MELADBBJSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)Cc4cccnc4)[C@@H]3C2)nc1=O ZINC001075691223 742273077 /nfs/dbraw/zinc/27/30/77/742273077.db2.gz XCIDZNZIKHZGGP-UONOGXRCSA-N -1 1 342.403 -0.221 20 0 EBADMM Cc1nnc(CC(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)o1 ZINC001075763393 742293347 /nfs/dbraw/zinc/29/33/47/742293347.db2.gz ZKFYQSKTXZJICB-WDEREUQCSA-N -1 1 347.379 -0.925 20 0 EBADMM Cn1ccc(CC(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)n1 ZINC001075782625 742297718 /nfs/dbraw/zinc/29/77/18/742297718.db2.gz JXSLKOUSKHRQJC-WCQYABFASA-N -1 1 345.407 -0.883 20 0 EBADMM C[C@@H](CCNC(=O)[C@H]1CCCN1C)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001075940961 742334986 /nfs/dbraw/zinc/33/49/86/742334986.db2.gz IDYLZQAWVPMWRM-DTWKUNHWSA-N -1 1 338.368 -0.998 20 0 EBADMM C[C@@H](CCNC(=O)C1CC=CC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001076008429 742357971 /nfs/dbraw/zinc/35/79/71/742357971.db2.gz QUOVMENWFWGGDQ-LBPRGKRZSA-N -1 1 348.403 -0.096 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CN(C)C(=O)N1 ZINC001076246388 742419568 /nfs/dbraw/zinc/41/95/68/742419568.db2.gz QKECNCRGPMUNGO-VHSXEESVSA-N -1 1 335.364 -0.565 20 0 EBADMM Cc1ccn(CC(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)n1 ZINC001076259597 742424459 /nfs/dbraw/zinc/42/44/59/742424459.db2.gz WHPANYAXZZOIBP-QWHCGFSZSA-N -1 1 345.407 -0.654 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)c3ccccc3F)C2)nc1=O ZINC001076686677 742534020 /nfs/dbraw/zinc/53/40/20/742534020.db2.gz KRLCKCRRHGVBNM-VXGBXAGGSA-N -1 1 335.339 -0.777 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)C(F)=C3CCCC3)C2)nc1=O ZINC001076877772 742610781 /nfs/dbraw/zinc/61/07/81/742610781.db2.gz INNCRRTZUNDVNN-GHMZBOCLSA-N -1 1 339.371 -0.433 20 0 EBADMM CCn1ccnc1CN1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001076916439 742641917 /nfs/dbraw/zinc/64/19/17/742641917.db2.gz KOFYQZVVLLCARU-DGCLKSJQSA-N -1 1 331.376 -0.021 20 0 EBADMM Cc1nc(C)n(CCN2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001076916924 742641937 /nfs/dbraw/zinc/64/19/37/742641937.db2.gz IZFXILNMPAZMOT-TZMCWYRMSA-N -1 1 346.391 -0.529 20 0 EBADMM Cc1cnc(CN2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)nc1 ZINC001076916770 742642174 /nfs/dbraw/zinc/64/21/74/742642174.db2.gz AWLGSOKGXUCJJL-DGCLKSJQSA-N -1 1 329.360 -0.139 20 0 EBADMM C[C@@H](c1nncn1C)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001076916855 742642211 /nfs/dbraw/zinc/64/22/11/742642211.db2.gz FYKOZGGGSRCWEM-HOSYDEDBSA-N -1 1 332.364 -0.548 20 0 EBADMM O=C(N[C@@H]1CN(Cc2cc3n(n2)CCC3)C[C@H]1O)c1ncccc1[O-] ZINC001076917182 742642726 /nfs/dbraw/zinc/64/27/26/742642726.db2.gz VGGYKANFLXZITN-UKRRQHHQSA-N -1 1 343.387 -0.095 20 0 EBADMM C[C@@H](CCNC(=O)[C@@H]1CCCN1C(N)=O)NC(=O)c1ncccc1[O-] ZINC001077195323 742782432 /nfs/dbraw/zinc/78/24/32/742782432.db2.gz SJBNFHRTTJAJPI-QWRGUYRKSA-N -1 1 349.391 -0.045 20 0 EBADMM O=C(N[C@@H]1CN(CC2=CCCCC2)C[C@H]1O)c1n[nH]c(=O)[n-]c1=O ZINC001077310115 742874909 /nfs/dbraw/zinc/87/49/09/742874909.db2.gz XFCUMRKCSGHNMP-GHMZBOCLSA-N -1 1 335.364 -0.442 20 0 EBADMM C[C@@H](CCNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)CCCF ZINC001077402174 742956050 /nfs/dbraw/zinc/95/60/50/742956050.db2.gz FKCVJKVXISXOHK-NSHDSACASA-N -1 1 342.371 -0.313 20 0 EBADMM CSCC(=O)N[C@@H](C)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001077402544 742956453 /nfs/dbraw/zinc/95/64/53/742956453.db2.gz SCZALDHJNIHSQR-JTQLQIEISA-N -1 1 342.421 -0.699 20 0 EBADMM Cc1cnc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)cc1C ZINC001077425680 742968778 /nfs/dbraw/zinc/96/87/78/742968778.db2.gz BIWJXOOXBYRSQY-CHWSQXEVSA-N -1 1 346.391 -0.905 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)[C@@H]3CC[C@@H]4C[C@@H]4CC3)C2)nc1=O ZINC001077430454 742972044 /nfs/dbraw/zinc/97/20/44/742972044.db2.gz MOXUFCNRUGFUKL-RKQHYHRCSA-N -1 1 349.435 -0.404 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)[C@H]3CC[C@@H]4C[C@@H]4CC3)C2)nc1=O ZINC001077430455 742972423 /nfs/dbraw/zinc/97/24/23/742972423.db2.gz MOXUFCNRUGFUKL-XVIXHAIJSA-N -1 1 349.435 -0.404 20 0 EBADMM C[C@@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)c1ccoc1 ZINC001077487065 743011806 /nfs/dbraw/zinc/01/18/06/743011806.db2.gz DLINEWHIYLMBMO-VIFPVBQESA-N -1 1 344.331 -0.051 20 0 EBADMM C[C@@H](CCNC(=O)c1cnco1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001077562548 743077356 /nfs/dbraw/zinc/07/73/56/743077356.db2.gz MAWHLWTZNOQGBS-JTQLQIEISA-N -1 1 349.347 -0.761 20 0 EBADMM C[C@@H](CCNC(=O)[C@H]1C[C@@H]1C)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001077859921 743298778 /nfs/dbraw/zinc/29/87/78/743298778.db2.gz GRZCGLCBXJDZJY-GUBZILKMSA-N -1 1 332.364 -0.302 20 0 EBADMM CCCc1occc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001078000490 743407154 /nfs/dbraw/zinc/40/71/54/743407154.db2.gz APQNJYCDDKNCCH-VXGBXAGGSA-N -1 1 349.391 -0.371 20 0 EBADMM C[C@@H](CCNC(=O)c1[nH]ncc1F)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001078247687 743554125 /nfs/dbraw/zinc/55/41/25/743554125.db2.gz JGDCTMZDAZCCME-YFKPBYRVSA-N -1 1 339.287 -0.917 20 0 EBADMM O=C(c1ccc(=O)[nH]n1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078326070 743586290 /nfs/dbraw/zinc/58/62/90/743586290.db2.gz WQBPALXREWRICW-VIFPVBQESA-N -1 1 349.351 -0.973 20 0 EBADMM Cc1cc(C(=O)N2CCCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)n(C)n1 ZINC001078351684 743599199 /nfs/dbraw/zinc/59/91/99/743599199.db2.gz UGTCEPKCWBHMSE-NSHDSACASA-N -1 1 349.395 -0.427 20 0 EBADMM CC(C)(C)C(=O)C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078359810 743605393 /nfs/dbraw/zinc/60/53/93/743605393.db2.gz FJVNLCGGTUTQTP-SNVBAGLBSA-N -1 1 339.396 -0.167 20 0 EBADMM O=C(Cc1ccon1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078364592 743609877 /nfs/dbraw/zinc/60/98/77/743609877.db2.gz YPJDHBWIRBEISG-NSHDSACASA-N -1 1 336.352 -0.552 20 0 EBADMM O=C([C@@H]1CCCCO1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078381733 743616312 /nfs/dbraw/zinc/61/63/12/743616312.db2.gz VVZJMKPQBDGJRL-RYUDHWBXSA-N -1 1 339.396 -0.214 20 0 EBADMM Cn1ncc(CNC[C@@H]2CN(C(=O)c3ncccc3[O-])CCCO2)n1 ZINC001078384411 743618151 /nfs/dbraw/zinc/61/81/51/743618151.db2.gz VXZLBQTWDVZCPW-CYBMUJFWSA-N -1 1 346.391 -0.063 20 0 EBADMM Cn1nnnc1CNC[C@@H]1CN(C(=O)c2ncccc2[O-])CCCO1 ZINC001078384481 743618487 /nfs/dbraw/zinc/61/84/87/743618487.db2.gz XHIZAEKTEIZDJQ-LLVKDONJSA-N -1 1 347.379 -0.668 20 0 EBADMM O=C(c1cncnc1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078385510 743618982 /nfs/dbraw/zinc/61/89/82/743618982.db2.gz HUZITTQIXODMAZ-LLVKDONJSA-N -1 1 333.352 -0.679 20 0 EBADMM Cn1nccc1CC(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078389904 743623878 /nfs/dbraw/zinc/62/38/78/743623878.db2.gz YPRGNRYPLJVJKL-LBPRGKRZSA-N -1 1 349.395 -0.806 20 0 EBADMM Cc1ncn(C)c1C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078415426 743639143 /nfs/dbraw/zinc/63/91/43/743639143.db2.gz YXKZPNKUGMMKSH-NSHDSACASA-N -1 1 349.395 -0.427 20 0 EBADMM O=C(CCn1ccnc1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078438014 743647259 /nfs/dbraw/zinc/64/72/59/743647259.db2.gz UBXSYWNHLGZHSQ-LBPRGKRZSA-N -1 1 349.395 -0.496 20 0 EBADMM Cc1nocc1C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078458744 743660016 /nfs/dbraw/zinc/66/00/16/743660016.db2.gz PUBFRKHSETYKMF-SNVBAGLBSA-N -1 1 336.352 -0.172 20 0 EBADMM CO[C@H](C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001078461492 743661390 /nfs/dbraw/zinc/66/13/90/743661390.db2.gz DSBTVYLFBWVKKZ-YPMHNXCESA-N -1 1 341.412 -0.112 20 0 EBADMM O=C(N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)C1(CF)CC1 ZINC001078467341 743662828 /nfs/dbraw/zinc/66/28/28/743662828.db2.gz ZRNSKFIQXZYDGV-JTQLQIEISA-N -1 1 327.360 -0.033 20 0 EBADMM Cc1nc[nH]c1CC(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078502605 743681882 /nfs/dbraw/zinc/68/18/82/743681882.db2.gz KNLRBWOHPZFABI-NSHDSACASA-N -1 1 349.395 -0.508 20 0 EBADMM O=C(CN1CN=NC1=O)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078601820 743705716 /nfs/dbraw/zinc/70/57/16/743705716.db2.gz MNCDIMVEQKHUEI-BDAKNGLRSA-N -1 1 348.367 -0.975 20 0 EBADMM O=C(N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2)c1c[nH]c(=O)cn1 ZINC001078711337 743722606 /nfs/dbraw/zinc/72/26/06/743722606.db2.gz SMWLSZSSSZFHNR-NXEZZACHSA-N -1 1 345.363 -0.576 20 0 EBADMM CCn1cc(C(=O)N[C@@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)nn1 ZINC001078764453 743732016 /nfs/dbraw/zinc/73/20/16/743732016.db2.gz SVGDMSNSFAMLHK-GHMZBOCLSA-N -1 1 346.395 -0.048 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N[C@@H]1C[C@@H](NCc2ccon2)C12CCC2 ZINC001078793061 743735383 /nfs/dbraw/zinc/73/53/83/743735383.db2.gz UBBVIRORKNCVAU-GHMZBOCLSA-N -1 1 348.363 -0.106 20 0 EBADMM O=C(Cn1cncn1)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078802318 743736350 /nfs/dbraw/zinc/73/63/50/743736350.db2.gz AGOLBWTXHLLNMP-UWVGGRQHSA-N -1 1 332.368 -0.681 20 0 EBADMM O=C(NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)c1c[nH]c(=O)cn1 ZINC000980333183 805844277 /nfs/dbraw/zinc/84/42/77/805844277.db2.gz PUJHSFLLXDFVFP-MGCOHNPYSA-N -1 1 343.343 -0.191 20 0 EBADMM C[C@@H]1C[C@@H](CNCc2ccon2)CN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000980641478 805971867 /nfs/dbraw/zinc/97/18/67/805971867.db2.gz FTDRKOWDDLPPJW-BDAKNGLRSA-N -1 1 334.336 -0.089 20 0 EBADMM C[C@@H]1C[C@@H](CNCc2ncccn2)CN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000980641637 805971958 /nfs/dbraw/zinc/97/19/58/805971958.db2.gz HPJHXQQQEBCKLB-ZJUUUORDSA-N -1 1 345.363 -0.287 20 0 EBADMM C[C@H]1C[C@@H](CNCc2nncn2C)CN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000980643077 805973420 /nfs/dbraw/zinc/97/34/20/805973420.db2.gz VGBMPTZQKHMLHO-IUCAKERBSA-N -1 1 348.367 -0.948 20 0 EBADMM Cc1cc(CC(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)no1 ZINC000980858968 806031635 /nfs/dbraw/zinc/03/16/35/806031635.db2.gz LPPPJEDPDWRZQU-UHFFFAOYSA-N -1 1 334.380 -0.318 20 0 EBADMM Cc1[nH]nc(C(=O)N2CCCN(C[C@@H](O)Cn3cccn3)CC2)c1[O-] ZINC000980978710 806051659 /nfs/dbraw/zinc/05/16/59/806051659.db2.gz DHIAGCASICRZGW-CYBMUJFWSA-N -1 1 348.407 -0.171 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)C[C@](C)(O)C3CC3)CC2)nc1=O ZINC000980999835 806055237 /nfs/dbraw/zinc/05/52/37/806055237.db2.gz QBCITIVHLDMUPU-INIZCTEOSA-N -1 1 337.424 -0.306 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CCC[C@@H]([NH2+]Cc3nc(=O)n(C)[n-]3)C2)c1[O-] ZINC000981020098 806058695 /nfs/dbraw/zinc/05/86/95/806058695.db2.gz JMBKLUYBHLFWQR-NXEZZACHSA-N -1 1 349.395 -0.324 20 0 EBADMM O=C(c1ncccc1[O-])N1CCCN([C@@H]2CCC(=O)NC2=O)CC1 ZINC000981026653 806062389 /nfs/dbraw/zinc/06/23/89/806062389.db2.gz HWIUCQBUNSRELA-LLVKDONJSA-N -1 1 332.360 -0.260 20 0 EBADMM Cn1cnnc1CN[C@H]1CCC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000981203500 806108031 /nfs/dbraw/zinc/10/80/31/806108031.db2.gz ZELVQPOSHXBVTE-DTWKUNHWSA-N -1 1 348.367 -0.758 20 0 EBADMM Cc1noc(CN[C@@H]2CCC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC000981202912 806108041 /nfs/dbraw/zinc/10/80/41/806108041.db2.gz XDRXJSDGGRFKHE-BDAKNGLRSA-N -1 1 349.351 -0.195 20 0 EBADMM Cn1nnc(C(=O)N[C@@H]2CC[C@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC000981213308 806111737 /nfs/dbraw/zinc/11/17/37/806111737.db2.gz UNSDDDMVDIGIRF-VHSXEESVSA-N -1 1 345.363 -0.361 20 0 EBADMM Cc1cc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)c(C)nn1 ZINC000981240638 806117087 /nfs/dbraw/zinc/11/70/87/806117087.db2.gz UJMONUOCSWJWMV-UHFFFAOYSA-N -1 1 345.407 -0.137 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)Cc3cncs3)CC2)nc1=O ZINC000981396880 806163373 /nfs/dbraw/zinc/16/33/73/806163373.db2.gz YXUSUHVFKOAQEA-UHFFFAOYSA-N -1 1 336.421 -0.158 20 0 EBADMM CO[C@@H]1CC[C@H](C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)C1 ZINC000981497819 806182422 /nfs/dbraw/zinc/18/24/22/806182422.db2.gz HNGGBSZCILEAFD-QWHCGFSZSA-N -1 1 337.424 -0.042 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)c3cc(C(N)=O)co3)CC2)nc1=O ZINC000981937169 806337957 /nfs/dbraw/zinc/33/79/57/806337957.db2.gz UEXAOBLGFUXIJI-UHFFFAOYSA-N -1 1 348.363 -0.852 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)Cc4ncc[nH]4)C3)C2)nc1=O ZINC000982086909 806396172 /nfs/dbraw/zinc/39/61/72/806396172.db2.gz LEYQSEHYDUYZAD-UHFFFAOYSA-N -1 1 345.407 -0.501 20 0 EBADMM Cc1cc(C(=O)N2CC[C@@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC000982509124 806533921 /nfs/dbraw/zinc/53/39/21/806533921.db2.gz KZIFBKCLZDWVEM-QMMMGPOBSA-N -1 1 347.335 -0.794 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)[C@H]2CCC(=O)N2)C1)c1ncccc1[O-] ZINC000982542010 806549005 /nfs/dbraw/zinc/54/90/05/806549005.db2.gz FTBHGGJUIMZXDW-GHMZBOCLSA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCCNC1=O ZINC000982673831 806629701 /nfs/dbraw/zinc/62/97/01/806629701.db2.gz QTUYSIBYTPRTGG-VXGBXAGGSA-N -1 1 346.387 -0.108 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1CNC(=O)N1 ZINC000982673461 806630221 /nfs/dbraw/zinc/63/02/21/806630221.db2.gz OXLNGVMCXMQLFW-NXEZZACHSA-N -1 1 333.348 -0.953 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CC[C@@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000983071832 806863021 /nfs/dbraw/zinc/86/30/21/806863021.db2.gz GROAMDGIQIAQLV-VIFPVBQESA-N -1 1 333.352 -0.258 20 0 EBADMM CN1CC[C@H](C(=O)N2CC[C@H](CNC(=O)c3ncccc3[O-])C2)C1=O ZINC000983135098 806899947 /nfs/dbraw/zinc/89/99/47/806899947.db2.gz JCWVRLIYVGNNTB-NEPJUHHUSA-N -1 1 346.387 -0.156 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)CS(C)(=O)=O)c1ccc(F)cc1 ZINC001260594417 812841109 /nfs/dbraw/zinc/84/11/09/812841109.db2.gz QYVWPDQDLVECHS-SNVBAGLBSA-N -1 1 339.366 -0.039 20 0 EBADMM CCS(=O)(=O)N1CCC[C@H](C(=O)NCc2nc([O-])cc(=O)[nH]2)C1 ZINC000823413047 803788746 /nfs/dbraw/zinc/78/87/46/803788746.db2.gz RJUXPURZCYSIOH-VIFPVBQESA-N -1 1 344.393 -0.434 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1cnc([O-])n(C)c1=O)C(=O)c1ccn[nH]1 ZINC000977410791 804315612 /nfs/dbraw/zinc/31/56/12/804315612.db2.gz PBMQTAPCCNJOPU-SECBINFHSA-N -1 1 346.347 -0.804 20 0 EBADMM CN(C[C@H]1CCN1C(=O)[C@H]1CN(C)CCO1)C(=O)c1ncccc1[O-] ZINC000977614940 804414861 /nfs/dbraw/zinc/41/48/61/804414861.db2.gz FIIFVPKMCWCXNM-TZMCWYRMSA-N -1 1 348.403 -0.209 20 0 EBADMM CO[C@H](C)C(=O)N1CC[C@@H]1CN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000978052594 804635021 /nfs/dbraw/zinc/63/50/21/804635021.db2.gz JQDWLNFBIJGTHM-NXEZZACHSA-N -1 1 348.363 -0.875 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NC[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000978548023 804857646 /nfs/dbraw/zinc/85/76/46/804857646.db2.gz IWTGHPDVUNJGRA-MMWGEVLESA-N -1 1 344.375 -0.302 20 0 EBADMM CN1C[C@H](C(=O)N[C@H]2C[C@@H](CNC(=O)c3ncccc3[O-])C2)NC1=O ZINC000978756042 804961381 /nfs/dbraw/zinc/96/13/81/804961381.db2.gz WXTPGXAJMYDUFM-OUAUKWLOSA-N -1 1 347.375 -0.565 20 0 EBADMM O=C(NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)[C@@H]1COC(=O)N1 ZINC000978771315 804967345 /nfs/dbraw/zinc/96/73/45/804967345.db2.gz FTANXYQGNXDBHZ-AEJSXWLSSA-N -1 1 334.332 -0.480 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)C2CCOCC2)CCO1 ZINC000979175940 805189598 /nfs/dbraw/zinc/18/95/98/805189598.db2.gz KSDBRTVHIDVIJS-JQWIXIFHSA-N -1 1 339.396 -0.358 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2cnn(C)c2)CCO1 ZINC000979190774 805197936 /nfs/dbraw/zinc/19/79/36/805197936.db2.gz JQKYMOHNIWCELK-KOLCDFICSA-N -1 1 335.368 -0.737 20 0 EBADMM Cc1c(C(=O)N2CCO[C@@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)cnn1C ZINC000979195477 805201442 /nfs/dbraw/zinc/20/14/42/805201442.db2.gz PEMUYFZELCAPPF-JOYOIKCWSA-N -1 1 349.395 -0.428 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2ccn(C)c2)CCO1 ZINC000979270207 805250397 /nfs/dbraw/zinc/25/03/97/805250397.db2.gz LJCXAUFSXVJKRL-JQWIXIFHSA-N -1 1 334.380 -0.132 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2cncnc2)CCO1 ZINC000979283262 805260920 /nfs/dbraw/zinc/26/09/20/805260920.db2.gz XVMXWEXJKYKEDM-KOLCDFICSA-N -1 1 333.352 -0.680 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000979322532 805293880 /nfs/dbraw/zinc/29/38/80/805293880.db2.gz USOQWRGVOCIBBM-YTWAJWBKSA-N -1 1 332.360 -0.467 20 0 EBADMM CCc1n[nH]cc1C(=O)N1CCO[C@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979335267 805301337 /nfs/dbraw/zinc/30/13/37/805301337.db2.gz DJIXADOOZDENSL-CABZTGNLSA-N -1 1 349.395 -0.185 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)Cc2cnoc2)CCO1 ZINC000979352566 805316274 /nfs/dbraw/zinc/31/62/74/805316274.db2.gz CHIQJTVUOXAGDY-ONGXEEELSA-N -1 1 336.352 -0.554 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)Cc2cnc[nH]2)CCO1 ZINC000979398874 805353203 /nfs/dbraw/zinc/35/32/03/805353203.db2.gz DHCXKUJHTNPCDR-GXSJLCMTSA-N -1 1 335.368 -0.818 20 0 EBADMM Cc1ccnn1CC(=O)N1CCO[C@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979407871 805357384 /nfs/dbraw/zinc/35/73/84/805357384.db2.gz PFEKYZSZEZQQDY-RYUDHWBXSA-N -1 1 349.395 -0.579 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)N1CCO[C@@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979409653 805358687 /nfs/dbraw/zinc/35/86/87/805358687.db2.gz KXAIIHVLLDPCSO-GZMMTYOYSA-N -1 1 349.395 -0.130 20 0 EBADMM Cc1conc1C(=O)N1CCO[C@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979424623 805367899 /nfs/dbraw/zinc/36/78/99/805367899.db2.gz GZBHCCRXDGXWDF-ZJUUUORDSA-N -1 1 336.352 -0.174 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)Cc2cncn2C)CCO1 ZINC000979442406 805382461 /nfs/dbraw/zinc/38/24/61/805382461.db2.gz GLSAPDCCQMGESE-ZYHUDNBSSA-N -1 1 349.395 -0.808 20 0 EBADMM CCn1cnc(C(=O)N2CCO[C@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC000979442421 805382544 /nfs/dbraw/zinc/38/25/44/805382544.db2.gz GMOOCIOITPNSGW-PWSUYJOCSA-N -1 1 349.395 -0.254 20 0 EBADMM CN1CCO[C@H](C(=O)N[C@H]2C[C@H](CNC(=O)c3ncccc3[O-])C2)C1 ZINC000979662795 805473702 /nfs/dbraw/zinc/47/37/02/805473702.db2.gz ADUSHVKUOJAXGW-OBJOEFQTSA-N -1 1 348.403 -0.258 20 0 EBADMM Cn1nncc1C(=O)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000979695375 805493432 /nfs/dbraw/zinc/49/34/32/805493432.db2.gz QKMBCHWWHWFSFZ-MGCOHNPYSA-N -1 1 330.348 -0.146 20 0 EBADMM Cn1cc(C(=O)NC[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)nn1 ZINC000979707850 805500718 /nfs/dbraw/zinc/50/07/18/805500718.db2.gz YSICNHWDEPEIDB-MGCOHNPYSA-N -1 1 330.348 -0.146 20 0 EBADMM O=C(Cn1cnnn1)N[C@H]1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000983488238 807025077 /nfs/dbraw/zinc/02/50/77/807025077.db2.gz YPBDPVIWAFZTOT-QWRGUYRKSA-N -1 1 345.363 -0.369 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCCN(C(=O)[C@@H]2COC(=O)N2)C1 ZINC000984139761 807185414 /nfs/dbraw/zinc/18/54/14/807185414.db2.gz KANGRGAFQFBJEW-MNOVXSKESA-N -1 1 348.359 -0.041 20 0 EBADMM CN(C(=O)C1CC1)[C@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000984398005 807279882 /nfs/dbraw/zinc/27/98/82/807279882.db2.gz ZLECAENOPYDLMY-ZDUSSCGKSA-N -1 1 348.403 -0.214 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)c2cccc(=O)n2C)CC1 ZINC000985641944 807554431 /nfs/dbraw/zinc/55/44/31/807554431.db2.gz PTOINYLSQGITHG-UHFFFAOYSA-N -1 1 346.391 -0.054 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccnc2ccnn21 ZINC000986359267 807685016 /nfs/dbraw/zinc/68/50/16/807685016.db2.gz NYGDWOUQXKXKOI-NXEZZACHSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnc2n1CCOC2 ZINC000986383519 807693234 /nfs/dbraw/zinc/69/32/34/807693234.db2.gz SMFLVKWQAANPBI-UWVGGRQHSA-N -1 1 347.379 -0.370 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cn2c(n1)COCC2 ZINC000986414153 807697204 /nfs/dbraw/zinc/69/72/04/807697204.db2.gz JIVRKQSCSOZEGB-NXEZZACHSA-N -1 1 347.379 -0.370 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CC1CS(=O)(=O)C1 ZINC000986448677 807706235 /nfs/dbraw/zinc/70/62/35/807706235.db2.gz PSCBQILEJXCFSU-SCZZXKLOSA-N -1 1 343.409 -0.976 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc(=O)n(C)c1 ZINC000986476061 807712162 /nfs/dbraw/zinc/71/21/62/807712162.db2.gz CROQCBWYKFPRNS-ONGXEEELSA-N -1 1 332.364 -0.398 20 0 EBADMM Cc1ncc(CN[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)[C@@H]2C)o1 ZINC000986487417 807717420 /nfs/dbraw/zinc/71/74/20/807717420.db2.gz JYOPLQFXESRKTJ-XCBNKYQSSA-N -1 1 334.336 -0.028 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccnc2n[nH]nc21 ZINC000986533406 807729477 /nfs/dbraw/zinc/72/94/77/807729477.db2.gz MKZNTYQLLBDHHU-APPZFPTMSA-N -1 1 343.351 -0.431 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1coc(C(N)=O)c1 ZINC000986599870 807749481 /nfs/dbraw/zinc/74/94/81/807749481.db2.gz GHVRFEZDLDUIFY-APPZFPTMSA-N -1 1 334.336 -0.405 20 0 EBADMM Cc1noc(CCC(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)n1 ZINC000986636248 807754447 /nfs/dbraw/zinc/75/44/47/807754447.db2.gz RNRWGFDICJURLH-SCZZXKLOSA-N -1 1 335.368 -0.086 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1nccn2ccnc12 ZINC000987004591 807818595 /nfs/dbraw/zinc/81/85/95/807818595.db2.gz URYQLJOVGUEBMV-NXEZZACHSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc2nncn2c1 ZINC000987177643 807858992 /nfs/dbraw/zinc/85/89/92/807858992.db2.gz FULKCCHQEHDDSP-MWLCHTKSSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc2nncn2c1 ZINC000987177648 807859067 /nfs/dbraw/zinc/85/90/67/807859067.db2.gz FULKCCHQEHDDSP-ONGXEEELSA-N -1 1 342.363 -0.054 20 0 EBADMM CCn1nc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)ccc1=O ZINC000987198823 807867660 /nfs/dbraw/zinc/86/76/60/807867660.db2.gz VARRSZBGJVGBDF-VHSXEESVSA-N -1 1 347.379 -0.520 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnn2cc[nH]c12 ZINC000987418595 807912959 /nfs/dbraw/zinc/91/29/59/807912959.db2.gz YULRERUEUFRMOM-WCBMZHEXSA-N -1 1 330.352 -0.121 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCc1cnn(C)c1 ZINC000987567666 807959144 /nfs/dbraw/zinc/95/91/44/807959144.db2.gz GAMPOISHAYLIRI-JQWIXIFHSA-N -1 1 333.396 -0.044 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@H]1C[C@H]1C(N)=O ZINC000987682815 807990303 /nfs/dbraw/zinc/99/03/03/807990303.db2.gz YRMNRJITJJBROG-ZNSHCXBVSA-N -1 1 332.360 -0.372 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@@H]1CCNC1=O ZINC000987687357 807991362 /nfs/dbraw/zinc/99/13/62/807991362.db2.gz ILIBVKFIHWZQRC-MXWKQRLJSA-N -1 1 332.360 -0.358 20 0 EBADMM CN(C[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C(=O)[C@@H]1CCNC1=O ZINC000987930197 808060885 /nfs/dbraw/zinc/06/08/85/808060885.db2.gz MUJYUAJAHCFBST-VXGBXAGGSA-N -1 1 346.387 -0.156 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cn2nccn2)CCN1C(=O)c1ncccc1[O-] ZINC000988232395 808160703 /nfs/dbraw/zinc/16/07/03/808160703.db2.gz PIYOQDXKKODCDR-MNOVXSKESA-N -1 1 330.348 -0.202 20 0 EBADMM CN(C[C@H]1CCN(C(=O)[C@@H]2CNC(=O)N2)C1)C(=O)c1ncccc1[O-] ZINC000988269906 808175662 /nfs/dbraw/zinc/17/56/62/808175662.db2.gz CAVYOTGPPRVFBT-MNOVXSKESA-N -1 1 347.375 -0.611 20 0 EBADMM Cc1cc(=O)c(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)cn1C ZINC000989004042 808347935 /nfs/dbraw/zinc/34/79/35/808347935.db2.gz WOUOOHRBEZYMOD-MNOVXSKESA-N -1 1 346.391 -0.090 20 0 EBADMM COCCn1nccc1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC000989013059 808349737 /nfs/dbraw/zinc/34/97/37/808349737.db2.gz SNANTZYLMSTEQM-WDEREUQCSA-N -1 1 349.395 -0.254 20 0 EBADMM COCC(=O)N1CC[C@H](NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)[C@H]1C ZINC000989042166 808354916 /nfs/dbraw/zinc/35/49/16/808354916.db2.gz WTOHXQKWVNCNJK-SFYZADRCSA-N -1 1 340.336 -0.738 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CN1CCCNC1=O ZINC000989097409 808372549 /nfs/dbraw/zinc/37/25/49/808372549.db2.gz GMWQEWJMLVSDMV-UWVGGRQHSA-N -1 1 337.384 -0.995 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CN1CCCCC1=O ZINC000989098097 808372588 /nfs/dbraw/zinc/37/25/88/808372588.db2.gz IRHWZJSXYSCOCE-MNOVXSKESA-N -1 1 336.396 -0.398 20 0 EBADMM Cc1ncc(C(=O)N2CC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)[C@H]2C)[nH]1 ZINC000989113350 808379280 /nfs/dbraw/zinc/37/92/80/808379280.db2.gz BUKYBJHSEGUUBQ-SVRRBLITSA-N -1 1 347.335 -0.653 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cccc2ncnn21 ZINC000989115139 808379826 /nfs/dbraw/zinc/37/98/26/808379826.db2.gz RZMMBRRXAWMMMB-ZJUUUORDSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cn(C)ccc1=O ZINC000989156080 808388073 /nfs/dbraw/zinc/38/80/73/808388073.db2.gz RLPZLMRHWGDGAU-VHSXEESVSA-N -1 1 332.364 -0.398 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cn(C)ccc1=O ZINC000989156077 808388236 /nfs/dbraw/zinc/38/82/36/808388236.db2.gz RLPZLMRHWGDGAU-NXEZZACHSA-N -1 1 332.364 -0.398 20 0 EBADMM Cc1cc(CC(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)n(C)n1 ZINC000989177982 808395197 /nfs/dbraw/zinc/39/51/97/808395197.db2.gz CJQRUGLPIYYDBM-GHMZBOCLSA-N -1 1 333.396 -0.126 20 0 EBADMM CCn1nc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)ccc1=O ZINC000989569340 808513646 /nfs/dbraw/zinc/51/36/46/808513646.db2.gz SJTHALQZCRDLPJ-UWVGGRQHSA-N -1 1 347.379 -0.520 20 0 EBADMM CCc1nc[nH]c1C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000989577558 808519038 /nfs/dbraw/zinc/51/90/38/808519038.db2.gz CYSRNSXEQIHXLC-UHFFFAOYSA-N -1 1 333.396 -0.258 20 0 EBADMM COc1ccc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)nn1 ZINC000989646794 808544630 /nfs/dbraw/zinc/54/46/30/808544630.db2.gz PEVKLMBKIUOPDI-DTWKUNHWSA-N -1 1 333.352 -0.298 20 0 EBADMM C[C@H]1C[C@H](NCc2ccon2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000989671561 808552642 /nfs/dbraw/zinc/55/26/42/808552642.db2.gz BZSFSFZOCOQDQB-ONGXEEELSA-N -1 1 343.347 -0.202 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cccn(C)c1=O ZINC000989691556 808559990 /nfs/dbraw/zinc/55/99/90/808559990.db2.gz VRCOFCFNNHGPOE-ZJUUUORDSA-N -1 1 332.364 -0.398 20 0 EBADMM Cc1cc(CN[C@H]2C[C@@H](C)N(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)on1 ZINC000989721731 808567513 /nfs/dbraw/zinc/56/75/13/808567513.db2.gz FPNBUHSKRGNTFU-ZJUUUORDSA-N -1 1 336.352 -0.235 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cccc(C(N)=O)n1 ZINC000989842740 808610679 /nfs/dbraw/zinc/61/06/79/808610679.db2.gz WOZBDGDOIOMPTH-RKDXNWHRSA-N -1 1 345.363 -0.603 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)c4cnccn4)CCC[C@@H]23)nc1=O ZINC000989920123 808619360 /nfs/dbraw/zinc/61/93/60/808619360.db2.gz ZFMNIUSHAIBUDD-WBMJQRKESA-N -1 1 343.391 -0.175 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)Cc4ccon4)CCC[C@H]23)nc1=O ZINC000990080547 808651688 /nfs/dbraw/zinc/65/16/88/808651688.db2.gz HWLUGKUYUNSJPL-BLLLJJGKSA-N -1 1 346.391 -0.048 20 0 EBADMM Cc1ccoc1C(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000990244003 808711085 /nfs/dbraw/zinc/71/10/85/808711085.db2.gz FAOKMJFNVFYJRT-UHFFFAOYSA-N -1 1 346.343 -0.531 20 0 EBADMM C[C@@H](C(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC000990676703 808888293 /nfs/dbraw/zinc/88/82/93/808888293.db2.gz DLSBCALNQVXOOI-MRVPVSSYSA-N -1 1 330.348 -0.596 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)Cn4nccn4)CCC[C@@H]23)nc1=O ZINC000990913465 808979587 /nfs/dbraw/zinc/97/95/87/808979587.db2.gz YXMIBEQNWMZPIG-IAQYHMDHSA-N -1 1 346.395 -0.987 20 0 EBADMM COc1nccc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)n1 ZINC000990968332 809017201 /nfs/dbraw/zinc/01/72/01/809017201.db2.gz FTKLTJGNYOHMDF-UHFFFAOYSA-N -1 1 329.316 -0.160 20 0 EBADMM O=C(C[C@H]1CCC(=O)NC1)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990969112 809018201 /nfs/dbraw/zinc/01/82/01/809018201.db2.gz AEHHYFAWIYQWIO-SNVBAGLBSA-N -1 1 332.360 -0.356 20 0 EBADMM Cc1nc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)c(=O)[nH]c1C ZINC000990976042 809023549 /nfs/dbraw/zinc/02/35/49/809023549.db2.gz DFTUOLRLCJHHPL-UHFFFAOYSA-N -1 1 343.343 -0.258 20 0 EBADMM O=C(NC1CN(C(=O)[C@H]2CCc3nncn3C2)C1)c1ncccc1[O-] ZINC000990978370 809025619 /nfs/dbraw/zinc/02/56/19/809025619.db2.gz NUBCRDYKSXACLB-JTQLQIEISA-N -1 1 342.359 -0.418 20 0 EBADMM C[C@@H](C(=O)N1CC(NC(=O)c2ncccc2[O-])C1)[C@H]1CCC(=O)N1 ZINC000990980284 809027048 /nfs/dbraw/zinc/02/70/48/809027048.db2.gz ZKLSCNQXROFYPP-MWLCHTKSSA-N -1 1 332.360 -0.358 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)c2[nH]ccc2C)C1 ZINC000991101836 809073438 /nfs/dbraw/zinc/07/34/38/809073438.db2.gz RVGVIRZMLUDUGV-UHFFFAOYSA-N -1 1 347.331 -0.213 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)C1=COCCO1 ZINC000991236179 809180363 /nfs/dbraw/zinc/18/03/63/809180363.db2.gz XOYRNIQMHTYGAV-ZYHUDNBSSA-N -1 1 349.343 -0.633 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)c1cnccn1 ZINC000991236715 809181215 /nfs/dbraw/zinc/18/12/15/809181215.db2.gz HIZFARHJUOXDJH-ZWNOBZJWSA-N -1 1 343.343 -0.417 20 0 EBADMM CC(C(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1)=C1CCC1 ZINC000991257539 809203427 /nfs/dbraw/zinc/20/34/27/809203427.db2.gz LGAOUGAOXRRJRE-UHFFFAOYSA-N -1 1 346.387 -0.246 20 0 EBADMM O=C(Cn1cccn1)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC000991284557 809237408 /nfs/dbraw/zinc/23/74/08/809237408.db2.gz NLAUORLNNWMZDO-WCQYABFASA-N -1 1 345.359 -0.625 20 0 EBADMM Cc1csc(=O)n1CC(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000991384112 809386976 /nfs/dbraw/zinc/38/69/76/809386976.db2.gz HIWHFKHXFSTOQX-UHFFFAOYSA-N -1 1 348.384 -0.040 20 0 EBADMM Cn1cncc1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991406173 809423226 /nfs/dbraw/zinc/42/32/26/809423226.db2.gz BWOAJKIPHZFVPC-ZWNOBZJWSA-N -1 1 345.359 -0.474 20 0 EBADMM C[C@@H]1CC[C@H](C(=O)NC2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)C1 ZINC000991406148 809423530 /nfs/dbraw/zinc/42/35/30/809423530.db2.gz FHDRJJFBAHTRKL-NEPJUHHUSA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@@H]1CC[C@H](C(=O)NC2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)C1 ZINC000991411764 809429950 /nfs/dbraw/zinc/42/99/50/809429950.db2.gz REQVABRHRTZQLK-ZJUUUORDSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)c1cncnc1 ZINC000991412448 809430956 /nfs/dbraw/zinc/43/09/56/809430956.db2.gz XGFYFJNOOUVQFS-WCQYABFASA-N -1 1 343.343 -0.417 20 0 EBADMM O=C(CC1(O)CCC1)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991424170 809448088 /nfs/dbraw/zinc/44/80/88/809448088.db2.gz JOVFMIJXNWLIMA-AAEUAGOBSA-N -1 1 349.387 -0.216 20 0 EBADMM Cn1cncc1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000991575257 809661670 /nfs/dbraw/zinc/66/16/70/809661670.db2.gz WVEFRARRSZNKFW-GWCFXTLKSA-N -1 1 345.359 -0.474 20 0 EBADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)[C@H]1CCCC(=O)N1 ZINC001002584545 809746349 /nfs/dbraw/zinc/74/63/49/809746349.db2.gz XTUQVPZOZIUCIR-LLVKDONJSA-N -1 1 332.360 -0.356 20 0 EBADMM CCC(=O)N1CC[C@H](C)[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001005059127 809837349 /nfs/dbraw/zinc/83/73/49/809837349.db2.gz XDIBVEVIGFBKRO-GXSJLCMTSA-N -1 1 332.364 -0.206 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H](C)C2CN(C(=O)CCc3c[nH]nn3)C2)c1[O-] ZINC001005922413 809871788 /nfs/dbraw/zinc/87/17/88/809871788.db2.gz NUHRWIMKBDIBJW-MRVPVSSYSA-N -1 1 347.379 -0.249 20 0 EBADMM Cc1ncncc1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001006790070 809921190 /nfs/dbraw/zinc/92/11/90/809921190.db2.gz PTNJYGXZIODPDF-NSHDSACASA-N -1 1 331.380 -0.399 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1)n1cccn1 ZINC001006790778 809921610 /nfs/dbraw/zinc/92/16/10/809921610.db2.gz SKTAEKACUZHASE-NWDGAFQWSA-N -1 1 333.396 -0.353 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C2)nc1=O ZINC001007996175 810058469 /nfs/dbraw/zinc/05/84/69/810058469.db2.gz CCZPKGLTCPPWKM-JWOJSXKRSA-N -1 1 347.419 -0.388 20 0 EBADMM CCn1cc(CC(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001008039915 810065321 /nfs/dbraw/zinc/06/53/21/810065321.db2.gz VBPQXIZOLKXCMV-LLVKDONJSA-N -1 1 348.411 -0.957 20 0 EBADMM CC1(C)CN(C(=O)[C@H]2CCNC2=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001008064343 810070802 /nfs/dbraw/zinc/07/08/02/810070802.db2.gz OENAOECVNJJMPY-CMPLNLGQSA-N -1 1 346.387 -0.110 20 0 EBADMM CC1(C)CN(C(=O)[C@H]2C[C@H]2C(N)=O)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001008084326 810073851 /nfs/dbraw/zinc/07/38/51/810073851.db2.gz PWGCFAATSJFORG-SCVCMEIPSA-N -1 1 346.387 -0.125 20 0 EBADMM CC1(C)CN(C(=O)[C@@H]2COC(=O)N2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001008086711 810074722 /nfs/dbraw/zinc/07/47/22/810074722.db2.gz YBBHJVKGHLXXGC-ONGXEEELSA-N -1 1 348.359 -0.138 20 0 EBADMM CC1(C)CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)Cn1ncnn1 ZINC001008175520 810098393 /nfs/dbraw/zinc/09/83/93/810098393.db2.gz KVOFSUWIHPIIMB-LLVKDONJSA-N -1 1 345.363 -0.559 20 0 EBADMM Cc1cnn(C)c1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001008229118 810114655 /nfs/dbraw/zinc/11/46/55/810114655.db2.gz ISJYMXNXWIYKBW-LLVKDONJSA-N -1 1 333.396 -0.455 20 0 EBADMM CC1(C)CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)[C@H]1C[C@H]1C(N)=O ZINC001008606402 810201525 /nfs/dbraw/zinc/20/15/25/810201525.db2.gz UOYCFCWFEINPNL-SCVCMEIPSA-N -1 1 346.387 -0.125 20 0 EBADMM O=C(N[C@@H]1CCCN(Cc2cnon2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001009199680 810233736 /nfs/dbraw/zinc/23/37/36/810233736.db2.gz CPOOPYOZHCHCAD-SECBINFHSA-N -1 1 344.335 -0.805 20 0 EBADMM CC(=O)N[C@@H]1CC[C@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@@H]2C1 ZINC001009767219 810263592 /nfs/dbraw/zinc/26/35/92/810263592.db2.gz NDMIDTODCSYUFM-MELADBBJSA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])CN1C(=O)c1nnn(C)n1 ZINC001010250515 810280351 /nfs/dbraw/zinc/28/03/51/810280351.db2.gz LVCNRJJUETZYKX-VHSXEESVSA-N -1 1 345.363 -0.409 20 0 EBADMM C/C=C(\C)C(=O)N1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001010943790 810307671 /nfs/dbraw/zinc/30/76/71/810307671.db2.gz LRJSVURVHCLMFG-KGVSQERTSA-N -1 1 334.376 -0.436 20 0 EBADMM COc1ncc(Nc2c(N)cnn2CCO)cc1[N-]S(C)(=O)=O ZINC001216152616 810361323 /nfs/dbraw/zinc/36/13/23/810361323.db2.gz XARXTGPHIRPNCX-UHFFFAOYSA-N -1 1 342.381 -0.024 20 0 EBADMM CCC[C@@H](NC(N)=O)C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001216573373 810376538 /nfs/dbraw/zinc/37/65/38/810376538.db2.gz KDTISEPLEZTWCP-OPRDCNLKSA-N -1 1 339.400 -0.716 20 0 EBADMM C[C@@H]1CN(C(=O)COC[C@H]2CCCO2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001217065873 810401868 /nfs/dbraw/zinc/40/18/68/810401868.db2.gz AUSFCBNTYFIIPQ-IJLUTSLNSA-N -1 1 339.396 -0.358 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@H]3CCCc4c[nH]nc43)C2)nc1=O ZINC001014429245 810430683 /nfs/dbraw/zinc/43/06/83/810430683.db2.gz FMYNGQAYWVJHCZ-NEPJUHHUSA-N -1 1 345.407 -0.358 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cc4cccn4cn3)C2)nc1=O ZINC001014891348 810452532 /nfs/dbraw/zinc/45/25/32/810452532.db2.gz UDFWBMUKEOJMBY-NSHDSACASA-N -1 1 341.375 -0.240 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@H]3CCn4cncc4C3)C2)nc1=O ZINC001014985129 810459009 /nfs/dbraw/zinc/45/90/09/810459009.db2.gz WFZTYQGRDYFENT-NWDGAFQWSA-N -1 1 345.407 -0.742 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)CCC(F)F)[C@H]3C2)nc1=O ZINC001218313765 810485648 /nfs/dbraw/zinc/48/56/48/810485648.db2.gz RJSXVDWIQILGJR-VHSXEESVSA-N -1 1 345.350 -0.435 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)CCCF)[C@H]3C2)nc1=O ZINC001218482271 810494637 /nfs/dbraw/zinc/49/46/37/810494637.db2.gz ZXXYEZDTFHAEAV-WDEREUQCSA-N -1 1 327.360 -0.730 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)C[C@H](N)C(=O)OC)c1 ZINC001218901644 810542780 /nfs/dbraw/zinc/54/27/80/810542780.db2.gz MOSFIMITIAXABC-QMMMGPOBSA-N -1 1 331.350 -0.871 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@@H]3CCc4cccnc43)C2)nc1=O ZINC001015624298 810548731 /nfs/dbraw/zinc/54/87/31/810548731.db2.gz HTHIDJILMQXXDP-QWHCGFSZSA-N -1 1 342.403 -0.076 20 0 EBADMM O=C(C[C@H]1COCCN1)Nc1ccc2c(c1)S(=O)(=O)[N-]C2=O ZINC001218963549 810549279 /nfs/dbraw/zinc/54/92/79/810549279.db2.gz SEJNPEZYWRMAMI-VIFPVBQESA-N -1 1 325.346 -0.564 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)/C=C/c3ccco3)[C@@H](O)C2)nc1=O ZINC001219114470 810588346 /nfs/dbraw/zinc/58/83/46/810588346.db2.gz SOSNRTKWKHEXLU-RVAOTSRCSA-N -1 1 333.348 -0.924 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ccc4nccn4c3)C2)nc1=O ZINC001015972787 810636130 /nfs/dbraw/zinc/63/61/30/810636130.db2.gz OAYOUJJZMOKCKD-GFCCVEGCSA-N -1 1 341.375 -0.240 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCN(Cc2cnn(C)n2)C1 ZINC001015988957 810642646 /nfs/dbraw/zinc/64/26/46/810642646.db2.gz ISIRXTVQVJRYQX-MRVPVSSYSA-N -1 1 349.351 -0.971 20 0 EBADMM Cc1nonc1CN1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001015991173 810644255 /nfs/dbraw/zinc/64/42/55/810644255.db2.gz BBBVNBZOUYFCHX-VIFPVBQESA-N -1 1 344.335 -0.887 20 0 EBADMM O=C(N[C@@H]1CCN(Cc2cscn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001015993665 810647881 /nfs/dbraw/zinc/64/78/81/810647881.db2.gz YZKDTNRBUDLXJI-SECBINFHSA-N -1 1 345.388 -0.122 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cnn4cc[nH]c34)C2)nc1=O ZINC001016104416 810664528 /nfs/dbraw/zinc/66/45/28/810664528.db2.gz OWXAAVJZPYKCAM-SECBINFHSA-N -1 1 330.352 -0.912 20 0 EBADMM Cc1nc([C@@H](C)N2CC[C@H](NC(=O)Cn3c(=O)[n-][nH]c3=O)C2)n[nH]1 ZINC001016110636 810667931 /nfs/dbraw/zinc/66/79/31/810667931.db2.gz LOWIWXOCAPJJAV-APPZFPTMSA-N -1 1 336.356 -0.932 20 0 EBADMM Cc1ccncc1CN1CC[C@H](NC(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC001016110748 810668022 /nfs/dbraw/zinc/66/80/22/810668022.db2.gz MQXDUXWKXUKNQP-LBPRGKRZSA-N -1 1 332.364 -0.217 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N[C@H]1CCN(Cc2n[nH]c(C3CC3)n2)C1 ZINC001016112172 810669456 /nfs/dbraw/zinc/66/94/56/810669456.db2.gz VRWQWPJTEPJRJL-VIFPVBQESA-N -1 1 348.367 -0.925 20 0 EBADMM CCOc1ncccc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001016153727 810681478 /nfs/dbraw/zinc/68/14/78/810681478.db2.gz WYPTTWGMVLQDTI-NSHDSACASA-N -1 1 346.391 -0.094 20 0 EBADMM Cc1ccc(CC(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001016259755 810715519 /nfs/dbraw/zinc/71/55/19/810715519.db2.gz WTHCLIDZOURTRO-CYBMUJFWSA-N -1 1 330.392 -0.255 20 0 EBADMM O=C(N[C@@H]1CN(CCOCC(F)F)C[C@@H]1O)c1ncccc1[O-] ZINC001219497753 810722527 /nfs/dbraw/zinc/72/25/27/810722527.db2.gz YKGAOGDDWCXFJB-KOLCDFICSA-N -1 1 331.319 -0.156 20 0 EBADMM CCCC[C@@](C)(F)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001219913126 810905916 /nfs/dbraw/zinc/90/59/16/810905916.db2.gz FBRPMYRKYCPOGU-JRPNMDOOSA-N -1 1 343.403 -0.312 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CN(CCCF)CCO1 ZINC001035575519 810925529 /nfs/dbraw/zinc/92/55/29/810925529.db2.gz DWKUAGWZYCNCMT-VIFPVBQESA-N -1 1 344.343 -0.313 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)c2cnsn2)CC3)nc1=O ZINC001035659823 810981929 /nfs/dbraw/zinc/98/19/29/810981929.db2.gz HYBWSRWAKZXTFC-UHFFFAOYSA-N -1 1 349.420 -0.302 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)c2cnns2)CC3)nc1=O ZINC001035659124 810982873 /nfs/dbraw/zinc/98/28/73/810982873.db2.gz UFRQAIJSRMRXAR-UHFFFAOYSA-N -1 1 349.420 -0.302 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)Cc2cnoc2)CC3)nc1=O ZINC001035775131 811020930 /nfs/dbraw/zinc/02/09/30/811020930.db2.gz XMTPGMMOVFGDKX-UHFFFAOYSA-N -1 1 346.391 -0.237 20 0 EBADMM C[C@@H]1CCO[C@@H]1C(=O)N1CCC2(CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001035796321 811027090 /nfs/dbraw/zinc/02/70/90/811027090.db2.gz FFDKCBVRPYOYRG-OCCSQVGLSA-N -1 1 349.435 -0.042 20 0 EBADMM C[C@@H]1OCC[C@@H]1C(=O)N1CCC2(CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001035826807 811035128 /nfs/dbraw/zinc/03/51/28/811035128.db2.gz UYPJCKXRVPBGON-STQMWFEESA-N -1 1 349.435 -0.042 20 0 EBADMM O=C(Cn1cccn1)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036646350 811193928 /nfs/dbraw/zinc/19/39/28/811193928.db2.gz AVVLLRFKVQDHTQ-VXGBXAGGSA-N -1 1 331.380 -0.170 20 0 EBADMM O=C(Cn1nccn1)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036727958 811232565 /nfs/dbraw/zinc/23/25/65/811232565.db2.gz MHWLWZFTZVZXNW-WDEREUQCSA-N -1 1 332.368 -0.775 20 0 EBADMM NC(=O)CN1CC[C@H]2CN(C(=O)c3c[n-]n4c3nccc4=O)CC[C@H]21 ZINC001036837715 811280543 /nfs/dbraw/zinc/28/05/43/811280543.db2.gz NECDTQJOZIGKOS-CMPLNLGQSA-N -1 1 344.375 -0.956 20 0 EBADMM Cc1nonc1CC(=O)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036858529 811292577 /nfs/dbraw/zinc/29/25/77/811292577.db2.gz AFEJWJSRZYHFRE-PWSUYJOCSA-N -1 1 347.379 -0.133 20 0 EBADMM CCC(C)(CC)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001221017776 811346785 /nfs/dbraw/zinc/34/67/85/811346785.db2.gz HDQYHFOGQRFDJX-MNOVXSKESA-N -1 1 325.413 -0.404 20 0 EBADMM COCC(C)(C)C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001221692788 811517520 /nfs/dbraw/zinc/51/75/20/811517520.db2.gz MAJRXJOMXCPGAG-VXGBXAGGSA-N -1 1 337.424 -0.186 20 0 EBADMM COC[C@H](C)CC(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001221907777 811559406 /nfs/dbraw/zinc/55/94/06/811559406.db2.gz OFEXYXYWNCZMJJ-JHJVBQTASA-N -1 1 337.424 -0.186 20 0 EBADMM C[C@@H]1CN(CCS(C)(=O)=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001079611035 811608110 /nfs/dbraw/zinc/60/81/10/811608110.db2.gz KYCHURPMEPIHPO-GHMZBOCLSA-N -1 1 327.406 -0.118 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)CCn3cccn3)CC2)nc1=O ZINC001222419949 811627544 /nfs/dbraw/zinc/62/75/44/811627544.db2.gz ITSUXLXAGGCZGI-UHFFFAOYSA-N -1 1 347.423 -0.277 20 0 EBADMM CO[C@@H](C)CC(=O)N1CC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1 ZINC001222417092 811628053 /nfs/dbraw/zinc/62/80/53/811628053.db2.gz YTRKXSJZUOQKMK-XQQFMLRXSA-N -1 1 337.424 -0.044 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)CC(=O)N(C)C)C1 ZINC001079654162 811638832 /nfs/dbraw/zinc/63/88/32/811638832.db2.gz JPWFDFWWYXCEOT-UHFFFAOYSA-N -1 1 334.376 -0.062 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C)c1ccnn1C ZINC001079716177 811681340 /nfs/dbraw/zinc/68/13/40/811681340.db2.gz JUNYCPMCKPLKEH-GRYCIOLGSA-N -1 1 347.423 -0.418 20 0 EBADMM O=S(=O)([O-])C[C@H](C[NH+]1CCOCC1)Oc1ncnc2[n-]ncc21 ZINC001225561565 811817470 /nfs/dbraw/zinc/81/74/70/811817470.db2.gz GBLSTUPRCZHCHD-VIFPVBQESA-N -1 1 343.365 -0.680 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)CCCC(N)=O)CC2)nc1=O ZINC001225665065 811822576 /nfs/dbraw/zinc/82/25/76/811822576.db2.gz IHMJHAUUMARLBR-UHFFFAOYSA-N -1 1 338.412 -0.908 20 0 EBADMM CC(=O)O[C@H]1CO[C@@H]2[C@H](Oc3nc4[nH]c(=O)[nH]c(=O)c4[n-]3)CO[C@@H]21 ZINC001227433029 811921420 /nfs/dbraw/zinc/92/14/20/811921420.db2.gz WQNRJLIYRTWXST-HIORRCEOSA-N -1 1 338.276 -0.759 20 0 EBADMM CC(=O)O[C@H]1CO[C@@H]2[C@H](Oc3nc4c([n-]3)[nH]c(=O)[nH]c4=O)CO[C@@H]21 ZINC001227433029 811921424 /nfs/dbraw/zinc/92/14/24/811921424.db2.gz WQNRJLIYRTWXST-HIORRCEOSA-N -1 1 338.276 -0.759 20 0 EBADMM O=c1nc2c(c(O[C@@H]3CO[C@H]4[C@@H]3OC[C@@H]4O[N+](=O)[O-])[n-]1)COC2 ZINC001227687018 811939123 /nfs/dbraw/zinc/93/91/23/811939123.db2.gz YKEAJHAUDBYCHV-UTINFBMNSA-N -1 1 327.249 -0.666 20 0 EBADMM CCc1nocc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001080396887 812009705 /nfs/dbraw/zinc/00/97/05/812009705.db2.gz LWXXJNXSVRXVFS-BXKDBHETSA-N -1 1 334.380 -0.091 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cc(C2CC2)n[nH]1 ZINC001080496797 812043783 /nfs/dbraw/zinc/04/37/83/812043783.db2.gz IAMMZXMVQVQSIH-NOZJJQNGSA-N -1 1 345.407 -0.041 20 0 EBADMM O=C(NC1(CO)CCN(C(=O)c2ncccc2[O-])CC1)c1cn[nH]c1 ZINC001080742469 812184024 /nfs/dbraw/zinc/18/40/24/812184024.db2.gz NTOMVZFRTOTZAJ-UHFFFAOYSA-N -1 1 345.359 -0.093 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)CC1CCOCC1 ZINC001231322126 812292388 /nfs/dbraw/zinc/29/23/88/812292388.db2.gz NNFAVQDJIDBXPY-CYBMUJFWSA-N -1 1 337.424 -0.042 20 0 EBADMM CCCCOCC(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001231368675 812296524 /nfs/dbraw/zinc/29/65/24/812296524.db2.gz NWNJFRSLUMNLPQ-GFCCVEGCSA-N -1 1 325.413 -0.042 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)C[C@](C)(O)C1CC1 ZINC001233801835 812345110 /nfs/dbraw/zinc/34/51/10/812345110.db2.gz RUILCIUMVSYISA-LRDDRELGSA-N -1 1 337.424 -0.308 20 0 EBADMM Cc1nc(C(N)=O)c(OC[C@H]2OC(=O)[C@@H]3OC(C)(C)O[C@H]23)c(=O)[n-]1 ZINC001234469312 812429456 /nfs/dbraw/zinc/42/94/56/812429456.db2.gz GXHYXTTZXUIUJA-GTNGPMTGSA-N -1 1 339.304 -0.586 20 0 EBADMM CCc1c[nH]c(CC(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001235534735 812497478 /nfs/dbraw/zinc/49/74/78/812497478.db2.gz DCRWDOJSDLWDDY-LBPRGKRZSA-N -1 1 347.423 -0.331 20 0 EBADMM O=C([O-])c1ccccc1S(=O)(=O)NC[C@]1(O)CCNC[C@@H]1F ZINC001238073400 812524328 /nfs/dbraw/zinc/52/43/28/812524328.db2.gz FSZHXPOCFZFIRB-WCQYABFASA-N -1 1 332.353 -0.274 20 0 EBADMM O=C(Cn1cccn1)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001081253251 812624197 /nfs/dbraw/zinc/62/41/97/812624197.db2.gz DLDYEBQTVVGTNP-DGCLKSJQSA-N -1 1 345.359 -0.767 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC(N2CCCC2=O)CC1 ZINC001251810409 812632929 /nfs/dbraw/zinc/63/29/29/812632929.db2.gz GSEZSHHDZKOJBF-UHFFFAOYSA-N -1 1 334.376 -0.460 20 0 EBADMM O=C([O-])CCC(=O)CNC[C@H](O)COc1ccc(CCO)cc1 ZINC001253166888 812675236 /nfs/dbraw/zinc/67/52/36/812675236.db2.gz JAVILVDQEVBYAO-AWEZNQCLSA-N -1 1 325.361 -0.015 20 0 EBADMM NC(=O)c1cc([N-]S(=O)(=O)c2ccc3c(c2)NC(=O)CO3)ccn1 ZINC001260667702 812845986 /nfs/dbraw/zinc/84/59/86/812845986.db2.gz FUICXXSRCHDJON-UHFFFAOYSA-N -1 1 348.340 -0.266 20 0 EBADMM CCOC(=O)C([N-]S(=O)(=O)c1cnc(NC(C)=O)s1)=C(C)O ZINC001260709642 812847283 /nfs/dbraw/zinc/84/72/83/812847283.db2.gz MOXSYUUIGKXPDA-VIFPVBQESA-N -1 1 349.390 -0.100 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCC[C@]3(O)CCCOC3)ccnc1-2 ZINC001261515340 812923666 /nfs/dbraw/zinc/92/36/66/812923666.db2.gz DMKGURXLBHRUAJ-MRXNPFEDSA-N -1 1 347.375 -0.672 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C1CC(F)(F)C1 ZINC001081510509 813104885 /nfs/dbraw/zinc/10/48/85/813104885.db2.gz ZAXXHMGKVKUHEI-NXEZZACHSA-N -1 1 345.350 -0.531 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2CCN(c3ccccc3)C(=O)C2)CNCCO1 ZINC001263175160 813109196 /nfs/dbraw/zinc/10/91/96/813109196.db2.gz UXPJDMAHMNFMQP-MRXNPFEDSA-N -1 1 333.344 -0.695 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2C[C@@H]3COC[C@]3(c3ccccc3)C2)CNCCO1 ZINC001263189407 813109330 /nfs/dbraw/zinc/10/93/30/813109330.db2.gz VGCFNJAFUPWDFL-JLSDUUJJSA-N -1 1 346.383 -0.144 20 0 EBADMM Cc1cc(CN2CCN(c3nnc(-c4nnn[n-]4)n3C)CC2)no1 ZINC001263484498 813113432 /nfs/dbraw/zinc/11/34/32/813113432.db2.gz VFTFZOPJXCYAFG-UHFFFAOYSA-N -1 1 330.356 -0.386 20 0 EBADMM Cc1cc(CN2CCN(c3nnc(-c4nn[n-]n4)n3C)CC2)no1 ZINC001263484498 813113436 /nfs/dbraw/zinc/11/34/36/813113436.db2.gz VFTFZOPJXCYAFG-UHFFFAOYSA-N -1 1 330.356 -0.386 20 0 EBADMM CCNC(=O)CC(=O)N1CC[C@@H](C)C[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001263986890 813139178 /nfs/dbraw/zinc/13/91/78/813139178.db2.gz WKSRFIWDIQKYME-MNOVXSKESA-N -1 1 338.412 -0.247 20 0 EBADMM Cn1[n-]c(CN(CCO)[C@@H]2CCCN(C(=O)C3CC=CC3)C2)nc1=O ZINC001264074913 813145403 /nfs/dbraw/zinc/14/54/03/813145403.db2.gz LMOMKQWMNDOOPV-CQSZACIVSA-N -1 1 349.435 -0.140 20 0 EBADMM CCN(CCCNC(=O)c1n[nH]c(=O)[n-]c1=O)Cc1ccnn1C ZINC001265121729 813242233 /nfs/dbraw/zinc/24/22/33/813242233.db2.gz AUKIFIRMSCCQRE-UHFFFAOYSA-N -1 1 335.368 -0.342 20 0 EBADMM CCN(CCCNC(=O)c1cn2c(n1)COCC2)Cc1n[nH]c(=O)[n-]1 ZINC001265119710 813242252 /nfs/dbraw/zinc/24/22/52/813242252.db2.gz OBIMUQWANJBEMG-UHFFFAOYSA-N -1 1 349.395 -0.121 20 0 EBADMM COCCOCC(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001266179611 813472520 /nfs/dbraw/zinc/47/25/20/813472520.db2.gz IFRWRWSKRNEMJA-UHFFFAOYSA-N -1 1 341.412 -0.806 20 0 EBADMM Cc1noc2ncc(C(=O)NCCN(C)Cc3nc(=O)n(C)[n-]3)cc12 ZINC001266306061 813520827 /nfs/dbraw/zinc/52/08/27/813520827.db2.gz BCVFMLWOQAZMDH-UHFFFAOYSA-N -1 1 345.363 -0.185 20 0 EBADMM CN(CCNC(=O)c1c2ccccc2nn1C)Cc1nc(=O)n(C)[n-]1 ZINC001266344108 813539451 /nfs/dbraw/zinc/53/94/51/813539451.db2.gz DYCUSVFEXOQXBK-UHFFFAOYSA-N -1 1 343.391 -0.143 20 0 EBADMM Cc1n[nH]c(C(=O)NCCN(CCN2C(=O)CCC2=O)C2CC2)c1[O-] ZINC001266588710 813615773 /nfs/dbraw/zinc/61/57/73/813615773.db2.gz XIBRZUAEONWILM-UHFFFAOYSA-N -1 1 349.391 -0.233 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C[C@@H]1C[C@H]1C1CC1 ZINC001081967129 813695444 /nfs/dbraw/zinc/69/54/44/813695444.db2.gz UDAVXFNREHLFBQ-IGQOVBAYSA-N -1 1 349.435 -0.140 20 0 EBADMM CCO[C@H](C(=O)N(C)CCNCc1n[nH]c(=O)[n-]1)[C@@H]1CCOC1 ZINC001267409424 813881113 /nfs/dbraw/zinc/88/11/13/813881113.db2.gz WZKJIJMUEWFTSD-PWSUYJOCSA-N -1 1 327.385 -0.500 20 0 EBADMM CC(C)N(CCCNC(=O)CN1CCOCC1)Cc1n[nH]c(=O)[n-]1 ZINC001267500662 813916037 /nfs/dbraw/zinc/91/60/37/813916037.db2.gz GBNNDXUSDLLKHF-UHFFFAOYSA-N -1 1 340.428 -0.441 20 0 EBADMM C[C@@H]1CN(C(=O)CO[C@@H]2CCOC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082508309 813959329 /nfs/dbraw/zinc/95/93/29/813959329.db2.gz YQYMAPAGVTYLNU-GMTAPVOTSA-N -1 1 325.369 -0.748 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2OCCO[C@H]2C)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082568919 813970381 /nfs/dbraw/zinc/97/03/81/813970381.db2.gz YTBQIMQGYLOXRE-KLBPJQLPSA-N -1 1 325.369 -0.749 20 0 EBADMM C[C@@H]1CN(C(=O)C[C@@H]2CCN(C)C2=O)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082581715 813973133 /nfs/dbraw/zinc/97/31/33/813973133.db2.gz XUQKPUYOXHJVAU-OUAUKWLOSA-N -1 1 336.396 -0.685 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)c4ccsc4)[C@H]3C2)nc1=O ZINC001082989571 814041993 /nfs/dbraw/zinc/04/19/93/814041993.db2.gz QXKKAOPEWZMCAC-NWDGAFQWSA-N -1 1 349.416 -0.105 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)/C=C/C4CC4)[C@H]3C2)nc1=O ZINC001083033935 814083169 /nfs/dbraw/zinc/08/31/69/814083169.db2.gz LKARMFANKQQJDF-QITAHTHBSA-N -1 1 333.392 -0.514 20 0 EBADMM Cc1nc(C(=O)N2CCO[C@@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@@H]32)co1 ZINC001083057515 814095724 /nfs/dbraw/zinc/09/57/24/814095724.db2.gz NGXSQWHWTNSVHD-NWDGAFQWSA-N -1 1 348.363 -0.870 20 0 EBADMM CN1CC[C@@H](N2C[C@H]3OCCN(C(=O)c4ncccc4[O-])[C@H]3C2)C1=O ZINC001083060335 814100276 /nfs/dbraw/zinc/10/02/76/814100276.db2.gz YMZNKNRTZIJNMK-MBNYWOFBSA-N -1 1 346.387 -0.457 20 0 EBADMM CC(C)[C@@H](F)C(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21 ZINC001083168536 814178744 /nfs/dbraw/zinc/17/87/44/814178744.db2.gz ZPQMXTKXNKAVSJ-DMDPSCGWSA-N -1 1 341.387 -0.486 20 0 EBADMM O=C(NC[C@H](O)CNCc1n[nH]c(=O)[n-]1)c1ccc2cnccc2c1 ZINC001268326710 814205920 /nfs/dbraw/zinc/20/59/20/814205920.db2.gz FNOAVYBYYHGLPF-CYBMUJFWSA-N -1 1 342.359 -0.061 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)s1 ZINC001083313326 814276536 /nfs/dbraw/zinc/27/65/36/814276536.db2.gz DMOIERXSTSGAKD-ZJUUUORDSA-N -1 1 337.405 -0.547 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c(C)o1 ZINC001083334337 814296953 /nfs/dbraw/zinc/29/69/53/814296953.db2.gz NMEYKKHKDBIFBR-NEPJUHHUSA-N -1 1 335.364 -0.707 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C=C3CCCCC3)[C@@H](O)C2)nc1=O ZINC001083357540 814321977 /nfs/dbraw/zinc/32/19/77/814321977.db2.gz SNRXKHBUIDIPPS-OLZOCXBDSA-N -1 1 335.408 -0.340 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1ncn(-c2ccccc2)n1 ZINC001268650267 814337181 /nfs/dbraw/zinc/33/71/81/814337181.db2.gz FIBPLUSXCWVDLB-SNVBAGLBSA-N -1 1 342.363 -0.001 20 0 EBADMM C[C@@H](CNCc1nncs1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001268708334 814360055 /nfs/dbraw/zinc/36/00/55/814360055.db2.gz CEGJEWFRKUSUGF-VIFPVBQESA-N -1 1 338.393 -0.927 20 0 EBADMM CCc1nnc(CN2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1C ZINC001083421408 814401120 /nfs/dbraw/zinc/40/11/20/814401120.db2.gz YHGUMOSDCYBMJQ-PWSUYJOCSA-N -1 1 346.391 -0.547 20 0 EBADMM Cc1nccnc1CN1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001083423073 814403350 /nfs/dbraw/zinc/40/33/50/814403350.db2.gz CQTDKGHETUKIFP-OCCSQVGLSA-N -1 1 329.360 -0.139 20 0 EBADMM COCc1noc(CN2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1 ZINC001083423168 814403474 /nfs/dbraw/zinc/40/34/74/814403474.db2.gz IALIHNMQUWUHQM-KOLCDFICSA-N -1 1 349.347 -0.708 20 0 EBADMM Cc1nnc([C@H](C)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)[nH]1 ZINC001083423392 814404204 /nfs/dbraw/zinc/40/42/04/814404204.db2.gz SUZCCVINFPABHS-XRNSZHNASA-N -1 1 332.364 -0.250 20 0 EBADMM O=C(N[C@@H]1CN(Cc2nnnn2C2CC2)C[C@@H]1O)c1ncccc1[O-] ZINC001083423441 814404273 /nfs/dbraw/zinc/40/42/73/814404273.db2.gz WDONEODJIRNXET-PWSUYJOCSA-N -1 1 345.363 -0.916 20 0 EBADMM CCc1noc(CN2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1 ZINC001083423377 814404363 /nfs/dbraw/zinc/40/43/63/814404363.db2.gz SCVCBPIHXATWSK-KOLCDFICSA-N -1 1 333.348 -0.292 20 0 EBADMM CCc1ccnc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c1 ZINC001083484253 814476230 /nfs/dbraw/zinc/47/62/30/814476230.db2.gz AVWUGNPBGLPGJV-OLZOCXBDSA-N -1 1 346.391 -0.959 20 0 EBADMM CCc1cnccc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001083587964 814591995 /nfs/dbraw/zinc/59/19/95/814591995.db2.gz RNXMUJWNBPJXSO-OLZOCXBDSA-N -1 1 346.391 -0.959 20 0 EBADMM O=C(N[C@@H]1CN(CCc2ccccc2)C[C@@H]1O)c1n[nH]c(=O)[n-]c1=O ZINC001083606851 814617217 /nfs/dbraw/zinc/61/72/17/814617217.db2.gz SYHOAAPSAMPXMD-NEPJUHHUSA-N -1 1 345.359 -0.700 20 0 EBADMM O=C(NC12CC(C(=O)NCCn3ccnn3)(C1)C2)c1ncccc1[O-] ZINC001269346314 814651338 /nfs/dbraw/zinc/65/13/38/814651338.db2.gz NSLDFXYZJIZYOS-UHFFFAOYSA-N -1 1 342.359 -0.152 20 0 EBADMM O=C(NCC1COC1)[C@H]1CCC2(CN(C(=O)c3ncccc3[O-])C2)O1 ZINC001269346925 814651406 /nfs/dbraw/zinc/65/14/06/814651406.db2.gz ZAXDQIBRTZKEME-CYBMUJFWSA-N -1 1 347.371 -0.077 20 0 EBADMM CC(=O)[C@H](C)N1C(=O)COCC12CN(C(=O)c1ccc([O-])cn1)C2 ZINC001269354458 814660220 /nfs/dbraw/zinc/66/02/20/814660220.db2.gz JXUMVMNFRAHCHJ-JTQLQIEISA-N -1 1 333.344 -0.182 20 0 EBADMM CC[C@H](CNC(=O)c1cc2ncccn2n1)NCc1n[nH]c(=O)[n-]1 ZINC001269485909 814709465 /nfs/dbraw/zinc/70/94/65/814709465.db2.gz DRDJHZKCFJKPPY-SECBINFHSA-N -1 1 330.352 -0.149 20 0 EBADMM CC(=O)[C@H](C)N1C(=O)C[C@]2(CCCN(C(=O)Cc3nn[n-]n3)C2)C1=O ZINC001269576588 814733735 /nfs/dbraw/zinc/73/37/35/814733735.db2.gz PNOPGVIMUGHLQC-VFZGTOFNSA-N -1 1 348.363 -0.913 20 0 EBADMM CC(=O)[C@H](C)N1C[C@@]2(CC1=O)CCCCN2C(=O)Cc1nn[n-]n1 ZINC001269576757 814734336 /nfs/dbraw/zinc/73/43/36/814734336.db2.gz VOYIQCJDMWMXQJ-BONVTDFDSA-N -1 1 334.380 -0.297 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC2(C1)COCC(=O)N2CCC(F)(F)F ZINC001269576364 814734595 /nfs/dbraw/zinc/73/45/95/814734595.db2.gz JTMLPQIQVWAXJW-UHFFFAOYSA-N -1 1 348.285 -0.866 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@]2(C1)CN(CC1CCCC1)C(=O)CO2 ZINC001269578177 814735367 /nfs/dbraw/zinc/73/53/67/814735367.db2.gz VHIIDNZTNAZNIC-INIZCTEOSA-N -1 1 348.407 -0.238 20 0 EBADMM COCCCN1CCC2(CCN(C(=O)Cc3nn[n-]n3)CC2)C1=O ZINC001269578098 814736233 /nfs/dbraw/zinc/73/62/33/814736233.db2.gz RVLXJXZVIQLACH-UHFFFAOYSA-N -1 1 336.396 -0.380 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@H]3CCC(C)(C)C3)[C@@H](O)C2)nc1=O ZINC001083757281 814759780 /nfs/dbraw/zinc/75/97/80/814759780.db2.gz GJQZTQZNAPXGPJ-TUAOUCFPSA-N -1 1 337.424 -0.404 20 0 EBADMM Cn1ncnc1C(=O)N1CCC2(CN(C(=O)c3ccc([O-])cn3)C2)C1 ZINC001269891264 815629380 /nfs/dbraw/zinc/62/93/80/815629380.db2.gz HPLPXKWOTXHYTC-UHFFFAOYSA-N -1 1 342.359 -0.096 20 0 EBADMM CN(C)S(=O)(=O)N1C[C@H]2C[C@@H](C1)N(C(=O)c1ccc([O-])cn1)C2 ZINC001269895954 815630616 /nfs/dbraw/zinc/63/06/16/815630616.db2.gz VHUSRFOWJBRBTE-MNOVXSKESA-N -1 1 340.405 -0.260 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@@H]3C[C@H]3C3CCC3)[C@@H](O)C2)nc1=O ZINC001083850335 815648148 /nfs/dbraw/zinc/64/81/48/815648148.db2.gz XSDNKSPJNMRXMI-LOWDOPEQSA-N -1 1 335.408 -0.794 20 0 EBADMM O=C(Cc1nn[n-]n1)N1C[C@H]2CC[C@@H](C1)N2Cc1cccc(=O)[nH]1 ZINC001270182552 815712436 /nfs/dbraw/zinc/71/24/36/815712436.db2.gz JATHDCCDKKBLIR-TXEJJXNPSA-N -1 1 329.364 -0.282 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC[C@@]2(CN(Cc3ccc[nH]3)CCO2)C1 ZINC001270182487 815712719 /nfs/dbraw/zinc/71/27/19/815712719.db2.gz GLNGVUVNTFJAJH-MRXNPFEDSA-N -1 1 345.407 -0.036 20 0 EBADMM O=C(CCc1ccc[nH]1)N1CC[C@H]2[C@@H]1CCN2C(=O)Cc1nn[n-]n1 ZINC001270183422 815714453 /nfs/dbraw/zinc/71/44/53/815714453.db2.gz VYPWRYFGMJZCAO-STQMWFEESA-N -1 1 343.391 -0.095 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC2(CN(Cc3nc4ccccc4[nH]3)C2)C1 ZINC001270183556 815714758 /nfs/dbraw/zinc/71/47/58/815714758.db2.gz ZFMVZSRSDJXIOM-UHFFFAOYSA-N -1 1 338.375 -0.037 20 0 EBADMM Cn1ncnc1C(=O)N1C[C@H]2C[C@@H](C1)N(C(=O)c1ncccc1[O-])C2 ZINC001270258349 815736479 /nfs/dbraw/zinc/73/64/79/815736479.db2.gz QAQYLHVWMIJWIO-MNOVXSKESA-N -1 1 342.359 -0.098 20 0 EBADMM CS[C@@H](C)C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001084196776 815865336 /nfs/dbraw/zinc/86/53/36/815865336.db2.gz VRISORBGPKBBNK-HBNTYKKESA-N -1 1 325.438 -0.107 20 0 EBADMM O=C(Cc1nn[n-]n1)N[C@@H]1[C@H]2CC[C@H](C2)[C@@H]1C(=O)Nc1nnco1 ZINC001270784259 815887376 /nfs/dbraw/zinc/88/73/76/815887376.db2.gz GIZYOFQFDMUBLZ-GJOTXNKNSA-N -1 1 332.324 -0.705 20 0 EBADMM COc1ccc(NC(=O)[C@]23C[C@H]2CCN3C(=O)Cc2nn[n-]n2)nn1 ZINC001270784173 815887857 /nfs/dbraw/zinc/88/78/57/815887857.db2.gz DMSFXTIDEHRLMZ-CLAHSXSESA-N -1 1 344.335 -0.830 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)C4CCC(O)CC4)[C@@H]3C2)nc1=O ZINC001084312547 815889215 /nfs/dbraw/zinc/88/92/15/815889215.db2.gz VAPYYGCVWHVCMY-FKZOYECMSA-N -1 1 349.435 -0.308 20 0 EBADMM O=C(Cc1nn[n-]n1)N1Cc2[nH]nc(C(=O)N3CCCC34CC4)c2C1 ZINC001270787971 815890031 /nfs/dbraw/zinc/89/00/31/815890031.db2.gz CJEYYYNTGCRVJN-UHFFFAOYSA-N -1 1 342.363 -0.224 20 0 EBADMM C[C@@H]1CN(C(=O)C23CC(NC(=O)Cc4nn[n-]n4)(C2)C3)C[C@@H](C)O1 ZINC001270789212 815891520 /nfs/dbraw/zinc/89/15/20/815891520.db2.gz PCVXJKVJNKZODZ-OAAXVMEWSA-N -1 1 334.380 -0.583 20 0 EBADMM C[C@H](NC(=O)[C@@H]1CC12CN(C(=O)Cc1nn[n-]n1)C2)c1ncc[nH]1 ZINC001270788623 815892275 /nfs/dbraw/zinc/89/22/75/815892275.db2.gz IAWQGDSZOABUOA-IUCAKERBSA-N -1 1 330.352 -0.809 20 0 EBADMM O=C(Cc1nn[n-]n1)N1Cc2n[nH]c(C(=O)NCC(F)F)c2C1 ZINC001270790014 815895087 /nfs/dbraw/zinc/89/50/87/815895087.db2.gz ZRGWORXGCPLXAH-UHFFFAOYSA-N -1 1 326.267 -0.997 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)[C@]45C[C@H]4COC5)[C@@H]3C2)nc1=O ZINC001084358587 815902149 /nfs/dbraw/zinc/90/21/49/815902149.db2.gz MOCBIKDOQWEWPM-JBBSTSQOSA-N -1 1 333.392 -0.822 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1C[C@H]2CCN(CCn3cccn3)C[C@H]21 ZINC001084487503 815926526 /nfs/dbraw/zinc/92/65/26/815926526.db2.gz OYERUYMXHKAWTL-GHMZBOCLSA-N -1 1 345.363 -0.674 20 0 EBADMM Cc1cnn(C)c1C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001084588810 815945030 /nfs/dbraw/zinc/94/50/30/815945030.db2.gz MQEWNMCOAUJAGW-VXGBXAGGSA-N -1 1 345.407 -0.503 20 0 EBADMM CCn1ccc(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)n1 ZINC001084702078 815963965 /nfs/dbraw/zinc/96/39/65/815963965.db2.gz FUWITDZZXAWALV-DGCLKSJQSA-N -1 1 345.407 -0.329 20 0 EBADMM CCn1cnc(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)c1 ZINC001084778882 815981211 /nfs/dbraw/zinc/98/12/11/815981211.db2.gz SVHFZMRCUZJJBJ-DGCLKSJQSA-N -1 1 345.407 -0.329 20 0 EBADMM Cc1nc[nH]c1CC(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001084799683 815983793 /nfs/dbraw/zinc/98/37/93/815983793.db2.gz WYVLMCBCYHMYJG-DGCLKSJQSA-N -1 1 345.407 -0.585 20 0 EBADMM CC[C@@H](OC)C(=O)N1CC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1 ZINC001084902703 815999952 /nfs/dbraw/zinc/99/99/52/815999952.db2.gz MVEJKIRQRRYDJM-FRRDWIJNSA-N -1 1 337.424 -0.044 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)C[C@H]4CCOC4)C[C@@H]32)nc1=O ZINC001084909385 816000398 /nfs/dbraw/zinc/00/03/98/816000398.db2.gz HJPLEOIZUHGKBX-MCIONIFRSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)[C@]4(C)CCOC4)C[C@@H]32)nc1=O ZINC001085063612 816018675 /nfs/dbraw/zinc/01/86/75/816018675.db2.gz ARVVAVUWWXEZNM-IIYDPXPESA-N -1 1 349.435 -0.042 20 0 EBADMM NC(=O)c1c[nH]c(C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)c1 ZINC001085376753 816045276 /nfs/dbraw/zinc/04/52/76/816045276.db2.gz SIBVKQLPBUCGJC-NXEZZACHSA-N -1 1 347.379 -0.232 20 0 EBADMM CN(C[C@H]1CCN1CCN1CCNC1=O)C(=O)c1ncccc1[O-] ZINC001085561510 816073354 /nfs/dbraw/zinc/07/33/54/816073354.db2.gz NPMRNBFANFJETQ-GFCCVEGCSA-N -1 1 333.392 -0.041 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)[C@@H]1CCCOCC1 ZINC001085571584 816075999 /nfs/dbraw/zinc/07/59/99/816075999.db2.gz HBKSKDAIZJPAHE-CHWSQXEVSA-N -1 1 337.424 -0.042 20 0 EBADMM O=C(c1cncc([O-])c1)N1C[C@@]2(F)C(=O)N(C3COC3)C[C@@]2(F)C1 ZINC001271385758 816094562 /nfs/dbraw/zinc/09/45/62/816094562.db2.gz JIOKATQRVKLMNF-LSDHHAIUSA-N -1 1 339.298 -0.099 20 0 EBADMM Cc1nc(CN2CC[C@H]2CN(C)C(=O)c2cnc([O-])n(C)c2=O)n[nH]1 ZINC001085759210 816133607 /nfs/dbraw/zinc/13/36/07/816133607.db2.gz BFRRWAPNNLZPGO-JTQLQIEISA-N -1 1 347.379 -0.741 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1cnn2cc[nH]c12 ZINC001085944323 816184552 /nfs/dbraw/zinc/18/45/52/816184552.db2.gz GBIPVJNONDEFMC-SNVBAGLBSA-N -1 1 344.379 -0.569 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1ccc(=O)[nH]c1 ZINC001085958212 816188104 /nfs/dbraw/zinc/18/81/04/816188104.db2.gz VUXFXQSMSHAJCM-LLVKDONJSA-N -1 1 332.364 -0.445 20 0 EBADMM CCNC(=O)CC(=O)N1CCC[C@H](C)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001271706234 816189643 /nfs/dbraw/zinc/18/96/43/816189643.db2.gz XITPUOCHJQDWLP-WDEREUQCSA-N -1 1 338.412 -0.247 20 0 EBADMM NC(=O)C1=NO[C@@H]2CN(C(=O)CCC[N-]C(=O)C(F)(F)F)C[C@H]12 ZINC001271839609 816224923 /nfs/dbraw/zinc/22/49/23/816224923.db2.gz WDFHKIHBZHNTGG-NKWVEPMBSA-N -1 1 336.270 -0.856 20 0 EBADMM Cc1conc1CN[C@H]1C[C@@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001086138741 816244847 /nfs/dbraw/zinc/24/48/47/816244847.db2.gz ZWNGJIZGENJRKY-DTORHVGOSA-N -1 1 334.336 -0.123 20 0 EBADMM Cc1nnc(CN[C@H]2C[C@H](CNC(=O)c3cnc([O-])n(C)c3=O)C2)[nH]1 ZINC001086445587 816336888 /nfs/dbraw/zinc/33/68/88/816336888.db2.gz CLXRZRQPLKQAFP-MGCOHNPYSA-N -1 1 347.379 -0.789 20 0 EBADMM O=C(c1cccc([O-])c1F)N1CC2(C1)CN(C1COC1)C(=O)CO2 ZINC001272283571 816384887 /nfs/dbraw/zinc/38/48/87/816384887.db2.gz VDWCNLVZQJILLP-UHFFFAOYSA-N -1 1 336.319 -0.017 20 0 EBADMM COc1ccccc1C(=O)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001272295494 816389812 /nfs/dbraw/zinc/38/98/12/816389812.db2.gz UAVQHYUOVUXDHE-UHFFFAOYSA-N -1 1 335.364 -0.257 20 0 EBADMM C[C@@H]1CCN(C(=O)[C@H]2CCC(=O)N2)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087135483 816467750 /nfs/dbraw/zinc/46/77/50/816467750.db2.gz KDKJCXDRGVSOBY-OUAUKWLOSA-N -1 1 336.396 -0.494 20 0 EBADMM C[C@H]1C[C@H]1C(=O)NCc1nc(CNCc2nc(=O)n(C)[n-]2)cc(=O)[nH]1 ZINC001087254605 816481663 /nfs/dbraw/zinc/48/16/63/816481663.db2.gz LPUUCCULBSTBAJ-WCBMZHEXSA-N -1 1 347.379 -0.834 20 0 EBADMM CN(C)C(=O)CN1CCO[C@H]2CN(C(=O)c3cncc([O-])c3)C[C@H]21 ZINC001272672519 816504549 /nfs/dbraw/zinc/50/45/49/816504549.db2.gz KBVZXKRSJKXWRR-KGLIPLIRSA-N -1 1 334.376 -0.599 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)[C@@H](C)n2cncn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087546756 816530245 /nfs/dbraw/zinc/53/02/45/816530245.db2.gz DHZFMWOUIRWHGN-GRYCIOLGSA-N -1 1 348.411 -0.570 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2cnn(C)c2N)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087550798 816531110 /nfs/dbraw/zinc/53/11/10/816531110.db2.gz UMMYJLYACLYIJP-WDEREUQCSA-N -1 1 348.411 -0.793 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2[nH]nnc2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087682741 816546078 /nfs/dbraw/zinc/54/60/78/816546078.db2.gz OXRDUJUYDGGURX-VHSXEESVSA-N -1 1 334.384 -0.682 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2[nH]cnc2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087850980 816567051 /nfs/dbraw/zinc/56/70/51/816567051.db2.gz CTIAFUGVUATKDM-WDEREUQCSA-N -1 1 333.396 -0.077 20 0 EBADMM CC[C@@H](C(N)=O)N1C(=O)COCC12CN(Cc1ncccc1[O-])C2 ZINC001273325634 816645547 /nfs/dbraw/zinc/64/55/47/816645547.db2.gz OOJZBFIAZAYFDR-LBPRGKRZSA-N -1 1 334.376 -0.536 20 0 EBADMM O=C([C@H]1CNC(=O)N1)N1CCCCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088371317 816672449 /nfs/dbraw/zinc/67/24/49/816672449.db2.gz FMWDGKZIQUADDY-NXEZZACHSA-N -1 1 337.384 -0.948 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)CC2OCCCO2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088539885 816699491 /nfs/dbraw/zinc/69/94/91/816699491.db2.gz WLZGVXOWBZTZJM-MNOVXSKESA-N -1 1 339.396 -0.660 20 0 EBADMM Cn1ncnc1C(=O)N1C[C@H]2C[C@@H](C1)N2C(=O)c1ccc(F)c(=O)[n-]1 ZINC001273576888 816701171 /nfs/dbraw/zinc/70/11/71/816701171.db2.gz DWBPDPOEGVGIKC-DTORHVGOSA-N -1 1 346.322 -0.206 20 0 EBADMM Cc1nnc(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)o1 ZINC001088553336 816702170 /nfs/dbraw/zinc/70/21/70/816702170.db2.gz BCWYCOSUBJIYKH-SCZZXKLOSA-N -1 1 335.368 -0.878 20 0 EBADMM COc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)nn1C ZINC001088731637 816732427 /nfs/dbraw/zinc/73/24/27/816732427.db2.gz ZNDSICXSIFTLKW-ZJUUUORDSA-N -1 1 349.395 -0.757 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)[C@@H]2CCCc3n[nH]nc32)CCN1Cc1n[nH]c(=O)[n-]1 ZINC001088824068 816749167 /nfs/dbraw/zinc/74/91/67/816749167.db2.gz OYVWOVYWSWCCEV-BBBLOLIVSA-N -1 1 346.395 -0.173 20 0 EBADMM Cc1ccc([O-])c(C(=O)N2C[C@@H]3COC[C@H](C2)N3CC(=O)N(C)C)n1 ZINC001274067526 816855540 /nfs/dbraw/zinc/85/55/40/816855540.db2.gz LJUZCFCMLWOFOE-BETUJISGSA-N -1 1 348.403 -0.291 20 0 EBADMM CCOCCOCC(=O)N1C[C@@H](C)[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001274296975 816924877 /nfs/dbraw/zinc/92/48/77/816924877.db2.gz GTMURXSYLGXIEE-MNOVXSKESA-N -1 1 327.385 -0.500 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ccc(F)cc3)[C@H](O)C2)nc1=O ZINC001090015934 816970233 /nfs/dbraw/zinc/97/02/33/816970233.db2.gz DMTACBARKYUQNJ-QWHCGFSZSA-N -1 1 349.366 -0.387 20 0 EBADMM Cc1cccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c1 ZINC001090038837 816979337 /nfs/dbraw/zinc/97/93/37/816979337.db2.gz QATDNRUGWXRCBF-KBPBESRZSA-N -1 1 345.403 -0.218 20 0 EBADMM O=C(C=Cc1ccc[nH]1)N1CCC(O)(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001274516691 816989359 /nfs/dbraw/zinc/98/93/59/816989359.db2.gz VZPHCPBHWQSZCC-ONEGZZNKSA-N -1 1 346.391 -0.005 20 0 EBADMM Cn1cc(CN2CC[C@H](NC(=O)c3ncccc3[O-])[C@H](O)C2)cn1 ZINC001090215454 817131960 /nfs/dbraw/zinc/13/19/60/817131960.db2.gz FDMJYIVZSGDVOJ-GXTWGEPZSA-N -1 1 331.376 -0.114 20 0 EBADMM Cn1ncc(CN2CC[C@H](NC(=O)c3ncccc3[O-])[C@H](O)C2)n1 ZINC001090215480 817132219 /nfs/dbraw/zinc/13/22/19/817132219.db2.gz FMZAOPULAGMBFK-WCQYABFASA-N -1 1 332.364 -0.719 20 0 EBADMM O=C(CN1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1)N1CCC1 ZINC001090215764 817133834 /nfs/dbraw/zinc/13/38/34/817133834.db2.gz JGZAZWKQILBPHK-YPMHNXCESA-N -1 1 334.376 -0.816 20 0 EBADMM O=C(CN1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1)N1CCC1 ZINC001090215763 817134021 /nfs/dbraw/zinc/13/40/21/817134021.db2.gz JGZAZWKQILBPHK-WCQYABFASA-N -1 1 334.376 -0.816 20 0 EBADMM Cc1ccc([O-])c(C(=O)N2CC[C@H]3C[C@]32C(=O)NCC(=O)N(C)C)n1 ZINC001274974477 817134946 /nfs/dbraw/zinc/13/49/46/817134946.db2.gz MCKQZOLDSBRRHL-APPDUMDISA-N -1 1 346.387 -0.095 20 0 EBADMM CCn1ncnc1CN1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001090216591 817135365 /nfs/dbraw/zinc/13/53/65/817135365.db2.gz NPDFGZVZUNGMIT-YPMHNXCESA-N -1 1 346.391 -0.236 20 0 EBADMM Cn1ccc(CN2CC[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1 ZINC001090216698 817135441 /nfs/dbraw/zinc/13/54/41/817135441.db2.gz OGPBUFJOFHHWBV-OCCSQVGLSA-N -1 1 331.376 -0.114 20 0 EBADMM Cc1nonc1CN1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001090217302 817136488 /nfs/dbraw/zinc/13/64/88/817136488.db2.gz PRBWFVKVQJLUDJ-ZWNOBZJWSA-N -1 1 333.348 -0.156 20 0 EBADMM O=C(CN1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1)NC1CC1 ZINC001090218604 817139065 /nfs/dbraw/zinc/13/90/65/817139065.db2.gz VTLUTEIFUYIXMW-AAEUAGOBSA-N -1 1 334.376 -0.769 20 0 EBADMM CCc1occc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001090233598 817162267 /nfs/dbraw/zinc/16/22/67/817162267.db2.gz IYXQIDVQNPZDQA-RYUDHWBXSA-N -1 1 349.391 -0.371 20 0 EBADMM Cc1cnccc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001090235072 817164787 /nfs/dbraw/zinc/16/47/87/817164787.db2.gz CPLUUGGKJDKMFX-CHWSQXEVSA-N -1 1 346.391 -0.823 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C3C=CC=CC=C3)[C@H](O)C2)nc1=O ZINC001090299637 817236107 /nfs/dbraw/zinc/23/61/07/817236107.db2.gz DAJHXFLSVHRGNK-ZIAGYGMSSA-N -1 1 345.403 -0.542 20 0 EBADMM CCc1ccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)o1 ZINC001090364267 817307167 /nfs/dbraw/zinc/30/71/67/817307167.db2.gz IATHISOQXOBWGJ-NWDGAFQWSA-N -1 1 349.391 -0.371 20 0 EBADMM Cn1ncnc1C(=O)N1C[C@H]2CN(Cc3ccncc3[O-])C[C@@H](C1)O2 ZINC001275996115 817335220 /nfs/dbraw/zinc/33/52/20/817335220.db2.gz CAMZJWHSECSBLO-BETUJISGSA-N -1 1 344.375 -0.359 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C3(C)CCCC3)[C@@H](O)C2)nc1=O ZINC001090469001 817443688 /nfs/dbraw/zinc/44/36/88/817443688.db2.gz QFAGRZSJTFJUKE-RYUDHWBXSA-N -1 1 337.424 -0.260 20 0 EBADMM COCCC[C@H](C)C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001276957765 817501868 /nfs/dbraw/zinc/50/18/68/817501868.db2.gz YHVGILJCEXNODF-RYUDHWBXSA-N -1 1 325.413 -0.138 20 0 EBADMM CN(C)C(=O)CNC(=O)[C@@H]1CCC2(CN(Cc3ncccc3[O-])C2)O1 ZINC001277318986 817551656 /nfs/dbraw/zinc/55/16/56/817551656.db2.gz XYHRJWKZPLONSD-AWEZNQCLSA-N -1 1 348.403 -0.275 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)cc1 ZINC001090754214 817719942 /nfs/dbraw/zinc/71/99/42/817719942.db2.gz JHLRQRNBPYMPAT-KGLIPLIRSA-N -1 1 345.403 -0.218 20 0 EBADMM CCC(=CC(=O)NC[C@@]1(O)CCN(Cc2nc(=O)n(C)[n-]2)C1)CC ZINC001278771492 817820404 /nfs/dbraw/zinc/82/04/04/817820404.db2.gz PIQNINKJTRJPLF-INIZCTEOSA-N -1 1 337.424 -0.092 20 0 EBADMM Cn1[n-]c(CN2CC(O)(CNC(=O)[C@H]3CCC=CCCC3)C2)nc1=O ZINC001278877875 817879020 /nfs/dbraw/zinc/87/90/20/817879020.db2.gz SMFVFLIOEPLUFO-ZDUSSCGKSA-N -1 1 349.435 -0.092 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)C[C@H]4CCOC4)C[C@]3(C)C2)nc1=O ZINC001091558467 818010994 /nfs/dbraw/zinc/01/09/94/818010994.db2.gz BCQPORKSSYFPJH-XNJGSVPQSA-N -1 1 349.435 -0.185 20 0 EBADMM COc1c(C(=O)N2CC[C@@H](CCNCc3n[nH]c(=O)[n-]3)C2)cnn1C ZINC001280274534 818031497 /nfs/dbraw/zinc/03/14/97/818031497.db2.gz PYUDCAIDHQCYFZ-SNVBAGLBSA-N -1 1 349.395 -0.106 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNc1ccnc(C2CC2)n1 ZINC001093736467 818090989 /nfs/dbraw/zinc/09/09/89/818090989.db2.gz CKJZGYYEMNLDQK-UHFFFAOYSA-N -1 1 344.375 -0.178 20 0 EBADMM COc1nc(C)cc(NCCNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001093737998 818092116 /nfs/dbraw/zinc/09/21/16/818092116.db2.gz LUZJDTIDGUGORJ-UHFFFAOYSA-N -1 1 348.363 -0.738 20 0 EBADMM O=C(NC[C@]12CCC[C@H]1N(Cc1n[nH]c(=O)[n-]1)CC2)[C@@H]1CNC(=O)N1 ZINC001094501610 818278426 /nfs/dbraw/zinc/27/84/26/818278426.db2.gz OXXNNNAXVBCRJJ-FEUHOPSXSA-N -1 1 349.395 -0.948 20 0 EBADMM CC/C=C(\C)C(=O)NC1(CO)CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001281799331 818280242 /nfs/dbraw/zinc/28/02/42/818280242.db2.gz RLACKHZDYLSIPI-LFYBBSHMSA-N -1 1 337.424 -0.092 20 0 EBADMM CO[C@H](C)CN1CC(N2C[C@H](NC(=O)c3ncccc3[O-])CC2=O)C1 ZINC001094732066 818382016 /nfs/dbraw/zinc/38/20/16/818382016.db2.gz VAPKPYQLZPYROK-VXGBXAGGSA-N -1 1 348.403 -0.163 20 0 EBADMM C[C@H](NC(=O)CCOCC1CC1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001282715271 818476706 /nfs/dbraw/zinc/47/67/06/818476706.db2.gz RJVXXDWCQZJUGH-NSHDSACASA-N -1 1 337.424 -0.138 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)C[C@@H]2CCCO2)C3)nc1=O ZINC001095200665 818582404 /nfs/dbraw/zinc/58/24/04/818582404.db2.gz NLOHLJIMHSMTBP-VOAKCMCISA-N -1 1 335.408 -0.101 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2cccc(=O)[nH]2)C3)nc1=O ZINC001095274090 818594998 /nfs/dbraw/zinc/59/49/98/818594998.db2.gz ZTDZSVZOUGQDBY-USWWRNFRSA-N -1 1 344.375 -0.256 20 0 EBADMM O=C(N[C@H]1C[C@H]2CC[C@@H]1N2CCn1cccn1)c1n[nH]c(=O)[n-]c1=O ZINC001095694238 818671710 /nfs/dbraw/zinc/67/17/10/818671710.db2.gz QWCUAPJTUSCKOT-VWYCJHECSA-N -1 1 345.363 -0.485 20 0 EBADMM Cn1cncc1CC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001096350544 818805669 /nfs/dbraw/zinc/80/56/69/818805669.db2.gz OLJXINLYSBXGGF-WXHSDQCUSA-N -1 1 345.407 -0.694 20 0 EBADMM CN(CCCNC(=O)CC1CC1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001283476660 818823516 /nfs/dbraw/zinc/82/35/16/818823516.db2.gz HAWDENYOUUGDOA-UHFFFAOYSA-N -1 1 336.392 -0.309 20 0 EBADMM Cn1ccc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)n1 ZINC001096543458 818852118 /nfs/dbraw/zinc/85/21/18/818852118.db2.gz SJDREANEMMBECL-USWWRNFRSA-N -1 1 331.380 -0.623 20 0 EBADMM Cc1nonc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001096661307 818866880 /nfs/dbraw/zinc/86/68/80/818866880.db2.gz CLWHVVGRHIGFSJ-UTLUCORTSA-N -1 1 333.352 -0.665 20 0 EBADMM O=C(NC[C@H](Nc1ncccn1)C1CC1)c1cc(=O)n2[n-]cnc2n1 ZINC001096691811 818872451 /nfs/dbraw/zinc/87/24/51/818872451.db2.gz NFLWPQREYIZYJJ-NSHDSACASA-N -1 1 340.347 -0.172 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)nn1C ZINC001096853398 818896650 /nfs/dbraw/zinc/89/66/50/818896650.db2.gz YLXKZFKVSFXXOJ-WZRBSPASSA-N -1 1 345.407 -0.314 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)Cc2ccon2)C3)nc1=O ZINC001096895229 818900844 /nfs/dbraw/zinc/90/08/44/818900844.db2.gz DGMSFWBPYJVUID-UTUOFQBUSA-N -1 1 332.364 -0.439 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCN(C(=O)CCCF)C1 ZINC001283876215 819013382 /nfs/dbraw/zinc/01/33/82/819013382.db2.gz JUQJLYSENOPDKW-LLVKDONJSA-N -1 1 340.355 -0.607 20 0 EBADMM O=C(NCC1CC1)C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001283890469 819020790 /nfs/dbraw/zinc/02/07/90/819020790.db2.gz SEMLHHXLAHMGPX-LLVKDONJSA-N -1 1 332.360 -0.356 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@@]2(F)CCOC2)C3)nc1=O ZINC001097712949 819020870 /nfs/dbraw/zinc/02/08/70/819020870.db2.gz PLBOZXZFKDVZIS-YYHMBLRTSA-N -1 1 339.371 -0.542 20 0 EBADMM CNC(=O)C1(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)CC1 ZINC001284021683 819068894 /nfs/dbraw/zinc/06/88/94/819068894.db2.gz OPKGEQUBPFXXGX-JTQLQIEISA-N -1 1 332.360 -0.356 20 0 EBADMM Cc1c[nH]c(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)n1 ZINC001098005870 819072603 /nfs/dbraw/zinc/07/26/03/819072603.db2.gz IGXOKWSHZCQVPP-MXWKQRLJSA-N -1 1 331.380 -0.325 20 0 EBADMM CC(C)(O)CC(=O)N1CCC(N(CCO)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001098355206 819144070 /nfs/dbraw/zinc/14/40/70/819144070.db2.gz SMMKYDHTNSCULP-UHFFFAOYSA-N -1 1 341.412 -0.543 20 0 EBADMM O=C(c1ccncn1)N1CCC(N(CCO)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001098501752 819221229 /nfs/dbraw/zinc/22/12/29/819221229.db2.gz JBIPYGHPYFXLEU-UHFFFAOYSA-N -1 1 347.379 -0.601 20 0 EBADMM CC1CC(C(=O)N(C)CCNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001284553694 819284955 /nfs/dbraw/zinc/28/49/55/819284955.db2.gz AIJAEFSDONMUEN-UHFFFAOYSA-N -1 1 336.392 -0.453 20 0 EBADMM CC/C=C(/C)C(=O)N(C)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001284555210 819286505 /nfs/dbraw/zinc/28/65/05/819286505.db2.gz WXPOOHCLPLKITK-XGICHPGQSA-N -1 1 336.392 -0.142 20 0 EBADMM Cn1[n-]c(CN2CC(C)(C)CC[C@@H]2CNC(=O)CC(N)=O)nc1=O ZINC001098993677 819402412 /nfs/dbraw/zinc/40/24/12/819402412.db2.gz QQNKYRAGLZIPRR-SNVBAGLBSA-N -1 1 338.412 -0.909 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCC[C@]3(NC(=O)c3cccnn3)C2)nc1=O ZINC001099091561 819415117 /nfs/dbraw/zinc/41/51/17/819415117.db2.gz SXYZJYHBKMPVKB-ZBEGNZNMSA-N -1 1 343.391 -0.317 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCC[C@]3(NC(=O)c3ccncn3)C2)nc1=O ZINC001099084345 819415451 /nfs/dbraw/zinc/41/54/51/819415451.db2.gz QLMJTERSEQFJLP-ZBEGNZNMSA-N -1 1 343.391 -0.317 20 0 EBADMM CC/C=C(/C)C(=O)N1CC(CNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001284978492 819440437 /nfs/dbraw/zinc/44/04/37/819440437.db2.gz AKBCIOMVBTXOQE-QCDXTXTGSA-N -1 1 348.403 -0.142 20 0 EBADMM C[C@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)Nc1nccnc1F ZINC001099313480 819450390 /nfs/dbraw/zinc/45/03/90/819450390.db2.gz COPMSHRKYOGURF-MRVPVSSYSA-N -1 1 346.326 -0.033 20 0 EBADMM CCC(=O)NCC1(NC(=O)CCn2cc[n-]c(=O)c2=O)CCCC1 ZINC001285118361 819485845 /nfs/dbraw/zinc/48/58/45/819485845.db2.gz KNEBHWMVGVFNFT-UHFFFAOYSA-N -1 1 336.392 -0.118 20 0 EBADMM C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)[C@H](C)NC(=O)C(F)F ZINC001285270336 819531504 /nfs/dbraw/zinc/53/15/04/819531504.db2.gz TVBMEQAVTVFXQK-SFYZADRCSA-N -1 1 332.307 -0.799 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)CC(C)(C)C)[C@@H](O)C2)nc1=O ZINC001099682008 819568191 /nfs/dbraw/zinc/56/81/91/819568191.db2.gz YZNUTHLSGWLERC-QWRGUYRKSA-N -1 1 325.413 -0.404 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC/C=C\CNC(=O)c1ccco1 ZINC001285460028 819615889 /nfs/dbraw/zinc/61/58/89/819615889.db2.gz OCGMSRKLOKWYGQ-UPHRSURJSA-N -1 1 346.343 -0.378 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC/C=C\CNC(=O)c1ccc[nH]1 ZINC001285471622 819620249 /nfs/dbraw/zinc/62/02/49/819620249.db2.gz CJNQDYGWJVCFQK-UPHRSURJSA-N -1 1 345.359 -0.643 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])N(C)C(=O)[C@H]1CCCNC1=O ZINC001285655547 819709350 /nfs/dbraw/zinc/70/93/50/819709350.db2.gz PXHBBFHWEYUIHN-QWRGUYRKSA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@H](CNC(=O)CC1(C)CC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285670823 819717215 /nfs/dbraw/zinc/71/72/15/819717215.db2.gz VLSDQGOKPKDNTB-LLVKDONJSA-N -1 1 336.392 -0.262 20 0 EBADMM C[C@H](CNC(=O)C1CCCC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285669982 819717477 /nfs/dbraw/zinc/71/74/77/819717477.db2.gz CWZAUMUCRWIBLU-LLVKDONJSA-N -1 1 336.392 -0.262 20 0 EBADMM CC[C@]1(C(=O)NC[C@H](C)NC(=O)c2ncccc2[O-])CCNC1=O ZINC001285723875 819739608 /nfs/dbraw/zinc/73/96/08/819739608.db2.gz ZLGUSJRTFGHUDG-QFYYESIMSA-N -1 1 334.376 -0.062 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)N(C)C(=O)c1ccoc1 ZINC001285749397 819752326 /nfs/dbraw/zinc/75/23/26/819752326.db2.gz JRQPGVIPEPDQEM-LLVKDONJSA-N -1 1 348.359 -0.203 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C3(C(F)F)CC3)[C@H](O)C2)nc1=O ZINC001099835355 819763087 /nfs/dbraw/zinc/76/30/87/819763087.db2.gz GOTGHOIKFUXLTQ-DTWKUNHWSA-N -1 1 345.350 -0.795 20 0 EBADMM CCOCCC(=O)NC[C@@]1(O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001286173347 819924651 /nfs/dbraw/zinc/92/46/51/819924651.db2.gz AJNLMKJPOLQYEQ-INIZCTEOSA-N -1 1 337.376 -0.093 20 0 EBADMM CCC1(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)CCC1 ZINC001099965473 819969635 /nfs/dbraw/zinc/96/96/35/819969635.db2.gz XOFUNJBVZHNNAU-NWDGAFQWSA-N -1 1 337.424 -0.260 20 0 EBADMM C[C@@H](CCCNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CNC(=O)N1 ZINC001287302153 820024025 /nfs/dbraw/zinc/02/40/25/820024025.db2.gz DCIWOCVTNCZHIG-UWVGGRQHSA-N -1 1 335.364 -0.517 20 0 EBADMM C[C@H](CN(C)C(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)[C@@H]1CC12CC2 ZINC001287664101 820093043 /nfs/dbraw/zinc/09/30/43/820093043.db2.gz ZPDYQRHDQFWOAD-NEPJUHHUSA-N -1 1 348.403 -0.310 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)CCn3cccc3)[C@@H](O)C2)nc1=O ZINC001100050235 820126109 /nfs/dbraw/zinc/12/61/09/820126109.db2.gz FJKWVJRWSPCTHD-OLZOCXBDSA-N -1 1 348.407 -0.948 20 0 EBADMM C[C@H]1[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)CCN1C(=O)CC1CC1 ZINC001287851727 820178197 /nfs/dbraw/zinc/17/81/97/820178197.db2.gz HRWPJXSCADIDON-AAEUAGOBSA-N -1 1 348.403 -0.168 20 0 EBADMM CCC(C)(CC)C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001100118928 820246866 /nfs/dbraw/zinc/24/68/66/820246866.db2.gz AIWNXJFEAUARCV-NWDGAFQWSA-N -1 1 339.440 -0.014 20 0 EBADMM CNC(=O)CC(=O)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncccc1[O-])C2 ZINC001291840344 820522741 /nfs/dbraw/zinc/52/27/41/820522741.db2.gz PKIUAUORYVHDIF-VWYCJHECSA-N -1 1 332.360 -0.215 20 0 EBADMM CCCCC(=O)N1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001292381229 820533103 /nfs/dbraw/zinc/53/31/03/820533103.db2.gz AHELWVCEYCBMAV-UHFFFAOYSA-N -1 1 336.392 -0.212 20 0 EBADMM Cc1cc(C)c(C(=O)NCCNC(=O)CCn2cc[n-]c(=O)c2=O)o1 ZINC001292622391 820570804 /nfs/dbraw/zinc/57/08/04/820570804.db2.gz KYGXMYSSQGFDEA-UHFFFAOYSA-N -1 1 348.359 -0.317 20 0 EBADMM CNC(=O)c1cccc(C(=O)NCCNC(=O)c2ncccc2[O-])n1 ZINC001292662473 820580241 /nfs/dbraw/zinc/58/02/41/820580241.db2.gz RRXMGSZENZADDG-UHFFFAOYSA-N -1 1 343.343 -0.298 20 0 EBADMM Cn1ncnc1COCC(=O)NCCCNC(=O)c1ncccc1[O-] ZINC001293262194 820731685 /nfs/dbraw/zinc/73/16/85/820731685.db2.gz JKJJJEXSRCHEFJ-UHFFFAOYSA-N -1 1 348.363 -0.631 20 0 EBADMM CC[C@H](F)C(=O)N[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001293591540 820793362 /nfs/dbraw/zinc/79/33/62/820793362.db2.gz IRYCWZNXEBDHED-MNOVXSKESA-N -1 1 340.355 -0.608 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)CCn1cncn1 ZINC001101729788 820899755 /nfs/dbraw/zinc/89/97/55/820899755.db2.gz CTLIPFYJXAYPAI-GHMZBOCLSA-N -1 1 334.384 -0.624 20 0 EBADMM CCN(CCCNC(=O)c1cnc(C2CC2)[n-]c1=O)C(=O)C(N)=O ZINC001294457464 820936411 /nfs/dbraw/zinc/93/64/11/820936411.db2.gz OBVHIXOMUUXNPZ-UHFFFAOYSA-N -1 1 335.364 -0.487 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)C(=O)NCC(F)F ZINC001102111130 821029162 /nfs/dbraw/zinc/02/91/62/821029162.db2.gz WJVRIBISMYMQHV-HTQZYQBOSA-N -1 1 346.338 -0.924 20 0 EBADMM COC[C@H](OC)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102345076 821076066 /nfs/dbraw/zinc/07/60/66/821076066.db2.gz QYJGLUOMUYJBGL-MXWKQRLJSA-N -1 1 327.385 -0.644 20 0 EBADMM CNC(=O)C1(C(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ncccc2[O-])CC1 ZINC001295336288 821078513 /nfs/dbraw/zinc/07/85/13/821078513.db2.gz MGYIHFXGLXSBOH-IAZYJMLFSA-N -1 1 344.371 -0.500 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)CCCn1cncn1 ZINC001102497939 821126925 /nfs/dbraw/zinc/12/69/25/821126925.db2.gz SZUDJPJEPZCKDR-VXGBXAGGSA-N -1 1 348.411 -0.234 20 0 EBADMM C[C@@H](CNC(=O)[C@@H]1CCNC1=O)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001295599191 821133255 /nfs/dbraw/zinc/13/32/55/821133255.db2.gz XOVICTFBMDPBNC-WCBMZHEXSA-N -1 1 347.375 -0.570 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CCNC1=O)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001295599193 821133480 /nfs/dbraw/zinc/13/34/80/821133480.db2.gz XOVICTFBMDPBNC-WPRPVWTQSA-N -1 1 347.375 -0.570 20 0 EBADMM CCCOCC(=O)NCCN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001296018531 821194752 /nfs/dbraw/zinc/19/47/52/821194752.db2.gz JXUVOFLQBQKIMV-UHFFFAOYSA-N -1 1 336.352 -0.968 20 0 EBADMM O=C(CC(=O)N1CCC(O)(c2nn[n-]n2)CC1)NCC(F)(F)F ZINC001296952990 821298866 /nfs/dbraw/zinc/29/88/66/821298866.db2.gz GQPBTYOESAEYBP-UHFFFAOYSA-N -1 1 336.274 -0.922 20 0 EBADMM CO[C@@H](C(=O)OC(C)(C)C)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001296955877 821299194 /nfs/dbraw/zinc/29/91/94/821299194.db2.gz NEWNIAOXPSXZJW-SECBINFHSA-N -1 1 341.368 -0.634 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2COCCO2)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001103912049 821368218 /nfs/dbraw/zinc/36/82/18/821368218.db2.gz PYSYRNUTKZIYEC-UTUOFQBUSA-N -1 1 339.396 -0.500 20 0 EBADMM CC[C@H](CNC(=O)c1cnco1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001297450969 821375502 /nfs/dbraw/zinc/37/55/02/821375502.db2.gz YNLVGAIESOTXCF-SNVBAGLBSA-N -1 1 349.347 -0.761 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)CNc1ncccn1 ZINC001104017278 821387475 /nfs/dbraw/zinc/38/74/75/821387475.db2.gz FBEYNZRHRUKLKB-NSHDSACASA-N -1 1 332.364 -0.419 20 0 EBADMM O=C(CCc1nn[n-]n1)NCCCN1C(=O)c2ccccc2C1=O ZINC001297561858 821390105 /nfs/dbraw/zinc/39/01/05/821390105.db2.gz SOBYTMXRJDXMNM-UHFFFAOYSA-N -1 1 328.332 -0.065 20 0 EBADMM Cc1ccc(NC[C@@H](C)CNC(=O)c2cc(=O)n3[n-]cnc3n2)nn1 ZINC001104037772 821391898 /nfs/dbraw/zinc/39/18/98/821391898.db2.gz WYDXUNCGYRGDLZ-SECBINFHSA-N -1 1 342.363 -0.006 20 0 EBADMM O=C(NC/C=C/CNC(=O)[C@H]1CCC(=O)NC1)c1ncccc1[O-] ZINC001298357015 821516021 /nfs/dbraw/zinc/51/60/21/821516021.db2.gz OYARNIJUJFLRJX-GXFZAYBSSA-N -1 1 332.360 -0.284 20 0 EBADMM CC(C)(C)C(=O)NC/C=C/CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001298475859 821555944 /nfs/dbraw/zinc/55/59/44/821555944.db2.gz URGHRPIFIRDPRN-SNAWJCMRSA-N -1 1 336.392 -0.239 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)[C@]1(C)C=CCC1 ZINC001298846054 821607167 /nfs/dbraw/zinc/60/71/67/821607167.db2.gz DVOFRXZCUBKLIA-YVEFUNNKSA-N -1 1 348.403 -0.096 20 0 EBADMM O=C(C=C1CCC1)NC[C@H]1CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001299005367 821624231 /nfs/dbraw/zinc/62/42/31/821624231.db2.gz NXNGNAAJKZJWGT-CYBMUJFWSA-N -1 1 346.387 -0.246 20 0 EBADMM C[C@@H](NC(=O)CC(F)(F)F)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001127966553 828367891 /nfs/dbraw/zinc/36/78/91/828367891.db2.gz BGGYXZPJYHBXRS-ZCFIWIBFSA-N -1 1 338.290 -0.827 20 0 EBADMM COc1cnc([C@@H]2CCCN2C(=O)[C@H]2OCCN(C)C2=O)[n-]c1=O ZINC001304659769 821764188 /nfs/dbraw/zinc/76/41/88/821764188.db2.gz IBDXRNRHMUNEFL-ONGXEEELSA-N -1 1 336.348 -0.289 20 0 EBADMM CC(C)C(=O)NCCNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001311882018 821789302 /nfs/dbraw/zinc/78/93/02/821789302.db2.gz XAXLDBMOTJJNME-UHFFFAOYSA-N -1 1 337.380 -0.174 20 0 EBADMM Cn1ncc2c1ncnc2NC[C@H](O)CNC(=O)c1ncccc1[O-] ZINC001105802401 821873746 /nfs/dbraw/zinc/87/37/46/821873746.db2.gz MLYHRCPWMFGULM-VIFPVBQESA-N -1 1 343.347 -0.333 20 0 EBADMM CN(C)C(=O)CN(C)CCCNC(=O)c1c[n-]n2c1nccc2=O ZINC001316852768 821890468 /nfs/dbraw/zinc/89/04/68/821890468.db2.gz CVQHLSZPVFPSFT-UHFFFAOYSA-N -1 1 334.380 -0.838 20 0 EBADMM CNC(=O)NCC(=O)NCCC[C@H]1CCCN1Cc1n[nH]c(=O)[n-]1 ZINC001317424724 822107117 /nfs/dbraw/zinc/10/71/17/822107117.db2.gz WHGYIZUIVBWBRL-JTQLQIEISA-N -1 1 339.400 -0.700 20 0 EBADMM Cc1n[nH]c(C(=O)NCCN(C)Cc2cc(=O)n3nccc3[nH]2)c1[O-] ZINC001317442019 822109554 /nfs/dbraw/zinc/10/95/54/822109554.db2.gz DRDHLXUXNDTWRV-UHFFFAOYSA-N -1 1 345.363 -0.378 20 0 EBADMM CN(CCNC(=O)c1cccc2nccn21)Cc1nc(=O)n(C)[n-]1 ZINC001317452781 822114042 /nfs/dbraw/zinc/11/40/42/822114042.db2.gz DIQFFIBUGYCNEB-UHFFFAOYSA-N -1 1 329.364 -0.382 20 0 EBADMM CN(CCNC(=O)Cc1c[nH]c2cnccc12)Cc1nc(=O)n(C)[n-]1 ZINC001317456968 822115699 /nfs/dbraw/zinc/11/56/99/822115699.db2.gz JXUYVEDJMKCMIR-UHFFFAOYSA-N -1 1 343.391 -0.225 20 0 EBADMM CCN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)Cc1nccn1C ZINC001317488747 822129313 /nfs/dbraw/zinc/12/93/13/822129313.db2.gz WTOCCUPBUDYXRO-UHFFFAOYSA-N -1 1 348.407 -0.702 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1cc2c([nH]c1=O)CCC2 ZINC001317662279 822197462 /nfs/dbraw/zinc/19/74/62/822197462.db2.gz XEKDJAAWLWPZFW-UHFFFAOYSA-N -1 1 332.364 -0.039 20 0 EBADMM CNC(=O)C1(C(=O)NCC[C@@H]2CCN(Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001317805614 822214418 /nfs/dbraw/zinc/21/44/18/822214418.db2.gz NGPOXMBFNSPRMN-SNVBAGLBSA-N -1 1 336.396 -0.635 20 0 EBADMM Cc1noc([C@@H](C)N2CC(NC(=O)CCn3cc[n-]c(=O)c3=O)C2)n1 ZINC001318070962 822253116 /nfs/dbraw/zinc/25/31/16/822253116.db2.gz HEVLPFOXPZJHFT-SECBINFHSA-N -1 1 348.363 -0.820 20 0 EBADMM C[C@H](CCNc1ncccn1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001106382877 822260638 /nfs/dbraw/zinc/26/06/38/822260638.db2.gz JGNIYENYOWMBQE-SECBINFHSA-N -1 1 328.336 -0.172 20 0 EBADMM NC(=O)CCOc1ccccc1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001318204137 822274403 /nfs/dbraw/zinc/27/44/03/822274403.db2.gz CXNIVYIPKWUHNB-UHFFFAOYSA-N -1 1 346.343 -0.180 20 0 EBADMM CCN(CCNc1ncc(F)cn1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001106799992 822332940 /nfs/dbraw/zinc/33/29/40/822332940.db2.gz AKAHMZOACXMKSL-UHFFFAOYSA-N -1 1 346.326 -0.079 20 0 EBADMM CCN(CCNc1nccc(C)n1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001106804663 822334417 /nfs/dbraw/zinc/33/44/17/822334417.db2.gz DQANYRMJOZVCEV-UHFFFAOYSA-N -1 1 346.391 -0.014 20 0 EBADMM CS(=O)(=O)[C@H]1CCC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001318664455 822349834 /nfs/dbraw/zinc/34/98/34/822349834.db2.gz FLNKEPQNKCCKFJ-QWRGUYRKSA-N -1 1 343.405 -0.601 20 0 EBADMM CN1CC[C@H](C(=O)N[C@](C)(CNCc2n[nH]c(=O)[n-]2)C2CC2)C1=O ZINC001318711443 822353990 /nfs/dbraw/zinc/35/39/90/822353990.db2.gz NZYPLLJHADOYJI-MEBBXXQBSA-N -1 1 336.396 -0.637 20 0 EBADMM NC(=O)C1(C(=O)NC[C@]23CCC[C@H]2N(Cc2n[nH]c(=O)[n-]2)CC3)CC1 ZINC001107528125 823874887 /nfs/dbraw/zinc/87/48/87/823874887.db2.gz NOUFWBONDXIDAI-MEBBXXQBSA-N -1 1 348.407 -0.363 20 0 EBADMM CC[C@@H](C)C(=O)NC[C@@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107751362 823937782 /nfs/dbraw/zinc/93/77/82/823937782.db2.gz BHAWDVAVJZFKFC-ABAIWWIYSA-N -1 1 325.413 -0.138 20 0 EBADMM CC[C@@H](OC)C(=O)NC[C@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107798038 823969232 /nfs/dbraw/zinc/96/92/32/823969232.db2.gz KLLMQAUBPVEYBD-IAQYHMDHSA-N -1 1 341.412 -0.760 20 0 EBADMM CC1CC(C(=O)NC[C@@]2(C)CN(Cc3nc(=O)n(C)[n-]3)CCO2)C1 ZINC001107857727 824001178 /nfs/dbraw/zinc/00/11/78/824001178.db2.gz BSTBPKHRFJYUJU-PPUFBPAQSA-N -1 1 337.424 -0.138 20 0 EBADMM Cn1nnc(CN2CCO[C@@](C)(CNC(=O)c3ncccc3[O-])C2)n1 ZINC001107889760 824020311 /nfs/dbraw/zinc/02/03/11/824020311.db2.gz CPEUUTWJXLQKAW-HNNXBMFYSA-N -1 1 347.379 -0.668 20 0 EBADMM CC[C@H](C(N)=O)N1CCO[C@@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001107890354 824021317 /nfs/dbraw/zinc/02/13/17/824021317.db2.gz LUXZOEOULZRIRQ-BZNIZROVSA-N -1 1 336.392 -0.128 20 0 EBADMM Cn1[n-]c(CN2CCO[C@](C)(CNC(=O)CCC(F)F)C2)nc1=O ZINC001108074287 824116428 /nfs/dbraw/zinc/11/64/28/824116428.db2.gz GAUJLJPGLLKBQW-CQSZACIVSA-N -1 1 347.366 -0.139 20 0 EBADMM CC[C@@H](F)C(=O)NC[C@@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001108121986 824134605 /nfs/dbraw/zinc/13/46/05/824134605.db2.gz KTCCGEHXNMCPSH-YGRLFVJLSA-N -1 1 329.376 -0.436 20 0 EBADMM CC[C@H](F)C(=O)NC[C@@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001108121983 824134618 /nfs/dbraw/zinc/13/46/18/824134618.db2.gz KTCCGEHXNMCPSH-HZMBPMFUSA-N -1 1 329.376 -0.436 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)NC[C@@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001108227777 824174765 /nfs/dbraw/zinc/17/47/65/824174765.db2.gz FRXCKLWYNGSWLP-HSMVNMDESA-N -1 1 337.424 -0.138 20 0 EBADMM C[C@@H](CNc1cnc(F)cn1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001108445360 824289551 /nfs/dbraw/zinc/28/95/51/824289551.db2.gz SJNJQNIWLMLYEZ-VIFPVBQESA-N -1 1 336.327 -0.527 20 0 EBADMM Cc1ccc(N(C)C[C@@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)nn1 ZINC001109019069 824473273 /nfs/dbraw/zinc/47/32/73/824473273.db2.gz BQZDMEJQFMEROR-GFCCVEGCSA-N -1 1 346.391 -0.334 20 0 EBADMM Cc1nccc(N(C)C[C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001109039009 824474464 /nfs/dbraw/zinc/47/44/64/824474464.db2.gz FOBCNMJZNXVKGG-SECBINFHSA-N -1 1 342.363 -0.229 20 0 EBADMM Cc1nsc(N(C)C[C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001109038868 824474498 /nfs/dbraw/zinc/47/44/98/824474498.db2.gz AZBKFAYIGBWXTC-ZETCQYMHSA-N -1 1 348.392 -0.168 20 0 EBADMM C[C@@H](CN(C)c1ncccn1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001109039080 824474612 /nfs/dbraw/zinc/47/46/12/824474612.db2.gz HECXBHLECNWQAE-VIFPVBQESA-N -1 1 328.336 -0.538 20 0 EBADMM C[C@@H](CN(C)c1ncc(F)cn1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001109038894 824475031 /nfs/dbraw/zinc/47/50/31/824475031.db2.gz BYKYWOFBPDYOHT-QMMMGPOBSA-N -1 1 346.326 -0.399 20 0 EBADMM Cc1ccnc(NCCCN(C)C(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001109480708 824549692 /nfs/dbraw/zinc/54/96/92/824549692.db2.gz YRKHSGNHUKSGPQ-UHFFFAOYSA-N -1 1 346.391 -0.014 20 0 EBADMM O=C(NCC1(Nc2ncnc3[nH]cnc32)CC1)c1n[nH]c(=O)[n-]c1=O ZINC001110406636 824725012 /nfs/dbraw/zinc/72/50/12/824725012.db2.gz XLMYFGSHLTYXPM-UHFFFAOYSA-N -1 1 343.307 -0.676 20 0 EBADMM NC(=O)NC(=O)CN1C[C@@H]2CCC[C@]2(NC(=O)c2ncccc2[O-])C1 ZINC001111716550 825291695 /nfs/dbraw/zinc/29/16/95/825291695.db2.gz SBZKHZTUZOKUHE-QFYYESIMSA-N -1 1 347.375 -0.434 20 0 EBADMM CC(C)COCCC(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001112641944 825478724 /nfs/dbraw/zinc/47/87/24/825478724.db2.gz OCBAQWINUDYYPB-UHFFFAOYSA-N -1 1 325.413 -0.185 20 0 EBADMM CCNC(=O)NC(=O)[C@@H](C)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001112784205 825637759 /nfs/dbraw/zinc/63/77/59/825637759.db2.gz WKYDZMKUGHRZPP-LLVKDONJSA-N -1 1 349.391 -0.221 20 0 EBADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)[C@@H](C)Nc1ncccn1 ZINC001113119496 825764236 /nfs/dbraw/zinc/76/42/36/825764236.db2.gz SOALYOJEXHJJOE-RKDXNWHRSA-N -1 1 328.336 -0.174 20 0 EBADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)[C@H](C)Nc1ncc(F)cn1 ZINC001113119035 825764358 /nfs/dbraw/zinc/76/43/58/825764358.db2.gz PWIIUAVLZNXJBE-SFYZADRCSA-N -1 1 346.326 -0.034 20 0 EBADMM C[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)[C@H](C)Nc1cnc(F)cn1 ZINC001113118800 825764490 /nfs/dbraw/zinc/76/44/90/825764490.db2.gz MRJZQPRCFULUDR-YUMQZZPRSA-N -1 1 346.326 -0.034 20 0 EBADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)[C@H](C)Nc1cc(F)ncn1 ZINC001113118278 825764521 /nfs/dbraw/zinc/76/45/21/825764521.db2.gz DPJINAPUTGBJGK-JGVFFNPUSA-N -1 1 346.326 -0.034 20 0 EBADMM COCCC[C@@H](C)C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001113507175 825899953 /nfs/dbraw/zinc/89/99/53/825899953.db2.gz CLNJABMIMHFBLU-GFCCVEGCSA-N -1 1 325.413 -0.185 20 0 EBADMM Cc1ccc(N(C)[C@H](C)CNC(=O)c2cc(=O)n3[n-]cnc3n2)nn1 ZINC001113657384 825968302 /nfs/dbraw/zinc/96/83/02/825968302.db2.gz MXWIVPTZUUQSJT-SNVBAGLBSA-N -1 1 342.363 -0.229 20 0 EBADMM CCO[C@@H](C)C(=O)N[C@@H]1[C@H]2CN(Cc3cc(=O)n4[n-]ccc4n3)C[C@H]21 ZINC001113919707 826072503 /nfs/dbraw/zinc/07/25/03/826072503.db2.gz LPBFKCPYJFQKLD-CNXAATOLSA-N -1 1 345.403 -0.006 20 0 EBADMM CCCSCC(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001113951114 826093252 /nfs/dbraw/zinc/09/32/52/826093252.db2.gz UAOSNGSIOXBXKH-IWIIMEHWSA-N -1 1 325.438 -0.202 20 0 EBADMM CC(C)OCC(=O)N[C@@H]1[C@H]2CN(Cc3cc(=O)n4[n-]ccc4n3)C[C@H]21 ZINC001113987602 826105616 /nfs/dbraw/zinc/10/56/16/826105616.db2.gz IESZPTOGEUPCRX-LAQFHYBYSA-N -1 1 345.403 -0.006 20 0 EBADMM CSC[C@@H](C)C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001114041269 826121346 /nfs/dbraw/zinc/12/13/46/826121346.db2.gz IWWWZTBQVOMOSB-SVDPJWKOSA-N -1 1 325.438 -0.346 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C[C@](C)(O)C2CC2)nc1=O ZINC001114149292 826150288 /nfs/dbraw/zinc/15/02/88/826150288.db2.gz SJJHGOXBBDZKNO-CIGZNWKYSA-N -1 1 335.408 -0.794 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(CCOCC(F)F)C[C@H]21)c1n[nH]c(=O)[n-]c1=O ZINC001114521722 826275891 /nfs/dbraw/zinc/27/58/91/826275891.db2.gz UECBVAJZHSRVFF-QMSLJYSESA-N -1 1 345.306 -0.776 20 0 EBADMM CO[C@H](CC(C)C)C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001114803622 826343561 /nfs/dbraw/zinc/34/35/61/826343561.db2.gz MATINXRBASDTRU-CZXHOFHRSA-N -1 1 337.424 -0.284 20 0 EBADMM Cc1cc(CCC(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)no1 ZINC001114821920 826348446 /nfs/dbraw/zinc/34/84/46/826348446.db2.gz OHKXPTIEVHZBHD-JYAVWHMHSA-N -1 1 346.391 -0.416 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)COC[C@H]1CCCO1 ZINC001180303150 833072572 /nfs/dbraw/zinc/07/25/72/833072572.db2.gz FTUSMFNSFJOJMK-TUAOUCFPSA-N -1 1 339.396 -0.215 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1ccccc1C(=O)N1CCCC1 ZINC001116107490 826599455 /nfs/dbraw/zinc/59/94/55/826599455.db2.gz LIUWCMVOZBQDTK-UHFFFAOYSA-N -1 1 343.347 -0.309 20 0 EBADMM CN(C)c1nc(NC(=O)[C@H]2CSCC(=O)N2)c(N=O)c(=O)[n-]1 ZINC001180550154 833090341 /nfs/dbraw/zinc/09/03/41/833090341.db2.gz QNNQXXFHFQYTFD-RXMQYKEDSA-N -1 1 326.338 -0.184 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N[C@@H](CO)CC(F)(F)F)ccnc1-2 ZINC001117385828 826737858 /nfs/dbraw/zinc/73/78/58/826737858.db2.gz UDLNBCHWKMAHOQ-SSDOTTSWSA-N -1 1 345.281 -0.290 20 0 EBADMM CO[C@@]1(CNC(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)CCOC1 ZINC001117432551 826748160 /nfs/dbraw/zinc/74/81/60/826748160.db2.gz NTVDBXGSSOEGFI-OAHLLOKOSA-N -1 1 333.348 -0.798 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)N2CC[C@H](C)[C@H](C(=O)[O-])C2)C1 ZINC001118254444 826890123 /nfs/dbraw/zinc/89/01/23/826890123.db2.gz GAJMTWRFANGPCS-RWMBFGLXSA-N -1 1 341.408 -0.608 20 0 EBADMM CCO[N-]C(=O)CNC(=O)C(=O)NCCN(C)Cc1ccccc1 ZINC001118284371 826896932 /nfs/dbraw/zinc/89/69/32/826896932.db2.gz FPMDKYNJUCNRJW-UHFFFAOYSA-N -1 1 336.392 -0.582 20 0 EBADMM CS(=O)(=O)c1ccc(C(=O)CCC(=O)NCc2nn[n-]n2)cc1 ZINC001180910921 833122232 /nfs/dbraw/zinc/12/22/32/833122232.db2.gz SXUOLHOKSFGFNF-UHFFFAOYSA-N -1 1 337.361 -0.118 20 0 EBADMM O=C(NC[C@@H](CO)Nc1ncnc2nc[nH]c21)c1ncccc1[O-] ZINC001121339039 827346666 /nfs/dbraw/zinc/34/66/66/827346666.db2.gz FBKIRWVZZTZVKE-QMMMGPOBSA-N -1 1 329.320 -0.344 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H](CCO)NC(C)=O)c1 ZINC001182130309 833206088 /nfs/dbraw/zinc/20/60/88/833206088.db2.gz NQAVNMIWGCMJCK-SNVBAGLBSA-N -1 1 345.377 -0.874 20 0 EBADMM CN1c2ccccc2CN(C(=O)[C@]2(C(=O)[O-])CNCCO2)CC1=O ZINC001122089216 827520217 /nfs/dbraw/zinc/52/02/17/827520217.db2.gz RVCUIRQNIWHAMF-INIZCTEOSA-N -1 1 333.344 -0.565 20 0 EBADMM Cc1n[nH]c(C(=O)NCCN[C@@H]2CCN(c3cnn(C)c3)C2=O)c1[O-] ZINC001125745244 828129312 /nfs/dbraw/zinc/12/93/12/828129312.db2.gz MZYMHWMNWLEZAL-LLVKDONJSA-N -1 1 347.379 -0.718 20 0 EBADMM Cn1nccc1COCC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001183569992 833295900 /nfs/dbraw/zinc/29/59/00/833295900.db2.gz VUUPSFFSBORNFX-SNVBAGLBSA-N -1 1 335.368 -0.849 20 0 EBADMM COC(=O)[C@H](NC(=O)Cn1cc(F)c(=O)[n-]c1=O)C(F)(F)F ZINC001183664407 833299396 /nfs/dbraw/zinc/29/93/96/833299396.db2.gz OBWQXSSRVYQWHA-LURJTMIESA-N -1 1 327.190 -0.692 20 0 EBADMM Cn1cc(-c2c[nH]cc2C(=O)NCCNCc2n[nH]c(=O)[n-]2)cn1 ZINC001127148333 828256832 /nfs/dbraw/zinc/25/68/32/828256832.db2.gz RDDYAVGMICQSHB-UHFFFAOYSA-N -1 1 330.352 -0.242 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1ccn(C2CCOCC2)n1 ZINC001127312638 828278283 /nfs/dbraw/zinc/27/82/83/828278283.db2.gz UVASUMUZMSFQEC-UHFFFAOYSA-N -1 1 335.368 -0.422 20 0 EBADMM Cc1nc(C)c(CNCCNC(=O)CCCn2c(=O)[n-][nH]c2=O)o1 ZINC001130556519 828883285 /nfs/dbraw/zinc/88/32/85/828883285.db2.gz LGMIRWSVFCYTDB-UHFFFAOYSA-N -1 1 338.368 -0.020 20 0 EBADMM Cc1nnsc1CNCCNC(=O)CCc1n[nH]c(=O)[n-]c1=O ZINC001130756785 828929173 /nfs/dbraw/zinc/92/91/73/828929173.db2.gz AIMDVDPKEQSTNE-UHFFFAOYSA-N -1 1 339.381 -0.719 20 0 EBADMM CCOc1cccc(CNCCNC(=O)c2n[nH]c(=O)[n-]c2=O)n1 ZINC001130863181 828980546 /nfs/dbraw/zinc/98/05/46/828980546.db2.gz NIFCYYRPNSVWAH-UHFFFAOYSA-N -1 1 334.336 -0.404 20 0 EBADMM O=C(NCCNCc1cnc(Cl)s1)c1n[nH]c(=O)[n-]c1=O ZINC001130863387 828980961 /nfs/dbraw/zinc/98/09/61/828980961.db2.gz ODKLMVZCUAEQIT-UHFFFAOYSA-N -1 1 330.757 -0.088 20 0 EBADMM Cn1c(CNCCNC(=O)c2n[nH]c(=O)[n-]c2=O)nc2ccccc21 ZINC001130863429 828980982 /nfs/dbraw/zinc/98/09/82/828980982.db2.gz PKLDSOKFWZCZOT-UHFFFAOYSA-N -1 1 343.347 -0.311 20 0 EBADMM C[N@H+](Cc1ccccc1)[C@@H](CO)C(=O)NCCOP(=O)([O-])[O-] ZINC001185235276 833351035 /nfs/dbraw/zinc/35/10/35/833351035.db2.gz MSYWIIDYZXGSDB-LBPRGKRZSA-N -1 1 332.293 -0.295 20 0 EBADMM C[N@@H+](Cc1ccccc1)[C@@H](CO)C(=O)NCCOP(=O)([O-])[O-] ZINC001185235276 833351037 /nfs/dbraw/zinc/35/10/37/833351037.db2.gz MSYWIIDYZXGSDB-LBPRGKRZSA-N -1 1 332.293 -0.295 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cc(C(F)(F)F)ncn1 ZINC001131257911 829073935 /nfs/dbraw/zinc/07/39/35/829073935.db2.gz JHOZYZAFRIARIV-UHFFFAOYSA-N -1 1 331.258 -0.161 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)CCn2cccn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001131822632 829229182 /nfs/dbraw/zinc/22/91/82/829229182.db2.gz ZAPAURGCLOYXBA-CHWSQXEVSA-N -1 1 347.423 -0.136 20 0 EBADMM O=C(COC[C@H]1CCOC1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001186048134 833386853 /nfs/dbraw/zinc/38/68/53/833386853.db2.gz CTTCSXSNORSOGN-WDEREUQCSA-N -1 1 325.369 -0.746 20 0 EBADMM CCNC(=O)CC(=O)N[C@@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001131985167 829291031 /nfs/dbraw/zinc/29/10/31/829291031.db2.gz UKRSHEHAMUONMJ-GHMZBOCLSA-N -1 1 338.412 -0.896 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)C(C)(C)C(N)=O)CN1Cc1nc(=O)n(C)[n-]1 ZINC001132120975 829342954 /nfs/dbraw/zinc/34/29/54/829342954.db2.gz YDUVVMXTWSHIDS-NXEZZACHSA-N -1 1 338.412 -0.911 20 0 EBADMM CCc1onc(C)c1CNCCNC(=O)c1cnc([O-])n(C)c1=O ZINC001132242498 829391487 /nfs/dbraw/zinc/39/14/87/829391487.db2.gz PRXLNEMRWLZIMG-UHFFFAOYSA-N -1 1 335.364 -0.136 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)CCc2c[nH]nn2)CN1Cc1n[nH]c(=O)[n-]1 ZINC001132315421 829410826 /nfs/dbraw/zinc/41/08/26/829410826.db2.gz SWXXZMFTMPEVNR-MWLCHTKSSA-N -1 1 334.384 -0.270 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)CCCC(N)=O)CN1Cc1nc(=O)n(C)[n-]1 ZINC001132537727 829480027 /nfs/dbraw/zinc/48/00/27/829480027.db2.gz QEFSTXYNYBXHDX-MNOVXSKESA-N -1 1 338.412 -0.767 20 0 EBADMM C[C@H](C[C@H](C)NC(=O)c1n[nH]c(=O)[n-]c1=O)NCc1cnn(C)n1 ZINC001134863644 829860359 /nfs/dbraw/zinc/86/03/59/829860359.db2.gz KVVMCFZOTXJJSR-SFYZADRCSA-N -1 1 336.356 -0.902 20 0 EBADMM C[C@H](C[C@H](C)NC(=O)c1cnc([O-])n(C)c1=O)NCc1ncnn1C ZINC001135175997 829915014 /nfs/dbraw/zinc/91/50/14/829915014.db2.gz LWTBBUUXMFZBIT-ZJUUUORDSA-N -1 1 349.395 -0.699 20 0 EBADMM COCCO[N-]C(=O)[C@@H]1CC(=O)N(c2cnn(CCOC)c2)C1 ZINC001139846844 830104266 /nfs/dbraw/zinc/10/42/66/830104266.db2.gz MQCCDQMBYNSPEA-LLVKDONJSA-N -1 1 326.353 -0.423 20 0 EBADMM CCC(CC)(NC(=O)CCN1C(=O)CCNC1=S)c1nn[n-]n1 ZINC001140069694 830105257 /nfs/dbraw/zinc/10/52/57/830105257.db2.gz DJNJTUNWOCRODG-UHFFFAOYSA-N -1 1 339.425 -0.172 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CCS(C)(=O)=O)c1 ZINC001142532298 830130985 /nfs/dbraw/zinc/13/09/85/830130985.db2.gz HVCPTHQYWYFNIC-UHFFFAOYSA-N -1 1 336.391 -0.327 20 0 EBADMM COC(=O)/C=C/C(=O)NCC[N-]C(=O)C(F)(F)Br ZINC001144109906 830158662 /nfs/dbraw/zinc/15/86/62/830158662.db2.gz MGTJMYVYAASJIT-NSCUHMNNSA-N -1 1 329.097 -0.064 20 0 EBADMM COC(=O)[C@@H]1C[C@H](O)CN(C(=O)c2c(C)[nH]c(=O)[n-]c2=S)C1 ZINC001144923675 830171773 /nfs/dbraw/zinc/17/17/73/830171773.db2.gz SUERKVQLHSFVHI-SFYZADRCSA-N -1 1 327.362 -0.225 20 0 EBADMM CCOC(=O)c1nc([C@H](C)NC(=O)CN2CC(=O)N(C)C2=O)n[n-]1 ZINC001146867330 830234224 /nfs/dbraw/zinc/23/42/24/830234224.db2.gz YXRWNWZTBBMSRL-ZETCQYMHSA-N -1 1 338.324 -0.947 20 0 EBADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CN2CC(=O)N(C)C2=O)[n-]1 ZINC001146867330 830234231 /nfs/dbraw/zinc/23/42/31/830234231.db2.gz YXRWNWZTBBMSRL-ZETCQYMHSA-N -1 1 338.324 -0.947 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CN2CC(=O)N(C)C2=O)n1 ZINC001146867330 830234237 /nfs/dbraw/zinc/23/42/37/830234237.db2.gz YXRWNWZTBBMSRL-ZETCQYMHSA-N -1 1 338.324 -0.947 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)COC(C)(C)C)C3)C2)nc1=O ZINC001147368693 830329051 /nfs/dbraw/zinc/32/90/51/830329051.db2.gz HWWIEKASOOHGQU-UHFFFAOYSA-N -1 1 337.424 -0.042 20 0 EBADMM CCc1noc([C@H](C)NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001147725230 830403255 /nfs/dbraw/zinc/40/32/55/830403255.db2.gz CABIRSKFWBCBEU-QMMMGPOBSA-N -1 1 346.351 -0.556 20 0 EBADMM CNS(=O)(=O)C[C@H]1CCCN1C(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001148342745 830472804 /nfs/dbraw/zinc/47/28/04/830472804.db2.gz DEBKVQCFKZVPBY-MRVPVSSYSA-N -1 1 346.434 -0.067 20 0 EBADMM CC[C@@H](C)C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149168177 830609302 /nfs/dbraw/zinc/60/93/02/830609302.db2.gz OJJSMCKDQCPYDT-VXGBXAGGSA-N -1 1 325.413 -0.138 20 0 EBADMM CN(C(=O)CCCC[C@@H]1SC[C@@H]2NC(=O)N[C@@H]21)c1nn[n-]n1 ZINC001149220084 830618824 /nfs/dbraw/zinc/61/88/24/830618824.db2.gz PTGPCFCSNBCODR-NRPADANISA-N -1 1 325.398 -0.112 20 0 EBADMM CCO[C@@H](C)C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149235726 830620957 /nfs/dbraw/zinc/62/09/57/830620957.db2.gz CCPVNCNCJXKPPA-RYUDHWBXSA-N -1 1 341.412 -0.760 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@@H](CNC(=O)/C=C/C3CC3)C2)nc1=O ZINC001149522404 830684716 /nfs/dbraw/zinc/68/47/16/830684716.db2.gz WOHYLHGQQNNEJX-GFUIURDCSA-N -1 1 335.408 -0.218 20 0 EBADMM Cc1ccc(C(=O)NCC(=O)NCCNCc2n[nH]c(=O)[n-]2)s1 ZINC001149800499 830749386 /nfs/dbraw/zinc/74/93/86/830749386.db2.gz VKRBYHJNFVSHNB-UHFFFAOYSA-N -1 1 338.393 -0.484 20 0 EBADMM COC(=O)C1(O)CCN(C(=O)c2c(C)[nH]c(=O)[n-]c2=S)CC1 ZINC001151118055 831027479 /nfs/dbraw/zinc/02/74/79/831027479.db2.gz BDGOKUGPDXKIMG-UHFFFAOYSA-N -1 1 327.362 -0.081 20 0 EBADMM CN1CC[C@@](C)(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])C1=O ZINC001152167356 831138159 /nfs/dbraw/zinc/13/81/59/831138159.db2.gz GHUWIDBLCIQZFA-ZDUSSCGKSA-N -1 1 327.362 -0.154 20 0 EBADMM CC[C@@]1(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])CCNC1=O ZINC001152169495 831138167 /nfs/dbraw/zinc/13/81/67/831138167.db2.gz WBUNJUATZGHKHR-CYBMUJFWSA-N -1 1 327.362 -0.106 20 0 EBADMM O=C(C1CCC2(CC1)NC(=O)NC2=O)N1CCC(c2nn[n-]n2)CC1 ZINC001152486319 831166207 /nfs/dbraw/zinc/16/62/07/831166207.db2.gz OLTKNEOEVILDSG-UHFFFAOYSA-N -1 1 347.379 -0.326 20 0 EBADMM Cn1cc(C=CC(=O)N2CCC(c3nn[n-]n3)CC2)c(=O)n(C)c1=O ZINC001152485919 831166443 /nfs/dbraw/zinc/16/64/43/831166443.db2.gz DOJLAETYZFZTQM-ONEGZZNKSA-N -1 1 345.363 -0.984 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1ccnc(-n2cncn2)c1 ZINC001153508184 831239275 /nfs/dbraw/zinc/23/92/75/831239275.db2.gz SELLBENXZRSKJK-UHFFFAOYSA-N -1 1 329.324 -0.994 20 0 EBADMM CCN(Cc1cnn(C)c1)[C@H](C)CNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001154178722 831317407 /nfs/dbraw/zinc/31/74/07/831317407.db2.gz NEOFUPDEONCJDS-SECBINFHSA-N -1 1 335.368 -0.343 20 0 EBADMM CC(=O)N[C@](C)(C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C1CC1 ZINC001187034999 833451052 /nfs/dbraw/zinc/45/10/52/833451052.db2.gz SCCPIFWBTLFDQR-ABAIWWIYSA-N -1 1 336.396 -0.494 20 0 EBADMM O=P([O-])([O-])OCCNc1nc2c(c(N3CCOCC3)n1)C[NH2+]C2 ZINC001157796162 831594492 /nfs/dbraw/zinc/59/44/92/831594492.db2.gz YPYBBAFAWBVION-UHFFFAOYSA-N -1 1 345.296 -0.562 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C[C@@H](C)SC ZINC001212143543 837553705 /nfs/dbraw/zinc/55/37/05/837553705.db2.gz IJSISLNHHYAGCZ-GMTAPVOTSA-N -1 1 343.453 -0.435 20 0 EBADMM CN(CCO)C[C@H]1CCCCN1C(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC001158612261 831669851 /nfs/dbraw/zinc/66/98/51/831669851.db2.gz XXSAFKZHUZOHJI-GFCCVEGCSA-N -1 1 348.407 -0.624 20 0 EBADMM Cn1cnc(C(=O)NCCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)c1 ZINC001159803587 831783587 /nfs/dbraw/zinc/78/35/87/831783587.db2.gz FAMXHLADOWASRI-UHFFFAOYSA-N -1 1 345.407 -0.206 20 0 EBADMM O=C(CCCn1c(=O)[n-][nH]c1=O)NCCCNCc1ncccn1 ZINC001161412586 831954913 /nfs/dbraw/zinc/95/49/13/831954913.db2.gz FNBGVLWCOCELTA-UHFFFAOYSA-N -1 1 335.368 -0.444 20 0 EBADMM O=C([O-])CN1CCCn2nc(C(=O)N3CCNC4(CC4)C3)cc2C1=O ZINC001161545292 831971242 /nfs/dbraw/zinc/97/12/42/831971242.db2.gz PQHJFKAXTGXCCW-UHFFFAOYSA-N -1 1 347.375 -0.608 20 0 EBADMM O=C(NCCCNCc1nnc(C2CC2)[nH]1)c1n[nH]c(=O)[n-]c1=O ZINC001161864105 831998469 /nfs/dbraw/zinc/99/84/69/831998469.db2.gz XCANSIOOLFVLCU-UHFFFAOYSA-N -1 1 334.340 -0.812 20 0 EBADMM CC(C)Cn1[n-]c(CC(=O)NCCCNCc2cnn(C)n2)cc1=O ZINC001161942132 832004358 /nfs/dbraw/zinc/00/43/58/832004358.db2.gz YDZBGAWIZAIMMW-UHFFFAOYSA-N -1 1 349.439 -0.201 20 0 EBADMM Cc1nonc1C(=O)NCCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001161998070 832009109 /nfs/dbraw/zinc/00/91/09/832009109.db2.gz GZNOJANXZZPIKZ-UHFFFAOYSA-N -1 1 347.379 -0.248 20 0 EBADMM CCN(OC)C(=O)C[N-]S(=O)(=O)c1n[nH]c(C)c1C(=O)OC ZINC001212294772 837599071 /nfs/dbraw/zinc/59/90/71/837599071.db2.gz OBTAOJMPOQGRQU-UHFFFAOYSA-N -1 1 334.354 -0.807 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCCNC(=O)CCCF ZINC001350302027 832150028 /nfs/dbraw/zinc/15/00/28/832150028.db2.gz JHQSTUIRRVWPAC-UHFFFAOYSA-N -1 1 330.316 -0.118 20 0 EBADMM CN1CCOC[C@@H]1C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001164186147 832175340 /nfs/dbraw/zinc/17/53/40/832175340.db2.gz JEPUWWRTDOZRKT-LLVKDONJSA-N -1 1 338.412 -0.783 20 0 EBADMM CN1CCOC[C@H]1C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001164186149 832175455 /nfs/dbraw/zinc/17/54/55/832175455.db2.gz JEPUWWRTDOZRKT-NSHDSACASA-N -1 1 338.412 -0.783 20 0 EBADMM CN(CCCNC(=O)[C@@H]1CN(C)C(=O)N1)C(=O)c1ncccc1[O-] ZINC001351803259 832281023 /nfs/dbraw/zinc/28/10/23/832281023.db2.gz QGJFWFQOBMWZFC-JTQLQIEISA-N -1 1 335.364 -0.611 20 0 EBADMM CN1C(=O)CC[C@@H]1C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001166793436 832289360 /nfs/dbraw/zinc/28/93/60/832289360.db2.gz NPVURCPPCZWKKC-SNVBAGLBSA-N -1 1 336.396 -0.493 20 0 EBADMM O=C(C[C@H]1CCC(=O)N1)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001167444174 832339990 /nfs/dbraw/zinc/33/99/90/832339990.db2.gz URXGYPTXURMOEM-SNVBAGLBSA-N -1 1 336.396 -0.445 20 0 EBADMM CCn1ncc(CNCCCNC(=O)c2c[n-]n3c2nccc3=O)n1 ZINC001167784150 832372356 /nfs/dbraw/zinc/37/23/56/832372356.db2.gz ROUVYHACAKNZKC-UHFFFAOYSA-N -1 1 344.379 -0.456 20 0 EBADMM CC(C)=CC(=O)N1CC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001352892592 832379971 /nfs/dbraw/zinc/37/99/71/832379971.db2.gz VPYZIEKJXCKWQE-LBPRGKRZSA-N -1 1 334.376 -0.390 20 0 EBADMM COCCCC(=O)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001353358938 832424146 /nfs/dbraw/zinc/42/41/46/832424146.db2.gz CDTLXEIREOAOJY-JTQLQIEISA-N -1 1 348.363 -0.825 20 0 EBADMM Cc1ccoc1C(=O)NC[C@@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001354244458 832474207 /nfs/dbraw/zinc/47/42/07/832474207.db2.gz QMPDXSOKLZDSCN-LLVKDONJSA-N -1 1 348.359 -0.237 20 0 EBADMM CCNC(=O)CC(=O)NC[C@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001354346833 832480012 /nfs/dbraw/zinc/48/00/12/832480012.db2.gz VZTXGBNJGWMILX-VIFPVBQESA-N -1 1 349.391 -0.180 20 0 EBADMM CNC(=O)CC(=O)N[C@H](C)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001354401776 832483784 /nfs/dbraw/zinc/48/37/84/832483784.db2.gz IZXFFMXUDSTJAP-MRVPVSSYSA-N -1 1 335.364 -0.570 20 0 EBADMM Cc1nc(S(C)(=O)=O)ncc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001170223780 832493046 /nfs/dbraw/zinc/49/30/46/832493046.db2.gz PAMRONSMQOOCAR-UHFFFAOYSA-N -1 1 337.321 -0.039 20 0 EBADMM CNC(=O)c1cncc(C=CC(=O)N2CCOC[C@H]2c2nn[n-]n2)c1 ZINC001170375057 832502857 /nfs/dbraw/zinc/50/28/57/832502857.db2.gz FJKZUGYQKVJUMO-DLGQBQFBSA-N -1 1 343.347 -0.432 20 0 EBADMM Cc1nc([C@H](C)NCCCNC(=O)c2cc(=O)n3[n-]cnc3n2)no1 ZINC001171080256 832543401 /nfs/dbraw/zinc/54/34/01/832543401.db2.gz QXTSJIAAEOYMDA-QMMMGPOBSA-N -1 1 346.351 -0.420 20 0 EBADMM CSCCC(=O)N(C)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001355753774 832581443 /nfs/dbraw/zinc/58/14/43/832581443.db2.gz XJNFGBJEKDGWSO-UHFFFAOYSA-N -1 1 342.421 -0.746 20 0 EBADMM CCNC(=O)CN1CCO[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001176982764 832724510 /nfs/dbraw/zinc/72/45/10/832724510.db2.gz ISQLCAFNMWERQQ-TZMCWYRMSA-N -1 1 348.403 -0.161 20 0 EBADMM CC1(N2CCOCC2)CCN(C(=O)Cn2nn[n-]c2=S)CC1 ZINC001177739063 832801157 /nfs/dbraw/zinc/80/11/57/832801157.db2.gz LAYAGPZQUIUYLM-UHFFFAOYSA-N -1 1 326.426 -0.325 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CN(C)C(=O)C1CC1 ZINC001178822643 832918621 /nfs/dbraw/zinc/91/86/21/832918621.db2.gz ZOQKVCOKFHJTRI-ONGXEEELSA-N -1 1 336.396 -0.542 20 0 EBADMM CC(C)(C)[C@H](NC(N)=O)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001187100391 833900076 /nfs/dbraw/zinc/90/00/76/833900076.db2.gz ZIIFPXHCRLFSCR-PSASIEDQSA-N -1 1 339.400 -0.716 20 0 EBADMM O=C(CNC(=O)c1ccco1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001187596252 833945527 /nfs/dbraw/zinc/94/55/27/833945527.db2.gz YTJBOPWPNGLZSV-SECBINFHSA-N -1 1 334.336 -0.776 20 0 EBADMM CC(=O)N[C@H](C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)(C)C ZINC001188454693 834052694 /nfs/dbraw/zinc/05/26/94/834052694.db2.gz NTLKGXPDQDLAEP-ZYHUDNBSSA-N -1 1 338.412 -0.248 20 0 EBADMM CC(C)OCCC(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001188983712 834113326 /nfs/dbraw/zinc/11/33/26/834113326.db2.gz SNECCTCBRUUYEH-LBPRGKRZSA-N -1 1 325.413 -0.044 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC[C@@H](N(C)[C@H](C)C(=O)NC(N)=O)C2)c1[O-] ZINC001189674362 834177022 /nfs/dbraw/zinc/17/70/22/834177022.db2.gz PPUJSUUQBNFMJN-RKDXNWHRSA-N -1 1 338.368 -0.847 20 0 EBADMM CN(CCS(C)(=O)=O)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001189769290 834188981 /nfs/dbraw/zinc/18/89/81/834188981.db2.gz HUUZBEOVNJHZDL-LLVKDONJSA-N -1 1 327.406 -0.022 20 0 EBADMM CSc1ncc(C(=O)NCCC(=O)N2CCOCC2)c(=O)[n-]1 ZINC001191420126 834474186 /nfs/dbraw/zinc/47/41/86/834474186.db2.gz IUSUTTRLJJSQRX-UHFFFAOYSA-N -1 1 326.378 -0.117 20 0 EBADMM Cc1cc(Cl)ncc1S(=O)(=O)Nc1c([O-])[nH]c(=O)[nH]c1=S ZINC001192384399 834655688 /nfs/dbraw/zinc/65/56/88/834655688.db2.gz VVQFNBGNGIVOTR-SSDOTTSWSA-N -1 1 348.793 -0.143 20 0 EBADMM CCCNC(=O)[C@H](C)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001192419474 834665163 /nfs/dbraw/zinc/66/51/63/834665163.db2.gz DPPKXCKZQDBILJ-DMDPSCGWSA-N -1 1 336.392 -0.523 20 0 EBADMM COC(=O)COCC[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC001193360568 834845220 /nfs/dbraw/zinc/84/52/20/834845220.db2.gz GIWRTNYZLAHUDV-UHFFFAOYSA-N -1 1 331.272 -0.104 20 0 EBADMM CC(C)CC1(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)CC1 ZINC001193647374 834922505 /nfs/dbraw/zinc/92/25/05/834922505.db2.gz WYVZREPNSSKRMJ-VXGBXAGGSA-N -1 1 337.424 -0.404 20 0 EBADMM CC[C@@H](CC(F)F)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001193718342 834930688 /nfs/dbraw/zinc/93/06/88/834930688.db2.gz DDQIJWKJUWCNRJ-IVZWLZJFSA-N -1 1 347.366 -0.549 20 0 EBADMM Cc1cc(C(=O)NCCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])ncn1 ZINC001194061452 835011260 /nfs/dbraw/zinc/01/12/60/835011260.db2.gz FJDWNUVYRAWUGC-LBPRGKRZSA-N -1 1 346.347 -0.560 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)CCCn2cccn2)C1 ZINC001194114827 835028649 /nfs/dbraw/zinc/02/86/49/835028649.db2.gz QDMBANGGMBDYED-CYBMUJFWSA-N -1 1 347.423 -0.182 20 0 EBADMM COC(=O)c1cc(C(=O)Nc2cnc(N3CCN(C)CC3)nc2)[n-]n1 ZINC001194278615 835071649 /nfs/dbraw/zinc/07/16/49/835071649.db2.gz FZRPADOTJVOLCP-UHFFFAOYSA-N -1 1 345.363 -0.010 20 0 EBADMM O=c1ccn(CC[N-]S(=O)(=O)c2ccc(F)nc2F)c(=O)[nH]1 ZINC001194777352 835150303 /nfs/dbraw/zinc/15/03/03/835150303.db2.gz FTAKWYQGRMLFLE-UHFFFAOYSA-N -1 1 332.288 -0.399 20 0 EBADMM CC[C@H](C)OCC(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001195524214 835237157 /nfs/dbraw/zinc/23/71/57/835237157.db2.gz JXGGDXYLZABRHN-LBPRGKRZSA-N -1 1 325.413 -0.042 20 0 EBADMM CCOC(=O)c1nnc(CNC(=O)c2c[nH]c(=S)[n-]c2=O)o1 ZINC001196023549 835327538 /nfs/dbraw/zinc/32/75/38/835327538.db2.gz LIGCKYADIRBAPH-UHFFFAOYSA-N -1 1 325.306 -0.039 20 0 EBADMM CN(C)c1nc(NC(=O)c2cc(=O)cc(C(N)=O)o2)c(N=O)c(=O)[n-]1 ZINC001196195202 835366579 /nfs/dbraw/zinc/36/65/79/835366579.db2.gz YMTWHLZVVZLNEL-UHFFFAOYSA-N -1 1 348.275 -0.050 20 0 EBADMM CC[C@H](NC(C)=O)C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001197227147 835525136 /nfs/dbraw/zinc/52/51/36/835525136.db2.gz KWKVORPFLDZQMG-LBPRGKRZSA-N -1 1 338.412 -0.943 20 0 EBADMM CC(C)C[C@@H](C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001197243924 835526759 /nfs/dbraw/zinc/52/67/59/835526759.db2.gz HOIUBGXTUYTKTG-IJLUTSLNSA-N -1 1 325.413 -0.548 20 0 EBADMM CCC1(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)CCCC1 ZINC001197403198 835551101 /nfs/dbraw/zinc/55/11/01/835551101.db2.gz FWZOKNDQUAGKHT-VXGBXAGGSA-N -1 1 337.424 -0.260 20 0 EBADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)c1cnc(=O)n(CC2CC2)c1 ZINC001197779261 835607527 /nfs/dbraw/zinc/60/75/27/835607527.db2.gz WNIFIWMVOSIMEM-UHFFFAOYSA-N -1 1 343.303 -0.065 20 0 EBADMM COCC[C@H](C)C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001202097644 836253434 /nfs/dbraw/zinc/25/34/34/836253434.db2.gz KCLLREJDYXCXAR-NWDGAFQWSA-N -1 1 341.412 -0.110 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202535246 836313348 /nfs/dbraw/zinc/31/33/48/836313348.db2.gz ZNKJQLLMASHYIE-GHMZBOCLSA-N -1 1 336.396 -0.494 20 0 EBADMM CN(C)C(=O)CC(=O)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001203475415 836435971 /nfs/dbraw/zinc/43/59/71/836435971.db2.gz RSGVAEKOCNYENE-NXEZZACHSA-N -1 1 336.396 -0.494 20 0 EBADMM CC(C)OCCC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001206137679 836626649 /nfs/dbraw/zinc/62/66/49/836626649.db2.gz VMJJAMLVKNYDDB-VXGBXAGGSA-N -1 1 325.413 -0.140 20 0 EBADMM CNC(=O)NC(=O)[C@@H](C)N1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001206897879 836698040 /nfs/dbraw/zinc/69/80/40/836698040.db2.gz SYISBHYVPHGOQZ-GMTAPVOTSA-N -1 1 349.391 -0.319 20 0 EBADMM CCS(=O)(=O)CC(=O)N1CCC(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001206951388 836726446 /nfs/dbraw/zinc/72/64/46/836726446.db2.gz OHLDPAJKNHDOFO-UHFFFAOYSA-N -1 1 345.425 -0.727 20 0 EBADMM Cn1ccnc1COCC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001208392774 837015902 /nfs/dbraw/zinc/01/59/02/837015902.db2.gz FBDKLCHEQWKSJY-NSHDSACASA-N -1 1 349.395 -0.459 20 0 EBADMM O=C(COC[C@H]1CCOC1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001209920365 837214028 /nfs/dbraw/zinc/21/40/28/837214028.db2.gz GFDASIRMMCOCDF-NWDGAFQWSA-N -1 1 339.396 -0.356 20 0 EBADMM CS(=O)(=O)CCCC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210097746 837236235 /nfs/dbraw/zinc/23/62/35/837236235.db2.gz BTLOUVTVGZOYKX-JTQLQIEISA-N -1 1 345.425 -0.584 20 0 EBADMM CNC(=O)C1(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)CCC1 ZINC001210805116 837334163 /nfs/dbraw/zinc/33/41/63/837334163.db2.gz REMJYMRLPNQPAF-SNVBAGLBSA-N -1 1 336.396 -0.493 20 0 EBADMM CCS(=O)(=O)NCCNC(=O)c1c(C)[n-]c(=O)nc1SC ZINC001319127379 838075974 /nfs/dbraw/zinc/07/59/74/838075974.db2.gz NAPUOJDXJVZEBZ-UHFFFAOYSA-N -1 1 334.423 -0.118 20 0 EBADMM CS(=O)(=O)Nc1ccccc1CC(=O)NN1CC(=O)[N-]C1=O ZINC001321730882 839063449 /nfs/dbraw/zinc/06/34/49/839063449.db2.gz QCGYOEIZDSXJIS-UHFFFAOYSA-N -1 1 326.334 -0.816 20 0 EBADMM CC(=O)NCCNC(=O)Cc1c(C)nc(-c2ccncc2)[n-]c1=O ZINC001321993473 839120607 /nfs/dbraw/zinc/12/06/07/839120607.db2.gz LTTAKWKNLFCCEG-UHFFFAOYSA-N -1 1 329.360 -0.065 20 0 EBADMM COc1ccc2cc(NC(=O)C(=O)NN3CC(=O)[N-]C3=O)ccc2n1 ZINC001322980138 839313062 /nfs/dbraw/zinc/31/30/62/839313062.db2.gz CEGSYJLUPYNRDT-UHFFFAOYSA-N -1 1 343.299 -0.235 20 0 EBADMM COC(=O)c1cc(C)ccc1NC(=O)C(=O)NN1CC(=O)[N-]C1=O ZINC001322981309 839313633 /nfs/dbraw/zinc/31/36/33/839313633.db2.gz MOVQEYPQOUMYDP-UHFFFAOYSA-N -1 1 334.288 -0.697 20 0 EBADMM O=C(Nc1ccccc1N1CCCC1)C(=O)NN1CC(=O)[N-]C1=O ZINC001323042370 839332929 /nfs/dbraw/zinc/33/29/29/839332929.db2.gz OMOVAEHERGVRPR-UHFFFAOYSA-N -1 1 331.332 -0.192 20 0 EBADMM C[C@H](CCNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)[S@](C)=O ZINC001323224152 839380362 /nfs/dbraw/zinc/38/03/62/839380362.db2.gz AQBQAHIMJJXGMP-WBNWAKSLSA-N -1 1 337.405 -0.446 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N[C@H]3CCc4c[nH]nc4C3)ccnc1-2 ZINC001323230272 839381507 /nfs/dbraw/zinc/38/15/07/839381507.db2.gz VHZFVNYWECZFBM-JTQLQIEISA-N -1 1 339.359 -0.323 20 0 EBADMM CN1CC(=O)N(CCCC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)C1=O ZINC001324370109 839639875 /nfs/dbraw/zinc/63/98/75/839639875.db2.gz VROYOKXMLIFBTI-SNVBAGLBSA-N -1 1 335.368 -0.420 20 0 EBADMM O=C(c1cncc(-n2ccnn2)c1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001324617738 839688187 /nfs/dbraw/zinc/68/81/87/839688187.db2.gz BRNMUWAXIHJPKR-UHFFFAOYSA-N -1 1 341.335 -0.701 20 0 EBADMM Cc1c(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)nnn1-c1ccn(C)n1 ZINC001324995414 839750062 /nfs/dbraw/zinc/75/00/62/839750062.db2.gz ALFUBLBBBXGXNG-JTQLQIEISA-N -1 1 342.367 -0.158 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](O)(CNC(=O)C[C@@H]3C=CCCC3)C2)nc1=O ZINC001325102840 839783031 /nfs/dbraw/zinc/78/30/31/839783031.db2.gz VXUJIBAPKROTSY-CXAGYDPISA-N -1 1 349.435 -0.092 20 0 EBADMM O=C([O-])c1cccc(CNC(=O)C(=O)N2CCN3C[C@H](O)C[C@H]3C2)c1 ZINC001326847780 840217466 /nfs/dbraw/zinc/21/74/66/840217466.db2.gz URMCAHOHQXQPLY-UONOGXRCSA-N -1 1 347.371 -0.722 20 0 EBADMM O=C(CCNC(=O)CCn1cc[n-]c(=O)c1=O)NCc1cccnc1 ZINC001328051923 840575620 /nfs/dbraw/zinc/57/56/20/840575620.db2.gz LZABANBLKDDQGU-UHFFFAOYSA-N -1 1 345.359 -0.856 20 0 EBADMM O=C(CCCN1C(=O)CNC1=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC001328369670 840664108 /nfs/dbraw/zinc/66/41/08/840664108.db2.gz SXRLJTWNBQGPMS-VIFPVBQESA-N -1 1 337.336 -0.187 20 0 EBADMM CC(C)(C)c1nnc2n1CCN(C(=O)CCn1cc[n-]c(=O)c1=O)C2 ZINC001328840264 840766097 /nfs/dbraw/zinc/76/60/97/840766097.db2.gz GRIXEJVQJBGMND-UHFFFAOYSA-N -1 1 346.391 -0.142 20 0 EBADMM Cc1cc(C(=O)[O-])ccc1N1C(=O)C[C@H](NCCn2ncnn2)C1=O ZINC001328873844 840775138 /nfs/dbraw/zinc/77/51/38/840775138.db2.gz XVMKYIBAODAEMT-NSHDSACASA-N -1 1 344.331 -0.399 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCc1nccc(C(F)F)n1 ZINC001330157431 841126446 /nfs/dbraw/zinc/12/64/46/841126446.db2.gz PKQUAZWGAPWYEY-UHFFFAOYSA-N -1 1 325.275 -0.029 20 0 EBADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C(=O)N[C@@H]2CCOC2)C1 ZINC001330164425 841129929 /nfs/dbraw/zinc/12/99/29/841129929.db2.gz FWJHLDGXOHFNTL-PELKAZGASA-N -1 1 337.298 -0.439 20 0 EBADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)C(=O)N[C@@H]2CCOC2)C1 ZINC001330164427 841130029 /nfs/dbraw/zinc/13/00/29/841130029.db2.gz FWJHLDGXOHFNTL-PRHODGIISA-N -1 1 337.298 -0.439 20 0 EBADMM Cc1ccc(C(=O)NCCOCCN(C)Cc2nc(=O)n(C)[n-]2)cn1 ZINC001331102479 841336009 /nfs/dbraw/zinc/33/60/09/841336009.db2.gz KYBORKDNVWTACA-UHFFFAOYSA-N -1 1 348.407 -0.310 20 0 EBADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@H]3CCc4nnn(C)c4C3)nc2n1 ZINC001331208603 841371733 /nfs/dbraw/zinc/37/17/33/841371733.db2.gz AMJFSAZFEIOBKV-MRVPVSSYSA-N -1 1 342.363 -0.148 20 0 EBADMM O=C(Nc1ccccc1OC(F)F)C(=O)NN1CC(=O)[N-]C1=O ZINC001331325182 841392535 /nfs/dbraw/zinc/39/25/35/841392535.db2.gz FQCAOJVCSLXWRW-UHFFFAOYSA-N -1 1 328.231 -0.191 20 0 EBADMM COc1cc2[n-]cc(C(=O)NCCNC(N)=O)c(=O)c2c(OC)c1 ZINC001332591346 841681682 /nfs/dbraw/zinc/68/16/82/841681682.db2.gz WILSCLXPUDKGMC-UHFFFAOYSA-N -1 1 334.332 -0.057 20 0 EBADMM CCO[N-]C(=O)CNC(=O)C(=O)NC[C@H]1CCCCN1C1CC1 ZINC001332810104 841742556 /nfs/dbraw/zinc/74/25/56/841742556.db2.gz JLXXFYXONODJRK-GFCCVEGCSA-N -1 1 326.397 -0.697 20 0 EBADMM COCC[C@H]1CNCCN1C(=O)[C@@H]1CCCN(CC(=O)[O-])C1=O ZINC001335198317 842220948 /nfs/dbraw/zinc/22/09/48/842220948.db2.gz IQADXWUYTJWADR-NWDGAFQWSA-N -1 1 327.381 -0.854 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2CCN(C(=O)c3ccccc3)CC2)CNCCO1 ZINC001335844876 842363681 /nfs/dbraw/zinc/36/36/81/842363681.db2.gz YIWIAOFHUCBBIP-QGZVFWFLSA-N -1 1 347.371 -0.586 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2CCN(Cc3cscn3)CC2)CNCCO1 ZINC001340184516 842980153 /nfs/dbraw/zinc/98/01/53/842980153.db2.gz NDGWDVCXJFYXFT-CQSZACIVSA-N -1 1 340.405 -0.770 20 0 EBADMM O=C(c1cnn(-c2cccnc2)c1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001340325560 842988239 /nfs/dbraw/zinc/98/82/39/842988239.db2.gz YNPYHCKQSOCYFK-GFCCVEGCSA-N -1 1 326.320 -0.006 20 0 EBADMM CO[N-]C(=O)CNC(=O)C(=O)NC[C@@H](c1cccs1)N(C)C ZINC001340431445 842996384 /nfs/dbraw/zinc/99/63/84/842996384.db2.gz FYDXHUKEHDXUCE-VIFPVBQESA-N -1 1 328.394 -0.739 20 0 EBADMM CO[N-]C(=O)CNC(=O)C(=O)N[C@H]1CCCN(Cc2ccccc2)C1 ZINC001340550249 843007216 /nfs/dbraw/zinc/00/72/16/843007216.db2.gz ILHJGFDBVSUFMJ-AWEZNQCLSA-N -1 1 348.403 -0.439 20 0 EBADMM Cc1cc(S(N)(=O)=O)cc(C(=O)NN2CC(=O)[N-]C2=O)c1C ZINC001341025223 843050773 /nfs/dbraw/zinc/05/07/73/843050773.db2.gz TYXCXRCNVVQYFQ-UHFFFAOYSA-N -1 1 326.334 -0.853 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2CCC[C@@H](OCC(F)(F)F)C2)CNCCO1 ZINC001341465264 843091951 /nfs/dbraw/zinc/09/19/51/843091951.db2.gz NYNFKEYUUUBAMO-BXKDBHETSA-N -1 1 340.298 -0.001 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2CCN(Cc3ccsc3)CC2)CNCCO1 ZINC001341540946 843096177 /nfs/dbraw/zinc/09/61/77/843096177.db2.gz VNZZOTPVFIJMFV-OAHLLOKOSA-N -1 1 339.417 -0.165 20 0 EBADMM COC(=O)c1cc(NC(=O)C(=O)NN2CC(=O)[N-]C2=O)ccc1C ZINC001342448228 843162796 /nfs/dbraw/zinc/16/27/96/843162796.db2.gz UEKJKQTZVVWAEC-UHFFFAOYSA-N -1 1 334.288 -0.697 20 0 EBADMM Cc1nc2nc[n-]n2c(=O)c1CCOC(=O)c1cc2n(n1)CCO2 ZINC001342692853 843174363 /nfs/dbraw/zinc/17/43/63/843174363.db2.gz KPSBDIAHSGDTHA-UHFFFAOYSA-N -1 1 330.304 -0.286 20 0 EBADMM Cn1c(Cc2nn[n-]n2)nnc1N1CCN(c2ncccn2)CC1 ZINC001343321466 843220486 /nfs/dbraw/zinc/22/04/86/843220486.db2.gz DPARJNUSYYYISN-UHFFFAOYSA-N -1 1 327.356 -0.964 20 0 EBADMM COCCO[N-]C(=O)C(=O)NCc1n[nH]c(COc2ccccc2)n1 ZINC001343369179 843229518 /nfs/dbraw/zinc/22/95/18/843229518.db2.gz MHCPDLZXCFSJMR-UHFFFAOYSA-N -1 1 349.347 -0.306 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)C1CC1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001344170561 843286824 /nfs/dbraw/zinc/28/68/24/843286824.db2.gz RORCHQVURXDVIM-LBPRGKRZSA-N -1 1 334.376 -0.604 20 0 EBADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)NCCn1cnnn1 ZINC001346184012 843484784 /nfs/dbraw/zinc/48/47/84/843484784.db2.gz PZLAKCWNILYHEE-UHFFFAOYSA-N -1 1 340.347 -0.514 20 0 EBADMM Cn1cc(CCCNC(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)cn1 ZINC001346481434 843521690 /nfs/dbraw/zinc/52/16/90/843521690.db2.gz OLNIFTJTGDWMNO-UHFFFAOYSA-N -1 1 341.375 -0.237 20 0 EBADMM Cc1cc(=O)n2[n-]c(NC(=O)Cc3nnn(C(C)(C)C)n3)nc2n1 ZINC001348038254 843652484 /nfs/dbraw/zinc/65/24/84/843652484.db2.gz UYRXPBLLLFWZSK-UHFFFAOYSA-N -1 1 331.340 -0.351 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(F)(F)OC(F)(F)C1 ZINC001348360111 843688069 /nfs/dbraw/zinc/68/80/69/843688069.db2.gz YDSVJDBFCJHQKQ-UHFFFAOYSA-N -1 1 325.218 -0.029 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N(C)CC(=O)N1CCN(C)CC1 ZINC001348928160 843772171 /nfs/dbraw/zinc/77/21/71/843772171.db2.gz GIYGUEHKOBKZDN-UHFFFAOYSA-N -1 1 339.421 -0.375 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCNC(=O)[C@@H](F)C(C)C ZINC001349373037 843894187 /nfs/dbraw/zinc/89/41/87/843894187.db2.gz RJMPRASWXUSOTP-ZETCQYMHSA-N -1 1 330.316 -0.264 20 0 EBADMM O=C([O-])COCCNC(=O)N1CCC[C@@H](CN2CCOCC2)C1 ZINC001602078786 971146476 /nfs/dbraw/zinc/14/64/76/971146476.db2.gz IIWJEOXOSSBKDD-ZDUSSCGKSA-N -1 1 329.397 -0.159 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)C[C@@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001573467788 945985892 /nfs/dbraw/zinc/98/58/92/945985892.db2.gz IBAYPFOLSRZPBS-RKDXNWHRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)C[C@@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001573467788 945985897 /nfs/dbraw/zinc/98/58/97/945985897.db2.gz IBAYPFOLSRZPBS-RKDXNWHRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)CCN(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001573468540 945996261 /nfs/dbraw/zinc/99/62/61/945996261.db2.gz OXQPQHGJPBOVHH-SECBINFHSA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)CCN(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001573468540 945996271 /nfs/dbraw/zinc/99/62/71/945996271.db2.gz OXQPQHGJPBOVHH-SECBINFHSA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N1CC[C@H](Nc2cncc(-c3nnn[n-]3)n2)C1 ZINC001573471143 946043878 /nfs/dbraw/zinc/04/38/78/946043878.db2.gz MOXUTIQRKHYPJS-SCZZXKLOSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N1CC[C@H](Nc2cncc(-c3nn[n-]n3)n2)C1 ZINC001573471143 946043892 /nfs/dbraw/zinc/04/38/92/946043892.db2.gz MOXUTIQRKHYPJS-SCZZXKLOSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@@H](C)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001573483780 946212775 /nfs/dbraw/zinc/21/27/75/946212775.db2.gz HXTXXSJTOPNCCB-JGVFFNPUSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@@H](C)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001573483780 946212785 /nfs/dbraw/zinc/21/27/85/946212785.db2.gz HXTXXSJTOPNCCB-JGVFFNPUSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@H]1CC[N@H+](Cc2cc(=O)n3[n-]cc(-c4nnn[n-]4)c3n2)C1 ZINC001573496908 946333362 /nfs/dbraw/zinc/33/33/62/946333362.db2.gz GVUXNCVTKWGYLO-VIFPVBQESA-N -1 1 343.351 -0.675 20 0 EBADMM CC(=O)N[C@H]1CC[N@@H+](Cc2cc(=O)n3[n-]cc(-c4nnn[n-]4)c3n2)C1 ZINC001573496908 946333371 /nfs/dbraw/zinc/33/33/71/946333371.db2.gz GVUXNCVTKWGYLO-VIFPVBQESA-N -1 1 343.351 -0.675 20 0 EBADMM CC(=O)NCC(=O)N(C)C1CC(Nc2nccnc2-c2nnn[n-]2)C1 ZINC001573496920 946337608 /nfs/dbraw/zinc/33/76/08/946337608.db2.gz HJMXCFJZZDFMAP-UHFFFAOYSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N(C)C1CC(Nc2nccnc2-c2nn[n-]n2)C1 ZINC001573496920 946337620 /nfs/dbraw/zinc/33/76/20/946337620.db2.gz HJMXCFJZZDFMAP-UHFFFAOYSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N1CCC(Nc2nccnc2-c2nnn[n-]2)CC1 ZINC001573504321 946410761 /nfs/dbraw/zinc/41/07/61/946410761.db2.gz HAHAFJWPQHVBKD-UHFFFAOYSA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)NCC(=O)N1CCC(Nc2nccnc2-c2nn[n-]n2)CC1 ZINC001573504321 946410765 /nfs/dbraw/zinc/41/07/65/946410765.db2.gz HAHAFJWPQHVBKD-UHFFFAOYSA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)NCC(=O)NCCNc1nc(Cl)c(-c2nnn[n-]2)s1 ZINC001573520089 946593544 /nfs/dbraw/zinc/59/35/44/946593544.db2.gz CITMXRDBHFXSNG-UHFFFAOYSA-N -1 1 344.788 -0.359 20 0 EBADMM CC(=O)NCC(=O)NCCNc1nc(Cl)c(-c2nn[n-]n2)s1 ZINC001573520089 946593549 /nfs/dbraw/zinc/59/35/49/946593549.db2.gz CITMXRDBHFXSNG-UHFFFAOYSA-N -1 1 344.788 -0.359 20 0 EBADMM CC(=O)NCC(=O)NCC[C@@H](C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001573521560 946608507 /nfs/dbraw/zinc/60/85/07/946608507.db2.gz ZDIBFWCJDZKWTP-MRVPVSSYSA-N -1 1 333.356 -0.901 20 0 EBADMM CC(=O)NCC(=O)NCC[C@@H](C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001573521560 946608512 /nfs/dbraw/zinc/60/85/12/946608512.db2.gz ZDIBFWCJDZKWTP-MRVPVSSYSA-N -1 1 333.356 -0.901 20 0 EBADMM C[C@@H](C(=O)N1CC(=O)NC[C@@H]1C(C)(C)C)n1cnc(-c2nn[n-]n2)n1 ZINC001573606942 947537316 /nfs/dbraw/zinc/53/73/16/947537316.db2.gz AZUKNRKHEMCNPK-DTWKUNHWSA-N -1 1 347.383 -0.608 20 0 EBADMM C[C@@H](C(=O)N1C[C@@H](CO)OC(C)(C)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573607597 947551229 /nfs/dbraw/zinc/55/12/29/947551229.db2.gz PAGNDTMZYNTXFT-IUCAKERBSA-N -1 1 336.356 -0.983 20 0 EBADMM C[C@H](C(=O)N1C2CCC1(C(N)=O)CC2)n1cnc(-c2nn[n-]n2)n1 ZINC001573608327 947561454 /nfs/dbraw/zinc/56/14/54/947561454.db2.gz YGLNWBOMGJOFLT-UYVLXELHSA-N -1 1 331.340 -0.972 20 0 EBADMM C[C@@H](C(=O)N1CCC(F)(F)[C@H](CO)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573618958 947657016 /nfs/dbraw/zinc/65/70/16/947657016.db2.gz SZIKPCABMZWMRG-YUMQZZPRSA-N -1 1 342.310 -0.505 20 0 EBADMM C[C@@H](C(=O)N1CC[C@H](c2ncon2)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573623383 947685095 /nfs/dbraw/zinc/68/50/95/947685095.db2.gz DYHCRXUFXKSFTB-YUMQZZPRSA-N -1 1 330.312 -0.582 20 0 EBADMM C[C@H](C(=O)N1CC[C@H](c2ncon2)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573623382 947686260 /nfs/dbraw/zinc/68/62/60/947686260.db2.gz DYHCRXUFXKSFTB-SFYZADRCSA-N -1 1 330.312 -0.582 20 0 EBADMM C[C@@H](C(=O)N1CCC(n2ccnn2)CC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573625553 947702164 /nfs/dbraw/zinc/70/21/64/947702164.db2.gz OTESVVFKQGMMJQ-VIFPVBQESA-N -1 1 343.355 -0.526 20 0 EBADMM C[C@@H](C(=O)N1CC[C@@](O)(C(F)F)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573626953 947716388 /nfs/dbraw/zinc/71/63/88/947716388.db2.gz XUVFHEJLHRWYSD-KGFZYKRKSA-N -1 1 328.283 -0.752 20 0 EBADMM C[C@H](C(=O)N1CCC[C@H]1c1nncn1C)n1cnc(-c2nn[n-]n2)n1 ZINC001573628450 947756315 /nfs/dbraw/zinc/75/63/15/947756315.db2.gz KYAGLUVFVFMGOQ-BDAKNGLRSA-N -1 1 343.355 -0.489 20 0 EBADMM C[C@@H](C(=O)N1CCc2c(=O)[nH]n(C)c2C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573647126 947884813 /nfs/dbraw/zinc/88/48/13/947884813.db2.gz CTCXSXTYPWDRCO-ZETCQYMHSA-N -1 1 344.339 -0.957 20 0 EBADMM C[C@@H](C(=O)NC(C)(C)c1ncccn1)n1cnc(-c2nn[n-]n2)n1 ZINC001573652591 947943046 /nfs/dbraw/zinc/94/30/46/947943046.db2.gz DYDTYTKMXDZNDO-QMMMGPOBSA-N -1 1 328.340 -0.139 20 0 EBADMM C[C@@H](C(=O)NC(C)(C)c1cn(C)nn1)n1cnc(-c2nn[n-]n2)n1 ZINC001573652926 947948373 /nfs/dbraw/zinc/94/83/73/947948373.db2.gz IDDQEXGFLOTDKT-ZETCQYMHSA-N -1 1 331.344 -0.801 20 0 EBADMM C[C@H](C(=O)N[C@H](CCC(C)(C)C)C(N)=O)n1cnc(-c2nn[n-]n2)n1 ZINC001573653973 947960835 /nfs/dbraw/zinc/96/08/35/947960835.db2.gz OWUHUZZXJPHIEK-RKDXNWHRSA-N -1 1 349.399 -0.184 20 0 EBADMM C[C@H](C(=O)N[C@H](c1ncon1)C1CC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573657097 947996146 /nfs/dbraw/zinc/99/61/46/947996146.db2.gz KKQTWGNUEUWGJZ-SVRRBLITSA-N -1 1 330.312 -0.330 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@H](C)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001573401841 947999917 /nfs/dbraw/zinc/99/99/17/947999917.db2.gz DMVLYTXYHYKHAU-VIFPVBQESA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@H](C)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001573401841 947999926 /nfs/dbraw/zinc/99/99/26/947999926.db2.gz DMVLYTXYHYKHAU-VIFPVBQESA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@H](C)CNc1cncc(-c2nnn[n-]2)n1 ZINC001573402833 948006978 /nfs/dbraw/zinc/00/69/78/948006978.db2.gz JHRGTUVHZSBKFJ-SECBINFHSA-N -1 1 347.383 -0.701 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@H](C)CNc1cncc(-c2nn[n-]n2)n1 ZINC001573402833 948006983 /nfs/dbraw/zinc/00/69/83/948006983.db2.gz JHRGTUVHZSBKFJ-SECBINFHSA-N -1 1 347.383 -0.701 20 0 EBADMM CC(=O)N(C)CC(=O)NCC1(Nc2cncc(-c3nnn[n-]3)n2)CC1 ZINC001573404227 948016875 /nfs/dbraw/zinc/01/68/75/948016875.db2.gz APMOXQPOUSJKET-UHFFFAOYSA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)N(C)CC(=O)NCC1(Nc2cncc(-c3nn[n-]n3)n2)CC1 ZINC001573404227 948016881 /nfs/dbraw/zinc/01/68/81/948016881.db2.gz APMOXQPOUSJKET-UHFFFAOYSA-N -1 1 345.367 -0.804 20 0 EBADMM C[C@@H](C(=O)NC1(c2ncon2)CCCC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573663716 948038427 /nfs/dbraw/zinc/03/84/27/948038427.db2.gz OVAXFYMFJJZWOP-QMMMGPOBSA-N -1 1 344.339 -0.012 20 0 EBADMM CC(=O)N1C[C@@H](C)O[C@@]2(CCN(c3cnc(-c4nnn[n-]4)cn3)C2)C1 ZINC001573427264 948163870 /nfs/dbraw/zinc/16/38/70/948163870.db2.gz WNJKKPZSXXEBKE-MEBBXXQBSA-N -1 1 344.379 -0.127 20 0 EBADMM CC(=O)N1C[C@@H](C)O[C@@]2(CCN(c3cnc(-c4nn[n-]n4)cn3)C2)C1 ZINC001573427264 948163873 /nfs/dbraw/zinc/16/38/73/948163873.db2.gz WNJKKPZSXXEBKE-MEBBXXQBSA-N -1 1 344.379 -0.127 20 0 EBADMM C[C@H](C(=O)NC[C@H](CO)Nc1ccc(-c2nnn[n-]2)nn1)C1CCC1 ZINC001573681908 948217700 /nfs/dbraw/zinc/21/77/00/948217700.db2.gz YTAJFANFGOQKPJ-GXSJLCMTSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](C(=O)NC[C@H](CO)Nc1ccc(-c2nn[n-]n2)nn1)C1CCC1 ZINC001573681908 948217705 /nfs/dbraw/zinc/21/77/05/948217705.db2.gz YTAJFANFGOQKPJ-GXSJLCMTSA-N -1 1 346.395 -0.018 20 0 EBADMM CC(=O)N1CCC(O)(CNc2nc(C)cc(-c3nnn[n-]3)n2)CC1 ZINC001573440036 948257912 /nfs/dbraw/zinc/25/79/12/948257912.db2.gz YUJKKYUSSZEBIT-UHFFFAOYSA-N -1 1 332.368 -0.250 20 0 EBADMM CC(=O)N1CCC(O)(CNc2nc(C)cc(-c3nn[n-]n3)n2)CC1 ZINC001573440036 948257921 /nfs/dbraw/zinc/25/79/21/948257921.db2.gz YUJKKYUSSZEBIT-UHFFFAOYSA-N -1 1 332.368 -0.250 20 0 EBADMM C[C@H](C(=O)NCCN(C)c1ccc(-c2nnn[n-]2)nn1)n1cncn1 ZINC001573715539 948493501 /nfs/dbraw/zinc/49/35/01/948493501.db2.gz YMPFZDNTYJENIK-SECBINFHSA-N -1 1 343.355 -0.938 20 0 EBADMM C[C@H](C(=O)NCCN(C)c1ccc(-c2nn[n-]n2)nn1)n1cncn1 ZINC001573715539 948493504 /nfs/dbraw/zinc/49/35/04/948493504.db2.gz YMPFZDNTYJENIK-SECBINFHSA-N -1 1 343.355 -0.938 20 0 EBADMM C[C@H](C(=O)NCCNc1nccnc1-c1nnn[n-]1)[C@@H]1CCC(=O)N1 ZINC001573718402 948517662 /nfs/dbraw/zinc/51/76/62/948517662.db2.gz RHVBTYJNOSRLMU-IUCAKERBSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@H](C(=O)NCCNc1nccnc1-c1nn[n-]n1)[C@@H]1CCC(=O)N1 ZINC001573718402 948517667 /nfs/dbraw/zinc/51/76/67/948517667.db2.gz RHVBTYJNOSRLMU-IUCAKERBSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@@H](C(=O)NCc1ccc(CCO)cc1)n1cnc(-c2nn[n-]n2)n1 ZINC001573730072 948575948 /nfs/dbraw/zinc/57/59/48/948575948.db2.gz LUFTUODHUNRTNA-JTQLQIEISA-N -1 1 342.363 -0.130 20 0 EBADMM C[C@H](C(=O)N[C@@H]1C[C@H]1C1CCOCC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573739791 948624693 /nfs/dbraw/zinc/62/46/93/948624693.db2.gz HPUDCVMFMLQPSR-DVVUODLYSA-N -1 1 332.368 -0.050 20 0 EBADMM Cc1nc(-c2nnn[n-]2)cc(N2CC(n3cc([C@H](C)O)nn3)C2)n1 ZINC001570926472 948690325 /nfs/dbraw/zinc/69/03/25/948690325.db2.gz ACNMKMBUQIKAPA-ZETCQYMHSA-N -1 1 328.340 -0.329 20 0 EBADMM Cc1nc(-c2nn[n-]n2)cc(N2CC(n3cc([C@H](C)O)nn3)C2)n1 ZINC001570926472 948690328 /nfs/dbraw/zinc/69/03/28/948690328.db2.gz ACNMKMBUQIKAPA-ZETCQYMHSA-N -1 1 328.340 -0.329 20 0 EBADMM CC(C)(CNC(=O)c1coc(-c2nnn[n-]2)c1)CS(N)(=O)=O ZINC001570926886 948698971 /nfs/dbraw/zinc/69/89/71/948698971.db2.gz JHSRVDCRRPVPQJ-UHFFFAOYSA-N -1 1 328.354 -0.496 20 0 EBADMM CC(C)(CNC(=O)c1coc(-c2nn[n-]n2)c1)CS(N)(=O)=O ZINC001570926886 948698973 /nfs/dbraw/zinc/69/89/73/948698973.db2.gz JHSRVDCRRPVPQJ-UHFFFAOYSA-N -1 1 328.354 -0.496 20 0 EBADMM O=C1NC[C@H](O)CC12CCN(c1ncncc1-c1nn[n-]n1)CC2 ZINC001570927749 948718051 /nfs/dbraw/zinc/71/80/51/948718051.db2.gz KOJHXFGURSQDIA-SECBINFHSA-N -1 1 330.352 -0.876 20 0 EBADMM O=C(N[C@@H]1CCN(c2cncc(-c3nnn[n-]3)n2)C1)c1cnon1 ZINC001570927971 948722733 /nfs/dbraw/zinc/72/27/33/948722733.db2.gz YTTVDWKKBKFNAT-SSDOTTSWSA-N -1 1 328.296 -0.952 20 0 EBADMM O=C(N[C@@H]1CCN(c2cncc(-c3nn[n-]n3)n2)C1)c1cnon1 ZINC001570927971 948722736 /nfs/dbraw/zinc/72/27/36/948722736.db2.gz YTTVDWKKBKFNAT-SSDOTTSWSA-N -1 1 328.296 -0.952 20 0 EBADMM C[C@@H](C(=O)Nc1cnc(C(N)=O)s1)n1cnc(-c2nn[n-]n2)n1 ZINC001573753968 948723528 /nfs/dbraw/zinc/72/35/28/948723528.db2.gz AMBVWAWZIREBHL-BYPYZUCNSA-N -1 1 334.325 -0.787 20 0 EBADMM C[C@H](C(=O)Nc1ccnn1[C@H]1CCOC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573754303 948739463 /nfs/dbraw/zinc/73/94/63/948739463.db2.gz DXQATGJSNKXXEZ-BDAKNGLRSA-N -1 1 344.339 -0.184 20 0 EBADMM COc1ncc(NC(=O)NCCn2ncnn2)cc1-c1nn[n-]n1 ZINC001570928816 948742038 /nfs/dbraw/zinc/74/20/38/948742038.db2.gz CZINVDXUWZURDS-UHFFFAOYSA-N -1 1 331.300 -0.922 20 0 EBADMM Cc1onc(CC(=O)N[C@H](CO)c2cncn2C)c1-c1nn[n-]n1 ZINC001570929500 948772698 /nfs/dbraw/zinc/77/26/98/948772698.db2.gz UWOQVDYDPRTRLM-SECBINFHSA-N -1 1 332.324 -0.711 20 0 EBADMM Cc1onc(CC(=O)N[C@@H](CO)c2cncn2C)c1-c1nn[n-]n1 ZINC001570929501 948772942 /nfs/dbraw/zinc/77/29/42/948772942.db2.gz UWOQVDYDPRTRLM-VIFPVBQESA-N -1 1 332.324 -0.711 20 0 EBADMM CCc1cnc(NC(=O)Cn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)o1 ZINC001570929691 948779323 /nfs/dbraw/zinc/77/93/23/948779323.db2.gz YXWFRLYFCINYPI-UHFFFAOYSA-N -1 1 332.280 -0.682 20 0 EBADMM C[C@H](CNC(=O)CCc1cn[nH]c1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574916585 948781822 /nfs/dbraw/zinc/78/18/22/948781822.db2.gz DTUCHOWUFXCQQZ-SECBINFHSA-N -1 1 342.367 -0.071 20 0 EBADMM C[C@H](CNC(=O)CCc1cn[nH]c1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574916585 948781838 /nfs/dbraw/zinc/78/18/38/948781838.db2.gz DTUCHOWUFXCQQZ-SECBINFHSA-N -1 1 342.367 -0.071 20 0 EBADMM CCc1nc(NC[C@@H]2CN(C(C)=O)CCO2)cc(-c2nnn[n-]2)n1 ZINC001570930570 948819123 /nfs/dbraw/zinc/81/91/23/948819123.db2.gz MGCVDOXELNVITI-SNVBAGLBSA-N -1 1 332.368 -0.122 20 0 EBADMM CCc1nc(NC[C@@H]2CN(C(C)=O)CCO2)cc(-c2nn[n-]n2)n1 ZINC001570930570 948819140 /nfs/dbraw/zinc/81/91/40/948819140.db2.gz MGCVDOXELNVITI-SNVBAGLBSA-N -1 1 332.368 -0.122 20 0 EBADMM CC(=O)C1CCN(C(=O)NCCn2cnc(-c3nn[n-]n3)n2)CC1 ZINC001570930767 948824030 /nfs/dbraw/zinc/82/40/30/948824030.db2.gz OYUSOCAIPNIVQM-UHFFFAOYSA-N -1 1 333.356 -0.531 20 0 EBADMM COc1ncc(NC(=O)N2CC[C@@H](O)[C@@H](O)C2)cc1-c1nn[n-]n1 ZINC001570933189 948876588 /nfs/dbraw/zinc/87/65/88/948876588.db2.gz IDRYPSXDZDZQEX-ZJUUUORDSA-N -1 1 335.324 -0.770 20 0 EBADMM CC(C)[C@@H](O)CCNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC001574083869 948893164 /nfs/dbraw/zinc/89/31/64/948893164.db2.gz ZFKRLJZCOCHLFA-NSHDSACASA-N -1 1 326.382 -0.053 20 0 EBADMM CC(C)[C@@H](O)CCNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC001574083869 948893180 /nfs/dbraw/zinc/89/31/80/948893180.db2.gz ZFKRLJZCOCHLFA-NSHDSACASA-N -1 1 326.382 -0.053 20 0 EBADMM CC(F)(F)CC(=O)NC[C@@H](CO)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575234822 948908134 /nfs/dbraw/zinc/90/81/34/948908134.db2.gz SDWLRAMCIJRJOX-ZETCQYMHSA-N -1 1 342.310 -0.987 20 0 EBADMM CC(C)c1nccn1CC(=O)NCCn1cnc(-c2nn[n-]n2)n1 ZINC001574432243 948930140 /nfs/dbraw/zinc/93/01/40/948930140.db2.gz GKMMMMAZBISTMJ-UHFFFAOYSA-N -1 1 330.356 -0.406 20 0 EBADMM CCOC(=O)[C@@H]1CCC[C@@H]1NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC001570934707 948949022 /nfs/dbraw/zinc/94/90/22/948949022.db2.gz MPAQTWFAWISJMX-BDAKNGLRSA-N -1 1 334.340 -0.694 20 0 EBADMM C[C@@H](CNC(=O)CCn1cncn1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574923440 948950261 /nfs/dbraw/zinc/95/02/61/948950261.db2.gz MNNILWUUGGYWRN-VIFPVBQESA-N -1 1 343.355 -0.745 20 0 EBADMM C[C@@H](CNC(=O)CCn1cncn1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574923440 948950276 /nfs/dbraw/zinc/95/02/76/948950276.db2.gz MNNILWUUGGYWRN-VIFPVBQESA-N -1 1 343.355 -0.745 20 0 EBADMM CCC[C@H](OC)C(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570934883 948957597 /nfs/dbraw/zinc/95/75/97/948957597.db2.gz NGIQWZDAVBSUCU-VIFPVBQESA-N -1 1 337.340 -0.940 20 0 EBADMM Cc1nc(-c2nnn[n-]2)cc(N2C[C@@H](C)[C@H](CS(N)(=O)=O)C2)n1 ZINC001570936257 949024852 /nfs/dbraw/zinc/02/48/52/949024852.db2.gz UMSCJQBEGGXEFB-APPZFPTMSA-N -1 1 338.397 -0.674 20 0 EBADMM Cc1nc(-c2nn[n-]n2)cc(N2C[C@@H](C)[C@H](CS(N)(=O)=O)C2)n1 ZINC001570936257 949024871 /nfs/dbraw/zinc/02/48/71/949024871.db2.gz UMSCJQBEGGXEFB-APPZFPTMSA-N -1 1 338.397 -0.674 20 0 EBADMM CC(C)(C(=O)NC[C@H](O)CNc1nccnc1-c1nnn[n-]1)C1CC1 ZINC001573774969 949025722 /nfs/dbraw/zinc/02/57/22/949025722.db2.gz QNDZXUMBHOFRJA-SNVBAGLBSA-N -1 1 346.395 -0.018 20 0 EBADMM CC(C)(C(=O)NC[C@H](O)CNc1nccnc1-c1nn[n-]n1)C1CC1 ZINC001573774969 949025741 /nfs/dbraw/zinc/02/57/41/949025741.db2.gz QNDZXUMBHOFRJA-SNVBAGLBSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](CNC(=O)CNC(=O)C1CC1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574927232 949038847 /nfs/dbraw/zinc/03/88/47/949038847.db2.gz ICILELIGRIIEOS-MRVPVSSYSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@H](CNC(=O)CNC(=O)C1CC1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574927232 949038828 /nfs/dbraw/zinc/03/88/28/949038828.db2.gz ICILELIGRIIEOS-MRVPVSSYSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(C)(C(=O)NC[C@@H](CO)Nc1cncc(-c2nnn[n-]2)n1)C1CC1 ZINC001573775518 949046164 /nfs/dbraw/zinc/04/61/64/949046164.db2.gz YNHXXQFCXQELQH-JTQLQIEISA-N -1 1 346.395 -0.018 20 0 EBADMM CC(C)(C(=O)NC[C@@H](CO)Nc1cncc(-c2nn[n-]n2)n1)C1CC1 ZINC001573775518 949046188 /nfs/dbraw/zinc/04/61/88/949046188.db2.gz YNHXXQFCXQELQH-JTQLQIEISA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](CNC(=O)CNC(=O)C1CC1)Nc1nccnc1-c1nn[n-]n1 ZINC001574928246 949054670 /nfs/dbraw/zinc/05/46/70/949054670.db2.gz NLYMEBCEBGHIGE-QMMMGPOBSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@@H](CNC(=O)CNC(=O)C1CC1)Nc1nccnc1-c1nnn[n-]1 ZINC001574928246 949054651 /nfs/dbraw/zinc/05/46/51/949054651.db2.gz NLYMEBCEBGHIGE-QMMMGPOBSA-N -1 1 345.367 -0.901 20 0 EBADMM O=c1ccc(CNS(=O)(=O)c2ccsc2-c2nn[n-]n2)n[nH]1 ZINC001570936907 949061822 /nfs/dbraw/zinc/06/18/22/949061822.db2.gz RXYZOQBVMCWSIR-UHFFFAOYSA-N -1 1 339.362 -0.098 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccc[nH]2)C[C@@H]1Nc1ccnc(-c2nn[n-]n2)n1 ZINC001570936982 949066899 /nfs/dbraw/zinc/06/68/99/949066899.db2.gz VTCRYAFJQFRLJK-KOLCDFICSA-N -1 1 339.363 -0.021 20 0 EBADMM O=C(Cc1cc[nH]n1)N[C@H]1CCN(c2cncc(-c3nnn[n-]3)n2)C1 ZINC001570937871 949086212 /nfs/dbraw/zinc/08/62/12/949086212.db2.gz LBHKGVDQAJZLCC-JTQLQIEISA-N -1 1 340.351 -0.683 20 0 EBADMM O=C(Cc1cc[nH]n1)N[C@H]1CCN(c2cncc(-c3nn[n-]n3)n2)C1 ZINC001570937871 949086227 /nfs/dbraw/zinc/08/62/27/949086227.db2.gz LBHKGVDQAJZLCC-JTQLQIEISA-N -1 1 340.351 -0.683 20 0 EBADMM O=C(NC[C@@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C1)c1cn[nH]c1 ZINC001570937865 949088916 /nfs/dbraw/zinc/08/89/16/949088916.db2.gz LAAXDVBIWAJYOW-VIFPVBQESA-N -1 1 340.351 -0.364 20 0 EBADMM O=C(NC[C@@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C1)c1cn[nH]c1 ZINC001570937865 949088940 /nfs/dbraw/zinc/08/89/40/949088940.db2.gz LAAXDVBIWAJYOW-VIFPVBQESA-N -1 1 340.351 -0.364 20 0 EBADMM C[C@H](CN(C)C(=O)C(C)(C)C(N)=O)Nc1nccnc1-c1nnn[n-]1 ZINC001574694740 949089853 /nfs/dbraw/zinc/08/98/53/949089853.db2.gz VVTDORAWCHWVKO-MRVPVSSYSA-N -1 1 347.383 -0.573 20 0 EBADMM C[C@H](CN(C)C(=O)C(C)(C)C(N)=O)Nc1nccnc1-c1nn[n-]n1 ZINC001574694740 949089870 /nfs/dbraw/zinc/08/98/70/949089870.db2.gz VVTDORAWCHWVKO-MRVPVSSYSA-N -1 1 347.383 -0.573 20 0 EBADMM Cn1ccc(C(=O)N[C@H]2C[C@@H](Nc3cncc(-c4nnn[n-]4)n3)C2)n1 ZINC001570938610 949108424 /nfs/dbraw/zinc/10/84/24/949108424.db2.gz VWOGJPCVJVYGJU-DTORHVGOSA-N -1 1 340.351 -0.237 20 0 EBADMM Cn1ccc(C(=O)N[C@H]2C[C@@H](Nc3cncc(-c4nn[n-]n4)n3)C2)n1 ZINC001570938610 949108429 /nfs/dbraw/zinc/10/84/29/949108429.db2.gz VWOGJPCVJVYGJU-DTORHVGOSA-N -1 1 340.351 -0.237 20 0 EBADMM Cn1cnc(C(=O)N[C@H]2CCN(c3nccnc3-c3nnn[n-]3)C2)c1 ZINC001570938558 949110471 /nfs/dbraw/zinc/11/04/71/949110471.db2.gz UBVRRKUTIXASSR-VIFPVBQESA-N -1 1 340.351 -0.601 20 0 EBADMM Cn1cnc(C(=O)N[C@H]2CCN(c3nccnc3-c3nn[n-]n3)C2)c1 ZINC001570938558 949110484 /nfs/dbraw/zinc/11/04/84/949110484.db2.gz UBVRRKUTIXASSR-VIFPVBQESA-N -1 1 340.351 -0.601 20 0 EBADMM O=C(c1cn[nH]n1)N1CCCN(c2cncc(-c3nnn[n-]3)n2)CC1 ZINC001570939697 949153374 /nfs/dbraw/zinc/15/33/74/949153374.db2.gz HCPXYPKLLGUPGM-UHFFFAOYSA-N -1 1 341.339 -0.873 20 0 EBADMM O=C(c1cn[nH]n1)N1CCCN(c2cncc(-c3nn[n-]n3)n2)CC1 ZINC001570939697 949153382 /nfs/dbraw/zinc/15/33/82/949153382.db2.gz HCPXYPKLLGUPGM-UHFFFAOYSA-N -1 1 341.339 -0.873 20 0 EBADMM CC(C)CC(=O)N(CCO)CCNc1ccnc(-c2nn[n-]n2)n1 ZINC001574098423 949158290 /nfs/dbraw/zinc/15/82/90/949158290.db2.gz AAHWCIVVCAXOKI-UHFFFAOYSA-N -1 1 334.384 -0.643 20 0 EBADMM CC(C)N(C[C@@H](O)CO)C(=O)NCc1nc(-c2nnn[n-]2)cs1 ZINC001570939944 949162592 /nfs/dbraw/zinc/16/25/92/949162592.db2.gz IKODYHXSVGCALF-MRVPVSSYSA-N -1 1 341.397 -0.404 20 0 EBADMM CC(C)N(C[C@@H](O)CO)C(=O)NCc1nc(-c2nn[n-]n2)cs1 ZINC001570939944 949162604 /nfs/dbraw/zinc/16/26/04/949162604.db2.gz IKODYHXSVGCALF-MRVPVSSYSA-N -1 1 341.397 -0.404 20 0 EBADMM C[C@H]1C[C@@H](Nc2nccnc2-c2nnn[n-]2)CN1C(=O)c1nc[nH]n1 ZINC001570940174 949173747 /nfs/dbraw/zinc/17/37/47/949173747.db2.gz KQGPEFICNPMZCJ-JGVFFNPUSA-N -1 1 341.339 -0.510 20 0 EBADMM C[C@H]1C[C@@H](Nc2nccnc2-c2nn[n-]n2)CN1C(=O)c1nc[nH]n1 ZINC001570940174 949173760 /nfs/dbraw/zinc/17/37/60/949173760.db2.gz KQGPEFICNPMZCJ-JGVFFNPUSA-N -1 1 341.339 -0.510 20 0 EBADMM O=C(N[C@@H]1CCN(c2cncc(-c3nnn[n-]3)n2)C1)C1=CCOCC1 ZINC001570941501 949228116 /nfs/dbraw/zinc/22/81/16/949228116.db2.gz WRYKIFVTWGIBLN-LLVKDONJSA-N -1 1 342.363 -0.302 20 0 EBADMM O=C(N[C@@H]1CCN(c2cncc(-c3nn[n-]n3)n2)C1)C1=CCOCC1 ZINC001570941501 949228140 /nfs/dbraw/zinc/22/81/40/949228140.db2.gz WRYKIFVTWGIBLN-LLVKDONJSA-N -1 1 342.363 -0.302 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@H](Nc3cnc(-c4nnn[n-]4)cn3)C2)n1 ZINC001570941608 949231592 /nfs/dbraw/zinc/23/15/92/949231592.db2.gz YBSJFXPSNSHZQB-QMMMGPOBSA-N -1 1 341.339 -0.888 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@H](Nc3cnc(-c4nn[n-]n4)cn3)C2)n1 ZINC001570941608 949231611 /nfs/dbraw/zinc/23/16/11/949231611.db2.gz YBSJFXPSNSHZQB-QMMMGPOBSA-N -1 1 341.339 -0.888 20 0 EBADMM Cc1cc(-c2nn[n-]n2)nc(N[C@H]2CCN(C(=O)c3nc[nH]n3)C2)n1 ZINC001570941554 949231783 /nfs/dbraw/zinc/23/17/83/949231783.db2.gz WOTMZLRWTGMKND-QMMMGPOBSA-N -1 1 341.339 -0.590 20 0 EBADMM C[C@H](C(=O)NCc1nnc(C2CC2)n1C)n1cnc(-c2nn[n-]n2)n1 ZINC001570942399 949285239 /nfs/dbraw/zinc/28/52/39/949285239.db2.gz KWNUFELIKLFVOY-SSDOTTSWSA-N -1 1 343.355 -0.659 20 0 EBADMM CC1=C(C)C(=O)N(CCC(=O)n2ncc(-c3nn[n-]n3)c2N)C1=O ZINC001575860608 949303472 /nfs/dbraw/zinc/30/34/72/949303472.db2.gz JXPJMNLKGXEJJZ-UHFFFAOYSA-N -1 1 330.308 -0.619 20 0 EBADMM C[C@@H](NC(=O)C1=CCOCC1)[C@@H](C)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575259504 949327257 /nfs/dbraw/zinc/32/72/57/949327257.db2.gz PIVFPEHFYYLZGK-NXEZZACHSA-N -1 1 344.379 -0.270 20 0 EBADMM CC(C)(C)C(=O)N[C@@H]1CCN(c2cnc(-c3nnn[n-]3)cn2)C[C@H]1O ZINC001573798406 949355987 /nfs/dbraw/zinc/35/59/87/949355987.db2.gz BCIOKOOSCHNKJX-MWLCHTKSSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)(C)C(=O)N[C@@H]1CCN(c2cnc(-c3nn[n-]n3)cn2)C[C@H]1O ZINC001573798406 949356006 /nfs/dbraw/zinc/35/60/06/949356006.db2.gz BCIOKOOSCHNKJX-MWLCHTKSSA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@@H](CNC(=O)Cc1cc[nH]n1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001574942706 949363650 /nfs/dbraw/zinc/36/36/50/949363650.db2.gz RLEUDSJRXRDBOR-SECBINFHSA-N -1 1 342.367 -0.213 20 0 EBADMM C[C@@H](CNC(=O)Cc1cc[nH]n1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001574942706 949363672 /nfs/dbraw/zinc/36/36/72/949363672.db2.gz RLEUDSJRXRDBOR-SECBINFHSA-N -1 1 342.367 -0.213 20 0 EBADMM CC(C)(C)C(=O)N[C@@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C[C@H]1O ZINC001573799375 949371595 /nfs/dbraw/zinc/37/15/95/949371595.db2.gz LWGAVNDBNIPPBG-MWLCHTKSSA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)(C)C(=O)N[C@@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C[C@H]1O ZINC001573799375 949371616 /nfs/dbraw/zinc/37/16/16/949371616.db2.gz LWGAVNDBNIPPBG-MWLCHTKSSA-N -1 1 346.395 -0.241 20 0 EBADMM Cc1onc(CC(=O)NCc2cc(=O)c(O)cn2C)c1-c1nnn[n-]1 ZINC001570944128 949407149 /nfs/dbraw/zinc/40/71/49/949407149.db2.gz GLFWGFDHJKLTLZ-UHFFFAOYSA-N -1 1 345.319 -0.574 20 0 EBADMM Cc1onc(CC(=O)NCc2cc(=O)c(O)cn2C)c1-c1nn[n-]n1 ZINC001570944128 949407172 /nfs/dbraw/zinc/40/71/72/949407172.db2.gz GLFWGFDHJKLTLZ-UHFFFAOYSA-N -1 1 345.319 -0.574 20 0 EBADMM O=c1c(-c2nn[n-]n2)cn(CCO)c(=O)n1Cc1ncc(C2CC2)o1 ZINC001570944206 949410451 /nfs/dbraw/zinc/41/04/51/949410451.db2.gz JLPDRROISFXSGM-UHFFFAOYSA-N -1 1 345.319 -0.904 20 0 EBADMM C[C@@H](CN(C)C(=O)C1=CCOCC1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574705076 949412906 /nfs/dbraw/zinc/41/29/06/949412906.db2.gz QZJBFALOMXUDGW-JTQLQIEISA-N -1 1 344.379 -0.316 20 0 EBADMM CCc1[nH]nc(NC(=O)Cn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)c1C ZINC001570944671 949437463 /nfs/dbraw/zinc/43/74/63/949437463.db2.gz VTONPJGMHSLBHB-UHFFFAOYSA-N -1 1 345.323 -0.638 20 0 EBADMM O=C(Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)N[C@@H]1CC2CCC1CC2 ZINC001570944996 949456193 /nfs/dbraw/zinc/45/61/93/949456193.db2.gz JENCMRJGHIGUJH-NWGYLPEXSA-N -1 1 345.363 -0.176 20 0 EBADMM C[C@@H](CNC(=O)Cn1ccnc1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001574947922 949457408 /nfs/dbraw/zinc/45/74/08/949457408.db2.gz FURCHYMMWMRJCO-JTQLQIEISA-N -1 1 342.367 -0.506 20 0 EBADMM C[C@@H](CNC(=O)Cn1ccnc1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001574947922 949457417 /nfs/dbraw/zinc/45/74/17/949457417.db2.gz FURCHYMMWMRJCO-JTQLQIEISA-N -1 1 342.367 -0.506 20 0 EBADMM C[C@@H](C(=O)N1C[C@@H]2CCC[C@]2(C(N)=O)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001570945192 949463776 /nfs/dbraw/zinc/46/37/76/949463776.db2.gz PWZRITUWWKIYBD-FZNYLWTLSA-N -1 1 345.367 -0.867 20 0 EBADMM O=C(CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)N[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC001570945354 949473056 /nfs/dbraw/zinc/47/30/56/949473056.db2.gz WVUSPOIUFBVJCZ-WCABBAIRSA-N -1 1 345.363 -0.176 20 0 EBADMM C[C@H](CNC(=O)Cn1ccnc1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574949033 949480322 /nfs/dbraw/zinc/48/03/22/949480322.db2.gz PTHDSQQTYDOZCA-SECBINFHSA-N -1 1 328.340 -0.530 20 0 EBADMM COCCn1cc(CNc2ccnc(-c3nnn[n-]3)c2)c(=O)[nH]c1=O ZINC001570945531 949483464 /nfs/dbraw/zinc/48/34/64/949483464.db2.gz CQUNDYBWRBLOCB-UHFFFAOYSA-N -1 1 344.335 -0.796 20 0 EBADMM COCCn1cc(CNc2ccnc(-c3nn[n-]n3)c2)c(=O)[nH]c1=O ZINC001570945531 949483474 /nfs/dbraw/zinc/48/34/74/949483474.db2.gz CQUNDYBWRBLOCB-UHFFFAOYSA-N -1 1 344.335 -0.796 20 0 EBADMM O=C(N[C@H]1C[C@H](Nc2cncc(-c3nnn[n-]3)n2)C1)C1=COCCO1 ZINC001570945501 949484215 /nfs/dbraw/zinc/48/42/15/949484215.db2.gz BLBQLCXGHXJUMY-KYZUINATSA-N -1 1 344.335 -0.396 20 0 EBADMM O=C(N[C@H]1C[C@H](Nc2cncc(-c3nn[n-]n3)n2)C1)C1=COCCO1 ZINC001570945501 949484230 /nfs/dbraw/zinc/48/42/30/949484230.db2.gz BLBQLCXGHXJUMY-KYZUINATSA-N -1 1 344.335 -0.396 20 0 EBADMM C[C@@H](CNC(=O)Cn1cccn1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574949665 949504672 /nfs/dbraw/zinc/50/46/72/949504672.db2.gz ZZEHKXZOZKGBIK-VIFPVBQESA-N -1 1 328.340 -0.530 20 0 EBADMM C[C@@H](CNC(=O)Cn1cccn1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574949665 949504692 /nfs/dbraw/zinc/50/46/92/949504692.db2.gz ZZEHKXZOZKGBIK-VIFPVBQESA-N -1 1 328.340 -0.530 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1CCCO1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574709398 949506873 /nfs/dbraw/zinc/50/68/73/949506873.db2.gz ZFQOKJFDHYQAHT-VHSXEESVSA-N -1 1 332.368 -0.484 20 0 EBADMM O=C(N[C@@H]1CCCN(c2ccnc(-c3nn[n-]n3)n2)C1)[C@H]1CCOC1 ZINC001570946238 949525255 /nfs/dbraw/zinc/52/52/55/949525255.db2.gz OJTFHVYRRNUVCY-WDEREUQCSA-N -1 1 344.379 -0.222 20 0 EBADMM COCC(=O)N1CCC[C@@H]2[C@H]1CCN2c1ccc(-c2nnn[n-]2)nn1 ZINC001570946600 949538779 /nfs/dbraw/zinc/53/87/79/949538779.db2.gz QZJGHTBPJVRKIT-VXGBXAGGSA-N -1 1 344.379 -0.127 20 0 EBADMM COCC(=O)N1CCC[C@@H]2[C@H]1CCN2c1ccc(-c2nn[n-]n2)nn1 ZINC001570946600 949538799 /nfs/dbraw/zinc/53/87/99/949538799.db2.gz QZJGHTBPJVRKIT-VXGBXAGGSA-N -1 1 344.379 -0.127 20 0 EBADMM CCS(=O)(=O)C1CN(S(=O)(=O)c2ccc(-c3nn[n-]n3)o2)C1 ZINC001570948316 949603880 /nfs/dbraw/zinc/60/38/80/949603880.db2.gz KNTHZCGFWNWLIS-UHFFFAOYSA-N -1 1 347.378 -0.733 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1COCCO1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574713888 949613187 /nfs/dbraw/zinc/61/31/87/949613187.db2.gz YUPAPRFUAHJZBL-ONGXEEELSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1COCCO1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574713888 949613204 /nfs/dbraw/zinc/61/32/04/949613204.db2.gz YUPAPRFUAHJZBL-ONGXEEELSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@@H](Nc1ccc(-c2nnn[n-]2)nn1)[C@H](C)NC(=O)CCCC(N)=O ZINC001575272624 949650263 /nfs/dbraw/zinc/65/02/63/949650263.db2.gz RIZAESPFDVJMHK-BDAKNGLRSA-N -1 1 347.383 -0.383 20 0 EBADMM C[C@@H](Nc1ccc(-c2nn[n-]n2)nn1)[C@H](C)NC(=O)CCCC(N)=O ZINC001575272624 949650272 /nfs/dbraw/zinc/65/02/72/949650272.db2.gz RIZAESPFDVJMHK-BDAKNGLRSA-N -1 1 347.383 -0.383 20 0 EBADMM CCOC1CC(C(=O)NCCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)C1 ZINC001570949925 949667293 /nfs/dbraw/zinc/66/72/93/949667293.db2.gz BQRCGLVIYDPVCH-UHFFFAOYSA-N -1 1 349.351 -0.940 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(c3cnc(-c4nnn[n-]4)cn3)C[C@H]21)c1ccncc1 ZINC001570950174 949668740 /nfs/dbraw/zinc/66/87/40/949668740.db2.gz FFIDMXVRUZMUHI-YABSGUDNSA-N -1 1 349.358 -0.084 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(c3cnc(-c4nn[n-]n4)cn3)C[C@H]21)c1ccncc1 ZINC001570950174 949668751 /nfs/dbraw/zinc/66/87/51/949668751.db2.gz FFIDMXVRUZMUHI-YABSGUDNSA-N -1 1 349.358 -0.084 20 0 EBADMM C[C@H](CNC(=O)[C@]12C[C@H]1COC2)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574959024 949699018 /nfs/dbraw/zinc/69/90/18/949699018.db2.gz XUCJSOJQPVDIMJ-ITMYJUKJSA-N -1 1 330.352 -0.968 20 0 EBADMM CCOC(=O)N1CCC(NC(=O)Cn2cc(-c3nn[n-]n3)nn2)CC1 ZINC001570950895 949707746 /nfs/dbraw/zinc/70/77/46/949707746.db2.gz YUVIFTVPEKLOFR-UHFFFAOYSA-N -1 1 349.355 -0.805 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CCC(=O)N1)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001574962858 949738698 /nfs/dbraw/zinc/73/86/98/949738698.db2.gz DBTDASJWOVDDEK-DTWKUNHWSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CCC(=O)N1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001574962858 949738704 /nfs/dbraw/zinc/73/87/04/949738704.db2.gz DBTDASJWOVDDEK-DTWKUNHWSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)N1CCN(CCNC(=O)c2csc(-c3nn[n-]n3)c2)CC1 ZINC001570951426 949745577 /nfs/dbraw/zinc/74/55/77/949745577.db2.gz PERDDQFHZMQWMB-UHFFFAOYSA-N -1 1 349.420 -0.178 20 0 EBADMM C[C@H](NC(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)[C@@H]1CCOC1 ZINC001575278186 949766345 /nfs/dbraw/zinc/76/63/45/949766345.db2.gz UEPLHFMCOWSABB-DTWKUNHWSA-N -1 1 349.351 -0.940 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CCC(=O)N1)CNc1nccnc1-c1nnn[n-]1 ZINC001574964513 949778927 /nfs/dbraw/zinc/77/89/27/949778927.db2.gz XOWDGMSSBULVGF-DTWKUNHWSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CCC(=O)N1)CNc1nccnc1-c1nn[n-]n1 ZINC001574964513 949778938 /nfs/dbraw/zinc/77/89/38/949778938.db2.gz XOWDGMSSBULVGF-DTWKUNHWSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@@H]1CCN(C(=O)C(N)=O)C[C@@H]1CNc1ccc(-c2nnn[n-]2)nn1 ZINC001576179389 949918225 /nfs/dbraw/zinc/91/82/25/949918225.db2.gz LETOEWZXXHELMQ-BDAKNGLRSA-N -1 1 345.367 -0.962 20 0 EBADMM C[C@@H]1CCN(C(=O)C(N)=O)C[C@@H]1CNc1ccc(-c2nn[n-]n2)nn1 ZINC001576179389 949918240 /nfs/dbraw/zinc/91/82/40/949918240.db2.gz LETOEWZXXHELMQ-BDAKNGLRSA-N -1 1 345.367 -0.962 20 0 EBADMM CC1(C(=O)N[C@H]2CCN(c3cnc(-c4nnn[n-]4)cn3)C[C@H]2O)CC1 ZINC001575569832 949928639 /nfs/dbraw/zinc/92/86/39/949928639.db2.gz OSAPSVGIGISWOP-GXSJLCMTSA-N -1 1 344.379 -0.487 20 0 EBADMM CC1(C(=O)N[C@H]2CCN(c3cnc(-c4nn[n-]n4)cn3)C[C@H]2O)CC1 ZINC001575569832 949928657 /nfs/dbraw/zinc/92/86/57/949928657.db2.gz OSAPSVGIGISWOP-GXSJLCMTSA-N -1 1 344.379 -0.487 20 0 EBADMM C[C@]1(C(=O)N[C@H]2CCN(c3ccc(-c4nnn[n-]4)nn3)C2)CCOC1 ZINC001575569716 949929986 /nfs/dbraw/zinc/92/99/86/949929986.db2.gz NIPLKVDEUKOKEB-BONVTDFDSA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@]1(C(=O)N[C@H]2CCN(c3ccc(-c4nn[n-]n4)nn3)C2)CCOC1 ZINC001575569716 949930003 /nfs/dbraw/zinc/93/00/03/949930003.db2.gz NIPLKVDEUKOKEB-BONVTDFDSA-N -1 1 344.379 -0.222 20 0 EBADMM CC1(C(=O)NC[C@@H](CO)Nc2ccc(-c3nnn[n-]3)nn2)CCC1 ZINC001575572946 949981777 /nfs/dbraw/zinc/98/17/77/949981777.db2.gz JWNWWWXEGQJOBZ-VIFPVBQESA-N -1 1 332.368 -0.264 20 0 EBADMM CC1(C(=O)NC[C@@H](CO)Nc2ccc(-c3nn[n-]n3)nn2)CCC1 ZINC001575572946 949981795 /nfs/dbraw/zinc/98/17/95/949981795.db2.gz JWNWWWXEGQJOBZ-VIFPVBQESA-N -1 1 332.368 -0.264 20 0 EBADMM C[C@H](CN(C)C(=O)Cn1ccnc1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574732925 950084964 /nfs/dbraw/zinc/08/49/64/950084964.db2.gz MWZLHLIXJPCPDX-SNVBAGLBSA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@]12C[C@H]1COC2)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574733408 950110580 /nfs/dbraw/zinc/11/05/80/950110580.db2.gz AFBTTZJMMSFYSG-YHAQOWFVSA-N -1 1 344.379 -0.048 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@]12C[C@H]1COC2)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574733408 950110585 /nfs/dbraw/zinc/11/05/85/950110585.db2.gz AFBTTZJMMSFYSG-YHAQOWFVSA-N -1 1 344.379 -0.048 20 0 EBADMM CCN1CC[C@H]1CNC(=O)N[C@H]1CCCCN(CC(=O)[O-])C1=O ZINC001589741141 950587037 /nfs/dbraw/zinc/58/70/37/950587037.db2.gz BYKFZZCDJNBKPQ-RYUDHWBXSA-N -1 1 326.397 -0.155 20 0 EBADMM CC(C)(C)OCC(=O)N[C@H]1C[C@@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001573873540 950649070 /nfs/dbraw/zinc/64/90/70/950649070.db2.gz PTABJIUNNUEYJM-AOOOYVTPSA-N -1 1 346.395 -0.047 20 0 EBADMM C[C@H](CN(C)C(=O)c1cn(C)nn1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574760191 950661508 /nfs/dbraw/zinc/66/15/08/950661508.db2.gz HDTRKWAENSORFT-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CN(C)C(=O)c1cn(C)nn1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574760191 950661530 /nfs/dbraw/zinc/66/15/30/950661530.db2.gz HDTRKWAENSORFT-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM CC1(C)CC(C(=O)NC[C@H](O)CNc2cncc(-c3nnn[n-]3)n2)C1 ZINC001575629368 950735354 /nfs/dbraw/zinc/73/53/54/950735354.db2.gz NGWDEHWIWJYANH-SNVBAGLBSA-N -1 1 346.395 -0.018 20 0 EBADMM CC1(C)CC(C(=O)NC[C@H](O)CNc2cncc(-c3nn[n-]n3)n2)C1 ZINC001575629368 950735361 /nfs/dbraw/zinc/73/53/61/950735361.db2.gz NGWDEHWIWJYANH-SNVBAGLBSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](CN(C)C(=O)c1csnn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574765714 950796205 /nfs/dbraw/zinc/79/62/05/950796205.db2.gz JVPYSVRLYPIJGX-SSDOTTSWSA-N -1 1 346.380 -0.497 20 0 EBADMM CCOC(=O)[C@]1(COC)CCCN([C@@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC001590179389 950867608 /nfs/dbraw/zinc/86/76/08/950867608.db2.gz ZTUUCGMVXXVFRX-MLGOLLRUSA-N -1 1 342.392 -0.036 20 0 EBADMM CC1(C)CC(NC(=O)NCCn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)C1 ZINC001575641739 950926807 /nfs/dbraw/zinc/92/68/07/950926807.db2.gz QHWRWEDWJJVDBR-UHFFFAOYSA-N -1 1 348.367 -0.383 20 0 EBADMM C[C@H](CNC(=O)c1ccc(=O)[nH]n1)Nc1nccnc1-c1nnn[n-]1 ZINC001575018227 951019613 /nfs/dbraw/zinc/01/96/13/951019613.db2.gz MMNYQUZWZBVOLQ-SSDOTTSWSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@H](CNC(=O)c1ccc(=O)[nH]n1)Nc1nccnc1-c1nn[n-]n1 ZINC001575018227 951019628 /nfs/dbraw/zinc/01/96/28/951019628.db2.gz MMNYQUZWZBVOLQ-SSDOTTSWSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@@H](CNC(=O)c1ccncn1)CNc1nccnc1-c1nnn[n-]1 ZINC001575035602 951278406 /nfs/dbraw/zinc/27/84/06/951278406.db2.gz YWXWGWFPSDHQNE-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1ccncn1)CNc1nccnc1-c1nn[n-]n1 ZINC001575035602 951278417 /nfs/dbraw/zinc/27/84/17/951278417.db2.gz YWXWGWFPSDHQNE-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)nn1)Nc1nccnc1-c1nnn[n-]1 ZINC001575042163 951356243 /nfs/dbraw/zinc/35/62/43/951356243.db2.gz GQRJXIONSBQFRQ-ZETCQYMHSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)nn1)Nc1nccnc1-c1nn[n-]n1 ZINC001575042163 951356254 /nfs/dbraw/zinc/35/62/54/951356254.db2.gz GQRJXIONSBQFRQ-ZETCQYMHSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)nn1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575042364 951358541 /nfs/dbraw/zinc/35/85/41/951358541.db2.gz ILYNDWHTVWYQJP-QMMMGPOBSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)nn1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575042364 951358551 /nfs/dbraw/zinc/35/85/51/951358551.db2.gz ILYNDWHTVWYQJP-QMMMGPOBSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@@H](CNC(=O)c1cn[nH]c1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575043128 951381917 /nfs/dbraw/zinc/38/19/17/951381917.db2.gz FZIKKWBTWJOLKO-QMMMGPOBSA-N -1 1 328.340 -0.366 20 0 EBADMM C[C@H](CNC(=O)c1cn[nH]c1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575043910 951405560 /nfs/dbraw/zinc/40/55/60/951405560.db2.gz MWCKICICCBVHHK-QMMMGPOBSA-N -1 1 328.340 -0.142 20 0 EBADMM C[C@H](CNC(=O)c1cn[nH]c1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575043910 951405566 /nfs/dbraw/zinc/40/55/66/951405566.db2.gz MWCKICICCBVHHK-QMMMGPOBSA-N -1 1 328.340 -0.142 20 0 EBADMM CCS(=O)(=O)CCN(C)C(=O)NC1CCN(CC(=O)[O-])CC1 ZINC001593277702 951419941 /nfs/dbraw/zinc/41/99/41/951419941.db2.gz RLVFIGCNNVJPNZ-UHFFFAOYSA-N -1 1 335.426 -0.389 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)n1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575052593 951468749 /nfs/dbraw/zinc/46/87/49/951468749.db2.gz MQGIJNXXBUKAPE-MRVPVSSYSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)n1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575052593 951468756 /nfs/dbraw/zinc/46/87/56/951468756.db2.gz MQGIJNXXBUKAPE-MRVPVSSYSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)c1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575052808 951471265 /nfs/dbraw/zinc/47/12/65/951471265.db2.gz OLBGZGCSSGAVEX-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)c1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575052808 951471273 /nfs/dbraw/zinc/47/12/73/951471273.db2.gz OLBGZGCSSGAVEX-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)n1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575054129 951486748 /nfs/dbraw/zinc/48/67/48/951486748.db2.gz WCBADCQFLSBJLY-QMMMGPOBSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)n1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575054129 951486754 /nfs/dbraw/zinc/48/67/54/951486754.db2.gz WCBADCQFLSBJLY-QMMMGPOBSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@H](CNC(=O)c1cnns1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575054660 951496509 /nfs/dbraw/zinc/49/65/09/951496509.db2.gz JTCIAWVHEZAIOU-SSDOTTSWSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@H](CNC(=O)c1cnns1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575054660 951496518 /nfs/dbraw/zinc/49/65/18/951496518.db2.gz JTCIAWVHEZAIOU-SSDOTTSWSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@H](CNC(=O)c1cnns1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001575055239 951501823 /nfs/dbraw/zinc/50/18/23/951501823.db2.gz OPOLRUYFGFHYSV-SSDOTTSWSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@H](CNC(=O)c1cnns1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575055239 951501831 /nfs/dbraw/zinc/50/18/31/951501831.db2.gz OPOLRUYFGFHYSV-SSDOTTSWSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@@H](CNC(=O)c1cnnn1C)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575055454 951505800 /nfs/dbraw/zinc/50/58/00/951505800.db2.gz UQOGXMWBWDLCRH-QMMMGPOBSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@@H](CNC(=O)c1cnnn1C)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575055454 951505811 /nfs/dbraw/zinc/50/58/11/951505811.db2.gz UQOGXMWBWDLCRH-QMMMGPOBSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@@H](CNC(=O)c1csc(=O)[nH]1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575056026 951516076 /nfs/dbraw/zinc/51/60/76/951516076.db2.gz DSFMSGGNATZIQH-LURJTMIESA-N -1 1 347.364 -0.529 20 0 EBADMM C[C@H](CNC(=O)c1ncn(C)n1)Nc1nccnc1-c1nnn[n-]1 ZINC001575067916 951625984 /nfs/dbraw/zinc/62/59/84/951625984.db2.gz RUPSNFDPLHZPBM-SSDOTTSWSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@H](CNC(=O)c1ncn(C)n1)Nc1nccnc1-c1nn[n-]n1 ZINC001575067916 951625993 /nfs/dbraw/zinc/62/59/93/951625993.db2.gz RUPSNFDPLHZPBM-SSDOTTSWSA-N -1 1 329.328 -0.984 20 0 EBADMM O=C([O-])CN1CCC(NC(=O)NC[C@@H]2CCCS2(=O)=O)CC1 ZINC001595076529 951646065 /nfs/dbraw/zinc/64/60/65/951646065.db2.gz BLYOSEPXRREQHG-NSHDSACASA-N -1 1 333.410 -0.588 20 0 EBADMM O=C([O-])CNC(=O)CN1CC[C@H]2CN(C(=O)C(F)(F)F)C[C@H]2C1 ZINC001595098268 951836436 /nfs/dbraw/zinc/83/64/36/951836436.db2.gz ACPHTWPTMBKVIJ-DTWKUNHWSA-N -1 1 337.298 -0.470 20 0 EBADMM C[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)c1cn[nH]n1 ZINC001575132179 952091887 /nfs/dbraw/zinc/09/18/87/952091887.db2.gz DFSCVJADOHCAJE-ZETCQYMHSA-N -1 1 329.328 -0.653 20 0 EBADMM C[C@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)c1cnnn1C ZINC001575137055 952134792 /nfs/dbraw/zinc/13/47/92/952134792.db2.gz SPOSDJBUSAFWNS-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)c1cnnn1C ZINC001575137055 952134796 /nfs/dbraw/zinc/13/47/96/952134796.db2.gz SPOSDJBUSAFWNS-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1ccnn1C ZINC001575143458 952152965 /nfs/dbraw/zinc/15/29/65/952152965.db2.gz AQQXAQGRBFTCGG-VIFPVBQESA-N -1 1 342.367 -0.615 20 0 EBADMM O=C([O-])Cn1cccc(C(=O)N2CCN([C@H]3CCC[C@@H]3O)CC2)c1=O ZINC001595153673 952153054 /nfs/dbraw/zinc/15/30/54/952153054.db2.gz FADAGBFUMOLCRT-KBPBESRZSA-N -1 1 349.387 -0.396 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1ccc[nH]1 ZINC001575145522 952186420 /nfs/dbraw/zinc/18/64/20/952186420.db2.gz ZDKSZGFJDOGHPE-VIFPVBQESA-N -1 1 327.352 -0.021 20 0 EBADMM O=C([O-])[C@@H]1CCCN1S(=O)(=O)N1CCC(c2nc[nH]n2)CC1 ZINC001595168336 952213870 /nfs/dbraw/zinc/21/38/70/952213870.db2.gz FTPKDEPKFFRNSP-JTQLQIEISA-N -1 1 329.382 -0.222 20 0 EBADMM C[C@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)c1ccnn1C ZINC001575155014 952233133 /nfs/dbraw/zinc/23/31/33/952233133.db2.gz JKZRYJDMMYGYAL-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)c1ccnn1C ZINC001575155014 952233139 /nfs/dbraw/zinc/23/31/39/952233139.db2.gz JKZRYJDMMYGYAL-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)[C@H]1CCOC1 ZINC001575156103 952249018 /nfs/dbraw/zinc/24/90/18/952249018.db2.gz CHYOANOKCBXWRV-ZJUUUORDSA-N -1 1 332.368 -0.048 20 0 EBADMM C[C@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)[C@H]1CCOC1 ZINC001575156103 952249022 /nfs/dbraw/zinc/24/90/22/952249022.db2.gz CHYOANOKCBXWRV-ZJUUUORDSA-N -1 1 332.368 -0.048 20 0 EBADMM C[C@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)c1cn(C)nn1 ZINC001575156259 952250165 /nfs/dbraw/zinc/25/01/65/952250165.db2.gz RNKXLSJNLQJTKP-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)c1cn(C)nn1 ZINC001575156259 952250169 /nfs/dbraw/zinc/25/01/69/952250169.db2.gz RNKXLSJNLQJTKP-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)c1ccnn1C ZINC001575159057 952274248 /nfs/dbraw/zinc/27/42/48/952274248.db2.gz YKRUSNVUSJBAAC-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)c1ccnn1C ZINC001575159057 952274255 /nfs/dbraw/zinc/27/42/55/952274255.db2.gz YKRUSNVUSJBAAC-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)Cc1cc[nH]n1 ZINC001575176374 952392121 /nfs/dbraw/zinc/39/21/21/952392121.db2.gz DAWHWNPOOADTKG-VIFPVBQESA-N -1 1 342.367 -0.119 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)[C@@H]1CCC(=O)N1 ZINC001575180529 952418688 /nfs/dbraw/zinc/41/86/88/952418688.db2.gz VJQXYSCCPOHWLM-IUCAKERBSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)[C@@H]1CCC(=O)N1 ZINC001575180529 952418693 /nfs/dbraw/zinc/41/86/93/952418693.db2.gz VJQXYSCCPOHWLM-IUCAKERBSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@](Cn1cccn1)(NC(=O)c1ccc(-c2nnn[n-]2)o1)C(N)=O ZINC001575207994 952537011 /nfs/dbraw/zinc/53/70/11/952537011.db2.gz YMIYFUYVRXFGAC-ZDUSSCGKSA-N -1 1 330.308 -0.670 20 0 EBADMM C[C@@](Cn1cccn1)(NC(=O)c1ccc(-c2nn[n-]n2)o1)C(N)=O ZINC001575207994 952537014 /nfs/dbraw/zinc/53/70/14/952537014.db2.gz YMIYFUYVRXFGAC-ZDUSSCGKSA-N -1 1 330.308 -0.670 20 0 EBADMM O=C([O-])C(=O)N1CCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)CC1 ZINC001594826720 953943261 /nfs/dbraw/zinc/94/32/61/953943261.db2.gz MLUKTKKBOHFYBS-UHFFFAOYSA-N -1 1 329.316 -0.159 20 0 EBADMM O=C([O-])C1(C(=O)N[C@H]2CCN(CCN3CCOCC3)C2)CC=CC1 ZINC001594857202 954248745 /nfs/dbraw/zinc/24/87/45/954248745.db2.gz VXPQXPKZIOMXNY-AWEZNQCLSA-N -1 1 337.420 -0.070 20 0 EBADMM CN1C[C@@H](CN2CCC(N3C[C@H](C(=O)[O-])CC3=O)CC2)OC1=O ZINC001593772604 954553981 /nfs/dbraw/zinc/55/39/81/954553981.db2.gz MHRXAARVQNTPFZ-PWSUYJOCSA-N -1 1 325.365 -0.166 20 0 EBADMM CNC(=O)CC[N@H+]1CCC[C@H]([N-]C(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC001593793602 954785380 /nfs/dbraw/zinc/78/53/80/954785380.db2.gz QMMBJKCUCZWHRU-IONNQARKSA-N -1 1 325.287 -0.281 20 0 EBADMM Cn1cc([C@]2(CNCCS(=O)(=O)CC(=O)[O-])CCCO2)cn1 ZINC001594531165 955535039 /nfs/dbraw/zinc/53/50/39/955535039.db2.gz HCXYZQHJGCVEKT-CYBMUJFWSA-N -1 1 331.394 -0.485 20 0 EBADMM CO[C@@](C)(C(=O)[O-])C(=O)NC1C[C@H]2CC[C@@H](C1)N2CC(=O)N(C)C ZINC001593924928 956108002 /nfs/dbraw/zinc/10/80/02/956108002.db2.gz DTGBODBLLGEMJE-DFHPZWACSA-N -1 1 341.408 -0.324 20 0 EBADMM COC[C@@]1(C(=O)[O-])CCN(CN2C(=O)C(=O)N(CC(C)C)C2=O)C1 ZINC001593966753 956557151 /nfs/dbraw/zinc/55/71/51/956557151.db2.gz MBTKXINOPZIDAV-OAHLLOKOSA-N -1 1 341.364 -0.186 20 0 EBADMM COCCN(CCC(=O)[O-])C(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC001594004716 956953563 /nfs/dbraw/zinc/95/35/63/956953563.db2.gz RWNPLAHIXTULEJ-QWHCGFSZSA-N -1 1 330.429 -0.247 20 0 EBADMM COCc1nc(CNS(=O)(=O)N2CCC(C(=O)[O-])CC2)n[nH]1 ZINC001594031035 957758057 /nfs/dbraw/zinc/75/80/57/957758057.db2.gz GGJXGSWTXWWGCK-UHFFFAOYSA-N -1 1 333.370 -0.918 20 0 EBADMM CN1CC[C@H](N2CCC(NC(=O)[C@H]3CC(C(=O)[O-])=NO3)CC2)C1=O ZINC001588870484 962060228 /nfs/dbraw/zinc/06/02/28/962060228.db2.gz LTCOTHZYCVXILD-NWDGAFQWSA-N -1 1 338.364 -0.973 20 0 EBADMM C[C@H]1SC[C@H](C(=O)NCCC(=O)[O-])N1C(=O)Cc1c[nH]cn1 ZINC001571172721 962062327 /nfs/dbraw/zinc/06/23/27/962062327.db2.gz FROOMWFOVUQDPB-PSASIEDQSA-N -1 1 326.378 -0.167 20 0 EBADMM CSc1ncc(C(=O)N2CC[N@H+](C)C[C@@H](C(=O)[O-])C2)c(=O)[n-]1 ZINC001571173347 962069726 /nfs/dbraw/zinc/06/97/26/962069726.db2.gz QUPDYJMBPAAZMZ-MRVPVSSYSA-N -1 1 326.378 -0.008 20 0 EBADMM CSc1ncc(C(=O)N2CC[N@@H+](C)C[C@@H](C(=O)[O-])C2)c(=O)[n-]1 ZINC001571173347 962069738 /nfs/dbraw/zinc/06/97/38/962069738.db2.gz QUPDYJMBPAAZMZ-MRVPVSSYSA-N -1 1 326.378 -0.008 20 0 EBADMM O=C([O-])c1nc(NC[C@@H]2CCCCN2C(=O)c2nnc[n-]2)cc[nH+]1 ZINC001571196427 962316742 /nfs/dbraw/zinc/31/67/42/962316742.db2.gz MWKYEYZLKOXOAN-VIFPVBQESA-N -1 1 331.336 -0.178 20 0 EBADMM CN(C)CCCN(C(=O)[C@H]1C[C@H]1C(=O)[O-])[C@@H]1CCS(=O)(=O)C1 ZINC001571205544 962414901 /nfs/dbraw/zinc/41/49/01/962414901.db2.gz ZBRIECADEVQWCI-GRYCIOLGSA-N -1 1 332.422 -0.326 20 0 EBADMM CN(C)CCCN(C(=O)[C@H]1C[C@H]1C(=O)[O-])[C@H]1CCS(=O)(=O)C1 ZINC001571205545 962415051 /nfs/dbraw/zinc/41/50/51/962415051.db2.gz ZBRIECADEVQWCI-SDDRHHMPSA-N -1 1 332.422 -0.326 20 0 EBADMM COC(=O)[C@H]1C[C@@H](S(=O)(=O)N2CCN(C)C[C@H](C(=O)[O-])C2)C1 ZINC001571221518 962545307 /nfs/dbraw/zinc/54/53/07/962545307.db2.gz LEMYSXZAPZIXBE-GARJFASQSA-N -1 1 334.394 -0.784 20 0 EBADMM C[C@H](C(=O)NC(=O)NCc1ccccc1)N1CC[C@](O)(C(=O)[O-])C1 ZINC001571223646 962563376 /nfs/dbraw/zinc/56/33/76/962563376.db2.gz JASAASBGLCXXEC-BDJLRTHQSA-N -1 1 335.360 -0.078 20 0 EBADMM Cc1ncc(S(=O)(=O)N(CCN(C)C)CC(=O)[O-])cc1C#N ZINC000390671105 968183562 /nfs/dbraw/zinc/18/35/62/968183562.db2.gz QBWBCDDQLCQSBS-UHFFFAOYSA-N -1 1 326.378 -0.101 20 0 EBADMM O=C([O-])Cn1ccc(NC(=O)C(=O)N2CC[C@@H](N3CC=CC3)C2)n1 ZINC001595148382 970309568 /nfs/dbraw/zinc/30/95/68/970309568.db2.gz POGYDKFGUYWJCX-LLVKDONJSA-N -1 1 333.348 -0.621 20 0 EBADMM CC(C)CN1CCO[C@@H](CNC(=O)C(=O)N2CC[C@H](C(=O)[O-])C2)C1 ZINC001609805694 970537994 /nfs/dbraw/zinc/53/79/94/970537994.db2.gz MVUMGRNVEJFGNI-STQMWFEESA-N -1 1 341.408 -0.608 20 0 EBADMM CN1CCN(c2ccc(CNC(=O)[C@@H]3CC(C(=O)[O-])=NO3)cn2)CC1 ZINC001604227379 972723965 /nfs/dbraw/zinc/72/39/65/972723965.db2.gz DYAGIQYWKRTKRV-ZDUSSCGKSA-N -1 1 347.375 -0.321 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)C2(C(=O)[O-])CCS(=O)(=O)CC2)CCN1C ZINC001603375448 972921343 /nfs/dbraw/zinc/92/13/43/972921343.db2.gz PSPUEOLIZZXZMJ-MNOVXSKESA-N -1 1 332.422 -0.135 20 0 EBADMM COC(=O)CC[C@]1(C)NC(=O)N(CN2CC[C@@H](C(=O)[O-])C2)C1=O ZINC001604304722 972957920 /nfs/dbraw/zinc/95/79/20/972957920.db2.gz YKEKZFXIQQJPJA-OTYXRUKQSA-N -1 1 327.337 -0.386 20 0 EBADMM Cc1nnc(SCC(=O)N[C@H]2CCCCN(CC(=O)[O-])C2=O)[nH]1 ZINC001605388053 973357992 /nfs/dbraw/zinc/35/79/92/973357992.db2.gz CJPOAFRTLPZVTI-VIFPVBQESA-N -1 1 341.393 -0.213 20 0 EBADMM O=C([O-])CCCNC(=O)C(=O)N1CC[C@H]2[C@H]1CCCN2CCO ZINC001606175201 973423291 /nfs/dbraw/zinc/42/32/91/973423291.db2.gz TYDLMSAMLIRCCQ-NWDGAFQWSA-N -1 1 327.381 -0.975 20 0 EBADMM CCC(CC)(NC(=O)[C@@H]1CCCN(CC(=O)[O-])C1=O)c1nn[nH]n1 ZINC001603543090 973597419 /nfs/dbraw/zinc/59/74/19/973597419.db2.gz FJAJCKMFKQOZRV-VIFPVBQESA-N -1 1 338.368 -0.346 20 0 EBADMM CN(C)[C@@H]1CCCN(C(=O)C2(C(=O)[O-])CCS(=O)(=O)CC2)C1 ZINC001604053123 974584086 /nfs/dbraw/zinc/58/40/86/974584086.db2.gz KLTGCXYUOHELRO-LLVKDONJSA-N -1 1 332.422 -0.181 20 0 EBADMM C[C@@H](CN1CCN(C)CC1)NC(=O)CN1CCC[C@@H](C(=O)[O-])C1=O ZINC001592615994 978578220 /nfs/dbraw/zinc/57/82/20/978578220.db2.gz OTRGVIOIZORESL-QWHCGFSZSA-N -1 1 340.424 -0.938 20 0 EBADMM C[C@H](CN1CCOCC1)C(=O)N[C@H](CCC1OCCO1)C(=O)[O-] ZINC001592624373 978597773 /nfs/dbraw/zinc/59/77/73/978597773.db2.gz NYDWAIXAQSYZMQ-VXGBXAGGSA-N -1 1 330.381 -0.323 20 0 EBADMM C[C@H](CS(=O)(=O)N[C@@H](CO)C(=O)OCc1ccccc1)C(=O)[O-] ZINC001592806005 979465917 /nfs/dbraw/zinc/46/59/17/979465917.db2.gz BEYRVIHOHLAIJA-PWSUYJOCSA-N -1 1 345.373 -0.269 20 0 EBADMM C[C@@H](Cc1ccc(NC(=O)C(=O)NC[C@@H]2COCCN2)cc1)C(=O)[O-] ZINC001592852828 979638482 /nfs/dbraw/zinc/63/84/82/979638482.db2.gz FTDOSKYEYOLZJL-SMDDNHRTSA-N -1 1 349.387 -0.007 20 0 EBADMM CC[C@@](COC)(NC(=O)CN(C)c1ncnc2[nH]cnc21)C(=O)[O-] ZINC001595188498 979860624 /nfs/dbraw/zinc/86/06/24/979860624.db2.gz PRYRADYNYLPOEO-AWEZNQCLSA-N -1 1 336.352 -0.215 20 0 EBADMM CC[C@H](C)[C@H](NC(=O)CN1CCN(CCO)C(C)(C)C1)C(=O)[O-] ZINC001594894072 982315511 /nfs/dbraw/zinc/31/55/11/982315511.db2.gz MDUCUVKIYZYBMC-JSGCOSHPSA-N -1 1 329.441 -0.010 20 0 EBADMM CCN1CCCN(C(=O)C(=O)N2CCO[C@H](CC(=O)[O-])C2)CC1 ZINC001596425327 984073207 /nfs/dbraw/zinc/07/32/07/984073207.db2.gz UGDLSYWXZDJSQS-GFCCVEGCSA-N -1 1 327.381 -0.757 20 0 EBADMM CC(=O)N1CCN(C(=O)[C@H](C)N[C@@H](C[C@@H]2CCCO2)C(=O)[O-])CC1 ZINC001589080338 985244397 /nfs/dbraw/zinc/24/43/97/985244397.db2.gz WNSZQMGYAWZZOK-UBHSHLNASA-N -1 1 341.408 -0.323 20 0 EBADMM CC(=O)N[C@@H](CS(=O)(=O)Cc1n[nH]c(C(C)(C)C)n1)C(=O)[O-] ZINC001589156350 985483295 /nfs/dbraw/zinc/48/32/95/985483295.db2.gz RIFBKBYAUKOQOM-QMMMGPOBSA-N -1 1 332.382 -0.394 20 0 EBADMM Cc1[nH]c(C(=O)CN(C)CC(=O)N2CCNCC2)c(C)c1C(=O)[O-] ZINC001599945743 985523577 /nfs/dbraw/zinc/52/35/77/985523577.db2.gz XMSNUQDCSJLOBB-UHFFFAOYSA-N -1 1 336.392 -0.124 20 0 EBADMM C[C@@H]1C[N@H+](CC(C)(C)O)CCN1S(=O)(=O)c1n[n-]cc1C(=O)[O-] ZINC001594508556 985751958 /nfs/dbraw/zinc/75/19/58/985751958.db2.gz XLIAPGGXRXTSFK-SECBINFHSA-N -1 1 346.409 -0.426 20 0 EBADMM C[C@@H]1C[N@@H+](CC(C)(C)O)CCN1S(=O)(=O)c1n[n-]cc1C(=O)[O-] ZINC001594508556 985751972 /nfs/dbraw/zinc/75/19/72/985751972.db2.gz XLIAPGGXRXTSFK-SECBINFHSA-N -1 1 346.409 -0.426 20 0 EBADMM CC(=O)c1[nH]c(CC(=O)NCCN(C)CCO)c(C(=O)[O-])c1C ZINC001589243474 985839982 /nfs/dbraw/zinc/83/99/82/985839982.db2.gz TZUQPVALKFQVGE-UHFFFAOYSA-N -1 1 325.365 -0.193 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NCCCc1cnn(C)n1 ZINC001594519176 985944155 /nfs/dbraw/zinc/94/41/55/985944155.db2.gz MSDRMYLPZOAWMX-LBPRGKRZSA-N -1 1 338.412 -0.062 20 0 EBADMM C[C@@H]1CN2CCN1C[C@@H]2C(=O)N1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001594584027 986455308 /nfs/dbraw/zinc/45/53/08/986455308.db2.gz BPKIJSZXVXUWOT-NQBHXWOUSA-N -1 1 334.380 -0.862 20 0 EBADMM C[C@H](C(=O)NCC(=O)[O-])N1C[C@@H]2COC[C@]2(C(=O)OC(C)(C)C)C1 ZINC001589400329 986566802 /nfs/dbraw/zinc/56/68/02/986566802.db2.gz KHWJBXAZVVRCDT-GLKRBJQHSA-N -1 1 342.392 -0.134 20 0 EBADMM C[C@H]1OCC[C@H]1S(=O)(=O)N(CCN1CCN(C)CC1)CC(=O)[O-] ZINC001594649215 986861662 /nfs/dbraw/zinc/86/16/62/986861662.db2.gz TUSZXFFAQKCLMZ-CHWSQXEVSA-N -1 1 349.453 -0.872 20 0 EBADMM CCS(=O)(=O)N[C@H](C)C(=O)N1CCN(CCC(=O)[O-])C[C@H]1C ZINC001597223870 987158709 /nfs/dbraw/zinc/15/87/09/987158709.db2.gz BJYDNHWTDQJERU-GHMZBOCLSA-N -1 1 335.426 -0.678 20 0 EBADMM CC[C@@H]1OCC[C@H]1C(=O)N(CCN1CCN(C)CC1)CC(=O)[O-] ZINC001597302543 987412971 /nfs/dbraw/zinc/41/29/71/987412971.db2.gz GNJPZFYQSCYGCW-KGLIPLIRSA-N -1 1 327.425 -0.038 20 0 EBADMM CC(C)(C(=O)[O-])N1CCN(C(=O)C(=O)NCCc2cccnc2)CC1 ZINC001589620488 987496136 /nfs/dbraw/zinc/49/61/36/987496136.db2.gz HCOBLMKJQGEPHL-UHFFFAOYSA-N -1 1 348.403 -0.252 20 0 EBADMM CC(C)(C(=O)[O-])N1CCN(C(=O)C(=O)NC[C@@H]2CCOC2)CC1 ZINC001589621424 987503000 /nfs/dbraw/zinc/50/30/00/987503000.db2.gz WSROXDZBCCKMMO-NSHDSACASA-N -1 1 327.381 -0.854 20 0 EBADMM CC(C)(C)N1CC[C@H]1CNC(=O)C(=O)NC[C@H]1CCO[C@H]1C(=O)[O-] ZINC001589815738 988256220 /nfs/dbraw/zinc/25/62/20/988256220.db2.gz QQBOPBXKEXHJND-GRYCIOLGSA-N -1 1 341.408 -0.419 20 0 EBADMM CCc1onc(C)c1NC(=O)C(=O)N1CCN(C)C[C@@H](C(=O)[O-])C1 ZINC001597815968 989443928 /nfs/dbraw/zinc/44/39/28/989443928.db2.gz MIRVWJNFXTUINA-SNVBAGLBSA-N -1 1 338.364 -0.041 20 0 EBADMM CC(C)(C)OC(=O)NCC[C@H](O)CN1CCN(CC(=O)[O-])CC1 ZINC001590184711 989534395 /nfs/dbraw/zinc/53/43/95/989534395.db2.gz KJHHGWIUXCWXAD-LBPRGKRZSA-N -1 1 331.413 -0.036 20 0 EBADMM CC(C)C(=O)N[C@@H](CO)C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC001590939497 990975368 /nfs/dbraw/zinc/97/53/68/990975368.db2.gz XCSLIUQCFONTBQ-RYUDHWBXSA-N -1 1 329.397 -0.873 20 0 EBADMM CN(CC(=O)N1CCC([C@@H](O)C(=O)[O-])CC1)c1ncnc2[nH]cnc21 ZINC001598380411 991580873 /nfs/dbraw/zinc/58/08/73/991580873.db2.gz IUNBBJFJFDGRMR-GFCCVEGCSA-N -1 1 348.363 -0.527 20 0 EBADMM CN(CC(=O)N1CCN(CC(=O)[O-])CC1)c1ncnc2nc[nH]c21 ZINC001598381216 991593996 /nfs/dbraw/zinc/59/39/96/991593996.db2.gz ZQAJAMAWVJXXTP-UHFFFAOYSA-N -1 1 333.352 -0.982 20 0 EBADMM CC(C)CC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)NC[C@H](O)CC(=O)[O-] ZINC001591108391 991748449 /nfs/dbraw/zinc/74/84/49/991748449.db2.gz DHTKFIYBXPRNHF-NEPJUHHUSA-N -1 1 340.380 -0.565 20 0 EBADMM COc1nccc(CNC2(CC(=O)[O-])CCS(=O)(=O)CC2)n1 ZINC001599736052 991777226 /nfs/dbraw/zinc/77/72/26/991777226.db2.gz RYIHSVQBVNTLFX-UHFFFAOYSA-N -1 1 329.378 -0.003 20 0 EBADMM CN(C[C@@H](O)CN(C)c1ccnc(C(=O)[O-])n1)C(=O)c1ccn[nH]1 ZINC001598433123 991808601 /nfs/dbraw/zinc/80/86/01/991808601.db2.gz RDSZHLKYLATZDJ-VIFPVBQESA-N -1 1 334.336 -0.533 20 0 EBADMM CS(=O)(=O)Nc1ccc(C(=O)N[C@@]2(C(=O)[O-])C[C@@H](O)C2)cn1 ZINC001599761318 992126659 /nfs/dbraw/zinc/12/66/59/992126659.db2.gz WDTPZKBXVDHFQO-LYWQIFSTSA-N -1 1 329.334 -0.839 20 0 EBADMM CN(CCNC(=O)[C@H]1C[C@H]1C(=O)[O-])CC1CCS(=O)(=O)CC1 ZINC001598489733 992268519 /nfs/dbraw/zinc/26/85/19/992268519.db2.gz WRBWBDFMVCJIRM-NWDGAFQWSA-N -1 1 332.422 -0.420 20 0 EBADMM CN1CC[C@](O)(CNS(=O)(=O)Cc2ccccc2C(=O)[O-])C1 ZINC001598585927 993382676 /nfs/dbraw/zinc/38/26/76/993382676.db2.gz LSOMKAYSWXCTBS-AWEZNQCLSA-N -1 1 328.390 -0.129 20 0 EBADMM CN1CC[C@@](O)(CNS(=O)(=O)c2ccc(OCC(=O)[O-])cc2)C1 ZINC001598586594 993392124 /nfs/dbraw/zinc/39/21/24/993392124.db2.gz VAKFLCLNYYZCNF-CQSZACIVSA-N -1 1 344.389 -0.505 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)c2cnc3c[nH]nc3c2)CC1 ZINC001598616317 993888298 /nfs/dbraw/zinc/88/82/98/993888298.db2.gz DVSBADDTIYOHJA-UHFFFAOYSA-N -1 1 346.391 -0.268 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)c2cnc(Cl)cn2)CC1 ZINC001598616862 993900050 /nfs/dbraw/zinc/90/00/50/993900050.db2.gz LFHCWMZEOKPTON-UHFFFAOYSA-N -1 1 341.799 -0.096 20 0 EBADMM CN(CCCN1CCN(C)CC1)S(=O)(=O)CC1(C(=O)[O-])CC1 ZINC001598617487 993916109 /nfs/dbraw/zinc/91/61/09/993916109.db2.gz ZQJJLOZUCRIQHP-UHFFFAOYSA-N -1 1 333.454 -0.250 20 0 EBADMM CN1CCN(Cc2ccc(C(=O)N[C@@](C)(CO)C(=O)[O-])cc2)CC1 ZINC001598619686 993979103 /nfs/dbraw/zinc/97/91/03/993979103.db2.gz PQFCROYQGANJME-KRWDZBQOSA-N -1 1 335.404 -0.001 20 0 EBADMM COC(=O)[C@]1(NS(=O)(=O)[C@H]2CCCC[C@H]2C(=O)[O-])CCOC1 ZINC001598726043 995519873 /nfs/dbraw/zinc/51/98/73/995519873.db2.gz VQEQUXWVJBIEAE-NRUUGDAUSA-N -1 1 335.378 -0.119 20 0 EBADMM COC(=O)[C@H]1CC[C@H](C(=O)[O-])CN1C(=O)CN(C)[C@@H]1CCC[C@H]1O ZINC001598764537 995815504 /nfs/dbraw/zinc/81/55/04/995815504.db2.gz CAWPNKDEMCEEQB-UMSGYPCISA-N -1 1 342.392 -0.304 20 0 EBADMM COC(=O)[C@@H]1CCN(C(=O)C2CN([C@@H]3CCOC3)C2)[C@@H](C(=O)[O-])C1 ZINC001598791158 996073935 /nfs/dbraw/zinc/07/39/35/996073935.db2.gz HRZVOGCJTLCYEZ-RAIGVLPGSA-N -1 1 340.376 -0.428 20 0 EBADMM COC(=O)CC[C@@H](C(=O)[O-])N1CCC[C@H](S(=O)(=O)N(C)C)C1 ZINC001598847417 996576945 /nfs/dbraw/zinc/57/69/45/996576945.db2.gz LHKHENKLBMGBNS-QWRGUYRKSA-N -1 1 336.410 -0.251 20 0 EBADMM COC(=O)CCNC(=O)CN1[C@H]2C[C@@H](C(=O)[O-])O[C@H]2CC[C@H]1C ZINC001598855526 996635297 /nfs/dbraw/zinc/63/52/97/996635297.db2.gz XJRZHVRIOHYXOU-RHYQMDGZSA-N -1 1 328.365 -0.239 20 0 EBADMM COC(=O)CC[C@@H](NC(=O)Cc1cc(=O)n(CC(C)C)[nH]1)C(=O)[O-] ZINC001598856908 996645736 /nfs/dbraw/zinc/64/57/36/996645736.db2.gz WYHHKZMBXUWUMU-LLVKDONJSA-N -1 1 341.364 -0.103 20 0 EBADMM COC(=O)[C@@H](CCC(=O)[O-])NC(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC001598893544 997035702 /nfs/dbraw/zinc/03/57/02/997035702.db2.gz YUYKQKBHNUHURX-LLVKDONJSA-N -1 1 341.364 -0.103 20 0 EBADMM COC(=O)[C@@H]1O[C@@H](C(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)C[C@H]1C ZINC001598901471 997178466 /nfs/dbraw/zinc/17/84/66/997178466.db2.gz JTBCPNMUZQIQSH-GFQSEFKGSA-N -1 1 342.392 -0.040 20 0 EBADMM COCc1nc(CNS(=O)(=O)c2cncc(C(=O)[O-])c2)n[nH]1 ZINC001599306181 997318307 /nfs/dbraw/zinc/31/83/07/997318307.db2.gz ITJOLAANFZFYOL-UHFFFAOYSA-N -1 1 327.322 -0.477 20 0 EBADMM COC(=O)c1cc(C(=O)N2CCN(CCC(=O)[O-])C[C@H]2C)n(C)n1 ZINC001598920162 997549143 /nfs/dbraw/zinc/54/91/43/997549143.db2.gz LIIONYRFKAAGEF-SNVBAGLBSA-N -1 1 338.364 -0.172 20 0 EBADMM COCCCN1CC(N2CCN(C(=O)[C@@H]3C[C@@H]3C(=O)[O-])CC2)C1 ZINC001599224014 997723068 /nfs/dbraw/zinc/72/30/68/997723068.db2.gz QCQBWEOBRWHKIR-KGLIPLIRSA-N -1 1 325.409 -0.428 20 0 EBADMM COCCN(C)CCNC(=O)C(=O)N1C[C@@H](C)[C@](C)(C(=O)[O-])C1 ZINC001599231083 997856282 /nfs/dbraw/zinc/85/62/82/997856282.db2.gz LYVSODFYSUSDJP-IAQYHMDHSA-N -1 1 329.397 -0.750 20 0 EBADMM COCCN1CC[C@@H](NC(=O)C(=O)N2CCC(C(=O)[O-])CC2)C1 ZINC001599240941 998040742 /nfs/dbraw/zinc/04/07/42/998040742.db2.gz VAGNVOXEEUSTEI-GFCCVEGCSA-N -1 1 327.381 -0.854 20 0 EBADMM COC[C@](C)(NS(=O)(=O)c1scnc1C(=O)OC)C(=O)[O-] ZINC001599157313 998395475 /nfs/dbraw/zinc/39/54/75/998395475.db2.gz ZASXBSBTNWBPKL-JTQLQIEISA-N -1 1 338.363 -0.302 20 0 EBADMM COC[C@H](C)NC(=O)NC(=O)CN1CCN(CCC(=O)[O-])C[C@H]1C ZINC001599162453 998481969 /nfs/dbraw/zinc/48/19/69/998481969.db2.gz XGPROOXZUPTUHP-NWDGAFQWSA-N -1 1 344.412 -0.672 20 0 EBADMM C[C@@H]1C[C@H](N2CCOCC2)CN1[C@@H]1CCN(CCC(=O)[O-])C1=O ZINC001594249265 998952629 /nfs/dbraw/zinc/95/26/29/998952629.db2.gz HCEOUWVELDKPFZ-HZSPNIEDSA-N -1 1 325.409 -0.143 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)CCO1 ZINC001594249865 998956399 /nfs/dbraw/zinc/95/63/99/998956399.db2.gz PVVFXCKFTUBBBX-NEPJUHHUSA-N -1 1 341.408 -0.323 20 0 EBADMM C[C@H]1CN(C(=O)[C@@H]2CCc3nnnn3CC2)CCN1CCC(=O)[O-] ZINC001594466567 999689570 /nfs/dbraw/zinc/68/95/70/999689570.db2.gz LJNBHAXQMGNRLJ-NWDGAFQWSA-N -1 1 336.396 -0.367 20 0 EBADMM CCC1(CC)CNC(=O)[C@H]1[N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C ZINC001364063362 1132191645 /nfs/dbraw/zinc/19/16/45/1132191645.db2.gz OVXTZFAZRDJQSL-GSDQLPOLSA-N -1 1 340.471 -0.300 20 0 EBADMM O=S(=O)([N-][C@@H]1CCS(=O)(=O)C1)c1nc[nH]c1Br ZINC001365633906 1132740101 /nfs/dbraw/zinc/74/01/01/1132740101.db2.gz NOWZTTGQLBOFLP-RXMQYKEDSA-N -1 1 344.212 -0.362 20 0 EBADMM CCN(CCNC(=O)c1cc2n(n1)CCCO2)Cc1nc(=O)n(C)[n-]1 ZINC001480987788 1125904545 /nfs/dbraw/zinc/90/45/45/1125904545.db2.gz NCZQWDOSBROYAL-UHFFFAOYSA-N -1 1 349.395 -0.661 20 0 EBADMM CCc1c[nH]c(CC(=O)NCCN(Cc2nc(=O)n(C)[n-]2)C2CC2)n1 ZINC001481172458 1125933564 /nfs/dbraw/zinc/93/35/64/1125933564.db2.gz JNJITPWBDGXJDZ-UHFFFAOYSA-N -1 1 347.423 -0.283 20 0 EBADMM Cn1cncc1CC(=O)NCCN(Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001481194638 1125936553 /nfs/dbraw/zinc/93/65/53/1125936553.db2.gz OIPODWMQEJRINC-UHFFFAOYSA-N -1 1 333.396 -0.835 20 0 EBADMM CCC(=O)N[C@H](C)C(=O)N1CC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001481484594 1126001502 /nfs/dbraw/zinc/00/15/02/1126001502.db2.gz AOXVKMOKQMFGGZ-GHMZBOCLSA-N -1 1 338.412 -0.295 20 0 EBADMM C[C@@H](CC(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1)c1ccncc1 ZINC001481566809 1126015604 /nfs/dbraw/zinc/01/56/04/1126015604.db2.gz BIMCDAGZAYAEQD-NSHDSACASA-N -1 1 330.392 -0.002 20 0 EBADMM C[C@@H](Oc1ccccn1)C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001481595256 1126020478 /nfs/dbraw/zinc/02/04/78/1126020478.db2.gz VFIDEQRRXDABHU-SNVBAGLBSA-N -1 1 332.364 -0.729 20 0 EBADMM COc1ccccc1OCC(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001481601477 1126021469 /nfs/dbraw/zinc/02/14/69/1126021469.db2.gz XRXZEYNAGVMNJR-UHFFFAOYSA-N -1 1 347.375 -0.504 20 0 EBADMM COC[C@H](OC)C(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001481905137 1126065715 /nfs/dbraw/zinc/06/57/15/1126065715.db2.gz WELSTZNMIKVENF-JTQLQIEISA-N -1 1 327.385 -0.310 20 0 EBADMM COC(=O)[C@H]1C[C@H]1C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001482214701 1126106777 /nfs/dbraw/zinc/10/67/77/1126106777.db2.gz TZWQZYDERKCFDZ-ZJUUUORDSA-N -1 1 337.380 -0.162 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)Cn1ncc2cccnc21 ZINC001482313301 1126129109 /nfs/dbraw/zinc/12/91/09/1126129109.db2.gz KNOORQSIZWQQGW-SNVBAGLBSA-N -1 1 344.379 -0.108 20 0 EBADMM C[C@H](NCCN(C)C(=O)CCn1cc[n-]c(=O)c1=O)c1ncccn1 ZINC001691516691 1126144776 /nfs/dbraw/zinc/14/47/76/1126144776.db2.gz NCZHJPYJDUCJFJ-LBPRGKRZSA-N -1 1 346.391 -0.474 20 0 EBADMM CC(C)C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1CCN(C)C1=O ZINC001482391456 1126143776 /nfs/dbraw/zinc/14/37/76/1126143776.db2.gz OSOLFLIJZSEZPI-MNOVXSKESA-N -1 1 338.412 -0.391 20 0 EBADMM CN(CCN(C)C(=O)C[C@](C)(O)C1CC1)Cc1nc(=O)n(C)[n-]1 ZINC001482589698 1126206325 /nfs/dbraw/zinc/20/63/25/1126206325.db2.gz FCAQBHDOGANCTP-HNNXBMFYSA-N -1 1 325.413 -0.450 20 0 EBADMM CN(CCN(C)C(=O)c1ncnc2[nH]ccc21)Cc1nc(=O)n(C)[n-]1 ZINC001482611431 1126237289 /nfs/dbraw/zinc/23/72/89/1126237289.db2.gz TWRWLKVKVFHJQF-UHFFFAOYSA-N -1 1 344.379 -0.465 20 0 EBADMM Cc1cc(C)n(CC(=O)N(C)CCN(C)Cc2nc(=O)n(C)[n-]2)n1 ZINC001482612523 1126237448 /nfs/dbraw/zinc/23/74/48/1126237448.db2.gz HDBKOZXGOXZJCE-UHFFFAOYSA-N -1 1 335.412 -0.488 20 0 EBADMM O=C(NC[C@H](O)CNCc1n[nH]c(=O)[n-]1)c1scnc1C(F)F ZINC001482747476 1126276833 /nfs/dbraw/zinc/27/68/33/1126276833.db2.gz HJHHKTDMFBOLDV-RXMQYKEDSA-N -1 1 348.335 -0.215 20 0 EBADMM C[C@@H](CCNCc1cn(C)nn1)NC(=O)c1c[n-]n2c1nccc2=O ZINC001482876782 1126299545 /nfs/dbraw/zinc/29/95/45/1126299545.db2.gz DHIKTBQPKOOMFE-JTQLQIEISA-N -1 1 344.379 -0.551 20 0 EBADMM CC[C@@H](CNC(=O)c1cnc(C2CC2)[n-]c1=O)NC(=O)CNC(C)=O ZINC001409312630 1126341708 /nfs/dbraw/zinc/34/17/08/1126341708.db2.gz MJEXNIQGPKGXMW-NSHDSACASA-N -1 1 349.391 -0.180 20 0 EBADMM Cc1c(CC(=O)NC[C@H](C)N(C)Cc2nc(=O)n(C)[n-]2)cnn1C ZINC001409589014 1126380670 /nfs/dbraw/zinc/38/06/70/1126380670.db2.gz OBERZCJOSNAJSZ-JTQLQIEISA-N -1 1 335.412 -0.670 20 0 EBADMM Cc1nn(C)cc1CCC(=O)N[C@H](C)CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001483390960 1126423146 /nfs/dbraw/zinc/42/31/46/1126423146.db2.gz RBIKQHJXVDQKNP-LLVKDONJSA-N -1 1 349.439 -0.280 20 0 EBADMM Cc1cc(CCC(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)no1 ZINC001483680252 1126456965 /nfs/dbraw/zinc/45/69/65/1126456965.db2.gz YGGUKZQVQISIBY-GFCCVEGCSA-N -1 1 334.380 -0.272 20 0 EBADMM CC(C)[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)Cn1cnnn1 ZINC001409882323 1126457315 /nfs/dbraw/zinc/45/73/15/1126457315.db2.gz XBDFCMHOBOQHSC-SNVBAGLBSA-N -1 1 333.352 -0.656 20 0 EBADMM O=C(NCC1(NCc2n[nH]c(=O)[n-]2)CCOCC1)c1cccnc1 ZINC001484178897 1126511722 /nfs/dbraw/zinc/51/17/22/1126511722.db2.gz UCABHVJRZXWCIA-UHFFFAOYSA-N -1 1 332.364 -0.026 20 0 EBADMM Cn1cc(CC(=O)NCC2(NCc3n[nH]c(=O)[n-]3)CCOCC2)cn1 ZINC001484178981 1126511834 /nfs/dbraw/zinc/51/18/34/1126511834.db2.gz PCOZNNNBVWPSOM-UHFFFAOYSA-N -1 1 349.395 -0.759 20 0 EBADMM Cn1[n-]c(CN2CC(O)(CNC(=O)Cc3ccsc3)C2)nc1=O ZINC001484258619 1126547960 /nfs/dbraw/zinc/54/79/60/1126547960.db2.gz UMQCJHSJMNSACY-UHFFFAOYSA-N -1 1 337.405 -0.925 20 0 EBADMM O=C(N[C@@]1(CO)CCCN(Cc2cnon2)C1)c1ncccc1[O-] ZINC001484435059 1126577621 /nfs/dbraw/zinc/57/76/21/1126577621.db2.gz QPUZMOQAFODIIA-HNNXBMFYSA-N -1 1 333.348 -0.073 20 0 EBADMM Cn1[n-]c(CN2CCC[C@](CO)(NC(=O)[C@@H]3C[C@H]3C3CC3)C2)nc1=O ZINC001484447824 1126585386 /nfs/dbraw/zinc/58/53/86/1126585386.db2.gz RGUKNGJEPNUBRN-AHIWAGSCSA-N -1 1 349.435 -0.402 20 0 EBADMM CC(C)OCC(=O)N1CCC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001484468244 1126587898 /nfs/dbraw/zinc/58/78/98/1126587898.db2.gz ATJZJBFHVOWGOL-QWHCGFSZSA-N -1 1 337.424 -0.044 20 0 EBADMM O=C(Cc1cncs1)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001484485763 1126595571 /nfs/dbraw/zinc/59/55/71/1126595571.db2.gz VJEQSIYZGYBLCY-UHFFFAOYSA-N -1 1 326.382 -0.880 20 0 EBADMM CC(F)(F)C1(C(=O)N(CCO)CCNCc2n[nH]c(=O)[n-]2)CC1 ZINC001484494247 1126597251 /nfs/dbraw/zinc/59/72/51/1126597251.db2.gz WAAHNPDQYYGPEY-UHFFFAOYSA-N -1 1 333.339 -0.144 20 0 EBADMM CN1CC[C@@H](C(=O)N2CC[C@@H](CCNCc3n[nH]c(=O)[n-]3)C2)C1=O ZINC001484536061 1126602647 /nfs/dbraw/zinc/60/26/47/1126602647.db2.gz PYOWLJYAIMONJC-GHMZBOCLSA-N -1 1 336.396 -0.683 20 0 EBADMM CC[C@@H](C)CC(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001484591635 1126607070 /nfs/dbraw/zinc/60/70/70/1126607070.db2.gz ZDDXBCMQJDPWMX-VXGBXAGGSA-N -1 1 325.413 -0.138 20 0 EBADMM O=C(NCC1(NCc2n[nH]c(=O)[n-]2)CCC1)c1cnn2ncccc12 ZINC001484637496 1126613423 /nfs/dbraw/zinc/61/34/23/1126613423.db2.gz GRIFYBJZHZKGLY-UHFFFAOYSA-N -1 1 342.363 -0.005 20 0 EBADMM CC1(NCc2n[nH]c(=O)[n-]2)CCN(C(=O)CCS(C)(=O)=O)CC1 ZINC001484920040 1126653197 /nfs/dbraw/zinc/65/31/97/1126653197.db2.gz WEBMOKNIVKSQRV-UHFFFAOYSA-N -1 1 345.425 -0.584 20 0 EBADMM CNC(=O)NC(=O)CN1CC[C@@H](NC(=O)c2ncccc2[O-])C[C@@H]1C ZINC001484971792 1126659918 /nfs/dbraw/zinc/65/99/18/1126659918.db2.gz HFUWNPFUUSOLCT-WDEREUQCSA-N -1 1 349.391 -0.175 20 0 EBADMM Cc1nn(C)c(=O)c(C(=O)N[C@@H](C)[C@@H](C)NCc2n[nH]c(=O)[n-]2)c1C ZINC001485178681 1126679319 /nfs/dbraw/zinc/67/93/19/1126679319.db2.gz CLLDDNAKQNUTAO-ZJUUUORDSA-N -1 1 349.395 -0.483 20 0 EBADMM C[C@@H](NC(=O)CNC(=O)C(C)(C)C)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001485224063 1126683281 /nfs/dbraw/zinc/68/32/81/1126683281.db2.gz CSNSOIZHPIEYLQ-DTWKUNHWSA-N -1 1 326.401 -0.345 20 0 EBADMM COCc1nocc1C(=O)NC[C@@H](C)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001485373775 1126694283 /nfs/dbraw/zinc/69/42/83/1126694283.db2.gz WJVNRGUVRBEDRQ-SECBINFHSA-N -1 1 338.368 -0.507 20 0 EBADMM CC(C)=C(C)CC(=O)NC1(CCO)CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001485413965 1126706340 /nfs/dbraw/zinc/70/63/40/1126706340.db2.gz YGNSDMVNRPXHAT-UHFFFAOYSA-N -1 1 337.424 -0.092 20 0 EBADMM Cn1[n-]c(CN2CC(CCO)(NC(=O)C3(C)CC=CC3)C2)nc1=O ZINC001485415488 1126707850 /nfs/dbraw/zinc/70/78/50/1126707850.db2.gz RPYQYBMMMDPAPT-UHFFFAOYSA-N -1 1 335.408 -0.482 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN([C@@H](C)C(=O)NC(N)=O)C1 ZINC001485501358 1126734316 /nfs/dbraw/zinc/73/43/16/1126734316.db2.gz XXJGMAPNDGVIOW-IUCAKERBSA-N -1 1 335.364 -0.579 20 0 EBADMM COc1ccn2c(c1)ncc2C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001626046983 1126862924 /nfs/dbraw/zinc/86/29/24/1126862924.db2.gz UXSNPGCOAMZACL-UHFFFAOYSA-N -1 1 343.347 -0.020 20 0 EBADMM Cn1[n-]c(CN[C@@H](CO)CNC(=O)c2cccc3cc[nH]c32)nc1=O ZINC001486035767 1127005419 /nfs/dbraw/zinc/00/54/19/1127005419.db2.gz FGHBZSGSRHKEQO-LLVKDONJSA-N -1 1 344.375 -0.530 20 0 EBADMM C[C@H]1CC[C@H](C(=O)NC[C@H](CO)NCc2nc(=O)n(C)[n-]2)CC1 ZINC001486054998 1127024176 /nfs/dbraw/zinc/02/41/76/1127024176.db2.gz HMBUXFPYLJHJRW-IJLUTSLNSA-N -1 1 325.413 -0.499 20 0 EBADMM COC[C@@H](OC)C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001486242148 1127065833 /nfs/dbraw/zinc/06/58/33/1127065833.db2.gz DHANUCRUTBLDGQ-NXEZZACHSA-N -1 1 327.385 -0.502 20 0 EBADMM Cc1ccc(C(=O)N(C)CCOCCNCc2n[nH]c(=O)[n-]2)nn1 ZINC001486496187 1127188911 /nfs/dbraw/zinc/18/89/11/1127188911.db2.gz MHRAGWNHRSJAOK-UHFFFAOYSA-N -1 1 335.368 -0.513 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)NCC1CC(NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001486607594 1127218293 /nfs/dbraw/zinc/21/82/93/1127218293.db2.gz UORUXIJMYKXKNT-IKWCTNDRSA-N -1 1 348.403 -0.406 20 0 EBADMM Cc1nn(C)c(C)c1OCC(=O)N[C@H](C)[C@@H](C)NC(=O)c1cnn[nH]1 ZINC001486704164 1127239332 /nfs/dbraw/zinc/23/93/32/1127239332.db2.gz IYVGGLBBMZZMFA-RKDXNWHRSA-N -1 1 349.395 -0.143 20 0 EBADMM COc1cc(S(=O)(=O)[N-]CCS(=O)(=O)NC(C)C)sn1 ZINC001364767735 1127349996 /nfs/dbraw/zinc/34/99/96/1127349996.db2.gz SNKXJSIISXUEGK-UHFFFAOYSA-N -1 1 343.452 -0.242 20 0 EBADMM Cn1cc(C(=O)NC2(CCO)CN(C(=O)c3ncccc3[O-])C2)cn1 ZINC001487020290 1127369712 /nfs/dbraw/zinc/36/97/12/1127369712.db2.gz YMRWKVKXCWGYMU-UHFFFAOYSA-N -1 1 345.359 -0.472 20 0 EBADMM COc1cc(S(=O)(=O)[N-]C[C@@H]2CCS(=O)(=O)C2)sn1 ZINC001364778200 1127429872 /nfs/dbraw/zinc/42/98/72/1127429872.db2.gz AAPUHSJRKZYDQY-ZETCQYMHSA-N -1 1 326.421 -0.135 20 0 EBADMM CC(=O)N1C[C@@H]2CC[C@@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C[C@H]2C1 ZINC001487363758 1127483939 /nfs/dbraw/zinc/48/39/39/1127483939.db2.gz VWQPDIWQKZZZIP-MELADBBJSA-N -1 1 348.403 -0.310 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCN(C)c1nccc(C)n1 ZINC001487401074 1127490679 /nfs/dbraw/zinc/49/06/79/1127490679.db2.gz PYVROCQMANZGLZ-UHFFFAOYSA-N -1 1 334.336 -0.139 20 0 EBADMM COC[C@H](OC)C(=O)N1CCC(N(C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001410251367 1127659397 /nfs/dbraw/zinc/65/93/97/1127659397.db2.gz RXFPNXFSLXNRII-NSHDSACASA-N -1 1 327.385 -0.406 20 0 EBADMM O=S(=O)(N=S1(=O)CCCC1)[N-]C[C@H]1CCCS(=O)(=O)C1 ZINC001364853047 1127686465 /nfs/dbraw/zinc/68/64/65/1127686465.db2.gz QMGGMBKQBQXDQF-SNVBAGLBSA-N -1 1 344.480 -0.093 20 0 EBADMM Cc1cccc(OCC(=O)NC[C@H](CO)NCc2nc(=O)n(C)[n-]2)c1 ZINC001410796003 1127897320 /nfs/dbraw/zinc/89/73/20/1127897320.db2.gz YDCHNCISIZPPOH-GFCCVEGCSA-N -1 1 349.391 -0.937 20 0 EBADMM CN(C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)c1occc1Cl ZINC001411074872 1128003089 /nfs/dbraw/zinc/00/30/89/1128003089.db2.gz ITSXSQJPSAWETF-ZETCQYMHSA-N -1 1 329.744 -0.021 20 0 EBADMM O=C(Cn1cccn1)N1CC[C@@](O)(CNC(=O)c2ncccc2[O-])C1 ZINC001411161904 1128054069 /nfs/dbraw/zinc/05/40/69/1128054069.db2.gz SGNXFDMDWMACGC-MRXNPFEDSA-N -1 1 345.359 -0.623 20 0 EBADMM O=C(NC[C@]1(O)CCN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1)c1ncccc1[O-] ZINC001411158172 1128055070 /nfs/dbraw/zinc/05/50/70/1128055070.db2.gz LDSHROGBDZCXCM-JJTUWOBKSA-N -1 1 347.371 -0.627 20 0 EBADMM Cc1[nH]nc(C(=O)N(C)C[C@@H](O)CN(C)[C@H]2CCCNC2=O)c1[O-] ZINC001411164510 1128062571 /nfs/dbraw/zinc/06/25/71/1128062571.db2.gz SEWRBDLNWBQELL-QWRGUYRKSA-N -1 1 339.396 -0.933 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)c1nccnc1N ZINC001092514312 1128250541 /nfs/dbraw/zinc/25/05/41/1128250541.db2.gz FNQRJIXZTTUMSF-RKDXNWHRSA-N -1 1 332.368 -0.620 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)[C@@H]1CC(=O)N(C)C1 ZINC001092655532 1128259891 /nfs/dbraw/zinc/25/98/91/1128259891.db2.gz RGSGYUSMIUKEIF-GMTAPVOTSA-N -1 1 336.396 -0.827 20 0 EBADMM Cc1conc1C(=O)N1C[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@]2(C)C1 ZINC001092175840 1128208645 /nfs/dbraw/zinc/20/86/45/1128208645.db2.gz JSHLLGPUMBHYLD-BZNIZROVSA-N -1 1 346.391 -0.001 20 0 EBADMM CCCN1CC2(C1)C[C@H](NC(=O)c1n[nH]c(=O)[n-]c1=O)c1nccn12 ZINC001092380297 1128232509 /nfs/dbraw/zinc/23/25/09/1128232509.db2.gz UNGGAYLHSFMRGU-VIFPVBQESA-N -1 1 345.363 -0.225 20 0 EBADMM COc1ncc(C(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C)cn1 ZINC001093102514 1128294807 /nfs/dbraw/zinc/29/48/07/1128294807.db2.gz IEKMBBKLORBLLK-MWLCHTKSSA-N -1 1 347.379 -0.193 20 0 EBADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)C[C@H](C)NC(N)=O ZINC001487755539 1128418117 /nfs/dbraw/zinc/41/81/17/1128418117.db2.gz KTKKECLCTARSAE-VHSXEESVSA-N -1 1 337.380 -0.189 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)c1cn(C)c(=O)cn1 ZINC001487756004 1128418148 /nfs/dbraw/zinc/41/81/48/1128418148.db2.gz OVEHSYGXVZAZKG-JTQLQIEISA-N -1 1 345.359 -0.229 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1CC12CC2)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001487922411 1128453397 /nfs/dbraw/zinc/45/33/97/1128453397.db2.gz XYUYKNGNOVLYGP-VHSXEESVSA-N -1 1 344.375 -0.206 20 0 EBADMM CCc1cc(C(=O)N[C@@H](CO)CNC(=O)c2ncccc2[O-])nn1C ZINC001487976570 1128472679 /nfs/dbraw/zinc/47/26/79/1128472679.db2.gz JBAPYEKOVWFRFP-SNVBAGLBSA-N -1 1 347.375 -0.396 20 0 EBADMM Cn1nc(C(=O)NC[C@H](CO)[N-]C(=O)C(F)F)cc1C(F)(F)F ZINC001488003200 1128540183 /nfs/dbraw/zinc/54/01/83/1128540183.db2.gz BHWAOHFZFFXRII-RXMQYKEDSA-N -1 1 344.240 -0.089 20 0 EBADMM O=C([N-][C@@H](CO)CNC(=O)c1[nH]cnc1C(F)(F)F)C(F)F ZINC001488023847 1128563673 /nfs/dbraw/zinc/56/36/73/1128563673.db2.gz YTCLWJSPTUNCTC-SCSAIBSYSA-N -1 1 330.213 -0.100 20 0 EBADMM CN(C[C@@H](O)CN(C)C(=O)c1ncccc1[O-])C(=O)c1cnn(C)c1 ZINC001488421028 1128752114 /nfs/dbraw/zinc/75/21/14/1128752114.db2.gz JFFJAHVCUAFULS-GFCCVEGCSA-N -1 1 347.375 -0.274 20 0 EBADMM CCC(=O)N[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)C(C)(C)C ZINC001488470750 1128766193 /nfs/dbraw/zinc/76/61/93/1128766193.db2.gz WDQQWBBWKXICQK-NSHDSACASA-N -1 1 338.408 -0.016 20 0 EBADMM CCC(=O)NC[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C(C)(C)C ZINC001488508584 1128778196 /nfs/dbraw/zinc/77/81/96/1128778196.db2.gz BBJFJVLTUWRKSZ-LLVKDONJSA-N -1 1 338.408 -0.016 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1C[C@@H](CNC(=O)C(F)F)C1 ZINC001489793192 1129057549 /nfs/dbraw/zinc/05/75/49/1129057549.db2.gz GFEPEGPQXZNXGZ-DTORHVGOSA-N -1 1 344.318 -0.797 20 0 EBADMM CCN(CCCNC(=O)[C@@H]1CCNC(=O)CC1)Cc1n[nH]c(=O)[n-]1 ZINC001490337651 1129167216 /nfs/dbraw/zinc/16/72/16/1129167216.db2.gz KHJDZCAGSYNPLO-NSHDSACASA-N -1 1 338.412 -0.245 20 0 EBADMM CO[C@@H](C)CC(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001490402872 1129172532 /nfs/dbraw/zinc/17/25/32/1129172532.db2.gz XXUWZECRCZFETI-NSHDSACASA-N -1 1 325.413 -0.044 20 0 EBADMM C[C@H](C(=O)NCC1(NC(=O)CCn2cc[n-]c(=O)c2=O)CC1)C1CC1 ZINC001358654754 1131244574 /nfs/dbraw/zinc/24/45/74/1131244574.db2.gz ASCIHMUEOXLWIH-NSHDSACASA-N -1 1 348.403 -0.262 20 0 EBADMM C[C@H](C(=O)N1CC(N(C)C(=O)CCn2cc[n-]c(=O)c2=O)C1)C1CC1 ZINC001358739769 1131272345 /nfs/dbraw/zinc/27/23/45/1131272345.db2.gz FHMYEVDCZPPPQL-NSHDSACASA-N -1 1 348.403 -0.358 20 0 EBADMM NC(=O)[C@H](C1CC1)N(Cc1cc(=O)n2[n-]c(N)nc2n1)CC1CC1 ZINC001414025677 1131325998 /nfs/dbraw/zinc/32/59/98/1131325998.db2.gz NDEKSDPYAPZCGH-LBPRGKRZSA-N -1 1 331.380 -0.524 20 0 EBADMM Cc1cc(CN2CC[C@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)on1 ZINC001490567559 1129227169 /nfs/dbraw/zinc/22/71/69/1129227169.db2.gz TZRYJKGDYLEANQ-LBPRGKRZSA-N -1 1 347.375 -0.386 20 0 EBADMM CC(=O)N(C)CC(=O)N1CC([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001411938714 1129406977 /nfs/dbraw/zinc/40/69/77/1129406977.db2.gz QGSYMRAPKCWNOQ-JTQLQIEISA-N -1 1 334.376 -0.158 20 0 EBADMM C[C@H](NC(=O)CNC(=O)C1CC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001411948601 1129409920 /nfs/dbraw/zinc/40/99/20/1129409920.db2.gz XORJGBJHKQRUPH-JTQLQIEISA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@H](NC(N)=O)C(=O)N[C@@H](C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001411956994 1129412695 /nfs/dbraw/zinc/41/26/95/1129412695.db2.gz YPZMNXRQOCLPSL-IUCAKERBSA-N -1 1 335.364 -0.579 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1CC12CCS(=O)(=O)CC2)c1nn[n-]n1 ZINC001411992203 1129421952 /nfs/dbraw/zinc/42/19/52/1129421952.db2.gz RYPSVOVRCGDFKA-UWVGGRQHSA-N -1 1 327.410 -0.024 20 0 EBADMM C[C@H]1[C@@H](NC(=O)C(F)(F)F)CCCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001412294808 1129497614 /nfs/dbraw/zinc/49/76/14/1129497614.db2.gz WNWUCPVRCUMLOV-WDSKDSINSA-N -1 1 349.269 -0.046 20 0 EBADMM CSCc1n[nH]c(CNC(=O)Cn2[n-]cc3c(=O)ncnc2-3)n1 ZINC001412303769 1129498889 /nfs/dbraw/zinc/49/88/89/1129498889.db2.gz OCCBKFFTTCWUQX-UHFFFAOYSA-N -1 1 334.365 -0.631 20 0 EBADMM CSCc1nnc(CNC(=O)Cn2[n-]cc3c(=O)ncnc2-3)[nH]1 ZINC001412303769 1129498891 /nfs/dbraw/zinc/49/88/91/1129498891.db2.gz OCCBKFFTTCWUQX-UHFFFAOYSA-N -1 1 334.365 -0.631 20 0 EBADMM CCOC(=O)[C@@]1(CNC(=O)CCCc2nn[n-]n2)CCCOC1 ZINC001412411999 1129522706 /nfs/dbraw/zinc/52/27/06/1129522706.db2.gz SKXOUOHNNLMRFX-CQSZACIVSA-N -1 1 325.369 -0.002 20 0 EBADMM CS(=O)(=O)N1CCC[C@H](C(=O)N2CC[C@H](c3nn[n-]n3)C2)C1 ZINC001412493966 1129541508 /nfs/dbraw/zinc/54/15/08/1129541508.db2.gz XDLGKEKNZFCKLW-UWVGGRQHSA-N -1 1 328.398 -0.813 20 0 EBADMM COC[C@H](NC(=O)Cc1c(Br)cnn1C)c1nn[n-]n1 ZINC001412600789 1129572380 /nfs/dbraw/zinc/57/23/80/1129572380.db2.gz FTUXOANKZFYQKL-ZETCQYMHSA-N -1 1 344.173 -0.258 20 0 EBADMM O=C(CCN1CCCS1(=O)=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001412616852 1129577887 /nfs/dbraw/zinc/57/78/87/1129577887.db2.gz VZZXCDRWQSSKFN-NSHDSACASA-N -1 1 342.425 -0.594 20 0 EBADMM O=C(CC1CCOCC1)N[C@H](CO)CNC(=O)c1ncccc1[O-] ZINC001412815025 1129666571 /nfs/dbraw/zinc/66/65/71/1129666571.db2.gz SBJNYLXEAQOUEI-LBPRGKRZSA-N -1 1 337.376 -0.189 20 0 EBADMM CC(C)NC(=O)CN(C)CC(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC001412853883 1129731007 /nfs/dbraw/zinc/73/10/07/1129731007.db2.gz MREKKTOFHYUPBH-SNVBAGLBSA-N -1 1 341.441 -0.727 20 0 EBADMM O=C(C[C@@H]1CCS(=O)(=O)C1)N1CCSC[C@H]1c1nn[n-]n1 ZINC001412860365 1129739983 /nfs/dbraw/zinc/73/99/83/1129739983.db2.gz UUMRHEANFBOYMY-IUCAKERBSA-N -1 1 331.423 -0.359 20 0 EBADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCCS3(=O)=O)nc2n1 ZINC001361461526 1131410203 /nfs/dbraw/zinc/41/02/03/1131410203.db2.gz QSQVDYPXSRXBHE-MRVPVSSYSA-N -1 1 325.350 -0.504 20 0 EBADMM Cc1cn(C)nc1C(=O)NC[C@@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001413252152 1129972195 /nfs/dbraw/zinc/97/21/95/1129972195.db2.gz SUJGBGXGYCDOLC-LLVKDONJSA-N -1 1 347.375 -0.308 20 0 EBADMM CN(C[C@@H](O)CNC(=O)c1ncccc1[O-])C(=O)CCc1ccon1 ZINC001413295301 1129995495 /nfs/dbraw/zinc/99/54/95/1129995495.db2.gz QMTFBJKBJWQQKS-LBPRGKRZSA-N -1 1 348.359 -0.043 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC(C)(C)S(C)(=O)=O ZINC001413302306 1129999642 /nfs/dbraw/zinc/99/96/42/1129999642.db2.gz RORZICUWGXANRO-UHFFFAOYSA-N -1 1 339.395 -0.702 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@]2(C)CCO[C@@H]2C2CC2)c(=O)[nH]c1=O ZINC001413315938 1130010473 /nfs/dbraw/zinc/01/04/73/1130010473.db2.gz DCXGJWQWGPFPFE-MFKMUULPSA-N -1 1 329.378 -0.278 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)C2(C(N)=O)CCCC2)[n-]n1 ZINC001413320925 1130011496 /nfs/dbraw/zinc/01/14/96/1130011496.db2.gz OUUIOLDFFNHOIU-UHFFFAOYSA-N -1 1 330.366 -0.385 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)C2(C(N)=O)CCCC2)n[n-]1 ZINC001413320925 1130011501 /nfs/dbraw/zinc/01/15/01/1130011501.db2.gz OUUIOLDFFNHOIU-UHFFFAOYSA-N -1 1 330.366 -0.385 20 0 EBADMM COCC[C@]1(NS(=O)(=O)c2cc(C(=O)OC)n[n-]2)CCOC1 ZINC001413347604 1130034799 /nfs/dbraw/zinc/03/47/99/1130034799.db2.gz BUSQTTNYIQWZLX-LBPRGKRZSA-N -1 1 333.366 -0.330 20 0 EBADMM COCC[C@]1(NS(=O)(=O)c2cc(C(=O)OC)[n-]n2)CCOC1 ZINC001413347604 1130034805 /nfs/dbraw/zinc/03/48/05/1130034805.db2.gz BUSQTTNYIQWZLX-LBPRGKRZSA-N -1 1 333.366 -0.330 20 0 EBADMM Cc1nccnc1CC[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001413358913 1130045174 /nfs/dbraw/zinc/04/51/74/1130045174.db2.gz UCHPSDBOWVTEAZ-UHFFFAOYSA-N -1 1 325.350 -0.895 20 0 EBADMM CC[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)C1CCOCC1 ZINC001413373496 1130059069 /nfs/dbraw/zinc/05/90/69/1130059069.db2.gz JXEZEZNSJYAJKX-SNVBAGLBSA-N -1 1 331.394 -0.031 20 0 EBADMM COC(=O)[C@H]1C[C@@H](O)CN1C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC001413429246 1130085176 /nfs/dbraw/zinc/08/51/76/1130085176.db2.gz AIEWOPNRWAQBMP-ZYHUDNBSSA-N -1 1 348.359 -0.271 20 0 EBADMM O=C([N-]CC1CN(C(=O)C2=CCS(=O)(=O)C2)C1)C(F)(F)F ZINC001413457815 1130094846 /nfs/dbraw/zinc/09/48/46/1130094846.db2.gz DQRMAVGPXUCCIF-UHFFFAOYSA-N -1 1 326.296 -0.522 20 0 EBADMM O=C(N[C@@H](CO)C(=O)N1CC[C@@H](c2nn[n-]n2)C1)c1ccc(F)cc1 ZINC001413466118 1130097623 /nfs/dbraw/zinc/09/76/23/1130097623.db2.gz DYHKGAFIIUBCNQ-PWSUYJOCSA-N -1 1 348.338 -0.554 20 0 EBADMM C[C@H](CC(N)=O)C(=O)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001413729041 1130244314 /nfs/dbraw/zinc/24/43/14/1130244314.db2.gz MJTMNFHTYBOMFC-LLVKDONJSA-N -1 1 346.387 -0.027 20 0 EBADMM CCc1occc1C(=O)NCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001491266007 1130378782 /nfs/dbraw/zinc/37/87/82/1130378782.db2.gz RPUOQYUEZHCMDU-UHFFFAOYSA-N -1 1 348.359 -0.372 20 0 EBADMM C[C@H](CNC(=O)[C@@H]1OC[C@@H]2COCC[C@H]12)NCc1n[nH]c(=O)[n-]1 ZINC001491537144 1130485669 /nfs/dbraw/zinc/48/56/69/1130485669.db2.gz VPAPPNVVACIQPU-FYLLDIAZSA-N -1 1 325.369 -0.844 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCCCN3CCOCC3)ccnc1-2 ZINC001259192133 1130540479 /nfs/dbraw/zinc/54/04/79/1130540479.db2.gz YGRUMAFSUVQWDJ-UHFFFAOYSA-N -1 1 346.391 -0.881 20 0 EBADMM NC(=O)C[C@H]([N-]S(=O)(=O)c1cc(Cl)c(F)cc1F)C(N)=O ZINC001260208444 1130542808 /nfs/dbraw/zinc/54/28/08/1130542808.db2.gz ZCGSBSJKILXCNE-ZETCQYMHSA-N -1 1 341.723 -0.374 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1CCN(C(=O)CC2CC2)CC1 ZINC001492061283 1130578519 /nfs/dbraw/zinc/57/85/19/1130578519.db2.gz MTVASJMSQSESMJ-UHFFFAOYSA-N -1 1 348.403 -0.166 20 0 EBADMM CN(CCCNC(=O)c1cocn1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001492300036 1130636062 /nfs/dbraw/zinc/63/60/62/1130636062.db2.gz VDMLHNRIJIOKTJ-UHFFFAOYSA-N -1 1 349.347 -0.807 20 0 EBADMM C[C@@H](O)CN(C(=O)NCc1nc2c(c(=O)[n-]1)COCC2)[C@@H](C)CO ZINC001413919933 1130753933 /nfs/dbraw/zinc/75/39/33/1130753933.db2.gz OCTBIGUMMLAHID-VHSXEESVSA-N -1 1 340.380 -0.472 20 0 EBADMM Cn1nc(C2CC2)nc1CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC001413853026 1130810654 /nfs/dbraw/zinc/81/06/54/1130810654.db2.gz RVBXSLASJUWUTC-UHFFFAOYSA-N -1 1 325.354 -0.605 20 0 EBADMM Cn1nc(C2CC2)nc1CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC001413853026 1130810660 /nfs/dbraw/zinc/81/06/60/1130810660.db2.gz RVBXSLASJUWUTC-UHFFFAOYSA-N -1 1 325.354 -0.605 20 0 EBADMM COC(=O)c1c(NC(=O)c2cnn(CCF)c2)n[n-]c1OCCO ZINC001413871413 1130861355 /nfs/dbraw/zinc/86/13/55/1130861355.db2.gz ZECUHHYFDZKMNN-UHFFFAOYSA-N -1 1 341.299 -0.014 20 0 EBADMM COC(=O)c1c(NC(=O)c2cnn(CCF)c2)[n-]nc1OCCO ZINC001413871413 1130861365 /nfs/dbraw/zinc/86/13/65/1130861365.db2.gz ZECUHHYFDZKMNN-UHFFFAOYSA-N -1 1 341.299 -0.014 20 0 EBADMM CC/C(C)=C\C(=O)NCC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001356809881 1130949411 /nfs/dbraw/zinc/94/94/11/1130949411.db2.gz OBTBKDZSDXHHSA-WQLSENKSSA-N -1 1 348.403 -0.142 20 0 EBADMM CC[C@H](CNC(=O)c1cocn1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001356873332 1130978790 /nfs/dbraw/zinc/97/87/90/1130978790.db2.gz BCVHHVAWLLKVCJ-SNVBAGLBSA-N -1 1 349.347 -0.761 20 0 EBADMM CCN(C(=O)Cn1c(=O)[n-][nH]c1=O)[C@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001416154376 1131077766 /nfs/dbraw/zinc/07/77/66/1131077766.db2.gz LNIMTKPDEUJKDG-VIFPVBQESA-N -1 1 349.351 -0.824 20 0 EBADMM CCOCCC(=O)NC/C=C\CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001357656729 1131112084 /nfs/dbraw/zinc/11/20/84/1131112084.db2.gz BQZMOWWHGFAQIS-ARJAWSKDSA-N -1 1 348.363 -0.754 20 0 EBADMM O=C(NC/C=C\CNC(=O)[C@@H]1CCNC(=O)C1)c1ncccc1[O-] ZINC001357721124 1131119466 /nfs/dbraw/zinc/11/94/66/1131119466.db2.gz LLWOJYISRDUXSE-RFMOXSJOSA-N -1 1 332.360 -0.284 20 0 EBADMM Cn1cc(C(=O)NCC=CCNC(=O)c2ncccc2[O-])[nH]c1=O ZINC001357750694 1131123507 /nfs/dbraw/zinc/12/35/07/1131123507.db2.gz GFPFNVTVDRAWRH-IHWYPQMZSA-N -1 1 331.332 -0.058 20 0 EBADMM O=C([C@@H]1CCCN1C(=O)C(F)(F)F)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001361786013 1131455679 /nfs/dbraw/zinc/45/56/79/1131455679.db2.gz UKCZLSGMXQMMLY-JGVFFNPUSA-N -1 1 348.285 -0.347 20 0 EBADMM O=C([C@@H]1CC(c2ccccn2)=NO1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001361924306 1131480828 /nfs/dbraw/zinc/48/08/28/1131480828.db2.gz LUAPRCZPJCHZTD-RYUDHWBXSA-N -1 1 329.320 -0.312 20 0 EBADMM CCC(=O)N1CCCN(C(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC001362064666 1131508243 /nfs/dbraw/zinc/50/82/43/1131508243.db2.gz LPHWLARJLAMWSW-UHFFFAOYSA-N -1 1 334.376 -0.012 20 0 EBADMM CCN(C1CCN(C(=O)CCCc2nn[n-]n2)CC1)S(C)(=O)=O ZINC001362137577 1131523067 /nfs/dbraw/zinc/52/30/67/1131523067.db2.gz IWXNKTXGPXAMIB-UHFFFAOYSA-N -1 1 344.441 -0.205 20 0 EBADMM CCOC(=O)CNC(=O)C1CCN(C(=O)CCc2nn[n-]n2)CC1 ZINC001362185941 1131531928 /nfs/dbraw/zinc/53/19/28/1131531928.db2.gz BHXWFCPDKUGBFX-UHFFFAOYSA-N -1 1 338.368 -0.950 20 0 EBADMM O=C([C@@H]1C[C@H]2CC[C@@H](C1)S2(=O)=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001362244819 1131543688 /nfs/dbraw/zinc/54/36/88/1131543688.db2.gz JEISFQKGRSVNAU-CHWFTXMASA-N -1 1 341.393 -0.545 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C1CC1)[C@H]1CCS(=O)(=O)C1 ZINC001362253446 1131546150 /nfs/dbraw/zinc/54/61/50/1131546150.db2.gz LEJUWMWYERILJG-QMMMGPOBSA-N -1 1 343.361 -0.312 20 0 EBADMM CNC(=O)c1cccc(C(=O)N2CCSC[C@H]2c2nn[n-]n2)n1 ZINC001362370510 1131570170 /nfs/dbraw/zinc/57/01/70/1131570170.db2.gz OHOFRVIQYFSDEA-JTQLQIEISA-N -1 1 333.377 -0.115 20 0 EBADMM O=C(CN1CCCCS1(=O)=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC001362432413 1131581656 /nfs/dbraw/zinc/58/16/56/1131581656.db2.gz CDUUSWZTNIOFBX-JTQLQIEISA-N -1 1 344.393 -0.093 20 0 EBADMM COC1(C(=O)N2CCC(c3n[n-]c(=O)o3)CC2)CS(=O)(=O)C1 ZINC001362431167 1131581817 /nfs/dbraw/zinc/58/18/17/1131581817.db2.gz IFCKKPUYPINKLS-UHFFFAOYSA-N -1 1 331.350 -0.705 20 0 EBADMM CN(C)S(=O)(=O)C[C@H](NC(=O)CCc1nn[n-]n1)C(F)(F)F ZINC001362525804 1131601817 /nfs/dbraw/zinc/60/18/17/1131601817.db2.gz GSJAQCBOGBIRLY-LURJTMIESA-N -1 1 344.319 -0.929 20 0 EBADMM O=C(CCc1nn[n-]n1)Nc1nc2c(s1)CS(=O)(=O)CC2 ZINC001362547986 1131608010 /nfs/dbraw/zinc/60/80/10/1131608010.db2.gz BQPICERSNBVWLI-UHFFFAOYSA-N -1 1 328.379 -0.302 20 0 EBADMM C[C@@H]1CN(C(=O)CCCn2c(=O)[n-][nH]c2=O)C[C@H](C)N1CCO ZINC001362573243 1131614888 /nfs/dbraw/zinc/61/48/88/1131614888.db2.gz JXWJUAHKUWDHSG-PHIMTYICSA-N -1 1 327.385 -0.617 20 0 EBADMM CCS(=O)(=O)N1CCN(C(=O)CCCc2nn[n-]n2)[C@H](C)C1 ZINC001362642295 1131631127 /nfs/dbraw/zinc/63/11/27/1131631127.db2.gz WABXOSCXYMFXNP-SNVBAGLBSA-N -1 1 330.414 -0.595 20 0 EBADMM C[C@@]1(CC(=O)N2CCC(c3n[n-]c(=O)o3)CC2)CCS(=O)(=O)N1 ZINC001362654044 1131633623 /nfs/dbraw/zinc/63/36/23/1131633623.db2.gz HVSBNRGWRKMKPL-ZDUSSCGKSA-N -1 1 344.393 -0.047 20 0 EBADMM O=C(CCCc1nn[n-]n1)N[C@H]1CCO[C@@]2(CCS(=O)(=O)C2)C1 ZINC001362710622 1131648896 /nfs/dbraw/zinc/64/88/96/1131648896.db2.gz DHOMQQYBKFWOIR-GWCFXTLKSA-N -1 1 343.409 -0.625 20 0 EBADMM CCO[C@@H](C(=O)N1CCC(O)(c2nn[n-]n2)CC1)[C@@H]1CCOC1 ZINC001362725674 1131655157 /nfs/dbraw/zinc/65/51/57/1131655157.db2.gz PBWWTMYZKJAUSK-GHMZBOCLSA-N -1 1 325.369 -0.549 20 0 EBADMM Cn1nc(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)cc1OC(F)F ZINC001362732566 1131657918 /nfs/dbraw/zinc/65/79/18/1131657918.db2.gz SIARNDUROGDPAS-UHFFFAOYSA-N -1 1 343.294 -0.342 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCn2cnccc2=O)co1 ZINC001362821614 1131685984 /nfs/dbraw/zinc/68/59/84/1131685984.db2.gz DBZYSFLURNNOTJ-UHFFFAOYSA-N -1 1 326.334 -0.826 20 0 EBADMM COCCn1nc(C(=O)N(Cc2nn[n-]n2)CC(C)C)ccc1=O ZINC001362834065 1131689638 /nfs/dbraw/zinc/68/96/38/1131689638.db2.gz YOGDJEIQXOEGSX-UHFFFAOYSA-N -1 1 335.368 -0.299 20 0 EBADMM C[C@@H](O)c1cn(C2CN(C(=O)CNC(=O)c3ncccc3[O-])C2)nn1 ZINC001362859594 1131697862 /nfs/dbraw/zinc/69/78/62/1131697862.db2.gz NFZDODUQCJMSIG-SECBINFHSA-N -1 1 346.347 -0.755 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC3(CCNC3=O)CC2)o1 ZINC001362863791 1131699112 /nfs/dbraw/zinc/69/91/12/1131699112.db2.gz YUDGOHITCVIQMF-UHFFFAOYSA-N -1 1 341.389 -0.070 20 0 EBADMM Cn1nnc2c1C[C@@H](C(=O)N1CCC[C@@H](Cc3nn[n-]n3)C1)CC2 ZINC001362902631 1131708430 /nfs/dbraw/zinc/70/84/30/1131708430.db2.gz DPPWJHZJUPPJRL-QWRGUYRKSA-N -1 1 330.396 -0.086 20 0 EBADMM COC[C@@H](NC(=O)CNC(=O)OCc1ccccc1)c1nn[n-]n1 ZINC001362907571 1131709706 /nfs/dbraw/zinc/70/97/06/1131709706.db2.gz HQFLIAYRNFCHCK-LLVKDONJSA-N -1 1 334.336 -0.070 20 0 EBADMM O=C([C@@H]1CNC(=O)c2ccccc21)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001363028433 1131753136 /nfs/dbraw/zinc/75/31/36/1131753136.db2.gz MHVJJEHIJZLOOI-GFCCVEGCSA-N -1 1 342.359 -0.463 20 0 EBADMM CC(=O)NC1CCC(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)CC1 ZINC001363105249 1131786408 /nfs/dbraw/zinc/78/64/08/1131786408.db2.gz AWYPEMMFULTTMS-UHFFFAOYSA-N -1 1 329.382 -0.158 20 0 EBADMM CC(=O)NC1CCC(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)CC1 ZINC001363105249 1131786411 /nfs/dbraw/zinc/78/64/11/1131786411.db2.gz AWYPEMMFULTTMS-UHFFFAOYSA-N -1 1 329.382 -0.158 20 0 EBADMM COC(=O)c1c(NC(=O)CN(C)C(=O)C2CC2)n[n-]c1OCCO ZINC001363119826 1131792276 /nfs/dbraw/zinc/79/22/76/1131792276.db2.gz QNNGJSAZUHJMMC-UHFFFAOYSA-N -1 1 340.336 -0.626 20 0 EBADMM COC(=O)c1c(OCCO)n[n-]c1NC(=O)CN(C)C(=O)C1CC1 ZINC001363119826 1131792280 /nfs/dbraw/zinc/79/22/80/1131792280.db2.gz QNNGJSAZUHJMMC-UHFFFAOYSA-N -1 1 340.336 -0.626 20 0 EBADMM CCS(=O)(=O)N[C@H](C)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC001363164957 1131810356 /nfs/dbraw/zinc/81/03/56/1131810356.db2.gz LGWCYIWVUZSLFD-MWLCHTKSSA-N -1 1 338.393 -0.267 20 0 EBADMM O=C([C@@H]1CNC(=O)c2ccccc21)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001363204137 1131829126 /nfs/dbraw/zinc/82/91/26/1131829126.db2.gz OIZOIXGGXVZMGC-VXGBXAGGSA-N -1 1 328.332 -0.373 20 0 EBADMM CNS(=O)(=O)c1ccc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)cn1 ZINC001363215169 1131835498 /nfs/dbraw/zinc/83/54/98/1131835498.db2.gz BTHTWPQAUQNNJH-SECBINFHSA-N -1 1 337.365 -0.868 20 0 EBADMM CC(C)(C)OC(=O)NCC1(NC(=O)CCCc2nn[n-]n2)COC1 ZINC001363286604 1131872818 /nfs/dbraw/zinc/87/28/18/1131872818.db2.gz QKDPTJCXAWPRFS-UHFFFAOYSA-N -1 1 340.384 -0.068 20 0 EBADMM CNS(=O)(=O)c1ccc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)o1 ZINC001363308245 1131888023 /nfs/dbraw/zinc/88/80/23/1131888023.db2.gz LRKGCMMMLKOOLA-ZETCQYMHSA-N -1 1 326.338 -0.670 20 0 EBADMM NC(=O)[C@H]1CN(C(=O)c2c([O-])cnc3c(F)cccc32)C[C@@H]1C(N)=O ZINC001363318176 1131894616 /nfs/dbraw/zinc/89/46/16/1131894616.db2.gz SJCIRAAJYBILIN-IUCAKERBSA-N -1 1 346.318 -0.262 20 0 EBADMM C[C@@H](C(=O)N(C)CC(=O)NCc1nc([O-])cc(=O)[nH]1)n1cccn1 ZINC001363326794 1131900620 /nfs/dbraw/zinc/90/06/20/1131900620.db2.gz OWDASHQYOBWMSQ-VIFPVBQESA-N -1 1 334.336 -0.580 20 0 EBADMM Cc1cnc(C(=O)NCCc2nnc3n2CCN(C)C3=O)c([O-])c1 ZINC001363376944 1131920349 /nfs/dbraw/zinc/92/03/49/1131920349.db2.gz BIAODBJMENIBBA-UHFFFAOYSA-N -1 1 330.348 -0.255 20 0 EBADMM COC(=O)[C@H](C[C@@H]1CCCO1)NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001363393015 1131929008 /nfs/dbraw/zinc/92/90/08/1131929008.db2.gz ORHLGRDHLZXWBO-YUMQZZPRSA-N -1 1 341.320 -0.263 20 0 EBADMM CSc1ncc(C(=O)N[C@@H]2C(=O)NCC23CCOCC3)c(=O)[n-]1 ZINC001363399373 1131932925 /nfs/dbraw/zinc/93/29/25/1131932925.db2.gz IUZOKJOUMDTYJY-SECBINFHSA-N -1 1 338.389 -0.071 20 0 EBADMM COC[C@H](NC(=O)[C@H](NS(=O)(=O)C1CC1)C(C)C)c1nn[n-]n1 ZINC001363408018 1131937623 /nfs/dbraw/zinc/93/76/23/1131937623.db2.gz APPBIHAVQAVQFR-VHSXEESVSA-N -1 1 346.413 -0.890 20 0 EBADMM O=C([C@@H]1CC(=O)N(c2cn[nH]c2)C1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001363433193 1131947811 /nfs/dbraw/zinc/94/78/11/1131947811.db2.gz KNPCQMLQTCEBQF-WDEREUQCSA-N -1 1 344.379 -0.243 20 0 EBADMM CC[C@@H](C(=O)N[C@@H](COC)c1nn[n-]n1)N1CCO[C@H](CC)C1 ZINC001363437034 1131949484 /nfs/dbraw/zinc/94/94/84/1131949484.db2.gz PFWXZJBKXLXQPM-WOPDTQHZSA-N -1 1 326.401 -0.107 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCS(=O)(=O)C2CC2)o1 ZINC001363443629 1131952302 /nfs/dbraw/zinc/95/23/02/1131952302.db2.gz TVAVCCNXCMDZHX-UHFFFAOYSA-N -1 1 336.391 -0.505 20 0 EBADMM NS(=O)(=O)c1ccc(CC(=O)N2CC[C@@H](c3nn[n-]n3)C2)cc1 ZINC001363524659 1131993003 /nfs/dbraw/zinc/99/30/03/1131993003.db2.gz MTKHIWSVJRSNQX-SNVBAGLBSA-N -1 1 336.377 -0.594 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1(C2(O)CCOCC2)CC1 ZINC001363536399 1132001292 /nfs/dbraw/zinc/00/12/92/1132001292.db2.gz QCFZRPHNSZENQJ-UHFFFAOYSA-N -1 1 339.348 -0.052 20 0 EBADMM NC(=O)Cn1cc(C(=O)NCCc2c(F)cc([O-])cc2F)nn1 ZINC001363612716 1132032381 /nfs/dbraw/zinc/03/23/81/1132032381.db2.gz RFCWCEVIPFGOCL-UHFFFAOYSA-N -1 1 325.275 -0.280 20 0 EBADMM O=C(NCCc1c(F)cc([O-])cc1F)C1=C[C@@H](O)[C@@H](O)[C@H](O)C1 ZINC001363613460 1132033598 /nfs/dbraw/zinc/03/35/98/1132033598.db2.gz UDJBEDAYXQYSIO-MGPQQGTHSA-N -1 1 329.299 -0.258 20 0 EBADMM COC(=O)[C@]12C[C@H]1C[C@H](NC(=O)CNC(=O)c1ncccc1[O-])C2 ZINC001363627864 1132037602 /nfs/dbraw/zinc/03/76/02/1132037602.db2.gz YIVATMWESFTONG-LSYCYVAJSA-N -1 1 333.344 -0.025 20 0 EBADMM O=C(COc1ccccc1)NCC(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001363719348 1132067618 /nfs/dbraw/zinc/06/76/18/1132067618.db2.gz IUESYWSRYVHCOV-NSHDSACASA-N -1 1 330.348 -0.289 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCN(C(=O)OC)CC2)[n-]n1 ZINC001363749891 1132074937 /nfs/dbraw/zinc/07/49/37/1132074937.db2.gz JSYAQALJAKOXBN-UHFFFAOYSA-N -1 1 332.338 -0.731 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCN(C(=O)OC)CC2)n[n-]1 ZINC001363749891 1132074945 /nfs/dbraw/zinc/07/49/45/1132074945.db2.gz JSYAQALJAKOXBN-UHFFFAOYSA-N -1 1 332.338 -0.731 20 0 EBADMM Cc1noc([C@H](C)[N-]S(=O)(=O)CCS(=O)(=O)N(C)C)n1 ZINC001363768097 1132081561 /nfs/dbraw/zinc/08/15/61/1132081561.db2.gz FDLNBLIGGLUPMU-ZETCQYMHSA-N -1 1 326.400 -0.750 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@@H](C)N2CCCCC2=O)[n-]n1 ZINC001363857537 1132112804 /nfs/dbraw/zinc/11/28/04/1132112804.db2.gz FWBIKEZPHZRZNZ-SECBINFHSA-N -1 1 344.393 -0.124 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@@H](C)N2CCCCC2=O)n[n-]1 ZINC001363857537 1132112808 /nfs/dbraw/zinc/11/28/08/1132112808.db2.gz FWBIKEZPHZRZNZ-SECBINFHSA-N -1 1 344.393 -0.124 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H](C)[S@@](=O)CC2)[n-]n1 ZINC001363865514 1132114894 /nfs/dbraw/zinc/11/48/94/1132114894.db2.gz IFWQGMCBCBROPD-SQFXPLBJSA-N -1 1 335.407 -0.272 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H](C)[S@@](=O)CC2)n[n-]1 ZINC001363865514 1132114897 /nfs/dbraw/zinc/11/48/97/1132114897.db2.gz IFWQGMCBCBROPD-SQFXPLBJSA-N -1 1 335.407 -0.272 20 0 EBADMM CCOC(=O)[C@@H]1CSCN1S(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001363877809 1132117037 /nfs/dbraw/zinc/11/70/37/1132117037.db2.gz WRAOGQWYFUNUID-QMMMGPOBSA-N -1 1 349.390 -0.177 20 0 EBADMM CCOC(=O)[C@@H]1CSCN1S(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001363877809 1132117042 /nfs/dbraw/zinc/11/70/42/1132117042.db2.gz WRAOGQWYFUNUID-QMMMGPOBSA-N -1 1 349.390 -0.177 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCN(CC(F)F)C1 ZINC001363923347 1132130422 /nfs/dbraw/zinc/13/04/22/1132130422.db2.gz AAHRQOCREUYGEG-SSDOTTSWSA-N -1 1 338.336 -0.186 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H](O)COCC(C)C ZINC001363927964 1132132831 /nfs/dbraw/zinc/13/28/31/1132132831.db2.gz BPXQAYDSFLUTIN-SECBINFHSA-N -1 1 335.382 -0.492 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H]1CN(C2CC2)C(=O)O1 ZINC001363938849 1132136568 /nfs/dbraw/zinc/13/65/68/1132136568.db2.gz YUJIUMJRZOVCSO-QMMMGPOBSA-N -1 1 344.349 -0.542 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CCC[C@H]3OCC[C@@H]32)c(=O)[nH]c1=O ZINC001363949481 1132140245 /nfs/dbraw/zinc/14/02/45/1132140245.db2.gz CNLABISOCXJADH-OPRDCNLKSA-N -1 1 329.378 -0.278 20 0 EBADMM CC[C@](COC)([N-]S(=O)(=O)CCCC(=O)OC)C(=O)OC ZINC001363951939 1132140255 /nfs/dbraw/zinc/14/02/55/1132140255.db2.gz WKDVKXGUMVDSND-GFCCVEGCSA-N -1 1 325.383 -0.173 20 0 EBADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@H](CO)CC(F)F ZINC001363950446 1132140511 /nfs/dbraw/zinc/14/05/11/1132140511.db2.gz MLVSFPZBHAHNBU-LURJTMIESA-N -1 1 327.309 -0.201 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H](CO)CC2CCCC2)c(=O)[nH]c1=O ZINC001363972152 1132149076 /nfs/dbraw/zinc/14/90/76/1132149076.db2.gz SEOQSPXFGAERIM-JTQLQIEISA-N -1 1 331.394 -0.295 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@H]2CCOC[C@@H]2OC)[n-]n1 ZINC001363982197 1132153241 /nfs/dbraw/zinc/15/32/41/1132153241.db2.gz CVWZGFDZMOYWFO-SCZZXKLOSA-N -1 1 333.366 -0.474 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@H]2CCOC[C@@H]2OC)n[n-]1 ZINC001363982197 1132153244 /nfs/dbraw/zinc/15/32/44/1132153244.db2.gz CVWZGFDZMOYWFO-SCZZXKLOSA-N -1 1 333.366 -0.474 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCO[C@]3(CCO[C@@H]3C)C2)[n-]n1 ZINC001363982754 1132153269 /nfs/dbraw/zinc/15/32/69/1132153269.db2.gz JGHZAZVNGZYZOY-NOZJJQNGSA-N -1 1 345.377 -0.235 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCO[C@]3(CCO[C@@H]3C)C2)n[n-]1 ZINC001363982754 1132153273 /nfs/dbraw/zinc/15/32/73/1132153273.db2.gz JGHZAZVNGZYZOY-NOZJJQNGSA-N -1 1 345.377 -0.235 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@H](C)O[C@H](C(=O)OC)C2)[n-]n1 ZINC001363993043 1132157683 /nfs/dbraw/zinc/15/76/83/1132157683.db2.gz OCCSBFMANHVEJP-CBAPKCEASA-N -1 1 347.349 -0.853 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@H](C)O[C@H](C(=O)OC)C2)n[n-]1 ZINC001363993043 1132157685 /nfs/dbraw/zinc/15/76/85/1132157685.db2.gz OCCSBFMANHVEJP-CBAPKCEASA-N -1 1 347.349 -0.853 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2CCN(C(N)=O)C2)c1 ZINC001363997247 1132158982 /nfs/dbraw/zinc/15/89/82/1132158982.db2.gz HLKZKAVHQRUDAF-QMMMGPOBSA-N -1 1 331.350 -0.255 20 0 EBADMM COC[C@@]1(CO)CCN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)C1 ZINC001364007796 1132163192 /nfs/dbraw/zinc/16/31/92/1132163192.db2.gz LIBDEKYPVSAJDI-LBPRGKRZSA-N -1 1 333.366 -0.784 20 0 EBADMM COC[C@@]1(CO)CCN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)C1 ZINC001364007796 1132163197 /nfs/dbraw/zinc/16/31/97/1132163197.db2.gz LIBDEKYPVSAJDI-LBPRGKRZSA-N -1 1 333.366 -0.784 20 0 EBADMM O=C(c1cn([C@@H]2CC[C@H]2O)nn1)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001364029277 1132173077 /nfs/dbraw/zinc/17/30/77/1132173077.db2.gz MUATVXGWFUYVDC-MVWJERBFSA-N -1 1 332.368 -0.418 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2C[C@@H]3CCC[C@@H]3O2)c(=O)[nH]c1=O ZINC001364041045 1132178404 /nfs/dbraw/zinc/17/84/04/1132178404.db2.gz NRXGAELLUXBQFQ-GUBZILKMSA-N -1 1 329.378 -0.278 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H](CCO)C2CCCC2)c(=O)n(C)c1=O ZINC001364047815 1132182832 /nfs/dbraw/zinc/18/28/32/1132182832.db2.gz GXSLXSJUBBVOTP-LLVKDONJSA-N -1 1 345.421 -0.697 20 0 EBADMM COC(=O)[C@H](CC1OCCO1)[N-]S(=O)(=O)CC[C@H]1CCOC1 ZINC001364066725 1132192937 /nfs/dbraw/zinc/19/29/37/1132192937.db2.gz AAEZHWHOLMFCOP-MNOVXSKESA-N -1 1 337.394 -0.363 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)C[C@H]2CCS(=O)(=O)C2)n[n-]1 ZINC001364068528 1132193658 /nfs/dbraw/zinc/19/36/58/1132193658.db2.gz YQBXFQYXGFFLFD-MRVPVSSYSA-N -1 1 330.366 -0.578 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)C[C@H]2CCS(=O)(=O)C2)n1 ZINC001364068528 1132193667 /nfs/dbraw/zinc/19/36/67/1132193667.db2.gz YQBXFQYXGFFLFD-MRVPVSSYSA-N -1 1 330.366 -0.578 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)C[C@@H]2CCS(=O)(=O)C2)n[n-]1 ZINC001364068534 1132194042 /nfs/dbraw/zinc/19/40/42/1132194042.db2.gz YQBXFQYXGFFLFD-QMMMGPOBSA-N -1 1 330.366 -0.578 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)C[C@@H]2CCS(=O)(=O)C2)n1 ZINC001364068534 1132194045 /nfs/dbraw/zinc/19/40/45/1132194045.db2.gz YQBXFQYXGFFLFD-QMMMGPOBSA-N -1 1 330.366 -0.578 20 0 EBADMM COCC(COC)S(=O)(=O)[N-][C@@H](C[C@@H]1CCCO1)C(=O)OC ZINC001364081086 1132200015 /nfs/dbraw/zinc/20/00/15/1132200015.db2.gz FXKFJGZTOZNHGI-JQWIXIFHSA-N -1 1 339.410 -0.322 20 0 EBADMM COC(=O)c1c(NC(=O)[C@H]2C[C@@]23CCOC3)n[n-]c1OCCO ZINC001364105491 1132207523 /nfs/dbraw/zinc/20/75/23/1132207523.db2.gz RVVRSRCHLRUWCW-XLKFXECMSA-N -1 1 325.321 -0.068 20 0 EBADMM COC(=O)c1c(NC(=O)[C@H]2C[C@@]23CCOC3)[n-]nc1OCCO ZINC001364105491 1132207531 /nfs/dbraw/zinc/20/75/31/1132207531.db2.gz RVVRSRCHLRUWCW-XLKFXECMSA-N -1 1 325.321 -0.068 20 0 EBADMM CCOC(=O)c1nc([C@H](C)NC(=O)CNC(=O)c2ccccn2)n[n-]1 ZINC001364112587 1132210462 /nfs/dbraw/zinc/21/04/62/1132210462.db2.gz VFULBEKOBHMYEG-VIFPVBQESA-N -1 1 346.347 -0.016 20 0 EBADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CNC(=O)c2ccccn2)[n-]1 ZINC001364112587 1132210469 /nfs/dbraw/zinc/21/04/69/1132210469.db2.gz VFULBEKOBHMYEG-VIFPVBQESA-N -1 1 346.347 -0.016 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CNC(=O)c2ccccn2)n1 ZINC001364112587 1132210476 /nfs/dbraw/zinc/21/04/76/1132210476.db2.gz VFULBEKOBHMYEG-VIFPVBQESA-N -1 1 346.347 -0.016 20 0 EBADMM CS(=O)(=O)c1cc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)co1 ZINC001364382438 1132300334 /nfs/dbraw/zinc/30/03/34/1132300334.db2.gz DBYVOYVAEVJZHI-QMMMGPOBSA-N -1 1 327.322 -0.590 20 0 EBADMM O=C([C@@H]1CC(c2ccccn2)=NO1)N1CCOC[C@H]1c1nn[n-]n1 ZINC001364383742 1132300777 /nfs/dbraw/zinc/30/07/77/1132300777.db2.gz RICKMHDBCIBFDD-RYUDHWBXSA-N -1 1 329.320 -0.312 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@H]2CCO[C@H]2C(=O)OC)co1 ZINC001364492822 1132338454 /nfs/dbraw/zinc/33/84/54/1132338454.db2.gz HPCZCMURMQXEDV-LDYMZIIASA-N -1 1 346.361 -0.504 20 0 EBADMM CS(=O)(=O)C1(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CCC1 ZINC001364548344 1132359047 /nfs/dbraw/zinc/35/90/47/1132359047.db2.gz HWKXTXNPJLMXNN-UHFFFAOYSA-N -1 1 341.389 -0.162 20 0 EBADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@@H]1CC(=O)N(c2cnn(C)c2)C1 ZINC001364652747 1132384627 /nfs/dbraw/zinc/38/46/27/1132384627.db2.gz WKBWBAFDJUVGAQ-LLVKDONJSA-N -1 1 346.395 -0.029 20 0 EBADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@H]1CC(=O)N(c2cnn(C)c2)C1 ZINC001364652751 1132385574 /nfs/dbraw/zinc/38/55/74/1132385574.db2.gz WKBWBAFDJUVGAQ-NSHDSACASA-N -1 1 346.395 -0.029 20 0 EBADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@H]1CC12CCS(=O)(=O)CC2 ZINC001364661654 1132388016 /nfs/dbraw/zinc/38/80/16/1132388016.db2.gz FOJRMWGUWUHYMS-SECBINFHSA-N -1 1 325.394 -0.090 20 0 EBADMM CN(C)[S@@](C)(=O)=NS(=O)(=O)[N-][C@H](C(N)=O)c1ccccc1 ZINC001364772391 1132421820 /nfs/dbraw/zinc/42/18/20/1132421820.db2.gz BRZQBGZIDDZFHZ-FVINQWEUSA-N -1 1 334.423 -0.378 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@](C)(C(=O)OC)C2CC2)[n-]n1 ZINC001364781336 1132424285 /nfs/dbraw/zinc/42/42/85/1132424285.db2.gz PLVRUZUHTIUVAE-GFCCVEGCSA-N -1 1 331.350 -0.184 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@](C)(C(=O)OC)C2CC2)n[n-]1 ZINC001364781336 1132424290 /nfs/dbraw/zinc/42/42/90/1132424290.db2.gz PLVRUZUHTIUVAE-GFCCVEGCSA-N -1 1 331.350 -0.184 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H](C(N)=O)CC[C@H]2C)[n-]n1 ZINC001364783301 1132425387 /nfs/dbraw/zinc/42/53/87/1132425387.db2.gz JILNUSXMASNQJX-SFYZADRCSA-N -1 1 330.366 -0.529 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H](C(N)=O)CC[C@H]2C)n[n-]1 ZINC001364783301 1132425390 /nfs/dbraw/zinc/42/53/90/1132425390.db2.gz JILNUSXMASNQJX-SFYZADRCSA-N -1 1 330.366 -0.529 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H](CO)Cc2ccco2)c(=O)[nH]c1=O ZINC001364785518 1132426726 /nfs/dbraw/zinc/42/67/26/1132426726.db2.gz MPAFSLGECJNYSS-VIFPVBQESA-N -1 1 343.361 -0.792 20 0 EBADMM Cn1nnc(C[N-]S(=O)(=O)C[C@H]2COc3ccccc3O2)n1 ZINC001364834671 1132448152 /nfs/dbraw/zinc/44/81/52/1132448152.db2.gz TWQPJNJWKDWQAC-SECBINFHSA-N -1 1 325.350 -0.531 20 0 EBADMM COC[C@H]1CN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)C[C@@H](C)O1 ZINC001364850803 1132453424 /nfs/dbraw/zinc/45/34/24/1132453424.db2.gz NODCLWCURDJGLF-RKDXNWHRSA-N -1 1 333.366 -0.379 20 0 EBADMM COC[C@H]1CN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)C[C@@H](C)O1 ZINC001364850803 1132453429 /nfs/dbraw/zinc/45/34/29/1132453429.db2.gz NODCLWCURDJGLF-RKDXNWHRSA-N -1 1 333.366 -0.379 20 0 EBADMM CCNC(=O)[C@@H]([N-]S(=O)(=O)c1n[nH]cc1C(=O)OC)C(C)C ZINC001364876228 1132464170 /nfs/dbraw/zinc/46/41/70/1132464170.db2.gz UJNFFUXUGORKHJ-VIFPVBQESA-N -1 1 332.382 -0.365 20 0 EBADMM CC[C@@](COC)([N-]S(=O)(=O)c1n[nH]cc1C(=O)OC)C(=O)OC ZINC001364875613 1132464407 /nfs/dbraw/zinc/46/44/07/1132464407.db2.gz WPZIRSOSKBZPJQ-LBPRGKRZSA-N -1 1 349.365 -0.557 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C/C=C/c2cccnc2)c(=O)n(C)c1=O ZINC001364880254 1132466102 /nfs/dbraw/zinc/46/61/02/1132466102.db2.gz JELXSKIFFCCICS-GQCTYLIASA-N -1 1 336.373 -0.529 20 0 EBADMM CO[C@H](CS(=O)(=O)[N-]Cc1nc(C(N)=O)co1)[C@H]1CCOC1 ZINC001364879766 1132466399 /nfs/dbraw/zinc/46/63/99/1132466399.db2.gz DUSKKFBOPZNLDH-WCBMZHEXSA-N -1 1 333.366 -0.756 20 0 EBADMM CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@@H](CC)C(=O)NC ZINC001364881862 1132467718 /nfs/dbraw/zinc/46/77/18/1132467718.db2.gz QJHBWRYCUCXDRY-QMMMGPOBSA-N -1 1 332.382 -0.302 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCN([C@H]3CCOC3)CC2)[n-]n1 ZINC001364901573 1132475139 /nfs/dbraw/zinc/47/51/39/1132475139.db2.gz FEBWKXUNXJGLJI-JTQLQIEISA-N -1 1 344.393 -0.709 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCN([C@H]3CCOC3)CC2)n[n-]1 ZINC001364901573 1132475143 /nfs/dbraw/zinc/47/51/43/1132475143.db2.gz FEBWKXUNXJGLJI-JTQLQIEISA-N -1 1 344.393 -0.709 20 0 EBADMM COc1cc(CS(=O)(=O)[N-]Cc2nc(C(N)=O)co2)sn1 ZINC001364908354 1132479682 /nfs/dbraw/zinc/47/96/82/1132479682.db2.gz OSLQQAHVCMXGAY-UHFFFAOYSA-N -1 1 332.363 -0.142 20 0 EBADMM COC[C@@H](C)CS(=O)(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001364927477 1132489471 /nfs/dbraw/zinc/48/94/71/1132489471.db2.gz LHAKZLYUEPQBED-SECBINFHSA-N -1 1 331.394 -0.043 20 0 EBADMM COCCN(C)S(=O)(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001364929489 1132490543 /nfs/dbraw/zinc/49/05/43/1132490543.db2.gz XTDIYVJPFHJJOG-UHFFFAOYSA-N -1 1 332.382 -0.833 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](CO)C[C@@H]1CCCO1 ZINC001364933159 1132492377 /nfs/dbraw/zinc/49/23/77/1132492377.db2.gz FTMJMFSIUQDCKN-UWVGGRQHSA-N -1 1 347.393 -0.205 20 0 EBADMM Cn1cnnc1[C@H](CO)[N-]S(=O)(=O)Cc1noc2c1CCCC2 ZINC001364955431 1132500829 /nfs/dbraw/zinc/50/08/29/1132500829.db2.gz UJJGRABVDWCFCM-JTQLQIEISA-N -1 1 341.393 -0.165 20 0 EBADMM CCOC(=O)CC1(NS(=O)(=O)c2cc(C(=O)OC)n[n-]2)COC1 ZINC001364972794 1132507419 /nfs/dbraw/zinc/50/74/19/1132507419.db2.gz ASXZEACGTATGCW-UHFFFAOYSA-N -1 1 347.349 -0.803 20 0 EBADMM CCOC(=O)CC1(NS(=O)(=O)c2cc(C(=O)OC)[n-]n2)COC1 ZINC001364972794 1132507425 /nfs/dbraw/zinc/50/74/25/1132507425.db2.gz ASXZEACGTATGCW-UHFFFAOYSA-N -1 1 347.349 -0.803 20 0 EBADMM CCOC(=O)C[C@H](O)C(=O)N(C)CCc1nc([O-])c(C)c(=O)[nH]1 ZINC001364975716 1132508847 /nfs/dbraw/zinc/50/88/47/1132508847.db2.gz XKFGPVTUZLTKSZ-VIFPVBQESA-N -1 1 327.337 -0.489 20 0 EBADMM COC(=O)C1([N-]S(=O)(=O)c2csnc2OC)CC(CO)C1 ZINC001364978747 1132509690 /nfs/dbraw/zinc/50/96/90/1132509690.db2.gz QKIZISSPTNNJEU-UHFFFAOYSA-N -1 1 336.391 -0.256 20 0 EBADMM Cc1c[nH]c(C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)c(C)c1=O ZINC001364981737 1132510891 /nfs/dbraw/zinc/51/08/91/1132510891.db2.gz HHJKHDXJOWPHCY-UHFFFAOYSA-N -1 1 340.361 -0.730 20 0 EBADMM COC(=O)[C@H](CC1OCCO1)[N-]S(=O)(=O)CCOC(C)C ZINC001364993926 1132514711 /nfs/dbraw/zinc/51/47/11/1132514711.db2.gz VDJAGGDEFPHLAK-JTQLQIEISA-N -1 1 325.383 -0.365 20 0 EBADMM COC(=O)[C@@H]1COCCN1S(=O)(=O)c1cc(OC)ccc1[O-] ZINC001365136369 1132555701 /nfs/dbraw/zinc/55/57/01/1132555701.db2.gz QSKBMEACIDBWBW-JTQLQIEISA-N -1 1 331.346 -0.037 20 0 EBADMM Cn1ncc(Br)c1C(=O)Nc1n[n-]c(S(C)(=O)=O)n1 ZINC001365184265 1132573331 /nfs/dbraw/zinc/57/33/31/1132573331.db2.gz LMHWSGXSCJUAHB-UHFFFAOYSA-N -1 1 349.170 -0.044 20 0 EBADMM Cn1ncc(Br)c1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC001365184265 1132573334 /nfs/dbraw/zinc/57/33/34/1132573334.db2.gz LMHWSGXSCJUAHB-UHFFFAOYSA-N -1 1 349.170 -0.044 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)[C@H]2CCCN2S(C)(=O)=O)n[n-]1 ZINC001365194472 1132576518 /nfs/dbraw/zinc/57/65/18/1132576518.db2.gz LJLYWRGASZFHPM-MRVPVSSYSA-N -1 1 345.381 -0.978 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H]2CCCN2S(C)(=O)=O)n1 ZINC001365194472 1132576535 /nfs/dbraw/zinc/57/65/35/1132576535.db2.gz LJLYWRGASZFHPM-MRVPVSSYSA-N -1 1 345.381 -0.978 20 0 EBADMM C[C@H](CC(=O)N1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-])NC(N)=O ZINC001414110501 1132602686 /nfs/dbraw/zinc/60/26/86/1132602686.db2.gz BGQMQVVQPMCHMI-GHMZBOCLSA-N -1 1 349.391 -0.093 20 0 EBADMM COC[C@H]([N-]S(=O)(=O)C[C@H]1COc2ccccc2O1)C(N)=O ZINC001365377145 1132640447 /nfs/dbraw/zinc/64/04/47/1132640447.db2.gz XJCFIFRAWUCHGM-ZJUUUORDSA-N -1 1 330.362 -0.754 20 0 EBADMM O=S(=O)([N-][C@H](CO)[C@H]1CCOC1)c1nc[nH]c1Br ZINC001365382353 1132643952 /nfs/dbraw/zinc/64/39/52/1132643952.db2.gz WUUBRDWFGPYMJP-NKWVEPMBSA-N -1 1 340.199 -0.152 20 0 EBADMM CNC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)c1n[nH]c(C)c1C(=O)OC ZINC001365389631 1132648145 /nfs/dbraw/zinc/64/81/45/1132648145.db2.gz LIBDIPTWRQVUGZ-VIFPVBQESA-N -1 1 346.409 -0.056 20 0 EBADMM CCN1CCC[C@H](NS(=O)(=O)c2cc(C(=O)OC)n[n-]2)C1=O ZINC001365465378 1132695805 /nfs/dbraw/zinc/69/58/05/1132695805.db2.gz MMUCYZXSMZCRIM-QMMMGPOBSA-N -1 1 330.366 -0.515 20 0 EBADMM CCN1CCC[C@H](NS(=O)(=O)c2cc(C(=O)OC)[n-]n2)C1=O ZINC001365465378 1132695812 /nfs/dbraw/zinc/69/58/12/1132695812.db2.gz MMUCYZXSMZCRIM-QMMMGPOBSA-N -1 1 330.366 -0.515 20 0 EBADMM C[C@H](Cc1cccnc1)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC001365468496 1132697711 /nfs/dbraw/zinc/69/77/11/1132697711.db2.gz AQXVOYYKYYEYOD-SNVBAGLBSA-N -1 1 338.389 -0.612 20 0 EBADMM COC1(CO)CCN(C(=O)Nc2nn[nH]c2C(=O)NC2CC2)CC1 ZINC001365539540 1132714244 /nfs/dbraw/zinc/71/42/44/1132714244.db2.gz WIORGSUCINOVME-UHFFFAOYSA-N -1 1 338.368 -0.298 20 0 EBADMM COc1nscc1S(=O)(=O)[N-]C[C@@H]1CCS(=O)(=O)C1 ZINC001365633682 1132740562 /nfs/dbraw/zinc/74/05/62/1132740562.db2.gz GOGSHTCZLMYCOJ-ZETCQYMHSA-N -1 1 326.421 -0.135 20 0 EBADMM C[C@@H](NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)c1nnnn1C ZINC001365663802 1132752961 /nfs/dbraw/zinc/75/29/61/1132752961.db2.gz JUGYZQXSEKYSGK-SSDOTTSWSA-N -1 1 334.340 -0.661 20 0 EBADMM CCOC(=O)[C@H](C)[C@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001365683994 1132762060 /nfs/dbraw/zinc/76/20/60/1132762060.db2.gz KMIJTANDODMQIH-SFYZADRCSA-N -1 1 333.366 -0.648 20 0 EBADMM COC(=O)[C@@H]([N-]S(=O)(=O)CCS(=O)(=O)N(C)C)C(C)(C)C ZINC001365726230 1132778085 /nfs/dbraw/zinc/77/80/85/1132778085.db2.gz SZMUWQYJORCASG-SECBINFHSA-N -1 1 344.455 -0.615 20 0 EBADMM CCNC(=O)CCCC(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001365786359 1132797918 /nfs/dbraw/zinc/79/79/18/1132797918.db2.gz ODVAFKXBFYCYEC-GHMZBOCLSA-N -1 1 338.412 -0.247 20 0 EBADMM C[C@@H](C(=O)NC[C@H]1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)S(C)(=O)=O ZINC001365853532 1132833955 /nfs/dbraw/zinc/83/39/55/1132833955.db2.gz WIILPYKUWKNONV-LPEHRKFASA-N -1 1 345.425 -0.682 20 0 EBADMM Cn1[n-]c(CN(CCO)[C@H]2CCCN(C(=O)[C@H]3CC34CC4)C2)nc1=O ZINC001365884787 1132863137 /nfs/dbraw/zinc/86/31/37/1132863137.db2.gz TUXWHKJEGMCNDM-QWHCGFSZSA-N -1 1 349.435 -0.306 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)CCc3cncnc3)C2)nc1=O ZINC001365932444 1132892878 /nfs/dbraw/zinc/89/28/78/1132892878.db2.gz KTBORIXSTLOTHT-ZDUSSCGKSA-N -1 1 345.407 -0.388 20 0 EBADMM Cc1cc(CN[C@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C2CC2)nn1C ZINC001366044819 1132979418 /nfs/dbraw/zinc/97/94/18/1132979418.db2.gz STFBXSLKIZVSBY-LLVKDONJSA-N -1 1 347.379 -0.377 20 0 EBADMM CCN1CCOC[C@H]1C(=O)NC[C@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001366045202 1132979514 /nfs/dbraw/zinc/97/95/14/1132979514.db2.gz QTUBDPTZVLDBKV-RYUDHWBXSA-N -1 1 338.412 -0.785 20 0 EBADMM CC(C)S(=O)(=O)CC(=O)N1CC[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001366411457 1133136096 /nfs/dbraw/zinc/13/60/96/1133136096.db2.gz PKDQUHWXVMLSMN-JTQLQIEISA-N -1 1 345.425 -0.728 20 0 EBADMM CN(CCNC(=O)[C@@H]1C[C@H]1C1CCOCC1)Cc1nc(=O)n(C)[n-]1 ZINC001366543865 1133178153 /nfs/dbraw/zinc/17/81/53/1133178153.db2.gz FSGMHRVEEKCLHJ-QWHCGFSZSA-N -1 1 337.424 -0.281 20 0 EBADMM CCN(CCNC(=O)c1ncccc1[O-])CCN(C)S(C)(=O)=O ZINC001366604916 1133208543 /nfs/dbraw/zinc/20/85/43/1133208543.db2.gz KUAUXDXQWDKYNK-UHFFFAOYSA-N -1 1 344.437 -0.270 20 0 EBADMM Cn1[n-]c(CN(CCNC(=O)CCc2ncccn2)C2CC2)nc1=O ZINC001366756871 1133284917 /nfs/dbraw/zinc/28/49/17/1133284917.db2.gz WMVOKWFYOMRYKZ-UHFFFAOYSA-N -1 1 345.407 -0.388 20 0 EBADMM C[C@@H](C(=O)NC(N)=O)N1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001367050648 1133454810 /nfs/dbraw/zinc/45/48/10/1133454810.db2.gz GWDQCTJLFDZZAQ-VHSXEESVSA-N -1 1 335.364 -0.483 20 0 EBADMM CC[C@@H](CNC(=O)[C@H](OC)c1cnn(C)c1)NCc1n[nH]c(=O)[n-]1 ZINC001367121953 1133481099 /nfs/dbraw/zinc/48/10/99/1133481099.db2.gz QUGCVHVHABSUCL-CMPLNLGQSA-N -1 1 337.384 -0.384 20 0 EBADMM C[C@](CNCc1n[nH]c(=O)[n-]1)(NC(=O)CNC(=O)C1CC1)C1CC1 ZINC001367167909 1133492402 /nfs/dbraw/zinc/49/24/02/1133492402.db2.gz LYMQDEOOKUQMQP-OAHLLOKOSA-N -1 1 336.396 -0.589 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)C3(C4CC4)CC3)C2)nc1=O ZINC001367300847 1133534461 /nfs/dbraw/zinc/53/44/61/1133534461.db2.gz MFKPDAQWLSNSDP-GFCCVEGCSA-N -1 1 335.408 -0.384 20 0 EBADMM COc1coc(C(=O)N(C)[C@@H](C)CNCc2n[nH]c(=O)[n-]2)cc1=O ZINC001367367056 1133565150 /nfs/dbraw/zinc/56/51/50/1133565150.db2.gz AKIHYCHJMBBUCL-QMMMGPOBSA-N -1 1 337.336 -0.278 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@@H]1C[C@H]1c1cnn(C)c1 ZINC001367379097 1133571620 /nfs/dbraw/zinc/57/16/20/1133571620.db2.gz LBEXZABNNMINLJ-ADEWGFFLSA-N -1 1 333.396 -0.016 20 0 EBADMM CC(=O)N1CCC(C(=O)N(C)[C@H](C)CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001367398297 1133577998 /nfs/dbraw/zinc/57/79/98/1133577998.db2.gz BRKKHLIEKOBZLC-SNVBAGLBSA-N -1 1 338.412 -0.295 20 0 EBADMM CC(=O)N[C@@](C)(C(=O)N(C)[C@@H](C)CNCc1n[nH]c(=O)[n-]1)C(C)C ZINC001367410185 1133582925 /nfs/dbraw/zinc/58/29/25/1133582925.db2.gz HJHWAOORINCTOE-ZUZCIYMTSA-N -1 1 340.428 -0.002 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)NC[C@@H](O)CNC/C(Cl)=C\Cl ZINC001367629632 1133718358 /nfs/dbraw/zinc/71/83/58/1133718358.db2.gz WSARMFDTVUKYIA-WZOQFWGQSA-N -1 1 340.167 -0.925 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C[C@@H]1NC(=O)c2ccccc21 ZINC001367701101 1133751626 /nfs/dbraw/zinc/75/16/26/1133751626.db2.gz BPDXCLYFLRVKAL-SKDRFNHKSA-N -1 1 344.375 -0.021 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)CS(=O)(=O)C(C)(C)C ZINC001367772273 1133779292 /nfs/dbraw/zinc/77/92/92/1133779292.db2.gz PTPMNHWTEWLFJX-QMMMGPOBSA-N -1 1 333.414 -0.682 20 0 EBADMM C[C@H](Oc1cccnc1)C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001367960814 1133865214 /nfs/dbraw/zinc/86/52/14/1133865214.db2.gz RXLUFRQWJRBBHY-NWDGAFQWSA-N -1 1 346.391 -0.339 20 0 EBADMM Cc1cc(C2(C(=O)NC[C@H]3CCN3Cc3nc(=O)n(C)[n-]3)CC2)on1 ZINC001367961488 1133865878 /nfs/dbraw/zinc/86/58/78/1133865878.db2.gz OUEPBYNXKYVTJO-LLVKDONJSA-N -1 1 346.391 -0.173 20 0 EBADMM CCOCC(=O)NC[C@@]1(O)CCN(Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC001368236086 1134002948 /nfs/dbraw/zinc/00/29/48/1134002948.db2.gz CGWDNVWJRWHSIO-INIZCTEOSA-N -1 1 349.391 -0.888 20 0 EBADMM CCCC[C@@H](C)C(=O)NC[C@@]1(O)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001368248488 1134023946 /nfs/dbraw/zinc/02/39/46/1134023946.db2.gz KDFJTVQVEJTMNO-WBMJQRKESA-N -1 1 339.440 -0.012 20 0 EBADMM Cn1ccnc1CN1CC[C@@](O)(CNC(=O)c2ncccc2[O-])C1 ZINC001368266466 1134064882 /nfs/dbraw/zinc/06/48/82/1134064882.db2.gz PUBSTICAAUBSPG-MRXNPFEDSA-N -1 1 331.376 -0.113 20 0 EBADMM CC[C@H](CC(F)F)C(=O)NCC1(O)CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001368304343 1134127719 /nfs/dbraw/zinc/12/77/19/1134127719.db2.gz PJAXOFSRJPKFJD-SECBINFHSA-N -1 1 347.366 -0.547 20 0 EBADMM Cn1[n-]c(CN2CC(O)(CNC(=O)[C@@H]3CC[C@@H]4C[C@@H]4C3)C2)nc1=O ZINC001368308289 1134132697 /nfs/dbraw/zinc/13/26/97/1134132697.db2.gz PFLBBLOJJQOAMM-IJLUTSLNSA-N -1 1 335.408 -0.793 20 0 EBADMM CCc1ccc(C(=O)NCC2(O)CN(Cc3nc(=O)n(C)[n-]3)C2)cc1 ZINC001368322451 1134147224 /nfs/dbraw/zinc/14/72/24/1134147224.db2.gz QUQNWQRRXULURC-UHFFFAOYSA-N -1 1 345.403 -0.353 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)CC(F)(F)F)nc1=O ZINC001368427129 1134218540 /nfs/dbraw/zinc/21/85/40/1134218540.db2.gz JDYNKGVOUZJEAL-QMMMGPOBSA-N -1 1 337.302 -0.622 20 0 EBADMM Cn1cccc1C(=O)N1CCC(N(CCO)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001368828820 1134458945 /nfs/dbraw/zinc/45/89/45/1134458945.db2.gz KBYJEHKJKBDNBJ-UHFFFAOYSA-N -1 1 348.407 -0.052 20 0 EBADMM COc1ccc(CN(C)[C@H](C)CNC(=O)c2n[nH]c(=O)[n-]c2=O)cn1 ZINC001368969464 1134503229 /nfs/dbraw/zinc/50/32/29/1134503229.db2.gz OITROVQBFALZDX-SECBINFHSA-N -1 1 348.363 -0.063 20 0 EBADMM C[C@H](CNC(=O)Cn1cc(Cl)cn1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001368979183 1134506060 /nfs/dbraw/zinc/50/60/60/1134506060.db2.gz BNBIRJHVTFUNBS-SECBINFHSA-N -1 1 341.803 -0.405 20 0 EBADMM CCc1ncncc1C(=O)NC[C@H](C)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001368994868 1134512018 /nfs/dbraw/zinc/51/20/18/1134512018.db2.gz AZTDHSDXCXMHDF-JTQLQIEISA-N -1 1 333.396 -0.289 20 0 EBADMM Cc1coc(C(=O)NC2(CCO)CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001369031281 1134537865 /nfs/dbraw/zinc/53/78/65/1134537865.db2.gz YMBCHEAZSPHWAP-UHFFFAOYSA-N -1 1 335.364 -0.623 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)CCn3cncn3)C(C)(C)C2)nc1=O ZINC001369115128 1134571461 /nfs/dbraw/zinc/57/14/61/1134571461.db2.gz WTUPAYUPHXWNBX-NSHDSACASA-N -1 1 348.411 -0.883 20 0 EBADMM CN(C(=O)[C@@H]1CCNC(=O)C1)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001369207267 1134616976 /nfs/dbraw/zinc/61/69/76/1134616976.db2.gz WPXKDLDXPJDYBL-MNOVXSKESA-N -1 1 336.396 -0.637 20 0 EBADMM CN(C(=O)[C@H]1CC(=O)N(C)C1)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001369209146 1134617398 /nfs/dbraw/zinc/61/73/98/1134617398.db2.gz PXWUONYYVPRZFE-WDEREUQCSA-N -1 1 336.396 -0.685 20 0 EBADMM CN(C(=O)[C@H]1CC(=O)N(C)C1)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001369209141 1134617534 /nfs/dbraw/zinc/61/75/34/1134617534.db2.gz PXWUONYYVPRZFE-QWRGUYRKSA-N -1 1 336.396 -0.685 20 0 EBADMM C[C@@H](CN(C)C(=O)Cn1nnc2c1CCCC2)NCc1n[nH]c(=O)[n-]1 ZINC001369275923 1134638219 /nfs/dbraw/zinc/63/82/19/1134638219.db2.gz LBJRBDWYLUEWPI-JTQLQIEISA-N -1 1 348.411 -0.383 20 0 EBADMM CN(C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)c1ccn(C(F)F)n1 ZINC001369462568 1134805102 /nfs/dbraw/zinc/80/51/02/1134805102.db2.gz KSTXQMIIUMOXCY-SSDOTTSWSA-N -1 1 345.310 -0.675 20 0 EBADMM CN(C)c1cc(C(=O)N(C)C[C@H](O)CNCc2n[nH]c(=O)[n-]2)ccn1 ZINC001369469565 1134820332 /nfs/dbraw/zinc/82/03/32/1134820332.db2.gz GATMPABRQAAQBZ-LLVKDONJSA-N -1 1 349.395 -0.806 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H](O)CN(C)C(=O)c1cccs1 ZINC001369498950 1134881114 /nfs/dbraw/zinc/88/11/14/1134881114.db2.gz VEPYEGKRVBWNMI-SNVBAGLBSA-N -1 1 339.421 -0.265 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H](O)CN(C)C(=O)C1(C2CC2)CC1 ZINC001369508700 1134899028 /nfs/dbraw/zinc/89/90/28/1134899028.db2.gz MLPXEHJIJCNELZ-GFCCVEGCSA-N -1 1 337.424 -0.450 20 0 EBADMM CC(C)N(CCN(C)Cc1nc(=O)n(C)[n-]1)C(=O)c1cccnn1 ZINC001369528104 1134922388 /nfs/dbraw/zinc/92/23/88/1134922388.db2.gz GNZRGAKKEABYNO-UHFFFAOYSA-N -1 1 333.396 -0.119 20 0 EBADMM CC(C)N(CCN(C)Cc1nc(=O)n(C)[n-]1)C(=O)c1ncccn1 ZINC001369527959 1134922713 /nfs/dbraw/zinc/92/27/13/1134922713.db2.gz DLHRMETVNWAHCQ-UHFFFAOYSA-N -1 1 333.396 -0.119 20 0 EBADMM C[C@@H](C(=O)N(C)C1CC(NCc2n[nH]c(=O)[n-]2)C1)S(C)(=O)=O ZINC001369582441 1134948433 /nfs/dbraw/zinc/94/84/33/1134948433.db2.gz MCQYDKBEGAORKC-UEJVZZJDSA-N -1 1 331.398 -0.978 20 0 EBADMM CO[C@@H](C(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1)C1CC1 ZINC001369747280 1135006262 /nfs/dbraw/zinc/00/62/62/1135006262.db2.gz IMDPBWLTHUYDNI-CQSZACIVSA-N -1 1 337.424 -0.044 20 0 EBADMM CCOCC(=O)NC[C@H](C)N(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001369766660 1135010772 /nfs/dbraw/zinc/01/07/72/1135010772.db2.gz LHKQGMNHHAXWDY-VIFPVBQESA-N -1 1 336.352 -0.969 20 0 EBADMM C[C@H](CC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)n1cccn1 ZINC001370030209 1135180266 /nfs/dbraw/zinc/18/02/66/1135180266.db2.gz CAVOSSSXQGNVMP-VXGBXAGGSA-N -1 1 333.396 -0.353 20 0 EBADMM CCCNC(=O)CCC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001370047867 1135184785 /nfs/dbraw/zinc/18/47/85/1135184785.db2.gz QCMZGTFCQPUSGX-LLVKDONJSA-N -1 1 338.412 -0.895 20 0 EBADMM O=C(CO[C@H]1CCOC1)NC[C@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001370182996 1135238984 /nfs/dbraw/zinc/23/89/84/1135238984.db2.gz XZYIZJMJPXUOKF-QWRGUYRKSA-N -1 1 325.369 -0.700 20 0 EBADMM Cn1cc(CCC(=O)NC[C@@H](NCc2n[nH]c(=O)[n-]2)C2CC2)cn1 ZINC001370278563 1135279993 /nfs/dbraw/zinc/27/99/93/1135279993.db2.gz VPOSJSNBFKFLHN-GFCCVEGCSA-N -1 1 333.396 -0.139 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001370291598 1135287214 /nfs/dbraw/zinc/28/72/14/1135287214.db2.gz PECVWBRWBONYLG-HAQNSBGRSA-N -1 1 334.376 -0.110 20 0 EBADMM CN(CC(N)=O)C[C@@H]1CCCN(C(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001370316067 1135300616 /nfs/dbraw/zinc/30/06/16/1135300616.db2.gz CQFNKYXPIRHPCH-NSHDSACASA-N -1 1 346.391 -0.708 20 0 EBADMM CC(C)CC(=O)NCCC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001370427277 1135346144 /nfs/dbraw/zinc/34/61/44/1135346144.db2.gz KTXWKHOUAFMQRF-NSHDSACASA-N -1 1 338.412 -0.247 20 0 EBADMM O=C(NC[C@@H](CO)NC(=O)C1(F)CCOCC1)c1ncccc1[O-] ZINC001370671150 1135477100 /nfs/dbraw/zinc/47/71/00/1135477100.db2.gz BYGQOUCITLJAKY-JTQLQIEISA-N -1 1 341.339 -0.487 20 0 EBADMM CCO[C@@H](C(=O)NC[C@@H](CO)NC(=O)c1ncccc1[O-])C1CC1 ZINC001370707900 1135528159 /nfs/dbraw/zinc/52/81/59/1135528159.db2.gz KDEZCNHTERSOFH-SMDDNHRTSA-N -1 1 337.376 -0.191 20 0 EBADMM Cn1nccc1CCC(=O)NC[C@H](CO)NC(=O)c1ncccc1[O-] ZINC001370717694 1135540546 /nfs/dbraw/zinc/54/05/46/1135540546.db2.gz QKRSBACHRGIMHE-LLVKDONJSA-N -1 1 347.375 -0.640 20 0 EBADMM Cc1ccncc1CC(=O)NC[C@H](CO)NC(=O)c1ncccc1[O-] ZINC001370738224 1135571766 /nfs/dbraw/zinc/57/17/66/1135571766.db2.gz VZTCQJRBKZQVNO-CYBMUJFWSA-N -1 1 344.371 -0.060 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)CS(C)(=O)=O)CN1C(=O)c1ncccc1[O-] ZINC001370855550 1135672634 /nfs/dbraw/zinc/67/26/34/1135672634.db2.gz DPJNFIYCQLAOQG-VHSXEESVSA-N -1 1 341.389 -0.449 20 0 EBADMM CN(C[C@@H](O)CNC(=O)c1ncccc1[O-])C(=O)[C@]1(F)CCOC1 ZINC001371018672 1135781341 /nfs/dbraw/zinc/78/13/41/1135781341.db2.gz IFWPNSRBGRZXTF-BONVTDFDSA-N -1 1 341.339 -0.535 20 0 EBADMM CCC(=O)NC[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)CCCO1 ZINC001371308586 1135884323 /nfs/dbraw/zinc/88/43/23/1135884323.db2.gz XLOONRNTCBSWHH-SNVBAGLBSA-N -1 1 348.363 -0.825 20 0 EBADMM C[C@H](CNC(=O)[C@H](C)NC(=O)C(C)(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001371316739 1135886730 /nfs/dbraw/zinc/88/67/30/1135886730.db2.gz FZQFDUCGNZKZCY-BDAKNGLRSA-N -1 1 326.401 -0.345 20 0 EBADMM CCO[C@@H](CC)C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001371522047 1135947786 /nfs/dbraw/zinc/94/77/86/1135947786.db2.gz DYTZDBPOZJWUAB-WXHSDQCUSA-N -1 1 337.376 -0.096 20 0 EBADMM COCC[C@H](C)C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001371525504 1135950523 /nfs/dbraw/zinc/95/05/23/1135950523.db2.gz XBKXTQFKMYSTSR-LOWVWBTDSA-N -1 1 337.376 -0.239 20 0 EBADMM C[C@@H](CC(N)=O)C(=O)N(C)C[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC001371646112 1135991728 /nfs/dbraw/zinc/99/17/28/1135991728.db2.gz WXWGVMPOINYPOX-QWRGUYRKSA-N -1 1 334.376 -0.028 20 0 EBADMM O=C(CCn1cncn1)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001372013045 1136086286 /nfs/dbraw/zinc/08/62/86/1136086286.db2.gz YQQONXHSPSNMJM-LLVKDONJSA-N -1 1 330.348 -0.200 20 0 EBADMM COC(=O)[C@H]1[C@@H]2CN(C(=O)NCc3nc4c(c(=O)[n-]3)COCC4)C[C@@H]21 ZINC001372189317 1136131793 /nfs/dbraw/zinc/13/17/93/1136131793.db2.gz YJFRDGQDYJTODV-WOFXILAISA-N -1 1 348.359 -0.185 20 0 EBADMM CC(C)OCC(=O)N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001372251386 1136153957 /nfs/dbraw/zinc/15/39/57/1136153957.db2.gz YYOXJESGXZKAPA-JTQLQIEISA-N -1 1 348.363 -0.827 20 0 EBADMM Cn1nncc1C(=O)N[C@@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001372376350 1136184896 /nfs/dbraw/zinc/18/48/96/1136184896.db2.gz CCJOWURLOYBHPV-JTQLQIEISA-N -1 1 330.348 -0.146 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NC[C@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C1CC1 ZINC001372415306 1136196446 /nfs/dbraw/zinc/19/64/46/1136196446.db2.gz BMWLQHJNCNOUEV-PEXQALLHSA-N -1 1 344.375 -0.302 20 0 EBADMM O=C(CO[C@H]1CCOC1)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001372607378 1136244726 /nfs/dbraw/zinc/24/47/26/1136244726.db2.gz CTTGOOAMZUUONQ-TUAOUCFPSA-N -1 1 335.360 -0.030 20 0 EBADMM Cn1[n-]c(CN(CCNC(=O)c2cnc3n2CCC3)C2CC2)nc1=O ZINC001372809527 1136294374 /nfs/dbraw/zinc/29/43/74/1136294374.db2.gz YMRHHKSJGNTZNA-UHFFFAOYSA-N -1 1 345.407 -0.355 20 0 EBADMM C[C@@H]1COCC[C@@H]1C(=O)NCCN(Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001372856193 1136305988 /nfs/dbraw/zinc/30/59/88/1136305988.db2.gz BWCCKGYIKOUNTC-YPMHNXCESA-N -1 1 337.424 -0.138 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001373151360 1136382705 /nfs/dbraw/zinc/38/27/05/1136382705.db2.gz SQSUEUHTPSDTCG-CMPLNLGQSA-N -1 1 334.376 -0.110 20 0 EBADMM O=C(CCCn1c(=O)[n-][nH]c1=O)NC1CN(CC[C@H]2CCOC2)C1 ZINC001373440876 1136457539 /nfs/dbraw/zinc/45/75/39/1136457539.db2.gz WIJADZQMIGENQC-NSHDSACASA-N -1 1 339.396 -0.304 20 0 EBADMM CO[C@H](CC(C)C)C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001373605118 1136511608 /nfs/dbraw/zinc/51/16/08/1136511608.db2.gz SRMYBBBUXZMGTB-NWDGAFQWSA-N -1 1 325.413 -0.140 20 0 EBADMM Cc1ccn(C[C@H](C)C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001373627683 1136518824 /nfs/dbraw/zinc/51/88/24/1136518824.db2.gz LTCZVWDPBONLAA-WCQYABFASA-N -1 1 347.423 -0.360 20 0 EBADMM Cc1c(CCC(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001373630650 1136519918 /nfs/dbraw/zinc/51/99/18/1136519918.db2.gz OCAYKRMWGFNOCH-CYBMUJFWSA-N -1 1 347.423 -0.526 20 0 EBADMM CCN(C(=O)CCn1cncn1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001373706767 1136543766 /nfs/dbraw/zinc/54/37/66/1136543766.db2.gz WJSXNPNICZKIDZ-GFCCVEGCSA-N -1 1 348.411 -0.787 20 0 EBADMM CC[C@H](CNC(=O)[C@@H]1CCn2ccnc2C1)NCc1n[nH]c(=O)[n-]1 ZINC001373769829 1136556742 /nfs/dbraw/zinc/55/67/42/1136556742.db2.gz JQGUIMPSYCBNHG-GHMZBOCLSA-N -1 1 333.396 -0.046 20 0 EBADMM CN1C[C@H](C(=O)N[C@](C)(CNCc2n[nH]c(=O)[n-]2)C2CC2)CC1=O ZINC001373881898 1136579855 /nfs/dbraw/zinc/57/98/55/1136579855.db2.gz TXMWJHGGNUKZQE-RFAUZJTJSA-N -1 1 336.396 -0.637 20 0 EBADMM O=C(c1ncccc1[O-])N1CCN(C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001374065891 1136616540 /nfs/dbraw/zinc/61/65/40/1136616540.db2.gz MPTYYHZZKNCMPH-LBPRGKRZSA-N -1 1 339.417 -0.020 20 0 EBADMM O=C(c1ncccc1[O-])N1CCN(C[C@H](O)CN2CCCC2=O)CC1 ZINC001374285023 1136665863 /nfs/dbraw/zinc/66/58/63/1136665863.db2.gz ZGRFCHFVSKHVLR-ZDUSSCGKSA-N -1 1 348.403 -0.472 20 0 EBADMM CC(=O)N(C)CC(=O)N1CCC[C@@H](C)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001374399643 1136683604 /nfs/dbraw/zinc/68/36/04/1136683604.db2.gz UZYCXZPPUIYVPV-ZYHUDNBSSA-N -1 1 338.412 -0.295 20 0 EBADMM CC(C)[C@@H](CNC(=O)C[C@@H]1CCCC(=O)N1)NCc1n[nH]c(=O)[n-]1 ZINC001374429945 1136687155 /nfs/dbraw/zinc/68/71/55/1136687155.db2.gz ZFXXRVCKTLWCQG-WDEREUQCSA-N -1 1 338.412 -0.201 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCN(C)c1ccc(C)nn1 ZINC001374795620 1136834791 /nfs/dbraw/zinc/83/47/91/1136834791.db2.gz NIURRUOPKFGQAE-UHFFFAOYSA-N -1 1 334.336 -0.139 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1ccn(C2CCOCC2)n1 ZINC001374887520 1136864720 /nfs/dbraw/zinc/86/47/20/1136864720.db2.gz YGJQONGECSDIJM-JTQLQIEISA-N -1 1 349.395 -0.034 20 0 EBADMM CC(C)CC[C@@H](CO)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000625413722 376144172 /nfs/dbraw/zinc/14/41/72/376144172.db2.gz KWTGXJNUSWITKQ-JTQLQIEISA-N -1 1 333.410 -0.841 20 0 EBADMM Cc1nc(S(=O)(=O)[N-]C[C@@]2(O)CCS(=O)(=O)C2)sc1C ZINC000568262855 376151139 /nfs/dbraw/zinc/15/11/39/376151139.db2.gz ZNSHUYWXUCUDQT-JTQLQIEISA-N -1 1 340.448 -0.412 20 0 EBADMM O=C(CCNS(=O)(=O)c1ccc(F)c(F)c1)NCc1nn[n-]n1 ZINC000600506427 376427316 /nfs/dbraw/zinc/42/73/16/376427316.db2.gz XDDOXNZVUDNZAB-UHFFFAOYSA-N -1 1 346.319 -0.537 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@]1(O)CCO[C@@H]1C ZINC000278641522 376436777 /nfs/dbraw/zinc/43/67/77/376436777.db2.gz FXFZGRARNMENDI-PRHODGIISA-N -1 1 333.366 -0.595 20 0 EBADMM CC(=O)N1CCN(C(=O)Cc2c(C)nc3cc(=O)[n-]n3c2C)CC1 ZINC000104010497 376556149 /nfs/dbraw/zinc/55/61/49/376556149.db2.gz SMRVXPPNZCOVKY-UHFFFAOYSA-N -1 1 331.376 -0.127 20 0 EBADMM O=C([C@@H]1CC(=O)N(C2CCCC2)C1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370863662 376591307 /nfs/dbraw/zinc/59/13/07/376591307.db2.gz XKERDNKAHOCKBS-PWSUYJOCSA-N -1 1 334.380 -0.109 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C1=NN(C)C(=O)CC1 ZINC000114155486 376666509 /nfs/dbraw/zinc/66/65/09/376666509.db2.gz NDTXCUKCONCNOT-UHFFFAOYSA-N -1 1 330.348 -0.136 20 0 EBADMM NC(=O)NCC(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-] ZINC000561566439 376854782 /nfs/dbraw/zinc/85/47/82/376854782.db2.gz YOVWKQDXPJHXJN-UHFFFAOYSA-N -1 1 328.350 -0.560 20 0 EBADMM CS(=O)(=O)[N-]c1ccc(S(=O)(=O)N2CC[C@H](O)C2)c(F)c1 ZINC000408431743 376912819 /nfs/dbraw/zinc/91/28/19/376912819.db2.gz BJNRUNSLSPUCGE-VIFPVBQESA-N -1 1 338.382 -0.048 20 0 EBADMM Cc1cc(C(=O)N2C[C@H](O)[C@@H]([NH+](C)C)C2)[n-]c2nc(=O)[n-]c(=O)c1-2 ZINC000570056105 377202448 /nfs/dbraw/zinc/20/24/48/377202448.db2.gz ODDKLRPRPCGHSG-UWVGGRQHSA-N -1 1 333.348 -0.509 20 0 EBADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)N=c3nc[nH]n3C)CC2)n1 ZINC000618383004 377468462 /nfs/dbraw/zinc/46/84/62/377468462.db2.gz ABLBCHZZQPUNTF-UHFFFAOYSA-N -1 1 348.367 -0.057 20 0 EBADMM COC(=O)[C@](C)(CCF)[N-]S(=O)(=O)C[C@H]1CN(C)CCO1 ZINC000601466605 377591159 /nfs/dbraw/zinc/59/11/59/377591159.db2.gz JZOVRZITQZQINU-PWSUYJOCSA-N -1 1 326.390 -0.472 20 0 EBADMM COC(=O)C[C@@H]([N-]S(=O)(=O)CCC[C@@H]1CCOC1)C(=O)OC ZINC000592011894 377783195 /nfs/dbraw/zinc/78/31/95/377783195.db2.gz GUHHVJGNYBBUCL-GHMZBOCLSA-N -1 1 337.394 -0.173 20 0 EBADMM COC(=O)[C@@H]([N-]S(=O)(=O)[C@@H](C)C(=O)N(C)C)c1ccnn1C ZINC000592096255 377791166 /nfs/dbraw/zinc/79/11/66/377791166.db2.gz JPNHUGMBKDHFNE-WPRPVWTQSA-N -1 1 332.382 -0.970 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)c1cn(C)nc1C)c1ccnn1C ZINC000592098367 377793111 /nfs/dbraw/zinc/79/31/11/377793111.db2.gz TUORRDRCMLRRGG-LLVKDONJSA-N -1 1 327.366 -0.345 20 0 EBADMM COC(=O)[C@@H]([N-]S(=O)(=O)CC(C)(C)C(=O)OC)c1ccnn1C ZINC000592094200 377796893 /nfs/dbraw/zinc/79/68/93/377796893.db2.gz BWMGRQXYFCQHOB-JTQLQIEISA-N -1 1 347.393 -0.247 20 0 EBADMM COC(=O)[C@@H]([N-]S(=O)(=O)CC1CCOCC1)c1ccnn1C ZINC000592095366 377798334 /nfs/dbraw/zinc/79/83/34/377798334.db2.gz ZSEYUXONPLKPLF-LBPRGKRZSA-N -1 1 331.394 -0.020 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@H](C(=O)OC)C[C@H](O)C2)co1 ZINC000592938413 377860629 /nfs/dbraw/zinc/86/06/29/377860629.db2.gz PMNSSKYSBMUEFC-SCZZXKLOSA-N -1 1 346.361 -0.816 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-]C[C@H]2CC[C@H](C(N)=O)O2)cc1C ZINC000595320275 377996663 /nfs/dbraw/zinc/99/66/63/377996663.db2.gz SCEPPWWLEYOUMA-RKDXNWHRSA-N -1 1 346.361 -0.314 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-]C2(C(N)=O)CCOCC2)cc1C ZINC000595322091 377996820 /nfs/dbraw/zinc/99/68/20/377996820.db2.gz IWJBERUJJFEMFK-UHFFFAOYSA-N -1 1 346.361 -0.313 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-]CCN2C(=O)CNC2=O)cc1C ZINC000595315234 377997347 /nfs/dbraw/zinc/99/73/47/377997347.db2.gz YRUBDCBBECRXFU-UHFFFAOYSA-N -1 1 345.333 -0.795 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1C(=O)NCC1(C)C ZINC000595349715 378001320 /nfs/dbraw/zinc/00/13/20/378001320.db2.gz DHSRQWQFUBMZNX-QMMMGPOBSA-N -1 1 330.366 -0.611 20 0 EBADMM COC[C@]1(C(=O)OC)CCCN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC000597968719 378145751 /nfs/dbraw/zinc/14/57/51/378145751.db2.gz JMQMPGILQNLYIE-AWEZNQCLSA-N -1 1 341.320 -0.309 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)[N-]CCCN2CCC(O)CC2)on1 ZINC000599240253 378206765 /nfs/dbraw/zinc/20/67/65/378206765.db2.gz WNMDFGLDZCSYNX-UHFFFAOYSA-N -1 1 347.393 -0.414 20 0 EBADMM O=C(CCNS(=O)(=O)c1ccccc1Cl)NCc1nn[n-]n1 ZINC000599335046 378231995 /nfs/dbraw/zinc/23/19/95/378231995.db2.gz ZZZSXJPFTJYBHP-UHFFFAOYSA-N -1 1 344.784 -0.162 20 0 EBADMM Cc1ccc(S(=O)(=O)NC2CC2)cc1C(=O)NCc1nn[n-]n1 ZINC000599334298 378232168 /nfs/dbraw/zinc/23/21/68/378232168.db2.gz KNCOIELKGNLSJQ-UHFFFAOYSA-N -1 1 336.377 -0.121 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)[C@@H]1CCOC[C@@H]1C)c1ccnn1C ZINC000603366138 378400862 /nfs/dbraw/zinc/40/08/62/378400862.db2.gz KTEVNSHBJBERAA-MVWJERBFSA-N -1 1 331.394 -0.021 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N(C)CCN2CCCC2=O)o1 ZINC000602834902 378372052 /nfs/dbraw/zinc/37/20/52/378372052.db2.gz NVOYGVJRTQULBO-UHFFFAOYSA-N -1 1 329.378 -0.118 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)N1C[C@H]2CCCN2c2ccccc21 ZINC000606114707 378530443 /nfs/dbraw/zinc/53/04/43/378530443.db2.gz BWXCZQLFCUTGCU-SNVBAGLBSA-N -1 1 327.348 -0.169 20 0 EBADMM CCSCCCNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000337655093 378675279 /nfs/dbraw/zinc/67/52/79/378675279.db2.gz NDQBUDKDUJGVDF-UHFFFAOYSA-N -1 1 349.434 -0.084 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@H]1CC(=O)N2CCCC[C@H]12 ZINC000338193929 378744163 /nfs/dbraw/zinc/74/41/63/378744163.db2.gz KYNSHDPQLVWTOS-WDEREUQCSA-N -1 1 332.360 -0.213 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2C[C@H](C(N)=O)CC[C@@H]2C)co1 ZINC000339201353 378860982 /nfs/dbraw/zinc/86/09/82/378860982.db2.gz GBWJLJKNUYFYOV-DTWKUNHWSA-N -1 1 329.378 -0.086 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]CCN2CCC(O)CC2)c1 ZINC000563434586 379019155 /nfs/dbraw/zinc/01/91/55/379019155.db2.gz KZOLHDSKOUJRSV-UHFFFAOYSA-N -1 1 332.378 -0.199 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2C[C@H]2c2ccccc2)c(=O)n(C)c1=O ZINC000063186981 379034185 /nfs/dbraw/zinc/03/41/85/379034185.db2.gz GYRDXYFTWQBBPE-RYUDHWBXSA-N -1 1 335.385 -0.082 20 0 EBADMM Cc1csc(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)c1 ZINC000451806127 379069154 /nfs/dbraw/zinc/06/91/54/379069154.db2.gz WJOUXVHHFFJXOG-UHFFFAOYSA-N -1 1 329.403 -0.068 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCC[C@H]2CCOC2)c(=O)n(C)c1=O ZINC000452020824 379079463 /nfs/dbraw/zinc/07/94/63/379079463.db2.gz RTVYVUBIHIILOL-JTQLQIEISA-N -1 1 331.394 -0.821 20 0 EBADMM CCOC(=O)CN(CCOC)C(=O)CNC(=O)c1ncccc1[O-] ZINC000339969749 379112865 /nfs/dbraw/zinc/11/28/65/379112865.db2.gz JGPUVMSZEBNGFP-UHFFFAOYSA-N -1 1 339.348 -0.445 20 0 EBADMM O=C(NCc1nn[n-]n1)c1ccc(S(=O)(=O)N2CCCC2)cc1 ZINC000074803863 379285239 /nfs/dbraw/zinc/28/52/39/379285239.db2.gz IPHMIVLWXSGKFC-UHFFFAOYSA-N -1 1 336.377 -0.086 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@@]2(C3CCCC3)CCNC2=O)n1 ZINC000629953939 379249555 /nfs/dbraw/zinc/24/95/55/379249555.db2.gz WTTFMZBIRHZBSK-CYBMUJFWSA-N -1 1 341.393 -0.157 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)[C@@]2(C3CCCC3)CCNC2=O)[n-]1 ZINC000629953939 379249565 /nfs/dbraw/zinc/24/95/65/379249565.db2.gz WTTFMZBIRHZBSK-CYBMUJFWSA-N -1 1 341.393 -0.157 20 0 EBADMM CNS(=O)(=O)c1ccc(C(=O)NC(C)(C)c2nn[n-]n2)cn1 ZINC000353360289 379253107 /nfs/dbraw/zinc/25/31/07/379253107.db2.gz YGMPRPOUUYCXJO-UHFFFAOYSA-N -1 1 325.354 -0.832 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2CCOC3(CCC3)C2)c(=O)n(C)c1=O ZINC000268988539 379339265 /nfs/dbraw/zinc/33/92/65/379339265.db2.gz RLDJONAXJHCIQY-JTQLQIEISA-N -1 1 343.405 -0.536 20 0 EBADMM CCNC(=O)N1CC[C@@H](NC(=O)c2ccc(S(=O)(=O)[N-]C)o2)C1 ZINC000268468802 379305470 /nfs/dbraw/zinc/30/54/70/379305470.db2.gz MGVUTQBASQDYEN-SECBINFHSA-N -1 1 344.393 -0.279 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@@H]2CC(=O)N[C@@H]3CCCC[C@H]32)n1 ZINC000621792363 379351152 /nfs/dbraw/zinc/35/11/52/379351152.db2.gz BZLKZAYJGJEHRT-DJLDLDEBSA-N -1 1 341.393 -0.158 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)[C@@H]2CC(=O)N[C@@H]3CCCC[C@H]32)[n-]1 ZINC000621792363 379351160 /nfs/dbraw/zinc/35/11/60/379351160.db2.gz BZLKZAYJGJEHRT-DJLDLDEBSA-N -1 1 341.393 -0.158 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H]2CN(C)CCN2C)c1 ZINC000270067035 379431576 /nfs/dbraw/zinc/43/15/76/379431576.db2.gz YIEOFFJDTAHDSA-LLVKDONJSA-N -1 1 331.394 -0.410 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC2(C(N)=O)CCCC2)co1 ZINC000270085070 379434394 /nfs/dbraw/zinc/43/43/94/379434394.db2.gz VZFQLQZQUSMSIJ-UHFFFAOYSA-N -1 1 329.378 -0.037 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@@H]1[N-]S(=O)(=O)[C@H]1C[C@@H]2CC[C@H]1O2 ZINC000641605342 379449906 /nfs/dbraw/zinc/44/99/06/379449906.db2.gz ADCSVSWCKFJDFX-DAWVFNFOSA-N -1 1 325.408 -0.962 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCCC[C@H]1C(N)=O ZINC000269773298 379405589 /nfs/dbraw/zinc/40/55/89/379405589.db2.gz GOGRKTVPPSRPPS-SCZZXKLOSA-N -1 1 344.393 -0.091 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@H](C)N2CCOCC2)co1 ZINC000270034569 379427078 /nfs/dbraw/zinc/42/70/78/379427078.db2.gz MKTRGUPVLINDPD-JTQLQIEISA-N -1 1 331.394 -0.362 20 0 EBADMM C[C@H](NC(=O)c1cc(F)ccc1[O-])C(=O)N1CCS(=O)(=O)CC1 ZINC000270747210 379472490 /nfs/dbraw/zinc/47/24/90/379472490.db2.gz ZEKQDPVXZFJOQB-VIFPVBQESA-N -1 1 344.364 -0.093 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CC[C@@H](F)C2)o1 ZINC000488883518 379527864 /nfs/dbraw/zinc/52/78/64/379527864.db2.gz WPILPYBIYKJMJL-SFYZADRCSA-N -1 1 333.341 -0.336 20 0 EBADMM O=C(c1ccc2c(c1)OCO2)N1CCN(Cc2nc(=O)[n-][nH]2)CC1 ZINC000271216012 379507628 /nfs/dbraw/zinc/50/76/28/379507628.db2.gz XMOOFICBHKTURR-UHFFFAOYSA-N -1 1 331.332 -0.215 20 0 EBADMM C[C@@H]1C[C@H](C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCO1 ZINC000271310002 379516214 /nfs/dbraw/zinc/51/62/14/379516214.db2.gz YMIAPOQEFLHMIW-NXEZZACHSA-N -1 1 331.394 -0.823 20 0 EBADMM NC(=O)CO[N-]C(=O)[C@H]1CC(=O)N(c2ccc3c(c2)OCCO3)C1 ZINC000271420574 379524043 /nfs/dbraw/zinc/52/40/43/379524043.db2.gz PWTHZGNXWYLDFB-VIFPVBQESA-N -1 1 335.316 -0.656 20 0 EBADMM CN(CC(=O)Nc1n[n-]c(C(F)(F)F)n1)[C@H]1CCS(=O)(=O)C1 ZINC000642082695 379562971 /nfs/dbraw/zinc/56/29/71/379562971.db2.gz AYEWLOFBMWWIMB-LURJTMIESA-N -1 1 341.315 -0.119 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N[C@@H](CO)c1cnn(C)c1 ZINC000272319426 379572890 /nfs/dbraw/zinc/57/28/90/379572890.db2.gz JMUXUHSGUMADJS-ZDUSSCGKSA-N -1 1 344.375 -0.235 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC[C@@H]2CCCCO2)c(=O)n(C)c1=O ZINC000272352826 379574657 /nfs/dbraw/zinc/57/46/57/379574657.db2.gz AICFFHOEMWPILQ-JTQLQIEISA-N -1 1 331.394 -0.679 20 0 EBADMM CCOC(=O)N1CCN(S(=O)(=O)[N-]c2cn(C)nc2OC)CC1 ZINC000492750407 379649766 /nfs/dbraw/zinc/64/97/66/379649766.db2.gz UKVXRAQUHGCZTR-UHFFFAOYSA-N -1 1 347.397 -0.141 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCC[C@@H]2CCCCO2)c(=O)n(C)c1=O ZINC000273863124 379664295 /nfs/dbraw/zinc/66/42/95/379664295.db2.gz UZBJSRHIKUNMJD-NSHDSACASA-N -1 1 345.421 -0.288 20 0 EBADMM COC(=O)[C@H](CO)[N-]S(=O)(=O)CCc1ccc2c(c1)CCO2 ZINC000275926626 379793364 /nfs/dbraw/zinc/79/33/64/379793364.db2.gz NIZKGMNSUZQGOS-LBPRGKRZSA-N -1 1 329.374 -0.383 20 0 EBADMM CCC(O)(CC)CC[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000276232187 379820730 /nfs/dbraw/zinc/82/07/30/379820730.db2.gz NVDCMHVZYWZWBU-UHFFFAOYSA-N -1 1 333.410 -0.697 20 0 EBADMM CCS(=O)(=O)N[C@H](C)C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000614389300 379924853 /nfs/dbraw/zinc/92/48/53/379924853.db2.gz KYJNSFFQSCCMIH-RKDXNWHRSA-N -1 1 332.382 -0.191 20 0 EBADMM CN1NN=C2C1=NCC[C@H]2C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614390079 379925740 /nfs/dbraw/zinc/92/57/40/379925740.db2.gz QXFPUCPPESZJFB-DTWKUNHWSA-N -1 1 333.352 -0.294 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)N2CC(N3CC[C@@H](O)C3)C2)c1 ZINC000632512442 379874248 /nfs/dbraw/zinc/87/42/48/379874248.db2.gz PKJIHTKGDIHNNZ-LLVKDONJSA-N -1 1 328.390 -0.160 20 0 EBADMM Cc1cc(S(=O)(=O)[N-]C2CN(S(=O)(=O)N(C)C)C2)sn1 ZINC000632602410 379888496 /nfs/dbraw/zinc/88/84/96/379888496.db2.gz JJQDZIMBNSSBSA-UHFFFAOYSA-N -1 1 340.452 -0.780 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2C[C@H]2C(F)(F)F)c(=O)n(C)c1=O ZINC000632711396 379900115 /nfs/dbraw/zinc/90/01/15/379900115.db2.gz HGPGMZAABLRGSW-PHDIDXHHSA-N -1 1 327.284 -0.687 20 0 EBADMM C[C@H](O)[C@H](NC(=O)C1CC1)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614472988 379933450 /nfs/dbraw/zinc/93/34/50/379933450.db2.gz GEOZFSALFKOKAO-KWQFWETISA-N -1 1 338.364 -0.243 20 0 EBADMM O=C(CN1CCN(C(=O)C(=O)c2ccc([O-])cc2)CC1)NC1CC1 ZINC000280845365 379996126 /nfs/dbraw/zinc/99/61/26/379996126.db2.gz WAHKEXCJZUFUNN-UHFFFAOYSA-N -1 1 331.372 -0.002 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@H](CO)[C@@H]1CCOC1 ZINC000281014252 380004026 /nfs/dbraw/zinc/00/40/26/380004026.db2.gz PBRJVPZOKIBPCH-NXEZZACHSA-N -1 1 347.393 -0.490 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC(=O)N(CCOC)C2)o1 ZINC000282560697 380083413 /nfs/dbraw/zinc/08/34/13/380083413.db2.gz YJGACSFPGCVBAR-UHFFFAOYSA-N -1 1 331.350 -0.924 20 0 EBADMM COc1cncc(S(=O)(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])c1 ZINC000281803025 380041856 /nfs/dbraw/zinc/04/18/56/380041856.db2.gz ISJDBKWARFOUJA-NSHDSACASA-N -1 1 326.334 -0.213 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NCc2ccco2)o1 ZINC000355384942 380192859 /nfs/dbraw/zinc/19/28/59/380192859.db2.gz NEZPFEXHKIXULR-UHFFFAOYSA-N -1 1 327.318 -0.434 20 0 EBADMM CN1C(=O)CO[C@H](C(=O)NC2(c3nn[n-]n3)CC2)[C@@H]1c1ccccc1 ZINC000356056423 380201456 /nfs/dbraw/zinc/20/14/56/380201456.db2.gz QQVXKRHLUVIEJK-STQMWFEESA-N -1 1 342.359 -0.097 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@]2(CC(=O)OC)CCOC2)co1 ZINC000362036939 380366835 /nfs/dbraw/zinc/36/68/35/380366835.db2.gz LIVIMDCHEMRRIS-CYBMUJFWSA-N -1 1 346.361 -0.360 20 0 EBADMM COC[C@](C)([N-]S(=O)(=O)CCCN1CCOCC1)C(=O)OC ZINC000361816580 380341280 /nfs/dbraw/zinc/34/12/80/380341280.db2.gz VCEWVAKJLMFZII-ZDUSSCGKSA-N -1 1 338.426 -0.794 20 0 EBADMM CC(C)OC(=O)[C@H](C)CNC(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287526053 380359005 /nfs/dbraw/zinc/35/90/05/380359005.db2.gz HWWAYXCCGYGMLQ-TYYASITHSA-N -1 1 347.375 -0.016 20 0 EBADMM COC(=O)[C@H](CF)[N-]S(=O)(=O)c1cnc(NC(C)=O)s1 ZINC000287519333 380359642 /nfs/dbraw/zinc/35/96/42/380359642.db2.gz SZXSSACMAYVIDJ-LURJTMIESA-N -1 1 325.343 -0.109 20 0 EBADMM CN(C)S(=O)(=O)NCC[N-]S(=O)(=O)c1cccc(F)c1F ZINC000288908442 380425000 /nfs/dbraw/zinc/42/50/00/380425000.db2.gz KIGPBNKPSGSHQU-UHFFFAOYSA-N -1 1 343.377 -0.361 20 0 EBADMM CO[C@@H]1COC[C@@H]1n1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)nn1 ZINC000362815463 380434454 /nfs/dbraw/zinc/43/44/54/380434454.db2.gz VLBXVPRPRMVUNK-WDEREUQCSA-N -1 1 348.367 -0.423 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]C1CCC2(CC1)NC(=O)NC2=O ZINC000289668563 380444772 /nfs/dbraw/zinc/44/47/72/380444772.db2.gz CBMYTXVTMVGHJT-UHFFFAOYSA-N -1 1 343.365 -0.636 20 0 EBADMM Cc1ccn(C[C@@](C)(O)C(=O)N2CCC[C@H](c3nn[n-]n3)C2)c(=O)c1 ZINC000566771874 380489691 /nfs/dbraw/zinc/48/96/91/380489691.db2.gz IZRDGIKQRWLCOX-BLLLJJGKSA-N -1 1 346.391 -0.173 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CC[C@@H]2C(N)=O)c1 ZINC000568210101 380582765 /nfs/dbraw/zinc/58/27/65/380582765.db2.gz OQECAHNLLKYSOK-DTWKUNHWSA-N -1 1 327.362 -0.250 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2C[NH2+]CCN2C)[n-]c2nc(=O)[n-]c(=O)c1-2 ZINC000567982914 380562960 /nfs/dbraw/zinc/56/29/60/380562960.db2.gz ZKQSWOMGILKINU-VIFPVBQESA-N -1 1 332.364 -0.622 20 0 EBADMM CC(C)(C(=O)[O-])N1CCN(c2ncc(S(N)(=O)=O)s2)CC1 ZINC000567984585 380563001 /nfs/dbraw/zinc/56/30/01/380563001.db2.gz TUJRZVMIGNNUMN-UHFFFAOYSA-N -1 1 334.423 -0.224 20 0 EBADMM CO[C@H]1CCC[C@H]1C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000341196731 380681863 /nfs/dbraw/zinc/68/18/63/380681863.db2.gz QVEWRFYXIAUJSM-UWVGGRQHSA-N -1 1 331.394 -0.823 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCOC[C@@H]1[C@@H]1CCCO1 ZINC000341875720 380741694 /nfs/dbraw/zinc/74/16/94/380741694.db2.gz CUABQOZMEXELDM-YPMHNXCESA-N -1 1 335.360 -0.077 20 0 EBADMM CC[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)[C@@H](O)C(C)C ZINC000416620698 380789650 /nfs/dbraw/zinc/78/96/50/380789650.db2.gz LDDIKSWYBOMSIL-ONGXEEELSA-N -1 1 333.410 -0.842 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]Cc2nnnn2C2CC2)o1 ZINC000610269140 381077167 /nfs/dbraw/zinc/07/71/67/381077167.db2.gz NXIBBFIRUULGSS-UHFFFAOYSA-N -1 1 327.322 -0.134 20 0 EBADMM CCC[C@](C)(O)CNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000347719826 381253792 /nfs/dbraw/zinc/25/37/92/381253792.db2.gz IBVLKOLMECIFHZ-ZDUSSCGKSA-N -1 1 347.393 -0.676 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@H](C)S(C)(=O)=O)c1 ZINC000611083971 381300219 /nfs/dbraw/zinc/30/02/19/381300219.db2.gz WKTRKSLLOWBFFW-ZETCQYMHSA-N -1 1 325.364 -0.222 20 0 EBADMM COC(=O)[C@H](NC(=O)CNC(=O)c1ncccc1[O-])c1ccn(C)n1 ZINC000348008796 381310007 /nfs/dbraw/zinc/31/00/07/381310007.db2.gz ITZICEDKBHWJSX-GFCCVEGCSA-N -1 1 347.331 -0.719 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]CCCn2cc(CO)nn2)c1 ZINC000349810687 381485337 /nfs/dbraw/zinc/48/53/37/381485337.db2.gz MJAQEYDUUFNBSP-UHFFFAOYSA-N -1 1 344.349 -0.481 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)NC[C@@H](C)S(N)(=O)=O ZINC000350620497 381529908 /nfs/dbraw/zinc/52/99/08/381529908.db2.gz NWSCXRMUIRIUOM-SSDOTTSWSA-N -1 1 341.393 -0.613 20 0 EBADMM COC(=O)[C@@H](O)C[N-]S(=O)(=O)c1c(F)cc(OC)cc1F ZINC000611511980 381670606 /nfs/dbraw/zinc/67/06/06/381670606.db2.gz SOWIEYWYFRWPKU-VIFPVBQESA-N -1 1 325.289 -0.214 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C2CC(C(F)(F)F)C2)c(=O)n(C)c1=O ZINC000332424952 381793564 /nfs/dbraw/zinc/79/35/64/381793564.db2.gz HCILNSYVLWNEJL-UHFFFAOYSA-N -1 1 341.311 -0.297 20 0 EBADMM CCC[C@H](NC(=O)[C@@H]1CCCN(S(N)(=O)=O)C1)c1nn[n-]n1 ZINC000612059691 381776937 /nfs/dbraw/zinc/77/69/37/381776937.db2.gz DWWMSQCESLBLGM-BDAKNGLRSA-N -1 1 331.402 -0.927 20 0 EBADMM CS(=O)(=O)N[C@@H]1CCCC[C@@H]1NCc1cc(=O)n2[n-]ccc2n1 ZINC000352412171 381979703 /nfs/dbraw/zinc/97/97/03/381979703.db2.gz CQCYXMVQPHISBA-NWDGAFQWSA-N -1 1 339.421 -0.027 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N(C2CC2)C2CC2)o1 ZINC000628816749 382044221 /nfs/dbraw/zinc/04/42/21/382044221.db2.gz IQJCYCASIZUSDB-UHFFFAOYSA-N -1 1 327.362 -0.190 20 0 EBADMM NC(=O)NC(=O)CC[N-]S(=O)(=O)c1ccc(Br)o1 ZINC000352863253 382050730 /nfs/dbraw/zinc/05/07/30/382050730.db2.gz TWKHLNKJEPTKIP-UHFFFAOYSA-N -1 1 340.155 -0.095 20 0 EBADMM COC(=O)[C@H](CO)NC(=O)c1ccc(S(=O)(=O)[N-]C(C)(C)C)o1 ZINC000352696450 382019667 /nfs/dbraw/zinc/01/96/67/382019667.db2.gz MMBJFQUPNIUXFA-QMMMGPOBSA-N -1 1 348.377 -0.380 20 0 EBADMM CS(=O)(=O)c1nc(NC(=O)c2ccc(-n3cnnn3)cc2)n[n-]1 ZINC000352747764 382028330 /nfs/dbraw/zinc/02/83/30/382028330.db2.gz HVDMHMCFIIKIQN-UHFFFAOYSA-N -1 1 334.321 -0.564 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2ccc(-n3cnnn3)cc2)n1 ZINC000352747764 382028338 /nfs/dbraw/zinc/02/83/38/382028338.db2.gz HVDMHMCFIIKIQN-UHFFFAOYSA-N -1 1 334.321 -0.564 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)CNC(=O)c2ccc(F)cc2)n1 ZINC000352747525 382028518 /nfs/dbraw/zinc/02/85/18/382028518.db2.gz FTWQFWZQGZHARV-UHFFFAOYSA-N -1 1 341.324 -0.284 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)CNC(=O)c2ccc(F)cc2)[n-]1 ZINC000352747525 382028529 /nfs/dbraw/zinc/02/85/29/382028529.db2.gz FTWQFWZQGZHARV-UHFFFAOYSA-N -1 1 341.324 -0.284 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1CC(=O)N(c2cnn(C)c2)C1)c1nn[n-]n1 ZINC000626443489 382120412 /nfs/dbraw/zinc/12/04/12/382120412.db2.gz HFECALNOXQBXAB-NXEZZACHSA-N -1 1 332.368 -0.452 20 0 EBADMM C[C@H](C(N)=O)S(=O)(=O)c1nc(-c2ccc3c(c2)OCCO3)n[n-]1 ZINC000447497576 382197920 /nfs/dbraw/zinc/19/79/20/382197920.db2.gz JYDUMPJZOBOELB-SSDOTTSWSA-N -1 1 338.345 -0.110 20 0 EBADMM C[C@H](C(N)=O)S(=O)(=O)c1n[n-]c(-c2ccc3c(c2)OCCO3)n1 ZINC000447497576 382197922 /nfs/dbraw/zinc/19/79/22/382197922.db2.gz JYDUMPJZOBOELB-SSDOTTSWSA-N -1 1 338.345 -0.110 20 0 EBADMM CNC(=O)CS(=O)(=O)c1nc(-c2ccc3c(c2)OCCO3)n[n-]1 ZINC000447480667 382198696 /nfs/dbraw/zinc/19/86/96/382198696.db2.gz ICUUUDQHKPCNIK-UHFFFAOYSA-N -1 1 338.345 -0.237 20 0 EBADMM CNC(=O)CS(=O)(=O)c1n[n-]c(-c2ccc3c(c2)OCCO3)n1 ZINC000447480667 382198701 /nfs/dbraw/zinc/19/87/01/382198701.db2.gz ICUUUDQHKPCNIK-UHFFFAOYSA-N -1 1 338.345 -0.237 20 0 EBADMM C[C@H]1CCCCN1C(=O)CN1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000329260073 155215201 /nfs/dbraw/zinc/21/52/01/155215201.db2.gz CSLFILIKPSJYQD-ZDUSSCGKSA-N -1 1 336.440 -0.373 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H]2CCCS(=O)(=O)C2)c1 ZINC000330446079 155270306 /nfs/dbraw/zinc/27/03/06/155270306.db2.gz VNWGTQVZQNNESM-VIFPVBQESA-N -1 1 337.375 -0.078 20 0 EBADMM Cc1nc(S(=O)(=O)[N-]CCCN2CCNC(=O)C2)sc1C ZINC000330730147 155306190 /nfs/dbraw/zinc/30/61/90/155306190.db2.gz PDYXELZUNYVGGR-UHFFFAOYSA-N -1 1 332.451 -0.140 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@H](OCCO)C2)o1 ZINC000331221594 155351025 /nfs/dbraw/zinc/35/10/25/155351025.db2.gz CBSHORYLBZRIBE-JTQLQIEISA-N -1 1 332.378 -0.199 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N(C[C@@H](C)O)[C@H](C)CO ZINC000189310940 154152398 /nfs/dbraw/zinc/15/23/98/154152398.db2.gz GMIIKIBEGZSDSW-NXEZZACHSA-N -1 1 336.392 -0.228 20 0 EBADMM O=C(CN1CCN(C(=O)c2ncccc2[O-])CC1)N1CCOCC1 ZINC000263660098 154258656 /nfs/dbraw/zinc/25/86/56/154258656.db2.gz IJVIUSBTHXFIDY-UHFFFAOYSA-N -1 1 334.376 -0.596 20 0 EBADMM COC(=O)C[C@@H]([N-]S(=O)(=O)c1ccc(C(=O)OC)o1)C(=O)OC ZINC000264616066 154286748 /nfs/dbraw/zinc/28/67/48/154286748.db2.gz QQGXPUFLFRVUOU-SSDOTTSWSA-N -1 1 349.317 -0.551 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CCN(C(C)C)C2=O)o1 ZINC000265243039 154310865 /nfs/dbraw/zinc/31/08/65/154310865.db2.gz IYGROIJYDWDAQC-VIFPVBQESA-N -1 1 329.378 -0.073 20 0 EBADMM CCO[N-]C(=O)[C@H]1CC(=O)N(c2cccc(S(N)(=O)=O)c2)C1 ZINC000265386107 154313862 /nfs/dbraw/zinc/31/38/62/154313862.db2.gz ALDNWHIBJZKNBK-VIFPVBQESA-N -1 1 327.362 -0.245 20 0 EBADMM COC[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)c1ccco1 ZINC000267056857 154363870 /nfs/dbraw/zinc/36/38/70/154363870.db2.gz OQRXBIWRPYXRGS-VIFPVBQESA-N -1 1 343.361 -0.657 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)CN2CCN3C(=O)NC[C@@H]3C2)cc1 ZINC000267839344 154392454 /nfs/dbraw/zinc/39/24/54/154392454.db2.gz TXNXYOJNQBHNRC-GFCCVEGCSA-N -1 1 332.360 -0.339 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCO[C@@]3(CCOC3)C2)o1 ZINC000274404538 154610485 /nfs/dbraw/zinc/61/04/85/154610485.db2.gz FLIYMJBXOHYIGU-ZDUSSCGKSA-N -1 1 330.362 -0.181 20 0 EBADMM Cn1[n-]cc2/c(=N\C(=O)C(=O)N[C@H](CO)C3CCCC3)ccnc1-2 ZINC000290345602 155002626 /nfs/dbraw/zinc/00/26/26/155002626.db2.gz IUXYBLJEMHXEAI-CQLSMYGBSA-N -1 1 331.376 -0.052 20 0 EBADMM COC(=O)[C@H](F)C(NC1CCCC1)=C1C(=O)[N-]C(=S)NC1=O ZINC000292412254 155032575 /nfs/dbraw/zinc/03/25/75/155032575.db2.gz DSDGJFKKATXXBK-MRVPVSSYSA-N -1 1 329.353 -0.185 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@H]2CCS(=O)(=O)[C@H]2C)o1 ZINC000293048839 155040507 /nfs/dbraw/zinc/04/05/07/155040507.db2.gz RVGMDFWWPYHFIJ-YUMQZZPRSA-N -1 1 337.375 -0.080 20 0 EBADMM CC(=O)OCCCS(=O)(=O)N1CCC[C@H](c2nc(=O)[n-][nH]2)C1 ZINC000293165816 155042433 /nfs/dbraw/zinc/04/24/33/155042433.db2.gz RSSKKULWEAXJEO-JTQLQIEISA-N -1 1 332.382 -0.440 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@]2(O)CCOC2)c(C(F)(F)F)n1 ZINC000293580226 155048377 /nfs/dbraw/zinc/04/83/77/155048377.db2.gz TVPQCFPSAWTPMO-VIFPVBQESA-N -1 1 329.300 -0.131 20 0 EBADMM O=C(NCCCN1C(=O)CNC1=O)c1nc2ccccc2c(=O)[n-]1 ZINC000342110318 156009815 /nfs/dbraw/zinc/00/98/15/156009815.db2.gz WONBTERMVXCMKL-UHFFFAOYSA-N -1 1 329.316 -0.405 20 0 EBADMM CC(=O)N1CCC(CNC(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC000343685253 156156900 /nfs/dbraw/zinc/15/69/00/156156900.db2.gz XHFCSSHZVKFULC-UHFFFAOYSA-N -1 1 334.376 -0.108 20 0 EBADMM C[C@@H]1[C@H](C)N(C(=O)CNC(=O)c2ncccc2[O-])CCS1(=O)=O ZINC000343704321 156158911 /nfs/dbraw/zinc/15/89/11/156158911.db2.gz GWVJRAMGIDPOGI-VHSXEESVSA-N -1 1 341.389 -0.449 20 0 EBADMM Cn1cc([C@H]2CN(C(=O)CNC(=O)c3ncccc3[O-])CCO2)cn1 ZINC000343789544 156167869 /nfs/dbraw/zinc/16/78/69/156167869.db2.gz YYFYHVUFBOLNPH-CYBMUJFWSA-N -1 1 345.359 -0.149 20 0 EBADMM CN(C)S(=O)(=O)N1CCC[C@@H](Nc2nc3[nH][n-]cc-3c(=O)n2)C1 ZINC000343806599 156171413 /nfs/dbraw/zinc/17/14/13/156171413.db2.gz MUKGTXMQTZUBEV-MRVPVSSYSA-N -1 1 341.397 -0.885 20 0 EBADMM CCNC(=O)N1CC[C@@H](NC(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000343877844 156175102 /nfs/dbraw/zinc/17/51/02/156175102.db2.gz WYPBVZSUSXPJOK-SNVBAGLBSA-N -1 1 335.364 -0.563 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NC[C@@H]1CCCS1(=O)=O ZINC000344409507 156224514 /nfs/dbraw/zinc/22/45/14/156224514.db2.gz YEOJTNURCLAFMF-VIFPVBQESA-N -1 1 327.362 -0.790 20 0 EBADMM CC[C@H]1CN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)CCO1 ZINC000344803105 156265655 /nfs/dbraw/zinc/26/56/55/156265655.db2.gz AKUKEZRXEYCDPM-VIFPVBQESA-N -1 1 345.377 -0.706 20 0 EBADMM CCCCOCCNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000344934494 156283993 /nfs/dbraw/zinc/28/39/93/156283993.db2.gz LHDMJUARIPYFSK-UHFFFAOYSA-N -1 1 347.393 -0.410 20 0 EBADMM C[C@@H]1CC(=O)N[C@@H](C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])C1 ZINC000344973068 156289185 /nfs/dbraw/zinc/28/91/85/156289185.db2.gz VVKCABYNSHHORJ-OIBJUYFYSA-N -1 1 327.362 -0.107 20 0 EBADMM COC(=O)[C@@H](Cc1c[nH]cn1)NC(=O)CNC(=O)c1ncccc1[O-] ZINC000344991188 156292965 /nfs/dbraw/zinc/29/29/65/156292965.db2.gz ZXYRDDGVTSDLJW-SNVBAGLBSA-N -1 1 347.331 -0.859 20 0 EBADMM Cn1ccnc1[C@H]1OCC[C@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000346094960 156383755 /nfs/dbraw/zinc/38/37/55/156383755.db2.gz RRNCTEVQJPXFSP-YGRLFVJLSA-N -1 1 345.359 -0.103 20 0 EBADMM Cn1nccc1[C@@H]1OCC[C@@H]1NC(=O)CNC(=O)c1ncccc1[O-] ZINC000346103858 156385483 /nfs/dbraw/zinc/38/54/83/156385483.db2.gz JRQGJIMSSUXIPS-ZUZCIYMTSA-N -1 1 345.359 -0.103 20 0 EBADMM CC(C)[C@@H](O)CCNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000346369732 156399687 /nfs/dbraw/zinc/39/96/87/156399687.db2.gz BPINEQUFWKBHRL-VIFPVBQESA-N -1 1 347.393 -0.820 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@H](COC)C(=O)OC)o1 ZINC000350629174 156678136 /nfs/dbraw/zinc/67/81/36/156678136.db2.gz ZUMKXOUNZIXYPJ-MRVPVSSYSA-N -1 1 335.334 -0.077 20 0 EBADMM Cc1[nH]c(=O)sc1S(=O)(=O)[N-]CCNC(=O)[C@H](O)C(C)C ZINC000354377659 156905207 /nfs/dbraw/zinc/90/52/07/156905207.db2.gz FEUBYGQVWISJLL-MRVPVSSYSA-N -1 1 337.423 -0.431 20 0 EBADMM CS(=O)(=O)N1CCC(CNc2nc3[nH][n-]cc-3c(=O)n2)CC1 ZINC000356205347 157052934 /nfs/dbraw/zinc/05/29/34/157052934.db2.gz YDHUCAGXYLHTNB-UHFFFAOYSA-N -1 1 326.382 -0.485 20 0 EBADMM CCO[C@@H]1C[C@](O)(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1(C)C ZINC000356900952 157099727 /nfs/dbraw/zinc/09/97/27/157099727.db2.gz HDJHMYICIHCYFB-BMIGLBTASA-N -1 1 335.364 -0.287 20 0 EBADMM C[C@]1(c2cccc(C(=O)NC3(c4nn[n-]n4)CC3)c2)NC(=O)NC1=O ZINC000357068389 157116905 /nfs/dbraw/zinc/11/69/05/157116905.db2.gz HJEIYCORMFUSIS-CQSZACIVSA-N -1 1 341.331 -0.327 20 0 EBADMM O=C(CNC(=O)c1ccc2c(c1)OCO2)NC1(c2nn[n-]n2)CC1 ZINC000357092892 157118833 /nfs/dbraw/zinc/11/88/33/157118833.db2.gz CNHWFONYMDAEBP-UHFFFAOYSA-N -1 1 330.304 -0.536 20 0 EBADMM CO[C@@H](CNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)C1CC1 ZINC000358115468 157212548 /nfs/dbraw/zinc/21/25/48/157212548.db2.gz DDUGOHLTZWIXTH-JTQLQIEISA-N -1 1 345.377 -0.802 20 0 EBADMM Cc1noc([C@@H]2C[C@H](O)CN2C(=O)CNC(=O)c2ncccc2[O-])n1 ZINC000359426719 157321827 /nfs/dbraw/zinc/32/18/27/157321827.db2.gz KRWUWCBWVPNEGI-UWVGGRQHSA-N -1 1 347.331 -0.457 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H](CC(=O)OC)C(=O)OC)o1 ZINC000360197499 157364289 /nfs/dbraw/zinc/36/42/89/157364289.db2.gz BNJHAQKLTSJVEP-ZETCQYMHSA-N -1 1 348.333 -0.978 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H](CCSC)C(=O)NC)o1 ZINC000360821734 157398844 /nfs/dbraw/zinc/39/88/44/157398844.db2.gz ZFJLNRWMSBEXQY-MRVPVSSYSA-N -1 1 349.434 -0.215 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2nnn(C(C)(C)C)n2)co1 ZINC000655251448 418973378 /nfs/dbraw/zinc/97/33/78/418973378.db2.gz VZRIJFZQEPNJGB-UHFFFAOYSA-N -1 1 342.381 -0.141 20 0 EBADMM N=c1nc(N2CCN(C(=O)N[C@H]3C[C@@H](C(N)=O)C3)CC2)s[n-]1 ZINC000651272174 419183269 /nfs/dbraw/zinc/18/32/69/419183269.db2.gz WUDFZMBYYWMFJQ-OCAPTIKFSA-N -1 1 325.398 -0.954 20 0 EBADMM CS(=O)(=O)N1CCC[C@@H]1C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000644883705 419254774 /nfs/dbraw/zinc/25/47/74/419254774.db2.gz VHOFYIKAYQJHON-VHSXEESVSA-N -1 1 344.393 -0.095 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)c1ncn(C)n1 ZINC000392132943 419763806 /nfs/dbraw/zinc/76/38/06/419763806.db2.gz MWADQBYWONQXDO-SSDOTTSWSA-N -1 1 328.354 -0.246 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(C)(C)O[C@@H]2COC[C@H]21 ZINC000653006967 419779862 /nfs/dbraw/zinc/77/98/62/419779862.db2.gz NLAPPRVTGFHISY-HTQZYQBOSA-N -1 1 325.321 -0.085 20 0 EBADMM CO[C@@H](CS(=O)(=O)[N-][C@H]1CC(=O)N(C)C1=O)C1CCOCC1 ZINC000645696104 419796563 /nfs/dbraw/zinc/79/65/63/419796563.db2.gz RGXPMULRSZBQPC-QWRGUYRKSA-N -1 1 334.394 -0.895 20 0 EBADMM CC[C@H](C)C[C@H](CO)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000645832760 420519808 /nfs/dbraw/zinc/51/98/08/420519808.db2.gz PJBRPVRSEGWNNI-VHSXEESVSA-N -1 1 333.410 -0.841 20 0 EBADMM COC(=O)N1CCC[C@H](C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)C1 ZINC000491225420 420557270 /nfs/dbraw/zinc/55/72/70/420557270.db2.gz LMZJRHPXHLLBDG-ZETCQYMHSA-N -1 1 331.354 -0.375 20 0 EBADMM COC(=O)N1CCC[C@H](C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)C1 ZINC000491225420 420557273 /nfs/dbraw/zinc/55/72/73/420557273.db2.gz LMZJRHPXHLLBDG-ZETCQYMHSA-N -1 1 331.354 -0.375 20 0 EBADMM C[C@@H](CN(C)C(=O)CNC(=O)C=Cc1cccnc1)c1nn[n-]n1 ZINC000492675889 420575982 /nfs/dbraw/zinc/57/59/82/420575982.db2.gz HDNDUWYZCWMNKJ-GZTOBOFZSA-N -1 1 329.364 -0.014 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCN3C(=O)OC[C@H]3C2)co1 ZINC000494059349 420582279 /nfs/dbraw/zinc/58/22/79/420582279.db2.gz RJFJKVNLOUCRKP-SECBINFHSA-N -1 1 329.334 -0.536 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-]C[C@@](C)(O)C(=O)OC(C)(C)C ZINC000349511509 420659935 /nfs/dbraw/zinc/65/99/35/420659935.db2.gz GJWGGYOBCGEZEI-GFCCVEGCSA-N -1 1 335.382 -0.064 20 0 EBADMM CCC[C@H](NC(=O)c1ccnc(S(C)(=O)=O)n1)c1nn[n-]n1 ZINC000516583047 420666266 /nfs/dbraw/zinc/66/62/66/420666266.db2.gz ZTKDWUHNFLYLKL-ZETCQYMHSA-N -1 1 325.354 -0.336 20 0 EBADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)N2CCNC(=O)C2)o1 ZINC000507120095 420672067 /nfs/dbraw/zinc/67/20/67/420672067.db2.gz ZRAXUVCOBVQDHR-UHFFFAOYSA-N -1 1 329.378 -0.072 20 0 EBADMM CC(=O)NCC(=O)N1CCCN(C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000529915206 420776509 /nfs/dbraw/zinc/77/65/09/420776509.db2.gz ORJBNHQIECZPLB-UHFFFAOYSA-N -1 1 347.371 -0.228 20 0 EBADMM CO[C@@H](CS(=O)(=O)[N-]Cc1nnn(C(C)(C)C)n1)[C@H]1CCOC1 ZINC000657024040 420910384 /nfs/dbraw/zinc/91/03/84/420910384.db2.gz YEUHPOROOQTDSX-QWRGUYRKSA-N -1 1 347.441 -0.101 20 0 EBADMM CO[C@H](CS(=O)(=O)[N-][C@@H]1CS(=O)(=O)C[C@H]1OC)C(C)C ZINC000657100440 420915118 /nfs/dbraw/zinc/91/51/18/420915118.db2.gz LWHFSBHACFCABI-GMTAPVOTSA-N -1 1 329.440 -0.611 20 0 EBADMM CC(C)O[C@@]1(CS(=O)(=O)[N-][C@]2(C(N)=O)CCOC2)CCOC1 ZINC000659989868 421037104 /nfs/dbraw/zinc/03/71/04/421037104.db2.gz VDSVESIMJKSOIV-QWHCGFSZSA-N -1 1 336.410 -0.866 20 0 EBADMM CO[C@H](C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C1CCCC1 ZINC000660053567 421039140 /nfs/dbraw/zinc/03/91/40/421039140.db2.gz JFOZUUYUBPYUER-LLVKDONJSA-N -1 1 345.421 -0.433 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2n[nH]c3[nH]c(=O)ccc23)co1 ZINC000646312332 421219758 /nfs/dbraw/zinc/21/97/58/421219758.db2.gz DALUKUFQUUFUEY-UHFFFAOYSA-N -1 1 337.317 0.417 20 0 EBADMM Cn1[n-]cc2c(=O)c(C(=O)Nc3ccn(CCC(N)=O)n3)cnc1-2 ZINC000355602595 421197621 /nfs/dbraw/zinc/19/76/21/421197621.db2.gz FTZWYCPBENZGCB-UHFFFAOYSA-N -1 1 329.320 -0.002 20 0 EBADMM O=C([O-])[C@@H]1CN(C(=O)N[C@@H]2CCCc3cn[nH]c32)CCS1(=O)=O ZINC000424411957 265061518 /nfs/dbraw/zinc/06/15/18/265061518.db2.gz PKMCHAHHZSQMGQ-ZJUUUORDSA-N -1 1 342.377 -0.320 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CCC(=O)N(C)C2=O)co1 ZINC000425093802 265082092 /nfs/dbraw/zinc/08/20/92/265082092.db2.gz SXQGYXPCTKFHJB-MRVPVSSYSA-N -1 1 329.334 -0.935 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CCC(=O)N(C)C2=O)co1 ZINC000425093803 265082252 /nfs/dbraw/zinc/08/22/52/265082252.db2.gz SXQGYXPCTKFHJB-QMMMGPOBSA-N -1 1 329.334 -0.935 20 0 EBADMM CCOC(=O)Cn1cnc(NC(=O)CNC(=O)c2ncccc2[O-])n1 ZINC000425110084 265083157 /nfs/dbraw/zinc/08/31/57/265083157.db2.gz UUAZOJZMEYTXTD-UHFFFAOYSA-N -1 1 348.319 -0.690 20 0 EBADMM C[C@@]1(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])CCCNC1=O ZINC000427681925 265109992 /nfs/dbraw/zinc/10/99/92/265109992.db2.gz FZLLXJYMEXCVGV-CYBMUJFWSA-N -1 1 327.362 -0.106 20 0 EBADMM O=C(NCCN1CCS(=O)(=O)CC1)C(=O)c1ccc([O-])cc1 ZINC000436511975 265241936 /nfs/dbraw/zinc/24/19/36/265241936.db2.gz IXCPKFVQOADIKK-UHFFFAOYSA-N -1 1 326.374 -0.579 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1CCN(C(C)=O)C1 ZINC000443502183 265352065 /nfs/dbraw/zinc/35/20/65/265352065.db2.gz VPKLSKXTEVCALU-VIFPVBQESA-N -1 1 330.366 -0.515 20 0 EBADMM COC(=O)c1nscc1S(=O)(=O)[N-][C@H](C(=O)OC)[C@H](C)O ZINC000444199206 265361110 /nfs/dbraw/zinc/36/11/10/265361110.db2.gz VFTZHUTXRLFQGD-FSPLSTOPSA-N -1 1 338.363 -0.870 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C(=O)OC)[C@H](C)O)o1 ZINC000444204192 265361393 /nfs/dbraw/zinc/36/13/93/265361393.db2.gz YUYKLABCGJMLBB-OIBJUYFYSA-N -1 1 335.334 -0.343 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@@H](O)[C@H]2C(=O)OC)o1 ZINC000444442786 265363131 /nfs/dbraw/zinc/36/31/31/265363131.db2.gz WTIUFROQJIRFSM-KCJUWKMLSA-N -1 1 346.361 -0.674 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C1 ZINC000444468184 265363217 /nfs/dbraw/zinc/36/32/17/265363217.db2.gz PVYBKGDBCCRKAW-IUCAKERBSA-N -1 1 329.378 -0.038 20 0 EBADMM COC(=O)[C@@H]1[C@H](O)CCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000444429652 265363340 /nfs/dbraw/zinc/36/33/40/265363340.db2.gz CSHNKWNFWAGQGY-MFKMUULPSA-N -1 1 337.332 -0.958 20 0 EBADMM CS(=O)(=O)N1CC[C@H](NC(=O)c2nc3ccccc3c(=O)[n-]2)C1 ZINC000516654215 272887478 /nfs/dbraw/zinc/88/74/78/272887478.db2.gz JSLICJULLNHVBL-VIFPVBQESA-N -1 1 336.373 -0.313 20 0 EBADMM C[C@H]1CCN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)[C@H]1C ZINC000360180015 278362735 /nfs/dbraw/zinc/36/27/35/278362735.db2.gz FGNRKSCOMWFJKB-IUCAKERBSA-N -1 1 329.378 -0.086 20 0 EBADMM C[C@H](NC(=O)CNC(=O)c1ncccc1[O-])[C@H](C)N1CCOCC1 ZINC000343684040 299059945 /nfs/dbraw/zinc/05/99/45/299059945.db2.gz BJCMZLXURSFXTL-RYUDHWBXSA-N -1 1 336.392 -0.258 20 0 EBADMM CC1CCC(O)(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CC1 ZINC000321115382 546063738 /nfs/dbraw/zinc/06/37/38/546063738.db2.gz XGDNZDKXQPWORT-UHFFFAOYSA-N -1 1 345.421 -0.697 20 0 EBADMM COC[C@@H]1CCCN1C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000674592160 546169878 /nfs/dbraw/zinc/16/98/78/546169878.db2.gz XXYRQQKJVZGEAW-VIFPVBQESA-N -1 1 345.377 -0.706 20 0 EBADMM COC[C@H]1CCCN1C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000674592159 546169977 /nfs/dbraw/zinc/16/99/77/546169977.db2.gz XXYRQQKJVZGEAW-SECBINFHSA-N -1 1 345.377 -0.706 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CC3CCC2CC3)c(=O)n(C)c1=O ZINC000676035874 546193606 /nfs/dbraw/zinc/19/36/06/546193606.db2.gz JXDRVGBGLXBWGE-VQXHTEKXSA-N -1 1 327.406 -0.059 20 0 EBADMM Cc1cc(NC(=O)C(=O)NCc2nn[n-]n2)n(-c2ccccc2)n1 ZINC000677786443 546235611 /nfs/dbraw/zinc/23/56/11/546235611.db2.gz WSHXLNFZJOVGSQ-UHFFFAOYSA-N -1 1 326.320 -0.051 20 0 EBADMM COc1ccnc(N2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)CC2)c1 ZINC000677951135 546243981 /nfs/dbraw/zinc/24/39/81/546243981.db2.gz RIXZTGYSGFCMRA-UHFFFAOYSA-N -1 1 332.320 -0.351 20 0 EBADMM C[C@@H]1CCN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C[C@H]1F ZINC000679263454 546268480 /nfs/dbraw/zinc/26/84/80/546268480.db2.gz ZMXONIFEAAYOTL-RKDXNWHRSA-N -1 1 347.368 -0.137 20 0 EBADMM O=S(=O)(N1CCOCC1)N1CCN(Cc2ncccc2[O-])CC1 ZINC000685410114 546404018 /nfs/dbraw/zinc/40/40/18/546404018.db2.gz VFVFXKPQYYWWLD-UHFFFAOYSA-N -1 1 342.421 -0.518 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(Cc2ccco2)CC1 ZINC000727847858 582659834 /nfs/dbraw/zinc/65/98/34/582659834.db2.gz CRCBDPMZMRLTSI-UHFFFAOYSA-N -1 1 332.360 -0.136 20 0 EBADMM CNC(=O)c1ccc(CNC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC000727849287 582661028 /nfs/dbraw/zinc/66/10/28/582661028.db2.gz DAFVAMWDICRRIE-UHFFFAOYSA-N -1 1 330.344 -0.397 20 0 EBADMM O=C(C[C@H]1COCCN1)[N-]S(=O)(=O)c1nc(Cl)cs1 ZINC000901035452 582789321 /nfs/dbraw/zinc/78/93/21/582789321.db2.gz WAOGPSOSPGPPTI-LURJTMIESA-N -1 1 325.799 -0.020 20 0 EBADMM O=C(CCNC(=O)c1ccsc1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000731855307 582841259 /nfs/dbraw/zinc/84/12/59/582841259.db2.gz YYRDHLLOAFEJMZ-SNVBAGLBSA-N -1 1 336.377 -0.019 20 0 EBADMM O=C(c1cccc(-n2cnnn2)c1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000731880640 582841875 /nfs/dbraw/zinc/84/18/75/582841875.db2.gz SQKUYEKSGSPMCA-LLVKDONJSA-N -1 1 327.308 -0.611 20 0 EBADMM C[C@@H](C(=O)N(C)CC(=O)N1CCO[C@@H](c2nn[n-]n2)C1)n1cccn1 ZINC000732089310 582844180 /nfs/dbraw/zinc/84/41/80/582844180.db2.gz GFFCIGLWSWAQIY-WDEREUQCSA-N -1 1 348.367 -0.984 20 0 EBADMM O=C(c1ccc(-n2cnnn2)cc1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000732090781 582844190 /nfs/dbraw/zinc/84/41/90/582844190.db2.gz OIJBSUABUBLNRA-NSHDSACASA-N -1 1 327.308 -0.611 20 0 EBADMM CN1C(=O)c2ccc(C(=O)N3CCO[C@H](c4nn[n-]n4)C3)cc2C1=O ZINC000732090297 582844354 /nfs/dbraw/zinc/84/43/54/582844354.db2.gz UNMPYGCVOBWTGX-NSHDSACASA-N -1 1 342.315 -0.361 20 0 EBADMM O=C(Cc1cnn(-c2ccccc2)n1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000732092046 582844556 /nfs/dbraw/zinc/84/45/56/582844556.db2.gz VLTIYLKUPBSUEY-CYBMUJFWSA-N -1 1 340.347 -0.077 20 0 EBADMM CN(C)S(=O)(=O)c1ccc(F)c(C(=O)NCc2nn[n-]n2)c1 ZINC000732158060 582845465 /nfs/dbraw/zinc/84/54/65/582845465.db2.gz RIFBGYFSSWBATQ-UHFFFAOYSA-N -1 1 328.329 -0.481 20 0 EBADMM COCCN(Cc1cccnc1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000733146214 582856359 /nfs/dbraw/zinc/85/63/59/582856359.db2.gz GLMMUYNWYLATEH-UHFFFAOYSA-N -1 1 332.360 -0.003 20 0 EBADMM CN(C)C(=O)[C@@H]1CCC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000734145826 582869679 /nfs/dbraw/zinc/86/96/79/582869679.db2.gz NSUUQZRIHCQOFU-VXGBXAGGSA-N -1 1 336.392 -0.310 20 0 EBADMM CC1(C)NC(=O)N(CCOC(=O)c2ccc(-c3nnn[n-]3)nc2)C1=O ZINC000736214633 582925033 /nfs/dbraw/zinc/92/50/33/582925033.db2.gz OYVVHMCMUDENRG-UHFFFAOYSA-N -1 1 345.319 -0.251 20 0 EBADMM CC1(C)NC(=O)N(CCOC(=O)c2ccc(-c3nn[n-]n3)nc2)C1=O ZINC000736214633 582925035 /nfs/dbraw/zinc/92/50/35/582925035.db2.gz OYVVHMCMUDENRG-UHFFFAOYSA-N -1 1 345.319 -0.251 20 0 EBADMM CC(C)C[C@H](NC(=O)CN1CCN(C[C@@H](C)O)[C@@H](C)C1)C(=O)[O-] ZINC000736411463 582933873 /nfs/dbraw/zinc/93/38/73/582933873.db2.gz HATXVMMIDAEVCP-MJBXVCDLSA-N -1 1 329.441 -0.011 20 0 EBADMM CCNC(=O)CN(CC)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736574024 582943483 /nfs/dbraw/zinc/94/34/83/582943483.db2.gz MWXIZSUUKUXJFQ-UHFFFAOYSA-N -1 1 339.381 -0.592 20 0 EBADMM CCNC(=O)CN(CC)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736574024 582943486 /nfs/dbraw/zinc/94/34/86/582943486.db2.gz MWXIZSUUKUXJFQ-UHFFFAOYSA-N -1 1 339.381 -0.592 20 0 EBADMM C[C@H](O)CN1CCN(c2ccc(S(N)(=O)=O)cc2C(=O)[O-])CC1 ZINC000736677535 582950046 /nfs/dbraw/zinc/95/00/46/582950046.db2.gz NAGOZBGDFWHGKT-JTQLQIEISA-N -1 1 343.405 -0.465 20 0 EBADMM C[C@@H](O)CN1CCN(CCCN2C(=O)CS/C2=C\C(=O)[O-])CC1 ZINC000736678577 582950147 /nfs/dbraw/zinc/95/01/47/582950147.db2.gz WESGHWUHXHNOQS-OJLMPMFISA-N -1 1 343.449 -0.124 20 0 EBADMM CCc1nn(CCCS(C)(=O)=O)c(=O)c(-c2nn[n-]n2)c1CC ZINC000736706670 582951343 /nfs/dbraw/zinc/95/13/43/582951343.db2.gz YRKLNACGRDFJGV-UHFFFAOYSA-N -1 1 340.409 -0.017 20 0 EBADMM C[C@@H]1CCCCN1C(=O)CN1CCN(C[C@](C)(O)C(=O)[O-])CC1 ZINC000736783319 582956397 /nfs/dbraw/zinc/95/63/97/582956397.db2.gz GXLUEHNQPTVZIY-CJNGLKHVSA-N -1 1 327.425 -0.159 20 0 EBADMM CN(CCCC(=O)N[C@@H]1CCN(CCN2CCOCC2)C1)C(=O)[O-] ZINC000737421728 583008103 /nfs/dbraw/zinc/00/81/03/583008103.db2.gz JRNABWBCBXUASL-CQSZACIVSA-N -1 1 342.440 -0.101 20 0 EBADMM CN1CCN(Cc2cccc(NC(=O)C(=O)NCCC(=O)[O-])c2)CC1 ZINC000737529121 583012499 /nfs/dbraw/zinc/01/24/99/583012499.db2.gz ROYAHJHWCBSLAB-UHFFFAOYSA-N -1 1 348.403 -0.037 20 0 EBADMM COC(=O)[C@@H]1CN(C[C@H](C)CN2CCO[C@@H](C(=O)[O-])C2)CCO1 ZINC000737587843 583017676 /nfs/dbraw/zinc/01/76/76/583017676.db2.gz VEOYVAYTBFORLN-UPJWGTAASA-N -1 1 330.381 -0.718 20 0 EBADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)c1ccc(N2CCCC2)nn1 ZINC000737771543 583027182 /nfs/dbraw/zinc/02/71/82/583027182.db2.gz SFXZEWOJHMJTME-UHFFFAOYSA-N -1 1 326.324 -0.276 20 0 EBADMM O=C(Cn1cc(Nc2nccnc2-c2nnn[n-]2)cn1)NC1CC1 ZINC000737987106 583037403 /nfs/dbraw/zinc/03/74/03/583037403.db2.gz RGKOBGWTRUALCF-UHFFFAOYSA-N -1 1 326.324 -0.125 20 0 EBADMM O=C(Cn1cc(Nc2nccnc2-c2nn[n-]n2)cn1)NC1CC1 ZINC000737987106 583037405 /nfs/dbraw/zinc/03/74/05/583037405.db2.gz RGKOBGWTRUALCF-UHFFFAOYSA-N -1 1 326.324 -0.125 20 0 EBADMM COc1cc[n-]c(=NNS(=O)(=O)c2cccc(-n3cnnn3)c2)n1 ZINC000743729563 583087633 /nfs/dbraw/zinc/08/76/33/583087633.db2.gz PMGWDAPZQIGQLS-UHFFFAOYSA-N -1 1 348.348 -0.234 20 0 EBADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-]C1(C(N)=O)CCCCC1 ZINC000751042874 583141278 /nfs/dbraw/zinc/14/12/78/583141278.db2.gz FCSRQPAABZODPT-UHFFFAOYSA-N -1 1 344.393 -0.029 20 0 EBADMM O=C(NCCCn1cc(CO)nn1)c1ccc2n[n-]c(=S)n2c1 ZINC000753012064 583157965 /nfs/dbraw/zinc/15/79/65/583157965.db2.gz KGDDCKWKRYHXIP-UHFFFAOYSA-N -1 1 333.377 -0.078 20 0 EBADMM O=C([C@@H]1COc2ccccc2O1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000753089297 583158350 /nfs/dbraw/zinc/15/83/50/583158350.db2.gz YLTHSEJNXKEMNH-LBPRGKRZSA-N -1 1 331.332 -0.150 20 0 EBADMM CCOCCNC(=O)COC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000753447114 583161162 /nfs/dbraw/zinc/16/11/62/583161162.db2.gz HOYFJHQNWPRZSO-UHFFFAOYSA-N -1 1 334.350 -0.503 20 0 EBADMM CCOCCNC(=O)COC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000756404693 583183093 /nfs/dbraw/zinc/18/30/93/583183093.db2.gz MQEKCDWWFZZIGP-UHFFFAOYSA-N -1 1 334.350 -0.503 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCCS(C)(=O)=O)co1 ZINC000756389012 583183155 /nfs/dbraw/zinc/18/31/55/583183155.db2.gz MCBXWJTYFUZESS-UHFFFAOYSA-N -1 1 325.364 -0.221 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@H](COC)Cn2cncn2)co1 ZINC000756618646 583185241 /nfs/dbraw/zinc/18/52/41/583185241.db2.gz UAOULBGQIIKOKW-JTQLQIEISA-N -1 1 344.349 -0.349 20 0 EBADMM CS[C@H]1CN(C(=O)Cn2[n-]cc3c(=O)ncnc2-3)C[C@@H]1N(C)C ZINC000765257996 583280020 /nfs/dbraw/zinc/28/00/20/583280020.db2.gz CCTFZLRAAPIULA-QWRGUYRKSA-N -1 1 336.421 -0.425 20 0 EBADMM Cn1[n-]c(COC(=O)CCNS(=O)(=O)c2ccccc2)nc1=O ZINC000765407396 583281186 /nfs/dbraw/zinc/28/11/86/583281186.db2.gz IVGPZPMHEABRDD-UHFFFAOYSA-N -1 1 340.361 -0.480 20 0 EBADMM Cc1ccc(C(=O)OCc2nc(=O)n(C)[n-]2)cc1S(N)(=O)=O ZINC000765408080 583281194 /nfs/dbraw/zinc/28/11/94/583281194.db2.gz FKKMNBQZCVUXAZ-UHFFFAOYSA-N -1 1 326.334 -0.579 20 0 EBADMM CCNS(=O)(=O)c1cccc(C(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000765411261 583281270 /nfs/dbraw/zinc/28/12/70/583281270.db2.gz XBRUUSSQDNDVJG-UHFFFAOYSA-N -1 1 340.361 -0.236 20 0 EBADMM Cn1[n-]c(COC(=O)Cn2cc(Br)ccc2=O)nc1=O ZINC000765439280 583282293 /nfs/dbraw/zinc/28/22/93/583282293.db2.gz FHHDTLQMFLGGPM-UHFFFAOYSA-N -1 1 343.137 -0.224 20 0 EBADMM Cn1[n-]c(COC(=O)Cn2c(=O)[nH]c3ccccc3c2=O)nc1=O ZINC000765438792 583282425 /nfs/dbraw/zinc/28/24/25/583282425.db2.gz CDSGUHATHOWJKT-UHFFFAOYSA-N -1 1 331.288 -0.733 20 0 EBADMM Cn1[n-]c(COC(=O)COCCNC(=O)OC(C)(C)C)nc1=O ZINC000765473705 583283396 /nfs/dbraw/zinc/28/33/96/583283396.db2.gz JELYIKPUPXNYBH-UHFFFAOYSA-N -1 1 330.341 -0.307 20 0 EBADMM Cn1[n-]c(COC(=O)c2ccc([C@]3(C)NC(=O)NC3=O)cc2)nc1=O ZINC000765485858 583283590 /nfs/dbraw/zinc/28/35/90/583283590.db2.gz BUYNMXXZWOUGCL-HNNXBMFYSA-N -1 1 345.315 -0.480 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H](CO)Cc1ccc(F)cc1 ZINC000767136338 583303809 /nfs/dbraw/zinc/30/38/09/583303809.db2.gz UDJHOFCAJWTLBT-CYBMUJFWSA-N -1 1 335.335 -0.214 20 0 EBADMM C[C@H]1CCCN(S(=O)(=O)NNc2nc(=O)c3cnn(C)c3[n-]2)C1 ZINC000769832682 583328629 /nfs/dbraw/zinc/32/86/29/583328629.db2.gz MLRGMOFAOBFSPB-QMMMGPOBSA-N -1 1 341.397 -0.038 20 0 EBADMM COc1ccc(NC(=O)NN2CC(=O)[N-]C2=O)cc1-n1cnnn1 ZINC000770509704 583338543 /nfs/dbraw/zinc/33/85/43/583338543.db2.gz QOKWSYJMTMLYMU-UHFFFAOYSA-N -1 1 332.280 -0.741 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)CN2CC[C@H](S(N)(=O)=O)C2)cc1 ZINC000772218593 583355871 /nfs/dbraw/zinc/35/58/71/583355871.db2.gz HNQRMOZQNVQFNL-LBPRGKRZSA-N -1 1 341.389 -0.686 20 0 EBADMM O=C(CCCNC(=O)c1cccnc1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000772688006 583363842 /nfs/dbraw/zinc/36/38/42/583363842.db2.gz LHXWBYWJCZUDGX-GFCCVEGCSA-N -1 1 345.363 -0.295 20 0 EBADMM C[C@@H](O)[C@@H](NC(=O)C1CC1)C(=O)OCCC[N-]C(=O)C(F)(F)F ZINC000774961231 583393103 /nfs/dbraw/zinc/39/31/03/583393103.db2.gz HZLZOWWBNQDGMO-VXNVDRBHSA-N -1 1 340.298 -0.126 20 0 EBADMM O=C(N[C@@]1(C(=O)NCc2nn[n-]n2)CCOC1)OCc1ccccc1 ZINC000780940879 583452858 /nfs/dbraw/zinc/45/28/58/583452858.db2.gz RFPYOARKSAHHHW-HNNXBMFYSA-N -1 1 346.347 -0.099 20 0 EBADMM Cn1nnc(CNS(=O)(=O)c2cc(C(=O)[O-])c(F)cc2F)n1 ZINC000781969564 583463958 /nfs/dbraw/zinc/46/39/58/583463958.db2.gz KWIKCNSJZOVOCL-UHFFFAOYSA-N -1 1 333.276 -0.335 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC(=O)CCC(=O)OC)co1 ZINC000784367955 583485556 /nfs/dbraw/zinc/48/55/56/583485556.db2.gz OYDPJNMCFQKIFF-UHFFFAOYSA-N -1 1 333.318 -0.133 20 0 EBADMM CCOCCO[N-]C(=O)C(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000785400603 583496752 /nfs/dbraw/zinc/49/67/52/583496752.db2.gz UPNMEBCYCKJXCS-CYBMUJFWSA-N -1 1 331.413 -0.456 20 0 EBADMM CC(=O)N1CC(C(=O)OCCOCC[N-]C(=O)C(F)(F)F)C1 ZINC000788374429 583528686 /nfs/dbraw/zinc/52/86/86/583528686.db2.gz PEHUUDSHLCDFLK-UHFFFAOYSA-N -1 1 326.271 -0.297 20 0 EBADMM Cn1cc([C@@H]2CSCCN2C(=O)CCn2cc[n-]c(=O)c2=O)cn1 ZINC000791389214 583550691 /nfs/dbraw/zinc/55/06/91/583550691.db2.gz TZOFVIYUXJPNSY-LBPRGKRZSA-N -1 1 349.416 -0.023 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@@H]2CC(c3cccnc3)=NO2)n1 ZINC000792297325 583558337 /nfs/dbraw/zinc/55/83/37/583558337.db2.gz PVTRUVAXNHSAJY-VIFPVBQESA-N -1 1 336.333 -0.265 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)[C@@H]2CC(c3cccnc3)=NO2)[n-]1 ZINC000792297325 583558338 /nfs/dbraw/zinc/55/83/38/583558338.db2.gz PVTRUVAXNHSAJY-VIFPVBQESA-N -1 1 336.333 -0.265 20 0 EBADMM CNS(=O)(=O)CC(=O)N1CCC[C@@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000793464765 583565952 /nfs/dbraw/zinc/56/59/52/583565952.db2.gz AFXQGDIALZLDFL-QMMMGPOBSA-N -1 1 345.343 -0.547 20 0 EBADMM CCOC(=O)CN(C)C(=O)COC(=O)c1ccc(C(=O)OC)[n-]1 ZINC000796351707 583595722 /nfs/dbraw/zinc/59/57/22/583595722.db2.gz SNKUWMCKMYMSOD-UHFFFAOYSA-N -1 1 326.305 -0.020 20 0 EBADMM CNC(=O)C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)c1ccccc1 ZINC000797766730 583601341 /nfs/dbraw/zinc/60/13/41/583601341.db2.gz PPTSNYNCLOVFSO-CYBMUJFWSA-N -1 1 344.371 -0.080 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OCc2nc(N(C)C)no2)co1 ZINC000801295033 583619544 /nfs/dbraw/zinc/61/95/44/583619544.db2.gz GKLONLYSPHVERB-UHFFFAOYSA-N -1 1 330.322 -0.006 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCCC(=O)C(=O)OC)o1 ZINC000803278193 583628688 /nfs/dbraw/zinc/62/86/88/583628688.db2.gz NDZXXSDCKJGSKQ-UHFFFAOYSA-N -1 1 333.318 -0.133 20 0 EBADMM CC(C)(C)[C@H](O)C[C@@H](CO)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000803570309 583630688 /nfs/dbraw/zinc/63/06/88/583630688.db2.gz XLDBBGHPVODYII-WDEREUQCSA-N -1 1 327.381 -0.799 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@@H]3C[C@@H]32)o1 ZINC000804299074 583643637 /nfs/dbraw/zinc/64/36/37/583643637.db2.gz PGNSUXZREJLIFZ-BDAKNGLRSA-N -1 1 327.362 -0.332 20 0 EBADMM CCOCCOC[C@H](O)CNC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806493539 583650674 /nfs/dbraw/zinc/65/06/74/583650674.db2.gz XPXIIWOEQIQRPZ-LLVKDONJSA-N -1 1 337.380 -0.123 20 0 EBADMM CCOC(=O)C1(CNC(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1 ZINC000806982673 583656429 /nfs/dbraw/zinc/65/64/29/583656429.db2.gz NSANDOPGXAWBNI-UHFFFAOYSA-N -1 1 345.359 -0.260 20 0 EBADMM CC1(C)Cc2cc(NC(=O)C(=O)NN3CC(=O)[N-]C3=O)ccc2O1 ZINC000807063125 583657690 /nfs/dbraw/zinc/65/76/90/583657690.db2.gz MRNXPBOKLYVONO-UHFFFAOYSA-N -1 1 332.316 -0.078 20 0 EBADMM COCCCO[N-]C(=O)C(=O)Nc1ccc2[nH]nc(C(N)=O)c2c1 ZINC000807435238 583660864 /nfs/dbraw/zinc/66/08/64/583660864.db2.gz AWVLGXRLGJWOBD-UHFFFAOYSA-N -1 1 335.320 -0.315 20 0 EBADMM CC(=O)N1C[C@@H]2CCC[C@H](NC(=O)CCn3cc[n-]c(=O)c3=O)[C@H]2C1 ZINC000809196930 583675633 /nfs/dbraw/zinc/67/56/33/583675633.db2.gz ZWKUFUJVSTVTPP-IHRRRGAJSA-N -1 1 348.403 -0.310 20 0 EBADMM CC(C)OCCO[N-]C(=O)[C@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000812803173 583696495 /nfs/dbraw/zinc/69/64/95/583696495.db2.gz WMGGUNXOLIADEH-AWEZNQCLSA-N -1 1 343.424 -0.360 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCn2cnccc2=O)o1 ZINC000816281643 583716041 /nfs/dbraw/zinc/71/60/41/583716041.db2.gz XEIGIISTNADRAD-UHFFFAOYSA-N -1 1 327.318 -0.399 20 0 EBADMM C[C@H](c1ccccc1)[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C(N)=O ZINC000816800392 583721723 /nfs/dbraw/zinc/72/17/23/583721723.db2.gz YABBMGMNHFAHEP-RISCZKNCSA-N -1 1 344.371 -0.300 20 0 EBADMM COC(=O)[C@H](CC(C)C)N(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000817057605 583724691 /nfs/dbraw/zinc/72/46/91/583724691.db2.gz MDLBSUXAYZLSEE-NSHDSACASA-N -1 1 325.365 -0.027 20 0 EBADMM CC(=O)NCCN(C(=O)CN(C)[C@@H]1CCSC1)[C@@H](C)C(=O)[O-] ZINC000819746012 583760681 /nfs/dbraw/zinc/76/06/81/583760681.db2.gz QHCFDDJEJFQLQS-CMPLNLGQSA-N -1 1 331.438 -0.139 20 0 EBADMM CC(=O)N1CCN(CCNC(=O)c2ccc(-c3nnn[n-]3)s2)CC1 ZINC000819796136 583761526 /nfs/dbraw/zinc/76/15/26/583761526.db2.gz CYUMWTOUCUQDIK-UHFFFAOYSA-N -1 1 349.420 -0.178 20 0 EBADMM CC(=O)N1CCN(CCNC(=O)c2ccc(-c3nn[n-]n3)s2)CC1 ZINC000819796136 583761529 /nfs/dbraw/zinc/76/15/29/583761529.db2.gz CYUMWTOUCUQDIK-UHFFFAOYSA-N -1 1 349.420 -0.178 20 0 EBADMM CC(=O)N1CCN(C(=O)[C@@H](C)n2cccc(-c3nn[n-]n3)c2=O)CC1 ZINC000819797318 583761557 /nfs/dbraw/zinc/76/15/57/583761557.db2.gz ZJBGEDNKZHRNLW-SNVBAGLBSA-N -1 1 345.363 -0.720 20 0 EBADMM CCn1nc(C)c(C[N-]S(=O)(=O)c2n[nH]c(C)c2C(=O)OC)n1 ZINC000819891654 583762681 /nfs/dbraw/zinc/76/26/81/583762681.db2.gz TZPLVCYIICEEEG-UHFFFAOYSA-N -1 1 342.381 -0.097 20 0 EBADMM CC(C)(C)C(=O)N1CCN(C(=O)Cn2cnc(-c3nn[n-]n3)n2)CC1 ZINC000819891882 583762879 /nfs/dbraw/zinc/76/28/79/583762879.db2.gz JVFVGIBOLNUGRT-UHFFFAOYSA-N -1 1 347.383 -0.825 20 0 EBADMM CC(C)[C@@H](NC(=O)C(=O)Nc1ccc2[nH]nc(C(N)=O)c2c1)C(=O)[O-] ZINC000820036657 583765350 /nfs/dbraw/zinc/76/53/50/583765350.db2.gz JGSCCDDXSJMSIH-SNVBAGLBSA-N -1 1 347.331 -0.174 20 0 EBADMM CC(C)[C@@H]1OCC[C@H]1CNc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000820045620 583765420 /nfs/dbraw/zinc/76/54/20/583765420.db2.gz OJMPONTVYGNVSL-ONGXEEELSA-N -1 1 349.395 -0.263 20 0 EBADMM C[C@H](CCNC(=O)Nc1nn(C)cc1-c1nnn[n-]1)[S@@](C)=O ZINC000820241991 583769006 /nfs/dbraw/zinc/76/90/06/583769006.db2.gz LGTHDHCDYJOCBA-CGQWYARDSA-N -1 1 326.386 -0.121 20 0 EBADMM C[C@H](CCNC(=O)Nc1nn(C)cc1-c1nn[n-]n1)[S@@](C)=O ZINC000820241991 583769007 /nfs/dbraw/zinc/76/90/07/583769007.db2.gz LGTHDHCDYJOCBA-CGQWYARDSA-N -1 1 326.386 -0.121 20 0 EBADMM C[C@H](CCS(C)(=O)=O)NC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000820248816 583769220 /nfs/dbraw/zinc/76/92/20/583769220.db2.gz OBXKPSMYNMGBFK-SSDOTTSWSA-N -1 1 342.385 -0.455 20 0 EBADMM C[C@H](CCS(C)(=O)=O)NC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000820248816 583769221 /nfs/dbraw/zinc/76/92/21/583769221.db2.gz OBXKPSMYNMGBFK-SSDOTTSWSA-N -1 1 342.385 -0.455 20 0 EBADMM C[C@@H](Cc1ccncc1)Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000820301435 583771181 /nfs/dbraw/zinc/77/11/81/583771181.db2.gz YMJXDDDMTSLABX-VIFPVBQESA-N -1 1 342.363 -0.298 20 0 EBADMM C[C@@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)C(=O)N1CCCC[C@@H]1C ZINC000820334218 583771565 /nfs/dbraw/zinc/77/15/65/583771565.db2.gz LIVDZRXWNUPFLN-VHSXEESVSA-N -1 1 347.383 -0.636 20 0 EBADMM C[C@H]([C@H](C)NC(=O)Nc1nn(C)cc1-c1nn[n-]n1)N1CCOCC1 ZINC000820379319 583772023 /nfs/dbraw/zinc/77/20/23/583772023.db2.gz AGOVMYULTFNKTP-VHSXEESVSA-N -1 1 349.399 -0.169 20 0 EBADMM CC[C@H](C)[C@H](NCC(=O)N1CCN(CC(=O)N(C)C)CC1)C(=O)[O-] ZINC000820459840 583773310 /nfs/dbraw/zinc/77/33/10/583773310.db2.gz ZWHYEBMPVJEYLE-WFASDCNBSA-N -1 1 342.440 -0.692 20 0 EBADMM C[C@@H](O)CN(Cc1ccccc1)C(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000820480556 583773901 /nfs/dbraw/zinc/77/39/01/583773901.db2.gz LZRLZLPRICVXCB-LLVKDONJSA-N -1 1 342.363 -0.132 20 0 EBADMM C[C@@H]1C[N@H+](C2CC2)C[C@H]1Nc1c(-c2nnn[n-]2)c(=O)n(C)c(=O)n1C ZINC000820751755 583779054 /nfs/dbraw/zinc/77/90/54/583779054.db2.gz HXWWIPAUXVLAAY-PSASIEDQSA-N -1 1 346.395 -0.841 20 0 EBADMM C[C@@H]1C[N@@H+](C2CC2)C[C@H]1Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000820751755 583779056 /nfs/dbraw/zinc/77/90/56/583779056.db2.gz HXWWIPAUXVLAAY-PSASIEDQSA-N -1 1 346.395 -0.841 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nnn[n-]2)CCN1CC(=O)N(C)C ZINC000820789146 583780344 /nfs/dbraw/zinc/78/03/44/583780344.db2.gz WKUAPVHRRCLWPG-SNVBAGLBSA-N -1 1 331.384 -0.745 20 0 EBADMM C[C@@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1CC(=O)N(C)C ZINC000820789146 583780347 /nfs/dbraw/zinc/78/03/47/583780347.db2.gz WKUAPVHRRCLWPG-SNVBAGLBSA-N -1 1 331.384 -0.745 20 0 EBADMM CO[N-]C(=O)[C@H]1CS(=O)(=O)CC12CN(C(=O)OC(C)(C)C)C2 ZINC000820844667 583781830 /nfs/dbraw/zinc/78/18/30/583781830.db2.gz LBXARFOLOGZENI-SECBINFHSA-N -1 1 334.394 -0.054 20 0 EBADMM CC[C@H]1CO[C@@H](C)CN1c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000821000779 583783870 /nfs/dbraw/zinc/78/38/70/583783870.db2.gz JGJXXXYKCVMGJP-IUCAKERBSA-N -1 1 335.368 -0.732 20 0 EBADMM CCN1C(=O)[C@H]2CN(c3cccc(-c4nnn[n-]4)n3)CCN2C1=O ZINC000821241324 583787054 /nfs/dbraw/zinc/78/70/54/583787054.db2.gz MIICYHNZZQNHQK-SNVBAGLBSA-N -1 1 328.336 -0.266 20 0 EBADMM CCN1C(=O)[C@H]2CN(c3cccc(-c4nn[n-]n4)n3)CCN2C1=O ZINC000821241324 583787056 /nfs/dbraw/zinc/78/70/56/583787056.db2.gz MIICYHNZZQNHQK-SNVBAGLBSA-N -1 1 328.336 -0.266 20 0 EBADMM CCN(Cc1ccccn1)c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000821230391 583787127 /nfs/dbraw/zinc/78/71/27/583787127.db2.gz JOZQSCHXWJKLTR-UHFFFAOYSA-N -1 1 342.363 -0.314 20 0 EBADMM CCOC(=O)/C=C\Cn1cc(C(=O)OC)cc(-c2nn[n-]n2)c1=O ZINC000821325803 583788845 /nfs/dbraw/zinc/78/88/45/583788845.db2.gz NPCBOHSDJSVCAW-PLNGDYQASA-N -1 1 333.304 -0.066 20 0 EBADMM CCn1cc(-c2nn[n-]n2)c(=O)n(Cc2nsc(N(C)C)n2)c1=O ZINC000821565314 583793052 /nfs/dbraw/zinc/79/30/52/583793052.db2.gz NJJQTXPXXGCOLA-UHFFFAOYSA-N -1 1 349.380 -0.824 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nn[n-]n1)c1ccc(C(N)=O)nn1 ZINC000821601666 583794888 /nfs/dbraw/zinc/79/48/88/583794888.db2.gz UDJZEYIRPCFPOV-UHFFFAOYSA-N -1 1 343.355 -0.870 20 0 EBADMM COC(=O)c1ccc(NC(=O)Cn2cnc(-c3nn[n-]n3)n2)s1 ZINC000821669583 583800333 /nfs/dbraw/zinc/80/03/33/583800333.db2.gz SUDKDEDHWPRUHF-UHFFFAOYSA-N -1 1 334.321 -0.055 20 0 EBADMM CO[C@@]1(C)C[C@@H](Nc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)C1(C)C ZINC000821677987 583800374 /nfs/dbraw/zinc/80/03/74/583800374.db2.gz HWUFZQICWSDMCK-GLEZIHRCSA-N -1 1 349.395 -0.120 20 0 EBADMM COCc1nc2n(n1)C[C@H](Nc1nccnc1-c1nnn[n-]1)CC2 ZINC000821696722 583802467 /nfs/dbraw/zinc/80/24/67/583802467.db2.gz NMZWWXNADRSILA-MRVPVSSYSA-N -1 1 328.340 -0.179 20 0 EBADMM COCc1nc2n(n1)C[C@H](Nc1nccnc1-c1nn[n-]n1)CC2 ZINC000821696722 583802468 /nfs/dbraw/zinc/80/24/68/583802468.db2.gz NMZWWXNADRSILA-MRVPVSSYSA-N -1 1 328.340 -0.179 20 0 EBADMM Cc1[nH]c(=O)c(-c2nn[n-]n2)c(C)c1CCC(=O)N=c1nc[nH]n1C ZINC000822009699 583806865 /nfs/dbraw/zinc/80/68/65/583806865.db2.gz BUTUOQQACWGBQQ-UHFFFAOYSA-N -1 1 343.351 -0.294 20 0 EBADMM Cc1ccccc1[C@H](O)CNC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000822350475 583809970 /nfs/dbraw/zinc/80/99/70/583809970.db2.gz DHZIUIVMAIYDAE-LLVKDONJSA-N -1 1 328.336 -0.384 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N[C@H]2CCCc3c[nH]nc32)n(C)c1=O ZINC000822599272 583813479 /nfs/dbraw/zinc/81/34/79/583813479.db2.gz CCVNREJUBMHSDK-QMMMGPOBSA-N -1 1 343.351 -0.523 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N2CCC3(C2)CCOCC3)n(C)c1=O ZINC000822601193 583813963 /nfs/dbraw/zinc/81/39/63/583813963.db2.gz UXTAEOQKMOALGO-UHFFFAOYSA-N -1 1 347.379 -0.729 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCCOC[C@H]2CCCO2)n1 ZINC000822620215 583814736 /nfs/dbraw/zinc/81/47/36/583814736.db2.gz MDOXIDOXSVDYGO-SECBINFHSA-N -1 1 336.356 -0.083 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCCOC[C@H]2CCCO2)n1 ZINC000822620215 583814738 /nfs/dbraw/zinc/81/47/38/583814738.db2.gz MDOXIDOXSVDYGO-SECBINFHSA-N -1 1 336.356 -0.083 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NC[C@@H](O)C2CCOCC2)n1 ZINC000822614986 583814797 /nfs/dbraw/zinc/81/47/97/583814797.db2.gz YQRQUBSTAUIUGS-SNVBAGLBSA-N -1 1 336.356 -0.491 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NC[C@@H](O)C2CCOCC2)n1 ZINC000822614986 583814799 /nfs/dbraw/zinc/81/47/99/583814799.db2.gz YQRQUBSTAUIUGS-SNVBAGLBSA-N -1 1 336.356 -0.491 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCOC[C@@H]1c1ccco1 ZINC000823126003 583824735 /nfs/dbraw/zinc/82/47/35/583824735.db2.gz FAVXTCJJVJLRHM-SECBINFHSA-N -1 1 330.308 -0.349 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@H]1c2ccccc2C[C@H]1O ZINC000823126349 583824762 /nfs/dbraw/zinc/82/47/62/583824762.db2.gz ISTHBZOFMKLGKL-PWSUYJOCSA-N -1 1 326.320 -0.767 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NC[C@H]1OCCc2ccccc21 ZINC000823126916 583825232 /nfs/dbraw/zinc/82/52/32/583825232.db2.gz NRJGCNQQDDGDNC-GFCCVEGCSA-N -1 1 340.347 -0.112 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCCOCc1ccccc1 ZINC000823132053 583825650 /nfs/dbraw/zinc/82/56/50/583825650.db2.gz XHHHDXUZGFAYKD-UHFFFAOYSA-N -1 1 328.336 -0.209 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)Nc1ccc(-n2ccnn2)cc1 ZINC000823131188 583825666 /nfs/dbraw/zinc/82/56/66/583825666.db2.gz CTOUWOAHYRFKJA-UHFFFAOYSA-N -1 1 337.307 -0.322 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NCCc1ccc2c(c1)CCO2 ZINC000823131572 583825682 /nfs/dbraw/zinc/82/56/82/583825682.db2.gz KEAUHCDODPVANA-UHFFFAOYSA-N -1 1 340.347 -0.248 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)Nc1cccc(-n2ccnn2)c1 ZINC000823136015 583825841 /nfs/dbraw/zinc/82/58/41/583825841.db2.gz GCWMNSPEYABKGR-UHFFFAOYSA-N -1 1 337.307 -0.322 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)Nc1nnc(C(F)(F)F)s1 ZINC000823136163 583826008 /nfs/dbraw/zinc/82/60/08/583826008.db2.gz MONKEKAYEREPOH-UHFFFAOYSA-N -1 1 346.258 -0.033 20 0 EBADMM CC(=O)N1CCCN([C@H]2CN(C(=O)CN(C)C(=O)[O-])C[C@H]2C)CC1 ZINC000823555143 583832906 /nfs/dbraw/zinc/83/29/06/583832906.db2.gz ARHYDGHEAXCDQU-OCCSQVGLSA-N -1 1 340.424 -0.003 20 0 EBADMM CC(=O)N1CCCN([C@@H]2CN(C(=O)CNC(=O)[O-])C[C@@H]2C)CC1 ZINC000823556863 583833019 /nfs/dbraw/zinc/83/30/19/583833019.db2.gz RBBCLGVUQOMPBQ-WCQYABFASA-N -1 1 326.397 -0.345 20 0 EBADMM CC(=O)N1CC[C@H](NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)[C@H](C)C1 ZINC000823973235 583839577 /nfs/dbraw/zinc/83/95/77/583839577.db2.gz JLVWOBJKRAZIAL-KCJUWKMLSA-N -1 1 347.383 -0.021 20 0 EBADMM CC(=O)N1CC[C@H](NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)[C@H](C)C1 ZINC000823973235 583839580 /nfs/dbraw/zinc/83/95/80/583839580.db2.gz JLVWOBJKRAZIAL-KCJUWKMLSA-N -1 1 347.383 -0.021 20 0 EBADMM CC(C)(CCO)CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000824152338 583841951 /nfs/dbraw/zinc/84/19/51/583841951.db2.gz AZVYVUMDPYKEIB-UHFFFAOYSA-N -1 1 326.382 -0.051 20 0 EBADMM CC(C)(CCO)CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000824152338 583841952 /nfs/dbraw/zinc/84/19/52/583841952.db2.gz AZVYVUMDPYKEIB-UHFFFAOYSA-N -1 1 326.382 -0.051 20 0 EBADMM C[C@H](C[C@@H](O)c1ccco1)NC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000824390583 583844673 /nfs/dbraw/zinc/84/46/73/583844673.db2.gz BOVQWOJSLHUUER-RKDXNWHRSA-N -1 1 332.324 -0.320 20 0 EBADMM C[C@@H](CC(N)=O)NS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000824390785 583844702 /nfs/dbraw/zinc/84/47/02/583844702.db2.gz DLPAFPLJPSOSGF-LURJTMIESA-N -1 1 328.329 -0.452 20 0 EBADMM C[C@@H](CN(C)C(=O)[O-])C(=O)N1CCO[C@H](CN2CCN(C)CC2)C1 ZINC000824840055 583850935 /nfs/dbraw/zinc/85/09/35/583850935.db2.gz IFYCCUBZLBDVRI-UONOGXRCSA-N -1 1 342.440 -0.293 20 0 EBADMM CC[C@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)[C@H](O)C(F)(F)F ZINC000825133627 583855506 /nfs/dbraw/zinc/85/55/06/583855506.db2.gz OPNCFRRLHGUUIN-FSPLSTOPSA-N -1 1 334.262 -0.724 20 0 EBADMM CC[C@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)[C@@H](O)C(F)(F)F ZINC000825133625 583855525 /nfs/dbraw/zinc/85/55/25/583855525.db2.gz OPNCFRRLHGUUIN-CAHLUQPWSA-N -1 1 334.262 -0.724 20 0 EBADMM CC[C@@H](Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C)[C@H]1CCCO1 ZINC000825149353 583855672 /nfs/dbraw/zinc/85/56/72/583855672.db2.gz XIUBHDFNQHSZHG-RKDXNWHRSA-N -1 1 335.368 -0.366 20 0 EBADMM CCCn1c(C)nnc1Cn1c(=O)c(-c2nn[n-]n2)cn(C)c1=O ZINC000825356864 583859271 /nfs/dbraw/zinc/85/92/71/583859271.db2.gz NRHJCWDQRBZDLF-UHFFFAOYSA-N -1 1 331.340 -0.915 20 0 EBADMM CCNC(=O)CN1CCN(c2oc(C3CC3)nc2-c2nn[n-]n2)CC1 ZINC000825470399 583861422 /nfs/dbraw/zinc/86/14/22/583861422.db2.gz DSJJTYXHIBRAPT-UHFFFAOYSA-N -1 1 346.395 -0.010 20 0 EBADMM C[C@H](O)CN1CCN(C(=O)CN2CCC(NC(=O)[O-])CC2)C[C@@H]1C ZINC000825528702 583862914 /nfs/dbraw/zinc/86/29/14/583862914.db2.gz RQGCYSZPAYFJHM-STQMWFEESA-N -1 1 342.440 -0.368 20 0 EBADMM CN(C(=O)Cn1cnc(-c2nn[n-]n2)n1)[C@H]1CCCc2c1cnn2C ZINC000825820638 583867561 /nfs/dbraw/zinc/86/75/61/583867561.db2.gz NIPHCRVQSRILED-JTQLQIEISA-N -1 1 342.367 -0.272 20 0 EBADMM CN(C)C(=O)CNS(=O)(=O)c1cccc(Cl)c1-c1nnn[n-]1 ZINC000825841481 583868183 /nfs/dbraw/zinc/86/81/83/583868183.db2.gz WZLNJCMALPMGTO-UHFFFAOYSA-N -1 1 344.784 -0.113 20 0 EBADMM CN(C)C(=O)CNS(=O)(=O)c1cccc(Cl)c1-c1nn[n-]n1 ZINC000825841481 583868184 /nfs/dbraw/zinc/86/81/84/583868184.db2.gz WZLNJCMALPMGTO-UHFFFAOYSA-N -1 1 344.784 -0.113 20 0 EBADMM CN(C[C@@H]1CCCC[C@@H]1O)c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000825908368 583870725 /nfs/dbraw/zinc/87/07/25/583870725.db2.gz HBZTUOIBAHNMSI-UWVGGRQHSA-N -1 1 349.395 -0.749 20 0 EBADMM C[C@H](C(=O)N1CCC[C@@H](C(N)=O)C1)N1CCSC[C@H]1CC(=O)[O-] ZINC000825982636 583872572 /nfs/dbraw/zinc/87/25/72/583872572.db2.gz JCEWBYKLQLFYFX-IJLUTSLNSA-N -1 1 343.449 -0.009 20 0 EBADMM CNS(=O)(=O)CCCOC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000826091114 583877977 /nfs/dbraw/zinc/87/79/77/583877977.db2.gz VHZSICSPQQGNPC-UHFFFAOYSA-N -1 1 326.338 -0.642 20 0 EBADMM CNS(=O)(=O)CCCOC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000826091114 583877979 /nfs/dbraw/zinc/87/79/79/583877979.db2.gz VHZSICSPQQGNPC-UHFFFAOYSA-N -1 1 326.338 -0.642 20 0 EBADMM COCCN(CC(=O)OC)C(=O)Cc1noc(C)c1-c1nnn[n-]1 ZINC000826157952 583885531 /nfs/dbraw/zinc/88/55/31/583885531.db2.gz SNGURMNJPGZDCA-UHFFFAOYSA-N -1 1 338.324 -0.646 20 0 EBADMM COCCN(CC(=O)OC)C(=O)Cc1noc(C)c1-c1nn[n-]n1 ZINC000826157952 583885532 /nfs/dbraw/zinc/88/55/32/583885532.db2.gz SNGURMNJPGZDCA-UHFFFAOYSA-N -1 1 338.324 -0.646 20 0 EBADMM CS(=O)(=O)N1CCC(CNc2ccc(-c3nnn[n-]3)nn2)CC1 ZINC000826223084 583888043 /nfs/dbraw/zinc/88/80/43/583888043.db2.gz AMJXFXAFHYRWNW-UHFFFAOYSA-N -1 1 338.397 -0.260 20 0 EBADMM CS(=O)(=O)N1CCC(CNc2ccc(-c3nn[n-]n3)nn2)CC1 ZINC000826223084 583888045 /nfs/dbraw/zinc/88/80/45/583888045.db2.gz AMJXFXAFHYRWNW-UHFFFAOYSA-N -1 1 338.397 -0.260 20 0 EBADMM Cc1cc(C(=O)N(C)CCCc2[nH]nc(N)c2-c2nnn[n-]2)nn1C ZINC000826256901 583889949 /nfs/dbraw/zinc/88/99/49/583889949.db2.gz FSMTVDFXDRZTPF-UHFFFAOYSA-N -1 1 344.383 -0.081 20 0 EBADMM Cc1cc(C(=O)N(C)CCCc2[nH]nc(N)c2-c2nn[n-]n2)nn1C ZINC000826256901 583889951 /nfs/dbraw/zinc/88/99/51/583889951.db2.gz FSMTVDFXDRZTPF-UHFFFAOYSA-N -1 1 344.383 -0.081 20 0 EBADMM Cc1cccc2[nH]c(CNC(=O)Cn3cnc(-c4nn[n-]n4)n3)nc21 ZINC000826304556 583891121 /nfs/dbraw/zinc/89/11/21/583891121.db2.gz PLPMIVDNUHWHDA-UHFFFAOYSA-N -1 1 338.335 -0.041 20 0 EBADMM Cc1oc(NC(=O)CN(C)[C@@H]2CCNC2=O)c(-c2nn[n-]n2)c1C ZINC000826341334 583892004 /nfs/dbraw/zinc/89/20/04/583892004.db2.gz DXRNGISGTGAMTQ-SECBINFHSA-N -1 1 333.352 -0.165 20 0 EBADMM Cc1onc(CC(=O)N2CCN(C(=O)C3CC3)CC2)c1-c1nnn[n-]1 ZINC000826344489 583892275 /nfs/dbraw/zinc/89/22/75/583892275.db2.gz JQORYNSXINGEII-UHFFFAOYSA-N -1 1 345.363 -0.214 20 0 EBADMM Cc1onc(CC(=O)N2CCN(C(=O)C3CC3)CC2)c1-c1nn[n-]n1 ZINC000826344489 583892278 /nfs/dbraw/zinc/89/22/78/583892278.db2.gz JQORYNSXINGEII-UHFFFAOYSA-N -1 1 345.363 -0.214 20 0 EBADMM Cc1onc(CC(=O)N2CCN(C3CC3)C(=O)C2)c1-c1nnn[n-]1 ZINC000826344858 583892454 /nfs/dbraw/zinc/89/24/54/583892454.db2.gz UTSZUUCMOYONPP-UHFFFAOYSA-N -1 1 331.336 -0.461 20 0 EBADMM Cc1onc(CC(=O)N2CCN(C3CC3)C(=O)C2)c1-c1nn[n-]n1 ZINC000826344858 583892456 /nfs/dbraw/zinc/89/24/56/583892456.db2.gz UTSZUUCMOYONPP-UHFFFAOYSA-N -1 1 331.336 -0.461 20 0 EBADMM Cc1onc(CC(=O)N2CCN([C@@H]3CCOC3)CC2)c1-c1nn[n-]n1 ZINC000826344940 583892684 /nfs/dbraw/zinc/89/26/84/583892684.db2.gz XHRKGQWPZZFNLF-LLVKDONJSA-N -1 1 347.379 -0.361 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N2CCC[C@@]2(C)CCCO)n(C)c1=O ZINC000826355591 583893362 /nfs/dbraw/zinc/89/33/62/583893362.db2.gz GSELITDUKOBYKJ-HNNXBMFYSA-N -1 1 349.395 -0.605 20 0 EBADMM Cn1c(=O)cc(COc2cccc(F)c2-c2nnn[n-]2)n(C)c1=O ZINC000826355538 583893470 /nfs/dbraw/zinc/89/34/70/583893470.db2.gz DSHPEQPHEDYNTP-UHFFFAOYSA-N -1 1 332.295 -0.018 20 0 EBADMM Cn1c(=O)cc(COc2cccc(F)c2-c2nn[n-]n2)n(C)c1=O ZINC000826355538 583893471 /nfs/dbraw/zinc/89/34/71/583893471.db2.gz DSHPEQPHEDYNTP-UHFFFAOYSA-N -1 1 332.295 -0.018 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(=O)n(CC(=O)OCc2ccccc2)c1=O ZINC000826356381 583893791 /nfs/dbraw/zinc/89/37/91/583893791.db2.gz ODMYOAZZGGZYSL-UHFFFAOYSA-N -1 1 342.315 -0.530 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(=O)n(Cc2ccc3c(c2)OCCO3)c1=O ZINC000826357051 583893861 /nfs/dbraw/zinc/89/38/61/583893861.db2.gz PGCKOWAUTTWLPD-UHFFFAOYSA-N -1 1 342.315 -0.453 20 0 EBADMM Cn1cc(CNS(=O)(=O)c2ccc(F)cc2-c2nn[n-]n2)nn1 ZINC000826361671 583894495 /nfs/dbraw/zinc/89/44/95/583894495.db2.gz IFPWTSWXYYEIBZ-UHFFFAOYSA-N -1 1 338.328 -0.387 20 0 EBADMM O=C(Cn1cccc(-c2nn[n-]n2)c1=O)N1CCOC[C@H]1C1CC1 ZINC000826424697 583900734 /nfs/dbraw/zinc/90/07/34/583900734.db2.gz YTAABAPSRJSWGX-LBPRGKRZSA-N -1 1 330.348 -0.334 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCC[C@H]1[C@H](O)C(F)(F)F ZINC000826424858 583900764 /nfs/dbraw/zinc/90/07/64/583900764.db2.gz GPVONDQZHHLURG-XPUUQOCRSA-N -1 1 346.273 -0.628 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@@H]1CCCCC12OCCO2 ZINC000826425521 583901049 /nfs/dbraw/zinc/90/10/49/583901049.db2.gz IAUJATFANLHXQU-SECBINFHSA-N -1 1 334.340 -0.740 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@@H]1[C@H]2CCO[C@H]2C12CCCC2 ZINC000826425565 583901260 /nfs/dbraw/zinc/90/12/60/583901260.db2.gz JUHWAWKXWSILCB-YUSALJHKSA-N -1 1 344.379 -0.078 20 0 EBADMM O=C(Cn1ccnc1-c1nnn[n-]1)N1CCN(c2cnccn2)CC1 ZINC000826425213 583901300 /nfs/dbraw/zinc/90/13/00/583901300.db2.gz ULLPATKKCLRFGI-UHFFFAOYSA-N -1 1 340.351 -0.798 20 0 EBADMM O=C(Cn1ccnc1-c1nn[n-]n1)N1CCN(c2cnccn2)CC1 ZINC000826425213 583901302 /nfs/dbraw/zinc/90/13/02/583901302.db2.gz ULLPATKKCLRFGI-UHFFFAOYSA-N -1 1 340.351 -0.798 20 0 EBADMM O=C1CC[C@@H]2CN(S(=O)(=O)c3ccc(-c4nnn[n-]4)nc3)CCN12 ZINC000826480040 583903873 /nfs/dbraw/zinc/90/38/73/583903873.db2.gz PMOLBXAZZKLYNZ-SECBINFHSA-N -1 1 349.376 -0.743 20 0 EBADMM O=C1CC[C@@H]2CN(S(=O)(=O)c3ccc(-c4nn[n-]n4)nc3)CCN12 ZINC000826480040 583903875 /nfs/dbraw/zinc/90/38/75/583903875.db2.gz PMOLBXAZZKLYNZ-SECBINFHSA-N -1 1 349.376 -0.743 20 0 EBADMM O=C1NCCC[C@H]1NS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000826482903 583904616 /nfs/dbraw/zinc/90/46/16/583904616.db2.gz UPBHAGYDACPLSV-MRVPVSSYSA-N -1 1 340.340 -0.437 20 0 EBADMM O=C1NCCC[C@H]1NS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000826482903 583904619 /nfs/dbraw/zinc/90/46/19/583904619.db2.gz UPBHAGYDACPLSV-MRVPVSSYSA-N -1 1 340.340 -0.437 20 0 EBADMM O=S(=O)(NC[C@]1(O)CCSC1)c1ccc(-c2nnn[n-]2)nc1 ZINC000826490461 583905501 /nfs/dbraw/zinc/90/55/01/583905501.db2.gz WNZFXEWPUJMHPE-LLVKDONJSA-N -1 1 342.406 -0.592 20 0 EBADMM O=S(=O)(NC[C@]1(O)CCSC1)c1ccc(-c2nn[n-]n2)nc1 ZINC000826490461 583905503 /nfs/dbraw/zinc/90/55/03/583905503.db2.gz WNZFXEWPUJMHPE-LLVKDONJSA-N -1 1 342.406 -0.592 20 0 EBADMM c1nn(CCN2CCOCC2)cc1Nc1ccc(-c2nn[n-]n2)nn1 ZINC000826526481 583906831 /nfs/dbraw/zinc/90/68/31/583906831.db2.gz AHARYLBAOSWHOW-UHFFFAOYSA-N -1 1 342.367 -0.071 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@@H]2CCCN2S(C)(=O)=O)CC1 ZINC000827356182 583919715 /nfs/dbraw/zinc/91/97/15/583919715.db2.gz QNOIFNXMMNGTDJ-RYUDHWBXSA-N -1 1 347.437 -0.582 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)Cn2nc3ccccn3c2=O)CC1 ZINC000827368551 583920136 /nfs/dbraw/zinc/92/01/36/583920136.db2.gz GCJQXIHAKDMKDE-LBPRGKRZSA-N -1 1 347.375 -0.497 20 0 EBADMM C[C@H](CN1CCN(C)CC1)NC(=O)N1CCO[C@@H](CC(=O)[O-])C1 ZINC000827460898 583921379 /nfs/dbraw/zinc/92/13/79/583921379.db2.gz FUCKOJRTMHFZFZ-OLZOCXBDSA-N -1 1 328.413 -0.493 20 0 EBADMM C[C@@H](CN1CCN(C)CC1)NS(=O)(=O)c1c[nH]c(C(=O)[O-])c1 ZINC000827461035 583921560 /nfs/dbraw/zinc/92/15/60/583921560.db2.gz KOKDBPSQXDFDAN-JTQLQIEISA-N -1 1 330.410 -0.373 20 0 EBADMM CCc1nc([C@H]2CN(C(=O)COC3CN(C(=O)[O-])C3)CCO2)n[nH]1 ZINC000827621635 583924973 /nfs/dbraw/zinc/92/49/73/583924973.db2.gz TWKKKRXEYUCGFL-SNVBAGLBSA-N -1 1 339.352 -0.354 20 0 EBADMM COC(=O)[C@@H](F)C[N-]S(=O)(=O)C[C@@H](OC)C1CCOCC1 ZINC000827684407 583925909 /nfs/dbraw/zinc/92/59/09/583925909.db2.gz UKHYXFKZPJCMTI-WDEREUQCSA-N -1 1 327.374 -0.142 20 0 EBADMM C[C@@H](O)c1cn(CC(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)nn1 ZINC000827804061 583927976 /nfs/dbraw/zinc/92/79/76/583927976.db2.gz RHRIQMAPAVCNEC-WDEREUQCSA-N -1 1 325.369 -0.661 20 0 EBADMM CN(C)CC(=O)N1CCN(C(=O)[C@]2(C)CCN(C(=O)[O-])C2)CC1 ZINC000828008181 583931375 /nfs/dbraw/zinc/93/13/75/583931375.db2.gz DWXRGPNSXRMIKZ-OAHLLOKOSA-N -1 1 326.397 -0.391 20 0 EBADMM CNC(=O)NC(=O)[C@H](C)N1CCC(OCCCNC(=O)[O-])CC1 ZINC000828552048 583942499 /nfs/dbraw/zinc/94/24/99/583942499.db2.gz LQJXBJOCZVVTJF-JTQLQIEISA-N -1 1 330.385 -0.031 20 0 EBADMM COC(=O)CN1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC000828969113 583949136 /nfs/dbraw/zinc/94/91/36/583949136.db2.gz CKCWNXXOGSOKJO-UHFFFAOYSA-N -1 1 335.389 -0.051 20 0 EBADMM COCCN1CC[C@H](NC(=O)N2CCO[C@H]([C@H](C)NC(=O)[O-])C2)C1 ZINC000828984382 583949520 /nfs/dbraw/zinc/94/95/20/583949520.db2.gz CWMBRJWQGXFHSA-AVGNSLFASA-N -1 1 344.412 -0.226 20 0 EBADMM CN(C)CC(=O)NCc1ccc(CS(=O)(=O)CC(=O)[O-])cc1 ZINC000830595977 583968377 /nfs/dbraw/zinc/96/83/77/583968377.db2.gz COLNQUDMFFVABG-UHFFFAOYSA-N -1 1 328.390 -0.136 20 0 EBADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)CSCC(N)=O)C1 ZINC000830823033 583971427 /nfs/dbraw/zinc/97/14/27/583971427.db2.gz SRGVHBVXADOJNJ-SNVBAGLBSA-N -1 1 327.328 -0.126 20 0 EBADMM Cc1nn(CC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)c(=O)n1C ZINC000831117931 583976741 /nfs/dbraw/zinc/97/67/41/583976741.db2.gz XQTILLMDXFYDEH-UHFFFAOYSA-N -1 1 335.286 -0.973 20 0 EBADMM Cn1cnn(CCC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)c1=O ZINC000831126049 583976951 /nfs/dbraw/zinc/97/69/51/583976951.db2.gz WGFKOUGYBCOGNK-UHFFFAOYSA-N -1 1 335.286 -0.891 20 0 EBADMM O=C([O-])N1CC(OCC(=O)N2CCN([C@@H]3CCC[C@@H]3O)CC2)C1 ZINC000831859121 583993074 /nfs/dbraw/zinc/99/30/74/583993074.db2.gz SWLDUUUWAYPHQN-OLZOCXBDSA-N -1 1 327.381 -0.577 20 0 EBADMM O=C([O-])N1CCO[C@H](C(=O)N2CCN(C[C@@H]3CCOC3)CC2)C1 ZINC000832051354 583995202 /nfs/dbraw/zinc/99/52/02/583995202.db2.gz VDUDYPWAFNECMV-STQMWFEESA-N -1 1 327.381 -0.454 20 0 EBADMM O=C([O-])NC1(C(=O)N[C@H]2CCN(CCN3CCOCC3)C2)CC1 ZINC000832155900 583996172 /nfs/dbraw/zinc/99/61/72/583996172.db2.gz SKSFMSWJNSMBTQ-LBPRGKRZSA-N -1 1 326.397 -0.691 20 0 EBADMM Cc1nc([C@@H]2CCCN(S(=O)(=O)N(C)CCC(=O)[O-])C2)n[nH]1 ZINC000832997371 584002792 /nfs/dbraw/zinc/00/27/92/584002792.db2.gz XONQCMWUAKTQFM-SNVBAGLBSA-N -1 1 331.398 -0.056 20 0 EBADMM O=C([O-])CNC(=O)Cc1ccc(NC(=O)NCc2c[nH]nn2)cc1 ZINC000833252615 584007806 /nfs/dbraw/zinc/00/78/06/584007806.db2.gz RTKGVHADCGIQKM-UHFFFAOYSA-N -1 1 332.320 -0.130 20 0 EBADMM O=C([O-])c1cn(CC(=O)N2CCN(CC3CCOCC3)CC2)nn1 ZINC000833339972 584008747 /nfs/dbraw/zinc/00/87/47/584008747.db2.gz MYIVUCFPXZKTAR-UHFFFAOYSA-N -1 1 337.380 -0.453 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NC[C@H]1CN(C)CCO1 ZINC000833626437 584012744 /nfs/dbraw/zinc/01/27/44/584012744.db2.gz QYEPUWPMUDQZKR-STQMWFEESA-N -1 1 328.413 -0.493 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NCC(=O)NCC1CC1 ZINC000833625696 584012898 /nfs/dbraw/zinc/01/28/98/584012898.db2.gz OJKGQCHGYULIMT-LLVKDONJSA-N -1 1 326.397 -0.297 20 0 EBADMM CN(CC(=O)N1CC(n2cc(C(=O)[O-])nn2)C1)[C@@H]1CCSC1 ZINC000833692022 584014001 /nfs/dbraw/zinc/01/40/01/584014001.db2.gz SEYHHYBFWLYHOT-SECBINFHSA-N -1 1 325.394 -0.203 20 0 EBADMM COCC[N@H+]1CCC[C@@H]1CNS(=O)(=O)c1n[n-]c(C)c1C(=O)[O-] ZINC000833724177 584015326 /nfs/dbraw/zinc/01/53/26/584015326.db2.gz IPGLYQBODBLVIQ-SNVBAGLBSA-N -1 1 346.409 -0.195 20 0 EBADMM COCCN1CCC[C@@H]1CNS(=O)(=O)c1n[nH]c(C)c1C(=O)[O-] ZINC000833724177 584015327 /nfs/dbraw/zinc/01/53/27/584015327.db2.gz IPGLYQBODBLVIQ-SNVBAGLBSA-N -1 1 346.409 -0.195 20 0 EBADMM NC(=O)C[C@@H](NC(=O)[O-])C(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000833983655 584022439 /nfs/dbraw/zinc/02/24/39/584022439.db2.gz VSLLJHXLAJRCRM-SECBINFHSA-N -1 1 332.320 -0.401 20 0 EBADMM NC(=O)C[C@@H](NC(=O)[O-])C(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000833983655 584022441 /nfs/dbraw/zinc/02/24/41/584022441.db2.gz VSLLJHXLAJRCRM-SECBINFHSA-N -1 1 332.320 -0.401 20 0 EBADMM O=C([O-])N1CCN(C(=O)[C@@H]2CCC[N@H+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC000834114557 584026514 /nfs/dbraw/zinc/02/65/14/584026514.db2.gz DBGWQEUHDFVGTN-SNVBAGLBSA-N -1 1 338.368 -0.456 20 0 EBADMM O=C([O-])N1CCN(C(=O)[C@@H]2CCC[N@@H+](Cc3n[nH]c(=O)[n-]3)C2)CC1 ZINC000834114557 584026515 /nfs/dbraw/zinc/02/65/15/584026515.db2.gz DBGWQEUHDFVGTN-SNVBAGLBSA-N -1 1 338.368 -0.456 20 0 EBADMM O=C([O-])N[C@H]1CC(=O)N(CN2CC[C@@H](CN3CCOCC3)C2)C1 ZINC000834157161 584027249 /nfs/dbraw/zinc/02/72/49/584027249.db2.gz HJZOEGDIIWXCEA-STQMWFEESA-N -1 1 326.397 -0.534 20 0 EBADMM O=C([O-])NCC(=O)NCC(=O)NCCN1CCc2ccccc2C1 ZINC000834196077 584028297 /nfs/dbraw/zinc/02/82/97/584028297.db2.gz IOHCJPODZIJHKF-UHFFFAOYSA-N -1 1 334.376 -0.455 20 0 EBADMM COC(=O)[C@H](CF)[N-]S(=O)(=O)c1cnn(C)c1Br ZINC000867270565 584055175 /nfs/dbraw/zinc/05/51/75/584055175.db2.gz OWRNIUKXDOGKCT-YFKPBYRVSA-N -1 1 344.162 -0.028 20 0 EBADMM COC[C@@]1(C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)CCOC1 ZINC000843015234 584069640 /nfs/dbraw/zinc/06/96/40/584069640.db2.gz SISDJTUGETVBJK-ZDUSSCGKSA-N -1 1 334.438 -0.030 20 0 EBADMM CC(=O)N[C@H](Cc1c[nH]c2ccccc12)C(=O)NN1CC(=O)[N-]C1=O ZINC000843386800 584077431 /nfs/dbraw/zinc/07/74/31/584077431.db2.gz NOCDSHTTZQQDIZ-CYBMUJFWSA-N -1 1 343.343 -0.202 20 0 EBADMM O=S(=O)(N=S1(=O)CCCC1)[N-][C@@H]1CCCN(CCCO)C1 ZINC000867327852 584080136 /nfs/dbraw/zinc/08/01/36/584080136.db2.gz QXKAOLUFPRZMHL-GFCCVEGCSA-N -1 1 339.483 -0.071 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCO[C@@]2(CCSC2)C1 ZINC000843946737 584084695 /nfs/dbraw/zinc/08/46/95/584084695.db2.gz RBGUGEDMESYPEF-AWEZNQCLSA-N -1 1 325.390 -0.339 20 0 EBADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]CCN1C[C@H]2CC[C@@H](C1)O2 ZINC000867354645 584093682 /nfs/dbraw/zinc/09/36/82/584093682.db2.gz HRXWTQKXBOHRHP-RMDKCXRXSA-N -1 1 325.456 -0.198 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCn2cnnn2)c(C(F)(F)F)n1 ZINC000845950710 584118257 /nfs/dbraw/zinc/11/82/57/584118257.db2.gz NDTPEVMIUXCEBT-UHFFFAOYSA-N -1 1 325.276 -0.596 20 0 EBADMM CCS(=O)(=O)N1CCC[C@H](NC(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC000849230320 584167081 /nfs/dbraw/zinc/16/70/81/584167081.db2.gz AYDPWHGVSONZMF-NKWVEPMBSA-N -1 1 332.300 -0.068 20 0 EBADMM CCNS(=O)(=O)N1CCC[C@H](NC(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC000849233062 584167209 /nfs/dbraw/zinc/16/72/09/584167209.db2.gz WGDHZMZPUDWRIN-BQBZGAKWSA-N -1 1 347.315 -0.563 20 0 EBADMM CO[C@H]1CS(=O)(=O)C[C@H]1[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000849432871 584170699 /nfs/dbraw/zinc/17/06/99/584170699.db2.gz VOLKMPRMUMLIQJ-RITPCOANSA-N -1 1 329.787 -0.847 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3C[C@H]4COC[C@]4(C)C3)ccnc1-2 ZINC000850659627 584184526 /nfs/dbraw/zinc/18/45/26/584184526.db2.gz ZOBYZHGPWIFIRU-QFYYESIMSA-N -1 1 329.360 -0.225 20 0 EBADMM C[C@@H]1CN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)C[C@]1(C)CO ZINC000850706381 584186871 /nfs/dbraw/zinc/18/68/71/584186871.db2.gz IQDNLFQLTDJOIT-QLJPJBMISA-N -1 1 331.376 -0.243 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)OCCn2cc(C(N)=O)cn2)o1 ZINC000851496432 584194629 /nfs/dbraw/zinc/19/46/29/584194629.db2.gz WHLFJUMNCORQBL-UHFFFAOYSA-N -1 1 342.333 -0.660 20 0 EBADMM Cn1nnc(CN2CCC[C@@H](NC(=O)C(F)(F)F)[C@H]2C(=O)[O-])n1 ZINC000852732332 584215058 /nfs/dbraw/zinc/21/50/58/584215058.db2.gz BAAAFHFGXYBDMS-SVRRBLITSA-N -1 1 336.274 -0.694 20 0 EBADMM CC(Nc1cccc(S(N)(=O)=O)c1)=C1C(=O)[N-]C(=S)NC1=O ZINC000009771576 584251647 /nfs/dbraw/zinc/25/16/47/584251647.db2.gz SIBLNEGEWVUBJE-UHFFFAOYSA-N -1 1 340.386 -0.449 20 0 EBADMM C[C@@H]1CCN(C(=O)[C@H]2CN(C)C(=O)N2)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000856416433 584268758 /nfs/dbraw/zinc/26/87/58/584268758.db2.gz ZCTSCZUOBWPVRG-HRDYMLBCSA-N -1 1 336.314 -0.075 20 0 EBADMM Cn1cc(N2C[C@@H](C(=O)[N-]OCCN3CCCC3=O)CC2=O)cn1 ZINC000862217922 584349904 /nfs/dbraw/zinc/34/99/04/584349904.db2.gz XVLHXXFROVJKQB-NSHDSACASA-N -1 1 335.364 -0.557 20 0 EBADMM CN1CCN(C(=O)CC[N-]S(=O)(=O)c2ccc(F)nc2F)CC1 ZINC000866524547 584401798 /nfs/dbraw/zinc/40/17/98/584401798.db2.gz GHNDNOBVFYHGLY-UHFFFAOYSA-N -1 1 348.375 -0.198 20 0 EBADMM CCOC1CC2(C[C@@H]2[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)C1 ZINC000872653783 584464558 /nfs/dbraw/zinc/46/45/58/584464558.db2.gz XPARSKDWCNKOJH-ZQDGQSPWSA-N -1 1 343.405 -0.680 20 0 EBADMM Cn1nccc1NC(=O)C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000874229384 584480742 /nfs/dbraw/zinc/48/07/42/584480742.db2.gz SHLUIHZRUDLGLC-UHFFFAOYSA-N -1 1 333.270 -0.505 20 0 EBADMM Cn1cc(NC(=O)C(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)cn1 ZINC000874229071 584480837 /nfs/dbraw/zinc/48/08/37/584480837.db2.gz CZTHFIIJSPTQGN-UHFFFAOYSA-N -1 1 333.270 -0.505 20 0 EBADMM COC[C@H](CNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)OC ZINC000875475522 584499640 /nfs/dbraw/zinc/49/96/40/584499640.db2.gz SMDLXXPMXCWPAO-VIFPVBQESA-N -1 1 327.303 -0.032 20 0 EBADMM CCN1CC[C@H](NC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)C1=O ZINC000875567630 584500914 /nfs/dbraw/zinc/50/09/14/584500914.db2.gz KMVITJXQBFQHQF-VIFPVBQESA-N -1 1 336.314 -0.073 20 0 EBADMM CN1CCN(C)[C@@H](CNc2ccc(S(N)(=O)=O)cc2C(=O)[O-])C1 ZINC000320602773 600240352 /nfs/dbraw/zinc/24/03/52/600240352.db2.gz STUQSZXHHLLQRA-JTQLQIEISA-N -1 1 342.421 -0.310 20 0 EBADMM Cc1nc([C@H]2CN(S(=O)(=O)c3c[nH]c(C(=O)[O-])c3)CCO2)n[nH]1 ZINC000738823508 601107812 /nfs/dbraw/zinc/10/78/12/601107812.db2.gz LWXUIKUCCGNGPF-SNVBAGLBSA-N -1 1 341.349 -0.098 20 0 EBADMM O=C([O-])NC[C@H]1CCN(CC(=O)N2CCN(c3cnccn3)CC2)C1 ZINC000740644520 601936547 /nfs/dbraw/zinc/93/65/47/601936547.db2.gz ZAQHWHUEFVPCPP-CYBMUJFWSA-N -1 1 348.407 -0.285 20 0 EBADMM CS(=O)(=O)CCCN1CCC[C@H](C(=O)NCCNC(=O)[O-])C1 ZINC000739985471 602315223 /nfs/dbraw/zinc/31/52/23/602315223.db2.gz WESLVEIEVWZISO-NSHDSACASA-N -1 1 335.426 -0.483 20 0 EBADMM C[C@H](CN1CCN(C)CC1)NC(=O)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000738913271 602717208 /nfs/dbraw/zinc/71/72/08/602717208.db2.gz SPKYAKHAADRYFX-OLZOCXBDSA-N -1 1 327.429 -0.079 20 0 EBADMM CN(CCNC(=O)C(=O)Nc1ccn(-c2ccncc2)n1)C(=O)[O-] ZINC000739690075 602768369 /nfs/dbraw/zinc/76/83/69/602768369.db2.gz KYFHRAQZHCHFEE-UHFFFAOYSA-N -1 1 332.320 -0.068 20 0 EBADMM O=C([O-])N1CCOC[C@H]1C(=O)N1CCC(CN2CCOCC2)CC1 ZINC000740350948 602850478 /nfs/dbraw/zinc/85/04/78/602850478.db2.gz XWQSNBGGWDLNKU-AWEZNQCLSA-N -1 1 341.408 -0.064 20 0 EBADMM C[C@@H]1CC(=O)N(CN2CCC[C@@H](C(=O)NCCNC(=O)[O-])C2)C1 ZINC000739076432 602860289 /nfs/dbraw/zinc/86/02/89/602860289.db2.gz PDCBSJLYZRNDSB-VXGBXAGGSA-N -1 1 326.397 -0.092 20 0 EBADMM CN1CCN(C[C@@H]2CN(C(=O)CC(C)(C)NC(=O)[O-])CCO2)CC1 ZINC000739736157 603045122 /nfs/dbraw/zinc/04/51/22/603045122.db2.gz CHMQMWNJLJFKGJ-CYBMUJFWSA-N -1 1 342.440 -0.103 20 0 EBADMM COCCN(CC(=O)N1CCN([C@H]2CCC[C@H]2O)CC1)C(=O)[O-] ZINC000739817504 603112092 /nfs/dbraw/zinc/11/20/92/603112092.db2.gz RGHDOLBEQHCMOM-QWHCGFSZSA-N -1 1 329.397 -0.330 20 0 EBADMM O=C([N-]NC(=O)c1ccc(-n2cnnn2)cc1)c1ccc[n+]([O-])c1 ZINC000023159733 649934465 /nfs/dbraw/zinc/93/44/65/649934465.db2.gz WJQRVJJNZYTCSE-UHFFFAOYSA-N -1 1 325.288 -0.630 20 0 EBADMM CN1CCN(C[C@@H]2CN(C(=O)[C@H]3CCN(C(=O)[O-])C3)CCO2)CC1 ZINC000739738349 603303572 /nfs/dbraw/zinc/30/35/72/603303572.db2.gz WFURZQDYAYQRRA-UONOGXRCSA-N -1 1 340.424 -0.539 20 0 EBADMM CN1CCN(C[C@H]2CN(C(=O)[C@@H]3CCN(C(=O)[O-])C3)CCO2)CC1 ZINC000739738347 603303692 /nfs/dbraw/zinc/30/36/92/603303692.db2.gz WFURZQDYAYQRRA-KGLIPLIRSA-N -1 1 340.424 -0.539 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)CN2CC[C@@H](NC(=O)[O-])C2)C1 ZINC000738825316 604378319 /nfs/dbraw/zinc/37/83/19/604378319.db2.gz ORVCTNYYMURQTK-ZIAGYGMSSA-N -1 1 342.440 -0.199 20 0 EBADMM CN1CC[C@H](C(=O)OCC(=O)N[N-]C(=O)c2cccs2)CC1=O ZINC000044435386 649943582 /nfs/dbraw/zinc/94/35/82/649943582.db2.gz NFPMOFLCNHCHCN-VIFPVBQESA-N -1 1 339.373 -0.079 20 0 EBADMM COc1cc[n-]c(=NNC(=O)NC2CCN(S(C)(=O)=O)CC2)n1 ZINC000045406827 649944810 /nfs/dbraw/zinc/94/48/10/649944810.db2.gz KPFLYIDCVRQVOK-UHFFFAOYSA-N -1 1 344.397 -0.465 20 0 EBADMM O=C([N-]S(=O)(=O)c1cccc(-n2cnnn2)c1)[C@@H]1CC1[N+](=O)[O-] ZINC000048478683 649949094 /nfs/dbraw/zinc/94/90/94/649949094.db2.gz RJIXKHHAPHLPQQ-NXEZZACHSA-N -1 1 338.305 -0.027 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)[C@@H]1CC(=O)N(CC(F)(F)F)C1 ZINC000324114469 605078468 /nfs/dbraw/zinc/07/84/68/605078468.db2.gz OMHDTMXZWQFDKV-APPZFPTMSA-N -1 1 348.281 -0.068 20 0 EBADMM O=C(CCC(=O)N1CCc2sccc2C1)NN1CC(=O)[N-]C1=O ZINC000055278873 649958468 /nfs/dbraw/zinc/95/84/68/649958468.db2.gz ASCCPAYFTAIIPX-UHFFFAOYSA-N -1 1 336.373 -0.004 20 0 EBADMM COC(=O)[C@@](C)(Cn1cccn1)[N-]S(=O)(=O)C[C@@H]1CCOC1 ZINC000908397751 650100785 /nfs/dbraw/zinc/10/07/85/650100785.db2.gz VRINFVITYJXMMS-DGCLKSJQSA-N -1 1 331.394 -0.229 20 0 EBADMM CC(C)(C(=O)[O-])N1CCN(C(=O)C2(N3CCOCC3)CC2)CC1 ZINC000910178469 650109357 /nfs/dbraw/zinc/10/93/57/650109357.db2.gz XDOREDSAOAICAW-UHFFFAOYSA-N -1 1 325.409 -0.141 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCCNC(=O)C(C)C ZINC000912542442 650207704 /nfs/dbraw/zinc/20/77/04/650207704.db2.gz NXBXAULIGBMGEX-UHFFFAOYSA-N -1 1 332.382 -0.363 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC1([S@](C)=O)CCC1 ZINC000912551311 650207959 /nfs/dbraw/zinc/20/79/59/650207959.db2.gz YWBGMQQLGWYOTF-FQEVSTJZSA-N -1 1 335.407 -0.224 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1nnc(C)n1C1CC1 ZINC000912546425 650207981 /nfs/dbraw/zinc/20/79/81/650207981.db2.gz GBFNEQSTZPEPGI-UHFFFAOYSA-N -1 1 340.365 -0.090 20 0 EBADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@H](CO)c1cccnc1 ZINC000912553827 650208404 /nfs/dbraw/zinc/20/84/04/650208404.db2.gz NLAXJGLCJWNPIZ-SNVBAGLBSA-N -1 1 340.361 -0.088 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCN(C)C[C@H]1CO ZINC000912743795 650212173 /nfs/dbraw/zinc/21/21/73/650212173.db2.gz GBTGPGXCZJARJQ-LBPRGKRZSA-N -1 1 333.392 -0.271 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCN(C)C[C@@H]1CO ZINC000912743794 650212596 /nfs/dbraw/zinc/21/25/96/650212596.db2.gz GBTGPGXCZJARJQ-GFCCVEGCSA-N -1 1 333.392 -0.271 20 0 EBADMM C[C@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)CN2CCNC(=O)C2)C1 ZINC000913779711 650245907 /nfs/dbraw/zinc/24/59/07/650245907.db2.gz FVLKIMMUGOWKRN-LBPRGKRZSA-N -1 1 336.314 -0.912 20 0 EBADMM CS(=O)(=O)NCCC(=O)OCC(=O)[N-]C(=O)c1ccccc1 ZINC000914650416 650261067 /nfs/dbraw/zinc/26/10/67/650261067.db2.gz GOUNPKBFAIRFHT-UHFFFAOYSA-N -1 1 328.346 -0.575 20 0 EBADMM C[C@@H](OC(=O)c1sccc1[N-]S(C)(=O)=O)C(=O)NC(N)=O ZINC000915876246 650271853 /nfs/dbraw/zinc/27/18/53/650271853.db2.gz NOVGMQRNKRGRLL-RXMQYKEDSA-N -1 1 335.363 -0.140 20 0 EBADMM CN(C(=O)CN1CCOCC1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937210903 651679131 /nfs/dbraw/zinc/67/91/31/651679131.db2.gz GUYXSNYZEMZWMQ-ZDUSSCGKSA-N -1 1 348.403 -0.208 20 0 EBADMM CN(C(=O)CN1CN=NC1=O)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937211406 651679568 /nfs/dbraw/zinc/67/95/68/651679568.db2.gz JIQMHEKWSKIUEA-SNVBAGLBSA-N -1 1 346.347 -0.543 20 0 EBADMM CN(C(=O)[C@@H]1CN(C)CCO1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937211786 651679778 /nfs/dbraw/zinc/67/97/78/651679778.db2.gz PBLWEFCUWJOFAE-JSGCOSHPSA-N -1 1 348.403 -0.209 20 0 EBADMM CN(C(=O)[C@@]1(C)CCNC1=O)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937217572 651685778 /nfs/dbraw/zinc/68/57/78/651685778.db2.gz WXWCYKJDWDLCEL-DIFFPNOSSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)[C@@H]1CCC(=O)NC1)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937217878 651686140 /nfs/dbraw/zinc/68/61/40/651686140.db2.gz YUIVFJDDJIBFDB-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@H]2COC(=O)N2)C1 ZINC000937288545 651719473 /nfs/dbraw/zinc/71/94/73/651719473.db2.gz ZNJRQMKCIOMBOX-VHSXEESVSA-N -1 1 334.332 -0.432 20 0 EBADMM O=C(NC[C@@H]1CCCCN1C(=O)c1ncccc1[O-])[C@@H]1CNC(=O)N1 ZINC000937361868 651750483 /nfs/dbraw/zinc/75/04/83/651750483.db2.gz FDHYNJRETGGDDJ-QWRGUYRKSA-N -1 1 347.375 -0.421 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1cocn1 ZINC000937745712 651900865 /nfs/dbraw/zinc/90/08/65/651900865.db2.gz DJVZJBBDUPVYDV-QMMMGPOBSA-N -1 1 343.303 -0.950 20 0 EBADMM C[C@H](C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1)n1cncn1 ZINC000937862158 651958100 /nfs/dbraw/zinc/95/81/00/651958100.db2.gz ZUMYMARHFNAOIH-MNOVXSKESA-N -1 1 330.348 -0.029 20 0 EBADMM Cn1nc(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)ccc1=O ZINC000937863984 651958856 /nfs/dbraw/zinc/95/88/56/651958856.db2.gz NHMHDQDFPZMVQL-JTQLQIEISA-N -1 1 343.343 -0.475 20 0 EBADMM CN1CC[C@H](CC(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)C1=O ZINC000937865623 651959663 /nfs/dbraw/zinc/95/96/63/651959663.db2.gz LKYDXAATMUULJQ-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM NC(=O)c1cc(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)c[nH]1 ZINC000937985502 652026629 /nfs/dbraw/zinc/02/66/29/652026629.db2.gz PNMZNMJUBWKFRE-JTQLQIEISA-N -1 1 343.343 -0.141 20 0 EBADMM CN1C[C@H](C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC000938087571 652072016 /nfs/dbraw/zinc/07/20/16/652072016.db2.gz CSDHGOUYFHZGFS-MNOVXSKESA-N -1 1 332.360 -0.404 20 0 EBADMM Cn1cnc(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)cc1=O ZINC000938176169 652119988 /nfs/dbraw/zinc/11/99/88/652119988.db2.gz ROSOJTMBQWKMOS-JTQLQIEISA-N -1 1 343.343 -0.475 20 0 EBADMM Cc1cc(C(=O)N2CC[C@H](N(C)C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC000936779857 652125432 /nfs/dbraw/zinc/12/54/32/652125432.db2.gz DLMSCOCQEBVDML-QMMMGPOBSA-N -1 1 347.335 -0.699 20 0 EBADMM CC(C)CC(=O)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000938314678 652193223 /nfs/dbraw/zinc/19/32/23/652193223.db2.gz NMSIGXMZJFGKDU-JTQLQIEISA-N -1 1 332.364 -0.206 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H](NC(=O)[C@@H]2C[C@H]2C)C1 ZINC000938410503 652247073 /nfs/dbraw/zinc/24/70/73/652247073.db2.gz ASZMECIURRHMIU-HRDYMLBCSA-N -1 1 336.348 -0.117 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCNC1=O ZINC000936968041 652329596 /nfs/dbraw/zinc/32/95/96/652329596.db2.gz ZDWJJZHIEZCFEP-SRVKXCTJSA-N -1 1 344.371 -0.261 20 0 EBADMM O=C(NC[C@H]1CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1)C1CCC1 ZINC000944144920 652694121 /nfs/dbraw/zinc/69/41/21/652694121.db2.gz CZRJVFAOEFMJHL-LLVKDONJSA-N -1 1 344.375 -0.062 20 0 EBADMM Cn1[n-]c(CN2CC(N3CCN(C(=O)[C@@H]4CC4(C)C)CC3)C2)nc1=O ZINC000941382024 652706250 /nfs/dbraw/zinc/70/62/50/652706250.db2.gz LPYZQERTWZWZCU-ZDUSSCGKSA-N -1 1 348.451 -0.517 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@@H]2CCC(=O)NC2)C1 ZINC000938825109 652726719 /nfs/dbraw/zinc/72/67/19/652726719.db2.gz MNTQCDCUKRWTID-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM CC(=O)N1CCC2(CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)CC1 ZINC000944333007 652746952 /nfs/dbraw/zinc/74/69/52/652746952.db2.gz UNEFETLSSSERAS-UHFFFAOYSA-N -1 1 344.375 -0.108 20 0 EBADMM CC(C)C(=O)N1CCC(NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000944363218 652750388 /nfs/dbraw/zinc/75/03/88/652750388.db2.gz QLXHYBSIIAJZEU-UHFFFAOYSA-N -1 1 332.364 -0.206 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@]2(C)CNC(=O)C2)C1 ZINC000938890324 652760991 /nfs/dbraw/zinc/76/09/91/652760991.db2.gz MFLQDPJEQBTHJG-GTNSWQLSSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N(C)[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000938901443 652765584 /nfs/dbraw/zinc/76/55/84/652765584.db2.gz JLLIWTBUMOAGPZ-OUAUKWLOSA-N -1 1 344.375 -0.254 20 0 EBADMM CN(C(=O)C1CC1)[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000938901834 652766408 /nfs/dbraw/zinc/76/64/08/652766408.db2.gz QZAVFBGQTQKOBL-JTQLQIEISA-N -1 1 330.348 -0.500 20 0 EBADMM CO[C@@H]1CN(C(=O)c2[nH]nnc2C)C[C@H]1NC(=O)c1[nH]nc(C)c1[O-] ZINC000941542573 652794549 /nfs/dbraw/zinc/79/45/49/652794549.db2.gz DZCGQJZCSGSZTJ-RKDXNWHRSA-N -1 1 349.351 -0.880 20 0 EBADMM CO[C@@H]1CN(C(=O)Cc2ccn[nH]2)C[C@H]1NC(=O)c1[nH]nc(C)c1[O-] ZINC000941545919 652797019 /nfs/dbraw/zinc/79/70/19/652797019.db2.gz AEMPLSWMUYXYJR-GHMZBOCLSA-N -1 1 348.363 -0.655 20 0 EBADMM C[C@H]1C[C@H]1CC(=O)N1CCN(C2CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC000941551259 652798777 /nfs/dbraw/zinc/79/87/77/652798777.db2.gz YAURSMXXCQWWII-STQMWFEESA-N -1 1 348.451 -0.517 20 0 EBADMM O=C(NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1)[C@H]1CCOC1 ZINC000944503252 652807454 /nfs/dbraw/zinc/80/74/54/652807454.db2.gz AOQQZGIOJIYVFQ-NWDGAFQWSA-N -1 1 335.360 -0.219 20 0 EBADMM CCN1CC(N2CCN(C(=O)c3c[n-]n4c3nccc4=O)CC2)C1 ZINC000941595808 652823033 /nfs/dbraw/zinc/82/30/33/652823033.db2.gz OPVMFOLOMJEXOS-UHFFFAOYSA-N -1 1 330.392 -0.516 20 0 EBADMM CO[C@@H]1CN(C(=O)Cc2cc[nH]n2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941601552 652825544 /nfs/dbraw/zinc/82/55/44/652825544.db2.gz XFEZNZQGFIBGSE-DGCLKSJQSA-N -1 1 345.359 -0.291 20 0 EBADMM CO[C@@H]1CN(C(=O)Cc2ccn[nH]2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941601552 652825553 /nfs/dbraw/zinc/82/55/53/652825553.db2.gz XFEZNZQGFIBGSE-DGCLKSJQSA-N -1 1 345.359 -0.291 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1)c1cncnc1 ZINC000944559384 652857817 /nfs/dbraw/zinc/85/78/17/652857817.db2.gz QEXSIWXUNVNXCE-LBPRGKRZSA-N -1 1 343.343 -0.152 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2ncccn2)CCO1)c1ncccc1[O-] ZINC000944563176 652863393 /nfs/dbraw/zinc/86/33/93/652863393.db2.gz FTMXMQDKJSYDRR-NSHDSACASA-N -1 1 343.343 -0.152 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCN(C(=O)c2ccc[nH]2)C1 ZINC000941662963 652864679 /nfs/dbraw/zinc/86/46/79/652864679.db2.gz JQIMBNRHSRVWNY-MRVPVSSYSA-N -1 1 347.331 -0.131 20 0 EBADMM O=C(CC1CC1)N1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000941664738 652866667 /nfs/dbraw/zinc/86/66/67/652866667.db2.gz ZDQSDRIGOMNWBN-SNVBAGLBSA-N -1 1 330.348 -0.452 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccc3nncn3c2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939305350 652888370 /nfs/dbraw/zinc/88/83/70/652888370.db2.gz ZEZHHRAFNAJNIP-KOLCDFICSA-N -1 1 342.363 -0.197 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1cnn(C)c1 ZINC000941795081 652914272 /nfs/dbraw/zinc/91/42/72/652914272.db2.gz QOJHYLWVQKMCMO-CMPLNLGQSA-N -1 1 333.396 -0.518 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1ccc(=O)[nH]c1 ZINC000944634678 652923459 /nfs/dbraw/zinc/92/34/59/652923459.db2.gz NWZSBXHVCZFWGI-PWSUYJOCSA-N -1 1 346.391 -0.151 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1ccon1 ZINC000939593851 653008757 /nfs/dbraw/zinc/00/87/57/653008757.db2.gz HZPCYAWKDRYFOY-MRVPVSSYSA-N -1 1 343.303 -0.950 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)C1=CCOCC1 ZINC000941984834 653012759 /nfs/dbraw/zinc/01/27/59/653012759.db2.gz OSOFQZVBHJYWRB-WCQYABFASA-N -1 1 335.408 -0.218 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@]12C[C@H]1COC2 ZINC000942081835 653032407 /nfs/dbraw/zinc/03/24/07/653032407.db2.gz FFYSRTGAGVJOHM-ZKAWZIJESA-N -1 1 347.371 -0.221 20 0 EBADMM Cc1ncc(CC(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)o1 ZINC000942122176 653039718 /nfs/dbraw/zinc/03/97/18/653039718.db2.gz LCKDWSPXTOZHSN-GXFFZTMASA-N -1 1 348.407 -0.026 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)Cn2cncn2)C1 ZINC000939780849 653044844 /nfs/dbraw/zinc/04/48/44/653044844.db2.gz KRXUSZWZHHAZNV-LLVKDONJSA-N -1 1 330.348 -0.248 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1cn[nH]c(=O)c1 ZINC000942157042 653047700 /nfs/dbraw/zinc/04/77/00/653047700.db2.gz OUARHACHHQTLRY-MWLCHTKSSA-N -1 1 347.379 -0.756 20 0 EBADMM O=C(CN1CCOCC1)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000940074250 653078249 /nfs/dbraw/zinc/07/82/49/653078249.db2.gz MPQUXUCHVUADGI-GFCCVEGCSA-N -1 1 334.376 -0.550 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1cncn1C ZINC000942304473 653078512 /nfs/dbraw/zinc/07/85/12/653078512.db2.gz PUITTXVLWBRJLX-QWRGUYRKSA-N -1 1 333.396 -0.518 20 0 EBADMM CN1CC[C@H](C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)C1=O ZINC000940130269 653084460 /nfs/dbraw/zinc/08/44/60/653084460.db2.gz JKAYOJXVFLIGDA-MNOVXSKESA-N -1 1 332.360 -0.404 20 0 EBADMM Cc1nnc(CC(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)[nH]1 ZINC000940132205 653085078 /nfs/dbraw/zinc/08/50/78/653085078.db2.gz QPCZMYJHJDVVDD-SNVBAGLBSA-N -1 1 330.348 -0.213 20 0 EBADMM CN1CC[C@@H](CC(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)C1=O ZINC000940138990 653085410 /nfs/dbraw/zinc/08/54/10/653085410.db2.gz LKYDXAATMUULJQ-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1cc(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)n(C)c1=O ZINC000940135794 653085458 /nfs/dbraw/zinc/08/54/58/653085458.db2.gz HDVYCXUNPIFJQL-SNVBAGLBSA-N -1 1 345.359 -0.531 20 0 EBADMM NC(=O)[C@@H]1CC[C@H](C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])C2)O1 ZINC000940139097 653085462 /nfs/dbraw/zinc/08/54/62/653085462.db2.gz QDBDQICYVXIODC-ADEWGFFLSA-N -1 1 348.359 -0.849 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000940180109 653090559 /nfs/dbraw/zinc/09/05/59/653090559.db2.gz XBXHOVVNFIVTNO-GFCCVEGCSA-N -1 1 332.360 -0.404 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H](NC(=O)[C@@H]2CC23CC3)C1 ZINC000942511276 653129854 /nfs/dbraw/zinc/12/98/54/653129854.db2.gz QANHXVOJKXTVAA-NEPJUHHUSA-N -1 1 346.387 -0.556 20 0 EBADMM Cc1n[nH]cc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1C ZINC000942545597 653137639 /nfs/dbraw/zinc/13/76/39/653137639.db2.gz MKDVLFLNQWOPNG-CABZTGNLSA-N -1 1 333.396 -0.220 20 0 EBADMM O=C(NC1CCN(C(=O)c2ncccc2[O-])CC1)[C@H]1COCCO1 ZINC000946532851 653144549 /nfs/dbraw/zinc/14/45/49/653144549.db2.gz FJANVUBZYHUBNI-CYBMUJFWSA-N -1 1 335.360 -0.077 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC000942565822 653150816 /nfs/dbraw/zinc/15/08/16/653150816.db2.gz RORUOEIBISVGMQ-BYNIDDHOSA-N -1 1 335.408 -0.673 20 0 EBADMM Cc1ncc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)n1C ZINC000942579885 653152792 /nfs/dbraw/zinc/15/27/92/653152792.db2.gz OZBRWQXNXCENGL-ZYHUDNBSSA-N -1 1 347.423 -0.209 20 0 EBADMM CCN(C(=O)C1CC1)[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000942633213 653164384 /nfs/dbraw/zinc/16/43/84/653164384.db2.gz ZEPHTNYZUGFGIW-LLVKDONJSA-N -1 1 344.375 -0.109 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ccn[nH]3)C(C)(C)C2)nc1=O ZINC000940589920 653238239 /nfs/dbraw/zinc/23/82/39/653238239.db2.gz CLJRPSOTZDRBKE-LLVKDONJSA-N -1 1 333.396 -0.138 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cn[nH]c3)C(C)(C)C2)nc1=O ZINC000940598351 653242734 /nfs/dbraw/zinc/24/27/34/653242734.db2.gz PVYCKASKXCTBDC-LLVKDONJSA-N -1 1 333.396 -0.138 20 0 EBADMM CCN(C(=O)[C@@H]1CCNC1=O)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000942902157 653255334 /nfs/dbraw/zinc/25/53/34/653255334.db2.gz PWZMKEBDQNJRDR-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@]34C[C@H]3COC4)C(C)(C)C2)nc1=O ZINC000940761251 653277421 /nfs/dbraw/zinc/27/74/21/653277421.db2.gz ZWISGXRAPPXDFA-JKDFXYPNSA-N -1 1 349.435 -0.138 20 0 EBADMM C[C@@H]1CN(C(=O)C(F)F)C[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000947019430 653291584 /nfs/dbraw/zinc/29/15/84/653291584.db2.gz WFKXQQPOXUZWTA-HTRCEHHLSA-N -1 1 340.290 -0.741 20 0 EBADMM C[C@@]1(C(=O)N2CCCN(C(=O)c3ncccc3[O-])CC2)CCNC1=O ZINC000940832986 653298246 /nfs/dbraw/zinc/29/82/46/653298246.db2.gz TYRMOOKQMARUGD-QGZVFWFLSA-N -1 1 346.387 -0.012 20 0 EBADMM CC(=O)N1CC(C(=O)N2CCCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC000940832989 653298415 /nfs/dbraw/zinc/29/84/15/653298415.db2.gz UBINATAYVROGJZ-UHFFFAOYSA-N -1 1 346.387 -0.060 20 0 EBADMM Cc1c(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H](C)C2)cnn1C ZINC000947062836 653303428 /nfs/dbraw/zinc/30/34/28/653303428.db2.gz VMLDMQOVPXXPLK-CMPLNLGQSA-N -1 1 347.423 -0.067 20 0 EBADMM Cc1c(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H](C)C2)cnn1C ZINC000947062839 653303504 /nfs/dbraw/zinc/30/35/04/653303504.db2.gz VMLDMQOVPXXPLK-ZYHUDNBSSA-N -1 1 347.423 -0.067 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H](C)C2)n(C)n1 ZINC000947103021 653314197 /nfs/dbraw/zinc/31/41/97/653314197.db2.gz GXVQHCXYBDRDPK-NWDGAFQWSA-N -1 1 347.423 -0.067 20 0 EBADMM Cc1nccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)n1 ZINC000945005095 653314993 /nfs/dbraw/zinc/31/49/93/653314993.db2.gz ADGKBVPNGHLXQG-JQWIXIFHSA-N -1 1 345.407 -0.153 20 0 EBADMM Cc1ccnn1CC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC000943229839 653319628 /nfs/dbraw/zinc/31/96/28/653319628.db2.gz FDIBJUDCFNEUJY-DGCLKSJQSA-N -1 1 347.423 -0.360 20 0 EBADMM CCCC(=O)N1C[C@@H](C)[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000947155336 653328472 /nfs/dbraw/zinc/32/84/72/653328472.db2.gz MRNLOVCOYWWKIT-MWLCHTKSSA-N -1 1 332.364 -0.206 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2cccc(=O)[nH]2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947165332 653329344 /nfs/dbraw/zinc/32/93/44/653329344.db2.gz FGCWIMLNOLBVIY-MNOVXSKESA-N -1 1 346.391 -0.008 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2cn(C)cn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947199546 653333926 /nfs/dbraw/zinc/33/39/26/653333926.db2.gz DOGNALGNKLRERB-MNOVXSKESA-N -1 1 333.396 -0.375 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H](C)C2)ncn1 ZINC000947210824 653335926 /nfs/dbraw/zinc/33/59/26/653335926.db2.gz JURJWIXTMAULNJ-VXGBXAGGSA-N -1 1 345.407 -0.010 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)Cc2cncnc2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947258488 653349934 /nfs/dbraw/zinc/34/99/34/653349934.db2.gz SMKWGYADTOOFJG-YPMHNXCESA-N -1 1 345.407 -0.390 20 0 EBADMM CCC(=O)N1CCCC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000943381081 653370744 /nfs/dbraw/zinc/37/07/44/653370744.db2.gz KEAXMWCWGCJOCM-SNVBAGLBSA-N -1 1 332.364 -0.062 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])CC[C@@H]1NC(=O)Cn1cnnn1 ZINC000945288119 653391481 /nfs/dbraw/zinc/39/14/81/653391481.db2.gz JJBJXCYRMSGKNP-MNOVXSKESA-N -1 1 345.363 -0.559 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC(NC(=O)C2CCC2)CC1 ZINC000947442929 653404391 /nfs/dbraw/zinc/40/43/91/653404391.db2.gz KICFVNXHBLAZGV-UHFFFAOYSA-N -1 1 348.403 -0.166 20 0 EBADMM NC(=O)C(=O)N1CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000941086503 653404964 /nfs/dbraw/zinc/40/49/64/653404964.db2.gz YRVBAXSGNAEDBK-UHFFFAOYSA-N -1 1 333.348 -0.781 20 0 EBADMM Cc1nnccc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@H](C)C1 ZINC000947502360 653417085 /nfs/dbraw/zinc/41/70/85/653417085.db2.gz NLEDWMHBYXMHPD-PWSUYJOCSA-N -1 1 345.407 -0.010 20 0 EBADMM CCC(=O)N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC000945413601 653443237 /nfs/dbraw/zinc/44/32/37/653443237.db2.gz QPKHMPYHRBTEOI-NXEZZACHSA-N -1 1 332.364 -0.206 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947634160 653444675 /nfs/dbraw/zinc/44/46/75/653444675.db2.gz NNGOUUPAKLDBAS-SSKLVLDBSA-N -1 1 335.408 -0.530 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)Cn3cncn3)C(C)(C)C2)nc1=O ZINC000941204706 653450310 /nfs/dbraw/zinc/45/03/10/653450310.db2.gz SDEPYQXQASDKOL-NSHDSACASA-N -1 1 348.411 -0.883 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1ncccn1 ZINC000943738849 653464047 /nfs/dbraw/zinc/46/40/47/653464047.db2.gz SVPAJALVWWUHKR-QWRGUYRKSA-N -1 1 331.380 -0.461 20 0 EBADMM O=C(NC1CCN(C(=O)[C@@H]2CCNC2=O)CC1)c1ncccc1[O-] ZINC000947701772 653466035 /nfs/dbraw/zinc/46/60/35/653466035.db2.gz ZCDLDNNBDSVCFY-LLVKDONJSA-N -1 1 332.360 -0.356 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2ccncn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947824865 653489841 /nfs/dbraw/zinc/48/98/41/653489841.db2.gz LOVOFVSETJHIFE-GHMZBOCLSA-N -1 1 331.380 -0.319 20 0 EBADMM O=C(NC[C@H]1CCCN1C(=O)c1ncccc1[O-])[C@@H]1COC(=O)N1 ZINC000943976946 653500660 /nfs/dbraw/zinc/50/06/60/653500660.db2.gz PFQDYZSEQCEHJP-ZJUUUORDSA-N -1 1 334.332 -0.384 20 0 EBADMM O=C(NC[C@H]1CCCN1C(=O)c1ncccc1[O-])[C@H]1COCCO1 ZINC000943977185 653501300 /nfs/dbraw/zinc/50/13/00/653501300.db2.gz WKJJWVDMIIQTRO-DGCLKSJQSA-N -1 1 335.360 -0.077 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)Cn2c(=O)[n-][nH]c2=O)CCN1Cc1ccnn1C ZINC000947980978 653508377 /nfs/dbraw/zinc/50/83/77/653508377.db2.gz SLTJZTDBNCLQDS-QWRGUYRKSA-N -1 1 349.395 -0.408 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2ccn(C)n2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000948035515 653514861 /nfs/dbraw/zinc/51/48/61/653514861.db2.gz GSSRRUSDKRSPHV-GHMZBOCLSA-N -1 1 333.396 -0.375 20 0 EBADMM Cc1nccc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H](C)C2)n1 ZINC000948057109 653517515 /nfs/dbraw/zinc/51/75/15/653517515.db2.gz RNKVKUALRYVPBK-ZYHUDNBSSA-N -1 1 345.407 -0.010 20 0 EBADMM Cc1nc[nH]c1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC000946178747 653546162 /nfs/dbraw/zinc/54/61/62/653546162.db2.gz MPMGYBYDYIUAIG-MWLCHTKSSA-N -1 1 333.396 -0.220 20 0 EBADMM O=C(NCC1CCN(C(=O)C2CC2)CC1)c1cc(=O)n2[n-]cnc2n1 ZINC000948615094 653562533 /nfs/dbraw/zinc/56/25/33/653562533.db2.gz RLNJTHXBRDTGAP-UHFFFAOYSA-N -1 1 344.375 -0.204 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cnc4ccccn34)CC2)nc1=O ZINC000948996085 653587120 /nfs/dbraw/zinc/58/71/20/653587120.db2.gz FPLUDRUQUIHYGV-UHFFFAOYSA-N -1 1 341.375 -0.286 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cnn(CCF)c3)CC2)nc1=O ZINC000949022466 653589067 /nfs/dbraw/zinc/58/90/67/653589067.db2.gz GFGNFTKWZBFSTH-UHFFFAOYSA-N -1 1 337.359 -0.768 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCN(C[C@@H]2C[C@@]23CCOC3)CC1 ZINC000949111282 653595458 /nfs/dbraw/zinc/59/54/58/653595458.db2.gz MICDIDGRTPCQAQ-ZUZCIYMTSA-N -1 1 335.364 -0.533 20 0 EBADMM CCc1nnsc1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000949160546 653815825 /nfs/dbraw/zinc/81/58/25/653815825.db2.gz BFQJVWAUGPHTNS-UHFFFAOYSA-N -1 1 337.409 -0.520 20 0 EBADMM Cc1cc(C)n(CC(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC000949190308 653826772 /nfs/dbraw/zinc/82/67/72/653826772.db2.gz CWFUDHSNCDXDRK-UHFFFAOYSA-N -1 1 333.396 -0.734 20 0 EBADMM CN1CCO[C@@H](C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])C1 ZINC000949239794 653844460 /nfs/dbraw/zinc/84/44/60/653844460.db2.gz VFHDGXUFWRCKNE-DGCLKSJQSA-N -1 1 334.376 -0.552 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CCC2(CC1)CN(Cc1nc(=O)n(C)[n-]1)CCO2 ZINC000949289543 653855423 /nfs/dbraw/zinc/85/54/23/653855423.db2.gz LYZVKZKYJRBQST-STQMWFEESA-N -1 1 349.435 -0.042 20 0 EBADMM CNC(=O)CN1CCOC2(CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC000949348716 653868572 /nfs/dbraw/zinc/86/85/72/653868572.db2.gz KLGNYRUPVIIVFX-UHFFFAOYSA-N -1 1 348.403 -0.160 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1ncccc1[O-])[C@@H]1CCNC(=O)C1 ZINC000949352648 653870051 /nfs/dbraw/zinc/87/00/51/653870051.db2.gz MUHDYAGQCLHQGG-GHMZBOCLSA-N -1 1 332.360 -0.356 20 0 EBADMM CCN1CCOC2(CCN(C(=O)c3cnc([O-])n(C)c3=O)CC2)C1 ZINC000949429864 653892001 /nfs/dbraw/zinc/89/20/01/653892001.db2.gz FTUUOQBAPHUXSP-UHFFFAOYSA-N -1 1 336.392 -0.187 20 0 EBADMM O=C(CN1CN=NC1=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949535462 653922214 /nfs/dbraw/zinc/92/22/14/653922214.db2.gz VHAJETPIBPVUHG-VIFPVBQESA-N -1 1 332.320 -0.885 20 0 EBADMM C[C@H](C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-])n1cncn1 ZINC000949535158 653922300 /nfs/dbraw/zinc/92/23/00/653922300.db2.gz ORJOWXJKJQZAPT-MNOVXSKESA-N -1 1 330.348 -0.029 20 0 EBADMM NC(=O)c1ccc(C(=O)N2CC[C@H]2CNC(=O)c2ncccc2[O-])[nH]1 ZINC000949537748 653923144 /nfs/dbraw/zinc/92/31/44/653923144.db2.gz ASGCKXLMDGFFJK-VIFPVBQESA-N -1 1 343.343 -0.141 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)C1CC(=O)NC(=O)C1)c1ncccc1[O-] ZINC000949535671 653923177 /nfs/dbraw/zinc/92/31/77/653923177.db2.gz YBTVVRDEUGDKGI-JTQLQIEISA-N -1 1 346.343 -0.829 20 0 EBADMM Cn1cc(C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])ncc1=O ZINC000949538863 653923450 /nfs/dbraw/zinc/92/34/50/653923450.db2.gz SHTKGOSBDJMVFJ-SNVBAGLBSA-N -1 1 343.343 -0.475 20 0 EBADMM C[C@@H](C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-])N1CCOCC1 ZINC000949541675 653924805 /nfs/dbraw/zinc/92/48/05/653924805.db2.gz NGLZNYYOZUWIEY-STQMWFEESA-N -1 1 348.403 -0.161 20 0 EBADMM Cc1cc(C)n(CCC(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC000949555023 653926230 /nfs/dbraw/zinc/92/62/30/653926230.db2.gz ZZKNRFSPAULDHT-UHFFFAOYSA-N -1 1 347.423 -0.344 20 0 EBADMM CCN(C(=O)c1cc(C)n(C)n1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000949747906 653963511 /nfs/dbraw/zinc/96/35/11/653963511.db2.gz OTCDFCREJATTCE-UHFFFAOYSA-N -1 1 333.396 -0.503 20 0 EBADMM CCN(C(=O)c1ccnc(F)c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000949764093 653970486 /nfs/dbraw/zinc/97/04/86/653970486.db2.gz QDGKFLCEMSZKCQ-UHFFFAOYSA-N -1 1 334.355 -0.011 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H]1CNC(=O)c1ncc[nH]1 ZINC000949828937 653982350 /nfs/dbraw/zinc/98/23/50/653982350.db2.gz ZYFBHGABUYWMHO-SSDOTTSWSA-N -1 1 348.319 -0.736 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(Cc2nc(=O)n(C)[nH]2)C1 ZINC000949948675 654007883 /nfs/dbraw/zinc/00/78/83/654007883.db2.gz UCIUGCBRADGBSJ-UHFFFAOYSA-N -1 1 332.364 -0.445 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(CCN2CCNC2=O)C1 ZINC000949952142 654008492 /nfs/dbraw/zinc/00/84/92/654008492.db2.gz IUEDOUPTKAUIOE-UHFFFAOYSA-N -1 1 333.392 -0.041 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CCCN(C(=O)CCc3nc[nH]n3)C2)c1[O-] ZINC000950327459 654104413 /nfs/dbraw/zinc/10/44/13/654104413.db2.gz PGTDFACZPZIOGN-JTQLQIEISA-N -1 1 347.379 -0.105 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@H](NC(=O)C2CC2)C1 ZINC000951363401 654104754 /nfs/dbraw/zinc/10/47/54/654104754.db2.gz WMQNFTKLCJVXCP-LBPRGKRZSA-N -1 1 334.376 -0.556 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3coc(C4CC4)n3)CC2)nc1=O ZINC000951368474 654105697 /nfs/dbraw/zinc/10/56/97/654105697.db2.gz QAIDSFUQMJRSIZ-UHFFFAOYSA-N -1 1 332.364 -0.068 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@H]1CCN1C(=O)CC(N)=O ZINC000951437335 654129347 /nfs/dbraw/zinc/12/93/47/654129347.db2.gz MXTVJHPSXLCDQN-SNVBAGLBSA-N -1 1 349.391 -0.180 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CCC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000951522156 654169448 /nfs/dbraw/zinc/16/94/48/654169448.db2.gz AOLROHQPTZWVDV-SECBINFHSA-N -1 1 333.352 -0.115 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N1CCC[C@H](NC(=O)C(N)=O)C1 ZINC000951520608 654169564 /nfs/dbraw/zinc/16/95/64/654169564.db2.gz MVYWXABFZWCFFI-JTQLQIEISA-N -1 1 349.391 -0.180 20 0 EBADMM CCN(C(=O)[C@@H]1CC[C@@H](OC)C1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950649041 654208918 /nfs/dbraw/zinc/20/89/18/654208918.db2.gz DMLLFGTWHINAKQ-DGCLKSJQSA-N -1 1 337.424 -0.044 20 0 EBADMM Cn1nnc(C(=O)N2CCC[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC000951668627 654237792 /nfs/dbraw/zinc/23/77/92/654237792.db2.gz AUELYTVYTVUUGP-VIFPVBQESA-N -1 1 331.336 -0.655 20 0 EBADMM CCN(C(=O)[C@@H]1CCOC[C@@H]1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000951700020 654251271 /nfs/dbraw/zinc/25/12/71/654251271.db2.gz ACTVMTIBDZIBFQ-WCQYABFASA-N -1 1 337.424 -0.186 20 0 EBADMM CCN(C(=O)c1ncc(OC)cn1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950836132 654279959 /nfs/dbraw/zinc/27/99/59/654279959.db2.gz XUUFAOCDCPGNRT-UHFFFAOYSA-N -1 1 347.379 -0.747 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1ncccc1[O-])C1CC(=O)NC(=O)C1 ZINC000951089233 654379513 /nfs/dbraw/zinc/37/95/13/654379513.db2.gz KQFKWMDECPQBLX-JTQLQIEISA-N -1 1 346.343 -0.829 20 0 EBADMM CN(C)c1cc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)ccn1 ZINC000951120695 654392227 /nfs/dbraw/zinc/39/22/27/654392227.db2.gz ZVJHJPMIRROTDP-UHFFFAOYSA-N -1 1 345.407 -0.473 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2CCC(=O)NC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000951125207 654394113 /nfs/dbraw/zinc/39/41/13/654394113.db2.gz IYFOUQFESXFXQN-GRYCIOLGSA-N -1 1 346.387 -0.110 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)C1CCC1)c1cc(=O)n2[n-]cnc2n1 ZINC000951224878 654426390 /nfs/dbraw/zinc/42/63/90/654426390.db2.gz PODAHYFJSVHUSK-SNVBAGLBSA-N -1 1 330.348 -0.452 20 0 EBADMM C[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1NC(=O)C1CC1 ZINC000952369277 654448964 /nfs/dbraw/zinc/44/89/64/654448964.db2.gz ALWDPNDWPNJWMB-ZYHUDNBSSA-N -1 1 334.376 -0.700 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H]1CNC(=O)c1cnco1 ZINC000951279317 654450637 /nfs/dbraw/zinc/45/06/37/654450637.db2.gz GYWWHCICASFQNI-SSDOTTSWSA-N -1 1 349.303 -0.471 20 0 EBADMM NC(=O)C(=O)N1CC[C@@]2(C1)CCCN(C(=O)c1ncccc1[O-])C2 ZINC000952944100 654513475 /nfs/dbraw/zinc/51/34/75/654513475.db2.gz YFJOOCXEGDCGSH-INIZCTEOSA-N -1 1 332.360 -0.273 20 0 EBADMM CN(C(=O)C1CCC1)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000953474095 654590085 /nfs/dbraw/zinc/59/00/85/654590085.db2.gz DSXCQBIRIYLFQH-UHFFFAOYSA-N -1 1 330.348 -0.500 20 0 EBADMM CN(C(=O)C1CCCC1)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000953488719 654591785 /nfs/dbraw/zinc/59/17/85/654591785.db2.gz IGBMQDZCQPPQNI-UHFFFAOYSA-N -1 1 348.403 -0.214 20 0 EBADMM CN(C(=O)c1ccc(=O)[nH]n1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000953657288 654606384 /nfs/dbraw/zinc/60/63/84/654606384.db2.gz ZFFKVEUNMQYFCT-UHFFFAOYSA-N -1 1 329.316 -0.121 20 0 EBADMM COC(=O)[C@@H]1C[C@H]1C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000953871305 654642822 /nfs/dbraw/zinc/64/28/22/654642822.db2.gz BPINCYNWILWFBX-GHMZBOCLSA-N -1 1 333.344 -0.121 20 0 EBADMM CN(C(=O)c1nccnc1N)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000953918458 654656284 /nfs/dbraw/zinc/65/62/84/654656284.db2.gz PIKJMWIFHAIWGS-UHFFFAOYSA-N -1 1 328.332 -0.244 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)[C@]12C[C@H]1COC2 ZINC000965848329 724531306 /nfs/dbraw/zinc/53/13/06/724531306.db2.gz JLSYLJXCCCAXTL-FXZYXXQUSA-N -1 1 333.344 -0.875 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C1=CCOCC1 ZINC000965937245 724543600 /nfs/dbraw/zinc/54/36/00/724543600.db2.gz ZWXITEZIDWCIQF-DGCLKSJQSA-N -1 1 335.408 -0.218 20 0 EBADMM CCn1ccnc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1C ZINC000965969040 724551335 /nfs/dbraw/zinc/55/13/35/724551335.db2.gz GFOXENIQUIVLCQ-VXGBXAGGSA-N -1 1 347.423 -0.035 20 0 EBADMM Cc1ccnc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)n1 ZINC000965968927 724551348 /nfs/dbraw/zinc/55/13/48/724551348.db2.gz FEBOUJTYDUPJES-CMPLNLGQSA-N -1 1 345.407 -0.153 20 0 EBADMM CCn1ncc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)n1 ZINC000965997298 724557367 /nfs/dbraw/zinc/55/73/67/724557367.db2.gz YGOOHSFJGSNDRH-JQWIXIFHSA-N -1 1 348.411 -0.640 20 0 EBADMM C[C@@H](CN1CCN(C)CC1)NC(=O)CN(C[C@@H]1CCCO1)C(=O)[O-] ZINC000738909025 719419263 /nfs/dbraw/zinc/41/92/63/719419263.db2.gz CSOIXCHTXCXOQY-KBPBESRZSA-N -1 1 342.440 -0.103 20 0 EBADMM O=C(CCc1cn[nH]n1)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000936112556 719486881 /nfs/dbraw/zinc/48/68/81/719486881.db2.gz WYKDMLVWPYEQSP-LLVKDONJSA-N -1 1 330.348 -0.131 20 0 EBADMM O=C(CCc1c[nH]nn1)N1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000936112556 719486884 /nfs/dbraw/zinc/48/68/84/719486884.db2.gz WYKDMLVWPYEQSP-LLVKDONJSA-N -1 1 330.348 -0.131 20 0 EBADMM C[C@H](C(=O)N1CC(N(C)C(=O)c2ncccc2[O-])C1)S(C)(=O)=O ZINC000954125180 719569998 /nfs/dbraw/zinc/56/99/98/719569998.db2.gz FSXQIEDEKRUDAR-SECBINFHSA-N -1 1 341.389 -0.497 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CCC(=O)N2C)C1 ZINC000954127602 719570750 /nfs/dbraw/zinc/57/07/50/719570750.db2.gz BGIPPELUHYDEPF-NSHDSACASA-N -1 1 332.360 -0.309 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC000954127962 719570923 /nfs/dbraw/zinc/57/09/23/719570923.db2.gz MZLJNWNEOYHGHI-JTQLQIEISA-N -1 1 332.360 -0.452 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)c2c[nH]c(=O)n2C)C1 ZINC000954128191 719571313 /nfs/dbraw/zinc/57/13/13/719571313.db2.gz WKAPRJCVAIGSMP-UHFFFAOYSA-N -1 1 331.332 -0.177 20 0 EBADMM CN(C(=O)c1cnc[nH]c1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954578907 719785766 /nfs/dbraw/zinc/78/57/66/719785766.db2.gz BWEKYIXWKYVFEW-UHFFFAOYSA-N -1 1 329.316 -0.121 20 0 EBADMM CCN1CCOC[C@H]1C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954684079 719838890 /nfs/dbraw/zinc/83/88/90/719838890.db2.gz PSGZGHSHBUUQHK-ZDUSSCGKSA-N -1 1 348.403 -0.209 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C1CN(C(=O)C(C)(F)F)C1 ZINC000954827423 719923528 /nfs/dbraw/zinc/92/35/28/719923528.db2.gz CXMXJCMSKQOXML-UHFFFAOYSA-N -1 1 346.290 -0.166 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C1CN(C(=O)C2CCC2)C1 ZINC000954828916 719924107 /nfs/dbraw/zinc/92/41/07/719924107.db2.gz SXZXZUNFQFYMMH-UHFFFAOYSA-N -1 1 336.348 -0.021 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncn(C)n2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966404599 724637873 /nfs/dbraw/zinc/63/78/73/724637873.db2.gz KYQISOHEGMLLTA-NXEZZACHSA-N -1 1 330.348 -0.194 20 0 EBADMM CN(C(=O)c1ccc(=O)n(C)n1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955372238 720146424 /nfs/dbraw/zinc/14/64/24/720146424.db2.gz JNIQLKJVAHFMSR-UHFFFAOYSA-N -1 1 343.343 -0.523 20 0 EBADMM CN(C(=O)[C@H]1CC12CC2)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000955433573 720174480 /nfs/dbraw/zinc/17/44/80/720174480.db2.gz YTRAJAAWOCDIJK-SNVBAGLBSA-N -1 1 342.359 -0.500 20 0 EBADMM C[C@H]1C[C@H](NC(=O)C2CC2)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000955740060 720242341 /nfs/dbraw/zinc/24/23/41/720242341.db2.gz NMTHKXYPXQVJBG-WCQYABFASA-N -1 1 348.403 -0.168 20 0 EBADMM CN1CCO[C@@H](C(=O)N2CCC[C@H]2CNC(=O)c2ncccc2[O-])C1 ZINC000955958384 720307030 /nfs/dbraw/zinc/30/70/30/720307030.db2.gz TZBMGERRORWNKT-GXTWGEPZSA-N -1 1 348.403 -0.161 20 0 EBADMM O=C(NC[C@@H]1CCCN1C(=O)[C@H]1CCNC1=O)c1ncccc1[O-] ZINC000956136580 720375012 /nfs/dbraw/zinc/37/50/12/720375012.db2.gz SXAVBSDZTKCEJC-QWRGUYRKSA-N -1 1 332.360 -0.356 20 0 EBADMM CN1CC[C@H](C(=O)N2CCC[C@H]2CNC(=O)c2ncccc2[O-])C1=O ZINC000956160652 720382674 /nfs/dbraw/zinc/38/26/74/720382674.db2.gz RTCRWKZWJZNQOC-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1nnc(C(=O)NC2(C)CCN(C(=O)c3ncccc3[O-])CC2)n1 ZINC000956453681 720432320 /nfs/dbraw/zinc/43/23/20/720432320.db2.gz QTTNVXQUBFXCOT-UHFFFAOYSA-N -1 1 345.363 -0.265 20 0 EBADMM COCC(=O)N1CCN([C@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC000956575628 720447142 /nfs/dbraw/zinc/44/71/42/720447142.db2.gz JLWUWUSSPGYOLB-ZDUSSCGKSA-N -1 1 348.403 -0.208 20 0 EBADMM Cc1nc(C(=O)N[C@]2(C)CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)c[nH]1 ZINC000956770400 720487733 /nfs/dbraw/zinc/48/77/33/720487733.db2.gz RAJOOKQPHRTWQE-CQSZACIVSA-N -1 1 349.351 -0.858 20 0 EBADMM C[C@@]1(NC(=O)[C@H]2CCC(=O)N2)CCN(C(=O)c2ncccc2[O-])C1 ZINC000956771306 720488150 /nfs/dbraw/zinc/48/81/50/720488150.db2.gz PXCBADOBUXAQOS-QLJPJBMISA-N -1 1 332.360 -0.213 20 0 EBADMM C[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H]1NC(=O)C1(C)CC1 ZINC000966594775 724692822 /nfs/dbraw/zinc/69/28/22/724692822.db2.gz TUQKOWHHNBXYAH-NEPJUHHUSA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1NC(=O)C1CC1 ZINC000966610013 724697890 /nfs/dbraw/zinc/69/78/90/724697890.db2.gz MDHMKEFOYRZGGV-KCJUWKMLSA-N -1 1 330.348 -0.596 20 0 EBADMM C[C@H]1CN(C(=O)Cc2nnc[nH]2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966856953 724766960 /nfs/dbraw/zinc/76/69/60/724766960.db2.gz SLBFDBQMQDKSOH-UWVGGRQHSA-N -1 1 330.348 -0.275 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CC[C@@](C)(NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000956830939 722114884 /nfs/dbraw/zinc/11/48/84/722114884.db2.gz ZNUMUAKWMJQCEF-CQSZACIVSA-N -1 1 333.352 -0.115 20 0 EBADMM CN1CCOC[C@H]1C(=O)N[C@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC000956838741 722115738 /nfs/dbraw/zinc/11/57/38/722115738.db2.gz KLJXOOJFAFGQMH-YVEFUNNKSA-N -1 1 348.403 -0.161 20 0 EBADMM CN1CCOC[C@H]1C(=O)N[C@@]1(C)CCN(C(=O)c2ncccc2[O-])C1 ZINC000956838739 722115812 /nfs/dbraw/zinc/11/58/12/722115812.db2.gz KLJXOOJFAFGQMH-SJCJKPOMSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)c2c[nH]c(=O)cn2)C1 ZINC000956850400 722119781 /nfs/dbraw/zinc/11/97/81/722119781.db2.gz LLFHXJGLYRSGOU-MRXNPFEDSA-N -1 1 343.343 -0.095 20 0 EBADMM CN1CC[C@H](C(=O)N2CC[C@](C)(NC(=O)c3ncccc3[O-])C2)C1=O ZINC000956851400 722119803 /nfs/dbraw/zinc/11/98/03/722119803.db2.gz ZYAZKFQQJMMEDQ-GTNSWQLSSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cnoc3C3CC3)CC2)nc1=O ZINC000957037408 722146805 /nfs/dbraw/zinc/14/68/05/722146805.db2.gz TWDDMPUDNMJMCA-UHFFFAOYSA-N -1 1 332.364 -0.068 20 0 EBADMM CCc1nc(C)c(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)o1 ZINC000957073854 722151565 /nfs/dbraw/zinc/15/15/65/722151565.db2.gz PMLBHQMCCGJIHA-UHFFFAOYSA-N -1 1 334.380 -0.075 20 0 EBADMM CCN(C(=O)[C@@H]1C[C@]12CCOC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000957108823 722158362 /nfs/dbraw/zinc/15/83/62/722158362.db2.gz ODFZRRVPQGMNDZ-LRDDRELGSA-N -1 1 335.408 -0.432 20 0 EBADMM CN1C[C@@H](C(=O)N[C@]2(C)CCN(C(=O)c3ncccc3[O-])C2)NC1=O ZINC000957272517 722183602 /nfs/dbraw/zinc/18/36/02/722183602.db2.gz MCZNDBGBMPJKPN-MGPLVRAMSA-N -1 1 347.375 -0.468 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N[C@@]1(C)CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000957274153 722188730 /nfs/dbraw/zinc/18/87/30/722188730.db2.gz UITWTNYLDQATLH-QFSBIZTOSA-N -1 1 348.403 -0.310 20 0 EBADMM Cn1cncc1CN1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000957314545 722193165 /nfs/dbraw/zinc/19/31/65/722193165.db2.gz IJGNSWAOCYGRJN-UHFFFAOYSA-N -1 1 342.363 -0.891 20 0 EBADMM Cc1nc(CN2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC2)co1 ZINC000957319306 722195303 /nfs/dbraw/zinc/19/53/03/722195303.db2.gz HNYQYLXVRZNZOQ-UHFFFAOYSA-N -1 1 343.347 -0.328 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N[C@]1(C)CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000957325930 722196942 /nfs/dbraw/zinc/19/69/42/722196942.db2.gz BEZSWHGLWDZHSD-XQJJYNOISA-N -1 1 344.375 -0.206 20 0 EBADMM CN1CC[C@@H](C(=O)N[C@]2(C)CCN(C(=O)c3ncccc3[O-])C2)C1=O ZINC000957354933 722201117 /nfs/dbraw/zinc/20/11/17/722201117.db2.gz VQLMFZJBWWQHSQ-APPDUMDISA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@H](C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1)c1cccnc1 ZINC000957365775 722202699 /nfs/dbraw/zinc/20/26/99/722202699.db2.gz WCPLIAHFUBLZCF-LBPRGKRZSA-N -1 1 330.392 -0.049 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cnn4cc[nH]c34)CC2)nc1=O ZINC000957458362 722214285 /nfs/dbraw/zinc/21/42/85/722214285.db2.gz DKCLZWUIGXARPZ-UHFFFAOYSA-N -1 1 330.352 -0.958 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3n[nH]c4ccccc43)CC2)nc1=O ZINC000957523422 722220141 /nfs/dbraw/zinc/22/01/41/722220141.db2.gz WXDNDNCQECNMQR-UHFFFAOYSA-N -1 1 341.375 -0.057 20 0 EBADMM O=C(NC[C@@H]1CN(Cc2cc(=O)n3[n-]ccc3n2)C[C@@H]1O)C1CCC1 ZINC000957802837 722243204 /nfs/dbraw/zinc/24/32/04/722243204.db2.gz GTYTYUZHMOTGDN-OCCSQVGLSA-N -1 1 345.403 -0.268 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](CNC(=O)c3ccccc3F)[C@H](O)C2)nc1=O ZINC000957813120 722244617 /nfs/dbraw/zinc/24/46/17/722244617.db2.gz WZOPBXSZNSALMG-ZWNOBZJWSA-N -1 1 349.366 -0.530 20 0 EBADMM Cn1[n-]c(CN2C[C@H](O)[C@H](CNC(=O)c3ccccc3)C2)nc1=O ZINC000957827478 722245910 /nfs/dbraw/zinc/24/59/10/722245910.db2.gz ZLNBMBGZIVPLQL-OLZOCXBDSA-N -1 1 331.376 -0.669 20 0 EBADMM Cc1ccncc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC000957958198 722277494 /nfs/dbraw/zinc/27/74/94/722277494.db2.gz UZXOVFPWJWXAHF-AAEUAGOBSA-N -1 1 346.391 -0.965 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2CN(Cc3cnoc3C)C[C@H]2O)c1[O-] ZINC000957976313 722280251 /nfs/dbraw/zinc/28/02/51/722280251.db2.gz WONFTSBPLFHZDP-VXGBXAGGSA-N -1 1 335.364 -0.057 20 0 EBADMM CCn1cc(CN2C[C@H](O)[C@H](CNC(=O)c3ncccc3[O-])C2)nn1 ZINC000957997029 722285544 /nfs/dbraw/zinc/28/55/44/722285544.db2.gz WPOTTZSGVPGECI-RISCZKNCSA-N -1 1 346.391 -0.379 20 0 EBADMM Cn1[n-]c(CN2C[C@H](O)[C@H](CNC(=O)C3=CCCCCC3)C2)nc1=O ZINC000958003941 722287449 /nfs/dbraw/zinc/28/74/49/722287449.db2.gz CODSLPDLQRVACU-KGLIPLIRSA-N -1 1 349.435 -0.092 20 0 EBADMM CCc1occc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC000958031761 722292998 /nfs/dbraw/zinc/29/29/98/722292998.db2.gz WGDIPRWREOUWRB-ZYHUDNBSSA-N -1 1 349.391 -0.514 20 0 EBADMM Cn1[n-]c(CN2C[C@H](O)[C@H](CNC(=O)C3CC(C)(C)C3)C2)nc1=O ZINC000958042537 722296158 /nfs/dbraw/zinc/29/61/58/722296158.db2.gz VZYQABZFKGZBHE-NEPJUHHUSA-N -1 1 337.424 -0.547 20 0 EBADMM Cc1cc(C[N@@H+]2C[C@@H](O)[C@@H](CNC(=O)c3cnc[nH]c3=O)C2)on1 ZINC000958168025 722317288 /nfs/dbraw/zinc/31/72/88/722317288.db2.gz RUDOIFFTCJZLBS-GXFFZTMASA-N -1 1 333.348 -0.299 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)n(C)n1 ZINC000958343036 722349196 /nfs/dbraw/zinc/34/91/96/722349196.db2.gz DVXRDGYUYAYHKY-IWIIMEHWSA-N -1 1 331.380 -0.989 20 0 EBADMM Cc1cc(CC(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)no1 ZINC000958401542 722360722 /nfs/dbraw/zinc/36/07/22/722360722.db2.gz NHQGACXFBUCTLE-YABSGUDNSA-N -1 1 332.364 -0.806 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ccncc2F)nc1=O ZINC000958456721 722368720 /nfs/dbraw/zinc/36/87/20/722368720.db2.gz MDFZKRJOHTUSKB-IWIIMEHWSA-N -1 1 332.339 -0.497 20 0 EBADMM Cc1ccncc1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000958465073 722370653 /nfs/dbraw/zinc/37/06/53/722370653.db2.gz GOCSCOLDHHISDD-IMRBUKKESA-N -1 1 328.376 -0.328 20 0 EBADMM Cc1nc(C)c(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)o1 ZINC000958474267 722373308 /nfs/dbraw/zinc/37/33/08/722373308.db2.gz IUUIPJSTFPETKJ-IAZYJMLFSA-N -1 1 332.364 -0.427 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H]2C[C@@H]2C(F)F)nc1=O ZINC000958767386 722429898 /nfs/dbraw/zinc/42/98/98/722429898.db2.gz YOVWAEXKDJYMHF-HTFKAIDBSA-N -1 1 327.335 -0.444 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)[C@@H]2CCCO2)C[C@@H]1O ZINC000958830285 722604244 /nfs/dbraw/zinc/60/42/44/722604244.db2.gz OIUSVMHPGDZGAC-DRZSPHRISA-N -1 1 335.360 -0.390 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)Cc2ncc[nH]2)C[C@@H]1O ZINC000958830471 722604457 /nfs/dbraw/zinc/60/44/57/722604457.db2.gz VXZPHEPHBZQQPE-JQWIXIFHSA-N -1 1 345.359 -0.603 20 0 EBADMM CCC(=O)N1C[C@H](C)[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000959299977 722710412 /nfs/dbraw/zinc/71/04/12/722710412.db2.gz ZNCVQICOYWKJTH-VHSXEESVSA-N -1 1 332.364 -0.348 20 0 EBADMM Cn1cc(C(=O)N2CCC3(CN(C(=O)c4ncccc4[O-])C3)C2)nn1 ZINC001018962084 728756591 /nfs/dbraw/zinc/75/65/91/728756591.db2.gz FHWTXXVYQDMKJX-UHFFFAOYSA-N -1 1 342.359 -0.096 20 0 EBADMM O=C(c1cnc2nccnc2c1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001018978632 728761339 /nfs/dbraw/zinc/76/13/39/728761339.db2.gz HJFHBTUCGQIGER-JTQLQIEISA-N -1 1 340.347 -0.147 20 0 EBADMM O=C(Cn1cc(C2CC2)nn1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001018962848 728757151 /nfs/dbraw/zinc/75/71/51/728757151.db2.gz MVWGPUIQQKRPGE-JTQLQIEISA-N -1 1 332.368 -0.630 20 0 EBADMM C[C@@H]1CN(C(=O)Cc2nnc[nH]2)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000959604654 722750003 /nfs/dbraw/zinc/75/00/03/722750003.db2.gz JGRKTFDJVLUPDV-GHMZBOCLSA-N -1 1 344.375 -0.028 20 0 EBADMM CC(=O)NC[C@H]1C[C@H]2CC[C@@H](C1)N2C(=O)CCn1cc[n-]c(=O)c1=O ZINC000959612189 722752444 /nfs/dbraw/zinc/75/24/44/722752444.db2.gz XZZXJSOGNJALKY-BTTYYORXSA-N -1 1 348.403 -0.168 20 0 EBADMM C[C@@H]1CN(C(=O)Cn2cncn2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000959691865 722756374 /nfs/dbraw/zinc/75/63/74/722756374.db2.gz JVKXAGWYTAAYGY-GHMZBOCLSA-N -1 1 330.348 -0.344 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)c1ccnn1C ZINC000959948712 722777214 /nfs/dbraw/zinc/77/72/14/722777214.db2.gz CVXJCRQVLBCWEF-PUHVVEEASA-N -1 1 345.407 -0.808 20 0 EBADMM O=C([C@H]1CCCNC1=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000960036897 722785144 /nfs/dbraw/zinc/78/51/44/722785144.db2.gz OHAARHILTWSCHM-QWRGUYRKSA-N -1 1 336.396 -0.493 20 0 EBADMM O=C(Cc1cnn2c1CCCC2)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001018993289 728767362 /nfs/dbraw/zinc/76/73/62/728767362.db2.gz VUXORRILTPNQDG-LBPRGKRZSA-N -1 1 345.407 -0.024 20 0 EBADMM CC(C)[C@@H](O)C(=O)N1C[C@H]2OCCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC000960276146 722813258 /nfs/dbraw/zinc/81/32/58/722813258.db2.gz GAGRGAKAIQYAIL-NJZAAPMLSA-N -1 1 349.387 -0.144 20 0 EBADMM Cc1ccncc1CC(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000960325976 722818699 /nfs/dbraw/zinc/81/86/99/722818699.db2.gz DFOQCOQJWRYCHP-VIKVFOODSA-N -1 1 342.403 -0.399 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)c1cc[n+]([O-])cc1 ZINC000960391942 722825162 /nfs/dbraw/zinc/82/51/62/722825162.db2.gz MSQCYGQPPJDBFX-CYBMUJFWSA-N -1 1 346.391 -0.522 20 0 EBADMM C[C@H](C(=O)N1CCC[C@H]1CN(C)Cc1nc(=O)n(C)[n-]1)n1cccn1 ZINC000960447189 722830575 /nfs/dbraw/zinc/83/05/75/722830575.db2.gz VXJXGVHIJHLRCN-OLZOCXBDSA-N -1 1 347.423 -0.011 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)CCn1cccn1 ZINC000960446650 722830629 /nfs/dbraw/zinc/83/06/29/722830629.db2.gz BUJZNZUFOWHPJG-ZDUSSCGKSA-N -1 1 347.423 -0.182 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN1C(=O)CCc1nc[nH]n1 ZINC000960536099 722837417 /nfs/dbraw/zinc/83/74/17/722837417.db2.gz WLVPNWTUOQNEFN-JTQLQIEISA-N -1 1 334.384 -0.316 20 0 EBADMM Cc1ccnc(C(=O)N2CCC[C@H]2CN(C)Cc2nc(=O)n(C)[n-]2)n1 ZINC000960598683 722842785 /nfs/dbraw/zinc/84/27/85/722842785.db2.gz XWOFHYVJKANDCL-LBPRGKRZSA-N -1 1 345.407 -0.057 20 0 EBADMM O=C([N-][C@@H]1CN(C(=O)c2cc(C(F)(F)F)n[nH]2)C[C@@H]1O)C(F)F ZINC000961069783 722894971 /nfs/dbraw/zinc/89/49/71/722894971.db2.gz QNUCDWAFVAGVOM-RITPCOANSA-N -1 1 342.224 -0.005 20 0 EBADMM Cc1cncc(C)c1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000961084806 722897407 /nfs/dbraw/zinc/89/74/07/722897407.db2.gz AAMUKNOKJNVINY-JYAVWHMHSA-N -1 1 342.403 -0.020 20 0 EBADMM Cn1cc(C(=O)N2C[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]3C2)nn1 ZINC000961420598 723016368 /nfs/dbraw/zinc/01/63/68/723016368.db2.gz FATPIPQHZBUHQQ-PHIMTYICSA-N -1 1 342.359 -0.240 20 0 EBADMM Cn1nncc1C(=O)N1C[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C1 ZINC000961421852 723016619 /nfs/dbraw/zinc/01/66/19/723016619.db2.gz LIQQYLVRGFHGHA-PHIMTYICSA-N -1 1 342.359 -0.240 20 0 EBADMM Cc1ccoc1CC(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000961719145 723062746 /nfs/dbraw/zinc/06/27/46/723062746.db2.gz FSEUYCJPKAONDK-HBYGRHMLSA-N -1 1 331.376 -0.201 20 0 EBADMM Cn1cc(CC(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)cn1 ZINC000961845940 723098852 /nfs/dbraw/zinc/09/88/52/723098852.db2.gz IQDGTNGGEKMRLO-YPMHNXCESA-N -1 1 345.359 -0.935 20 0 EBADMM CCn1nc(C)cc1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000961851240 723100536 /nfs/dbraw/zinc/10/05/36/723100536.db2.gz IOLNDGCJWHIKLE-YABSGUDNSA-N -1 1 345.407 -0.507 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)[C@H]1CCCOC1 ZINC000961861148 723102156 /nfs/dbraw/zinc/10/21/56/723102156.db2.gz BVRGCCBHECGUFI-LOWVWBTDSA-N -1 1 335.360 -0.485 20 0 EBADMM Cc1cnc(CN[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)cn1 ZINC001019094556 728794805 /nfs/dbraw/zinc/79/48/05/728794805.db2.gz AMAMOLVTNFILKD-VIFPVBQESA-N -1 1 331.336 -0.614 20 0 EBADMM CC(=O)N[C@H]1CC12CCN(C(=O)CCn1cc[n-]c(=O)c1=O)CC2 ZINC000962277705 723214023 /nfs/dbraw/zinc/21/40/23/723214023.db2.gz ZSDWOPVYJFAZAF-LBPRGKRZSA-N -1 1 334.376 -0.556 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)[C@@H]1CCCCO1 ZINC000962310718 723224121 /nfs/dbraw/zinc/22/41/21/723224121.db2.gz PLBBDOLNXYXTMX-WXHSDQCUSA-N -1 1 335.360 -0.342 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)c1cccnc1 ZINC000962317890 723225581 /nfs/dbraw/zinc/22/55/81/723225581.db2.gz PWGMJVOZADLFMO-PBOSXPJTSA-N -1 1 342.403 -0.147 20 0 EBADMM Cc1cn(C)nc1C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962434108 723251329 /nfs/dbraw/zinc/25/13/29/723251329.db2.gz DHSTXBMNSGKHDW-PWSUYJOCSA-N -1 1 345.359 -0.556 20 0 EBADMM CC(=O)N1CCC[C@H]2[C@H]1CCN2C(=O)CCn1cc[n-]c(=O)c1=O ZINC000962530900 723276984 /nfs/dbraw/zinc/27/69/84/723276984.db2.gz FHNBFIUTSVSGRI-QWHCGFSZSA-N -1 1 334.376 -0.462 20 0 EBADMM Cc1ccnc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)n1 ZINC000962577442 723288397 /nfs/dbraw/zinc/28/83/97/723288397.db2.gz RNIAVQZSMMJLFF-PWSUYJOCSA-N -1 1 343.343 -0.499 20 0 EBADMM Cc1nonc1CNCC1CC(NC(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC000962591595 723291518 /nfs/dbraw/zinc/29/15/18/723291518.db2.gz KBVVZRISDMMGPL-UHFFFAOYSA-N -1 1 337.340 -0.935 20 0 EBADMM Cn1nnc2cc(C(=O)N3CC[C@H](NCc4n[nH]c(=O)[n-]4)C3)cnc21 ZINC001019159564 728812160 /nfs/dbraw/zinc/81/21/60/728812160.db2.gz LAKWVTUVTLTXQY-VIFPVBQESA-N -1 1 343.351 -0.809 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)nn1 ZINC000962907487 723351182 /nfs/dbraw/zinc/35/11/82/723351182.db2.gz HIVSVGAQUTUEMM-YPMHNXCESA-N -1 1 343.343 -0.499 20 0 EBADMM NC(=O)CC(=O)N1C[C@@H]2CCCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000963730601 723472652 /nfs/dbraw/zinc/47/26/52/723472652.db2.gz LBBWGOGSOIXYKM-WDEREUQCSA-N -1 1 332.360 -0.274 20 0 EBADMM Cc1nn(C)c(=O)c(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c1C ZINC001019222039 728828883 /nfs/dbraw/zinc/82/88/83/728828883.db2.gz GFBJEGBCWRZXJH-JTQLQIEISA-N -1 1 347.379 -0.775 20 0 EBADMM Cc1nc([C@@H](C)N[C@H]2CCN(C(=O)c3cnc([O-])n(C)c3=O)C2)n[nH]1 ZINC001019227779 728830765 /nfs/dbraw/zinc/83/07/65/728830765.db2.gz SYLUVKGMTLLKOC-SCZZXKLOSA-N -1 1 347.379 -0.522 20 0 EBADMM Cc1ncoc1CN[C@H]1CCN(C(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001019227619 728830876 /nfs/dbraw/zinc/83/08/76/728830876.db2.gz KLJOOBJJTIEMIA-JTQLQIEISA-N -1 1 333.348 -0.213 20 0 EBADMM Cn1nncc1C(=O)N1CCOC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000964151519 723838691 /nfs/dbraw/zinc/83/86/91/723838691.db2.gz AIQFYVVXGYWDEN-SNVBAGLBSA-N -1 1 346.347 -0.813 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1cn[nH]c1)c1ncccc1[O-] ZINC000964153295 723840053 /nfs/dbraw/zinc/84/00/53/723840053.db2.gz BZRZBNJNBBFBCB-LLVKDONJSA-N -1 1 331.332 -0.219 20 0 EBADMM Cn1ncc2c1C[C@@H](C(=O)N1CC[C@H](NCc3n[nH]c(=O)[n-]3)C1)CC2 ZINC001019290624 728849054 /nfs/dbraw/zinc/84/90/54/728849054.db2.gz CFAPZPZDEFRGDG-JQWIXIFHSA-N -1 1 345.407 -0.261 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)[C@H]1CCOC1)c1ncccc1[O-] ZINC000964156670 723842533 /nfs/dbraw/zinc/84/25/33/723842533.db2.gz WJGPOTGAZNEHNS-RYUDHWBXSA-N -1 1 335.360 -0.219 20 0 EBADMM Cn1ncc(C(=O)NC[C@@H]2COCCN2C(=O)c2ncccc2[O-])n1 ZINC000964260416 723923386 /nfs/dbraw/zinc/92/33/86/723923386.db2.gz CHDQUGAZOAQBFC-SNVBAGLBSA-N -1 1 346.347 -0.813 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1ncccc1[O-])c1csnn1 ZINC000964270289 723930877 /nfs/dbraw/zinc/93/08/77/723930877.db2.gz WYRIQPODEJVAIR-VIFPVBQESA-N -1 1 349.372 -0.090 20 0 EBADMM Cc1cnc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)cn1 ZINC000964808517 724061991 /nfs/dbraw/zinc/06/19/91/724061991.db2.gz SSXJXMJDDHYUPN-GWCFXTLKSA-N -1 1 345.407 -0.153 20 0 EBADMM O=C(Cn1cnnn1)N1CCC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC000964848078 724065812 /nfs/dbraw/zinc/06/58/12/724065812.db2.gz JFAZGCDLNAUSPA-NSHDSACASA-N -1 1 345.363 -0.415 20 0 EBADMM O=C(Cn1ncnn1)N[C@H]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000964926721 724081678 /nfs/dbraw/zinc/08/16/78/724081678.db2.gz MTCBZQGDACGAPS-NSHDSACASA-N -1 1 345.363 -0.415 20 0 EBADMM CCc1c(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)nnn1CC ZINC001019373801 728873410 /nfs/dbraw/zinc/87/34/10/728873410.db2.gz XGKYGYNWCUCGJF-VIFPVBQESA-N -1 1 334.384 -0.312 20 0 EBADMM Cc1ccn(CC(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c(=O)c1 ZINC001019450926 728888199 /nfs/dbraw/zinc/88/81/99/728888199.db2.gz WCFQAWCBNRWGEL-NSHDSACASA-N -1 1 332.364 -0.629 20 0 EBADMM O=C(N[C@H]1COC2(CN(C(=O)c3ncccc3[O-])C2)C1)c1cn[nH]c1 ZINC000965641943 724334031 /nfs/dbraw/zinc/33/40/31/724334031.db2.gz DCMNMMIFZKTWID-LLVKDONJSA-N -1 1 343.343 -0.076 20 0 EBADMM CC(=O)N(C)[C@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000967426808 724875126 /nfs/dbraw/zinc/87/51/26/724875126.db2.gz CFIBEDJKPZWDCA-ZDUSSCGKSA-N -1 1 336.392 -0.214 20 0 EBADMM CC(=O)N1CCC[C@H](N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000967589117 724883459 /nfs/dbraw/zinc/88/34/59/724883459.db2.gz NDEKQRWESSQOPE-NSHDSACASA-N -1 1 332.364 -0.109 20 0 EBADMM CCN(C(=O)C1CC1)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000967715330 724898004 /nfs/dbraw/zinc/89/80/04/724898004.db2.gz YEFRNAGOHXQVBD-UHFFFAOYSA-N -1 1 330.348 -0.500 20 0 EBADMM CCN(C(=O)[C@H]1CN(C)CCO1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000967854991 724920614 /nfs/dbraw/zinc/92/06/14/724920614.db2.gz LVRHNFFELYNIDM-CQSZACIVSA-N -1 1 348.403 -0.209 20 0 EBADMM Cc1cnn(C)c1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1C ZINC000967899086 724927702 /nfs/dbraw/zinc/92/77/02/724927702.db2.gz YYEWKKSKRDNHFS-JQWIXIFHSA-N -1 1 347.423 -0.209 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2COC(=O)N2)C1 ZINC000967900856 724927886 /nfs/dbraw/zinc/92/78/86/724927886.db2.gz OVKIGFQKFHLZSL-JTQLQIEISA-N -1 1 334.332 -0.432 20 0 EBADMM CCN(C(=O)c1cnn(C)n1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000968144086 724969136 /nfs/dbraw/zinc/96/91/36/724969136.db2.gz KUXSLALGTXOOBV-UHFFFAOYSA-N -1 1 330.348 -0.098 20 0 EBADMM CCN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)[C@H]2C[C@H]2C)C1 ZINC000968155658 724970839 /nfs/dbraw/zinc/97/08/39/724970839.db2.gz YRTXXOYSTUCOIC-KOLCDFICSA-N -1 1 344.375 -0.254 20 0 EBADMM CCN(C(=O)[C@@H]1CCNC1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000968210059 724980236 /nfs/dbraw/zinc/98/02/36/724980236.db2.gz BYYWRQLSFCRCRZ-LLVKDONJSA-N -1 1 332.360 -0.404 20 0 EBADMM C[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ccc(=O)[nH]c1 ZINC000968610226 725087026 /nfs/dbraw/zinc/08/70/26/725087026.db2.gz PBNJQXSUQJTLFK-CMPLNLGQSA-N -1 1 346.391 -0.151 20 0 EBADMM C[C@@H](CC(=O)N1C[C@@H](NC(=O)c2cnn[nH]2)[C@@H](O)C1)c1ccncc1 ZINC000968707200 725106271 /nfs/dbraw/zinc/10/62/71/725106271.db2.gz FTIZSIWUILQUTK-GDLCADMTSA-N -1 1 344.375 -0.305 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2CN(C(=O)c3ccn[nH]3)CCCO2)c1[O-] ZINC001019567238 728923616 /nfs/dbraw/zinc/92/36/16/728923616.db2.gz VPDBFGFDTDKEAM-SNVBAGLBSA-N -1 1 348.363 -0.192 20 0 EBADMM Cn1cc(C(=O)NC[C@H]2CCCCCN2Cc2nc(=O)n(C)[n-]2)nn1 ZINC000968843510 725119584 /nfs/dbraw/zinc/11/95/84/725119584.db2.gz WNMFVGBKPNDYLY-LLVKDONJSA-N -1 1 348.411 -0.589 20 0 EBADMM O=C(c1ncccc1[O-])N1[C@@H]2CC[C@H]1CN(C(=O)[C@@H]1CNC(=O)N1)C2 ZINC000968886678 725125744 /nfs/dbraw/zinc/12/57/44/725125744.db2.gz VQWCUWRPTHFYCU-AXFHLTTASA-N -1 1 345.359 -0.716 20 0 EBADMM O=C([C@H]1CCCn2nccc21)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969129366 725154065 /nfs/dbraw/zinc/15/40/65/725154065.db2.gz KPYFEXQFDDEBLR-MNOVXSKESA-N -1 1 331.380 -0.025 20 0 EBADMM CCC(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)CCn1cc[n-]c(=O)c1=O ZINC000969395043 725176119 /nfs/dbraw/zinc/17/61/19/725176119.db2.gz HSMIHYCMUNVSQS-TXEJJXNPSA-N -1 1 334.376 -0.462 20 0 EBADMM COc1cc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)on1 ZINC000969521712 725187993 /nfs/dbraw/zinc/18/79/93/725187993.db2.gz KROHKPYNLVMTDR-MRVPVSSYSA-N -1 1 336.352 -0.645 20 0 EBADMM Cc1n[nH]c(=O)c(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c1C ZINC000969539230 725190905 /nfs/dbraw/zinc/19/09/05/725190905.db2.gz MFPCGJZSUXVKLX-SECBINFHSA-N -1 1 333.352 -0.373 20 0 EBADMM CCOC1CC(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC000969582696 725194632 /nfs/dbraw/zinc/19/46/32/725194632.db2.gz FIYIZILBLBKZJX-XSRFYTQQSA-N -1 1 337.424 -0.140 20 0 EBADMM CCn1nnc(C)c1C(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969819342 725220196 /nfs/dbraw/zinc/22/01/96/725220196.db2.gz TWKVTMOYYYNCNR-SECBINFHSA-N -1 1 348.411 -0.721 20 0 EBADMM C[C@@H](NC(=O)c1cnc2n1CCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969870124 725224117 /nfs/dbraw/zinc/22/41/17/725224117.db2.gz VTBLFYVXXSNZLX-SNVBAGLBSA-N -1 1 345.407 -0.499 20 0 EBADMM O=C(N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)C1(CF)CCOCC1 ZINC000969963033 725232667 /nfs/dbraw/zinc/23/26/67/725232667.db2.gz SHTQKWHKPQJIOT-SNVBAGLBSA-N -1 1 327.360 -0.033 20 0 EBADMM Cn1cncc1[C@@H]1C[C@H]1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970013256 725238937 /nfs/dbraw/zinc/23/89/37/725238937.db2.gz RQZBGKHANQENQU-GMTAPVOTSA-N -1 1 331.380 -0.262 20 0 EBADMM Cc1nnccc1C(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970060575 725244979 /nfs/dbraw/zinc/24/49/79/725244979.db2.gz PQYHXMMXTJRBPE-SECBINFHSA-N -1 1 331.380 -0.543 20 0 EBADMM COc1ncccc1C(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970364507 725284161 /nfs/dbraw/zinc/28/41/61/725284161.db2.gz VBWWFZUQXDBKDF-JTQLQIEISA-N -1 1 346.391 -0.238 20 0 EBADMM Cc1noc(CN[C@@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)n1 ZINC000970474643 725294725 /nfs/dbraw/zinc/29/47/25/725294725.db2.gz CBYPMJHXRUURNR-SECBINFHSA-N -1 1 344.335 -0.887 20 0 EBADMM Cc1conc1CN[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000970475688 725294770 /nfs/dbraw/zinc/29/47/70/725294770.db2.gz VAZYCGQYIYKPSH-SNVBAGLBSA-N -1 1 343.347 -0.282 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1ccc(=O)[nH]c1 ZINC000970531391 725297992 /nfs/dbraw/zinc/29/79/92/725297992.db2.gz QANLFJUONXTTRG-PWSUYJOCSA-N -1 1 344.327 -0.497 20 0 EBADMM COc1ccc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)o1 ZINC000970643595 725310279 /nfs/dbraw/zinc/31/02/79/725310279.db2.gz STSNTFPUPIQLRC-VIFPVBQESA-N -1 1 335.364 -0.040 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CN(C(C)=O)CCCO1 ZINC001019626634 728948278 /nfs/dbraw/zinc/94/82/78/728948278.db2.gz WHTVIIMQKSLLLW-SECBINFHSA-N -1 1 340.336 -0.736 20 0 EBADMM C[C@H](NC(=O)[C@@H]1CCc2[nH]cnc2C1)C1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC000970708422 725319489 /nfs/dbraw/zinc/31/94/89/725319489.db2.gz LBCLJVFQEXRFRR-VHSXEESVSA-N -1 1 345.407 -0.025 20 0 EBADMM NC(=O)c1cccc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000970828718 725332714 /nfs/dbraw/zinc/33/27/14/725332714.db2.gz DSYNOFKATQZEJC-MRVPVSSYSA-N -1 1 331.336 -0.992 20 0 EBADMM CCc1ncncc1C(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970889203 725337753 /nfs/dbraw/zinc/33/77/53/725337753.db2.gz QMFQWFBQRDZZBF-SNVBAGLBSA-N -1 1 345.407 -0.289 20 0 EBADMM Cc1cc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)c(C)nn1 ZINC000971598808 725424004 /nfs/dbraw/zinc/42/40/04/725424004.db2.gz FBTSMIAJQCQKJR-GFCCVEGCSA-N -1 1 345.407 -0.138 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)c2cc3n(n2)CCC3)C1 ZINC000971647802 725427957 /nfs/dbraw/zinc/42/79/57/725427957.db2.gz NDSFFXMUXHNBIG-GFCCVEGCSA-N -1 1 345.407 -0.402 20 0 EBADMM O=C(c1cc2n(n1)CCC2)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051646886 735287583 /nfs/dbraw/zinc/28/75/83/735287583.db2.gz FAMRVJRXLMVRGA-NSHDSACASA-N -1 1 347.379 -0.716 20 0 EBADMM Cc1nc([C@@H](C)N(C)[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC000971870969 725444729 /nfs/dbraw/zinc/44/47/29/725444729.db2.gz WTDPIDZIKQVTBL-APPZFPTMSA-N -1 1 348.367 -0.383 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000971899418 725446808 /nfs/dbraw/zinc/44/68/08/725446808.db2.gz HRJXWCJTTZASTB-WISYIIOYSA-N -1 1 344.371 -0.419 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@H]2CCN(C(=O)[C@H]3CNC(=O)N3)C[C@H]21 ZINC000971900147 725447131 /nfs/dbraw/zinc/44/71/31/725447131.db2.gz OPRYGZUWBLHOLY-GMTAPVOTSA-N -1 1 345.359 -0.859 20 0 EBADMM COc1nc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)co1 ZINC000972016678 725455406 /nfs/dbraw/zinc/45/54/06/725455406.db2.gz MJCNNRURHMVNNU-VIFPVBQESA-N -1 1 336.352 -0.549 20 0 EBADMM COc1ccnc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC000972064065 725460530 /nfs/dbraw/zinc/46/05/30/725460530.db2.gz OAQWNHKJNZTZLP-LLVKDONJSA-N -1 1 346.391 -0.142 20 0 EBADMM Cc1ncc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)n1C ZINC000972170768 725473550 /nfs/dbraw/zinc/47/35/50/725473550.db2.gz ATEHVRPKDNLOMJ-NSHDSACASA-N -1 1 333.396 -0.503 20 0 EBADMM Cc1ncc(CC(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)o1 ZINC001051653447 735294579 /nfs/dbraw/zinc/29/45/79/735294579.db2.gz ZUHISJDNWXPJHF-LLVKDONJSA-N -1 1 336.352 -0.634 20 0 EBADMM CNC(=O)[C@H](C)N1CCO[C@@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000972355124 725505385 /nfs/dbraw/zinc/50/53/85/725505385.db2.gz JAQBPYFOBLDACP-SJCJKPOMSA-N -1 1 348.403 -0.161 20 0 EBADMM O=C(c1ncccc1[O-])N1CC[C@@]2(C1)CN([C@@H]1CCNC1=O)CCO2 ZINC000972356148 725505838 /nfs/dbraw/zinc/50/58/38/725505838.db2.gz VPVRTAIGBUVFTE-PXAZEXFGSA-N -1 1 346.387 -0.407 20 0 EBADMM O=C(Cn1nccn1)N1CC(NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000991644323 725507610 /nfs/dbraw/zinc/50/76/10/725507610.db2.gz DIYIKJNRRKXQLT-UHFFFAOYSA-N -1 1 343.347 -0.708 20 0 EBADMM Cc1nn[nH]c1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC000991655126 725516754 /nfs/dbraw/zinc/51/67/54/725516754.db2.gz HCRZRFMQKHMBAW-GXSJLCMTSA-N -1 1 346.347 -0.781 20 0 EBADMM Cc1nn[nH]c1C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000991655129 725516793 /nfs/dbraw/zinc/51/67/93/725516793.db2.gz HCRZRFMQKHMBAW-KOLCDFICSA-N -1 1 346.347 -0.781 20 0 EBADMM Cc1nn[nH]c1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000991655136 725516861 /nfs/dbraw/zinc/51/68/61/725516861.db2.gz HCRZRFMQKHMBAW-ONGXEEELSA-N -1 1 346.347 -0.781 20 0 EBADMM CC(C)N1CCO[C@]2(CCN(C(=O)c3cnc([O-])n(C)c3=O)C2)C1 ZINC000972492624 725534583 /nfs/dbraw/zinc/53/45/83/725534583.db2.gz NVZDXVWLWJTIHI-MRXNPFEDSA-N -1 1 336.392 -0.189 20 0 EBADMM CC[C@@H](C(N)=O)N(C)[C@H]1CCN(C(=O)c2c[n-]n3c2nccc3=O)C1 ZINC000972494330 725535788 /nfs/dbraw/zinc/53/57/88/725535788.db2.gz CTSJFBVWIWDBGT-JQWIXIFHSA-N -1 1 346.391 -0.567 20 0 EBADMM CN([C@@H]1CCN(C(=O)c2c[n-]n3c2nccc3=O)C1)[C@@H]1CCNC1=O ZINC000972495311 725536316 /nfs/dbraw/zinc/53/63/16/725536316.db2.gz MCOMCYIUXNTQPE-ZYHUDNBSSA-N -1 1 344.375 -0.943 20 0 EBADMM CC[C@@H](F)C(=O)N1CC[C@@]2(C1)CN(Cc1nc(=O)n(C)[n-]1)CCO2 ZINC000972502030 725536936 /nfs/dbraw/zinc/53/69/36/725536936.db2.gz KPAFTEHTIXWBPV-ABAIWWIYSA-N -1 1 341.387 -0.340 20 0 EBADMM CCc1cc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC000972610098 725561842 /nfs/dbraw/zinc/56/18/42/725561842.db2.gz GXDKUGXNBNLLAX-GFCCVEGCSA-N -1 1 347.423 -0.249 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@H](NC(=O)C(F)F)C1 ZINC000972874622 725599148 /nfs/dbraw/zinc/59/91/48/725599148.db2.gz UIQIBYKHYUDKKT-URHBZAFASA-N -1 1 332.263 -0.462 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@H](NC(=O)[C@H]2C[C@@H]2C)C1 ZINC000972921954 725603071 /nfs/dbraw/zinc/60/30/71/725603071.db2.gz LVELCVAWDCFPLS-JBDRJPRFSA-N -1 1 336.348 -0.071 20 0 EBADMM NC(=O)c1cncc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)c1 ZINC000991811134 725640625 /nfs/dbraw/zinc/64/06/25/725640625.db2.gz ICSDIFYDHGDCBF-UHFFFAOYSA-N -1 1 341.327 -0.465 20 0 EBADMM CN1C[C@H](C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000973198122 725667753 /nfs/dbraw/zinc/66/77/53/725667753.db2.gz VILHZAOTQRXGRS-GMTAPVOTSA-N -1 1 332.360 -0.358 20 0 EBADMM C[C@@H](C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1)N1CCOCC1 ZINC000973200058 725668173 /nfs/dbraw/zinc/66/81/73/725668173.db2.gz XLJJPQOEOXTKRW-AVGNSLFASA-N -1 1 348.403 -0.115 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000973201374 725668621 /nfs/dbraw/zinc/66/86/21/725668621.db2.gz NZFKGMGMEUBNRE-HAQNSBGRSA-N -1 1 332.360 -0.358 20 0 EBADMM Cn1nncc1C(=O)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC000992048853 725709111 /nfs/dbraw/zinc/70/91/11/725709111.db2.gz LJWFJKFJXGZNSI-UHFFFAOYSA-N -1 1 330.348 -0.146 20 0 EBADMM CN1C[C@@H](C(=O)NC2CC(CNC(=O)c3ncccc3[O-])C2)NC1=O ZINC000992055182 725710602 /nfs/dbraw/zinc/71/06/02/725710602.db2.gz WXTPGXAJMYDUFM-ILDUYXDCSA-N -1 1 347.375 -0.565 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)Cc4cnoc4)CCC[C@@H]23)nc1=O ZINC000992125662 725730806 /nfs/dbraw/zinc/73/08/06/725730806.db2.gz FBEOOCKUYGUNTN-WBMJQRKESA-N -1 1 346.391 -0.048 20 0 EBADMM Cn1ncc(C(=O)N[C@@]23CCC[C@H]2N(Cc2nc(=O)n(C)[n-]2)CC3)n1 ZINC000992324920 725778749 /nfs/dbraw/zinc/77/87/49/725778749.db2.gz JRXJINPWAAUKHY-IAQYHMDHSA-N -1 1 346.395 -0.836 20 0 EBADMM O=C(NCC1CC(NC(=O)c2ncccc2[O-])C1)c1c[nH]c(=O)cn1 ZINC000992346220 725783709 /nfs/dbraw/zinc/78/37/09/725783709.db2.gz PUJHSFLLXDFVFP-UHFFFAOYSA-N -1 1 343.343 -0.191 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)c4ncccn4)CCC[C@@H]23)nc1=O ZINC000992352971 725784699 /nfs/dbraw/zinc/78/46/99/725784699.db2.gz VAACUJRPNVQNBZ-BZNIZROVSA-N -1 1 343.391 -0.175 20 0 EBADMM O=C(NC1CC(CNC(=O)[C@@H]2CNC(=O)N2)C1)c1ncccc1[O-] ZINC000992354306 725785001 /nfs/dbraw/zinc/78/50/01/725785001.db2.gz HIBQHZWHNNJCNO-RTBKNWGFSA-N -1 1 333.348 -0.907 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)Cn4cncn4)CCC[C@@H]23)nc1=O ZINC000992475656 725801883 /nfs/dbraw/zinc/80/18/83/725801883.db2.gz OUZBXERITRJCHW-ABAIWWIYSA-N -1 1 346.395 -0.987 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000973823576 725805832 /nfs/dbraw/zinc/80/58/32/725805832.db2.gz AWKTUWFVFQYYQI-QEYWKRMJSA-N -1 1 330.348 -0.549 20 0 EBADMM O=C([C@@H]1CCC1(F)F)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051676614 735318312 /nfs/dbraw/zinc/31/83/12/735318312.db2.gz BFWXFBYSECKVDI-BDAKNGLRSA-N -1 1 331.323 -0.127 20 0 EBADMM COC(=O)[C@H]1C[C@H]1C(=O)N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000973951646 725831781 /nfs/dbraw/zinc/83/17/81/725831781.db2.gz LADYJXLMYPKPAP-YTWAJWBKSA-N -1 1 333.344 -0.027 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cn2cccn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993007639 725850129 /nfs/dbraw/zinc/85/01/29/725850129.db2.gz GLETUKRKXHXKPV-NEPJUHHUSA-N -1 1 333.396 -0.526 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)nn1C ZINC000993082814 725853908 /nfs/dbraw/zinc/85/39/08/725853908.db2.gz CBFMQIGCZPETTJ-NEPJUHHUSA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cnns2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993091452 725854255 /nfs/dbraw/zinc/85/42/55/725854255.db2.gz KNGNOWXNDHAXMN-BDAKNGLRSA-N -1 1 337.409 -0.257 20 0 EBADMM C[C@H]1[C@H](NC(=O)Cc2cc[nH]n2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993140194 725857526 /nfs/dbraw/zinc/85/75/26/725857526.db2.gz AKWMILMZYFLEBB-CMPLNLGQSA-N -1 1 333.396 -0.457 20 0 EBADMM O=C(N[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)c1c[nH]c(=O)cn1 ZINC000974057960 725859860 /nfs/dbraw/zinc/85/98/60/725859860.db2.gz UTEPKLVNJLYJSW-DTORHVGOSA-N -1 1 329.316 -0.439 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)ncn1 ZINC000993212260 725863038 /nfs/dbraw/zinc/86/30/38/725863038.db2.gz YOGMAWHTQWTHDU-RYUDHWBXSA-N -1 1 345.407 -0.010 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2cnn(C)c2N)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993236626 725864809 /nfs/dbraw/zinc/86/48/09/725864809.db2.gz ULTJLVXYXCXOSX-MWLCHTKSSA-N -1 1 348.411 -0.793 20 0 EBADMM C[C@@H]1[C@H](NC(=O)Cc2cncnc2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993280249 725868417 /nfs/dbraw/zinc/86/84/17/725868417.db2.gz KFXUPJCUJWRZJP-DGCLKSJQSA-N -1 1 345.407 -0.390 20 0 EBADMM C[C@H]1[C@@H](NC(=O)Cc2cncnc2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993280231 725868439 /nfs/dbraw/zinc/86/84/39/725868439.db2.gz KFXUPJCUJWRZJP-AAEUAGOBSA-N -1 1 345.407 -0.390 20 0 EBADMM C[C@H]1[C@H](NC(=O)Cc2ccn(C)n2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993308467 725871274 /nfs/dbraw/zinc/87/12/74/725871274.db2.gz CRNGPBXTVZTTHR-WCQYABFASA-N -1 1 347.423 -0.446 20 0 EBADMM Cc1cn(C)nc1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1C ZINC000993319857 725872238 /nfs/dbraw/zinc/87/22/38/725872238.db2.gz XLAAWOLXZVOJJF-RYUDHWBXSA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2c[nH]c(=O)n2C)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993697737 725929479 /nfs/dbraw/zinc/92/94/79/725929479.db2.gz FZJAVBZWTRLJCJ-VHSXEESVSA-N -1 1 349.395 -0.670 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993795587 725944216 /nfs/dbraw/zinc/94/42/16/725944216.db2.gz YLKZCCDQWCRIPC-ZJUUUORDSA-N -1 1 349.395 -0.670 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ccnnc2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993869941 725955957 /nfs/dbraw/zinc/95/59/57/725955957.db2.gz FGEQPLFJXKCOCJ-JQWIXIFHSA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2ccncn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000994005167 725970465 /nfs/dbraw/zinc/97/04/65/725970465.db2.gz HDWBQESHVHUICE-GHMZBOCLSA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C)n1cncn1 ZINC000974481912 726029926 /nfs/dbraw/zinc/02/99/26/726029926.db2.gz COHBOPJQPLQAER-GHMZBOCLSA-N -1 1 348.411 -0.712 20 0 EBADMM Cn1[nH]c(CN2C[C@@H](NC(=O)c3ncccc3[O-])C(C)(C)C2)nc1=O ZINC000974489487 726031800 /nfs/dbraw/zinc/03/18/00/726031800.db2.gz LSMXJOSFFRBWPZ-LLVKDONJSA-N -1 1 346.391 -0.151 20 0 EBADMM Cn1cc(CNC[C@@H]2CC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)nn1 ZINC000995007003 726141675 /nfs/dbraw/zinc/14/16/75/726141675.db2.gz NYVNTCOOTLRFBX-BDAKNGLRSA-N -1 1 348.367 -0.900 20 0 EBADMM Cc1nnc(CNC[C@@H]2CC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC000995007488 726142106 /nfs/dbraw/zinc/14/21/06/726142106.db2.gz PBTYZEIIOUZBKS-BDAKNGLRSA-N -1 1 349.351 -0.337 20 0 EBADMM O=C(NC[C@H]1CCCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCNC1=O ZINC000975433213 726150920 /nfs/dbraw/zinc/15/09/20/726150920.db2.gz BESBRCNNZKJVRP-VXGBXAGGSA-N -1 1 346.387 -0.108 20 0 EBADMM NC(=O)c1ccnc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)c1 ZINC000995323743 726203363 /nfs/dbraw/zinc/20/33/63/726203363.db2.gz HYYLXRMWYONFNJ-UHFFFAOYSA-N -1 1 341.327 -0.465 20 0 EBADMM CCC(=O)N[C@H]1CC(=O)N(C2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000995456337 726226970 /nfs/dbraw/zinc/22/69/70/726226970.db2.gz XAFUZWYGUYTINM-JTQLQIEISA-N -1 1 332.360 -0.261 20 0 EBADMM CC(C)C(=O)N1CC(N2C[C@H](NC(=O)c3ncccc3[O-])CC2=O)C1 ZINC000995497586 726259442 /nfs/dbraw/zinc/25/94/42/726259442.db2.gz KLGTVJSSKXGYPP-LLVKDONJSA-N -1 1 346.387 -0.015 20 0 EBADMM CC1(C)CN(C(=O)[C@@H]2COCCO2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995503595 726263266 /nfs/dbraw/zinc/26/32/66/726263266.db2.gz MZDBHMICUJJBRC-VHSXEESVSA-N -1 1 325.369 -0.748 20 0 EBADMM O=C(Cn1cccn1)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976397881 726292465 /nfs/dbraw/zinc/29/24/65/726292465.db2.gz LKEMIXSDKRIQAC-YABSGUDNSA-N -1 1 327.344 -0.130 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)c3ccc(=O)[nH]n3)C[C@H]21)c1ncccc1[O-] ZINC000976406704 726294972 /nfs/dbraw/zinc/29/49/72/726294972.db2.gz XYTXBTZMECFYNX-WOFXILAISA-N -1 1 341.327 -0.217 20 0 EBADMM O=C(Cc1cc[nH]n1)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976406640 726295017 /nfs/dbraw/zinc/29/50/17/726295017.db2.gz XRQRAKHPQPHHSQ-YABSGUDNSA-N -1 1 327.344 -0.060 20 0 EBADMM O=C(Cc1ccn[nH]1)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976406640 726295023 /nfs/dbraw/zinc/29/50/23/726295023.db2.gz XRQRAKHPQPHHSQ-YABSGUDNSA-N -1 1 327.344 -0.060 20 0 EBADMM Cc1nnc(CC(=O)N[C@@H]2[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)[nH]1 ZINC000976478572 726322812 /nfs/dbraw/zinc/32/28/12/726322812.db2.gz QCFHDCHXTKBHGD-MSRIBSCDSA-N -1 1 342.359 -0.357 20 0 EBADMM Cc1nc(CC(=O)N[C@@H]2[C@H]3CN(C(=O)c4ncccc4[O-])C[C@H]32)n[nH]1 ZINC000976478572 726322822 /nfs/dbraw/zinc/32/28/22/726322822.db2.gz QCFHDCHXTKBHGD-MSRIBSCDSA-N -1 1 342.359 -0.357 20 0 EBADMM CN1C(=O)CC[C@H]1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000996160197 726339646 /nfs/dbraw/zinc/33/96/46/726339646.db2.gz KHJJSBHRADGFFY-UWVGGRQHSA-N -1 1 336.396 -0.542 20 0 EBADMM CN1C(=O)CC[C@@H]1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000996189800 726343323 /nfs/dbraw/zinc/34/33/23/726343323.db2.gz KHJJSBHRADGFFY-NXEZZACHSA-N -1 1 336.396 -0.542 20 0 EBADMM Cn1cc(OCC(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)cn1 ZINC000996204739 726344703 /nfs/dbraw/zinc/34/47/03/726344703.db2.gz KNGAAJGVQMNREG-NSHDSACASA-N -1 1 349.395 -0.351 20 0 EBADMM O=C(Cn1ncnn1)N[C@@H]1CCCC[C@H]1NC(=O)c1ncccc1[O-] ZINC000976569570 726352516 /nfs/dbraw/zinc/35/25/16/726352516.db2.gz RZFGKSOKXXWTJP-GHMZBOCLSA-N -1 1 345.363 -0.369 20 0 EBADMM Cn1c(=O)cccc1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000996266717 726355087 /nfs/dbraw/zinc/35/50/87/726355087.db2.gz GJJHUIZZNYHGNR-NSHDSACASA-N -1 1 346.391 -0.151 20 0 EBADMM COc1cncc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)n1 ZINC000996379761 726376775 /nfs/dbraw/zinc/37/67/75/726376775.db2.gz ONLCWFNYBGROGH-JTQLQIEISA-N -1 1 347.379 -0.051 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)C(C)(F)F)C1 ZINC000996396670 726385367 /nfs/dbraw/zinc/38/53/67/726385367.db2.gz JOJAZBFMSNKBKE-UHFFFAOYSA-N -1 1 332.263 -0.508 20 0 EBADMM C[C@@H](C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC000996405840 726388186 /nfs/dbraw/zinc/38/81/86/726388186.db2.gz LBLQSSDCCJPPEA-MRVPVSSYSA-N -1 1 330.348 -0.596 20 0 EBADMM C[C@H](C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CCC1 ZINC000996404377 726388256 /nfs/dbraw/zinc/38/82/56/726388256.db2.gz FGZAOLHNLURAHF-VIFPVBQESA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(NC1CN(C(=O)c2ccccn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996408911 726388841 /nfs/dbraw/zinc/38/88/41/726388841.db2.gz PLMHJNPACMDYFJ-UHFFFAOYSA-N -1 1 339.315 -0.933 20 0 EBADMM O=C(NC1CN(C(=O)c2ccco2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996408389 726389029 /nfs/dbraw/zinc/38/90/29/726389029.db2.gz NWPCNUVLJTUSOH-UHFFFAOYSA-N -1 1 328.288 -0.735 20 0 EBADMM O=C(NC1CN(C(=O)c2nccs2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996408996 726389502 /nfs/dbraw/zinc/38/95/02/726389502.db2.gz RIWQGICHJXBXMZ-UHFFFAOYSA-N -1 1 345.344 -0.872 20 0 EBADMM O=C(Cn1ccnc1)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000976763554 726409970 /nfs/dbraw/zinc/40/99/70/726409970.db2.gz DVNIRWFYJFGOKQ-YABSGUDNSA-N -1 1 327.344 -0.130 20 0 EBADMM Cc1nonc1C(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000976774737 726414398 /nfs/dbraw/zinc/41/43/98/726414398.db2.gz VATCWEUGCQOFST-GDGBQDQQSA-N -1 1 329.316 -0.021 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CCC(NCc2ncccn2)CC1 ZINC000996761037 726425736 /nfs/dbraw/zinc/42/57/36/726425736.db2.gz ALWNZRZPBUOEOU-UHFFFAOYSA-N -1 1 331.336 -0.533 20 0 EBADMM Cc1nonc1CNC1CCN(C(=O)c2cnc([O-])n(C)c2=O)CC1 ZINC000996877117 726431312 /nfs/dbraw/zinc/43/13/12/726431312.db2.gz ZLCYPXKYUISEEV-UHFFFAOYSA-N -1 1 348.363 -0.428 20 0 EBADMM COc1c(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)cnn1C ZINC000997263106 726443838 /nfs/dbraw/zinc/44/38/38/726443838.db2.gz HBAWNOHCXPMAMW-UHFFFAOYSA-N -1 1 331.332 -0.216 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)c2c[nH]nc2C)C1 ZINC000997283059 726445063 /nfs/dbraw/zinc/44/50/63/726445063.db2.gz ADHGFCILKZOSNC-UHFFFAOYSA-N -1 1 348.319 -0.818 20 0 EBADMM O=C([C@@H]1CCCS1(=O)=O)N1CCC(NCc2n[nH]c(=O)[n-]2)CC1 ZINC000997336685 726446903 /nfs/dbraw/zinc/44/69/03/726446903.db2.gz RFNRCKDZBQFFKO-JTQLQIEISA-N -1 1 343.409 -0.832 20 0 EBADMM COc1nn(C)cc1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000997388097 726449603 /nfs/dbraw/zinc/44/96/03/726449603.db2.gz YAYYMIDMTRAORG-UHFFFAOYSA-N -1 1 331.332 -0.216 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC[C@H](C(F)(F)F)[C@H](NCC(N)=O)C2)c1[O-] ZINC000998255354 726482476 /nfs/dbraw/zinc/48/24/76/726482476.db2.gz JAMIMOQAWIKMFN-JGVFFNPUSA-N -1 1 349.313 -0.108 20 0 EBADMM CC[C@]1(C)C[C@H]1C(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000998865496 726499835 /nfs/dbraw/zinc/49/98/35/726499835.db2.gz NGDZNAYPMNYGIX-MGPLVRAMSA-N -1 1 344.375 -0.206 20 0 EBADMM COc1nccc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000999667488 726526718 /nfs/dbraw/zinc/52/67/18/726526718.db2.gz MKBLBOGKGZQWMR-VIFPVBQESA-N -1 1 333.352 -0.297 20 0 EBADMM CC(=O)N1CC[C@](C)(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000999695941 726528242 /nfs/dbraw/zinc/52/82/42/726528242.db2.gz AUJDHGHHIHZWOA-KRWDZBQOSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(c1cnn2cccnc12)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999871877 726537058 /nfs/dbraw/zinc/53/70/58/726537058.db2.gz OXAKEFVVMZXKIX-JTQLQIEISA-N -1 1 342.363 -0.053 20 0 EBADMM CN1C(=O)CC[C@@H]1CC(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999887110 726538876 /nfs/dbraw/zinc/53/88/76/726538876.db2.gz WGTXGNHJRTZIIU-WDEREUQCSA-N -1 1 336.396 -0.398 20 0 EBADMM C[C@@H]1CCN(C(=O)C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001000155281 726556642 /nfs/dbraw/zinc/55/66/42/726556642.db2.gz FQTQOMVEQRFXKD-SNVBAGLBSA-N -1 1 332.360 -0.404 20 0 EBADMM Cc1ncc(C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)[nH]1 ZINC001000531054 726569708 /nfs/dbraw/zinc/56/97/08/726569708.db2.gz WBPSITJBVFVMSZ-UHFFFAOYSA-N -1 1 331.380 -0.298 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)c3cccc(=O)[nH]3)CC2)nc1=O ZINC001000550291 726570506 /nfs/dbraw/zinc/57/05/06/726570506.db2.gz OZPWXEPSOPNRAK-UHFFFAOYSA-N -1 1 344.375 -0.229 20 0 EBADMM Cc1ccc(=O)n(CC(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001000749428 726576607 /nfs/dbraw/zinc/57/66/07/726576607.db2.gz WOEZOXOBPXNDLK-LLVKDONJSA-N -1 1 347.379 -0.844 20 0 EBADMM CN1C(=O)CCC[C@H]1C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000817605 726579327 /nfs/dbraw/zinc/57/93/27/726579327.db2.gz JJJYWNJTXFVFMF-QWRGUYRKSA-N -1 1 336.396 -0.398 20 0 EBADMM O=C(NCC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1ccoc1 ZINC001000934782 726584346 /nfs/dbraw/zinc/58/43/46/726584346.db2.gz KVZIWVUSEKBSNN-UHFFFAOYSA-N -1 1 342.315 -0.487 20 0 EBADMM CC(=O)N1CCC[C@@H]1C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001001020758 726588115 /nfs/dbraw/zinc/58/81/15/726588115.db2.gz SCVHKOCILJTYDJ-NWDGAFQWSA-N -1 1 336.396 -0.398 20 0 EBADMM NC(=O)N1CCC[C@H]1C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001001069018 726590882 /nfs/dbraw/zinc/59/08/82/726590882.db2.gz ASPUVHRZICUSFU-ZJUUUORDSA-N -1 1 337.384 -0.866 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)c3cnsn3)CC2)nc1=O ZINC001001224773 726597633 /nfs/dbraw/zinc/59/76/33/726597633.db2.gz JTHBVGBWJLCTNB-UHFFFAOYSA-N -1 1 337.409 -0.398 20 0 EBADMM Cn1nnnc1CN1CCC(CNC(=O)c2ncccc2[O-])CC1 ZINC001001334296 726602847 /nfs/dbraw/zinc/60/28/47/726602847.db2.gz AAZRKEDVLFKJBE-UHFFFAOYSA-N -1 1 331.380 -0.047 20 0 EBADMM CS(=O)(=O)CC(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001536208 726611010 /nfs/dbraw/zinc/61/10/10/726611010.db2.gz GILSJXLCSDNIKC-UHFFFAOYSA-N -1 1 327.362 -0.980 20 0 EBADMM O=C(CO[C@H]1CCOC1)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001541017 726611188 /nfs/dbraw/zinc/61/11/88/726611188.db2.gz HVWHGAGMUTUHPG-LBPRGKRZSA-N -1 1 335.360 -0.219 20 0 EBADMM O=C(C[C@H]1CCC(=O)N1)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001541325 726611272 /nfs/dbraw/zinc/61/12/72/726611272.db2.gz LMMMGJPHUWFMAM-LLVKDONJSA-N -1 1 332.360 -0.356 20 0 EBADMM C[C@H]1C[C@H](C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)CC(=O)N1 ZINC001001544053 726611441 /nfs/dbraw/zinc/61/14/41/726611441.db2.gz GFMSGKDFWXDEKO-JQWIXIFHSA-N -1 1 346.387 -0.110 20 0 EBADMM Cn1cc(CN2CCC(CNC(=O)c3n[nH]c(=O)[n-]c3=O)CC2)cn1 ZINC001001589159 726612331 /nfs/dbraw/zinc/61/23/31/726612331.db2.gz QHPMCYNMOQRNFN-UHFFFAOYSA-N -1 1 347.379 -0.342 20 0 EBADMM CCC(=O)N1CCC(=O)NCCN(C(=O)c2ncccc2[O-])CC1 ZINC001001695578 726616506 /nfs/dbraw/zinc/61/65/06/726616506.db2.gz VPJVZSBCRYUOEL-UHFFFAOYSA-N -1 1 334.376 -0.012 20 0 EBADMM CN(C[C@H]1CCCN1C(=O)C1CC1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001002016724 726630247 /nfs/dbraw/zinc/63/02/47/726630247.db2.gz WBFLDDOKLATPNI-LLVKDONJSA-N -1 1 344.375 -0.109 20 0 EBADMM CN(C[C@@H]1CCCN1C(=O)c1ccn[nH]1)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001002197116 726635435 /nfs/dbraw/zinc/63/54/35/726635435.db2.gz LLHIQQDDQXOJRP-QMMMGPOBSA-N -1 1 347.335 -0.617 20 0 EBADMM C[C@@H](C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1)n1cccn1 ZINC001002382882 726639772 /nfs/dbraw/zinc/63/97/72/726639772.db2.gz YDWIHJHEGNTHLH-NSHDSACASA-N -1 1 333.396 -0.353 20 0 EBADMM CCn1ncc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC001002755044 726646135 /nfs/dbraw/zinc/64/61/35/726646135.db2.gz BJMPRRBYDOKYPV-UHFFFAOYSA-N -1 1 334.384 -0.886 20 0 EBADMM COc1ccc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cn1 ZINC001003272181 726667287 /nfs/dbraw/zinc/66/72/87/726667287.db2.gz SRCVUDMANJCRAI-UHFFFAOYSA-N -1 1 346.391 -0.094 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CN(C(=O)c2cocn2)C1 ZINC001003317106 726669405 /nfs/dbraw/zinc/66/94/05/726669405.db2.gz LLZFMZOXLCYHFB-UHFFFAOYSA-N -1 1 349.303 -0.614 20 0 EBADMM Cc1nn(C)c(C)c1C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001003396211 726672498 /nfs/dbraw/zinc/67/24/98/726672498.db2.gz PXQCYROCUVHVSZ-UHFFFAOYSA-N -1 1 347.423 -0.147 20 0 EBADMM C[C@@H]1COCC[C@@H]1C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001003579600 726681375 /nfs/dbraw/zinc/68/13/75/726681375.db2.gz JHOVMRHLPPINAU-YPMHNXCESA-N -1 1 337.424 -0.138 20 0 EBADMM CC(=O)N1CC[C@@H](C(=O)NCC2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001003641484 726683114 /nfs/dbraw/zinc/68/31/14/726683114.db2.gz QSUYDKSRNCPERB-CYBMUJFWSA-N -1 1 346.387 -0.156 20 0 EBADMM Cc1cc(=O)c(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c[nH]1 ZINC001003903988 726693850 /nfs/dbraw/zinc/69/38/50/726693850.db2.gz UNFSZNMRWJFKDU-UHFFFAOYSA-N -1 1 346.391 -0.500 20 0 EBADMM C[C@@H]1CCN(C(=O)Cn2ncnn2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC001004767609 726715534 /nfs/dbraw/zinc/71/55/34/726715534.db2.gz LDFHAEAIWRMLLP-MNOVXSKESA-N -1 1 345.363 -0.559 20 0 EBADMM O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-])[C@@H]1CCNC1=O ZINC001004927646 726719959 /nfs/dbraw/zinc/71/99/59/726719959.db2.gz NOIICFFXVVVZCT-KKOKHZNYSA-N -1 1 344.371 -0.215 20 0 EBADMM CN(C(=O)c1ccnn1C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005167358 726727546 /nfs/dbraw/zinc/72/75/46/726727546.db2.gz LULOHKZVHQDNGW-UHFFFAOYSA-N -1 1 333.396 -0.422 20 0 EBADMM C[C@H](NC(=O)C1CC1)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001005544610 726735695 /nfs/dbraw/zinc/73/56/95/726735695.db2.gz WKNZLLISZCNHJS-QMMMGPOBSA-N -1 1 330.348 -0.596 20 0 EBADMM NC(=O)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001005667803 726738625 /nfs/dbraw/zinc/73/86/25/726738625.db2.gz HBIVXEUQAWMELT-IEBDPFPHSA-N -1 1 345.359 -0.593 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)CCn2cnnn2)C1 ZINC001005948975 726749483 /nfs/dbraw/zinc/74/94/83/726749483.db2.gz FQVPFYWIXQBNBL-JTQLQIEISA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@]2(C)CCNC2=O)C1 ZINC001005951624 726749694 /nfs/dbraw/zinc/74/96/94/726749694.db2.gz ONFIHHBBANHYOS-BTDLBPIBSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2COCCO2)C1 ZINC001005954216 726749828 /nfs/dbraw/zinc/74/98/28/726749828.db2.gz XCWSJEABEHASBX-GWCFXTLKSA-N -1 1 335.360 -0.221 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CCC(=O)N2)C1 ZINC001005953598 726749832 /nfs/dbraw/zinc/74/98/32/726749832.db2.gz TVIHIMBZGFWKSV-KOLCDFICSA-N -1 1 332.360 -0.358 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)c2cnnn2C)C1 ZINC001005954200 726749888 /nfs/dbraw/zinc/74/98/88/726749888.db2.gz WXKRIWGEKOXTAH-VIFPVBQESA-N -1 1 330.348 -0.194 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001005955486 726749962 /nfs/dbraw/zinc/74/99/62/726749962.db2.gz GGMFELXGRUNRGP-WDEREUQCSA-N -1 1 346.387 -0.158 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CCN(C)C2=O)C1 ZINC001005955061 726749993 /nfs/dbraw/zinc/74/99/93/726749993.db2.gz DYJASERETGNDIY-JQWIXIFHSA-N -1 1 346.387 -0.158 20 0 EBADMM C[C@@H](NC(=O)c1cc(=O)n(C)o1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005987146 726750685 /nfs/dbraw/zinc/75/06/85/726750685.db2.gz HVPUMROTSFQOLH-SECBINFHSA-N -1 1 346.343 -0.031 20 0 EBADMM Cc1c[nH]c(C(=O)N(C)C2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC001006108585 726755624 /nfs/dbraw/zinc/75/56/24/726755624.db2.gz PHGKEWJQLJWSKO-UHFFFAOYSA-N -1 1 333.396 -0.123 20 0 EBADMM CN(C(=O)[C@]1(C)CCOC1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001006197546 726758280 /nfs/dbraw/zinc/75/82/80/726758280.db2.gz NLNKZKGETCRLLD-MRXNPFEDSA-N -1 1 337.424 -0.042 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ncccc1[O-] ZINC001006318993 726763235 /nfs/dbraw/zinc/76/32/35/726763235.db2.gz QLDWYIBZZAAPMX-ZIQFBCGOSA-N -1 1 344.371 -0.230 20 0 EBADMM C[C@@H](NC(=O)c1cnn(C)n1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006425337 726768959 /nfs/dbraw/zinc/76/89/59/726768959.db2.gz QOBKAMDTTAHVEE-SECBINFHSA-N -1 1 330.348 -0.194 20 0 EBADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)CC2CC2)C1 ZINC001006460962 726770127 /nfs/dbraw/zinc/77/01/27/726770127.db2.gz BRNBFGFSYRMCEP-SECBINFHSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@@H](NC(=O)c1nnn(C)n1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006637370 726777304 /nfs/dbraw/zinc/77/73/04/726777304.db2.gz KQAOFDDTTNTNRW-MRVPVSSYSA-N -1 1 331.336 -0.799 20 0 EBADMM C[C@H](NC(=O)[C@H]1C[C@H]1C(N)=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006881061 726786024 /nfs/dbraw/zinc/78/60/24/726786024.db2.gz BXVFQBDEXMSJFD-GDPRMGEGSA-N -1 1 332.360 -0.515 20 0 EBADMM Cc1nn(C)cc1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001006934608 726787425 /nfs/dbraw/zinc/78/74/25/726787425.db2.gz USHDXUZAVZKTFN-NSHDSACASA-N -1 1 333.396 -0.455 20 0 EBADMM CCn1ccc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001008755570 726801387 /nfs/dbraw/zinc/80/13/87/726801387.db2.gz RPCQBSZQJZQJBG-NSHDSACASA-N -1 1 333.396 -0.281 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)C(C)(C)c3c[nH]cn3)C2)nc1=O ZINC001009213094 726872154 /nfs/dbraw/zinc/87/21/54/726872154.db2.gz HPVAEOGSWOILBK-LLVKDONJSA-N -1 1 347.423 -0.110 20 0 EBADMM CCn1cnc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001009230383 726876248 /nfs/dbraw/zinc/87/62/48/726876248.db2.gz FVEMXJRLQUTPFT-NSHDSACASA-N -1 1 333.396 -0.281 20 0 EBADMM Cc1nn[nH]c1C(=O)NC[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001009324351 726890614 /nfs/dbraw/zinc/89/06/14/726890614.db2.gz PTRPAYNXYWRZCR-RTCCRHLQSA-N -1 1 342.359 -0.038 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)c3ccc(=O)[nH]c3)C2)nc1=O ZINC001009412134 726907965 /nfs/dbraw/zinc/90/79/65/726907965.db2.gz VSQKFIOZCOZTAE-NSHDSACASA-N -1 1 332.364 -0.397 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(C(=O)C2CCCC2)CC1 ZINC001009724838 726980931 /nfs/dbraw/zinc/98/09/31/726980931.db2.gz HPLFRVPTVCALFM-UHFFFAOYSA-N -1 1 348.403 -0.212 20 0 EBADMM COCC(=O)N1C[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC001009932268 727013490 /nfs/dbraw/zinc/01/34/90/727013490.db2.gz OTAJQBOVAHTABM-ZJUUUORDSA-N -1 1 348.363 -0.969 20 0 EBADMM C[C@H]1C[C@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)CN1C(=O)C(N)=O ZINC001010304864 727068543 /nfs/dbraw/zinc/06/85/43/727068543.db2.gz WCTCTKBUOPLZRP-DTWKUNHWSA-N -1 1 347.375 -0.488 20 0 EBADMM CCc1nocc1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051810661 735409623 /nfs/dbraw/zinc/40/96/23/735409623.db2.gz AVNSOMSNICNWRW-VIFPVBQESA-N -1 1 336.352 -0.309 20 0 EBADMM C/C=C(/C)C(=O)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001010943417 727168015 /nfs/dbraw/zinc/16/80/15/727168015.db2.gz IUPWPDRAUGIXAN-KMKOMSMNSA-N -1 1 330.348 -0.332 20 0 EBADMM C[C@H](C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1)[C@@H]1CCC(=O)N1 ZINC001011037697 727187074 /nfs/dbraw/zinc/18/70/74/727187074.db2.gz IGABDEBTEWIASW-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@H]1CC(=O)N[C@@H](C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC001011037925 727187163 /nfs/dbraw/zinc/18/71/63/727187163.db2.gz UBDASHIAZWSKMX-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM CC(C)n1ccc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001051853501 735429186 /nfs/dbraw/zinc/42/91/86/735429186.db2.gz LDMMGKLCQOXHHD-NSHDSACASA-N -1 1 349.395 -0.082 20 0 EBADMM Cc1cc(C(=O)N2CC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)[C@@H]2C)n[nH]1 ZINC001011540438 727539286 /nfs/dbraw/zinc/53/92/86/727539286.db2.gz PAVKNKOZGSVTEQ-YUMQZZPRSA-N -1 1 347.335 -0.653 20 0 EBADMM CC(C)(C)C(=O)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001011637952 727552474 /nfs/dbraw/zinc/55/24/74/727552474.db2.gz SITSLWBQIWKPGG-UHFFFAOYSA-N -1 1 332.364 -0.252 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2cnn(C)n2)CCN1C(=O)c1ncccc1[O-] ZINC001011681037 727558242 /nfs/dbraw/zinc/55/82/42/727558242.db2.gz USKWMHWGJHNACI-NXEZZACHSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1cnn(C)n1 ZINC001011891775 727597206 /nfs/dbraw/zinc/59/72/06/727597206.db2.gz LLUOPFYTXHOTDS-VHSXEESVSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@H]1[C@@H](NC(=O)C2CC2)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001011899601 727599818 /nfs/dbraw/zinc/59/98/18/727599818.db2.gz QQSHENPIOCUECP-JQWIXIFHSA-N -1 1 334.376 -0.558 20 0 EBADMM CC(C)C(=O)N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)[C@H]1C ZINC001011912683 727602395 /nfs/dbraw/zinc/60/23/95/727602395.db2.gz KWLKDGIHWQQEKR-VHSXEESVSA-N -1 1 332.364 -0.207 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CN1C(=O)C1CCC1 ZINC001012140943 727639740 /nfs/dbraw/zinc/63/97/40/727639740.db2.gz GFRLMMSWNWKUNW-ONGXEEELSA-N -1 1 344.375 -0.063 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)Cn1cnnn1 ZINC001012258588 727646495 /nfs/dbraw/zinc/64/64/95/727646495.db2.gz AOHLCWGMNHVUQT-VHSXEESVSA-N -1 1 331.336 -0.807 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2cnc([O-])n(C)c2=O)CN1C(=O)c1ccn[nH]1 ZINC001012334109 727654882 /nfs/dbraw/zinc/65/48/82/727654882.db2.gz PHJCGZMZKOIHFA-DTWKUNHWSA-N -1 1 346.347 -0.758 20 0 EBADMM Cc1ncc(C(=O)N2C[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C[C@H]2C)[nH]1 ZINC001012393888 727660695 /nfs/dbraw/zinc/66/06/95/727660695.db2.gz YZTZYDKHBLVMKQ-HTRCEHHLSA-N -1 1 347.335 -0.653 20 0 EBADMM CC(=O)N1CC(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])C[C@H]2C)C1 ZINC001012530184 727676949 /nfs/dbraw/zinc/67/69/49/727676949.db2.gz ZUMNEMIYFLCGAB-MFKMUULPSA-N -1 1 346.387 -0.015 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1cnnn1C ZINC001012544859 727679432 /nfs/dbraw/zinc/67/94/32/727679432.db2.gz AZIUZCDIKBKAOD-ZJUUUORDSA-N -1 1 330.348 -0.051 20 0 EBADMM CC(=O)N1CC[C@@]2(NC(=O)c3cc(=O)n4[n-]cnc4n3)CCC[C@@H]12 ZINC001014345288 727929350 /nfs/dbraw/zinc/92/93/50/727929350.db2.gz XLLZFFDWLHDFHT-ABAIWWIYSA-N -1 1 330.348 -0.309 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)c1ccnn1C ZINC001014471169 727958831 /nfs/dbraw/zinc/95/88/31/727958831.db2.gz MDLDJZOSCHOOFL-WDEREUQCSA-N -1 1 333.396 -0.664 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C3(F)CCOCC3)C2)nc1=O ZINC001014670758 727990296 /nfs/dbraw/zinc/99/02/96/727990296.db2.gz UQGBJTJGIQNXRK-SNVBAGLBSA-N -1 1 327.360 -0.682 20 0 EBADMM Cn1ncc(C2CC2)c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001014677534 727990660 /nfs/dbraw/zinc/99/06/60/727990660.db2.gz NWYGHQDHUQALFR-NSHDSACASA-N -1 1 345.407 -0.276 20 0 EBADMM Cc1c(CC(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001014680301 727991314 /nfs/dbraw/zinc/99/13/14/727991314.db2.gz UXBFCJRMMNUMGF-GFCCVEGCSA-N -1 1 333.396 -0.916 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cnn4ncccc34)C2)nc1=O ZINC001014745925 728001133 /nfs/dbraw/zinc/00/11/33/728001133.db2.gz HFMWWJKXFQFOSE-JTQLQIEISA-N -1 1 342.363 -0.845 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cnn4c3OCCC4)C2)nc1=O ZINC001015108979 728080559 /nfs/dbraw/zinc/08/05/59/728080559.db2.gz RDAZBHIBVWXRMX-JTQLQIEISA-N -1 1 347.379 -0.908 20 0 EBADMM O=C(N[C@H]1CCN(CCO[C@H]2CC2(F)F)C1)c1n[nH]c(=O)[n-]c1=O ZINC001015116422 728083321 /nfs/dbraw/zinc/08/33/21/728083321.db2.gz BLBHVFPNUWYBGW-YUMQZZPRSA-N -1 1 345.306 -0.489 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@@H]3Cc4ccccc4O3)C2)nc1=O ZINC001015162669 728099765 /nfs/dbraw/zinc/09/97/65/728099765.db2.gz BXXIUNUDURLHKX-JSGCOSHPSA-N -1 1 343.387 -0.197 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)C(N)=O)CCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001015189941 728109466 /nfs/dbraw/zinc/10/94/66/728109466.db2.gz MWUXDUWZZIUGMY-KCJUWKMLSA-N -1 1 347.375 -0.346 20 0 EBADMM O=C(NC[C@H]1CC[C@H](NC(=O)C2CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001015288089 728394668 /nfs/dbraw/zinc/39/46/68/728394668.db2.gz NQUVPGKUZGGPKR-ONGXEEELSA-N -1 1 344.375 -0.158 20 0 EBADMM NC(=O)C(=O)N[C@@H]1CC[C@@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001015556474 728423444 /nfs/dbraw/zinc/42/34/44/728423444.db2.gz ZNZDYJKORKKRTG-PSASIEDQSA-N -1 1 347.375 -0.440 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cc(C(F)F)n[nH]3)C2)nc1=O ZINC001015683087 728426623 /nfs/dbraw/zinc/42/66/23/728426623.db2.gz CKDYHLLBUMQRRB-ZETCQYMHSA-N -1 1 341.322 -0.227 20 0 EBADMM CCn1nc(C)cc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001015742229 728431135 /nfs/dbraw/zinc/43/11/35/728431135.db2.gz SCKNJQRDCRXQBS-LLVKDONJSA-N -1 1 333.396 -0.362 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cnn4ccccc34)C2)nc1=O ZINC001015864050 728435916 /nfs/dbraw/zinc/43/59/16/728435916.db2.gz PMLUVMNWXRLBCN-NSHDSACASA-N -1 1 341.375 -0.240 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cnoc3C3CC3)C2)nc1=O ZINC001015932570 728439453 /nfs/dbraw/zinc/43/94/53/728439453.db2.gz XNNIMZMOEFPZPH-JTQLQIEISA-N -1 1 332.364 -0.022 20 0 EBADMM CC(C)c1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001015930992 728439499 /nfs/dbraw/zinc/43/94/99/728439499.db2.gz YGXBJWGXGUUMDV-NSHDSACASA-N -1 1 347.423 -0.030 20 0 EBADMM Cn1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c(Cl)n1 ZINC001015978802 728440339 /nfs/dbraw/zinc/44/03/39/728440339.db2.gz OWHZHBXXKGVMNR-MRVPVSSYSA-N -1 1 339.787 -0.500 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@@H]3CCc4n[nH]cc4C3)C2)nc1=O ZINC001015988423 728440554 /nfs/dbraw/zinc/44/05/54/728440554.db2.gz XMNBRHUEKJKJNU-ZYHUDNBSSA-N -1 1 345.407 -0.673 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@H]3CCc4c[nH]nc4C3)C2)nc1=O ZINC001016306189 728454252 /nfs/dbraw/zinc/45/42/52/728454252.db2.gz NZOJAWIPMWIQEZ-JQWIXIFHSA-N -1 1 345.407 -0.673 20 0 EBADMM CN(C(=O)[C@H]1COCCN1C)C1CC(NC(=O)c2ncccc2[O-])C1 ZINC001016418314 728470341 /nfs/dbraw/zinc/47/03/41/728470341.db2.gz ZXWBYZFSEDUQFG-WXRRBKDZSA-N -1 1 348.403 -0.163 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)[C@H]2CCNC2=O)C1 ZINC001016426961 728471683 /nfs/dbraw/zinc/47/16/83/728471683.db2.gz BMSSGIUYHLWFIC-ILDUYXDCSA-N -1 1 332.360 -0.358 20 0 EBADMM C[C@@H](C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)C1CCOCC1 ZINC001016431057 728472884 /nfs/dbraw/zinc/47/28/84/728472884.db2.gz DFJXZFAURDBBLF-YPMHNXCESA-N -1 1 337.424 -0.138 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NC1CC(N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001016763465 728503237 /nfs/dbraw/zinc/50/32/37/728503237.db2.gz BTDVCVQKWKHGIP-PMUOWJKOSA-N -1 1 344.375 -0.207 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@H](N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)C1 ZINC001052062240 735528640 /nfs/dbraw/zinc/52/86/40/735528640.db2.gz HBAXBGMVLFLYSO-HZSPNIEDSA-N -1 1 348.451 -0.517 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC1CC(N(C)C(=O)C(N)=O)C1 ZINC001016954073 728532151 /nfs/dbraw/zinc/53/21/51/728532151.db2.gz HVGCOJKYIMLFMP-UHFFFAOYSA-N -1 1 349.391 -0.181 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)c2cn[nH]c(=O)c2)C1 ZINC001017148950 728550780 /nfs/dbraw/zinc/55/07/80/728550780.db2.gz JQSYPONRGOMCQG-JTQLQIEISA-N -1 1 347.379 -0.010 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)Cn2cncn2)C1 ZINC001017255660 728559173 /nfs/dbraw/zinc/55/91/73/728559173.db2.gz NESPVORULMGXEQ-LLVKDONJSA-N -1 1 334.384 -0.528 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)c2ccc(=O)[nH]n2)nc1=O ZINC001017323784 728563837 /nfs/dbraw/zinc/56/38/37/728563837.db2.gz JUDIBQMIGZNHOX-AOOOYVTPSA-N -1 1 345.363 -0.907 20 0 EBADMM CCC(=O)N[C@@H]1CC[C@H](C)N(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001017861781 728620939 /nfs/dbraw/zinc/62/09/39/728620939.db2.gz NPZNVZNYZUKWPA-NWDGAFQWSA-N -1 1 336.392 -0.168 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)Cc2cscn2)nc1=O ZINC001018034707 728629536 /nfs/dbraw/zinc/62/95/36/728629536.db2.gz REIIIYVWYWUNAJ-TXEJJXNPSA-N -1 1 348.432 -0.017 20 0 EBADMM CCN1CCN([C@H]2CCN(C(=O)c3c[n-]n4c3nccc4=O)C2)CC1 ZINC001052093384 735542308 /nfs/dbraw/zinc/54/23/08/735542308.db2.gz MJIHGQQRFBZVLW-ZDUSSCGKSA-N -1 1 344.419 -0.125 20 0 EBADMM O=C([C@H]1COCCO1)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001018810285 728691120 /nfs/dbraw/zinc/69/11/20/728691120.db2.gz CTAJKVBTFMUUEW-CYBMUJFWSA-N -1 1 347.371 -0.123 20 0 EBADMM CC(=O)N1CCC(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001019755858 728985310 /nfs/dbraw/zinc/98/53/10/728985310.db2.gz PULNAKAZTHQPGJ-LBPRGKRZSA-N -1 1 336.396 -0.541 20 0 EBADMM O=C([C@H]1CCc2c[nH]nc2C1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019798279 728995408 /nfs/dbraw/zinc/99/54/08/728995408.db2.gz MDMAYOIQIGMOQT-ONGXEEELSA-N -1 1 331.380 -0.271 20 0 EBADMM NC(=O)c1ccc(CC(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)cc1 ZINC001019849844 729009531 /nfs/dbraw/zinc/00/95/31/729009531.db2.gz AIKFRVFMTDXONP-LBPRGKRZSA-N -1 1 344.375 -0.458 20 0 EBADMM CC(=O)NC[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1C ZINC001020770338 729232054 /nfs/dbraw/zinc/23/20/54/729232054.db2.gz HYYWRCLEUCHDAJ-DGCLKSJQSA-N -1 1 336.392 -0.453 20 0 EBADMM CC(=O)NC[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1C ZINC001020777227 729233003 /nfs/dbraw/zinc/23/30/03/729233003.db2.gz XCHZKQNIKRBPQW-ONGXEEELSA-N -1 1 332.364 -0.348 20 0 EBADMM O=C(c1ncccc1[O-])N1CC[C@H]2CN(C(=O)[C@@H]3CCNC3=O)C[C@H]21 ZINC001021442030 729314078 /nfs/dbraw/zinc/31/40/78/729314078.db2.gz FOAQEOJTICKVQO-QJPTWQEYSA-N -1 1 344.371 -0.404 20 0 EBADMM O=C(Cn1cncn1)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001021445139 729314326 /nfs/dbraw/zinc/31/43/26/729314326.db2.gz XDMJXBPAOUUMAH-NWDGAFQWSA-N -1 1 342.359 -0.248 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001021444778 729314448 /nfs/dbraw/zinc/31/44/48/729314448.db2.gz REQCKPAFKBBUNX-WHOHXGKFSA-N -1 1 344.371 -0.419 20 0 EBADMM Cc1cc(CN[C@H]2C[C@@H](NC(=O)c3cnc([O-])n(C)c3=O)C2)nn1C ZINC001022528533 729503861 /nfs/dbraw/zinc/50/38/61/729503861.db2.gz CFFBHCBFBJKBKK-PHIMTYICSA-N -1 1 346.391 -0.422 20 0 EBADMM O=C(Cc1ccon1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001023233080 729645748 /nfs/dbraw/zinc/64/57/48/729645748.db2.gz OIBDQQBPQPKIFB-ZYHUDNBSSA-N -1 1 332.316 -0.681 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)c1cncnc1 ZINC001023481259 729699920 /nfs/dbraw/zinc/69/99/20/729699920.db2.gz UYAIYSDKUDVIAY-ZYHUDNBSSA-N -1 1 329.316 -0.808 20 0 EBADMM O=C(CCn1cccn1)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023487037 729701683 /nfs/dbraw/zinc/70/16/83/729701683.db2.gz VETVQVDIKGLDIU-DGCLKSJQSA-N -1 1 345.359 -0.625 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ccncc2)C[C@H]1O)c1ncccc1[O-] ZINC001023486779 729701698 /nfs/dbraw/zinc/70/16/98/729701698.db2.gz RIRJLYHFMZSLSX-DGCLKSJQSA-N -1 1 328.328 -0.203 20 0 EBADMM O=C(C[C@@H]1COC(=O)C1)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023488540 729702440 /nfs/dbraw/zinc/70/24/40/729702440.db2.gz MPPZPAHSNDKEPB-HOSYDEDBSA-N -1 1 349.343 -0.958 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ccc(F)cn2)C[C@H]1O)c1ncccc1[O-] ZINC001023488466 729702467 /nfs/dbraw/zinc/70/24/67/729702467.db2.gz JCTAKBYYZGQAOC-DGCLKSJQSA-N -1 1 346.318 -0.063 20 0 EBADMM Cc1cc(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)cnn1 ZINC001023488629 729702617 /nfs/dbraw/zinc/70/26/17/729702617.db2.gz QAHRBCJVDKPTBT-DGCLKSJQSA-N -1 1 343.343 -0.499 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)[C@H]2CCCCO2)C[C@H]1O)c1ncccc1[O-] ZINC001023488694 729702913 /nfs/dbraw/zinc/70/29/13/729702913.db2.gz SNVOGECNMVZQJC-RAIGVLPGSA-N -1 1 335.360 -0.342 20 0 EBADMM CO[C@@H](C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1)C(C)C ZINC001023490621 729703547 /nfs/dbraw/zinc/70/35/47/729703547.db2.gz KRHDVRIDFMAPTJ-MPKXVKKWSA-N -1 1 337.376 -0.240 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023494070 729704555 /nfs/dbraw/zinc/70/45/55/729704555.db2.gz YTQTWSYUMUFATN-PSASIEDQSA-N -1 1 347.331 -0.860 20 0 EBADMM O=C(C[C@@H]1CCCOC1)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023493656 729704668 /nfs/dbraw/zinc/70/46/68/729704668.db2.gz IUZBBLSVDLNXLA-OUCADQQQSA-N -1 1 349.387 -0.095 20 0 EBADMM Cc1nnc(CC(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)o1 ZINC001023598244 729734637 /nfs/dbraw/zinc/73/46/37/729734637.db2.gz AVKITJJSZLGYOG-MWLCHTKSSA-N -1 1 347.331 -0.977 20 0 EBADMM C[C@H](C(=O)N1CCC[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)S(C)(=O)=O ZINC001024041175 729833300 /nfs/dbraw/zinc/83/33/00/729833300.db2.gz UWUQAIUUJFDWLJ-ZJUUUORDSA-N -1 1 345.425 -0.728 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)n(C)n1 ZINC001024349979 729875851 /nfs/dbraw/zinc/87/58/51/729875851.db2.gz HVUILZAWIIQRQE-GFCCVEGCSA-N -1 1 347.423 -0.065 20 0 EBADMM CCn1ncc(C(=O)NC[C@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001024427767 729886888 /nfs/dbraw/zinc/88/68/88/729886888.db2.gz NZBYYJDGFMYWPV-LLVKDONJSA-N -1 1 348.411 -0.496 20 0 EBADMM CCn1cc(C(=O)NC[C@@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)nn1 ZINC001024733577 729923280 /nfs/dbraw/zinc/92/32/80/729923280.db2.gz LISJMBWZLFXOCL-NSHDSACASA-N -1 1 348.411 -0.496 20 0 EBADMM O=C(CCn1ccnn1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024941642 729944073 /nfs/dbraw/zinc/94/40/73/729944073.db2.gz TZNDOIKTNIPESM-LLVKDONJSA-N -1 1 334.384 -0.337 20 0 EBADMM CCn1nncc1C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001024955374 729945454 /nfs/dbraw/zinc/94/54/54/729945454.db2.gz VHJZHVMAFGNWJW-SNVBAGLBSA-N -1 1 334.384 -0.094 20 0 EBADMM C[C@H](C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)S(C)(=O)=O ZINC001025002847 729984575 /nfs/dbraw/zinc/98/45/75/729984575.db2.gz KRIBIKJCEFDDNR-NXEZZACHSA-N -1 1 345.425 -0.586 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)c1ncccc1F ZINC001025295231 730026554 /nfs/dbraw/zinc/02/65/54/730026554.db2.gz LYYHLEPKKNEQCY-ZYHUDNBSSA-N -1 1 346.318 -0.063 20 0 EBADMM CC(=O)N[C@@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C12CCC2 ZINC001025829468 730098578 /nfs/dbraw/zinc/09/85/78/730098578.db2.gz XQKNKSAMNORZDA-MNOVXSKESA-N -1 1 330.348 -0.405 20 0 EBADMM CCOC1CC(CC(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)C1 ZINC001025967112 730113324 /nfs/dbraw/zinc/11/33/24/730113324.db2.gz GIMZTDHRYBLTOP-LLYMFKJOSA-N -1 1 349.435 -0.140 20 0 EBADMM C[C@@H]1COCC[C@H]1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001026021102 730116061 /nfs/dbraw/zinc/11/60/61/730116061.db2.gz DPZFZPVULJOYBC-SSKLVLDBSA-N -1 1 335.408 -0.673 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1C[C@@H]2CC[C@H](NCc3cnon3)[C@H]2C1 ZINC001026304695 730136532 /nfs/dbraw/zinc/13/65/32/730136532.db2.gz PAOIAOCYMJGRDP-HGNGGELXSA-N -1 1 347.335 -0.694 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1C[C@@H]2CC[C@H](NCc3ccon3)[C@H]2C1 ZINC001026305438 730136602 /nfs/dbraw/zinc/13/66/02/730136602.db2.gz ZFXOLAMGLVWHHI-LSJOCFKGSA-N -1 1 346.347 -0.089 20 0 EBADMM Cc1nnc([C@@H](C)NC[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC001026940240 730173680 /nfs/dbraw/zinc/17/36/80/730173680.db2.gz DHQIGOWIVGAPOY-VXNVDRBHSA-N -1 1 349.351 -0.213 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CC[C@@H](CNCc2cscn2)C1 ZINC001026940730 730173696 /nfs/dbraw/zinc/17/36/96/730173696.db2.gz QHMDDMXBMVFYKF-QMMMGPOBSA-N -1 1 336.377 -0.009 20 0 EBADMM Cc1nc(CN(C)[C@H]2CCCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001027479700 730218402 /nfs/dbraw/zinc/21/84/02/730218402.db2.gz NWYSMGDYXYHBQS-VIFPVBQESA-N -1 1 348.367 -0.554 20 0 EBADMM CCn1ncc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001027869577 730244626 /nfs/dbraw/zinc/24/46/26/730244626.db2.gz JHZBEZYFWKCICA-JTQLQIEISA-N -1 1 334.384 -0.886 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)NC[C@@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001027950129 730250880 /nfs/dbraw/zinc/25/08/80/730250880.db2.gz JISPZDAWUJVNRB-VIFPVBQESA-N -1 1 335.368 -0.760 20 0 EBADMM CCc1c(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)cnn1C ZINC001027995912 730255294 /nfs/dbraw/zinc/25/52/94/730255294.db2.gz WMDCJOOMRBTBSA-LLVKDONJSA-N -1 1 347.423 -0.201 20 0 EBADMM COc1ccnc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)c1 ZINC001028068986 730261918 /nfs/dbraw/zinc/26/19/18/730261918.db2.gz ZNJZNQKEOHEKPT-LLVKDONJSA-N -1 1 346.391 -0.094 20 0 EBADMM CC(C)n1cc(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)nn1 ZINC001028229968 730276578 /nfs/dbraw/zinc/27/65/78/730276578.db2.gz QJORURBUWVZSFD-LLVKDONJSA-N -1 1 348.411 -0.325 20 0 EBADMM COc1ccc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)nn1 ZINC001028241219 730277175 /nfs/dbraw/zinc/27/71/75/730277175.db2.gz YYLLJKLZDFLIAV-JTQLQIEISA-N -1 1 347.379 -0.699 20 0 EBADMM O=C(NC[C@H]1CCN(Cc2cc(=O)n3[n-]ccc3n2)C1)c1ncc[nH]1 ZINC001028530502 730308963 /nfs/dbraw/zinc/30/89/63/730308963.db2.gz XULDEGWKRMRFBS-LLVKDONJSA-N -1 1 341.375 -0.002 20 0 EBADMM C[C@H](c1cnccn1)N1CC[C@@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001028660732 730333569 /nfs/dbraw/zinc/33/35/69/730333569.db2.gz BRLVPNDKNVXNKW-ZJUUUORDSA-N -1 1 345.363 -0.114 20 0 EBADMM Cc1nocc1CN1CC[C@@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001028662187 730334695 /nfs/dbraw/zinc/33/46/95/730334695.db2.gz UQUWONWOHRRDRE-VIFPVBQESA-N -1 1 334.336 -0.169 20 0 EBADMM Cc1cc(=O)c(C(=O)NC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c[nH]1 ZINC001028906306 730369386 /nfs/dbraw/zinc/36/93/86/730369386.db2.gz JDSHBXGIIZGPJB-LLVKDONJSA-N -1 1 346.391 -0.643 20 0 EBADMM CCn1ccnc1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029289372 730397091 /nfs/dbraw/zinc/39/70/91/730397091.db2.gz ZZRIMOFAPITBCF-TXEJJXNPSA-N -1 1 345.407 -0.186 20 0 EBADMM Cc1ccnc(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)n1 ZINC001029291735 730397188 /nfs/dbraw/zinc/39/71/88/730397188.db2.gz USUSJXBIOKADKP-TXEJJXNPSA-N -1 1 343.391 -0.304 20 0 EBADMM Cc1nnccc1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029379533 730402246 /nfs/dbraw/zinc/40/22/46/730402246.db2.gz CCFVKYGLZRXLJJ-TXEJJXNPSA-N -1 1 343.391 -0.304 20 0 EBADMM Cc1nc[nH]c1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029610414 730477464 /nfs/dbraw/zinc/47/74/64/730477464.db2.gz ZXKVHHFSCHYXLP-PHIMTYICSA-N -1 1 331.380 -0.371 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)C[C@H]2COC(=O)C2)C1 ZINC001029705851 730485337 /nfs/dbraw/zinc/48/53/37/730485337.db2.gz NPPUBYQIQYDHTK-GHMZBOCLSA-N -1 1 337.380 -0.256 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)C[C@@H]2CCNC2=O)C1 ZINC001029754420 730495050 /nfs/dbraw/zinc/49/50/50/730495050.db2.gz YFTZRNVIKBCBDU-MNOVXSKESA-N -1 1 336.396 -0.683 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001029773553 730498741 /nfs/dbraw/zinc/49/87/41/730498741.db2.gz CYIIRDFZXIOLJE-WDEREUQCSA-N -1 1 336.396 -0.683 20 0 EBADMM COc1nccc(C(=O)N2CC[C@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001029782062 730500857 /nfs/dbraw/zinc/50/08/57/730500857.db2.gz WSITYWUAUJHNMK-SNVBAGLBSA-N -1 1 347.379 -0.097 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)c2c[nH]c(=O)cn2)C1 ZINC001029819436 730508155 /nfs/dbraw/zinc/50/81/55/730508155.db2.gz RNWZGHMUUXDVPO-SECBINFHSA-N -1 1 333.352 -0.812 20 0 EBADMM O=C(NC1CN(C[C@H](O)CN2CCCC2=O)C1)c1ncccc1[O-] ZINC001030241267 730558073 /nfs/dbraw/zinc/55/80/73/730558073.db2.gz BVCXGESIDPJYMV-LBPRGKRZSA-N -1 1 334.376 -0.816 20 0 EBADMM O=C(NC1CN(C[C@H]2CCS(=O)(=O)C2)C1)c1ncccc1[O-] ZINC001030242907 730558383 /nfs/dbraw/zinc/55/83/83/730558383.db2.gz ZFGRFUGRHZAESZ-SNVBAGLBSA-N -1 1 325.390 -0.364 20 0 EBADMM Cn1nc(C2CC2)cc1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030382149 730576455 /nfs/dbraw/zinc/57/64/55/730576455.db2.gz ASWLEHYRNOIUML-UHFFFAOYSA-N -1 1 331.380 -0.667 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cn4c(n3)CCCC4)C2)nc1=O ZINC001030388155 730576970 /nfs/dbraw/zinc/57/69/70/730576970.db2.gz JQLLAKMEYVXHGJ-UHFFFAOYSA-N -1 1 331.380 -0.745 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cnc4[nH]ccc4c3)C2)nc1=O ZINC001030482497 730593785 /nfs/dbraw/zinc/59/37/85/730593785.db2.gz YIZUMMAWMVANMI-UHFFFAOYSA-N -1 1 327.348 -0.450 20 0 EBADMM Cc1nc(CC(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c(C)s1 ZINC001030530753 730600037 /nfs/dbraw/zinc/60/00/37/730600037.db2.gz VQBZUGKWTONLAM-UHFFFAOYSA-N -1 1 336.421 -0.275 20 0 EBADMM Cn1ncc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1Cl ZINC001030533468 730600414 /nfs/dbraw/zinc/60/04/14/730600414.db2.gz OOAKOQIOAXBSMI-UHFFFAOYSA-N -1 1 325.760 -0.891 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)C(C)(C)C3CCOCC3)C2)nc1=O ZINC001030648025 730619118 /nfs/dbraw/zinc/61/91/18/730619118.db2.gz MHOZQTKZCHCZAO-UHFFFAOYSA-N -1 1 337.424 -0.138 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ccc4c(c3)CCOC4)C2)nc1=O ZINC001030665080 730621679 /nfs/dbraw/zinc/62/16/79/730621679.db2.gz ZAZGBXRTDGYPTA-UHFFFAOYSA-N -1 1 343.387 -0.205 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)Cc3ccncc3Cl)C2)nc1=O ZINC001030963600 730659551 /nfs/dbraw/zinc/65/95/51/730659551.db2.gz OQTNEGOTLQBRJM-UHFFFAOYSA-N -1 1 336.783 -0.300 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@H]3Cc4ccncc4C3)C2)nc1=O ZINC001030963817 730659577 /nfs/dbraw/zinc/65/95/77/730659577.db2.gz QQGDNGWOAIJCEK-NSHDSACASA-N -1 1 328.376 -0.781 20 0 EBADMM COc1cccc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1F ZINC001031135525 730680169 /nfs/dbraw/zinc/68/01/69/730680169.db2.gz SBSHZPMYLOMSIL-UHFFFAOYSA-N -1 1 335.339 -0.130 20 0 EBADMM C[C@H]1CCc2onc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)c2C1 ZINC001031186873 730684601 /nfs/dbraw/zinc/68/46/01/730684601.db2.gz MEDZBAQKYOPWNM-VIFPVBQESA-N -1 1 346.391 -0.165 20 0 EBADMM CC(C)Oc1cc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)ccn1 ZINC001031240449 730691359 /nfs/dbraw/zinc/69/13/59/730691359.db2.gz YNJQHWAATFKUQC-UHFFFAOYSA-N -1 1 346.391 -0.095 20 0 EBADMM Cc1cnc(CN2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)nc1 ZINC001031249509 730692941 /nfs/dbraw/zinc/69/29/41/730692941.db2.gz AJNWCDKLMCCDAP-UHFFFAOYSA-N -1 1 340.347 -0.870 20 0 EBADMM Cc1nnc(CN2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)s1 ZINC001031249594 730693265 /nfs/dbraw/zinc/69/32/65/730693265.db2.gz CJCYNBTYNUFJNX-UHFFFAOYSA-N -1 1 346.376 -0.808 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3ncoc3-c3ccon3)C2)nc1=O ZINC001031267014 730696678 /nfs/dbraw/zinc/69/66/78/730696678.db2.gz MSNAUXBOFLGOOB-UHFFFAOYSA-N -1 1 345.319 -0.634 20 0 EBADMM CC(C)Cn1nccc1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031703494 730753861 /nfs/dbraw/zinc/75/38/61/730753861.db2.gz HSJAJVBHPWMGOT-UHFFFAOYSA-N -1 1 347.423 -0.177 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cn4c(n3)CCCC4)C2)nc1=O ZINC001031710896 730754852 /nfs/dbraw/zinc/75/48/52/730754852.db2.gz CTRHYOZISYSXQK-UHFFFAOYSA-N -1 1 345.407 -0.497 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@@H]3CCn4cncc4C3)C2)nc1=O ZINC001031775784 730767133 /nfs/dbraw/zinc/76/71/33/730767133.db2.gz KEGJBAMLQMUZMM-GFCCVEGCSA-N -1 1 345.407 -0.885 20 0 EBADMM O=C(NCC1CN(Cc2cc(=O)n3[n-]ccc3n2)C1)c1cnn[nH]1 ZINC001031807730 730772100 /nfs/dbraw/zinc/77/21/00/730772100.db2.gz BEIKAFFIZOFPDN-UHFFFAOYSA-N -1 1 328.336 -0.998 20 0 EBADMM O=C(NCC1CN(C[C@@H](O)c2ccccc2)C1)c1n[nH]c(=O)[n-]c1=O ZINC001031815690 730773721 /nfs/dbraw/zinc/77/37/21/730773721.db2.gz FOGCCLYIXCHREQ-GFCCVEGCSA-N -1 1 345.359 -0.322 20 0 EBADMM Cc1nc([C@@H](C)N2CC(CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001031815989 730774136 /nfs/dbraw/zinc/77/41/36/730774136.db2.gz SGRFIJNTHZKXHP-ZCFIWIBFSA-N -1 1 334.340 -0.868 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3conc3C3CC3)C2)nc1=O ZINC001031968610 730797410 /nfs/dbraw/zinc/79/74/10/730797410.db2.gz BNMVSCYNBAOCPY-UHFFFAOYSA-N -1 1 332.364 -0.164 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cnn4ccccc34)C2)nc1=O ZINC001032057740 730809027 /nfs/dbraw/zinc/80/90/27/730809027.db2.gz TWLMNEKFBNIDFM-UHFFFAOYSA-N -1 1 341.375 -0.382 20 0 EBADMM CC(C)n1cc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001032079862 730811424 /nfs/dbraw/zinc/81/14/24/730811424.db2.gz WRSQJMQXRYOHDE-UHFFFAOYSA-N -1 1 334.384 -0.857 20 0 EBADMM Cn1ccnc1CN1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001032127696 730816262 /nfs/dbraw/zinc/81/62/62/730816262.db2.gz DJCFSYYSDRPVOC-UHFFFAOYSA-N -1 1 342.363 -0.987 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)C3CC4(C3)CCOCC4)C2)nc1=O ZINC001032127974 730816443 /nfs/dbraw/zinc/81/64/43/730816443.db2.gz MERWMXTVMIIEDH-UHFFFAOYSA-N -1 1 349.435 -0.137 20 0 EBADMM O=C(NCC1CN(Cc2nccs2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001032127647 730816448 /nfs/dbraw/zinc/81/64/48/730816448.db2.gz AWASKVQWWCHODF-UHFFFAOYSA-N -1 1 345.388 -0.264 20 0 EBADMM CCc1cc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)[nH]n1 ZINC001032290150 730837776 /nfs/dbraw/zinc/83/77/76/730837776.db2.gz LVFHMVKQUVVKMH-QWRGUYRKSA-N -1 1 331.380 -0.507 20 0 EBADMM Cc1nc(C)c(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)o1 ZINC001032331705 730842253 /nfs/dbraw/zinc/84/22/53/730842253.db2.gz HYZNDBAKRJBGOL-QWRGUYRKSA-N -1 1 332.364 -0.188 20 0 EBADMM Cc1cc(CC(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)[nH]n1 ZINC001032349515 730843640 /nfs/dbraw/zinc/84/36/40/730843640.db2.gz SBIJOIKHRDKHIV-RYUDHWBXSA-N -1 1 331.380 -0.832 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)[C@H]2C[C@@H]2C(F)F)nc1=O ZINC001032382415 730849096 /nfs/dbraw/zinc/84/90/96/730849096.db2.gz ZEBPRROEFYELLF-XKNYDFJKSA-N -1 1 327.335 -0.205 20 0 EBADMM Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)nn1 ZINC001032398571 730852237 /nfs/dbraw/zinc/85/22/37/730852237.db2.gz MJWGQWZSPPUOTR-QWRGUYRKSA-N -1 1 329.364 -0.694 20 0 EBADMM Cc1cnccc1CC(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032405523 730853631 /nfs/dbraw/zinc/85/36/31/730853631.db2.gz HAZURSLHKHMCFB-KBPBESRZSA-N -1 1 342.403 -0.160 20 0 EBADMM Cc1noc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)c1C ZINC001032466023 730867595 /nfs/dbraw/zinc/86/75/95/730867595.db2.gz JOHAWTXMZWILBE-QWRGUYRKSA-N -1 1 332.364 -0.188 20 0 EBADMM CCc1nnsc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032505270 730878213 /nfs/dbraw/zinc/87/82/13/730878213.db2.gz KOZBEGZNROSSGJ-IUCAKERBSA-N -1 1 349.420 -0.379 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1C[C@@H]2C[C@H]1CN2C/C=C\Cl ZINC001032686421 730911074 /nfs/dbraw/zinc/91/10/74/730911074.db2.gz UDESFQVXBOTMBN-GUYYGXBFSA-N -1 1 338.795 -0.036 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)C(C)(C)c2cnc[nH]2)nc1=O ZINC001032731663 730920467 /nfs/dbraw/zinc/92/04/67/730920467.db2.gz KOYOKNXBYVQHLT-QWRGUYRKSA-N -1 1 345.407 -0.406 20 0 EBADMM Cc1cnc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001032884151 730945089 /nfs/dbraw/zinc/94/50/89/730945089.db2.gz KKODREVIQMAILV-LLVKDONJSA-N -1 1 331.380 -0.447 20 0 EBADMM Cc1nnsc1C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032900477 730947978 /nfs/dbraw/zinc/94/79/78/730947978.db2.gz SUDGGISXIZMAPX-VIFPVBQESA-N -1 1 337.409 -0.385 20 0 EBADMM Cc1c(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001032915302 730948225 /nfs/dbraw/zinc/94/82/25/730948225.db2.gz PJRGXUZFGDMSQU-LLVKDONJSA-N -1 1 333.396 -0.503 20 0 EBADMM CN(C(=O)c1ccncc1F)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032971543 730953634 /nfs/dbraw/zinc/95/36/34/730953634.db2.gz APDWLGQIRHPVJR-SNVBAGLBSA-N -1 1 334.355 -0.011 20 0 EBADMM CCOC1CC(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001033050385 730963371 /nfs/dbraw/zinc/96/33/71/730963371.db2.gz BCRIZGPNVOVLRM-CPCZMJQVSA-N -1 1 337.424 -0.044 20 0 EBADMM CCn1nncc1C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033053810 730963402 /nfs/dbraw/zinc/96/34/02/730963402.db2.gz MXJDYTUEDHMRIQ-JTQLQIEISA-N -1 1 334.384 -0.934 20 0 EBADMM CN(C(=O)[C@@H]1CCO[C@H]1C1CC1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033282823 730999966 /nfs/dbraw/zinc/99/99/66/730999966.db2.gz MANFZPYUWLHUFL-GUTXKFCHSA-N -1 1 349.435 -0.044 20 0 EBADMM COCCN1CC[C@H](N(C)C(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001033510633 731034222 /nfs/dbraw/zinc/03/42/22/731034222.db2.gz WOKXNYOUMIPQQR-NSHDSACASA-N -1 1 334.380 -0.750 20 0 EBADMM CN(C(=O)C[C@H]1CCCOC1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033667859 731058704 /nfs/dbraw/zinc/05/87/04/731058704.db2.gz OUFTZHSXCYHNNW-OLZOCXBDSA-N -1 1 337.424 -0.042 20 0 EBADMM CCN(C(=O)c1cnc(C)cn1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033738473 731071238 /nfs/dbraw/zinc/07/12/38/731071238.db2.gz DYEPAEOELIUGTH-GFCCVEGCSA-N -1 1 345.407 -0.057 20 0 EBADMM CCN(C(=O)c1ccnn1C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033769318 731072161 /nfs/dbraw/zinc/07/21/61/731072161.db2.gz IHBNCEHZYAWQED-NSHDSACASA-N -1 1 333.396 -0.422 20 0 EBADMM CCN(C(=O)c1ccnn1C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033769316 731072646 /nfs/dbraw/zinc/07/26/46/731072646.db2.gz IHBNCEHZYAWQED-LLVKDONJSA-N -1 1 333.396 -0.422 20 0 EBADMM CCN(C(=O)c1cnnc(C)c1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033805926 731075079 /nfs/dbraw/zinc/07/50/79/731075079.db2.gz AFKAPQIMQCQYNE-CYBMUJFWSA-N -1 1 345.407 -0.057 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001034121216 731104698 /nfs/dbraw/zinc/10/46/98/731104698.db2.gz VEYZPISBMHFQCS-GFCCVEGCSA-N -1 1 347.423 -0.065 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1)n1cncn1 ZINC001034171498 731106860 /nfs/dbraw/zinc/10/68/60/731106860.db2.gz RTHBSWXWIIUMJH-NWDGAFQWSA-N -1 1 348.411 -0.568 20 0 EBADMM CCn1ncc(C(=O)N[C@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001034199120 731110089 /nfs/dbraw/zinc/11/00/89/731110089.db2.gz OZHVWTZLIUPCOS-NSHDSACASA-N -1 1 348.411 -0.496 20 0 EBADMM Cc1nc(CN2CCCC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001034290636 731117959 /nfs/dbraw/zinc/11/79/59/731117959.db2.gz HHOGBWJKMVKQDY-SECBINFHSA-N -1 1 348.367 -0.506 20 0 EBADMM Cc1nnccc1C(=O)N[C@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034292934 731118399 /nfs/dbraw/zinc/11/83/99/731118399.db2.gz UHWKCODNQVTEJE-LBPRGKRZSA-N -1 1 345.407 -0.009 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H](NC(=O)Cc3cnoc3)C2)nc1=O ZINC001034359599 731125350 /nfs/dbraw/zinc/12/53/50/731125350.db2.gz FWLIGSXVIUDNSJ-GFCCVEGCSA-N -1 1 334.380 -0.190 20 0 EBADMM Cc1nc[nH]c1C(=O)N[C@@H]1CCCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001034553498 731140949 /nfs/dbraw/zinc/14/09/49/731140949.db2.gz MRWZRHMUTMSOQV-LLVKDONJSA-N -1 1 333.396 -0.076 20 0 EBADMM O=C(CO[C@@H]1CCOC1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034936355 731165309 /nfs/dbraw/zinc/16/53/09/731165309.db2.gz OOWMMCUVNUPSLN-GHMZBOCLSA-N -1 1 325.369 -0.604 20 0 EBADMM Cc1nocc1CNC[C@H]1CCCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001034983097 731172292 /nfs/dbraw/zinc/17/22/92/731172292.db2.gz YVGXGKOVHGCAFY-SNVBAGLBSA-N -1 1 334.336 -0.026 20 0 EBADMM O=C(c1cnn2c1OCCC2)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034983991 731172371 /nfs/dbraw/zinc/17/23/71/731172371.db2.gz ZGIQINLXXHAGHH-SNVBAGLBSA-N -1 1 347.379 -0.116 20 0 EBADMM O=C(C[C@H]1CCCC(=O)N1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034989683 731173748 /nfs/dbraw/zinc/17/37/48/731173748.db2.gz OJMFHRSSPWKEJB-GHMZBOCLSA-N -1 1 336.396 -0.350 20 0 EBADMM O=C([C@H]1CCc2nncn2C1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035132548 731190797 /nfs/dbraw/zinc/19/07/97/731190797.db2.gz FNWBTKCVOUEFPY-WDEREUQCSA-N -1 1 346.395 -0.555 20 0 EBADMM Cc1ccc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)n1C ZINC001035294010 731206500 /nfs/dbraw/zinc/20/65/00/731206500.db2.gz QRGAVMWBDNWACD-GFCCVEGCSA-N -1 1 348.407 -0.614 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)c3cscn3)C2)nc1=O ZINC001035308930 731211006 /nfs/dbraw/zinc/21/10/06/731211006.db2.gz BBTJISXDUBEBTR-SECBINFHSA-N -1 1 338.393 -0.804 20 0 EBADMM Cc1cccnc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035322480 731214873 /nfs/dbraw/zinc/21/48/73/731214873.db2.gz GCQRNWWYWTXDAC-GFCCVEGCSA-N -1 1 346.391 -0.557 20 0 EBADMM Cc1ncoc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035323357 731215328 /nfs/dbraw/zinc/21/53/28/731215328.db2.gz RPADJAXHNSIFKO-SNVBAGLBSA-N -1 1 336.352 -0.964 20 0 EBADMM Cn1ccc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)c1 ZINC001035332928 731218042 /nfs/dbraw/zinc/21/80/42/731218042.db2.gz HNDCYVMIYJEDFX-GFCCVEGCSA-N -1 1 334.380 -0.922 20 0 EBADMM O=C(NC[C@H]1CN([C@@H]2CCCNC2=O)CCO1)c1ncccc1[O-] ZINC001035342241 731221185 /nfs/dbraw/zinc/22/11/85/731221185.db2.gz BUEACUYCZGLYGY-NWDGAFQWSA-N -1 1 334.376 -0.504 20 0 EBADMM Cc1nnc(CN2CCO[C@H](CNC(=O)c3ncccc3[O-])C2)[nH]1 ZINC001035343119 731221642 /nfs/dbraw/zinc/22/16/42/731221642.db2.gz GANJJDFIJGOZKR-LLVKDONJSA-N -1 1 332.364 -0.155 20 0 EBADMM O=C(NC[C@H]1CN(CCN2CCCC2=O)CCO1)c1ncccc1[O-] ZINC001035344758 731222432 /nfs/dbraw/zinc/22/24/32/731222432.db2.gz UTJCMEBQUKTFOT-ZDUSSCGKSA-N -1 1 348.403 -0.160 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)[C@H]3CC3(F)F)C2)nc1=O ZINC001035366795 731231561 /nfs/dbraw/zinc/23/15/61/731231561.db2.gz HMHGKGBMAWHGBX-RKDXNWHRSA-N -1 1 331.323 -0.919 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)[C@H]3CCC3(F)F)C2)nc1=O ZINC001035417447 731248761 /nfs/dbraw/zinc/24/87/61/731248761.db2.gz VFRPUYGYELNVNG-VHSXEESVSA-N -1 1 345.350 -0.529 20 0 EBADMM C[C@@H]1CCCN(C(=O)Cc2nnc[nH]2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036591334 731263277 /nfs/dbraw/zinc/26/32/77/731263277.db2.gz PUNZECJYHBOKRW-ZJUUUORDSA-N -1 1 334.384 -0.412 20 0 EBADMM CC(C)c1cc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)nn1C ZINC001038257241 731300184 /nfs/dbraw/zinc/30/01/84/731300184.db2.gz ZMXWXJZFXHMUEY-NSHDSACASA-N -1 1 347.423 -0.030 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2ccnn2C(F)F)nc1=O ZINC001038290453 731302993 /nfs/dbraw/zinc/30/29/93/731302993.db2.gz OIQQNXLQSISNPD-QMMMGPOBSA-N -1 1 341.322 -0.296 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cnn3c2CCC3)nc1=O ZINC001038315576 731305852 /nfs/dbraw/zinc/30/58/52/731305852.db2.gz KBKVUSJRDOPKNQ-JTQLQIEISA-N -1 1 331.380 -0.745 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cn3c(n2)CCCC3)nc1=O ZINC001038316340 731306286 /nfs/dbraw/zinc/30/62/86/731306286.db2.gz YXQGFCGIXXHZCP-LLVKDONJSA-N -1 1 345.407 -0.355 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cc3n(n2)CCC3)nc1=O ZINC001038321453 731306977 /nfs/dbraw/zinc/30/69/77/731306977.db2.gz GPNFGKHBTDEGEQ-NSHDSACASA-N -1 1 331.380 -0.745 20 0 EBADMM CN(C)Cc1cc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)no1 ZINC001038441167 731325674 /nfs/dbraw/zinc/32/56/74/731325674.db2.gz FGUKWXXQXPMPQZ-JTQLQIEISA-N -1 1 349.395 -0.838 20 0 EBADMM CC1(C)CO[C@H](CN2CC[C@H]2CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001038460459 731329286 /nfs/dbraw/zinc/32/92/86/731329286.db2.gz WJUNKTCWDLXSFA-UWVGGRQHSA-N -1 1 337.380 -0.098 20 0 EBADMM CC1(C)CO[C@@H](CN2CC[C@H]2CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001038460460 731329356 /nfs/dbraw/zinc/32/93/56/731329356.db2.gz WJUNKTCWDLXSFA-VHSXEESVSA-N -1 1 337.380 -0.098 20 0 EBADMM Cc1cc(=O)oc(C)c1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038487061 731334481 /nfs/dbraw/zinc/33/44/81/731334481.db2.gz UBRPIMGFDWLHPI-LLVKDONJSA-N -1 1 347.375 -0.317 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2ccc3[nH]ccc3n2)nc1=O ZINC001038518315 731338540 /nfs/dbraw/zinc/33/85/40/731338540.db2.gz PIGKSMNQEIBZLK-SNVBAGLBSA-N -1 1 341.375 -0.011 20 0 EBADMM CN(C)c1cc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)ccn1 ZINC001038768819 731374333 /nfs/dbraw/zinc/37/43/33/731374333.db2.gz SQHPWQRQSNQBGA-LBPRGKRZSA-N -1 1 345.407 -0.426 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cnn3c2CCCC3)nc1=O ZINC001038891116 731388148 /nfs/dbraw/zinc/38/81/48/731388148.db2.gz OCRUUHNUMCQPJE-NSHDSACASA-N -1 1 345.407 -0.355 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCN1Cc1ccnn1C ZINC001038916246 731391313 /nfs/dbraw/zinc/39/13/13/731391313.db2.gz DCPWVJFCESROGZ-VIFPVBQESA-N -1 1 348.363 -0.366 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCN1Cc1nncn1C ZINC001038917627 731391776 /nfs/dbraw/zinc/39/17/76/731391776.db2.gz RTPCYQPTYSGQPR-MRVPVSSYSA-N -1 1 349.351 -0.971 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCN1Cc1cnnn1C ZINC001038916536 731391844 /nfs/dbraw/zinc/39/18/44/731391844.db2.gz FNNKKRWWPMDRFS-MRVPVSSYSA-N -1 1 349.351 -0.971 20 0 EBADMM O=C(NC[C@@H]1CCN1Cc1cnsn1)c1cc(=O)n2[n-]cnc2n1 ZINC001038924165 731393661 /nfs/dbraw/zinc/39/36/61/731393661.db2.gz XLPZXIYMRQPQIB-VIFPVBQESA-N -1 1 346.376 -0.727 20 0 EBADMM CCc1noc(C)c1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001039005055 731402350 /nfs/dbraw/zinc/40/23/50/731402350.db2.gz BSRFMGKSBRNZQZ-JTQLQIEISA-N -1 1 334.380 -0.028 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2ccc(C(N)=O)cc2)nc1=O ZINC001039018016 731404425 /nfs/dbraw/zinc/40/44/25/731404425.db2.gz PRJTYVPKPSAGOD-GFCCVEGCSA-N -1 1 344.375 -0.788 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2noc3c2COCC3)nc1=O ZINC001039035558 731408314 /nfs/dbraw/zinc/40/83/14/731408314.db2.gz OQRINXGFJPYHOT-SECBINFHSA-N -1 1 348.363 -0.827 20 0 EBADMM Cn1ncc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)c1C1CC1 ZINC001039074413 731415867 /nfs/dbraw/zinc/41/58/67/731415867.db2.gz AXJUBHNMWCBQCM-LLVKDONJSA-N -1 1 345.407 -0.276 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CC[C@@H](C2)N3C(=O)[C@H]2CCCO2)nc1=O ZINC001039325283 731427441 /nfs/dbraw/zinc/42/74/41/731427441.db2.gz NBFSHQSWOALBFQ-FRRDWIJNSA-N -1 1 335.408 -0.147 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CC[C@@H](C2)N3C(=O)c2cc[nH]n2)nc1=O ZINC001039338209 731428078 /nfs/dbraw/zinc/42/80/78/731428078.db2.gz RPKJSDWOMWBGJR-MNOVXSKESA-N -1 1 331.380 -0.289 20 0 EBADMM O=C(CCc1n[nH]c(=O)[n-]c1=O)N1C[C@H]2CCC[C@@H](C1)N2CCO ZINC001039723775 731507184 /nfs/dbraw/zinc/50/71/84/731507184.db2.gz FDFANFPVWLDEHQ-PHIMTYICSA-N -1 1 337.380 -0.727 20 0 EBADMM O=C(Cc1ncn[nH]1)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001039970139 731566416 /nfs/dbraw/zinc/56/64/16/731566416.db2.gz KVUXCBVWQKOJLF-AOOOYVTPSA-N -1 1 332.368 -0.564 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(CCN(C(=O)[C@@H]4CCCOC4)C3)C2)nc1=O ZINC001041135977 732002539 /nfs/dbraw/zinc/00/25/39/732002539.db2.gz ZWTNCZMVJSRKKV-CXAGYDPISA-N -1 1 349.435 -0.041 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(CCN(C(=O)CC4(O)CCC4)C3)C2)nc1=O ZINC001041271295 732059554 /nfs/dbraw/zinc/05/95/54/732059554.db2.gz VIJWFEDBOFULEL-MRXNPFEDSA-N -1 1 349.435 -0.162 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)c1cc[n+]([O-])cc1 ZINC001041329475 732085751 /nfs/dbraw/zinc/08/57/51/732085751.db2.gz HZRUXROUKWLOLA-UHFFFAOYSA-N -1 1 344.327 -0.963 20 0 EBADMM CCOCCCC(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001041639777 732180618 /nfs/dbraw/zinc/18/06/18/732180618.db2.gz JVVWYWTWSVBERR-UHFFFAOYSA-N -1 1 337.376 -0.093 20 0 EBADMM Cc1ncncc1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001041677768 732191366 /nfs/dbraw/zinc/19/13/66/732191366.db2.gz CGNZKQMAYJZOJL-UHFFFAOYSA-N -1 1 343.343 -0.497 20 0 EBADMM NC(=O)CN1CC[C@]2(CCN(C(=O)c3ccc4oc(=O)nc-4[n-]3)C2)C1 ZINC001041787084 732226463 /nfs/dbraw/zinc/22/64/63/732226463.db2.gz MUGCFVVWQVPBFV-INIZCTEOSA-N -1 1 345.359 -0.048 20 0 EBADMM Cn1nccc1C(=O)N1CC[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C1 ZINC001041973768 732265774 /nfs/dbraw/zinc/26/57/74/732265774.db2.gz WLSIIQHDEZHBSI-WCQYABFASA-N -1 1 345.407 -0.422 20 0 EBADMM O=C(Cc1ccn[nH]1)N1CC[C@H]2CCN(Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001041994675 732272523 /nfs/dbraw/zinc/27/25/23/732272523.db2.gz DUZGTUGJPDCQSB-ZYHUDNBSSA-N -1 1 331.380 -0.101 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)c4cncnc4)C[C@H]32)nc1=O ZINC001042023750 732280151 /nfs/dbraw/zinc/28/01/51/732280151.db2.gz SNNHCCPWELHWJS-DGCLKSJQSA-N -1 1 343.391 -0.365 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C1 ZINC001042179203 732345885 /nfs/dbraw/zinc/34/58/85/732345885.db2.gz JJACGRMXAQATKN-GHMZBOCLSA-N -1 1 346.395 -0.728 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)c4ccnnc4)C[C@H]32)nc1=O ZINC001042245628 732374388 /nfs/dbraw/zinc/37/43/88/732374388.db2.gz REOIYAHCYULXQF-DGCLKSJQSA-N -1 1 343.391 -0.365 20 0 EBADMM O=C(CCc1ncc[nH]1)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042713321 732547412 /nfs/dbraw/zinc/54/74/12/732547412.db2.gz SUGDBPNIYPMNFX-UHFFFAOYSA-N -1 1 345.359 -0.554 20 0 EBADMM C[C@@H]1CO[C@@H](C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)C1 ZINC001042715778 732547903 /nfs/dbraw/zinc/54/79/03/732547903.db2.gz AEJODURYCQGIGU-CMPLNLGQSA-N -1 1 335.360 -0.485 20 0 EBADMM C[C@H]1OCCC[C@H]1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042713486 732547914 /nfs/dbraw/zinc/54/79/14/732547914.db2.gz ZYKVPFJKEMIIJD-VXGBXAGGSA-N -1 1 349.387 -0.095 20 0 EBADMM O=C(NCC1(O)CN(C(=O)C2CCOCC2)C1)c1ncccc1[O-] ZINC001042716523 732548228 /nfs/dbraw/zinc/54/82/28/732548228.db2.gz QPOWMCXPCHYAGZ-UHFFFAOYSA-N -1 1 335.360 -0.483 20 0 EBADMM CCO[C@@H](C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1)C1CC1 ZINC001042716181 732548277 /nfs/dbraw/zinc/54/82/77/732548277.db2.gz IEFRJMPDFHPWQU-CQSZACIVSA-N -1 1 349.387 -0.095 20 0 EBADMM O=C(NCC1(O)CN(C(=O)[C@@H]2C[C@@]23CCOC3)C1)c1ncccc1[O-] ZINC001042716646 732548955 /nfs/dbraw/zinc/54/89/55/732548955.db2.gz WDDZWWYJQQUIAG-MEDUHNTESA-N -1 1 347.371 -0.483 20 0 EBADMM O=C(CCc1ccon1)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042719725 732550454 /nfs/dbraw/zinc/55/04/54/732550454.db2.gz IMVRKQVATPHZGF-UHFFFAOYSA-N -1 1 346.343 -0.289 20 0 EBADMM CCc1n[nH]cc1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042720364 732551252 /nfs/dbraw/zinc/55/12/52/732551252.db2.gz VLQMFNDNEIBSEA-UHFFFAOYSA-N -1 1 345.359 -0.310 20 0 EBADMM CN(C(=O)[C@@H]1CCCc2cn[nH]c21)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042724152 732553034 /nfs/dbraw/zinc/55/30/34/732553034.db2.gz SSKCRZUCGFNCQQ-GFCCVEGCSA-N -1 1 345.407 -0.406 20 0 EBADMM CN(C(=O)c1cn2c(n1)CCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042743844 732561607 /nfs/dbraw/zinc/56/16/07/732561607.db2.gz JFNUXPIJNJRSRE-UHFFFAOYSA-N -1 1 331.380 -0.793 20 0 EBADMM CCn1nccc1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001042749942 732563974 /nfs/dbraw/zinc/56/39/74/732563974.db2.gz BOVPLSHTQQYXNF-UHFFFAOYSA-N -1 1 345.359 -0.380 20 0 EBADMM CN(C(=O)c1cccc2nn[nH]c21)C1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001042775024 732576218 /nfs/dbraw/zinc/57/62/18/732576218.db2.gz WDGJEVOEPQIKPG-UHFFFAOYSA-N -1 1 328.336 -0.262 20 0 EBADMM Cc1ccnn1CC(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001043082447 732745743 /nfs/dbraw/zinc/74/57/43/732745743.db2.gz OHQZUKGKFWDXIY-UHFFFAOYSA-N -1 1 345.359 -0.705 20 0 EBADMM CN(C(=O)Cc1ccc(F)cn1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043107209 732759867 /nfs/dbraw/zinc/75/98/67/732759867.db2.gz LYIMZEMOXWBOEW-UHFFFAOYSA-N -1 1 334.355 -0.472 20 0 EBADMM CN(C(=O)[C@@H]1CCCn2nccc21)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043131630 732779727 /nfs/dbraw/zinc/77/97/27/732779727.db2.gz SRTAPGWAUCDJQY-GFCCVEGCSA-N -1 1 345.407 -0.475 20 0 EBADMM COCC[C@H](C)C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001043167526 732800813 /nfs/dbraw/zinc/80/08/13/732800813.db2.gz WYDIDDFVFKESCT-NSHDSACASA-N -1 1 337.376 -0.237 20 0 EBADMM COc1ccc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001043277174 732852331 /nfs/dbraw/zinc/85/23/31/732852331.db2.gz ZCLOZUWBAILWGG-UHFFFAOYSA-N -1 1 332.364 -0.532 20 0 EBADMM CN(C(=O)Cc1ccc(=O)[nH]c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043369883 732895024 /nfs/dbraw/zinc/89/50/24/732895024.db2.gz GOSQTTMJBUYAMY-UHFFFAOYSA-N -1 1 332.364 -0.906 20 0 EBADMM C[C@H]1C[C@H](C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)CO1 ZINC001043626079 733030524 /nfs/dbraw/zinc/03/05/24/733030524.db2.gz PDBBHNBJDJVYAH-QWRGUYRKSA-N -1 1 335.360 -0.485 20 0 EBADMM CN(C(=O)c1ccc2n[nH]cc2c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043673698 733053954 /nfs/dbraw/zinc/05/39/54/733053954.db2.gz IFRHHWSHPAWQHH-UHFFFAOYSA-N -1 1 341.375 -0.059 20 0 EBADMM CO[C@@H]1CCC[C@H](C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001043691098 733062241 /nfs/dbraw/zinc/06/22/41/733062241.db2.gz HZCDWVWJUQXUOT-WCQYABFASA-N -1 1 337.424 -0.044 20 0 EBADMM CN(C(=O)c1ccc2nonc2c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043722933 733080169 /nfs/dbraw/zinc/08/01/69/733080169.db2.gz HDOPQNQMAMIVEF-UHFFFAOYSA-N -1 1 343.347 -0.399 20 0 EBADMM CN(C(=O)c1coc(C2CC2)n1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044014870 733248072 /nfs/dbraw/zinc/24/80/72/733248072.db2.gz FCYOIJQCKMSUPS-UHFFFAOYSA-N -1 1 332.364 -0.070 20 0 EBADMM CNC(=O)[C@@H](C)N1CC(N(C)C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001044015863 733248437 /nfs/dbraw/zinc/24/84/37/733248437.db2.gz CLAKEZABWZIUDA-SECBINFHSA-N -1 1 333.392 -0.050 20 0 EBADMM CCCn1cc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001044106123 733288425 /nfs/dbraw/zinc/28/84/25/733288425.db2.gz DLRLNIWOHDBMKM-UHFFFAOYSA-N -1 1 334.384 -0.934 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(Cc2cnns2)C1 ZINC001044182348 733322283 /nfs/dbraw/zinc/32/22/83/733322283.db2.gz SEIXCXHJFATSAF-UHFFFAOYSA-N -1 1 346.376 -0.775 20 0 EBADMM CN(C(=O)c1cc(Cl)no1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044219537 733330531 /nfs/dbraw/zinc/33/05/31/733330531.db2.gz JAGYTUSDMHFRBH-UHFFFAOYSA-N -1 1 326.744 -0.294 20 0 EBADMM Cc1ccc(CC(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001044296531 733364174 /nfs/dbraw/zinc/36/41/74/733364174.db2.gz IDYPYCVCEKZGPA-UHFFFAOYSA-N -1 1 330.392 -0.303 20 0 EBADMM Cc1nnc(CN[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)[C@@H](C)C2)[nH]1 ZINC001044664506 733474969 /nfs/dbraw/zinc/47/49/69/733474969.db2.gz TWOKGWXZMVCPCT-CBAPKCEASA-N -1 1 348.367 -0.508 20 0 EBADMM CCN1CCOC[C@H]1C(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045097174 733579215 /nfs/dbraw/zinc/57/92/15/733579215.db2.gz CCJIDFFWFHZFPR-RYUDHWBXSA-N -1 1 338.412 -0.688 20 0 EBADMM Cn1cc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)ccc1=O ZINC001045104633 733582918 /nfs/dbraw/zinc/58/29/18/733582918.db2.gz WVZBUBWKEZLQMF-NSHDSACASA-N -1 1 332.364 -0.397 20 0 EBADMM Cc1cnc(CNC[C@@H]2CCCN2C(=O)c2n[nH]c(=O)[n-]c2=O)cn1 ZINC001045106229 733583319 /nfs/dbraw/zinc/58/33/19/733583319.db2.gz DPZYNVMOCJNXKN-NSHDSACASA-N -1 1 345.363 -0.224 20 0 EBADMM COc1cnc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)nc1 ZINC001045115573 733588346 /nfs/dbraw/zinc/58/83/46/733588346.db2.gz ZUSVLPWBCWNFFT-VIFPVBQESA-N -1 1 333.352 -0.297 20 0 EBADMM O=C(c1ccc2nnnn2c1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045118051 733589640 /nfs/dbraw/zinc/58/96/40/733589640.db2.gz BDOVAUULGOJQDU-JTQLQIEISA-N -1 1 343.351 -0.658 20 0 EBADMM COc1c[nH]c(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)cc1=O ZINC001045121675 733590528 /nfs/dbraw/zinc/59/05/28/733590528.db2.gz OFJVNAFUYPRGGU-VIFPVBQESA-N -1 1 348.363 -0.398 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)c1ccncn1 ZINC001045164561 733606365 /nfs/dbraw/zinc/60/63/65/733606365.db2.gz CRMKGQHCKUQXDM-UHFFFAOYSA-N -1 1 329.316 -0.806 20 0 EBADMM C[C@]1(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)CCC(=O)NC1 ZINC001045165826 733607616 /nfs/dbraw/zinc/60/76/16/733607616.db2.gz UEIFEPBJHSPJLR-BONVTDFDSA-N -1 1 336.396 -0.493 20 0 EBADMM O=C(c1cc2ncccn2n1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045248178 733635872 /nfs/dbraw/zinc/63/58/72/733635872.db2.gz AJAUOPQXDALVMI-JTQLQIEISA-N -1 1 342.363 -0.053 20 0 EBADMM Cn1[n-]c(CN2CCC(C)(NC(=O)c3cnns3)CC2)nc1=O ZINC001045374677 733679324 /nfs/dbraw/zinc/67/93/24/733679324.db2.gz HQCPMYKINRUZDL-UHFFFAOYSA-N -1 1 337.409 -0.256 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CC[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001045824677 733777012 /nfs/dbraw/zinc/77/70/12/733777012.db2.gz UQQAFPMVBOGAQW-ZJUUUORDSA-N -1 1 332.368 -0.184 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CCN([C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001046052356 733832817 /nfs/dbraw/zinc/83/28/17/733832817.db2.gz WHJPZJXKOUORFU-HZSPNIEDSA-N -1 1 348.451 -0.517 20 0 EBADMM Cc1cc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC001046175475 733871753 /nfs/dbraw/zinc/87/17/53/733871753.db2.gz KDKJXYCUDHBLGR-OAHLLOKOSA-N -1 1 333.396 -0.455 20 0 EBADMM C[C@@H]1COCC[C@@H]1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001046223685 733886123 /nfs/dbraw/zinc/88/61/23/733886123.db2.gz AUBDXNFRIUFTFW-NEPJUHHUSA-N -1 1 349.387 -0.237 20 0 EBADMM COc1cc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)ncn1 ZINC001046320429 733907732 /nfs/dbraw/zinc/90/77/32/733907732.db2.gz UCUOOFODSWTTAI-OAHLLOKOSA-N -1 1 347.379 -0.699 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](C)(NC(=O)c3cc4n(n3)CCC4)C2)nc1=O ZINC001046363190 733917298 /nfs/dbraw/zinc/91/72/98/733917298.db2.gz XHCZXFGVFIBMKM-MRXNPFEDSA-N -1 1 345.407 -0.355 20 0 EBADMM Cn1[n-]c(CN2CC[C@](C)(NC(=O)c3cc4n(n3)CCO4)C2)nc1=O ZINC001046381952 733922256 /nfs/dbraw/zinc/92/22/56/733922256.db2.gz JSIKEKJGTIVCRQ-HNNXBMFYSA-N -1 1 347.379 -0.908 20 0 EBADMM Cc1nn(C)cc1CN1CC[C@](C)(NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001046453295 733939283 /nfs/dbraw/zinc/93/92/83/733939283.db2.gz GSHRKWHQPYXHHC-HNNXBMFYSA-N -1 1 347.379 -0.281 20 0 EBADMM COc1ccc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001046466138 733941847 /nfs/dbraw/zinc/94/18/47/733941847.db2.gz BVJVLWOYDRKBOU-INIZCTEOSA-N -1 1 346.391 -0.094 20 0 EBADMM Cn1cc(CN2CC[C@@](C)(NC(=O)c3cnc([O-])n(C)c3=O)C2)cn1 ZINC001046536483 733958400 /nfs/dbraw/zinc/95/84/00/733958400.db2.gz VJHNMYNIRGOBHF-MRXNPFEDSA-N -1 1 346.391 -0.386 20 0 EBADMM COc1ncccc1C(=O)N[C@@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001046589045 733975649 /nfs/dbraw/zinc/97/56/49/733975649.db2.gz XDGCWTXRCNAHOC-INIZCTEOSA-N -1 1 346.391 -0.094 20 0 EBADMM CCn1cnc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001046776128 734025107 /nfs/dbraw/zinc/02/51/07/734025107.db2.gz ABBHJSHLUIRPIX-OAHLLOKOSA-N -1 1 333.396 -0.281 20 0 EBADMM O=C(NC[C@H]1C[C@H](Nc2ncccn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001046858164 734044258 /nfs/dbraw/zinc/04/42/58/734044258.db2.gz ZGHFZMVKTFZWAV-MGCOHNPYSA-N -1 1 340.347 -0.172 20 0 EBADMM O=C(N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2)c1ccc(=O)[nH]n1 ZINC001047019320 734082193 /nfs/dbraw/zinc/08/21/93/734082193.db2.gz RVDLZCWQCWCZIE-AEJSXWLSSA-N -1 1 345.363 -0.211 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(CC(=O)NC2CC2)C[C@@H]1O ZINC001047358560 734151888 /nfs/dbraw/zinc/15/18/88/734151888.db2.gz DOPIYHSRVUEGGE-AAEUAGOBSA-N -1 1 334.376 -0.817 20 0 EBADMM CN(C(=O)C12CCC(CC1)C2)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047431255 734190825 /nfs/dbraw/zinc/19/08/25/734190825.db2.gz QPUJURHUYZLBDG-UDRCLVEDSA-N -1 1 349.435 -0.308 20 0 EBADMM CC(=O)N[C@@H]1CC[C@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@@H]21 ZINC000979866613 805591515 /nfs/dbraw/zinc/59/15/15/805591515.db2.gz IKWCAXDBKSQLCO-GARJFASQSA-N -1 1 330.348 -0.596 20 0 EBADMM CN(C(=O)c1cc(C2CC2)[nH]n1)[C@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@@H]1O ZINC001047541582 734246052 /nfs/dbraw/zinc/24/60/52/734246052.db2.gz QNCHHOPJWLYAQN-RYUDHWBXSA-N -1 1 347.379 -0.572 20 0 EBADMM CN(C(=O)[C@H]1CC12CCC2)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047549206 734249121 /nfs/dbraw/zinc/24/91/21/734249121.db2.gz ONSVZPZUUKWRLJ-WOPDTQHZSA-N -1 1 335.408 -0.698 20 0 EBADMM Cc1nonc1CN[C@@H](C)[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001047893725 734318547 /nfs/dbraw/zinc/31/85/47/734318547.db2.gz GSQBGAMEPUVXJJ-IONNQARKSA-N -1 1 349.351 -0.385 20 0 EBADMM C[C@H](NCc1cnn(C)n1)[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001047893836 734319105 /nfs/dbraw/zinc/31/91/05/734319105.db2.gz HGANHBSVCWHRGX-IUCAKERBSA-N -1 1 348.367 -0.948 20 0 EBADMM C[C@H](NCc1ncnn1C)[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001047895107 734319357 /nfs/dbraw/zinc/31/93/57/734319357.db2.gz OITZDOQLJUHGCK-IUCAKERBSA-N -1 1 348.367 -0.948 20 0 EBADMM C[C@H](NCc1cnon1)[C@@H]1CCN(C(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001047928734 734322470 /nfs/dbraw/zinc/32/24/70/734322470.db2.gz NVLNFJZWGCSUBN-VHSXEESVSA-N -1 1 348.363 -0.491 20 0 EBADMM C[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1CNCc1cnon1 ZINC001048305878 734386229 /nfs/dbraw/zinc/38/62/29/734386229.db2.gz VBMSXBPEKDISAN-HTQZYQBOSA-N -1 1 335.324 -0.836 20 0 EBADMM CO[C@@H](C)CC(=O)N1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001048721933 734482651 /nfs/dbraw/zinc/48/26/51/734482651.db2.gz BQNUMPDICZLHKN-GVXVVHGQSA-N -1 1 337.376 -0.144 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)COCC2CC2)C[C@@H]1O ZINC001048722306 734482934 /nfs/dbraw/zinc/48/29/34/734482934.db2.gz KMXDTFGQWDTPPO-JSGCOSHPSA-N -1 1 349.387 -0.143 20 0 EBADMM O=C(Cn1cncn1)NC[C@@H]1COCCN1C(=O)c1ncccc1[O-] ZINC001061917281 738821904 /nfs/dbraw/zinc/82/19/04/738821904.db2.gz DJXLBMIGCFBQEJ-LLVKDONJSA-N -1 1 346.347 -0.964 20 0 EBADMM Cc1ncc(CN2C[C@@H]3CN(C(=O)c4n[nH]c(=O)[n-]c4=O)C[C@@H]3C2)o1 ZINC001048919047 734570279 /nfs/dbraw/zinc/57/02/79/734570279.db2.gz NOMQQNOLFSQGFJ-AOOOYVTPSA-N -1 1 346.347 -0.217 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@H]2CCOC2)nc1=O ZINC001049359375 734714752 /nfs/dbraw/zinc/71/47/52/734714752.db2.gz JEQUIOCXDMGMKN-RWMBFGLXSA-N -1 1 335.408 -0.290 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@H]2CCCN3C(=O)CC(C)(C)O)nc1=O ZINC001049441848 734732084 /nfs/dbraw/zinc/73/20/84/734732084.db2.gz LFSQUJWQMKKHBL-VXGBXAGGSA-N -1 1 337.424 -0.165 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CCC[C@@H]2[C@H]1CCN2Cc1nc(=O)n(C)[n-]1 ZINC001049632525 734769227 /nfs/dbraw/zinc/76/92/27/734769227.db2.gz YAAKUILTMKVQLX-GHMZBOCLSA-N -1 1 346.395 -0.586 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCCN(C(=O)[C@@H]4CCCO4)[C@@H]3C2)nc1=O ZINC001049964497 734812273 /nfs/dbraw/zinc/81/22/73/734812273.db2.gz JEMRDRZZPLNCEA-XQQFMLRXSA-N -1 1 335.408 -0.290 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCCN(C(=O)[C@@H]4CCOC4)[C@@H]3C2)nc1=O ZINC001049990598 734820359 /nfs/dbraw/zinc/82/03/59/734820359.db2.gz JUUUVXQGJXUISR-YNEHKIRRSA-N -1 1 335.408 -0.432 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050530402 734919370 /nfs/dbraw/zinc/91/93/70/734919370.db2.gz MYWSXIKRJIABJT-CMPLNLGQSA-N -1 1 334.376 -0.110 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2COCCN2Cc2nc(=O)n(C)[n-]2)no1 ZINC001050802139 734962265 /nfs/dbraw/zinc/96/22/65/734962265.db2.gz IRYHHQQBOZWDJO-SNVBAGLBSA-N -1 1 336.352 -0.964 20 0 EBADMM Cc1ccc(C(=O)NC[C@@H]2COCCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001050818831 734966443 /nfs/dbraw/zinc/96/64/43/734966443.db2.gz JKZVBGIVAIWXQH-CYBMUJFWSA-N -1 1 346.391 -0.557 20 0 EBADMM Cc1ccc(C(=O)NC[C@H]2COCCN2Cc2nc(=O)n(C)[n-]2)n1C ZINC001050822991 734966914 /nfs/dbraw/zinc/96/69/14/734966914.db2.gz OPTOQOBOFKFSRP-LBPRGKRZSA-N -1 1 348.407 -0.614 20 0 EBADMM CCc1cc(C(=O)NC[C@@H]2COCCN2Cc2nc(=O)n(C)[n-]2)[nH]n1 ZINC001050826057 734967670 /nfs/dbraw/zinc/96/76/70/734967670.db2.gz YKIKKWIZCQSLLO-LLVKDONJSA-N -1 1 349.395 -0.975 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2COCCN2Cc2nc(=O)n(C)[n-]2)oc1C ZINC001050863249 734982511 /nfs/dbraw/zinc/98/25/11/734982511.db2.gz GMKVBRCBBCSIOJ-LBPRGKRZSA-N -1 1 349.391 -0.051 20 0 EBADMM O=C(CN1CCOC[C@@H]1CNC(=O)c1ncccc1[O-])NC1CC1 ZINC001050890243 734990778 /nfs/dbraw/zinc/99/07/78/734990778.db2.gz FNARJFFBAAZUKA-LBPRGKRZSA-N -1 1 334.376 -0.504 20 0 EBADMM O=C(NC[C@@H]1COCCN1CCn1cncn1)c1ncccc1[O-] ZINC001050893320 734991658 /nfs/dbraw/zinc/99/16/58/734991658.db2.gz ZKHLKTYPYCOMTR-GFCCVEGCSA-N -1 1 332.364 -0.491 20 0 EBADMM CCc1n[nH]cc1C(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001050996105 735029471 /nfs/dbraw/zinc/02/94/71/735029471.db2.gz PAJIYIRYIRZSCY-JTQLQIEISA-N -1 1 349.395 -0.975 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)[C@]23C[C@H]2CCC3)nc1=O ZINC001051036539 735041357 /nfs/dbraw/zinc/04/13/57/735041357.db2.gz IVAGWWUUPBDGDF-BFQNTYOBSA-N -1 1 335.408 -0.384 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)[C@]23C[C@H]2CCC3)nc1=O ZINC001051036540 735041501 /nfs/dbraw/zinc/04/15/01/735041501.db2.gz IVAGWWUUPBDGDF-XHBSWPGZSA-N -1 1 335.408 -0.384 20 0 EBADMM CC(C)=CCN1CCOC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001051209312 735099846 /nfs/dbraw/zinc/09/98/46/735099846.db2.gz IMBBPZYTTJSHKL-GFCCVEGCSA-N -1 1 346.391 -0.186 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1COCCN1CCCF ZINC001051209099 735100186 /nfs/dbraw/zinc/10/01/86/735100186.db2.gz HVWCQZXPAGAEOA-SECBINFHSA-N -1 1 344.343 -0.313 20 0 EBADMM Cc1noc(C)c1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051427709 735149319 /nfs/dbraw/zinc/14/93/19/735149319.db2.gz WMOOQTCELMCYMG-SNVBAGLBSA-N -1 1 336.352 -0.254 20 0 EBADMM Cc1cc(CC(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)no1 ZINC001051498969 735199313 /nfs/dbraw/zinc/19/93/13/735199313.db2.gz XVRDFAUPWVPCQW-LLVKDONJSA-N -1 1 336.352 -0.634 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)c3cnns3)CC2)nc1=O ZINC001052232164 735572854 /nfs/dbraw/zinc/57/28/54/735572854.db2.gz AMOUWWWCXPNXOV-SECBINFHSA-N -1 1 337.409 -0.256 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)c2ccccc2)CCO3)nc1=O ZINC001053131946 735756414 /nfs/dbraw/zinc/75/64/14/735756414.db2.gz ZPYFAACOTWQNQJ-UHFFFAOYSA-N -1 1 343.387 -0.165 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)[C@H]2CC2(F)F)CCO3)nc1=O ZINC001053247253 735796434 /nfs/dbraw/zinc/79/64/34/735796434.db2.gz PXRDLTIDKMKDHC-SECBINFHSA-N -1 1 343.334 -0.823 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)[C@H]2CCC2(C)C)CCO3)nc1=O ZINC001053386480 735857171 /nfs/dbraw/zinc/85/71/71/735857171.db2.gz FIXJQTIESBIOIP-GFCCVEGCSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)C2CC4(CC4)C2)CCO3)nc1=O ZINC001053423706 735867465 /nfs/dbraw/zinc/86/74/65/735867465.db2.gz MJLKKOBNIYJMED-UHFFFAOYSA-N -1 1 347.419 -0.288 20 0 EBADMM CNC(=O)CN1CC2(C1)CC[C@H](CNC(=O)c1ncccc1[O-])O2 ZINC001053594038 735936535 /nfs/dbraw/zinc/93/65/35/735936535.db2.gz CIZWWSSJTJWBHF-LLVKDONJSA-N -1 1 334.376 -0.504 20 0 EBADMM CCN1CC2(C1)CC[C@H](CNC(=O)c1cc(=O)n3[n-]cnc3n1)O2 ZINC001053686611 735968286 /nfs/dbraw/zinc/96/82/86/735968286.db2.gz VBSHUNWXWGBOOO-SNVBAGLBSA-N -1 1 332.364 -0.599 20 0 EBADMM O=C(CC1CC1)NCC1(NC(=O)c2cc(=O)n3[n-]cnc3n2)CCC1 ZINC001062388107 738910499 /nfs/dbraw/zinc/91/04/99/738910499.db2.gz DDPNKDXIWCJEMY-UHFFFAOYSA-N -1 1 344.375 -0.014 20 0 EBADMM CN(C)C(=O)CN1CC2(C1)C[C@@H](NC(=O)c1ncccc1[O-])CO2 ZINC001053784485 736014177 /nfs/dbraw/zinc/01/41/77/736014177.db2.gz PIYMUHKEKLFWNC-LLVKDONJSA-N -1 1 334.376 -0.552 20 0 EBADMM O=C(N[C@@H]1COC2(CN([C@H]3CCNC3=O)C2)C1)c1ncccc1[O-] ZINC001053784745 736014817 /nfs/dbraw/zinc/01/48/17/736014817.db2.gz VUAKYSRPPFOYTC-QWRGUYRKSA-N -1 1 332.360 -0.751 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)[C@H]2CC2(F)F)CO3)nc1=O ZINC001053797045 736026042 /nfs/dbraw/zinc/02/60/42/736026042.db2.gz SOBAAABIFOVMCT-DTWKUNHWSA-N -1 1 343.334 -0.777 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)[C@H]2CC24CC4)CO3)nc1=O ZINC001053938786 736104122 /nfs/dbraw/zinc/10/41/22/736104122.db2.gz YXAHFSALYXESMN-WDEREUQCSA-N -1 1 333.392 -0.632 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)[C@@H]2CC24CCC4)CO3)nc1=O ZINC001053942728 736106891 /nfs/dbraw/zinc/10/68/91/736106891.db2.gz UAXQZDFOKFRAJP-RYUDHWBXSA-N -1 1 347.419 -0.242 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(C2)CCCN(C(=O)c2ncc[nH]2)C3)nc1=O ZINC001054129141 736166776 /nfs/dbraw/zinc/16/67/76/736166776.db2.gz LHOFOCBUKGAUCO-INIZCTEOSA-N -1 1 345.407 -0.040 20 0 EBADMM C[C@H]1CN(C(=O)c2cnn3ccncc23)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054740644 736237613 /nfs/dbraw/zinc/23/76/13/736237613.db2.gz XKVCONROYCLASP-GXSJLCMTSA-N -1 1 342.363 -0.197 20 0 EBADMM Cc1cc(=O)c(C(=O)N2C[C@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)cn1C ZINC001054783949 736246457 /nfs/dbraw/zinc/24/64/57/736246457.db2.gz VDWOMNWQIOVCJX-JOYOIKCWSA-N -1 1 346.391 -0.232 20 0 EBADMM Cc1cc(=O)c(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)cn1C ZINC001054783943 736246620 /nfs/dbraw/zinc/24/66/20/736246620.db2.gz VDWOMNWQIOVCJX-BXKDBHETSA-N -1 1 346.391 -0.232 20 0 EBADMM Cc1oncc1CN[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1C ZINC001054858981 736256849 /nfs/dbraw/zinc/25/68/49/736256849.db2.gz FNXOKTNXNOXRRU-XCBNKYQSSA-N -1 1 334.336 -0.170 20 0 EBADMM Cc1cc(CN[C@H]2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@@H]2C)on1 ZINC001054862700 736257868 /nfs/dbraw/zinc/25/78/68/736257868.db2.gz JDHSIRBMRSUKQO-XVKPBYJWSA-N -1 1 334.336 -0.170 20 0 EBADMM CCn1ccnc1CN[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1C ZINC001054862788 736258481 /nfs/dbraw/zinc/25/84/81/736258481.db2.gz LHOLMNYHNXUZCH-ZJUUUORDSA-N -1 1 347.379 -0.251 20 0 EBADMM C[C@H]1CN(C(=O)c2cnc3nccn3c2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054902158 736265876 /nfs/dbraw/zinc/26/58/76/736265876.db2.gz BFQLWSMEHZHWNH-ONGXEEELSA-N -1 1 342.363 -0.197 20 0 EBADMM CCn1cc(CC(=O)N2C[C@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)nn1 ZINC001054925512 736269453 /nfs/dbraw/zinc/26/94/53/736269453.db2.gz QGLDOWVTPUHRBF-GXSJLCMTSA-N -1 1 334.384 -0.699 20 0 EBADMM Cc1noc(CCC(=O)N2C[C@@H](C)[C@@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001054953396 736272255 /nfs/dbraw/zinc/27/22/55/736272255.db2.gz DJWSUTSHMINWNC-SCZZXKLOSA-N -1 1 335.368 -0.229 20 0 EBADMM C[C@@H]1CN(C(=O)COc2cnn(C)c2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001055012266 736280879 /nfs/dbraw/zinc/28/08/79/736280879.db2.gz MBGXLMZTNZXDJB-MWLCHTKSSA-N -1 1 335.368 -0.741 20 0 EBADMM COC[C@H](OC)C(=O)N1C[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C1 ZINC001055297968 736326725 /nfs/dbraw/zinc/32/67/25/736326725.db2.gz VHXPNIRWAAWYRY-SCRDCRAPSA-N -1 1 349.387 -0.021 20 0 EBADMM CO[C@H](C)C(=O)N[C@]1(CO)CCCN(C(=O)c2ncccc2[O-])C1 ZINC001055806232 736490512 /nfs/dbraw/zinc/49/05/12/736490512.db2.gz MONLUJWCTLJKTH-BDJLRTHQSA-N -1 1 337.376 -0.095 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(c2cc(F)ncn2)CC1 ZINC001055850848 736520540 /nfs/dbraw/zinc/52/05/40/736520540.db2.gz AUBKIOBTWMRRCC-UHFFFAOYSA-N -1 1 348.338 -0.795 20 0 EBADMM NC(=O)NCC(=O)N1CCC[C@@H]2[C@@H]1CCN2C(=O)c1ncccc1[O-] ZINC001056220260 736670101 /nfs/dbraw/zinc/67/01/01/736670101.db2.gz KAROSFKNWVJYHK-MNOVXSKESA-N -1 1 347.375 -0.339 20 0 EBADMM O=C(NCCN(CCO)C(=O)C1=COCCO1)c1ncccc1[O-] ZINC001056877032 736844252 /nfs/dbraw/zinc/84/42/52/736844252.db2.gz DNZAKNTYJUXYOQ-UHFFFAOYSA-N -1 1 337.332 -0.774 20 0 EBADMM Cn1cc(C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])cn1 ZINC001056936414 736884332 /nfs/dbraw/zinc/88/43/32/736884332.db2.gz YAJCFHGYCRHNLV-UHFFFAOYSA-N -1 1 333.348 -0.615 20 0 EBADMM Cc1nn(C)cc1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057224957 737095514 /nfs/dbraw/zinc/09/55/14/737095514.db2.gz ONZRMQGWFVZQOU-UHFFFAOYSA-N -1 1 347.375 -0.306 20 0 EBADMM CC(C)(C)C(=O)C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057227736 737097644 /nfs/dbraw/zinc/09/76/44/737097644.db2.gz WWMXMLLBMIAZTH-UHFFFAOYSA-N -1 1 337.376 -0.047 20 0 EBADMM Cc1cc(C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])ncn1 ZINC001057360575 737189884 /nfs/dbraw/zinc/18/98/84/737189884.db2.gz IACBBJGNYKWYCY-UHFFFAOYSA-N -1 1 345.359 -0.250 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccc1[O-])C1=COCCO1 ZINC001057407836 737226957 /nfs/dbraw/zinc/22/69/57/737226957.db2.gz JLLDTIVNSSDAIX-UHFFFAOYSA-N -1 1 337.332 -0.774 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccc1[O-])[C@@H]1CC1(F)F ZINC001057410271 737229691 /nfs/dbraw/zinc/22/96/91/737229691.db2.gz KMIPFLJJHZVXHO-VIFPVBQESA-N -1 1 329.303 -0.007 20 0 EBADMM Cn1cncc1CC(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410149 737229883 /nfs/dbraw/zinc/22/98/83/737229883.db2.gz FHXGTYYTLVDPIH-UHFFFAOYSA-N -1 1 347.375 -0.686 20 0 EBADMM Cc1c(C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])cnn1C ZINC001057410168 737230143 /nfs/dbraw/zinc/23/01/43/737230143.db2.gz FYUYNSZRXFCSHX-UHFFFAOYSA-N -1 1 347.375 -0.306 20 0 EBADMM Cc1c(C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])nnn1C ZINC001057410616 737230836 /nfs/dbraw/zinc/23/08/36/737230836.db2.gz UIXMQRLSHKNXMN-UHFFFAOYSA-N -1 1 348.363 -0.911 20 0 EBADMM Cc1c[nH]nc1C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410614 737230879 /nfs/dbraw/zinc/23/08/79/737230879.db2.gz UGZZZYCZMVWYGB-UHFFFAOYSA-N -1 1 333.348 -0.317 20 0 EBADMM CCc1nc[nH]c1C(=O)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057410974 737231103 /nfs/dbraw/zinc/23/11/03/737231103.db2.gz ZJYYJCHDZYKZHB-UHFFFAOYSA-N -1 1 347.375 -0.063 20 0 EBADMM Cn1ccc(CC(=O)NCCN(CCO)C(=O)c2ncccc2[O-])n1 ZINC001057410833 737231202 /nfs/dbraw/zinc/23/12/02/737231202.db2.gz YCJRMTCPLILCCI-UHFFFAOYSA-N -1 1 347.375 -0.686 20 0 EBADMM Cc1ccnc(C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])n1 ZINC001057464591 737273574 /nfs/dbraw/zinc/27/35/74/737273574.db2.gz VPCVMBZEVYFDBK-UHFFFAOYSA-N -1 1 345.359 -0.250 20 0 EBADMM O=C(NCCN(CCO)C(=O)[C@@H]1CC1(F)F)c1ncccc1[O-] ZINC001057504234 737301196 /nfs/dbraw/zinc/30/11/96/737301196.db2.gz SJMQXOZPSPGLSV-VIFPVBQESA-N -1 1 329.303 -0.007 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2cncn2C)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071655537 741183098 /nfs/dbraw/zinc/18/30/98/741183098.db2.gz NXBPSHWYVPJFHQ-QWRGUYRKSA-N -1 1 333.396 -0.375 20 0 EBADMM CCCC(=O)NCCN(CCO)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001058152881 737676429 /nfs/dbraw/zinc/67/64/29/737676429.db2.gz GCFBVAALCXTLBH-UHFFFAOYSA-N -1 1 342.352 -0.753 20 0 EBADMM O=C(N[C@@H]1CCN(c2nccnc2F)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001058403660 737815443 /nfs/dbraw/zinc/81/54/43/737815443.db2.gz VGYKCSJZDKBSCB-MRVPVSSYSA-N -1 1 344.310 -0.645 20 0 EBADMM Cc1nccc(N2CC[C@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)n1 ZINC001058406164 737816370 /nfs/dbraw/zinc/81/63/70/737816370.db2.gz MCTHGEWUKZGOMP-JTQLQIEISA-N -1 1 340.347 -0.475 20 0 EBADMM O=C(Cn1cnnn1)N1CC[C@@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059128947 738118547 /nfs/dbraw/zinc/11/85/47/738118547.db2.gz HOKPZPANGXKHOS-LLVKDONJSA-N -1 1 345.363 -0.558 20 0 EBADMM O=C(N[C@H]1C[C@H](Nc2nccn3nnnc23)C1)c1ncccc1[O-] ZINC001059197048 738127405 /nfs/dbraw/zinc/12/74/05/738127405.db2.gz VCKAACBWGGTIGB-KYZUINATSA-N -1 1 326.320 -0.007 20 0 EBADMM CNC(=O)NCC(=O)NCC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059517946 738187977 /nfs/dbraw/zinc/18/79/77/738187977.db2.gz FHVWOFVOAUTENE-LLVKDONJSA-N -1 1 349.391 -0.315 20 0 EBADMM O=C(NC1(CNC(=O)C2CC2)CCC1)c1cc(=O)n2[n-]cnc2n1 ZINC001062377406 738909570 /nfs/dbraw/zinc/90/95/70/738909570.db2.gz BESCBCXIDCSTBL-UHFFFAOYSA-N -1 1 330.348 -0.404 20 0 EBADMM O=C(NC1(CNC(=O)[C@H]2COCCO2)CCC1)c1ncccc1[O-] ZINC001062591235 738945408 /nfs/dbraw/zinc/94/54/08/738945408.db2.gz OBYJTVDCAMXGQI-GFCCVEGCSA-N -1 1 335.360 -0.029 20 0 EBADMM Cc1n[nH]c(C(=O)NC2(CNC(=O)CCc3nc[nH]n3)CCC2)c1[O-] ZINC001062772538 738985056 /nfs/dbraw/zinc/98/50/56/738985056.db2.gz SCEDCQXKNLCRKX-UHFFFAOYSA-N -1 1 347.379 -0.057 20 0 EBADMM O=C(CN1CN=NC1=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062831764 738999844 /nfs/dbraw/zinc/99/98/44/738999844.db2.gz SABVTFBYALMSOV-UHFFFAOYSA-N -1 1 346.347 -0.447 20 0 EBADMM O=C(CCn1cnnn1)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062840329 739002864 /nfs/dbraw/zinc/00/28/64/739002864.db2.gz XZJMQCONEADYPM-UHFFFAOYSA-N -1 1 345.363 -0.367 20 0 EBADMM CC1(C(=O)NCC2(NC(=O)CCn3cc[n-]c(=O)c3=O)CCC2)CC1 ZINC001063308646 739087677 /nfs/dbraw/zinc/08/76/77/739087677.db2.gz SGMLBSXKSSRSOT-UHFFFAOYSA-N -1 1 348.403 -0.118 20 0 EBADMM CNC(=O)NCC(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001063332137 739094239 /nfs/dbraw/zinc/09/42/39/739094239.db2.gz INPBTKDVJQRJJC-UHFFFAOYSA-N -1 1 335.364 -0.515 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)NC1(CNC(=O)c2cc(=O)n3[n-]cnc3n2)CCC1 ZINC001063715773 739185722 /nfs/dbraw/zinc/18/57/22/739185722.db2.gz YDUQCRFZAXLZRF-ZJUUUORDSA-N -1 1 344.375 -0.158 20 0 EBADMM NC(=O)C1(C(=O)NC[C@@H]2CN(C(=O)c3ncccc3[O-])CCO2)CC1 ZINC001065074607 739511706 /nfs/dbraw/zinc/51/17/06/739511706.db2.gz XAMKBOYJEZAHLT-SNVBAGLBSA-N -1 1 348.359 -0.990 20 0 EBADMM CNC(=O)NCC(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])CC1 ZINC001066078538 739908337 /nfs/dbraw/zinc/90/83/37/739908337.db2.gz LGIBBQJCKXVJFB-LLVKDONJSA-N -1 1 349.391 -0.173 20 0 EBADMM C[C@H](NC(N)=O)C(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC001066079098 739908420 /nfs/dbraw/zinc/90/84/20/739908420.db2.gz UFZCWKKVYHMLDZ-QWRGUYRKSA-N -1 1 349.391 -0.045 20 0 EBADMM CN(CCCN(C)C(=O)C1CC1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001066578127 739997978 /nfs/dbraw/zinc/99/79/78/739997978.db2.gz RGWXHPSIUWNLSZ-UHFFFAOYSA-N -1 1 336.392 -0.356 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)N(C)CCCN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001066702822 740035362 /nfs/dbraw/zinc/03/53/62/740035362.db2.gz ASPKDGPHLRHASP-MNOVXSKESA-N -1 1 346.391 -0.006 20 0 EBADMM Cc1ncc(C(=O)N(C)CCCN(C)C(=O)c2n[nH]c(=O)[n-]c2=O)[nH]1 ZINC001067005680 740083344 /nfs/dbraw/zinc/08/33/44/740083344.db2.gz OUQYLMRDJXPYGU-UHFFFAOYSA-N -1 1 349.351 -0.451 20 0 EBADMM CN(CCCN(C)C(=O)[C@@H]1COC(=O)N1)C(=O)c1ncccc1[O-] ZINC001067255173 740113563 /nfs/dbraw/zinc/11/35/63/740113563.db2.gz JYGIERGQIHPSSM-JTQLQIEISA-N -1 1 336.348 -0.184 20 0 EBADMM C[C@H]1C[C@@H](Nc2ncccn2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001069120963 740537275 /nfs/dbraw/zinc/53/72/75/740537275.db2.gz GQOSWGRHYYDLGN-VHSXEESVSA-N -1 1 340.347 -0.077 20 0 EBADMM CCC1(NC(C)=O)CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001069485064 740560105 /nfs/dbraw/zinc/56/01/05/740560105.db2.gz SFHYADQOFYIGFZ-UHFFFAOYSA-N -1 1 332.364 -0.062 20 0 EBADMM CCC(=O)N[C@@H]1CCc2nnc(CNCc3nc(=O)n(C)[n-]3)n2CC1 ZINC001069842225 740586660 /nfs/dbraw/zinc/58/66/60/740586660.db2.gz AWCLWLZYJHUFDS-SNVBAGLBSA-N -1 1 348.411 -0.779 20 0 EBADMM CCCC(=O)N1C[C@@H](NCc2nc(=O)n(C)[n-]2)[C@@H](n2ccnn2)C1 ZINC001070125850 740711753 /nfs/dbraw/zinc/71/17/53/740711753.db2.gz RWCRKUMSRPEBTG-MNOVXSKESA-N -1 1 334.384 -0.958 20 0 EBADMM CO[C@@H]1C[C@@H](Cn2ccc(NC(C)=O)n2)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001070600239 740893599 /nfs/dbraw/zinc/89/35/99/740893599.db2.gz KNBUVPNPHWDJFH-NWDGAFQWSA-N -1 1 349.395 -0.447 20 0 EBADMM C[C@H](CC(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1)NC(N)=O ZINC001070648647 740908743 /nfs/dbraw/zinc/90/87/43/740908743.db2.gz RRKNZXZNNBJMHR-GHMZBOCLSA-N -1 1 349.391 -0.045 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1C[C@@H](c2cncn2C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001070679140 740917307 /nfs/dbraw/zinc/91/73/07/740917307.db2.gz HFIMZOXFEZBOEH-NNYUYHANSA-N -1 1 345.407 -0.016 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CCC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001071101642 741060048 /nfs/dbraw/zinc/06/00/48/741060048.db2.gz SJJYUPPSFQVIIZ-XQQFMLRXSA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@H]1CN(C(=O)C(F)F)C[C@]2(CCN(Cc3nc(=O)n(C)[n-]3)C2)O1 ZINC001071117246 741062655 /nfs/dbraw/zinc/06/26/55/741062655.db2.gz SHMAYUREKGIEBZ-LKFCYVNXSA-N -1 1 345.350 -0.435 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@@]2(CCN(Cc3n[nH]c(=O)[n-]3)C2)O1 ZINC001071139664 741065149 /nfs/dbraw/zinc/06/51/49/741065149.db2.gz YYBFQJXGXGRYKD-BMIGLBTASA-N -1 1 347.379 -0.261 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2cnccn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071322876 741121148 /nfs/dbraw/zinc/12/11/48/741121148.db2.gz YUUIOHVONMMSJN-GHMZBOCLSA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)Cc2ccon2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071383786 741131062 /nfs/dbraw/zinc/13/10/62/741131062.db2.gz MRMHMJKAUYJMNM-PWSUYJOCSA-N -1 1 334.380 -0.192 20 0 EBADMM COCCC(=O)N1C[C@@H](C)[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001071435901 741135998 /nfs/dbraw/zinc/13/59/98/741135998.db2.gz DGIOWINTNOXZFT-MWLCHTKSSA-N -1 1 348.363 -0.969 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2ncn(C)n2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071486702 741144654 /nfs/dbraw/zinc/14/46/54/741144654.db2.gz BENIREFLKHRFKB-ZJUUUORDSA-N -1 1 334.384 -0.980 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2ncn(C)n2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071486701 741144659 /nfs/dbraw/zinc/14/46/59/741144659.db2.gz BENIREFLKHRFKB-VHSXEESVSA-N -1 1 334.384 -0.980 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)Cc2ccn(C)n2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071504845 741148715 /nfs/dbraw/zinc/14/87/15/741148715.db2.gz MDNZKPLPWDBUBP-WCQYABFASA-N -1 1 347.423 -0.446 20 0 EBADMM Cc1ncn(C)c1C(=O)N[C@H]1CC[C@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071592377 741174223 /nfs/dbraw/zinc/17/42/23/741174223.db2.gz ZZTFFEYJHGYXPQ-JQWIXIFHSA-N -1 1 347.423 -0.067 20 0 EBADMM Cc1n[nH]cc1C(=O)N[C@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071720724 741202259 /nfs/dbraw/zinc/20/22/59/741202259.db2.gz GFUCHHKVKXCRRD-KOLCDFICSA-N -1 1 333.396 -0.077 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2c[nH]c(=O)n2C)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071739468 741208775 /nfs/dbraw/zinc/20/87/75/741208775.db2.gz TYKFUXNBAVOHEI-UWVGGRQHSA-N -1 1 349.395 -0.670 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)Cc2cnoc2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071759232 741212812 /nfs/dbraw/zinc/21/28/12/741212812.db2.gz FWWWBPQAWXEINK-JQWIXIFHSA-N -1 1 334.380 -0.192 20 0 EBADMM Cc1ncc(C(=O)N[C@@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)n1C ZINC001071769371 741213940 /nfs/dbraw/zinc/21/39/40/741213940.db2.gz PURIFWLQIQAGPF-CMPLNLGQSA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2ccncn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071905219 741241834 /nfs/dbraw/zinc/24/18/34/741241834.db2.gz WHVVVGQOBALBKT-GHMZBOCLSA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)CN1CCCO ZINC001071908208 741242640 /nfs/dbraw/zinc/24/26/40/741242640.db2.gz OYSLEMDZZKDXGL-NWDGAFQWSA-N -1 1 348.407 -0.577 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2ncccn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071919647 741245636 /nfs/dbraw/zinc/24/56/36/741245636.db2.gz FRZKQVUBUUGBDO-GHMZBOCLSA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2cccnn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071927390 741249533 /nfs/dbraw/zinc/24/95/33/741249533.db2.gz MUCQRTQHBKIQEA-WDEREUQCSA-N -1 1 331.380 -0.319 20 0 EBADMM CCNC(=O)CC(=O)N1C[C@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC001071939945 741255469 /nfs/dbraw/zinc/25/54/69/741255469.db2.gz RFVGPRUHZGGFFH-QWRGUYRKSA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C1(C(N)=O)CC1 ZINC001071952287 741263354 /nfs/dbraw/zinc/26/33/54/741263354.db2.gz KHNDMICBQVALGA-NXEZZACHSA-N -1 1 332.360 -0.371 20 0 EBADMM CCC(=O)NCC(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001071952845 741263828 /nfs/dbraw/zinc/26/38/28/741263828.db2.gz RMLYVCAZCKELFX-QWRGUYRKSA-N -1 1 334.376 -0.110 20 0 EBADMM CCC(=O)NCC(=O)N[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001071952844 741263839 /nfs/dbraw/zinc/26/38/39/741263839.db2.gz RMLYVCAZCKELFX-MNOVXSKESA-N -1 1 334.376 -0.110 20 0 EBADMM CCC(=O)NCC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001071952846 741263869 /nfs/dbraw/zinc/26/38/69/741263869.db2.gz RMLYVCAZCKELFX-WDEREUQCSA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)CCn1cnnn1 ZINC001071952513 741263886 /nfs/dbraw/zinc/26/38/86/741263886.db2.gz NEEBNAUNVRAESF-QWRGUYRKSA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)CC(=O)N(C)C ZINC001071953255 741264326 /nfs/dbraw/zinc/26/43/26/741264326.db2.gz WZZYHJSKFICZMZ-GHMZBOCLSA-N -1 1 334.376 -0.158 20 0 EBADMM CNC(=O)NCCC(=O)N1C[C@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001072022242 741285824 /nfs/dbraw/zinc/28/58/24/741285824.db2.gz XSELPUATKYTQAY-WDEREUQCSA-N -1 1 349.391 -0.317 20 0 EBADMM CNC(=O)NCC(=O)N1C[C@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001072269139 741349808 /nfs/dbraw/zinc/34/98/08/741349808.db2.gz WTYAOTFYQFJTDQ-VHSXEESVSA-N -1 1 335.364 -0.707 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)c4ccncc4)C3)C2)nc1=O ZINC001072394495 741388572 /nfs/dbraw/zinc/38/85/72/741388572.db2.gz HXYRLNWDAYENDM-UHFFFAOYSA-N -1 1 328.376 -0.149 20 0 EBADMM Cc1cc(C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)on1 ZINC001072462418 741408108 /nfs/dbraw/zinc/40/81/08/741408108.db2.gz FXIUMCCHOJNZFA-UHFFFAOYSA-N -1 1 332.364 -0.247 20 0 EBADMM Cc1oncc1CN1CCC2(CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)C1 ZINC001072898150 741515614 /nfs/dbraw/zinc/51/56/14/741515614.db2.gz LGAXWUAAEZOSIS-UHFFFAOYSA-N -1 1 346.347 -0.073 20 0 EBADMM Cc1ncc(CN2CCC3(CN(C(=O)Cn4c(=O)[n-][nH]c4=O)C3)C2)o1 ZINC001073152581 741571588 /nfs/dbraw/zinc/57/15/88/741571588.db2.gz IJQAALGQLLFSEN-UHFFFAOYSA-N -1 1 348.363 -0.280 20 0 EBADMM CC[C@@H](C(N)=O)N1CCCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001073583653 741668534 /nfs/dbraw/zinc/66/85/34/741668534.db2.gz BHUQKWYQLSNFFB-NEPJUHHUSA-N -1 1 336.392 -0.128 20 0 EBADMM Cc1cc[nH]c1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001073591102 741674807 /nfs/dbraw/zinc/67/48/07/741674807.db2.gz DHIOSECLFNDLFN-GFCCVEGCSA-N -1 1 348.407 -0.234 20 0 EBADMM Cc1ncc(C(=O)N2CC[C@H]3OCCN(Cc4n[nH]c(=O)[n-]4)[C@H]3C2)[nH]1 ZINC001074194252 741857085 /nfs/dbraw/zinc/85/70/85/741857085.db2.gz HKCJYVIIYZCQLR-NWDGAFQWSA-N -1 1 347.379 -0.343 20 0 EBADMM CCCN1CCO[C@@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]21 ZINC001074382995 741921884 /nfs/dbraw/zinc/92/18/84/741921884.db2.gz UHBBMDBKISIWNE-CHWSQXEVSA-N -1 1 346.391 -0.257 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CO[C@H]1CCOC1 ZINC001074650716 742046762 /nfs/dbraw/zinc/04/67/62/742046762.db2.gz SMFHMFOGBRFPKD-DCAQKATOSA-N -1 1 325.369 -0.605 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@H]1C[C@H]1C(=O)N(C)C ZINC001074885627 742127275 /nfs/dbraw/zinc/12/72/75/742127275.db2.gz OTUPHTNCDHZICJ-VLEAKVRGSA-N -1 1 336.396 -0.686 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)[C@H]1CCCS1(=O)=O ZINC001075120634 742183661 /nfs/dbraw/zinc/18/36/61/742183661.db2.gz CTPWPSOFSBRKIK-LPEHRKFASA-N -1 1 343.409 -0.834 20 0 EBADMM CC(=O)N1CCC[C@H](n2cc(CNCc3nc(=O)n(C)[n-]3)nn2)C1 ZINC001075371318 742218962 /nfs/dbraw/zinc/21/89/62/742218962.db2.gz QHYXMVSPHQOZPA-LBPRGKRZSA-N -1 1 334.384 -0.827 20 0 EBADMM CCNCc1cn([C@@H]2CCCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)nn1 ZINC001075376616 742220157 /nfs/dbraw/zinc/22/01/57/742220157.db2.gz XOPSYAYYZBPGMJ-SNVBAGLBSA-N -1 1 348.367 -0.539 20 0 EBADMM CS[C@@H](C)C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001075571563 742256388 /nfs/dbraw/zinc/25/63/88/742256388.db2.gz HAXNHGUVMOWVOC-GARJFASQSA-N -1 1 325.438 -0.107 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC[C@H]3CN(CCN4CCNC4=O)C[C@H]32)c1[O-] ZINC001075691918 742273441 /nfs/dbraw/zinc/27/34/41/742273441.db2.gz AYMBYEMKYYYTNT-NWDGAFQWSA-N -1 1 348.407 -0.405 20 0 EBADMM Cc1cc(CC(=O)NCC[C@H](C)NC(=O)c2n[nH]c(=O)[n-]c2=O)[nH]n1 ZINC001076120968 742378688 /nfs/dbraw/zinc/37/86/88/742378688.db2.gz YRURVAHBJFKTCU-ZETCQYMHSA-N -1 1 349.351 -0.818 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)C[C@H]1CCNC1=O ZINC001076245336 742418990 /nfs/dbraw/zinc/41/89/90/742418990.db2.gz XTZNKEKBYXEOQK-WDEREUQCSA-N -1 1 334.376 -0.062 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)CCc4cn[nH]c4)[C@@H]3C2)nc1=O ZINC001076340363 742441347 /nfs/dbraw/zinc/44/13/47/742441347.db2.gz CFJSMRSTHGQWDD-QWHCGFSZSA-N -1 1 345.407 -0.503 20 0 EBADMM C[C@@H](CCNC(=O)CN1C(=O)CCC1=O)NC(=O)c1ncccc1[O-] ZINC001076454492 742473781 /nfs/dbraw/zinc/47/37/81/742473781.db2.gz GJTPXZUFBNNCHX-JTQLQIEISA-N -1 1 348.359 -0.439 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)s1 ZINC001076691551 742534548 /nfs/dbraw/zinc/53/45/48/742534548.db2.gz DMOIERXSTSGAKD-NXEZZACHSA-N -1 1 337.405 -0.547 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CN(CCc3ccnn3C)C[C@H]2O)c1[O-] ZINC001076890199 742621160 /nfs/dbraw/zinc/62/11/60/742621160.db2.gz OTFKQVRIEJPVSE-VXGBXAGGSA-N -1 1 334.380 -0.825 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)[C@H]3CCC(F)(F)C3)C2)nc1=O ZINC001077101918 742729890 /nfs/dbraw/zinc/72/98/90/742729890.db2.gz FHPTWRFLFZLWCB-IVZWLZJFSA-N -1 1 345.350 -0.795 20 0 EBADMM C[C@@H](CCNC(=O)C(=O)NCC(F)F)NC(=O)c1ncccc1[O-] ZINC001077224449 742797629 /nfs/dbraw/zinc/79/76/29/742797629.db2.gz NGTFBNNKXDWOJN-QMMMGPOBSA-N -1 1 344.318 -0.207 20 0 EBADMM O=C(N[C@@H]1CN(CCc2ccccc2)C[C@H]1O)c1n[nH]c(=O)[n-]c1=O ZINC001077310035 742873641 /nfs/dbraw/zinc/87/36/41/742873641.db2.gz SYHOAAPSAMPXMD-VXGBXAGGSA-N -1 1 345.359 -0.700 20 0 EBADMM CCc1cncc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c1 ZINC001077393883 742947870 /nfs/dbraw/zinc/94/78/70/742947870.db2.gz QMPAOAPGDVGWLF-CHWSQXEVSA-N -1 1 346.391 -0.959 20 0 EBADMM C[C@@H](CCNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)c1cc[nH]c1 ZINC001077402668 742957093 /nfs/dbraw/zinc/95/70/93/742957093.db2.gz XHJWYWXQZATQDZ-NSHDSACASA-N -1 1 347.375 -0.420 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)C3(C4CCC4)CCC3)C2)nc1=O ZINC001077461312 742991847 /nfs/dbraw/zinc/99/18/47/742991847.db2.gz TZGPVYRVGLDJCA-CHWSQXEVSA-N -1 1 349.435 -0.260 20 0 EBADMM C[C@@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)[C@@H]1CC12CC2 ZINC001077488122 743014033 /nfs/dbraw/zinc/01/40/33/743014033.db2.gz BJMVIBXSSGPSAH-UWVGGRQHSA-N -1 1 344.375 -0.158 20 0 EBADMM O=C(N[C@@H]1CN(Cc2cnon2)C[C@H]1O)c1cnc(C2CC2)[n-]c1=O ZINC001077792431 743252304 /nfs/dbraw/zinc/25/23/04/743252304.db2.gz HZZKNWJUJOBEKJ-VXGBXAGGSA-N -1 1 346.347 -0.582 20 0 EBADMM Cn1cc(C(=O)N2CCCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001078331133 743588358 /nfs/dbraw/zinc/58/83/58/743588358.db2.gz QGROSFFFBHDYJD-LLVKDONJSA-N -1 1 335.368 -0.735 20 0 EBADMM CC[C@@H](OC)C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078354989 743601048 /nfs/dbraw/zinc/60/10/48/743601048.db2.gz QAKGLINKXPJHTR-WDEREUQCSA-N -1 1 327.385 -0.358 20 0 EBADMM O=C(CCn1ccnc1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078438013 743647327 /nfs/dbraw/zinc/64/73/27/743647327.db2.gz UBXSYWNHLGZHSQ-GFCCVEGCSA-N -1 1 349.395 -0.496 20 0 EBADMM O=C(Cc1cnc[nH]1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078479284 743667349 /nfs/dbraw/zinc/66/73/49/743667349.db2.gz WAVSHBUFNTVVEL-NSHDSACASA-N -1 1 335.368 -0.817 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078487127 743670888 /nfs/dbraw/zinc/67/08/88/743670888.db2.gz CZDOHRAOWQQOGA-LLVKDONJSA-N -1 1 349.395 -0.129 20 0 EBADMM Nc1nccnc1C(=O)N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078580902 743698771 /nfs/dbraw/zinc/69/87/71/743698771.db2.gz NRAPWLHCQMEHRF-RKDXNWHRSA-N -1 1 344.379 -0.287 20 0 EBADMM Cn1ncc(C(=O)N[C@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)c1N ZINC001078603247 743705309 /nfs/dbraw/zinc/70/53/09/743705309.db2.gz ATLCZCJVHXOZME-ZJUUUORDSA-N -1 1 346.395 -0.343 20 0 EBADMM O=C(CN1CN=NC1=O)N[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078601821 743705572 /nfs/dbraw/zinc/70/55/72/743705572.db2.gz MNCDIMVEQKHUEI-DTWKUNHWSA-N -1 1 348.367 -0.975 20 0 EBADMM Cn1oc(C(=O)N[C@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)cc1=O ZINC001078606414 743706610 /nfs/dbraw/zinc/70/66/10/743706610.db2.gz HAHUSGXSISUTFA-ZJUUUORDSA-N -1 1 348.363 -0.367 20 0 EBADMM Cn1ncc(C(=O)N[C@@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)n1 ZINC001078749852 743728745 /nfs/dbraw/zinc/72/87/45/743728745.db2.gz QGPVFQARTWWRDF-NXEZZACHSA-N -1 1 332.368 -0.530 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)N[C@H]1C[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000980055476 805710778 /nfs/dbraw/zinc/71/07/78/805710778.db2.gz IFXSMLMBLKLKCA-DBIOUOCHSA-N -1 1 344.375 -0.302 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1C[C@H](NC(=O)C2CC2)C1 ZINC000980054987 805711492 /nfs/dbraw/zinc/71/14/92/805711492.db2.gz CLVZVOJYWVJYEF-XWEPSHTISA-N -1 1 336.348 -0.069 20 0 EBADMM O=C(NC1CCC(NC(=O)[C@H]2CNC(=O)N2)CC1)c1ncccc1[O-] ZINC000980144280 805765394 /nfs/dbraw/zinc/76/53/94/805765394.db2.gz FHMBTWGGXNWVLH-VQXHTEKXSA-N -1 1 347.375 -0.374 20 0 EBADMM COc1c(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC000980274581 805825070 /nfs/dbraw/zinc/82/50/70/805825070.db2.gz HSSVNTOBOUAOJW-SNVBAGLBSA-N -1 1 349.395 -0.803 20 0 EBADMM C[C@@H](C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1)c1cnn(C)c1 ZINC000980583776 805952992 /nfs/dbraw/zinc/95/29/92/805952992.db2.gz QYVIKZFTVXBBPG-YPMHNXCESA-N -1 1 347.423 -0.322 20 0 EBADMM Cc1[nH]nc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)c1C ZINC000980866094 806031569 /nfs/dbraw/zinc/03/15/69/806031569.db2.gz CTCHNXUEHHKLMR-UHFFFAOYSA-N -1 1 333.396 -0.204 20 0 EBADMM Cc1nnc(CN[C@H]2CCC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC000981201902 806107765 /nfs/dbraw/zinc/10/77/65/806107765.db2.gz RIEPKBFKBLSAOT-IUCAKERBSA-N -1 1 349.351 -0.195 20 0 EBADMM Cc1nn(C)cc1CC(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000981347575 806148494 /nfs/dbraw/zinc/14/84/94/806148494.db2.gz DRAYRZPKWDATEL-UHFFFAOYSA-N -1 1 347.423 -0.573 20 0 EBADMM COc1cc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)nn1C ZINC000981592850 806209505 /nfs/dbraw/zinc/20/95/05/806209505.db2.gz NOZUJBKMXIOYSH-UHFFFAOYSA-N -1 1 349.395 -0.801 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)[C@@H]3OC[C@@H]4CCC[C@@H]43)CC2)nc1=O ZINC000981641169 806221093 /nfs/dbraw/zinc/22/10/93/806221093.db2.gz QKLVOZAWTZZBOY-KCQAQPDRSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1nnc(C(=O)NC[C@H]2CC[C@@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC000981948817 806343774 /nfs/dbraw/zinc/34/37/74/806343774.db2.gz PGBLTJGBXLOYIJ-VHSXEESVSA-N -1 1 345.363 -0.361 20 0 EBADMM CO[C@@H](C)C(=O)N1C[C@@]2(C)CN(Cc3nc(=O)n(C)[n-]3)C[C@@]2(C)C1 ZINC000982225135 806426204 /nfs/dbraw/zinc/42/62/04/806426204.db2.gz JGJHSMKIOYVHJG-KNXALSJPSA-N -1 1 337.424 -0.186 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)[C@H]2COCCO2)C1)c1ncccc1[O-] ZINC000982471439 806512035 /nfs/dbraw/zinc/51/20/35/806512035.db2.gz BGBIYSBJDKBIDE-DGCLKSJQSA-N -1 1 335.360 -0.219 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCCNC1=O ZINC000982673829 806630170 /nfs/dbraw/zinc/63/01/70/806630170.db2.gz QTUYSIBYTPRTGG-NWDGAFQWSA-N -1 1 346.387 -0.108 20 0 EBADMM CC(C)(C(N)=O)C(=O)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982879604 806751141 /nfs/dbraw/zinc/75/11/41/806751141.db2.gz ZUTNINILOSLVTJ-JTQLQIEISA-N -1 1 334.376 -0.123 20 0 EBADMM C[C@]1(C(=O)N2CC[C@@H](CNC(=O)c3ncccc3[O-])C2)CNC(=O)C1 ZINC000982998029 806829236 /nfs/dbraw/zinc/82/92/36/806829236.db2.gz HIRYLXYLQVHHCL-APPDUMDISA-N -1 1 346.387 -0.108 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H](CNC(=O)C2CC2)C1 ZINC000983005222 806831702 /nfs/dbraw/zinc/83/17/02/806831702.db2.gz AOTPEVGKDOTRFD-QMMMGPOBSA-N -1 1 336.348 -0.115 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)[C@@]3(C)CCCOC3)CC2)nc1=O ZINC000983026547 806842786 /nfs/dbraw/zinc/84/27/86/806842786.db2.gz LBRKACZEAHFHSE-INIZCTEOSA-N -1 1 337.424 -0.041 20 0 EBADMM CCCn1cc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)nn1 ZINC000983047138 806851340 /nfs/dbraw/zinc/85/13/40/806851340.db2.gz LOOLYBXPRAKULM-UHFFFAOYSA-N -1 1 348.411 -0.542 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)[C@@H]2CCNC2=O)C1)c1ncccc1[O-] ZINC000983075896 806865912 /nfs/dbraw/zinc/86/59/12/806865912.db2.gz DCUMRGIJLINNRR-WDEREUQCSA-N -1 1 332.360 -0.498 20 0 EBADMM CN1C(=O)CC[C@H]1C(=O)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000983130417 806896175 /nfs/dbraw/zinc/89/61/75/806896175.db2.gz ZMCAOPAPNLKJSQ-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC[C@@H]1CCN(C(=O)C(N)=O)C1 ZINC000983205795 806946327 /nfs/dbraw/zinc/94/63/27/806946327.db2.gz FHSXXJBWVXSWQL-JTQLQIEISA-N -1 1 349.391 -0.322 20 0 EBADMM C[C@@]1(C(=O)N2CC[C@@H](CNC(=O)c3ncccc3[O-])C2)CCNC1=O ZINC000983307958 806978754 /nfs/dbraw/zinc/97/87/54/806978754.db2.gz QQCGTNPZHWBXHZ-APPDUMDISA-N -1 1 346.387 -0.108 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-][C@@H]2CCN(S(C)(=O)=O)C2)c1Cl ZINC000400193688 803776431 /nfs/dbraw/zinc/77/64/31/803776431.db2.gz NIINQCPDUOIOCK-SSDOTTSWSA-N -1 1 342.830 -0.614 20 0 EBADMM C[C@@H]1CN(C(=O)Cn2cncn2)C[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000959601604 803815721 /nfs/dbraw/zinc/81/57/21/803815721.db2.gz QRBKRSXTPITRTK-NEPJUHHUSA-N -1 1 344.375 -0.097 20 0 EBADMM CC[C@H](C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000976859438 803910362 /nfs/dbraw/zinc/91/03/62/803910362.db2.gz MXTSKPLKPFOQLT-QISWUMQESA-N -1 1 344.375 -0.350 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)c3cccnn3)C(C)(C)C2)nc1=O ZINC000977375616 804299495 /nfs/dbraw/zinc/29/94/95/804299495.db2.gz DSNMEMWXGKPRKY-LLVKDONJSA-N -1 1 331.380 -0.461 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)[C@]1(C)CNC(=O)C1)C(=O)c1ncccc1[O-] ZINC000977597160 804405708 /nfs/dbraw/zinc/40/57/08/804405708.db2.gz FORJBEGTHFMRPH-GTNSWQLSSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)[C@@H]1CCNC1=O)C(=O)c1ncccc1[O-] ZINC000977600180 804407711 /nfs/dbraw/zinc/40/77/11/804407711.db2.gz BAAANTURVCKSBK-WDEREUQCSA-N -1 1 332.360 -0.404 20 0 EBADMM CN(C[C@H]1CCN1C(=O)C1(C(N)=O)CC1)C(=O)c1ncccc1[O-] ZINC000977600347 804408162 /nfs/dbraw/zinc/40/81/62/804408162.db2.gz MAVOPSBKZUREEB-SNVBAGLBSA-N -1 1 332.360 -0.274 20 0 EBADMM CN(C[C@H]1CCN1C(=O)[C@@]1(C)CCNC1=O)C(=O)c1ncccc1[O-] ZINC000977604836 804409937 /nfs/dbraw/zinc/40/99/37/804409937.db2.gz XQGNMPYUXLFKNR-DIFFPNOSSA-N -1 1 346.387 -0.014 20 0 EBADMM Cc1nonc1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000977659833 804449376 /nfs/dbraw/zinc/44/93/76/804449376.db2.gz QLHNXMSNPZHOBP-VIFPVBQESA-N -1 1 335.368 -0.560 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@@H]1CC(=O)N(C)C1 ZINC000977841490 804518233 /nfs/dbraw/zinc/51/82/33/804518233.db2.gz HUNVCRHETTXDHY-VXGBXAGGSA-N -1 1 346.387 -0.062 20 0 EBADMM CC(C)C(=O)N1CC[C@@H]1CN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000978056187 804636456 /nfs/dbraw/zinc/63/64/56/804636456.db2.gz QRWGZRHLYJYEAK-SNVBAGLBSA-N -1 1 332.364 -0.254 20 0 EBADMM CC(C)C(=O)N1CC[C@H]1CN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000978056185 804636590 /nfs/dbraw/zinc/63/65/90/804636590.db2.gz QRWGZRHLYJYEAK-JTQLQIEISA-N -1 1 332.364 -0.254 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@@H]1CN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000978058848 804638652 /nfs/dbraw/zinc/63/86/52/804638652.db2.gz VICLCJHULVBIMS-GMTAPVOTSA-N -1 1 344.375 -0.254 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2[C@H]3C[N@@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)c1[O-] ZINC000978088186 804654483 /nfs/dbraw/zinc/65/44/83/804654483.db2.gz YYCPVEIBOOLHNT-PSVAKVPMSA-N -1 1 333.352 -0.645 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2[C@H]3C[N@H+](Cc4n[nH]c(=O)[n-]4)C[C@H]32)c1[O-] ZINC000978088186 804654485 /nfs/dbraw/zinc/65/44/85/804654485.db2.gz YYCPVEIBOOLHNT-PSVAKVPMSA-N -1 1 333.352 -0.645 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)NC[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000978548022 804857028 /nfs/dbraw/zinc/85/70/28/804857028.db2.gz IWTGHPDVUNJGRA-CHWFTXMASA-N -1 1 344.375 -0.302 20 0 EBADMM O=C(NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1)[C@@H]1CCNC(=O)C1 ZINC000978709356 804935983 /nfs/dbraw/zinc/93/59/83/804935983.db2.gz MJRAWOBSWCOIPC-UTUOFQBUSA-N -1 1 346.387 -0.062 20 0 EBADMM O=C(NC[C@H]1C[C@@H](NC(=O)[C@@H]2COCCO2)C1)c1ncccc1[O-] ZINC000978741015 804952839 /nfs/dbraw/zinc/95/28/39/804952839.db2.gz GGOUWAYEUQNKRJ-LOWVWBTDSA-N -1 1 335.360 -0.173 20 0 EBADMM C[C@]1(C(=O)N[C@H]2C[C@@H](CNC(=O)c3ncccc3[O-])C2)CCNC1=O ZINC000978741988 804954001 /nfs/dbraw/zinc/95/40/01/804954001.db2.gz MWXUSIKRZDJZSL-RVPKQNPDSA-N -1 1 346.387 -0.062 20 0 EBADMM C[C@@]1(C(=O)N[C@H]2C[C@@H](CNC(=O)c3ncccc3[O-])C2)CNC(=O)C1 ZINC000978742033 804954039 /nfs/dbraw/zinc/95/40/39/804954039.db2.gz WYCMKVXBPGBRDA-RVPKQNPDSA-N -1 1 346.387 -0.062 20 0 EBADMM CN1CCOC[C@H]1C(=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000978741907 804954104 /nfs/dbraw/zinc/95/41/04/804954104.db2.gz LNLOTCGETGKBSV-XQQFMLRXSA-N -1 1 348.403 -0.258 20 0 EBADMM CN1C[C@@H](C(=O)N[C@H]2C[C@@H](CNC(=O)c3ncccc3[O-])C2)NC1=O ZINC000978756035 804961339 /nfs/dbraw/zinc/96/13/39/804961339.db2.gz WXTPGXAJMYDUFM-AXFHLTTASA-N -1 1 347.375 -0.565 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1C[C@@H](NC(=O)C2CC2)C1 ZINC000979042820 805106576 /nfs/dbraw/zinc/10/65/76/805106576.db2.gz CLVZVOJYWVJYEF-OTSSQURYSA-N -1 1 336.348 -0.069 20 0 EBADMM NC(=O)[C@H]1CC[C@H]1C(=O)NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000979106232 805145887 /nfs/dbraw/zinc/14/58/87/805145887.db2.gz MWQYEMWGSUURHC-WHOHXGKFSA-N -1 1 346.387 -0.077 20 0 EBADMM NC(=O)[C@@H]1CC[C@H]1C(=O)NC[C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000979106233 805146217 /nfs/dbraw/zinc/14/62/17/805146217.db2.gz MWQYEMWGSUURHC-WRWGMCAJSA-N -1 1 346.387 -0.077 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2cncs2)CCO1 ZINC000979216832 805213831 /nfs/dbraw/zinc/21/38/31/805213831.db2.gz NJXTURFHRGJHEO-BDAKNGLRSA-N -1 1 338.393 -0.014 20 0 EBADMM Cc1[nH]nc(C(=O)N2CCO[C@@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)c1C ZINC000979252114 805240492 /nfs/dbraw/zinc/24/04/92/805240492.db2.gz GHQBQXNPFFRMMJ-WDEREUQCSA-N -1 1 349.395 -0.130 20 0 EBADMM Cc1cnn(CC(=O)N2CCO[C@@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC000979269528 805250832 /nfs/dbraw/zinc/25/08/32/805250832.db2.gz ZMMIGFHAJGQONW-VXGBXAGGSA-N -1 1 349.395 -0.579 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2cncnc2)CCO1 ZINC000979283263 805260295 /nfs/dbraw/zinc/26/02/95/805260295.db2.gz XVMXWEXJKYKEDM-MWLCHTKSSA-N -1 1 333.352 -0.680 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2ccsn2)CCO1 ZINC000979333520 805299806 /nfs/dbraw/zinc/29/98/06/805299806.db2.gz PJFVGXFQQZVQIB-WPRPVWTQSA-N -1 1 338.393 -0.014 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2cn[nH]c(=O)c2)CCO1 ZINC000979345069 805309430 /nfs/dbraw/zinc/30/94/30/805309430.db2.gz GUOOERYMSBFFFR-WPRPVWTQSA-N -1 1 349.351 -0.975 20 0 EBADMM Cc1nc[nH]c1C(=O)N1CCO[C@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979472394 805395441 /nfs/dbraw/zinc/39/54/41/805395441.db2.gz VNYBWYOEPBBRQX-SCZZXKLOSA-N -1 1 335.368 -0.439 20 0 EBADMM O=C(N[C@H]1C[C@H](CNC(=O)[C@@H]2COCCO2)C1)c1ncccc1[O-] ZINC000979500019 805400816 /nfs/dbraw/zinc/40/08/16/805400816.db2.gz RTLWBCBMBVSXPK-GVXVVHGQSA-N -1 1 335.360 -0.173 20 0 EBADMM O=C(Cc1c[nH]cn1)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000979517169 805410288 /nfs/dbraw/zinc/41/02/88/805410288.db2.gz OQEKHZFCXSAMRV-YABSGUDNSA-N -1 1 327.344 -0.060 20 0 EBADMM CC(=O)N1C[C@@H]2CC[C@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)[C@@H]2C1 ZINC000979558738 805429730 /nfs/dbraw/zinc/42/97/30/805429730.db2.gz FIBBKFDDRAQHLT-AXFHLTTASA-N -1 1 330.348 -0.596 20 0 EBADMM CN1C[C@H](C(=O)N[C@H]2C[C@H](CNC(=O)c3ncccc3[O-])C2)NC1=O ZINC000979670330 805479714 /nfs/dbraw/zinc/47/97/14/805479714.db2.gz WXTPGXAJMYDUFM-GMTAPVOTSA-N -1 1 347.375 -0.565 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000979671197 805480992 /nfs/dbraw/zinc/48/09/92/805480992.db2.gz GWBIOCVCUNQQHP-DBIOUOCHSA-N -1 1 332.360 -0.467 20 0 EBADMM O=C(Cc1nnc[nH]1)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000983330180 806993677 /nfs/dbraw/zinc/99/36/77/806993677.db2.gz SHZCBZRZFFEVQK-JTQLQIEISA-N -1 1 330.348 -0.274 20 0 EBADMM COCC(=O)N1CCC[C@@H](N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000983784408 807095263 /nfs/dbraw/zinc/09/52/63/807095263.db2.gz YEJKFRUZBQLETI-SNVBAGLBSA-N -1 1 348.363 -0.873 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCCN(C(=O)[C@H]2COC(=O)N2)C1 ZINC000984139759 807185292 /nfs/dbraw/zinc/18/52/92/807185292.db2.gz KANGRGAFQFBJEW-GHMZBOCLSA-N -1 1 348.359 -0.041 20 0 EBADMM Cc1ccnc(CNC[C@H]2C[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC000984208081 807216265 /nfs/dbraw/zinc/21/62/65/807216265.db2.gz OQUWWDOYXXEMQX-MGCOHNPYSA-N -1 1 345.363 -0.321 20 0 EBADMM CC(=O)N1CC(C(=O)N2CCC(N(C)Cc3n[nH]c(=O)[n-]3)CC2)C1 ZINC000985403570 807504716 /nfs/dbraw/zinc/50/47/16/807504716.db2.gz ONIXZOVLJQILTQ-UHFFFAOYSA-N -1 1 336.396 -0.589 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)[C@H]2CC(=O)N(C)C2)CC1 ZINC000985463405 807514411 /nfs/dbraw/zinc/51/44/11/807514411.db2.gz UKMNFPZRTIPZSN-JTQLQIEISA-N -1 1 336.396 -0.589 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)c2cn[nH]c(=O)c2)CC1 ZINC000985482350 807517762 /nfs/dbraw/zinc/51/77/62/807517762.db2.gz FHXNFJASHQPAOB-UHFFFAOYSA-N -1 1 333.352 -0.258 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)c2ccn(C)c(=O)c2)CC1 ZINC000985501550 807522144 /nfs/dbraw/zinc/52/21/44/807522144.db2.gz WEGABAKQXLDWST-UHFFFAOYSA-N -1 1 346.391 -0.054 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)[C@H]2CCC(=O)NC2)CC1 ZINC000985516676 807526963 /nfs/dbraw/zinc/52/69/63/807526963.db2.gz CLPFUFRZNJYVNI-JTQLQIEISA-N -1 1 336.396 -0.541 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)[C@H]2CC[C@H]2C(N)=O)CC1 ZINC000985572530 807538963 /nfs/dbraw/zinc/53/89/63/807538963.db2.gz ZFAWTTJNPRIPFY-MNOVXSKESA-N -1 1 336.396 -0.555 20 0 EBADMM CCC(=O)N1C[C@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@H]2C1 ZINC000985582528 807543410 /nfs/dbraw/zinc/54/34/10/807543410.db2.gz WZJXTYXMOJQKKF-OLZOCXBDSA-N -1 1 348.403 -0.356 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc(=O)n(C)c1 ZINC000986476051 807712325 /nfs/dbraw/zinc/71/23/25/807712325.db2.gz CROQCBWYKFPRNS-GXSJLCMTSA-N -1 1 332.364 -0.398 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CN1CCCNC1=O ZINC000986482918 807715311 /nfs/dbraw/zinc/71/53/11/807715311.db2.gz PBBIMAGZUGEVFK-VHSXEESVSA-N -1 1 337.384 -0.995 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cn2cccnc2n1 ZINC000986483050 807716670 /nfs/dbraw/zinc/71/66/70/807716670.db2.gz PUMJSMYQCDUBAT-UWVGGRQHSA-N -1 1 342.363 -0.054 20 0 EBADMM Cc1ncc(CN[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)[C@@H]2C)o1 ZINC000986487414 807717634 /nfs/dbraw/zinc/71/76/34/807717634.db2.gz JYOPLQFXESRKTJ-GMSGAONNSA-N -1 1 334.336 -0.028 20 0 EBADMM Cc1cnc(CN[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)[C@H]2C)cn1 ZINC000986490800 807719971 /nfs/dbraw/zinc/71/99/71/807719971.db2.gz YCMODTWRBVMPSJ-GXSJLCMTSA-N -1 1 345.363 -0.226 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCc1nccn1C ZINC000986598190 807749226 /nfs/dbraw/zinc/74/92/26/807749226.db2.gz BPYHKDYZCSONIZ-QWRGUYRKSA-N -1 1 333.396 -0.044 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)COC1CCOCC1 ZINC000987103200 807839700 /nfs/dbraw/zinc/83/97/00/807839700.db2.gz FFQNDIRUVSBNJS-CMPLNLGQSA-N -1 1 339.396 -0.215 20 0 EBADMM CC(C)c1nnnn1CC(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000987132741 807851113 /nfs/dbraw/zinc/85/11/13/807851113.db2.gz IPRUZBWFBRGJME-NXEZZACHSA-N -1 1 349.399 -0.601 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccc(N(C)C)nn1 ZINC000987366641 807900849 /nfs/dbraw/zinc/90/08/49/807900849.db2.gz XPYQOKRFJNHSTQ-ZJUUUORDSA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cccn(C)c1=O ZINC000987377680 807904041 /nfs/dbraw/zinc/90/40/41/807904041.db2.gz ZPLCGOVZRUTXPF-MWLCHTKSSA-N -1 1 332.364 -0.398 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnn2cc[nH]c12 ZINC000987418587 807912605 /nfs/dbraw/zinc/91/26/05/807912605.db2.gz YULRERUEUFRMOM-PSASIEDQSA-N -1 1 330.352 -0.121 20 0 EBADMM COCCn1cc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)cn1 ZINC000987433371 807918847 /nfs/dbraw/zinc/91/88/47/807918847.db2.gz LZFHYFLHIADABY-JQWIXIFHSA-N -1 1 349.395 -0.254 20 0 EBADMM Cc1cc(NC(=O)C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)no1 ZINC000987512626 807949845 /nfs/dbraw/zinc/94/98/45/807949845.db2.gz DVUCFJBVICJONU-DTWKUNHWSA-N -1 1 349.351 -0.476 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCc1cnn(C)c1 ZINC000987567665 807959153 /nfs/dbraw/zinc/95/91/53/807959153.db2.gz GAMPOISHAYLIRI-CMPLNLGQSA-N -1 1 333.396 -0.044 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cccc(C(N)=O)n1 ZINC000987589885 807966311 /nfs/dbraw/zinc/96/63/11/807966311.db2.gz MDHLFSRQOUQPME-DTWKUNHWSA-N -1 1 345.363 -0.603 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cccc(C(N)=O)n1 ZINC000987589887 807966431 /nfs/dbraw/zinc/96/64/31/807966431.db2.gz MDHLFSRQOUQPME-RKDXNWHRSA-N -1 1 345.363 -0.603 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)c1ncn(C)n1 ZINC000987684280 807991557 /nfs/dbraw/zinc/99/15/57/807991557.db2.gz MQCGEEYMBDEIGM-ZJUUUORDSA-N -1 1 330.348 -0.051 20 0 EBADMM CN(C[C@H]1CCN(C(=O)Cn2cnnn2)C1)C(=O)c1ncccc1[O-] ZINC000987723641 807996466 /nfs/dbraw/zinc/99/64/66/807996466.db2.gz FIPBJEHZRUJTAJ-LLVKDONJSA-N -1 1 345.363 -0.606 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cnnn2C)CCN1C(=O)c1ncccc1[O-] ZINC000987774839 808009826 /nfs/dbraw/zinc/00/98/26/808009826.db2.gz BIMGZGZUPIEUNM-ZJUUUORDSA-N -1 1 330.348 -0.051 20 0 EBADMM CN(C[C@H]1CCN(C(=O)[C@H]2CCC(=O)N2)C1)C(=O)c1ncccc1[O-] ZINC000987827714 808029170 /nfs/dbraw/zinc/02/91/70/808029170.db2.gz WUWDRHMYLMZLDF-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)C(=O)[C@H]1C[C@H]1C(N)=O ZINC000987932200 808061730 /nfs/dbraw/zinc/06/17/30/808061730.db2.gz RSNRBGYKENUHRZ-TUAOUCFPSA-N -1 1 346.387 -0.171 20 0 EBADMM CN(C[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C(=O)[C@H]1C[C@H]1C(N)=O ZINC000987932203 808061892 /nfs/dbraw/zinc/06/18/92/808061892.db2.gz RSNRBGYKENUHRZ-UTUOFQBUSA-N -1 1 346.387 -0.171 20 0 EBADMM CN(C[C@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1)C(=O)C1CC1 ZINC000988137295 808126298 /nfs/dbraw/zinc/12/62/98/808126298.db2.gz WQXNMJUIJKMZRQ-GFCCVEGCSA-N -1 1 348.403 -0.356 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)C2(C(N)=O)CC2)C1)C(=O)c1ncccc1[O-] ZINC000988281771 808186300 /nfs/dbraw/zinc/18/63/00/808186300.db2.gz SXJLRCKUHIOPBD-NSHDSACASA-N -1 1 346.387 -0.027 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnc(N(C)C)cn1 ZINC000988845611 808300648 /nfs/dbraw/zinc/30/06/48/808300648.db2.gz TXXYKUOONBBSSX-NXEZZACHSA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc2n[nH]nc2n1 ZINC000988933583 808329079 /nfs/dbraw/zinc/32/90/79/808329079.db2.gz MCIRSJGIQZYSGP-HTQZYQBOSA-N -1 1 343.351 -0.431 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)Cn1cc(C2CC2)nn1 ZINC000988954778 808333102 /nfs/dbraw/zinc/33/31/02/808333102.db2.gz OHHOKXQDGLERKD-KOLCDFICSA-N -1 1 346.395 -0.242 20 0 EBADMM COCc1nn(C)cc1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC000989053857 808358006 /nfs/dbraw/zinc/35/80/06/808358006.db2.gz CVUIYCSGRQNRAH-VHSXEESVSA-N -1 1 349.395 -0.217 20 0 EBADMM Cc1nccnc1CN[C@@H]1C[C@@H](C)N(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000989107684 808375952 /nfs/dbraw/zinc/37/59/52/808375952.db2.gz ARMQIRJTPGEWKC-PSASIEDQSA-N -1 1 345.363 -0.226 20 0 EBADMM Cc1ncc(CN[C@@H]2C[C@@H](C)N(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC000989112732 808377013 /nfs/dbraw/zinc/37/70/13/808377013.db2.gz QEZVZCAXWHHTNF-VXNVDRBHSA-N -1 1 334.336 -0.028 20 0 EBADMM Cc1cc(CN[C@H]2C[C@@H](C)N(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)ncn1 ZINC000989113049 808377398 /nfs/dbraw/zinc/37/73/98/808377398.db2.gz RJTSQZWCFHNJFJ-KOLCDFICSA-N -1 1 345.363 -0.226 20 0 EBADMM COc1nccc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)n1 ZINC000989159689 808388970 /nfs/dbraw/zinc/38/89/70/808388970.db2.gz NIIRXHICYDDBTL-DTWKUNHWSA-N -1 1 333.352 -0.298 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cc2n(n1)CCCO2 ZINC000989160497 808391313 /nfs/dbraw/zinc/39/13/13/808391313.db2.gz PMPNHFYSASZUEV-NXEZZACHSA-N -1 1 347.379 -0.118 20 0 EBADMM Cc1ncc(C(=O)N2CC[C@H](NC(=O)Cn3c(=O)[n-][nH]c3=O)[C@H]2C)[nH]1 ZINC000989198615 808401506 /nfs/dbraw/zinc/40/15/06/808401506.db2.gz NSVVHCXPVLMUNS-APPZFPTMSA-N -1 1 349.351 -0.860 20 0 EBADMM CCn1cc(CC(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)nn1 ZINC000989223447 808406537 /nfs/dbraw/zinc/40/65/37/808406537.db2.gz SIUNQHIAPMUESL-ZJUUUORDSA-N -1 1 334.384 -0.557 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1coc(C(N)=O)c1 ZINC000989231400 808410252 /nfs/dbraw/zinc/41/02/52/808410252.db2.gz RMWQMRXQBFURBS-CBAPKCEASA-N -1 1 334.336 -0.405 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cccc(=O)n1C ZINC000989438517 808474671 /nfs/dbraw/zinc/47/46/71/808474671.db2.gz LPQYGSBQRFKCDX-UWVGGRQHSA-N -1 1 332.364 -0.398 20 0 EBADMM C[C@H](CC(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1)n1cccn1 ZINC000989439039 808475806 /nfs/dbraw/zinc/47/58/06/808475806.db2.gz KIDQOGMJTPASKG-CYBMUJFWSA-N -1 1 347.423 -0.010 20 0 EBADMM CC(C)c1nnnn1CC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC000989537438 808499604 /nfs/dbraw/zinc/49/96/04/808499604.db2.gz UMFVHQXKLCMMEO-NXEZZACHSA-N -1 1 349.399 -0.601 20 0 EBADMM CCCn1cc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)nn1 ZINC000989618243 808533335 /nfs/dbraw/zinc/53/33/35/808533335.db2.gz HCTSOHHMNNLCSM-NXEZZACHSA-N -1 1 334.384 -0.095 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCn1cnccc1=O ZINC000989619943 808535151 /nfs/dbraw/zinc/53/51/51/808535151.db2.gz YSIGXDQHFDZIEL-GHMZBOCLSA-N -1 1 347.379 -0.764 20 0 EBADMM Cc1cc(=O)c(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)c[nH]1 ZINC000989639023 808543508 /nfs/dbraw/zinc/54/35/08/808543508.db2.gz ROTSGVCDCSUDNR-ZJUUUORDSA-N -1 1 332.364 -0.100 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnn2cc[nH]c12 ZINC000989724647 808570358 /nfs/dbraw/zinc/57/03/58/808570358.db2.gz BEXHZYYVKJTHGB-IUCAKERBSA-N -1 1 330.352 -0.121 20 0 EBADMM COCCn1cc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)cn1 ZINC000989734315 808574123 /nfs/dbraw/zinc/57/41/23/808574123.db2.gz SSLJDKAPMXZLPV-PWSUYJOCSA-N -1 1 349.395 -0.254 20 0 EBADMM Cc1cnn(CCC(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)c1 ZINC000989734914 808574175 /nfs/dbraw/zinc/57/41/75/808574175.db2.gz KGLAWHJNLWVXHU-UHFFFAOYSA-N -1 1 347.423 -0.262 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)Cn1ccccc1=O ZINC000989776960 808591505 /nfs/dbraw/zinc/59/15/05/808591505.db2.gz ZFNJQFRKDUULAU-GHMZBOCLSA-N -1 1 332.364 -0.549 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cncc(C(N)=O)c1 ZINC000989810639 808601910 /nfs/dbraw/zinc/60/19/10/808601910.db2.gz XPYRZYJNQZOLFO-LDYMZIIASA-N -1 1 345.363 -0.603 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)[C@@H]3CC34CCOCC4)CC2)nc1=O ZINC000989813509 808602936 /nfs/dbraw/zinc/60/29/36/808602936.db2.gz IMBAIOKWKNIXIU-ZDUSSCGKSA-N -1 1 349.435 -0.041 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc(C(N)=O)nc1 ZINC000989857911 808613368 /nfs/dbraw/zinc/61/33/68/808613368.db2.gz USTKGCGRVDQBOC-SCZZXKLOSA-N -1 1 345.363 -0.603 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)[C@@H]4CCOC4)CCC[C@@H]23)nc1=O ZINC000989984908 808631361 /nfs/dbraw/zinc/63/13/61/808631361.db2.gz UZXTXPKFBTVBEP-XHBSWPGZSA-N -1 1 335.408 -0.242 20 0 EBADMM O=C(C[C@@H]1C=CCC1)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000990189723 808688794 /nfs/dbraw/zinc/68/87/94/808688794.db2.gz FXCAIGUCRKCHFZ-SNVBAGLBSA-N -1 1 342.359 -0.286 20 0 EBADMM Cn1nncc1C(=O)N[C@]12CCC[C@H]1N(Cc1nc(=O)n(C)[n-]1)CC2 ZINC000990481235 808805351 /nfs/dbraw/zinc/80/53/51/808805351.db2.gz ZFKBEHZIXNZKEW-ABAIWWIYSA-N -1 1 346.395 -0.836 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(NC(=O)[C@H]2[C@@H]3CCC[C@@H]32)C1 ZINC000990697714 808891221 /nfs/dbraw/zinc/89/12/21/808891221.db2.gz HKCHNLRJCWGUAU-IMRBUKKESA-N -1 1 346.387 -0.700 20 0 EBADMM O=C(NC1CN(C(=O)c2cnc3n[nH]nc3c2)C1)c1ncccc1[O-] ZINC000990974200 809020644 /nfs/dbraw/zinc/02/06/44/809020644.db2.gz GCWNXEUONHHLHZ-UHFFFAOYSA-N -1 1 339.315 -0.292 20 0 EBADMM CN1CC[C@@H](CC(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C1=O ZINC000990976053 809023425 /nfs/dbraw/zinc/02/34/25/809023425.db2.gz DJQJQJCMOFOCOB-JTQLQIEISA-N -1 1 332.360 -0.404 20 0 EBADMM CC(C)(C)n1nnc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)n1 ZINC000990977864 809024655 /nfs/dbraw/zinc/02/46/55/809024655.db2.gz MMXHBCPMEJSJMZ-UHFFFAOYSA-N -1 1 345.363 -0.217 20 0 EBADMM O=C(NC1CN(C(=O)[C@@H]2CCc3nncn3C2)C1)c1ncccc1[O-] ZINC000990978371 809025635 /nfs/dbraw/zinc/02/56/35/809025635.db2.gz NUBCRDYKSXACLB-SNVBAGLBSA-N -1 1 342.359 -0.418 20 0 EBADMM O=C(NC1CN(C(=O)c2ccc3nnnn3c2)C1)c1ncccc1[O-] ZINC000990979009 809026554 /nfs/dbraw/zinc/02/65/54/809026554.db2.gz RJQVFHQLILUHCU-UHFFFAOYSA-N -1 1 339.315 -0.521 20 0 EBADMM CCN1CCOC[C@H]1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990980030 809027666 /nfs/dbraw/zinc/02/76/66/809027666.db2.gz XTBCRWHXWFMQCE-LBPRGKRZSA-N -1 1 334.376 -0.552 20 0 EBADMM CC1(C)CC(C(=O)NC2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)C1 ZINC000991140186 809098658 /nfs/dbraw/zinc/09/86/58/809098658.db2.gz QMJMDJFWLYNDTP-UHFFFAOYSA-N -1 1 344.375 -0.206 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1cnccn1 ZINC000991236699 809181131 /nfs/dbraw/zinc/18/11/31/809181131.db2.gz HIZFARHJUOXDJH-GWCFXTLKSA-N -1 1 343.343 -0.417 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]2O)[nH]n1 ZINC000991320573 809289765 /nfs/dbraw/zinc/28/97/65/809289765.db2.gz IKEXKBWPIMNNIA-ZWNOBZJWSA-N -1 1 345.359 -0.176 20 0 EBADMM O=C(Cc1cc[nH]n1)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000991363881 809360743 /nfs/dbraw/zinc/36/07/43/809360743.db2.gz MPPPFVRDSLBXAY-YPMHNXCESA-N -1 1 345.359 -0.555 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CCN(C(=O)c3ccn[nH]3)C[C@@H]2O)c1[O-] ZINC000991391654 809399414 /nfs/dbraw/zinc/39/94/14/809399414.db2.gz QABOZTNRPVUPTI-SCZZXKLOSA-N -1 1 334.336 -0.848 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cnccn2)C[C@H]1O)c1ncccc1[O-] ZINC000991411355 809429304 /nfs/dbraw/zinc/42/93/04/809429304.db2.gz UHHYAHYSICAAFU-GXFFZTMASA-N -1 1 343.343 -0.417 20 0 EBADMM Cc1nc[nH]c1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991427007 809453632 /nfs/dbraw/zinc/45/36/32/809453632.db2.gz NPGXMQQHEAURPW-ZYHUDNBSSA-N -1 1 345.359 -0.176 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cccnn2)C[C@H]1O)c1ncccc1[O-] ZINC000991430066 809459430 /nfs/dbraw/zinc/45/94/30/809459430.db2.gz SMRNSINWVKPTGW-GXFFZTMASA-N -1 1 343.343 -0.417 20 0 EBADMM Cn1nccc1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000991430234 809459814 /nfs/dbraw/zinc/45/98/14/809459814.db2.gz SSTFPCNFASTPBE-ZWNOBZJWSA-N -1 1 345.359 -0.474 20 0 EBADMM Cn1cnc(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])[C@H](O)C2)c1 ZINC000991430739 809460440 /nfs/dbraw/zinc/46/04/40/809460440.db2.gz UWBISJJVRYLQNW-ZWNOBZJWSA-N -1 1 345.359 -0.474 20 0 EBADMM Cc1c[nH]c(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]2O)n1 ZINC000991498579 809567024 /nfs/dbraw/zinc/56/70/24/809567024.db2.gz CUIHMBAMVIOLSB-JQWIXIFHSA-N -1 1 345.359 -0.176 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)C3CCC(O)CC3)CC2)nc1=O ZINC001002713795 809767477 /nfs/dbraw/zinc/76/74/77/809767477.db2.gz JAZOVTUNJFKKEE-UHFFFAOYSA-N -1 1 337.424 -0.260 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CCCCN1C(=O)CCn1cnnn1 ZINC001004985663 809836771 /nfs/dbraw/zinc/83/67/71/809836771.db2.gz UJQOOYKAGZKPDY-WDEREUQCSA-N -1 1 349.399 -0.554 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)c3n[nH]c4c3CCC4)C2)nc1=O ZINC001007744980 810011342 /nfs/dbraw/zinc/01/13/42/810011342.db2.gz ITZCWMOSRBBFRC-SNVBAGLBSA-N -1 1 345.407 -0.285 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C2)nc1=O ZINC001007996179 810058826 /nfs/dbraw/zinc/05/88/26/810058826.db2.gz CCZPKGLTCPPWKM-VPLWTHEFSA-N -1 1 347.419 -0.388 20 0 EBADMM C[C@@H](C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1)c1cncnc1 ZINC001008296054 810126522 /nfs/dbraw/zinc/12/65/22/810126522.db2.gz WGWJKPOZRLYLLK-YPMHNXCESA-N -1 1 345.407 -0.217 20 0 EBADMM CC1(C)CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)[C@@H]1CNC(=O)N1 ZINC001008514234 810181501 /nfs/dbraw/zinc/18/15/01/810181501.db2.gz GCHVCAXENNEUFV-ONGXEEELSA-N -1 1 347.375 -0.565 20 0 EBADMM C[C@@H]1CN(C(=O)COCc2ccnn2C)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001214944335 810293413 /nfs/dbraw/zinc/29/34/13/810293413.db2.gz QOVFLYMHZZCSOG-ZYHUDNBSSA-N -1 1 349.395 -0.603 20 0 EBADMM CCN(C)C(=O)CN1C[C@H]2OCCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC001217675570 810449961 /nfs/dbraw/zinc/44/99/61/810449961.db2.gz VHMILXBCBDKGEW-GXTWGEPZSA-N -1 1 348.403 -0.209 20 0 EBADMM CC[C@@H](C)CC(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21 ZINC001217736770 810458349 /nfs/dbraw/zinc/45/83/49/810458349.db2.gz KOIXWNCPKSQSQI-FRRDWIJNSA-N -1 1 337.424 -0.044 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@@H]3CCn4cncc4C3)C2)nc1=O ZINC001014985138 810458537 /nfs/dbraw/zinc/45/85/37/810458537.db2.gz WFZTYQGRDYFENT-VXGBXAGGSA-N -1 1 345.407 -0.742 20 0 EBADMM Cc1nc([C@@H](C)N2CC[C@@H](NC(=O)c3cnc([O-])n(C)c3=O)C2)n[nH]1 ZINC001015487257 810490149 /nfs/dbraw/zinc/49/01/49/810490149.db2.gz KNEYEOBXINXKQK-PSASIEDQSA-N -1 1 347.379 -0.522 20 0 EBADMM CC[C@@H](CN1CC[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1)OC ZINC001015865024 810604528 /nfs/dbraw/zinc/60/45/28/810604528.db2.gz OCMBSIWATWKPJE-RYUDHWBXSA-N -1 1 348.407 -0.313 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ccc4nccn4c3)C2)nc1=O ZINC001015972788 810636296 /nfs/dbraw/zinc/63/62/96/810636296.db2.gz OAYOUJJZMOKCKD-LBPRGKRZSA-N -1 1 341.375 -0.240 20 0 EBADMM Cc1nonc1CN1CC[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001015991172 810644860 /nfs/dbraw/zinc/64/48/60/810644860.db2.gz BBBVNBZOUYFCHX-SECBINFHSA-N -1 1 344.335 -0.887 20 0 EBADMM Cc1nc([C@@H](C)N2CC[C@@H](NC(=O)Cn3c(=O)[n-][nH]c3=O)C2)n[nH]1 ZINC001016110641 810668209 /nfs/dbraw/zinc/66/82/09/810668209.db2.gz LOWIWXOCAPJJAV-VXNVDRBHSA-N -1 1 336.356 -0.932 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cncnc3C3CC3)C2)nc1=O ZINC001016145986 810679877 /nfs/dbraw/zinc/67/98/77/810679877.db2.gz WDTJEXQFESASKU-LLVKDONJSA-N -1 1 343.391 -0.220 20 0 EBADMM Cc1cc(=O)[nH]c(C)c1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001016313633 810721173 /nfs/dbraw/zinc/72/11/73/810721173.db2.gz LXHXDMXQBVFDLD-LLVKDONJSA-N -1 1 346.391 -0.170 20 0 EBADMM CC[C@@H](C)NC(=O)CN1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001219495687 810721404 /nfs/dbraw/zinc/72/14/04/810721404.db2.gz LTLCURTYPXEPMU-WZRBSPASSA-N -1 1 336.392 -0.523 20 0 EBADMM Cc1nc(C)c(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)[nH]1 ZINC001035516816 810871809 /nfs/dbraw/zinc/87/18/09/810871809.db2.gz WJXYUMMHRQFAJM-LLVKDONJSA-N -1 1 349.395 -0.921 20 0 EBADMM CCCC[C@](C)(F)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001219913127 810905760 /nfs/dbraw/zinc/90/57/60/810905760.db2.gz FBRPMYRKYCPOGU-ZETOZRRWSA-N -1 1 343.403 -0.312 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)C2=COCCO2)CC3)nc1=O ZINC001035643131 810977808 /nfs/dbraw/zinc/97/78/08/810977808.db2.gz YWAHKIUZXZWYHY-UHFFFAOYSA-N -1 1 349.391 -0.579 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)[C@]24C[C@H]2COC4)CC3)nc1=O ZINC001035710276 810998771 /nfs/dbraw/zinc/99/87/71/810998771.db2.gz FUHSROFIFGJLDN-SJCJKPOMSA-N -1 1 347.419 -0.431 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)[C@H]2[C@@H]4COC[C@@H]42)CC3)nc1=O ZINC001035773597 811019990 /nfs/dbraw/zinc/01/99/90/811019990.db2.gz YJSVSUJLDLZOOE-IMRBUKKESA-N -1 1 347.419 -0.575 20 0 EBADMM C[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC[C@H]1NCc1ncnn1C ZINC001036091840 811094514 /nfs/dbraw/zinc/09/45/14/811094514.db2.gz FSZUOWXGHJRMFS-RKDXNWHRSA-N -1 1 348.367 -0.948 20 0 EBADMM C[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC[C@H]1NCc1ncnn1C ZINC001036091835 811094561 /nfs/dbraw/zinc/09/45/61/811094561.db2.gz FSZUOWXGHJRMFS-DTWKUNHWSA-N -1 1 348.367 -0.948 20 0 EBADMM C[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC[C@@H]1NCc1ncccn1 ZINC001036092613 811096246 /nfs/dbraw/zinc/09/62/46/811096246.db2.gz IVHSCOAXSWTQBC-UWVGGRQHSA-N -1 1 345.363 -0.287 20 0 EBADMM C[C@@H]1CCCN(C(=O)CS(C)(=O)=O)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036520790 811168204 /nfs/dbraw/zinc/16/82/04/811168204.db2.gz GEYOQNSCUMSFNW-ZJUUUORDSA-N -1 1 345.425 -0.728 20 0 EBADMM CC[C@@H](C)CCC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001220593742 811170404 /nfs/dbraw/zinc/17/04/04/811170404.db2.gz DAYWRARVWCEWLG-UTUOFQBUSA-N -1 1 325.413 -0.404 20 0 EBADMM Cc1c(C(=O)N2CCC[C@H](C)[C@@H]2CNCc2n[nH]c(=O)[n-]2)nnn1C ZINC001036530010 811171477 /nfs/dbraw/zinc/17/14/77/811171477.db2.gz QQAJIOXQXLVFEJ-ONGXEEELSA-N -1 1 348.411 -0.022 20 0 EBADMM Cc1c(C(=O)N2CCC[C@@H](C)[C@H]2CNCc2n[nH]c(=O)[n-]2)nnn1C ZINC001036530009 811171656 /nfs/dbraw/zinc/17/16/56/811171656.db2.gz QQAJIOXQXLVFEJ-MWLCHTKSSA-N -1 1 348.411 -0.022 20 0 EBADMM C[C@@H]1CCCN(C(=O)c2ncn(C)n2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036544079 811172537 /nfs/dbraw/zinc/17/25/37/811172537.db2.gz AXMZSZFTRKNRKS-NXEZZACHSA-N -1 1 334.384 -0.331 20 0 EBADMM C[C@H]1CCCN(C(=O)c2cnnn2C)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036545136 811172608 /nfs/dbraw/zinc/17/26/08/811172608.db2.gz POHAPYZPXGFMTN-UWVGGRQHSA-N -1 1 334.384 -0.331 20 0 EBADMM CC(C)C[C@@H](C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001220628644 811180578 /nfs/dbraw/zinc/18/05/78/811180578.db2.gz HOIUBGXTUYTKTG-UTUOFQBUSA-N -1 1 325.413 -0.548 20 0 EBADMM O=C(CCn1cncn1)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036644517 811194239 /nfs/dbraw/zinc/19/42/39/811194239.db2.gz XGGJMYHFNSELFQ-RYUDHWBXSA-N -1 1 346.395 -0.385 20 0 EBADMM CCn1ncc(C(=O)N2CC[C@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001036710833 811222137 /nfs/dbraw/zinc/22/21/37/811222137.db2.gz QSVFYLYBGWASQT-PWSUYJOCSA-N -1 1 346.395 -0.142 20 0 EBADMM O=C(Cc1cnc[nH]1)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036829988 811278087 /nfs/dbraw/zinc/27/80/87/811278087.db2.gz NISPMMFCUBDZDB-JQWIXIFHSA-N -1 1 331.380 -0.101 20 0 EBADMM O=C(Cn1ccnc1)N1CC[C@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036910281 811311789 /nfs/dbraw/zinc/31/17/89/811311789.db2.gz RBIWSRKHPAJNNJ-RYUDHWBXSA-N -1 1 331.380 -0.170 20 0 EBADMM O=C(Cn1ccnc1)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036910279 811312471 /nfs/dbraw/zinc/31/24/71/811312471.db2.gz RBIWSRKHPAJNNJ-NEPJUHHUSA-N -1 1 331.380 -0.170 20 0 EBADMM Cn1nnc(CNC[C@H]2CCC[C@@H]2NC(=O)c2ncccc2[O-])n1 ZINC001036991692 811326575 /nfs/dbraw/zinc/32/65/75/811326575.db2.gz YMZCSCCUYRSSSV-MNOVXSKESA-N -1 1 331.380 -0.001 20 0 EBADMM Cc1nnc(CNC[C@@H]2CCC[C@@H]2NC(=O)c2n[nH]c(=O)[n-]c2=O)o1 ZINC001037038277 811346881 /nfs/dbraw/zinc/34/68/81/811346881.db2.gz KTWJFZOEERCOLI-IUCAKERBSA-N -1 1 349.351 -0.337 20 0 EBADMM O=C(N[C@H]1CCC[C@H]1CNCc1cnon1)c1n[nH]c(=O)[n-]c1=O ZINC001037038776 811347978 /nfs/dbraw/zinc/34/79/78/811347978.db2.gz UIUZRCGLOSGZEV-CBAPKCEASA-N -1 1 335.324 -0.646 20 0 EBADMM COCCN1C[C@@H](NC(=O)C(C)(C)[N-]C(=O)C(F)(F)F)[C@@H](O)C1 ZINC001221056823 811355735 /nfs/dbraw/zinc/35/57/35/811355735.db2.gz JIKBVEHTWVAOSI-BDAKNGLRSA-N -1 1 341.330 -0.749 20 0 EBADMM CCO[C@@H](CC)C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001221372190 811447890 /nfs/dbraw/zinc/44/78/90/811447890.db2.gz KCJYZMCBFYESAT-UPJWGTAASA-N -1 1 337.424 -0.044 20 0 EBADMM C[C@@H](OCC1CC1)C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001221417152 811452737 /nfs/dbraw/zinc/45/27/37/811452737.db2.gz KUFQXVQTONMWMI-MRVWCRGKSA-N -1 1 349.435 -0.044 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cncc(F)c1 ZINC001079553852 811589741 /nfs/dbraw/zinc/58/97/41/811589741.db2.gz DLJCXHNKIMEATR-BXKDBHETSA-N -1 1 334.355 -0.107 20 0 EBADMM CCO[C@H](C)C(=O)N1CC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1 ZINC001222314614 811618161 /nfs/dbraw/zinc/61/81/61/811618161.db2.gz UJDKTZULKLSBGJ-UPJWGTAASA-N -1 1 337.424 -0.044 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C1(F)CCOCC1 ZINC001079823088 811743830 /nfs/dbraw/zinc/74/38/30/811743830.db2.gz ZFMMXUAEFAYMJK-GHMZBOCLSA-N -1 1 341.387 -0.436 20 0 EBADMM C=CS(=O)(=O)CC(CS(=O)(=O)C=C)OCC[P@@](=O)([O-])O ZINC001224607756 811767615 /nfs/dbraw/zinc/76/76/15/811767615.db2.gz VDQPOUYBENZRCS-UHFFFAOYSA-N -1 1 348.335 -0.334 20 0 EBADMM Cn1[n-]c(CN2CCC(CNC(=O)Cc3ncc[nH]3)CC2)nc1=O ZINC001224958541 811786342 /nfs/dbraw/zinc/78/63/42/811786342.db2.gz WYZAUOAZKZWXCR-UHFFFAOYSA-N -1 1 333.396 -0.598 20 0 EBADMM CCN(C(=O)CNC(=O)NC)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079918744 811792933 /nfs/dbraw/zinc/79/29/33/811792933.db2.gz CWIVGPFVFOBREN-UHFFFAOYSA-N -1 1 335.364 -0.611 20 0 EBADMM CCNC(=O)CCC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001225329494 811802353 /nfs/dbraw/zinc/80/23/53/811802353.db2.gz YQBBZNCBEHYISI-GHMZBOCLSA-N -1 1 338.412 -0.056 20 0 EBADMM COc1cnc(=S)[n-]c1O[C@@H]1CO[C@H]2[C@@H]1OC[C@@H]2O[N+](=O)[O-] ZINC001226243270 811854157 /nfs/dbraw/zinc/85/41/57/811854157.db2.gz ZZCRWLZXKNXYNE-BZNPZCIMSA-N -1 1 331.306 -0.104 20 0 EBADMM O=c1ncc(I)c(OC2CS(=O)(=O)C2)[n-]1 ZINC001226771909 811884938 /nfs/dbraw/zinc/88/49/38/811884938.db2.gz AMJPMPUTAZTOKV-UHFFFAOYSA-N -1 1 342.114 -0.037 20 0 EBADMM CCN(C(=O)CCn1cc[n-]c(=O)c1=O)C1CN(C(=O)[C@@H]2C[C@H]2C)C1 ZINC001080100137 811898095 /nfs/dbraw/zinc/89/80/95/811898095.db2.gz NQAJXRDUZHUUTC-DGCLKSJQSA-N -1 1 348.403 -0.358 20 0 EBADMM CCN(C(=O)CCn1cc[n-]c(=O)c1=O)C1CN(C(=O)CC2CC2)C1 ZINC001080100269 811898105 /nfs/dbraw/zinc/89/81/05/811898105.db2.gz UDIPAFIWTIVVCB-UHFFFAOYSA-N -1 1 348.403 -0.214 20 0 EBADMM CCN(C(=O)[C@@]1(C)CNC(=O)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001080121838 811906356 /nfs/dbraw/zinc/90/63/56/811906356.db2.gz NIIHHAYRUUVGFL-QGZVFWFLSA-N -1 1 346.387 -0.014 20 0 EBADMM COC[C@H](OC)C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001228485405 811983785 /nfs/dbraw/zinc/98/37/85/811983785.db2.gz DMNZOSJHAKODNQ-MXWKQRLJSA-N -1 1 327.385 -0.311 20 0 EBADMM CCCn1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)cn1 ZINC001080535641 812049920 /nfs/dbraw/zinc/04/99/20/812049920.db2.gz WVCASVBPRWNPNT-DGCLKSJQSA-N -1 1 347.423 -0.035 20 0 EBADMM CCN(C(=O)[C@]1(C)CCNC1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001080553051 812057022 /nfs/dbraw/zinc/05/70/22/812057022.db2.gz DSZYXISRNOSUEG-QGZVFWFLSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)CCCn3cncn3)CC2)nc1=O ZINC001229916330 812062812 /nfs/dbraw/zinc/06/28/12/812062812.db2.gz QNPADUJJFUICON-UHFFFAOYSA-N -1 1 348.411 -0.739 20 0 EBADMM CCOCCOCC(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001230377938 812110287 /nfs/dbraw/zinc/11/02/87/812110287.db2.gz DNUODMRZZDFPOF-UHFFFAOYSA-N -1 1 341.412 -0.758 20 0 EBADMM CCn1cnc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)c1 ZINC001080793891 812240119 /nfs/dbraw/zinc/24/01/19/812240119.db2.gz JHELTMKOHBDRMM-GHMZBOCLSA-N -1 1 333.396 -0.425 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)CC[C@@H]1CCOC1 ZINC001233580818 812318695 /nfs/dbraw/zinc/31/86/95/812318695.db2.gz NUJHRCGXXKCUGB-OLZOCXBDSA-N -1 1 337.424 -0.042 20 0 EBADMM COC(=O)c1nc[n-]c(=O)c1O[C@@H]1CO[C@H]2[C@@H]1OC[C@@H]2O[N+](=O)[O-] ZINC001233758220 812339826 /nfs/dbraw/zinc/33/98/26/812339826.db2.gz JGCPFOFEDINHRA-HSLWUYEYSA-N -1 1 343.248 -0.909 20 0 EBADMM CNC(=O)CCCC(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001233836611 812353043 /nfs/dbraw/zinc/35/30/43/812353043.db2.gz HHKFHFRQLGZJQO-NSHDSACASA-N -1 1 338.412 -0.943 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)Cc1ccn(C)n1 ZINC001234224214 812396930 /nfs/dbraw/zinc/39/69/30/812396930.db2.gz GYZVHFUEABBIBR-LBPRGKRZSA-N -1 1 333.396 -0.883 20 0 EBADMM NC(=O)c1nc[n-]c(=O)c1O[C@@H]1CC(=O)N(Cc2ccccc2)C1=O ZINC001234467585 812429328 /nfs/dbraw/zinc/42/93/28/812429328.db2.gz MSHIOYDGRBDCPU-SNVBAGLBSA-N -1 1 342.311 -0.012 20 0 EBADMM COC(=O)C[C@H](Oc1c(C(N)=O)nc(C(C)C)[n-]c1=O)C(=O)OC ZINC001234563445 812438377 /nfs/dbraw/zinc/43/83/77/812438377.db2.gz YKDGKNQSWCXLQM-ZETCQYMHSA-N -1 1 341.320 -0.112 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)CCc1ccnn1C ZINC001234644681 812446674 /nfs/dbraw/zinc/44/66/74/812446674.db2.gz WPLMZTGMROGOQK-CYBMUJFWSA-N -1 1 347.423 -0.493 20 0 EBADMM CCc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)nn1C ZINC001081049911 812458593 /nfs/dbraw/zinc/45/85/93/812458593.db2.gz NMRSXBWNGPMFFP-ZWNOBZJWSA-N -1 1 347.423 -0.345 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C)c1cnn(C)c1 ZINC001081075838 812463758 /nfs/dbraw/zinc/46/37/58/812463758.db2.gz IJYFJRSBKSFLPL-NTZNESFSSA-N -1 1 347.423 -0.418 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ccccn1 ZINC001081275015 812648945 /nfs/dbraw/zinc/64/89/45/812648945.db2.gz BXTWNXLDUKXASM-VXGBXAGGSA-N -1 1 332.364 -0.867 20 0 EBADMM C[C@H]1[C@H](NC2CCN(c3ncccn3)CC2)C(=O)N1S(=O)(=O)[O-] ZINC001256047072 812725833 /nfs/dbraw/zinc/72/58/33/812725833.db2.gz XVSBQIXAMUNBQF-ONGXEEELSA-N -1 1 341.393 -0.563 20 0 EBADMM COC(=O)CCS(=O)(=O)CC[C@@H](C)[NH2+]CCP(=O)([O-])[O-] ZINC001257917173 812773289 /nfs/dbraw/zinc/77/32/89/812773289.db2.gz DKKYNNKNQFPUNQ-SECBINFHSA-N -1 1 331.327 -0.490 20 0 EBADMM Cc1nn(C)c(Cl)c1S(=O)(=O)[N-][C@@H]1C=CS(=O)(=O)C1 ZINC001258452666 812793581 /nfs/dbraw/zinc/79/35/81/812793581.db2.gz MCBTZSDFBPRCSU-SSDOTTSWSA-N -1 1 325.799 -0.029 20 0 EBADMM COC(=O)[C@@H](CC(N)=O)[N-]S(=O)(=O)Cc1ccc(OC)cc1 ZINC001259905619 812814781 /nfs/dbraw/zinc/81/47/81/812814781.db2.gz VVCKBLHCSYPREX-LLVKDONJSA-N -1 1 330.362 -0.468 20 0 EBADMM O=C(Cc1cc[nH]n1)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001081368424 812819177 /nfs/dbraw/zinc/81/91/77/812819177.db2.gz NNZRBBZNKKHEIO-GWCFXTLKSA-N -1 1 345.359 -0.698 20 0 EBADMM CCOc1ccc(NC(=O)C(=O)NN2CC(=O)[N-]C2=O)cc1OC ZINC001260404714 812833077 /nfs/dbraw/zinc/83/30/77/812833077.db2.gz YAJSZEJRUHPVIP-UHFFFAOYSA-N -1 1 336.304 -0.385 20 0 EBADMM CS(=O)(=O)CS(=O)(=O)[N-]c1ccnc(N2CCOCC2)c1 ZINC001260584718 812837326 /nfs/dbraw/zinc/83/73/26/812837326.db2.gz UZJZXPLOZRSZLJ-UHFFFAOYSA-N -1 1 335.407 -0.338 20 0 EBADMM COc1ccc(S(=O)(=O)Nc2c([O-])[nH]c(=O)[nH]c2=S)cc1F ZINC001260665127 812845698 /nfs/dbraw/zinc/84/56/98/812845698.db2.gz HBWRLBKRGLBMLX-QMMMGPOBSA-N -1 1 347.349 -0.352 20 0 EBADMM CCN1C(=S)N=NC1CCNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001261369972 812900060 /nfs/dbraw/zinc/90/00/60/812900060.db2.gz TZZNNTAWIHBWCO-UHFFFAOYSA-N -1 1 340.365 -0.231 20 0 EBADMM O=C(CC1(O)CCC1)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001081466669 813002716 /nfs/dbraw/zinc/00/27/16/813002716.db2.gz WPCDYWDOEBKQKT-WCQYABFASA-N -1 1 349.387 -0.359 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ocnc1C ZINC001081492797 813056300 /nfs/dbraw/zinc/05/63/00/813056300.db2.gz AQOKWJNQAALWPY-NXEZZACHSA-N -1 1 336.352 -0.966 20 0 EBADMM CCCNC(=O)[C@@H](C)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001262732244 813101200 /nfs/dbraw/zinc/10/12/00/813101200.db2.gz ZVCINSVBDJSZPM-SECBINFHSA-N -1 1 332.364 -0.689 20 0 EBADMM CC(C)CC(=O)N1CCN(C(=O)[C@@]2(C(=O)[O-])CNCCO2)CC1 ZINC001263070350 813106576 /nfs/dbraw/zinc/10/65/76/813106576.db2.gz TYYYKEWGCNJAOF-OAHLLOKOSA-N -1 1 327.381 -0.854 20 0 EBADMM CN(CCc1ccc2c(c1)OCO2)C(=O)[C@@]1(C(=O)[O-])CNCCO1 ZINC001263185045 813109170 /nfs/dbraw/zinc/10/91/70/813109170.db2.gz NTGFUIRTOZKREI-MRXNPFEDSA-N -1 1 336.344 -0.141 20 0 EBADMM Cc1[nH]nc(C(=O)N(C)CCOCCN(C)[C@@H]2CCNC2=O)c1[O-] ZINC001264662436 813194606 /nfs/dbraw/zinc/19/46/06/813194606.db2.gz GCXTXDSNVNFOPB-LLVKDONJSA-N -1 1 339.396 -0.667 20 0 EBADMM CO[C@@H]1CN(Cc2nnc(C)[nH]2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001081578788 813226677 /nfs/dbraw/zinc/22/66/77/813226677.db2.gz WUXOBZGQYMRPHB-ZYHUDNBSSA-N -1 1 332.364 -0.157 20 0 EBADMM CO[C@@H]1CN(Cc2nncn2C)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001081578417 813226789 /nfs/dbraw/zinc/22/67/89/813226789.db2.gz CNUOLCJTTXRHNU-ZYHUDNBSSA-N -1 1 332.364 -0.455 20 0 EBADMM CO[C@@H]1CN([C@@H](C)c2nnnn2C)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001081578611 813226941 /nfs/dbraw/zinc/22/69/41/813226941.db2.gz MRIYUXVMOAPGTQ-HOSYDEDBSA-N -1 1 347.379 -0.499 20 0 EBADMM CCN(CCCNC(=O)[C@@H]1CC(=O)N(CC)C1)Cc1n[nH]c(=O)[n-]1 ZINC001265115886 813240444 /nfs/dbraw/zinc/24/04/44/813240444.db2.gz KGOFHMUVAHOMNN-LLVKDONJSA-N -1 1 338.412 -0.293 20 0 EBADMM CCN(CCCNC(=O)[C@H]1CCN(C)C(=O)C1)Cc1n[nH]c(=O)[n-]1 ZINC001265119253 813241833 /nfs/dbraw/zinc/24/18/33/813241833.db2.gz AHKSMBDRRCZUGS-NSHDSACASA-N -1 1 338.412 -0.293 20 0 EBADMM Cn1ccc(CN2CC[C@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)n1 ZINC001265307630 813298673 /nfs/dbraw/zinc/29/86/73/813298673.db2.gz CQPGROYHVSNCFN-LBPRGKRZSA-N -1 1 346.391 -0.949 20 0 EBADMM Cc1ncc(CN2CC[C@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)o1 ZINC001265308020 813299058 /nfs/dbraw/zinc/29/90/58/813299058.db2.gz KLKLFEKEDAZTBN-LBPRGKRZSA-N -1 1 347.375 -0.386 20 0 EBADMM CCN(CCCNC(=O)c1ccn2nnnc2c1)Cc1n[nH]c(=O)[n-]1 ZINC001266070388 813449658 /nfs/dbraw/zinc/44/96/58/813449658.db2.gz RYCYPULESFNFMI-UHFFFAOYSA-N -1 1 345.367 -0.410 20 0 EBADMM O=C(NCCC[C@H]1CCCN1Cc1n[nH]c(=O)[n-]1)[C@H]1COCCO1 ZINC001266202252 813477362 /nfs/dbraw/zinc/47/73/62/813477362.db2.gz VIJNRTNZHXABSX-NWDGAFQWSA-N -1 1 339.396 -0.214 20 0 EBADMM CCn1ccc(CN(C)CCNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001266340470 813537776 /nfs/dbraw/zinc/53/77/76/813537776.db2.gz ZRTFLPKAONJEGU-UHFFFAOYSA-N -1 1 348.407 -0.609 20 0 EBADMM CN(CCNC(=O)c1ccc2nccn2c1)Cc1nc(=O)n(C)[n-]1 ZINC001266347219 813542191 /nfs/dbraw/zinc/54/21/91/813542191.db2.gz IMVAJPGPKVBNKX-UHFFFAOYSA-N -1 1 329.364 -0.382 20 0 EBADMM CN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)Cc1cnns1 ZINC001267221401 813793005 /nfs/dbraw/zinc/79/30/05/813793005.db2.gz GVKMRPKKZXPJKM-UHFFFAOYSA-N -1 1 338.393 -0.974 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)CCNCc1ncc(C)cn1 ZINC001267456500 813902191 /nfs/dbraw/zinc/90/21/91/813902191.db2.gz ZNEOLHDBMHETIV-UHFFFAOYSA-N -1 1 348.363 -0.143 20 0 EBADMM C[C@@H]1CN(C(=O)C[C@H]2CCC(=O)NC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082555089 813965803 /nfs/dbraw/zinc/96/58/03/813965803.db2.gz RIFYPYGFSDFTPY-GMTAPVOTSA-N -1 1 336.396 -0.637 20 0 EBADMM CCN1CCOC[C@H]1C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001082608208 813978332 /nfs/dbraw/zinc/97/83/32/813978332.db2.gz MYSKOVCYDKLYMK-UTUOFQBUSA-N -1 1 338.412 -0.833 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2CCNC(=O)CC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082665398 813988353 /nfs/dbraw/zinc/98/83/53/813988353.db2.gz KTWFXBJWLFLSFU-GMTAPVOTSA-N -1 1 336.396 -0.637 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)C(C)(F)F)[C@H]3C2)nc1=O ZINC001082977085 814037591 /nfs/dbraw/zinc/03/75/91/814037591.db2.gz QSBBVIMMWLQZLN-DTWKUNHWSA-N -1 1 331.323 -0.825 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)c4cccnc4)[C@H]3C2)nc1=O ZINC001082980474 814039448 /nfs/dbraw/zinc/03/94/48/814039448.db2.gz BXVFWUOYTCYIGX-QWHCGFSZSA-N -1 1 344.375 -0.771 20 0 EBADMM Cc1occc1C(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21 ZINC001082994526 814045438 /nfs/dbraw/zinc/04/54/38/814045438.db2.gz PXXHLAGRLIITCU-QWHCGFSZSA-N -1 1 347.375 -0.265 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)[C@@H]4CC=CCC4)[C@H]3C2)nc1=O ZINC001082996938 814047578 /nfs/dbraw/zinc/04/75/78/814047578.db2.gz DYMBVADENYISLI-HZSPNIEDSA-N -1 1 347.419 -0.124 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N1CCC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001267875329 814060368 /nfs/dbraw/zinc/06/03/68/814060368.db2.gz JKAPFMFACZNZLQ-JQWIXIFHSA-N -1 1 338.412 -0.247 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)C4CC(C)(C)C4)[C@H]3C2)nc1=O ZINC001083070085 814108032 /nfs/dbraw/zinc/10/80/32/814108032.db2.gz HTFOBWFQWQBBAZ-QWHCGFSZSA-N -1 1 349.435 -0.044 20 0 EBADMM O=C(CCc1cnc[nH]1)N1CCO[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@@H]21 ZINC001083101101 814129952 /nfs/dbraw/zinc/12/99/52/814129952.db2.gz OKALQIPHGYJUOF-NWDGAFQWSA-N -1 1 347.379 -0.722 20 0 EBADMM CN(CCN(C)C(=O)c1cn2ccccc2n1)Cc1nc(=O)n(C)[n-]1 ZINC001268256441 814161225 /nfs/dbraw/zinc/16/12/25/814161225.db2.gz MQIPJWOWACSUBP-UHFFFAOYSA-N -1 1 343.391 -0.040 20 0 EBADMM COc1cc(CNC[C@H](C)NC(=O)c2c[n-]n3c2nccc3=O)on1 ZINC001268707240 814360179 /nfs/dbraw/zinc/36/01/79/814360179.db2.gz MUGADXUQMGTHTO-VIFPVBQESA-N -1 1 346.347 -0.073 20 0 EBADMM C[C@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)c1cccc2ncnn21 ZINC001268736904 814370961 /nfs/dbraw/zinc/37/09/61/814370961.db2.gz GVZQGFKLZAZJLB-SNVBAGLBSA-N -1 1 344.379 -0.599 20 0 EBADMM Cc1ncc(CN2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)cn1 ZINC001083423476 814404338 /nfs/dbraw/zinc/40/43/38/814404338.db2.gz XOOZOPZIIPIYRV-OCCSQVGLSA-N -1 1 329.360 -0.139 20 0 EBADMM CC1=C(C)C[C@@H](C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)CC1 ZINC001083485464 814477707 /nfs/dbraw/zinc/47/77/07/814477707.db2.gz ZTODTEHDALNITF-MJBXVCDLSA-N -1 1 349.435 -0.094 20 0 EBADMM CC(C)COCCC(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001269201329 814579434 /nfs/dbraw/zinc/57/94/34/814579434.db2.gz WSXDRNRCCPYZJY-GFCCVEGCSA-N -1 1 325.413 -0.138 20 0 EBADMM Cn1nnnc1NC(=O)[C@@]12C[C@@H]1CCN2C(=O)c1ncccc1[O-] ZINC001269346862 814651483 /nfs/dbraw/zinc/65/14/83/814651483.db2.gz XYCHHFKWFYFJJP-RMLUDKJBSA-N -1 1 329.320 -0.446 20 0 EBADMM O=C(N[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@@H]1O)c1ccc2cncn2c1 ZINC001083644824 814654817 /nfs/dbraw/zinc/65/48/17/814654817.db2.gz XSXTVGKUQDXQGJ-NEPJUHHUSA-N -1 1 343.347 -0.867 20 0 EBADMM CC[C@H](C(N)=O)N1CC2(CN(C(=O)c3ccc([O-])cn3)C2)OCC1=O ZINC001269355403 814659823 /nfs/dbraw/zinc/65/98/23/814659823.db2.gz YLAQPQDNPWJDTC-GFCCVEGCSA-N -1 1 348.359 -0.896 20 0 EBADMM CC(=O)[C@H](C)N1CC2(CN(C(=O)c3ccc([O-])cn3)C2)OCC1=O ZINC001269354575 814660037 /nfs/dbraw/zinc/66/00/37/814660037.db2.gz NJVAHOJJEOWXCK-JTQLQIEISA-N -1 1 333.344 -0.182 20 0 EBADMM COC(C)(C)CN1CC[C@]2(CCCN2C(=O)Cc2nn[n-]n2)C1=O ZINC001269577642 814735467 /nfs/dbraw/zinc/73/54/67/814735467.db2.gz MDMPPMKAEPIIDS-OAHLLOKOSA-N -1 1 336.396 -0.239 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC[C@]2(CC(=O)N(CC(F)F)C2=O)C1 ZINC001269576991 814735549 /nfs/dbraw/zinc/73/55/49/814735549.db2.gz CWUKABAYCKFRON-ZDUSSCGKSA-N -1 1 342.306 -0.625 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC[C@@]2(CC(=O)N(CC(F)F)C2=O)C1 ZINC001269576990 814735920 /nfs/dbraw/zinc/73/59/20/814735920.db2.gz CWUKABAYCKFRON-CYBMUJFWSA-N -1 1 342.306 -0.625 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@@H]3Cc4ccccc43)[C@@H](O)C2)nc1=O ZINC001083741893 814748482 /nfs/dbraw/zinc/74/84/82/814748482.db2.gz WRWBOWCLZSSSKU-MCIONIFRSA-N -1 1 343.387 -0.890 20 0 EBADMM Cc1ncc(CO)c(C(=O)N2CC[C@@H](C(N)=O)n3nccc3C2)c1[O-] ZINC001269979790 815655251 /nfs/dbraw/zinc/65/52/51/815655251.db2.gz MUAFSKONQCUTMK-LBPRGKRZSA-N -1 1 345.359 -0.143 20 0 EBADMM Cn1ncnc1C(=O)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001270258388 815736286 /nfs/dbraw/zinc/73/62/86/815736286.db2.gz REHRZTWUFUSOFL-WDEREUQCSA-N -1 1 342.359 -0.098 20 0 EBADMM Cc1ccccc1CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001084042664 815788629 /nfs/dbraw/zinc/78/86/29/815788629.db2.gz SYEQWAZFZWCNIF-KGLIPLIRSA-N -1 1 345.403 -0.679 20 0 EBADMM CC1=CC[C@@](C)(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)CC1 ZINC001084080237 815820719 /nfs/dbraw/zinc/82/07/19/815820719.db2.gz FOKBTLYTMHIWMR-IIYDPXPESA-N -1 1 349.435 -0.094 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4ccnc(F)c4)[C@@H]3C2)nc1=O ZINC001084224587 815869549 /nfs/dbraw/zinc/86/95/49/815869549.db2.gz CXEQJNRZMJOERF-VXGBXAGGSA-N -1 1 346.366 -0.011 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)C4=CCOCC4)[C@@H]3C2)nc1=O ZINC001084299436 815885812 /nfs/dbraw/zinc/88/58/12/815885812.db2.gz VJMRDKZDFYTCHL-CHWSQXEVSA-N -1 1 333.392 -0.512 20 0 EBADMM NC(=O)C1=NO[C@@H]2[C@H]1CCC21CCN(C(=O)Cc2nn[n-]n2)CC1 ZINC001270783985 815887172 /nfs/dbraw/zinc/88/71/72/815887172.db2.gz BJSNZOREJILDGS-QPUJVOFHSA-N -1 1 333.352 -0.999 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC2(C1)SCC[C@@H]2C(=O)Nc1cn[nH]c1 ZINC001270784444 815887937 /nfs/dbraw/zinc/88/79/37/815887937.db2.gz JMVCDTPVVWOYKW-SECBINFHSA-N -1 1 348.392 -0.562 20 0 EBADMM Cc1noc(NC(=O)[C@@]23C[C@@H]2CCN3C(=O)Cc2nn[n-]n2)c1C ZINC001270785506 815889144 /nfs/dbraw/zinc/88/91/44/815889144.db2.gz VAQNZMCYHJKHJE-LKFCYVNXSA-N -1 1 331.336 -0.023 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCc2cc(C(=O)Nc3nnco3)[nH]c2C1 ZINC001270785009 815889253 /nfs/dbraw/zinc/88/92/53/815889253.db2.gz PCOSUVCLUDAIEY-UHFFFAOYSA-N -1 1 343.307 -0.710 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCc2[nH]nc(C(=O)Nc3cn[nH]c3)c2C1 ZINC001270785868 815889404 /nfs/dbraw/zinc/88/94/04/815889404.db2.gz XLQYLHTWAJQOOZ-UHFFFAOYSA-N -1 1 342.323 -0.974 20 0 EBADMM CN(C(=O)[C@@]12C[C@@H]1CCN2C(=O)Cc1nn[n-]n1)C1CC(F)(F)C1 ZINC001270788900 815891767 /nfs/dbraw/zinc/89/17/67/815891767.db2.gz JNNZUXACAVIRHM-RMLUDKJBSA-N -1 1 340.334 -0.011 20 0 EBADMM C[C@@H](NC(=O)[C@@H]1CC12CN(C(=O)Cc1nn[n-]n1)C2)c1ncc[nH]1 ZINC001270788621 815891958 /nfs/dbraw/zinc/89/19/58/815891958.db2.gz IAWQGDSZOABUOA-BDAKNGLRSA-N -1 1 330.352 -0.809 20 0 EBADMM C[C@H](NC(=O)[C@H]1CC12CN(C(=O)Cc1nn[n-]n1)C2)c1ncc[nH]1 ZINC001270788622 815892469 /nfs/dbraw/zinc/89/24/69/815892469.db2.gz IAWQGDSZOABUOA-DTWKUNHWSA-N -1 1 330.352 -0.809 20 0 EBADMM O=C(Cc1nn[n-]n1)N1Cc2[nH]nc(C(=O)N3CCCCO3)c2C1 ZINC001270789645 815893844 /nfs/dbraw/zinc/89/38/44/815893844.db2.gz STGHGQCVBLHFGM-UHFFFAOYSA-N -1 1 332.324 -0.825 20 0 EBADMM Cc1ccc(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)nn1 ZINC001084336404 815896342 /nfs/dbraw/zinc/89/63/42/815896342.db2.gz XCHDSMHODKFJKU-DGCLKSJQSA-N -1 1 343.391 -0.447 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4ccsn4)[C@@H]3C2)nc1=O ZINC001084439061 815917669 /nfs/dbraw/zinc/91/76/69/815917669.db2.gz QXRHWZKTQLKUHR-MWLCHTKSSA-N -1 1 334.405 -0.089 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)c1cccn2nnnc12 ZINC001270977621 815941786 /nfs/dbraw/zinc/94/17/86/815941786.db2.gz VVTKTUPYKOJHER-MRVPVSSYSA-N -1 1 331.340 -0.802 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4n[nH]cc4F)[C@@H]3C2)nc1=O ZINC001084641023 815954477 /nfs/dbraw/zinc/95/44/77/815954477.db2.gz XEGZUYCTENDPMF-PSASIEDQSA-N -1 1 335.343 -0.683 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)c1ccc2nncn2c1 ZINC001271136862 815999876 /nfs/dbraw/zinc/99/98/76/815999876.db2.gz KNGSUIFFXHNNOD-VIFPVBQESA-N -1 1 330.352 -0.197 20 0 EBADMM CC(C)[C@@H](O)C(=O)N1CC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1 ZINC001084933736 816003620 /nfs/dbraw/zinc/00/36/20/816003620.db2.gz DRYRNOSFZWSSMD-MBNYWOFBSA-N -1 1 337.424 -0.452 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](O)(CNC(=O)[C@@]3(C)C=CCC3)C2)nc1=O ZINC001271177377 816020446 /nfs/dbraw/zinc/02/04/46/816020446.db2.gz XFARTIHUHROWRF-JKSUJKDBSA-N -1 1 335.408 -0.482 20 0 EBADMM CN1C[C@@H](C(=O)N[C@@H]2CCCC[C@H]2NCc2n[nH]c(=O)[n-]2)CC1=O ZINC001085216186 816030476 /nfs/dbraw/zinc/03/04/76/816030476.db2.gz HYAZPIVGCVJAJG-HBNTYKKESA-N -1 1 336.396 -0.494 20 0 EBADMM O=C(C[C@H]1CCNC1=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001085223185 816031609 /nfs/dbraw/zinc/03/16/09/816031609.db2.gz AVGGMBMIBHAHGV-GMTAPVOTSA-N -1 1 336.396 -0.447 20 0 EBADMM O=C(N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)c1cc2n(n1)CCO2 ZINC001085226716 816031853 /nfs/dbraw/zinc/03/18/53/816031853.db2.gz NSJQGVYHSKWOFF-NXEZZACHSA-N -1 1 347.379 -0.070 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1cc[n+]([O-])cc1 ZINC001085471502 816053035 /nfs/dbraw/zinc/05/30/35/816053035.db2.gz QKMRQNUYPPNYSG-LBPRGKRZSA-N -1 1 332.364 -0.912 20 0 EBADMM Cc1[nH]nc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)c1C ZINC001085522416 816062320 /nfs/dbraw/zinc/06/23/20/816062320.db2.gz MVVOULHFFIRURB-LLVKDONJSA-N -1 1 333.396 -0.205 20 0 EBADMM CN(C[C@@H]1CCN1CCN1CCNC1=O)C(=O)c1ncccc1[O-] ZINC001085561511 816072684 /nfs/dbraw/zinc/07/26/84/816072684.db2.gz NPMRNBFANFJETQ-LBPRGKRZSA-N -1 1 333.392 -0.041 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[nH]1)C(=O)c1ncccc1[O-] ZINC001085562478 816073201 /nfs/dbraw/zinc/07/32/01/816073201.db2.gz MJTLPSXNHOCAGI-JTQLQIEISA-N -1 1 332.364 -0.445 20 0 EBADMM CCn1nncc1C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085581537 816080136 /nfs/dbraw/zinc/08/01/36/816080136.db2.gz NKZKSVVYJKXDRO-SNVBAGLBSA-N -1 1 334.384 -0.934 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1cn2c(n1)CCC2 ZINC001085603733 816085251 /nfs/dbraw/zinc/08/52/51/816085251.db2.gz MYNNZCMBWUXSNQ-NSHDSACASA-N -1 1 345.407 -0.402 20 0 EBADMM COc1ccnc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)c1 ZINC001085758954 816133442 /nfs/dbraw/zinc/13/34/42/816133442.db2.gz VHJQFJNZMSYWKT-LLVKDONJSA-N -1 1 346.391 -0.142 20 0 EBADMM CN1C[C@@]2(CC1=O)COCCN(C(=O)c1c[nH]c(=S)[n-]c1=O)C2 ZINC001271556076 816141413 /nfs/dbraw/zinc/14/14/13/816141413.db2.gz KHMXNIOKTRTTMN-CQSZACIVSA-N -1 1 338.389 -0.208 20 0 EBADMM Cc1ncc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1C ZINC001085783739 816145152 /nfs/dbraw/zinc/14/51/52/816145152.db2.gz CPBRJEHRASIIAS-NSHDSACASA-N -1 1 333.396 -0.503 20 0 EBADMM COc1cccnc1C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085942722 816184302 /nfs/dbraw/zinc/18/43/02/816184302.db2.gz PCLGCHIREQNNDX-LLVKDONJSA-N -1 1 346.391 -0.142 20 0 EBADMM O=C(N[C@@]1(CO)CCC[N@@H+](CCOCCO)C1)c1ncccc1[O-] ZINC001271956937 816271598 /nfs/dbraw/zinc/27/15/98/816271598.db2.gz GXYZFRKQTIDIAF-INIZCTEOSA-N -1 1 339.392 -0.647 20 0 EBADMM CN(CCN(C)C(=O)CCn1cc[n-]c(=O)c1=O)Cc1ccon1 ZINC001272131924 816321574 /nfs/dbraw/zinc/32/15/74/816321574.db2.gz RLGRZSVCSWAFSL-UHFFFAOYSA-N -1 1 335.364 -0.495 20 0 EBADMM Cn1ccc(CN[C@H]2C[C@H](CNC(=O)c3cnc([O-])n(C)c3=O)C2)n1 ZINC001086445754 816337201 /nfs/dbraw/zinc/33/72/01/816337201.db2.gz MZUSYIKSWOOJTA-UMSPYCQHSA-N -1 1 346.391 -0.482 20 0 EBADMM CN(C)C(=O)c1[nH]nc2c1CCN(C(=O)C[N-]C(=O)C(F)(F)F)C2 ZINC001272262716 816375245 /nfs/dbraw/zinc/37/52/45/816375245.db2.gz DEIHTIWMIDRSDZ-UHFFFAOYSA-N -1 1 347.297 -0.325 20 0 EBADMM O=C(NC[C@H]1CC[C@@H](NCc2ncccn2)C1)c1n[nH]c(=O)[n-]c1=O ZINC001086746508 816399224 /nfs/dbraw/zinc/39/92/24/816399224.db2.gz AOKNEIGYBLSPDA-VHSXEESVSA-N -1 1 345.363 -0.239 20 0 EBADMM O=C(NC[C@H](O)CNCc1n[nH]c(=O)[n-]1)c1cccc2ccncc21 ZINC001272554800 816472455 /nfs/dbraw/zinc/47/24/55/816472455.db2.gz XGQZDMSYVMJJQL-LLVKDONJSA-N -1 1 342.359 -0.061 20 0 EBADMM C[C@@H]1CCN(C(=O)[C@H]2C[C@H]2C(N)=O)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087214750 816476443 /nfs/dbraw/zinc/47/64/43/816476443.db2.gz MJINYGYTEOAAKJ-YTWAJWBKSA-N -1 1 336.396 -0.652 20 0 EBADMM Cn1ncnc1C(=O)N1CC2(C1)CN(C(=O)c1cncc([O-])c1)C2 ZINC001272674291 816505623 /nfs/dbraw/zinc/50/56/23/816505623.db2.gz XVUFTNHZIWVUEC-UHFFFAOYSA-N -1 1 328.332 -0.486 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087691519 816550258 /nfs/dbraw/zinc/55/02/58/816550258.db2.gz SNTGJWORUYYYJQ-SVPLCASGSA-N -1 1 335.408 -0.530 20 0 EBADMM CC[C@H](C(N)=O)N1CC2(CN(Cc3ccncc3[O-])C2)OCC1=O ZINC001273080270 816583385 /nfs/dbraw/zinc/58/33/85/816583385.db2.gz NHMTZOWRYIWXFY-GFCCVEGCSA-N -1 1 334.376 -0.536 20 0 EBADMM O=C(CCc1n[nH]c(=O)[n-]c1=O)N1C[C@H]2CCN(CCF)C[C@H]2C1 ZINC001088025192 816607555 /nfs/dbraw/zinc/60/75/55/816607555.db2.gz VCROEQSDJJRIMG-MNOVXSKESA-N -1 1 339.371 -0.035 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4cnco4)C[C@@H]3C2)nc1=O ZINC001088057716 816612957 /nfs/dbraw/zinc/61/29/57/816612957.db2.gz NLURTFPLFVTSLG-MNOVXSKESA-N -1 1 332.364 -0.310 20 0 EBADMM O=C([C@H]1COCCO1)N1CCCCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001088268364 816657382 /nfs/dbraw/zinc/65/73/82/816657382.db2.gz MSFPUKJGLBUNJT-NWDGAFQWSA-N -1 1 339.396 -0.214 20 0 EBADMM NC(=O)C1(C(=O)N2CCCCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001088372759 816674722 /nfs/dbraw/zinc/67/47/22/816674722.db2.gz MTOOAZAIQVVVHS-JTQLQIEISA-N -1 1 336.396 -0.363 20 0 EBADMM C[C@@H](C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C)c1ccnn1C ZINC001088574989 816706423 /nfs/dbraw/zinc/70/64/23/816706423.db2.gz BNVOQNLMANRPEV-UTUOFQBUSA-N -1 1 347.423 -0.275 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cn3c(n2)CCC3)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088576858 816706660 /nfs/dbraw/zinc/70/66/60/816706660.db2.gz NBBLORXPEOZPKL-MNOVXSKESA-N -1 1 345.407 -0.356 20 0 EBADMM Cc1nn(C)c(C)c1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001088781036 816738836 /nfs/dbraw/zinc/73/88/36/816738836.db2.gz OKBORNNXLDIPFR-PWSUYJOCSA-N -1 1 347.423 -0.148 20 0 EBADMM Cc1cc(=O)c(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)c[nH]1 ZINC001088955820 816768056 /nfs/dbraw/zinc/76/80/56/816768056.db2.gz KNCZPRVKJXEGII-PWSUYJOCSA-N -1 1 346.391 -0.502 20 0 EBADMM CC1(C)C[C@@H](NC(=O)Cn2cnnn2)CCN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001089314134 816827916 /nfs/dbraw/zinc/82/79/16/816827916.db2.gz AQFMEGIIPLTDHH-JTQLQIEISA-N -1 1 349.399 -0.696 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ccccc3)[C@@H](O)C2)nc1=O ZINC001090027979 816972923 /nfs/dbraw/zinc/97/29/23/816972923.db2.gz YKGPBOVHGANLCQ-STQMWFEESA-N -1 1 331.376 -0.526 20 0 EBADMM Cc1cccc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c1 ZINC001090038839 816979419 /nfs/dbraw/zinc/97/94/19/816979419.db2.gz QATDNRUGWXRCBF-UONOGXRCSA-N -1 1 345.403 -0.218 20 0 EBADMM Cc1occc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001090055059 816990277 /nfs/dbraw/zinc/99/02/77/816990277.db2.gz OFDOPMYRCGNTES-VXGBXAGGSA-N -1 1 335.364 -0.625 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ccccc3O)[C@@H](O)C2)nc1=O ZINC001090083797 817016402 /nfs/dbraw/zinc/01/64/02/817016402.db2.gz PAVZFFHBQCJRGY-AAEUAGOBSA-N -1 1 347.375 -0.821 20 0 EBADMM Cc1cncc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c1 ZINC001090161390 817083437 /nfs/dbraw/zinc/08/34/37/817083437.db2.gz FWPIBBKPGDZUSD-CHWSQXEVSA-N -1 1 346.391 -0.823 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C3CC(F)(F)C3)[C@H](O)C2)nc1=O ZINC001090183893 817099895 /nfs/dbraw/zinc/09/98/95/817099895.db2.gz YSENZVGQRHVZJX-VHSXEESVSA-N -1 1 345.350 -0.795 20 0 EBADMM O=C(CN1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1)N1CCCC1 ZINC001090213277 817129787 /nfs/dbraw/zinc/12/97/87/817129787.db2.gz IMJFFVPIEHEKBS-GXTWGEPZSA-N -1 1 348.403 -0.425 20 0 EBADMM Cn1ncc(CN2CC[C@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1 ZINC001090215478 817131945 /nfs/dbraw/zinc/13/19/45/817131945.db2.gz FMZAOPULAGMBFK-AAEUAGOBSA-N -1 1 332.364 -0.719 20 0 EBADMM CCn1ncnc1CN1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001090216588 817135344 /nfs/dbraw/zinc/13/53/44/817135344.db2.gz NPDFGZVZUNGMIT-AAEUAGOBSA-N -1 1 346.391 -0.236 20 0 EBADMM Cc1cnccc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001090235074 817164279 /nfs/dbraw/zinc/16/42/79/817164279.db2.gz CPLUUGGKJDKMFX-QWHCGFSZSA-N -1 1 346.391 -0.823 20 0 EBADMM C[C@@H]1Cc2nnc(C(N)=O)n2CCN1C(=O)c1c[nH]c(=S)[n-]c1=O ZINC001275247241 817177062 /nfs/dbraw/zinc/17/70/62/817177062.db2.gz JQFQAEYUJHXVMM-ZCFIWIBFSA-N -1 1 349.376 -0.752 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C3(C)CCCC3)[C@@H](O)C2)nc1=O ZINC001090468999 817443748 /nfs/dbraw/zinc/44/37/48/817443748.db2.gz QFAGRZSJTFJUKE-NEPJUHHUSA-N -1 1 337.424 -0.260 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@H]3C[C@H]4C[C@H]4C3)[C@H](O)C2)nc1=O ZINC001090505973 817490673 /nfs/dbraw/zinc/49/06/73/817490673.db2.gz HYPPGRQOBPLXJX-CKIKVBCHSA-N -1 1 335.408 -0.794 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@H]3C[C@H]4C[C@H]4C3)[C@@H](O)C2)nc1=O ZINC001090505976 817491069 /nfs/dbraw/zinc/49/10/69/817491069.db2.gz HYPPGRQOBPLXJX-SJHCENCUSA-N -1 1 335.408 -0.794 20 0 EBADMM COCCC[C@@H](C)C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001276957766 817501774 /nfs/dbraw/zinc/50/17/74/817501774.db2.gz YHVGILJCEXNODF-VXGBXAGGSA-N -1 1 325.413 -0.138 20 0 EBADMM Cc1ncccc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001090531205 817511694 /nfs/dbraw/zinc/51/16/94/817511694.db2.gz JEXFEQSCVPXLSF-OLZOCXBDSA-N -1 1 346.391 -0.823 20 0 EBADMM CS(=O)(=O)CCNC(=O)[C@@]12C[C@@H]1CCN2Cc1ncccc1[O-] ZINC001277318281 817550653 /nfs/dbraw/zinc/55/06/53/817550653.db2.gz MYAHWUJEPAYRPK-XHDPSFHLSA-N -1 1 339.417 -0.088 20 0 EBADMM CN(C)C(=O)CNC(=O)[C@H]1CCC2(CN(Cc3ncccc3[O-])C2)O1 ZINC001277318987 817551321 /nfs/dbraw/zinc/55/13/21/817551321.db2.gz XYHRJWKZPLONSD-CQSZACIVSA-N -1 1 348.403 -0.275 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)ccn1 ZINC001090654094 817622305 /nfs/dbraw/zinc/62/23/05/817622305.db2.gz FMIQIPAAUWAFPN-QWHCGFSZSA-N -1 1 346.391 -0.823 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)ccn1 ZINC001090654093 817623097 /nfs/dbraw/zinc/62/30/97/817623097.db2.gz FMIQIPAAUWAFPN-OLZOCXBDSA-N -1 1 346.391 -0.823 20 0 EBADMM CC(C)CCN1CCn2c(nnc2C(=O)N=c2nn[n-]n2C)C[C@@H]1C ZINC001278173189 817717088 /nfs/dbraw/zinc/71/70/88/817717088.db2.gz JCMPPJRIWLXUCT-NSHDSACASA-N -1 1 347.427 -0.232 20 0 EBADMM Cn1[n-]nnc1=NC(=O)c1cc2c([nH]1)CN(Cc1cnc[nH]1)CC2 ZINC001278348100 817745358 /nfs/dbraw/zinc/74/53/58/817745358.db2.gz RVROIQMIFCLMAV-UHFFFAOYSA-N -1 1 327.352 -0.506 20 0 EBADMM C[C@H]1C[C@@H](C(=O)N(C)CCOCCNCc2n[nH]c(=O)[n-]2)CCO1 ZINC001279450966 817934164 /nfs/dbraw/zinc/93/41/64/817934164.db2.gz XREIAQULIUGXBM-RYUDHWBXSA-N -1 1 341.412 -0.110 20 0 EBADMM Cc1cc(CC(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)no1 ZINC001279520153 817947027 /nfs/dbraw/zinc/94/70/27/817947027.db2.gz LDDBLMSYUVQELH-UHFFFAOYSA-N -1 1 346.391 -0.104 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@H]1C[C@@H](Nc2ncccn2)C1 ZINC001091355358 817967312 /nfs/dbraw/zinc/96/73/12/817967312.db2.gz RBRUTFVYCRLZCH-TXEJJXNPSA-N -1 1 344.375 -0.276 20 0 EBADMM COC(=O)NCC(=O)N1CC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001279980980 818009868 /nfs/dbraw/zinc/00/98/68/818009868.db2.gz XEDWMPKDBYAKQU-VIFPVBQESA-N -1 1 326.357 -0.806 20 0 EBADMM COC(=O)NCC(=O)N1CC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001279980978 818009968 /nfs/dbraw/zinc/00/99/68/818009968.db2.gz XEDWMPKDBYAKQU-SECBINFHSA-N -1 1 326.357 -0.806 20 0 EBADMM CC(C)C(=O)N1CC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)[C@@H]1C ZINC001281129653 818044793 /nfs/dbraw/zinc/04/47/93/818044793.db2.gz XGHWMXLVMYUPEG-NWDGAFQWSA-N -1 1 336.392 -0.312 20 0 EBADMM Cc1nc(C)c(C)c(NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001093761650 818097287 /nfs/dbraw/zinc/09/72/87/818097287.db2.gz QYMOZMJXPUXADV-UHFFFAOYSA-N -1 1 342.363 -0.025 20 0 EBADMM NC(=O)NCC(=O)N1CC=C(CCNC(=O)c2ncccc2[O-])CC1 ZINC001281514318 818207102 /nfs/dbraw/zinc/20/71/02/818207102.db2.gz GKJLJVWZVULVJB-UHFFFAOYSA-N -1 1 347.375 -0.266 20 0 EBADMM C[C@@H](C(N)=O)N1CC(n2cc(CNC(=O)c3ncccc3[O-])nn2)C1 ZINC001094286571 818207611 /nfs/dbraw/zinc/20/76/11/818207611.db2.gz HKPGKXJIJSDIMB-VIFPVBQESA-N -1 1 345.363 -0.961 20 0 EBADMM CCN1CC(n2cc(CNC(=O)c3[nH]c(=O)[n-]c(=O)c3OC)nn2)C1 ZINC001094333712 818248582 /nfs/dbraw/zinc/24/85/82/818248582.db2.gz DITDXFGDBHYPNW-UHFFFAOYSA-N -1 1 349.351 -0.706 20 0 EBADMM Cc1ccnc(NCCCNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001094502571 818278970 /nfs/dbraw/zinc/27/89/70/818278970.db2.gz QWHOBGRDGMLWOJ-UHFFFAOYSA-N -1 1 328.336 -0.252 20 0 EBADMM COC[C@H](C)N1CC(N2C[C@@H](NC(=O)c3ncccc3[O-])CC2=O)C1 ZINC001094731277 818381947 /nfs/dbraw/zinc/38/19/47/818381947.db2.gz MGYCGMTVSLNDEO-RYUDHWBXSA-N -1 1 348.403 -0.163 20 0 EBADMM COCCCC(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001282413696 818409391 /nfs/dbraw/zinc/40/93/91/818409391.db2.gz FSMBGWREMKURRB-DGCLKSJQSA-N -1 1 337.376 -0.095 20 0 EBADMM O=C(NCCNC(=O)c1cc(=O)n2[n-]cnc2n1)c1ccccc1 ZINC001282892145 818517023 /nfs/dbraw/zinc/51/70/23/818517023.db2.gz VYJNVPPAMXQOAA-UHFFFAOYSA-N -1 1 326.316 -0.423 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNC(=O)[C@@H]1CC=CCC1 ZINC001282905816 818523415 /nfs/dbraw/zinc/52/34/15/818523415.db2.gz QHYJQWQFCJATMN-GFCCVEGCSA-N -1 1 334.376 -0.485 20 0 EBADMM CC1(C)CC(=O)N(CC(=O)NCCNC(=O)c2ncccc2[O-])C1=O ZINC001282982583 818561514 /nfs/dbraw/zinc/56/15/14/818561514.db2.gz VCOAVPLDAHMBDW-UHFFFAOYSA-N -1 1 348.359 -0.582 20 0 EBADMM Cc1cnc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)cn1 ZINC001095133900 818567558 /nfs/dbraw/zinc/56/75/58/818567558.db2.gz SVCUABFUXUDJAV-MDZLAQPJSA-N -1 1 343.391 -0.258 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)C2=CCOCC2)C3)nc1=O ZINC001095345156 818607364 /nfs/dbraw/zinc/60/73/64/818607364.db2.gz HTZLPINZHGCOEV-AGIUHOORSA-N -1 1 333.392 -0.323 20 0 EBADMM CN(CCCNC(=O)c1ncccc1[O-])c1ccc2nnnn2n1 ZINC001095527104 818638879 /nfs/dbraw/zinc/63/88/79/818638879.db2.gz UOMMLSBPLYNLFE-UHFFFAOYSA-N -1 1 328.336 -0.124 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNC(=O)C[C@@H]1CC=CCC1 ZINC001283117255 818659743 /nfs/dbraw/zinc/65/97/43/818659743.db2.gz URDVBNRZSMNKDS-CYBMUJFWSA-N -1 1 348.403 -0.095 20 0 EBADMM CCN(CCCNC(=O)CCn1cc[n-]c(=O)c1=O)c1ncccn1 ZINC001095895158 818705409 /nfs/dbraw/zinc/70/54/09/818705409.db2.gz BTDCJVCFDYMQCG-UHFFFAOYSA-N -1 1 346.391 -0.251 20 0 EBADMM CN(CCCNC(=O)CN1C(=O)CCC1=O)C(=O)c1ncccc1[O-] ZINC001283540327 818846911 /nfs/dbraw/zinc/84/69/11/818846911.db2.gz VDHQLOSVTAOQQH-UHFFFAOYSA-N -1 1 348.359 -0.486 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)c2cc[n+]([O-])cc2)C3)nc1=O ZINC001096762855 818882123 /nfs/dbraw/zinc/88/21/23/818882123.db2.gz YYQCAXDHDQDYGW-UPJWGTAASA-N -1 1 344.375 -0.723 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)Cc2cccnc2)C3)nc1=O ZINC001096956045 818912563 /nfs/dbraw/zinc/91/25/63/818912563.db2.gz ZOXUSAKJFIULMS-MCIONIFRSA-N -1 1 342.403 -0.032 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)c2cncnc2)C3)nc1=O ZINC001096990679 818922273 /nfs/dbraw/zinc/92/22/73/818922273.db2.gz OUSZLXNDRMTJMH-UTUOFQBUSA-N -1 1 329.364 -0.566 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)cnn1 ZINC001097006703 818923174 /nfs/dbraw/zinc/92/31/74/818923174.db2.gz XIUYEJQVBMUERW-UPJWGTAASA-N -1 1 343.391 -0.258 20 0 EBADMM Cc1ccnc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)n1 ZINC001097011080 818924005 /nfs/dbraw/zinc/92/40/05/818924005.db2.gz BZAQZAXOQHCZHR-UTUOFQBUSA-N -1 1 343.391 -0.258 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@@H]2CC2(F)F)C3)nc1=O ZINC001097040970 818932036 /nfs/dbraw/zinc/93/20/36/818932036.db2.gz FNDQIDFMNACKOK-RGOKHQFPSA-N -1 1 327.335 -0.015 20 0 EBADMM Cc1n[nH]cc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001097613644 819008807 /nfs/dbraw/zinc/00/88/07/819008807.db2.gz AUNMGIHGWPPBRM-JLLWLGSASA-N -1 1 331.380 -0.325 20 0 EBADMM C[C@@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)Nc1cnc(F)cn1 ZINC001097953414 819063038 /nfs/dbraw/zinc/06/30/38/819063038.db2.gz BUXCQSCXILVKGA-ZETCQYMHSA-N -1 1 332.299 -0.423 20 0 EBADMM CNC(=O)CC(=O)N1CCC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001284110153 819099828 /nfs/dbraw/zinc/09/98/28/819099828.db2.gz OVIWYVNQMBNOJG-LLVKDONJSA-N -1 1 334.376 -0.108 20 0 EBADMM O=C(c1cc[nH]c1)N1CCC(N(CCO)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001098375980 819157283 /nfs/dbraw/zinc/15/72/83/819157283.db2.gz JVKUKDQXCFYTEF-UHFFFAOYSA-N -1 1 334.380 -0.063 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)c1cc[nH]c1 ZINC001284282270 819165422 /nfs/dbraw/zinc/16/54/22/819165422.db2.gz LVFPQAQYYOUWFI-SNVBAGLBSA-N -1 1 333.348 -0.811 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)c1ccn(C)c1 ZINC001284281943 819165506 /nfs/dbraw/zinc/16/55/06/819165506.db2.gz JIWQTLOEIAAVBY-NSHDSACASA-N -1 1 347.375 -0.800 20 0 EBADMM COCCOCCC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001284347020 819192921 /nfs/dbraw/zinc/19/29/21/819192921.db2.gz CTVGKNHQJLIMQF-LLVKDONJSA-N -1 1 341.412 -0.110 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCC[C@]3(NC(=O)Cc3cc[nH]n3)C2)nc1=O ZINC001098767517 819360361 /nfs/dbraw/zinc/36/03/61/819360361.db2.gz DDPMXQLJFLXDNQ-ZBEGNZNMSA-N -1 1 345.407 -0.455 20 0 EBADMM Cn1cnc(C(=O)N[C@]23CCC[C@H]2CN(Cc2nc(=O)n(C)[n-]2)C3)c1 ZINC001098790757 819368850 /nfs/dbraw/zinc/36/88/50/819368850.db2.gz BGLKKJDRQJBYSJ-ZBEGNZNMSA-N -1 1 345.407 -0.374 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCC[C@]3(NC(=O)c3cnco3)C2)nc1=O ZINC001098964811 819395223 /nfs/dbraw/zinc/39/52/23/819395223.db2.gz LYRUBVBQJOGYNH-BONVTDFDSA-N -1 1 332.364 -0.119 20 0 EBADMM C[C@@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)Nc1ncccn1 ZINC001099313870 819450399 /nfs/dbraw/zinc/45/03/99/819450399.db2.gz ILJQFAJLQJDCOA-VIFPVBQESA-N -1 1 328.336 -0.172 20 0 EBADMM CCSCCC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001099706908 819602798 /nfs/dbraw/zinc/60/27/98/819602798.db2.gz JTLQGORJIMBQAF-WDEREUQCSA-N -1 1 343.453 -0.697 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)CC(F)(F)F)[C@H](O)C2)nc1=O ZINC001099711492 819608403 /nfs/dbraw/zinc/60/84/03/819608403.db2.gz ADUMEIZZCNPAHW-JGVFFNPUSA-N -1 1 337.302 -0.888 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC/C=C/CNC(=O)c1ccco1 ZINC001285460027 819615803 /nfs/dbraw/zinc/61/58/03/819615803.db2.gz OCGMSRKLOKWYGQ-OWOJBTEDSA-N -1 1 346.343 -0.378 20 0 EBADMM CC(C)=C(F)C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001099778610 819686680 /nfs/dbraw/zinc/68/66/80/819686680.db2.gz MRYBRBYQKOMHGP-VHSXEESVSA-N -1 1 327.360 -0.577 20 0 EBADMM O=C(CN1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1)NCC1CC1 ZINC001099782619 819690980 /nfs/dbraw/zinc/69/09/80/819690980.db2.gz DIKBSDOFVMRFBJ-JSGCOSHPSA-N -1 1 348.403 -0.522 20 0 EBADMM CCN(C)C(=O)CN1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001099785923 819697189 /nfs/dbraw/zinc/69/71/89/819697189.db2.gz KEVVPTHKQHWZMF-DGCLKSJQSA-N -1 1 336.392 -0.570 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)[C@H]1CC1(C)C ZINC001285635009 819701804 /nfs/dbraw/zinc/70/18/04/819701804.db2.gz RATHSYGAJGATDX-GHMZBOCLSA-N -1 1 336.392 -0.406 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)N(C)C(=O)[C@H]1CC12CC2 ZINC001285749806 819753012 /nfs/dbraw/zinc/75/30/12/819753012.db2.gz NARSWBMIDMHMDQ-NWDGAFQWSA-N -1 1 348.403 -0.310 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C3(C(F)F)CC3)[C@H](O)C2)nc1=O ZINC001099835357 819762797 /nfs/dbraw/zinc/76/27/97/819762797.db2.gz GOTGHOIKFUXLTQ-RKDXNWHRSA-N -1 1 345.350 -0.795 20 0 EBADMM CC(F)(F)C(=O)NC[C@H]1CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001285824441 819779364 /nfs/dbraw/zinc/77/93/64/819779364.db2.gz QNYRURIKDGJUFT-SECBINFHSA-N -1 1 344.318 -0.701 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)CCCC3CC3)[C@H](O)C2)nc1=O ZINC001099964788 819969057 /nfs/dbraw/zinc/96/90/57/819969057.db2.gz ROFYMHHKRNNKNS-CHWSQXEVSA-N -1 1 337.424 -0.260 20 0 EBADMM CCc1cc(=O)n2nc(NC(=O)c3ccc(=O)n(CC)n3)[n-]c2n1 ZINC001287286017 820021180 /nfs/dbraw/zinc/02/11/80/820021180.db2.gz RXLPGKWCMNFXGA-UHFFFAOYSA-N -1 1 329.320 -0.191 20 0 EBADMM CC(C)CCCC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001100017379 820045473 /nfs/dbraw/zinc/04/54/73/820045473.db2.gz ISNOVVDZAXHPEM-CHWSQXEVSA-N -1 1 339.440 -0.014 20 0 EBADMM CCN(CCNC(=O)c1cc(=O)n2[n-]cnc2n1)c1ncccn1 ZINC001100567396 820476493 /nfs/dbraw/zinc/47/64/93/820476493.db2.gz JYMZDSRVPNFHFY-UHFFFAOYSA-N -1 1 328.336 -0.536 20 0 EBADMM CCN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)c1ccnc(C)n1 ZINC001100572166 820477741 /nfs/dbraw/zinc/47/77/41/820477741.db2.gz RIUBMGVGBCJYMR-UHFFFAOYSA-N -1 1 346.391 -0.332 20 0 EBADMM O=C(C[C@H]1COC(=O)C1)NCCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001292638606 820573905 /nfs/dbraw/zinc/57/39/05/820573905.db2.gz MPYNXLWYOAYOAJ-SECBINFHSA-N -1 1 348.359 -0.141 20 0 EBADMM C[C@]1(C(=O)NCCNC(=O)c2ncccc2[O-])CCCS1(=O)=O ZINC001292665827 820581680 /nfs/dbraw/zinc/58/16/80/820581680.db2.gz BNIFNQQTPBIRQA-CQSZACIVSA-N -1 1 341.389 -0.400 20 0 EBADMM CC(C)S(=O)(=O)CC(=O)NCC1CC(NCc2n[nH]c(=O)[n-]2)C1 ZINC001100993969 820637639 /nfs/dbraw/zinc/63/76/39/820637639.db2.gz GGRFXHURNGMKEQ-UHFFFAOYSA-N -1 1 345.425 -0.682 20 0 EBADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)C1(NC(N)=O)CC1 ZINC001294037783 820859863 /nfs/dbraw/zinc/85/98/63/820859863.db2.gz ZXNSQVDPBIUTRH-UHFFFAOYSA-N -1 1 335.364 -0.434 20 0 EBADMM Cc1ccnc(NCCN(C)C(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001101687065 820879131 /nfs/dbraw/zinc/87/91/31/820879131.db2.gz WNNDZDGWFABUQU-UHFFFAOYSA-N -1 1 332.364 -0.404 20 0 EBADMM CC1(C)C[C@@H]1C(=O)N1CC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001294772772 820995649 /nfs/dbraw/zinc/99/56/49/820995649.db2.gz GMATWSTZLDFAMA-VXGBXAGGSA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)[C@]1(C)CNC(=O)C1 ZINC001102179924 821040583 /nfs/dbraw/zinc/04/05/83/821040583.db2.gz NFUAPPKUKMSSNO-FCHSOHFDSA-N -1 1 336.396 -0.779 20 0 EBADMM C[C@H](CNC(=O)c1ccoc1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001295421511 821092103 /nfs/dbraw/zinc/09/21/03/821092103.db2.gz FEDOBOXMVGCZIT-MRVPVSSYSA-N -1 1 330.304 -0.441 20 0 EBADMM Cc1ocnc1C(=O)NC[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001295435096 821094443 /nfs/dbraw/zinc/09/44/43/821094443.db2.gz UHFMLVCSXDQOOG-VIFPVBQESA-N -1 1 349.347 -0.842 20 0 EBADMM CCNC(=O)CC(=O)NCCN(C)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001296046462 821197793 /nfs/dbraw/zinc/19/77/93/821197793.db2.gz VXHZTPZPXBBCFO-UHFFFAOYSA-N -1 1 349.391 -0.226 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)c1cnnn1C ZINC001102934306 821234348 /nfs/dbraw/zinc/23/43/48/821234348.db2.gz SDXPIYXOIHBZAA-NXEZZACHSA-N -1 1 334.384 -0.473 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)C1(C(N)=O)CC1 ZINC001103388190 821304080 /nfs/dbraw/zinc/30/40/80/821304080.db2.gz HXTMPXIPJPPWJY-NXEZZACHSA-N -1 1 336.396 -0.650 20 0 EBADMM CC/C(C)=C/C(=O)N1CC(NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001297096766 821321096 /nfs/dbraw/zinc/32/10/96/821321096.db2.gz HZXSDPCZKUOSQM-DHZHZOJOSA-N -1 1 334.376 -0.390 20 0 EBADMM COCCOCC(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001104006590 821386345 /nfs/dbraw/zinc/38/63/45/821386345.db2.gz KEGUGGIHGFZISP-VXGBXAGGSA-N -1 1 341.412 -0.253 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)CNC(=O)C1(C)CC1 ZINC001297764792 821413114 /nfs/dbraw/zinc/41/31/14/821413114.db2.gz MKWQZLUHEQVRPR-LLVKDONJSA-N -1 1 336.392 -0.405 20 0 EBADMM Cc1n[nH]nc1C(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001104476830 821500684 /nfs/dbraw/zinc/50/06/84/821500684.db2.gz PLZZIPMZGBCXQQ-PSASIEDQSA-N -1 1 334.384 -0.175 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC/C=C/CNC(=O)c1cocn1 ZINC001298306970 821504843 /nfs/dbraw/zinc/50/48/43/821504843.db2.gz BUBHSZGISNEKPW-OWOJBTEDSA-N -1 1 347.331 -0.983 20 0 EBADMM CC[C@@H](F)C(=O)NCC1(NC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001299219746 821667806 /nfs/dbraw/zinc/66/78/06/821667806.db2.gz NWRGJVYVQRWQOZ-SNVBAGLBSA-N -1 1 340.355 -0.560 20 0 EBADMM CN(CCN(C)c1cnc(F)cn1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001105428313 821749166 /nfs/dbraw/zinc/74/91/66/821749166.db2.gz GZHLIFIMSIUJTG-UHFFFAOYSA-N -1 1 346.326 -0.445 20 0 EBADMM Cn1c2cc(NC(=O)c3cnncc3[O-])ccc2c(=O)n(C)c1=O ZINC001303876999 821760337 /nfs/dbraw/zinc/76/03/37/821760337.db2.gz LHSBYOXJZYYCGK-UHFFFAOYSA-N -1 1 327.300 -0.015 20 0 EBADMM CCN(CCCNC(=O)c1cncc(OC)n1)Cc1n[nH]c(=O)[n-]1 ZINC001316903341 821911396 /nfs/dbraw/zinc/91/13/96/821911396.db2.gz IVQGQPUXYOGHHH-UHFFFAOYSA-N -1 1 335.368 -0.049 20 0 EBADMM Cc1nnc(CC(=O)NCCCN(Cc2n[nH]c(=O)[n-]2)C2CC2)[nH]1 ZINC001316914580 821916112 /nfs/dbraw/zinc/91/61/12/821916112.db2.gz IXPWPOPTPGULKA-UHFFFAOYSA-N -1 1 334.384 -0.350 20 0 EBADMM CS[C@H](C)C(=O)NCCCN1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001316952915 821931588 /nfs/dbraw/zinc/93/15/88/821931588.db2.gz KEALGPTZUXGYNS-LLVKDONJSA-N -1 1 342.469 -0.114 20 0 EBADMM CCOCCOCC(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001316953709 821932647 /nfs/dbraw/zinc/93/26/47/821932647.db2.gz NHYYUCNNNDNUHY-LBPRGKRZSA-N -1 1 341.412 -0.758 20 0 EBADMM C[C@H](CNC(=O)c1ccnc(-n2cccn2)c1)NCc1n[nH]c(=O)[n-]1 ZINC001317266872 822055002 /nfs/dbraw/zinc/05/50/02/822055002.db2.gz XZVZESUSCOLSAH-SNVBAGLBSA-N -1 1 342.363 -0.001 20 0 EBADMM C[C@H](CCNc1ncccn1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001106378880 822259601 /nfs/dbraw/zinc/25/96/01/822259601.db2.gz IPIMGCOQHUORQZ-LLVKDONJSA-N -1 1 332.364 -0.276 20 0 EBADMM C[C@H](CCNc1cc(F)ncn1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001106383404 822260986 /nfs/dbraw/zinc/26/09/86/822260986.db2.gz SVGRTIOIXLFSJD-MRVPVSSYSA-N -1 1 346.326 -0.033 20 0 EBADMM CNC(=O)CCCCC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001318309310 822290724 /nfs/dbraw/zinc/29/07/24/822290724.db2.gz LCKRLNHIKUPYKI-NSHDSACASA-N -1 1 338.412 -0.895 20 0 EBADMM CC[C@H](CNC(=O)c1cnc2cccnn21)NCc1n[nH]c(=O)[n-]1 ZINC001318581368 822338431 /nfs/dbraw/zinc/33/84/31/822338431.db2.gz ISODDHBNXCSJQW-SECBINFHSA-N -1 1 330.352 -0.149 20 0 EBADMM CCCCC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1n1ccnn1 ZINC001128719829 828515930 /nfs/dbraw/zinc/51/59/30/828515930.db2.gz RYSULJZKFODZGM-NEPJUHHUSA-N -1 1 348.411 -0.568 20 0 EBADMM COCCCC(=O)NC[C@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107767668 823950781 /nfs/dbraw/zinc/95/07/81/823950781.db2.gz MRZHLEUZYLFIGH-OAHLLOKOSA-N -1 1 341.412 -0.758 20 0 EBADMM Cc1ccc(NC[C@@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)nn1 ZINC001107843135 823997011 /nfs/dbraw/zinc/99/70/11/823997011.db2.gz DKVQAOXDLRXWSI-SECBINFHSA-N -1 1 328.336 -0.254 20 0 EBADMM C[C@@]1(CNC(=O)c2ncccc2[O-])CN(CCn2cncn2)CCO1 ZINC001107889799 824020964 /nfs/dbraw/zinc/02/09/64/824020964.db2.gz DORAWKRNTNFRDU-MRXNPFEDSA-N -1 1 346.391 -0.100 20 0 EBADMM C[C@@]1(CNC(=O)c2ncccc2[O-])CN([C@H]2CCNC2=O)CCO1 ZINC001107890520 824020973 /nfs/dbraw/zinc/02/09/73/824020973.db2.gz ORUHPUVHAYPVGO-MEDUHNTESA-N -1 1 334.376 -0.504 20 0 EBADMM CNC(=O)[C@@H](C)N1CCO[C@@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001107890881 824022313 /nfs/dbraw/zinc/02/23/13/824022313.db2.gz ZAAYCXCOZUDTQV-BZNIZROVSA-N -1 1 336.392 -0.258 20 0 EBADMM Cn1[n-]c(CN2CCO[C@](C)(CNC(=O)[C@@H]3CC3(F)F)C2)nc1=O ZINC001107921569 824042040 /nfs/dbraw/zinc/04/20/40/824042040.db2.gz AGKUWERXSNHCBK-TVQRCGJNSA-N -1 1 345.350 -0.529 20 0 EBADMM C[C@@]1(CNC(=O)c2cnc(C3CC3)[n-]c2=O)CN(CC(N)=O)CCO1 ZINC001108262547 824185438 /nfs/dbraw/zinc/18/54/38/824185438.db2.gz SMWLUSGZMLBJSE-MRXNPFEDSA-N -1 1 349.391 -0.634 20 0 EBADMM Cc1oc2nc[nH]c(=O)c2c1C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001129479052 828623231 /nfs/dbraw/zinc/62/32/31/828623231.db2.gz IJCYZCBLZOIVSJ-UHFFFAOYSA-N -1 1 333.308 -0.420 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1(Nc2cc(F)ncn2)CC1 ZINC001110180305 824673081 /nfs/dbraw/zinc/67/30/81/824673081.db2.gz BVAQSRLOYVOWOX-UHFFFAOYSA-N -1 1 348.338 -0.383 20 0 EBADMM CN1CCOc2ccc(C(=O)NCCNCc3n[nH]c(=O)[n-]3)cc21 ZINC001130230871 828761154 /nfs/dbraw/zinc/76/11/54/828761154.db2.gz YNCOFTBLTLDDGI-UHFFFAOYSA-N -1 1 332.364 -0.142 20 0 EBADMM O=C(CCc1c[nH]nn1)N[C@]12CCC[C@H]1CN(Cc1n[nH]c(=O)[n-]1)C2 ZINC001111876801 825333392 /nfs/dbraw/zinc/33/33/92/825333392.db2.gz GKROUPQBAZLSOT-BONVTDFDSA-N -1 1 346.395 -0.268 20 0 EBADMM CC(C)SCC(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001113893508 826061933 /nfs/dbraw/zinc/06/19/33/826061933.db2.gz MNQLHYVXHNLBEU-IWIIMEHWSA-N -1 1 325.438 -0.204 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1CC(NCC(F)(F)F)C1 ZINC001179706597 833002554 /nfs/dbraw/zinc/00/25/54/833002554.db2.gz FAWGUCHTXHCWCZ-UHFFFAOYSA-N -1 1 334.298 -0.274 20 0 EBADMM CCO[C@@H](C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)C(C)C ZINC001114250589 826184031 /nfs/dbraw/zinc/18/40/31/826184031.db2.gz VRUMBYSLQHSBAY-UVLXDEKHSA-N -1 1 337.424 -0.284 20 0 EBADMM C[C@H](CC(F)F)C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001114585055 826292684 /nfs/dbraw/zinc/29/26/84/826292684.db2.gz UYTCXHGILSXHMR-XBWDGYHZSA-N -1 1 329.351 -0.054 20 0 EBADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)CN1c2ccccc2C(=O)C1=O ZINC001180045203 833036229 /nfs/dbraw/zinc/03/62/29/833036229.db2.gz CARDLTZFAAJJHV-UHFFFAOYSA-N -1 1 329.272 -0.362 20 0 EBADMM COCCC[C@@H](C)C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001115086264 826416883 /nfs/dbraw/zinc/41/68/83/826416883.db2.gz QPSGRRHDRNGSMG-NMKXLXIOSA-N -1 1 337.424 -0.283 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)COc2ccccc2)nc1=O ZINC001115165358 826436341 /nfs/dbraw/zinc/43/63/41/826436341.db2.gz GLSLHZVCQCLXDU-VIKVFOODSA-N -1 1 343.387 -0.266 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cc(=O)n2[n-]cnc2n1)Nc1cc(F)ncn1 ZINC001115777584 826584370 /nfs/dbraw/zinc/58/43/70/826584370.db2.gz DBGYUQKLNCEHDC-QMMMGPOBSA-N -1 1 346.326 -0.081 20 0 EBADMM C[C@H](CN(C)C(=O)CCn1cc[n-]c(=O)c1=O)Nc1ncccn1 ZINC001115784495 826585457 /nfs/dbraw/zinc/58/54/57/826585457.db2.gz XPCTVEPRVDWKKC-LLVKDONJSA-N -1 1 332.364 -0.324 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1ccccc1O[C@@H]1CCOC1 ZINC001116267394 826609155 /nfs/dbraw/zinc/60/91/55/826609155.db2.gz TWFRDIMGFAHBJS-SECBINFHSA-N -1 1 332.320 -0.378 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)NN=c2nc(OC)cc[n-]2)cn1 ZINC001116446943 826620072 /nfs/dbraw/zinc/62/00/72/826620072.db2.gz OFKVJOIBYPGTOI-UHFFFAOYSA-N -1 1 339.333 -0.028 20 0 EBADMM COc1c(NC(=O)CCn2cc[n-]c(=O)c2=O)cccc1C(N)=O ZINC001116532535 826625274 /nfs/dbraw/zinc/62/52/74/826625274.db2.gz VMLVSGWAQDJZGV-UHFFFAOYSA-N -1 1 332.316 -0.327 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)N2CC[C@@H](C)[C@H](C(=O)[O-])C2)C1 ZINC001118254442 826889977 /nfs/dbraw/zinc/88/99/77/826889977.db2.gz GAJMTWRFANGPCS-FRRDWIJNSA-N -1 1 341.408 -0.608 20 0 EBADMM CCc1cc(=O)n2[n-]c(NC(=O)CCN3C(=O)CCC3=O)nc2n1 ZINC001118787675 826999393 /nfs/dbraw/zinc/99/93/93/826999393.db2.gz FRUROCLRTPFZFP-UHFFFAOYSA-N -1 1 332.320 -0.542 20 0 EBADMM C[C@H](CN(C)C(=O)c1n[nH]c(=O)[n-]c1=O)Nc1ncnc2[nH]cnc21 ZINC001120928026 827272646 /nfs/dbraw/zinc/27/26/46/827272646.db2.gz GBKVDZLVLGAHDN-ZCFIWIBFSA-N -1 1 345.323 -0.478 20 0 EBADMM Cc1cc(N[C@H](CO)CNC(=O)c2ncccc2[O-])nc(CO)n1 ZINC001121340136 827347579 /nfs/dbraw/zinc/34/75/79/827347579.db2.gz RWMOOPZVCOCNKK-JTQLQIEISA-N -1 1 333.348 -0.419 20 0 EBADMM O=C(Cn1nc(Cl)ccc1=O)Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001181896066 833188046 /nfs/dbraw/zinc/18/80/46/833188046.db2.gz YYZUAKAMCPYMIH-UHFFFAOYSA-N -1 1 337.683 -0.436 20 0 EBADMM C[C@@H]1Cc2ccccc2N1CCNC(=O)[C@@]1(C(=O)[O-])CNCCO1 ZINC001121996956 827499471 /nfs/dbraw/zinc/49/94/71/827499471.db2.gz POYJBQXTZGCNMW-SJKOYZFVSA-N -1 1 333.388 -0.003 20 0 EBADMM NC(=O)[C@H]1C[C@@H]1C(=O)NCC[N-]C(=O)C(F)(F)Br ZINC001182393922 833229532 /nfs/dbraw/zinc/22/95/32/833229532.db2.gz XONHNXMQKKMTBD-WHFBIAKZSA-N -1 1 328.113 -0.672 20 0 EBADMM O=C([N-]CCNC(=O)C1CS(=O)(=O)C1)C(F)(F)Br ZINC001182818703 833262575 /nfs/dbraw/zinc/26/25/75/833262575.db2.gz DYOHRVQMMCZGRZ-UHFFFAOYSA-N -1 1 349.153 -0.749 20 0 EBADMM O=C([N-]CCNC(=O)[C@H]1CCNC1=O)C(F)(F)Br ZINC001183026966 833277077 /nfs/dbraw/zinc/27/70/77/833277077.db2.gz FHTFQRTVFXWKFG-YFKPBYRVSA-N -1 1 328.113 -0.657 20 0 EBADMM C[C@@H]1CN(c2ccccn2)C[C@H]1C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001127547558 828301342 /nfs/dbraw/zinc/30/13/42/828301342.db2.gz OERGELSTSWWDKJ-VXGBXAGGSA-N -1 1 345.407 -0.116 20 0 EBADMM O=C([N-]CCNC(=O)C1(CO)COC1)C(F)(F)Br ZINC001184048273 833318062 /nfs/dbraw/zinc/31/80/62/833318062.db2.gz JWPZYRSDKPAECN-UHFFFAOYSA-N -1 1 331.113 -0.785 20 0 EBADMM Cc1nc([C@H](C)NCCNC(=O)CCc2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC001130756890 828929083 /nfs/dbraw/zinc/92/90/83/828929083.db2.gz GLTDKHJLAWODBO-ZETCQYMHSA-N -1 1 336.356 -0.891 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2cn3ccccc3n2)c(=O)[nH]c1=O ZINC001184602295 833335339 /nfs/dbraw/zinc/33/53/39/833335339.db2.gz GWKATGWFULFVHR-UHFFFAOYSA-N -1 1 335.345 -0.388 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1sccc1-n1cnnn1 ZINC001130793264 828939796 /nfs/dbraw/zinc/93/97/96/828939796.db2.gz BFIJFHXPWOZZCR-UHFFFAOYSA-N -1 1 335.353 -0.933 20 0 EBADMM CC(=O)Nc1ccc(CC(=O)NCCNCc2n[nH]c(=O)[n-]2)cc1 ZINC001130933472 828997919 /nfs/dbraw/zinc/99/79/19/828997919.db2.gz AOHNTCRGTLAHLD-UHFFFAOYSA-N -1 1 332.364 -0.083 20 0 EBADMM CCO[C@@H]1COCC[C@H]1CC(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001131497280 829146041 /nfs/dbraw/zinc/14/60/41/829146041.db2.gz UXYGBBNRBLXENY-WDEREUQCSA-N -1 1 327.385 -0.452 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)CCn2cccn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001131822635 829229134 /nfs/dbraw/zinc/22/91/34/829229134.db2.gz ZAPAURGCLOYXBA-STQMWFEESA-N -1 1 347.423 -0.136 20 0 EBADMM Cn1cc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)c([C@H]2CCOC2)n1 ZINC001131912740 829273392 /nfs/dbraw/zinc/27/33/92/829273392.db2.gz CJKARMOMWBSLSV-VIFPVBQESA-N -1 1 335.368 -0.733 20 0 EBADMM CC(=O)N[C@H](C)C(=O)O[C@H](C)C(=O)NCCCC[P@](=O)([O-])O ZINC001186726870 833423855 /nfs/dbraw/zinc/42/38/55/833423855.db2.gz QUHAQBSNJMHBNI-RKDXNWHRSA-N -1 1 338.297 -0.483 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cc(-n2cccn2)ccn1 ZINC001133696573 829663327 /nfs/dbraw/zinc/66/33/27/829663327.db2.gz KPSNARVYCQQPTN-UHFFFAOYSA-N -1 1 328.336 -0.389 20 0 EBADMM C[C@H](C[C@H](C)NCc1ncccn1)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001134862859 829860516 /nfs/dbraw/zinc/86/05/16/829860516.db2.gz DFRKJPYNGZBLQD-DTWKUNHWSA-N -1 1 333.352 -0.241 20 0 EBADMM C[C@H](C[C@H](C)NC(=O)c1cn(C)ccc1=O)NCc1n[nH]c(=O)[n-]1 ZINC001134951325 829878380 /nfs/dbraw/zinc/87/83/80/829878380.db2.gz PVKYLJJMUKAUPD-ZJUUUORDSA-N -1 1 334.380 -0.104 20 0 EBADMM C[C@H](C[C@H](C)NC(=O)c1cnc([O-])n(C)c1=O)NCc1cn(C)nn1 ZINC001135166491 829912723 /nfs/dbraw/zinc/91/27/23/829912723.db2.gz MMKGYURJPWDHBA-ZJUUUORDSA-N -1 1 349.395 -0.699 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC(S(N)(=O)=O)CC1 ZINC001136620669 830087735 /nfs/dbraw/zinc/08/77/35/830087735.db2.gz WVNPSEGXRWYXCS-UHFFFAOYSA-N -1 1 332.407 -0.328 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N[C@H]1CCCN(CC(N)=O)C1 ZINC001136672725 830088219 /nfs/dbraw/zinc/08/82/19/830088219.db2.gz WZRBJHQTZNWUKX-QMMMGPOBSA-N -1 1 325.394 -0.541 20 0 EBADMM NC(=O)C1(C(=O)NCC[N-]C(=O)C(F)(F)Br)CC1 ZINC001143170914 830144630 /nfs/dbraw/zinc/14/46/30/830144630.db2.gz FALOQCRXHMPUTL-UHFFFAOYSA-N -1 1 328.113 -0.528 20 0 EBADMM O=C(CN1CCCC1=O)NCC[N-]C(=O)C(F)(F)Br ZINC001143254211 830147210 /nfs/dbraw/zinc/14/72/10/830147210.db2.gz JCKIIYCDBNEGJC-UHFFFAOYSA-N -1 1 342.140 -0.171 20 0 EBADMM Cn1nccc1[C@H]1c2[nH]cnc2CCN1C(=O)Cn1c(=O)[n-][nH]c1=O ZINC001143354700 830149852 /nfs/dbraw/zinc/14/98/52/830149852.db2.gz IAJJVBDIMZJIBP-LBPRGKRZSA-N -1 1 344.335 -0.680 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCc1nc([C@@H]2CCCO2)n[nH]1 ZINC001143642637 830154489 /nfs/dbraw/zinc/15/44/89/830154489.db2.gz CGQZHBFKIJUHSW-VIFPVBQESA-N -1 1 334.336 -0.787 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCc1nc([C@H]2CCCO2)n[nH]1 ZINC001143642636 830154626 /nfs/dbraw/zinc/15/46/26/830154626.db2.gz CGQZHBFKIJUHSW-SECBINFHSA-N -1 1 334.336 -0.787 20 0 EBADMM CNC(=O)CCCCC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001211360622 837431245 /nfs/dbraw/zinc/43/12/45/837431245.db2.gz NWZQPHOQHNZEEA-LLVKDONJSA-N -1 1 338.412 -0.103 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)c1cncnc1 ZINC001147439627 830343572 /nfs/dbraw/zinc/34/35/72/830343572.db2.gz MONDHKVLCVHUIY-NSHDSACASA-N -1 1 332.320 -0.868 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCN[C@@H](C)c1ncccn1 ZINC001147699813 830395293 /nfs/dbraw/zinc/39/52/93/830395293.db2.gz RITTXTGBHRJTSQ-QMMMGPOBSA-N -1 1 334.336 -0.233 20 0 EBADMM O=C(NCCNCc1ncc(C2CC2)o1)c1cc(=O)n2[n-]cnc2n1 ZINC001147706303 830398569 /nfs/dbraw/zinc/39/85/69/830398569.db2.gz VQXJINYDLLSLTM-UHFFFAOYSA-N -1 1 343.347 -0.197 20 0 EBADMM Cc1nc([C@@H](C)NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)no1 ZINC001147712205 830400185 /nfs/dbraw/zinc/40/01/85/830400185.db2.gz NRVCLSDRHQOTFH-SSDOTTSWSA-N -1 1 332.324 -0.810 20 0 EBADMM CC(C)n1ncnc1CNCCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001147712753 830400926 /nfs/dbraw/zinc/40/09/26/830400926.db2.gz XHOKPFHLCOZVJP-UHFFFAOYSA-N -1 1 345.367 -0.890 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@@H](CNC(=O)C(C)(C)F)C2)nc1=O ZINC001149030532 830571402 /nfs/dbraw/zinc/57/14/02/830571402.db2.gz WJZKDOGZUFCWLY-JTQLQIEISA-N -1 1 329.376 -0.436 20 0 EBADMM O=C(CCc1cnc[nH]1)NC[C@H]1CN(Cc2n[nH]c(=O)[n-]2)CCCO1 ZINC001149826679 830755345 /nfs/dbraw/zinc/75/53/45/830755345.db2.gz JPCZGMCSPMBRPN-LBPRGKRZSA-N -1 1 349.395 -0.427 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CCOC(C)C ZINC001211900925 837490549 /nfs/dbraw/zinc/49/05/49/837490549.db2.gz HGGTYUPULFCFIG-VXGBXAGGSA-N -1 1 341.412 -0.761 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2[nH]nc3ccncc32)C(=O)N1S(=O)(=O)[O-] ZINC001152104193 831131652 /nfs/dbraw/zinc/13/16/52/831131652.db2.gz MEQQEIHMDHWIDR-XNCJUZBTSA-N -1 1 325.306 -0.910 20 0 EBADMM COC[C@H](NC(=O)c1cccc(Cn2cncn2)c1)c1nn[n-]n1 ZINC001155067758 831383137 /nfs/dbraw/zinc/38/31/37/831383137.db2.gz BUSPHRRFSALHAV-LBPRGKRZSA-N -1 1 328.336 -0.043 20 0 EBADMM O=C(NC1CC1)C1(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)CCC1 ZINC001155515991 831410947 /nfs/dbraw/zinc/41/09/47/831410947.db2.gz LXBRICFXBFBXJT-UHFFFAOYSA-N -1 1 334.380 -0.541 20 0 EBADMM CO[C@]1(CNC(=O)C(=O)c2ccc([O-])cc2)CCS(=O)(=O)C1 ZINC001155939028 831442354 /nfs/dbraw/zinc/44/23/54/831442354.db2.gz OXSFIEOGRVTBGF-AWEZNQCLSA-N -1 1 327.358 -0.105 20 0 EBADMM Cc1n[nH]c(C(=O)NCCCNCc2ncnn2CCF)c1[O-] ZINC001156620100 831485314 /nfs/dbraw/zinc/48/53/14/831485314.db2.gz KBVOVVZEEUNKFO-UHFFFAOYSA-N -1 1 325.348 -0.106 20 0 EBADMM CCCCOCC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1OC ZINC001212067382 837535744 /nfs/dbraw/zinc/53/57/44/837535744.db2.gz VRHBLLIPGMNCHA-VXGBXAGGSA-N -1 1 341.412 -0.760 20 0 EBADMM COCCO[C@]12CCC[C@@]1(NC(=O)CCc1nn[n-]n1)CCO2 ZINC001158797719 831687706 /nfs/dbraw/zinc/68/77/06/831687706.db2.gz GFKKOORMSHVZHM-ZIAGYGMSSA-N -1 1 325.369 -0.049 20 0 EBADMM NS(=O)(=O)c1cnc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)c(F)c1 ZINC001159195929 831718553 /nfs/dbraw/zinc/71/85/53/831718553.db2.gz RZLGAIJBWDQYAG-UHFFFAOYSA-N -1 1 341.284 -0.359 20 0 EBADMM C[C@@H]1C(=O)Nc2cnc(Nc3c(O)[nH]c(=O)[n-]c3=S)nc2N1C ZINC001160849823 831895177 /nfs/dbraw/zinc/89/51/77/831895177.db2.gz UDNQZBFLUPDDAN-INEUFUBQSA-N -1 1 335.349 -0.799 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1cccc(Cc2nn[nH]n2)c1 ZINC001160948785 831909264 /nfs/dbraw/zinc/90/92/64/831909264.db2.gz OAJHLXMAAMRQPC-UHFFFAOYSA-N -1 1 341.331 -0.331 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)C=C2SCC(=O)N2C)n[n-]1 ZINC001161163274 831933653 /nfs/dbraw/zinc/93/36/53/831933653.db2.gz BNMJEXZFWMSORB-ONNFQVAWSA-N -1 1 325.350 -0.356 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)/C=C2/SCC(=O)N2C)n1 ZINC001161163274 831933659 /nfs/dbraw/zinc/93/36/59/831933659.db2.gz BNMJEXZFWMSORB-ONNFQVAWSA-N -1 1 325.350 -0.356 20 0 EBADMM N[C@@H](Cc1nnn[n-]1)C(=O)N1CCNC(=O)[C@H]1c1ccc(Cl)cc1 ZINC001161238276 831939575 /nfs/dbraw/zinc/93/95/75/831939575.db2.gz SEQKNROXAQTLLR-CMPLNLGQSA-N -1 1 349.782 -0.577 20 0 EBADMM Cc1ccnc(CNCCCNC(=O)CCc2n[nH]c(=O)[n-]c2=O)n1 ZINC001161715391 831985746 /nfs/dbraw/zinc/98/57/46/831985746.db2.gz IBJFHRSYWKDFFW-UHFFFAOYSA-N -1 1 347.379 -0.390 20 0 EBADMM Cc1nonc1CNCCCNC(=O)CCc1n[nH]c(=O)[n-]c1=O ZINC001161715571 831985765 /nfs/dbraw/zinc/98/57/65/831985765.db2.gz POSQCFZFSVESFQ-UHFFFAOYSA-N -1 1 337.340 -0.797 20 0 EBADMM O=C(CCc1n[nH]c(=O)[n-]c1=O)NCCCNCc1nccs1 ZINC001161715723 831986518 /nfs/dbraw/zinc/98/65/18/831986518.db2.gz UKKBEIZIJPSWAL-UHFFFAOYSA-N -1 1 338.393 -0.032 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCN(C(=O)CCC2CC2)C1 ZINC001350479142 832170284 /nfs/dbraw/zinc/17/02/84/832170284.db2.gz QLFWQIULHJZLJT-CYBMUJFWSA-N -1 1 348.403 -0.166 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1CCN(C(=O)C2CC2)CC1 ZINC001350814481 832196329 /nfs/dbraw/zinc/19/63/29/832196329.db2.gz CEKUYFBVLDIZLT-UHFFFAOYSA-N -1 1 334.376 -0.556 20 0 EBADMM CN(CCCNC(=O)C1CCC1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001351160247 832219336 /nfs/dbraw/zinc/21/93/36/832219336.db2.gz HZERZIGTJGGTMG-UHFFFAOYSA-N -1 1 332.364 -0.204 20 0 EBADMM CN(CCCNC(=O)[C@@H]1CCOC1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001351304499 832227867 /nfs/dbraw/zinc/22/78/67/832227867.db2.gz AQFALXWXWCZEPL-SNVBAGLBSA-N -1 1 348.363 -0.968 20 0 EBADMM C[C@@H](C(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1)S(C)(=O)=O ZINC001165767447 832236673 /nfs/dbraw/zinc/23/66/73/832236673.db2.gz KXANTWNJXQFXJJ-VIFPVBQESA-N -1 1 345.425 -0.681 20 0 EBADMM Cc1nnc(CNCC2(CCNC(=O)c3n[nH]c(=O)[n-]c3=O)CC2)[nH]1 ZINC001165992366 832244897 /nfs/dbraw/zinc/24/48/97/832244897.db2.gz AHXCHXXZLNFXPS-UHFFFAOYSA-N -1 1 348.367 -0.601 20 0 EBADMM CSCC[C@H](NC(=O)c1c[n-]n2c1nccc2=O)c1nn[nH]n1 ZINC001167445003 832340383 /nfs/dbraw/zinc/34/03/83/832340383.db2.gz QVPVTJVDTJXBOA-QMMMGPOBSA-N -1 1 334.365 -0.240 20 0 EBADMM COc1ncc(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)cn1 ZINC001167619711 832360364 /nfs/dbraw/zinc/36/03/64/832360364.db2.gz BEBTXXYSZOZLBV-UHFFFAOYSA-N -1 1 347.379 -0.001 20 0 EBADMM O=C(NCCNc1ccc([P@](=O)([O-])O)cc1)N1CCOCC1 ZINC001167895914 832382597 /nfs/dbraw/zinc/38/25/97/832382597.db2.gz WSKJMSJNXVPJHS-UHFFFAOYSA-N -1 1 329.293 -0.057 20 0 EBADMM O=C(NCCNc1ccc([P@@](=O)([O-])O)cc1)N1CCOCC1 ZINC001167895914 832382605 /nfs/dbraw/zinc/38/26/05/832382605.db2.gz WSKJMSJNXVPJHS-UHFFFAOYSA-N -1 1 329.293 -0.057 20 0 EBADMM CCOC(=O)[C@@H]1CC[C@H](N[C@@H]2C(=O)N(S(=O)(=O)[O-])[C@H]2C)CO1 ZINC001169320202 832458544 /nfs/dbraw/zinc/45/85/44/832458544.db2.gz JBXPEVFOJCMNRA-XKNYDFJKSA-N -1 1 336.366 -0.911 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H](CO)[C@@H](O)C1CCCCC1 ZINC001169415727 832461697 /nfs/dbraw/zinc/46/16/97/832461697.db2.gz PHFNIBZPOIXIFG-JSGCOSHPSA-N -1 1 339.392 -0.655 20 0 EBADMM Cc1cc(Nc2[nH]c(=O)nc3[n-]c(=O)[nH]c32)nc(S(C)(=O)=O)n1 ZINC001170222911 832493086 /nfs/dbraw/zinc/49/30/86/832493086.db2.gz HSWNIFBXSQCOQG-UHFFFAOYSA-N -1 1 337.321 -0.039 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)[C@@H]1CC12CCC2 ZINC001354555760 832502091 /nfs/dbraw/zinc/50/20/91/832502091.db2.gz KHNZCHNKKLMMTA-RYUDHWBXSA-N -1 1 348.403 -0.262 20 0 EBADMM COC(=O)c1nnn(Cc2ccccc2)c1NC(=O)Cc1nn[n-]n1 ZINC001176838068 832693684 /nfs/dbraw/zinc/69/36/84/832693684.db2.gz PTUQZKDUSYBATO-UHFFFAOYSA-N -1 1 342.319 -0.193 20 0 EBADMM COC(=O)NC(=S)N(C(=O)Cc1nn[n-]n1)c1ccccc1N ZINC001176844748 832695209 /nfs/dbraw/zinc/69/52/09/832695209.db2.gz ISLNEYKYEAUDHZ-UHFFFAOYSA-N -1 1 335.349 -0.002 20 0 EBADMM Cn1cccc1CC(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001177322297 832774134 /nfs/dbraw/zinc/77/41/34/832774134.db2.gz FUPLHEMRXNKBFC-ZDUSSCGKSA-N -1 1 347.375 -0.391 20 0 EBADMM O=C(Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21)C1CN(c2cnccn2)C1 ZINC001178097827 832825801 /nfs/dbraw/zinc/82/58/01/832825801.db2.gz MIVZGAJYWRCXGM-UHFFFAOYSA-N -1 1 328.292 -0.419 20 0 EBADMM O=C(COC[C@H]1CCCO1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001188438742 834051006 /nfs/dbraw/zinc/05/10/06/834051006.db2.gz UUEIHCXKPFMLPK-GHMZBOCLSA-N -1 1 325.369 -0.604 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)c1cccnn1 ZINC001188678726 834072689 /nfs/dbraw/zinc/07/26/89/834072689.db2.gz AVZFQFAGLJBDNQ-NSHDSACASA-N -1 1 332.320 -0.868 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCCO[C@H]2CCCCO2)c(=O)[nH]c1=O ZINC001189965381 834215059 /nfs/dbraw/zinc/21/50/59/834215059.db2.gz VAARNICBYISMSR-NSHDSACASA-N -1 1 347.393 -0.303 20 0 EBADMM CN(C)S(=O)(=O)NCC[N-]S(=O)(=O)c1ccc(C(F)F)o1 ZINC001190087967 834226852 /nfs/dbraw/zinc/22/68/52/834226852.db2.gz SGZPNDBTYPIXHD-UHFFFAOYSA-N -1 1 347.365 -0.109 20 0 EBADMM NC(=O)[C@H]1CN(C(=O)c2cnc(-c3ccccn3)[n-]c2=O)CCO1 ZINC001190628006 834303980 /nfs/dbraw/zinc/30/39/80/834303980.db2.gz FOCLBGCRXHPKAV-LLVKDONJSA-N -1 1 329.316 -0.430 20 0 EBADMM NC(=O)[C@@H]1CN(C(=O)c2cnc(-c3ccccn3)[n-]c2=O)CCO1 ZINC001190628007 834304179 /nfs/dbraw/zinc/30/41/79/834304179.db2.gz FOCLBGCRXHPKAV-NSHDSACASA-N -1 1 329.316 -0.430 20 0 EBADMM O=C(NC[C@@H]1CNC(=O)CO1)c1cnc(-c2ccccn2)[n-]c1=O ZINC001190629198 834305176 /nfs/dbraw/zinc/30/51/76/834305176.db2.gz NGGPWTOGZPKPMN-VIFPVBQESA-N -1 1 329.316 -0.511 20 0 EBADMM NS(=O)(=O)c1cc(C(=O)NCc2nn[nH]n2)c([O-])cc1Cl ZINC001191046776 834404038 /nfs/dbraw/zinc/40/40/38/834404038.db2.gz YSJGADGOWPSIJE-UHFFFAOYSA-N -1 1 332.729 -0.864 20 0 EBADMM CC(C)[C@H](C)CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001191696764 834531534 /nfs/dbraw/zinc/53/15/34/834531534.db2.gz IXMQSJONCQMESR-IJLUTSLNSA-N -1 1 325.413 -0.548 20 0 EBADMM COCCO[C@H](C)C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001192632468 834705169 /nfs/dbraw/zinc/70/51/69/834705169.db2.gz PMEUJEAQJCRJCU-VXGBXAGGSA-N -1 1 341.412 -0.807 20 0 EBADMM CN1CCN(c2cncc(C(=O)N[C@H]3SC(=O)[N-]C3=O)c2)CC1 ZINC001193948440 834985469 /nfs/dbraw/zinc/98/54/69/834985469.db2.gz XFNYPSBLJTZUCU-ZDUSSCGKSA-N -1 1 335.389 -0.128 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)CCCn2cccn2)C1 ZINC001194114828 835028200 /nfs/dbraw/zinc/02/82/00/835028200.db2.gz QDMBANGGMBDYED-ZDUSSCGKSA-N -1 1 347.423 -0.182 20 0 EBADMM CC(=O)NCc1nnc2n1CCN(C(=O)c1nc(C)ccc1[O-])C2 ZINC001195323487 835214835 /nfs/dbraw/zinc/21/48/35/835214835.db2.gz JOWKMSXQKPSFDW-UHFFFAOYSA-N -1 1 330.348 -0.021 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N=c2nc[nH]n2C)c(C)cc1[O-] ZINC001195337627 835215771 /nfs/dbraw/zinc/21/57/71/835215771.db2.gz BBDXJBDEBXEJDQ-UHFFFAOYSA-N -1 1 326.334 -0.162 20 0 EBADMM CCOC(=O)C[C@@H]1C(=O)NCCN1C(=O)c1c[nH]c(=S)[n-]c1=O ZINC001196013218 835326613 /nfs/dbraw/zinc/32/66/13/835326613.db2.gz FPRVTKGHIZWWHN-MRVPVSSYSA-N -1 1 340.361 -0.635 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)C(C)(C)C(F)F)C2)nc1=O ZINC001196062746 835341602 /nfs/dbraw/zinc/34/16/02/835341602.db2.gz WNKNYFMRCZKTGG-HTQZYQBOSA-N -1 1 333.339 -0.939 20 0 EBADMM C[NH+]1CCN(c2cnccc2C(=O)NCCOP(=O)([O-])[O-])CC1 ZINC001198153856 835647967 /nfs/dbraw/zinc/64/79/67/835647967.db2.gz VEQRMFMLYMXIEJ-UHFFFAOYSA-N -1 1 344.308 -0.328 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)CCCn3ccnc3)CC2)nc1=O ZINC001198368497 835673687 /nfs/dbraw/zinc/67/36/87/835673687.db2.gz XTIZWXXRJWRWKR-UHFFFAOYSA-N -1 1 347.423 -0.180 20 0 EBADMM CC(=O)CCCC(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001199864885 835870253 /nfs/dbraw/zinc/87/02/53/835870253.db2.gz RWUIEYJYLFIIAF-GFCCVEGCSA-N -1 1 339.396 -0.023 20 0 EBADMM COCC(C)(C)C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001200982840 836077067 /nfs/dbraw/zinc/07/70/67/836077067.db2.gz DZEHDAZUYZVHLL-LLVKDONJSA-N -1 1 341.412 -0.110 20 0 EBADMM COC[C@@H](C)CC(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001201748000 836186626 /nfs/dbraw/zinc/18/66/26/836186626.db2.gz CBSOOUDEBKLTEZ-NWDGAFQWSA-N -1 1 341.412 -0.110 20 0 EBADMM COC(=O)CCCS(=O)(=O)[N-][C@H](C(=O)OC(C)(C)C)[C@@H](C)O ZINC001201920580 836214684 /nfs/dbraw/zinc/21/46/84/836214684.db2.gz DNSNRBUVMSTPLD-KOLCDFICSA-N -1 1 339.410 -0.050 20 0 EBADMM CNC(=O)C1(C(=O)N[C@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)CC1 ZINC001203492068 836437012 /nfs/dbraw/zinc/43/70/12/836437012.db2.gz JARDJCNTIWKJGS-ZJUUUORDSA-N -1 1 348.407 -0.447 20 0 EBADMM C[C@@H](OCC1CC1)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001206472974 836649826 /nfs/dbraw/zinc/64/98/26/836649826.db2.gz UNQFXNFGXPLIOV-NQBHXWOUSA-N -1 1 337.424 -0.140 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CCOCC1CC1 ZINC001206961115 836730755 /nfs/dbraw/zinc/73/07/55/836730755.db2.gz KCRLKUOQYYGTPC-DGCLKSJQSA-N -1 1 337.424 -0.138 20 0 EBADMM COC[C@@]1(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CCOC1 ZINC001208795405 837111002 /nfs/dbraw/zinc/11/10/02/837111002.db2.gz GTHYNLBUUOYKFG-NHYWBVRUSA-N -1 1 339.396 -0.356 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CCc1cn[nH]c1 ZINC001209319011 837155565 /nfs/dbraw/zinc/15/55/65/837155565.db2.gz VBHSOVHMGIYHJM-ZYHUDNBSSA-N -1 1 333.396 -0.599 20 0 EBADMM CC(C)(C)[S@](C)(=O)=NS(=O)(=O)[N-]CCNS(C)(=O)=O ZINC001209332223 837157061 /nfs/dbraw/zinc/15/70/61/837157061.db2.gz CUZYBEDKFXFBSU-KRWDZBQOSA-N -1 1 335.473 -0.734 20 0 EBADMM CN(C)C(=O)C1(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001210604655 837305999 /nfs/dbraw/zinc/30/59/99/837305999.db2.gz VLFJTFUXJZZOJQ-JTQLQIEISA-N -1 1 336.396 -0.541 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C[C@H](C)C1CC1 ZINC001213943740 837908577 /nfs/dbraw/zinc/90/85/77/837908577.db2.gz GYIJCISOWZBDIF-CYZMBNFOSA-N -1 1 337.424 -0.140 20 0 EBADMM CCCNC(=O)C1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001318768463 838032282 /nfs/dbraw/zinc/03/22/82/838032282.db2.gz UTNIBTNHFFYUNP-UHFFFAOYSA-N -1 1 336.392 -0.309 20 0 EBADMM CN(CC1CC1)S(=O)(=O)NNc1nc(=O)c2cnn(C)c2[n-]1 ZINC001319275755 838097239 /nfs/dbraw/zinc/09/72/39/838097239.db2.gz UNHQBCHXWZYQSN-UHFFFAOYSA-N -1 1 327.370 -0.428 20 0 EBADMM COc1cc(C(F)(F)F)ncc1NC(=O)C(=O)NCc1nn[n-]n1 ZINC001320708671 838822200 /nfs/dbraw/zinc/82/22/00/838822200.db2.gz NFTQRWBXPJKADS-UHFFFAOYSA-N -1 1 345.241 -0.123 20 0 EBADMM COCCS(=O)(=O)c1ccc(C(=O)NN2CC(=O)[N-]C2=O)cc1 ZINC001321111338 838910411 /nfs/dbraw/zinc/91/04/11/838910411.db2.gz QBQQCLQZJOVYHP-UHFFFAOYSA-N -1 1 341.345 -0.697 20 0 EBADMM CCCNC(=O)CNC/C=C\CNC(=O)c1c[n-]n2c1nccc2=O ZINC001321284784 838950902 /nfs/dbraw/zinc/95/09/02/838950902.db2.gz HYENTYMCCXSLPD-ARJAWSKDSA-N -1 1 346.391 -0.576 20 0 EBADMM CC(C)C[C@@]1(C(=O)N[C@@H](C)CNCc2n[nH]c(=O)[n-]2)CCNC1=O ZINC001321878535 839094214 /nfs/dbraw/zinc/09/42/14/839094214.db2.gz PGZFHPLHSURHLX-BONVTDFDSA-N -1 1 338.412 -0.343 20 0 EBADMM O=C(Cn1ncn2nccc2c1=O)OCC(=O)c1ccc([O-])cc1O ZINC001322767184 839256842 /nfs/dbraw/zinc/25/68/42/839256842.db2.gz PHAKTWYYIAWTKW-UHFFFAOYSA-N -1 1 344.283 -0.272 20 0 EBADMM CCO[C@@H]1COCC[C@H]1NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001323004682 839317948 /nfs/dbraw/zinc/31/79/48/839317948.db2.gz JZLVGBSDSZKGDF-CHWSQXEVSA-N -1 1 347.375 -0.410 20 0 EBADMM COc1cc(C)c(NC(=O)C(=O)NN2CC(=O)[N-]C2=O)cc1OC ZINC001323042893 839332370 /nfs/dbraw/zinc/33/23/70/839332370.db2.gz YXVUCCIJKWRYQU-UHFFFAOYSA-N -1 1 336.304 -0.466 20 0 EBADMM COCCO[N-]C(=O)C(=O)NCc1nc(-c2ccc(OC)cc2)n[nH]1 ZINC001323207573 839372464 /nfs/dbraw/zinc/37/24/64/839372464.db2.gz UHQVNYRKRHGGOY-UHFFFAOYSA-N -1 1 349.347 -0.209 20 0 EBADMM COCCO[N-]C(=O)C(=O)NCc1n[nH]c(-c2ccc(OC)cc2)n1 ZINC001323207573 839372477 /nfs/dbraw/zinc/37/24/77/839372477.db2.gz UHQVNYRKRHGGOY-UHFFFAOYSA-N -1 1 349.347 -0.209 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3C[C@H]4CCC[C@@H](C3)C4O)ccnc1-2 ZINC001323208971 839372884 /nfs/dbraw/zinc/37/28/84/839372884.db2.gz QRMGCTZSCSJYJG-BVUQATHDSA-N -1 1 343.387 -0.100 20 0 EBADMM C[C@H](CCNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)[S@@](C)=O ZINC001323224157 839379389 /nfs/dbraw/zinc/37/93/89/839379389.db2.gz AQBQAHIMJJXGMP-XQHREBAHSA-N -1 1 337.405 -0.446 20 0 EBADMM COCCCO[N-]C(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001323262899 839395184 /nfs/dbraw/zinc/39/51/84/839395184.db2.gz CEABNSIDQSJQQZ-WDEREUQCSA-N -1 1 334.394 -0.894 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H](O)c2cnn(C)c2)c1 ZINC001324362816 839636961 /nfs/dbraw/zinc/63/69/61/839636961.db2.gz VIRVIECXYJQQCK-GFCCVEGCSA-N -1 1 340.361 -0.294 20 0 EBADMM Cc1c(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)nnn1-c1ccn(C)n1 ZINC001324502247 839667413 /nfs/dbraw/zinc/66/74/13/839667413.db2.gz JKUUZAJATMBMGQ-VIFPVBQESA-N -1 1 344.339 -0.964 20 0 EBADMM COCCO[C@@H]1C[C@@H](CO)CN(Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC001324747093 839708906 /nfs/dbraw/zinc/70/89/06/839708906.db2.gz WXRVPCZNBDFFCE-TZMCWYRMSA-N -1 1 336.392 -0.132 20 0 EBADMM O=C(c1cccn2ccnc12)N(CCO)CCNCc1n[nH]c(=O)[n-]1 ZINC001326106489 840035254 /nfs/dbraw/zinc/03/52/54/840035254.db2.gz AKDJKEYEYYGIHT-UHFFFAOYSA-N -1 1 345.363 -0.618 20 0 EBADMM Cc1c(NC(=O)C(=O)NN2CC(=O)[N-]C2=O)cnn1C1CCCC1 ZINC001326806695 840205183 /nfs/dbraw/zinc/20/51/83/840205183.db2.gz HGPGWXBRGWFVBI-UHFFFAOYSA-N -1 1 334.336 -0.172 20 0 EBADMM CCCc1cc(=O)n2[n-]c(NC(=O)CCN3C(=O)CCC3=O)nc2n1 ZINC001328634650 840719220 /nfs/dbraw/zinc/71/92/20/840719220.db2.gz SXHWXQLDXFAZSX-UHFFFAOYSA-N -1 1 346.347 -0.152 20 0 EBADMM CCCc1cc(=O)n2[n-]c(NC(=O)Cn3cc(C(C)=O)nn3)nc2n1 ZINC001328633969 840719260 /nfs/dbraw/zinc/71/92/60/840719260.db2.gz MBECEHRLDXGFOF-UHFFFAOYSA-N -1 1 344.335 -0.197 20 0 EBADMM CCCc1cc(=O)n2[n-]c(NC(=O)CCn3ncn(C)c3=O)nc2n1 ZINC001328711442 840732548 /nfs/dbraw/zinc/73/25/48/840732548.db2.gz BCRCCPDULFBMDC-UHFFFAOYSA-N -1 1 346.351 -0.706 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3C[C@@H]4C[C@H]3C[S@@]4=O)ccnc1-2 ZINC001328731011 840736478 /nfs/dbraw/zinc/73/64/78/840736478.db2.gz VYXFTVCWEYDDGR-KLDKYJJHSA-N -1 1 333.373 -0.988 20 0 EBADMM O=C(NCCO)C1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)CC1 ZINC001330044834 841100745 /nfs/dbraw/zinc/10/07/45/841100745.db2.gz YRFZXTYSKPHAKU-UHFFFAOYSA-N -1 1 349.416 -0.021 20 0 EBADMM O=C([O-])COCCNC(=O)CCN1CCN(c2ccccn2)CC1 ZINC001332121431 841577667 /nfs/dbraw/zinc/57/76/67/841577667.db2.gz HNEOPRDCSSICJH-UHFFFAOYSA-N -1 1 336.392 -0.189 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCCc2ncn(C)n2)o1 ZINC001332507505 841656958 /nfs/dbraw/zinc/65/69/58/841656958.db2.gz QIBILWYIQNPVTQ-UHFFFAOYSA-N -1 1 327.366 -0.321 20 0 EBADMM CO[N-]C(=O)CNC(=O)C(=O)NC[C@@H](c1ccc(F)cc1)N(C)C ZINC001332612611 841689228 /nfs/dbraw/zinc/68/92/28/841689228.db2.gz GKSQLPXGEUDEKT-LBPRGKRZSA-N -1 1 340.355 -0.662 20 0 EBADMM CO[N-]C(=O)CNC(=O)C(=O)N1CCN(C2CCC(C)CC2)CC1 ZINC001333333835 841851199 /nfs/dbraw/zinc/85/11/99/841851199.db2.gz GBAKEGKSQCWOOC-UHFFFAOYSA-N -1 1 340.424 -0.497 20 0 EBADMM O=C([N-]OCC(F)(F)F)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001333519626 841898254 /nfs/dbraw/zinc/89/82/54/841898254.db2.gz AJYRJANHCQMOJY-YUMQZZPRSA-N -1 1 344.311 -0.368 20 0 EBADMM C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)[C@H](C)NC(=O)C1(C)CC1 ZINC001333918246 841966518 /nfs/dbraw/zinc/96/65/18/841966518.db2.gz YKLKDDNYXGDWTI-MNOVXSKESA-N -1 1 336.392 -0.264 20 0 EBADMM CSCC(=O)N(C)[C@H](C)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001334397630 842048704 /nfs/dbraw/zinc/04/87/04/842048704.db2.gz AUBBIQDNHAQCKZ-SNVBAGLBSA-N -1 1 342.421 -0.747 20 0 EBADMM CC(C)(C)C(=O)N1CCN(C(=O)[C@]2(C(=O)[O-])CNCCO2)CC1 ZINC001335305962 842257114 /nfs/dbraw/zinc/25/71/14/842257114.db2.gz NIGNBEARUARFJP-HNNXBMFYSA-N -1 1 327.381 -0.854 20 0 EBADMM CCn1ncc(NC(=O)C(=O)NCc2nn[n-]n2)c1C(F)(F)F ZINC001339865542 842947802 /nfs/dbraw/zinc/94/78/02/842947802.db2.gz YHMFVHBGFMPKKN-UHFFFAOYSA-N -1 1 332.246 -0.310 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2CCN(Cc3ccccn3)CC2)CNCCO1 ZINC001340240361 842983986 /nfs/dbraw/zinc/98/39/86/842983986.db2.gz HCTJFLYLXDVCRZ-MRXNPFEDSA-N -1 1 334.376 -0.831 20 0 EBADMM C[C@H](CCCCNC(=O)c1ncccc1[O-])NC(=O)Cn1cnnn1 ZINC001340630925 843016934 /nfs/dbraw/zinc/01/69/34/843016934.db2.gz UDJHSERDVGGCKT-LLVKDONJSA-N -1 1 347.379 -0.121 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2CCN(c3ncccc3F)CC2)CNCCO1 ZINC001341285673 843071522 /nfs/dbraw/zinc/07/15/22/843071522.db2.gz VYABLNYDWPGGBP-HNNXBMFYSA-N -1 1 338.339 -0.688 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2CCN(Cc3cccnc3)CC2)CNCCO1 ZINC001341688818 843106343 /nfs/dbraw/zinc/10/63/43/843106343.db2.gz MLGJEPKLFSMRPH-MRXNPFEDSA-N -1 1 334.376 -0.831 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2CCN(Cc3cccnc3)CC2)CNCCO1 ZINC001341688817 843106359 /nfs/dbraw/zinc/10/63/59/843106359.db2.gz MLGJEPKLFSMRPH-INIZCTEOSA-N -1 1 334.376 -0.831 20 0 EBADMM C[C@@H](C[S@@](C)=O)N(C)C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001342429553 843161714 /nfs/dbraw/zinc/16/17/14/843161714.db2.gz HZFJUKHOWJIIND-YGWIHHTISA-N -1 1 337.405 -0.494 20 0 EBADMM O=C(Nc1ccc(OC(F)F)cc1)C(=O)NN1CC(=O)[N-]C1=O ZINC001342448018 843162339 /nfs/dbraw/zinc/16/23/39/843162339.db2.gz RIZRYLPRYZTZKL-UHFFFAOYSA-N -1 1 328.231 -0.191 20 0 EBADMM CN(C)C(=O)CC(=O)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001343841692 843261678 /nfs/dbraw/zinc/26/16/78/843261678.db2.gz OSINBIPIWJMKPS-VXGBXAGGSA-N -1 1 346.387 -0.062 20 0 EBADMM CNC(=O)C1(C(=O)N[C@H]2C[C@@H](CNC(=O)c3ncccc3[O-])C2)CC1 ZINC001344207646 843297765 /nfs/dbraw/zinc/29/77/65/843297765.db2.gz SPZNTRMPEHYSSE-PHIMTYICSA-N -1 1 346.387 -0.062 20 0 EBADMM O=C([O-])[C@@]1(C(=O)NC[C@H]2CCCN2CC(F)(F)F)CNCCO1 ZINC001344892064 843342594 /nfs/dbraw/zinc/34/25/94/843342594.db2.gz YJNRUYNAQSUAHL-SKDRFNHKSA-N -1 1 339.314 -0.428 20 0 EBADMM Cn1nc(I)cc1C(=O)OCc1nn[n-]n1 ZINC001345157179 843367741 /nfs/dbraw/zinc/36/77/41/843367741.db2.gz ODDNOAWXYLIWKX-UHFFFAOYSA-N -1 1 334.077 -0.105 20 0 EBADMM COc1cc2[n-]cc(C(=O)NCCOCC(N)=O)c(=O)c2c(OC)c1 ZINC001348258243 843675798 /nfs/dbraw/zinc/67/57/98/843675798.db2.gz WDGYZKXQGRXMDH-UHFFFAOYSA-N -1 1 349.343 -0.223 20 0 EBADMM O=C(CC1CS(=O)(=O)C1)NCCNC(=O)c1ncccc1[O-] ZINC001348838634 843755343 /nfs/dbraw/zinc/75/53/43/843755343.db2.gz INXBKDWCIDRYPE-UHFFFAOYSA-N -1 1 327.362 -0.932 20 0 EBADMM CN(C)S(=O)(=O)c1ccc(O)c(C(=O)NC[C@@](C)(O)C(=O)[O-])c1 ZINC001610904691 970783966 /nfs/dbraw/zinc/78/39/66/970783966.db2.gz VZRKUDLXYNOAJB-CYBMUJFWSA-N -1 1 346.361 -0.792 20 0 EBADMM CC(=O)NC(C)(C)C(=O)N[C@H](C)CNc1nccnc1-c1nnn[n-]1 ZINC001573465490 945974582 /nfs/dbraw/zinc/97/45/82/945974582.db2.gz ZDNLEGOTYCOHOK-MRVPVSSYSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NC(C)(C)C(=O)N[C@H](C)CNc1nccnc1-c1nn[n-]n1 ZINC001573465490 945974587 /nfs/dbraw/zinc/97/45/87/945974587.db2.gz ZDNLEGOTYCOHOK-MRVPVSSYSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)C[C@@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001573469234 946002627 /nfs/dbraw/zinc/00/26/27/946002627.db2.gz TZOJHZDRMBEIGR-BDAKNGLRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)C[C@@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001573469234 946002639 /nfs/dbraw/zinc/00/26/39/946002639.db2.gz TZOJHZDRMBEIGR-BDAKNGLRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N1CC[C@H](Nc2cncc(-c3nnn[n-]3)n2)C1 ZINC001573471145 946042838 /nfs/dbraw/zinc/04/28/38/946042838.db2.gz MOXUTIQRKHYPJS-WPRPVWTQSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N1CC[C@H](Nc2cncc(-c3nn[n-]n3)n2)C1 ZINC001573471145 946042853 /nfs/dbraw/zinc/04/28/53/946042853.db2.gz MOXUTIQRKHYPJS-WPRPVWTQSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@H](C)CCNc1nccnc1-c1nnn[n-]1 ZINC001573476066 946112557 /nfs/dbraw/zinc/11/25/57/946112557.db2.gz CORMWXKHSSWILH-RKDXNWHRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@H](C)CCNc1nccnc1-c1nn[n-]n1 ZINC001573476066 946112561 /nfs/dbraw/zinc/11/25/61/946112561.db2.gz CORMWXKHSSWILH-RKDXNWHRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@H](C)CNc1nccnc1-c1nnn[n-]1 ZINC001573476137 946113831 /nfs/dbraw/zinc/11/38/31/946113831.db2.gz CTXZAYDZVCAAEG-RKDXNWHRSA-N -1 1 347.383 -0.655 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@H](C)CNc1nccnc1-c1nn[n-]n1 ZINC001573476137 946113836 /nfs/dbraw/zinc/11/38/36/946113836.db2.gz CTXZAYDZVCAAEG-RKDXNWHRSA-N -1 1 347.383 -0.655 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@H](C)CN(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001573477614 946140337 /nfs/dbraw/zinc/14/03/37/946140337.db2.gz SSENPEQWQAMCGE-RKDXNWHRSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@H](C)CN(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001573477614 946140347 /nfs/dbraw/zinc/14/03/47/946140347.db2.gz SSENPEQWQAMCGE-RKDXNWHRSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NCC1(Nc2nccnc2-c2nnn[n-]2)CC1 ZINC001573479270 946155918 /nfs/dbraw/zinc/15/59/18/946155918.db2.gz BPNFAXTZLGNAMM-QMMMGPOBSA-N -1 1 345.367 -0.758 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NCC1(Nc2nccnc2-c2nn[n-]n2)CC1 ZINC001573479270 946155924 /nfs/dbraw/zinc/15/59/24/946155924.db2.gz BPNFAXTZLGNAMM-QMMMGPOBSA-N -1 1 345.367 -0.758 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NCC[C@@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001573479607 946165357 /nfs/dbraw/zinc/16/53/57/946165357.db2.gz FXIKXROLGWWRIX-RKDXNWHRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NCC[C@@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001573479607 946165360 /nfs/dbraw/zinc/16/53/60/946165360.db2.gz FXIKXROLGWWRIX-RKDXNWHRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NCC[C@@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001573482458 946196910 /nfs/dbraw/zinc/19/69/10/946196910.db2.gz WTCQDHHLVVYPMI-BDAKNGLRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NCC[C@@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001573482458 946196923 /nfs/dbraw/zinc/19/69/23/946196923.db2.gz WTCQDHHLVVYPMI-BDAKNGLRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NCCCN(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001573485324 946223863 /nfs/dbraw/zinc/22/38/63/946223863.db2.gz QMSZHLJTYIQIKD-SECBINFHSA-N -1 1 347.383 -0.876 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NCCCN(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001573485324 946223874 /nfs/dbraw/zinc/22/38/74/946223874.db2.gz QMSZHLJTYIQIKD-SECBINFHSA-N -1 1 347.383 -0.876 20 0 EBADMM CC(=O)NCC(=O)N1C[C@H](Nc2cnc(-c3nnn[n-]3)cn2)C[C@H]1C ZINC001573501015 946376682 /nfs/dbraw/zinc/37/66/82/946376682.db2.gz NGIWQTQOSUUAFT-PSASIEDQSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N1C[C@H](Nc2cnc(-c3nn[n-]n3)cn2)C[C@H]1C ZINC001573501015 946376692 /nfs/dbraw/zinc/37/66/92/946376692.db2.gz NGIWQTQOSUUAFT-PSASIEDQSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N(C)C[C@@H](C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001573501029 946379846 /nfs/dbraw/zinc/37/98/46/946379846.db2.gz NMRSHYCFICXUCV-MRVPVSSYSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)NCC(=O)N(C)C[C@@H](C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001573501029 946379856 /nfs/dbraw/zinc/37/98/56/946379856.db2.gz NMRSHYCFICXUCV-MRVPVSSYSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)NCC(=O)N(C)CCCNc1ccc(-c2nnn[n-]2)nn1 ZINC001573501935 946381152 /nfs/dbraw/zinc/38/11/52/946381152.db2.gz STHHZTBKVQVTLH-UHFFFAOYSA-N -1 1 333.356 -0.947 20 0 EBADMM CC(=O)NCC(=O)N(C)CCCNc1ccc(-c2nn[n-]n2)nn1 ZINC001573501935 946381156 /nfs/dbraw/zinc/38/11/56/946381156.db2.gz STHHZTBKVQVTLH-UHFFFAOYSA-N -1 1 333.356 -0.947 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)CCNc1nccnc1-c1nnn[n-]1 ZINC001573510708 946480046 /nfs/dbraw/zinc/48/00/46/946480046.db2.gz JVLGZWVTRPPSEF-QMMMGPOBSA-N -1 1 333.356 -0.901 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)CCNc1nccnc1-c1nn[n-]n1 ZINC001573510708 946480051 /nfs/dbraw/zinc/48/00/51/946480051.db2.gz JVLGZWVTRPPSEF-QMMMGPOBSA-N -1 1 333.356 -0.901 20 0 EBADMM CC(=O)NCC(=O)NCC1(Nc2nccnc2-c2nnn[n-]2)CCC1 ZINC001573517436 946572144 /nfs/dbraw/zinc/57/21/44/946572144.db2.gz JZDWWXOXZQPRKN-UHFFFAOYSA-N -1 1 345.367 -0.756 20 0 EBADMM CC(=O)NCC(=O)NCC1(Nc2nccnc2-c2nn[n-]n2)CCC1 ZINC001573517436 946572150 /nfs/dbraw/zinc/57/21/50/946572150.db2.gz JZDWWXOXZQPRKN-UHFFFAOYSA-N -1 1 345.367 -0.756 20 0 EBADMM CC(=O)NCC(=O)NCC1(Nc2nc(C)cc(-c3nnn[n-]3)n2)CC1 ZINC001573517534 946575818 /nfs/dbraw/zinc/57/58/18/946575818.db2.gz MPYQGAZMHTWJLH-UHFFFAOYSA-N -1 1 345.367 -0.838 20 0 EBADMM CC(=O)NCC(=O)NCC1(Nc2nc(C)cc(-c3nn[n-]n3)n2)CC1 ZINC001573517534 946575829 /nfs/dbraw/zinc/57/58/29/946575829.db2.gz MPYQGAZMHTWJLH-UHFFFAOYSA-N -1 1 345.367 -0.838 20 0 EBADMM CC(=O)NCC(=O)NC[C@H]1C[C@@H](Nc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001573520099 946593642 /nfs/dbraw/zinc/59/36/42/946593642.db2.gz CYFWFQMYDBWLAA-AOOOYVTPSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)NC[C@H]1C[C@@H](Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001573520099 946593649 /nfs/dbraw/zinc/59/36/49/946593649.db2.gz CYFWFQMYDBWLAA-AOOOYVTPSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)N[C@@H]1CN(c2nc(Cl)c(-c3nnn[n-]3)s2)C[C@@H]1O ZINC001573537657 946755578 /nfs/dbraw/zinc/75/55/78/946755578.db2.gz IIKFATHJCHSJMI-RITPCOANSA-N -1 1 329.773 -0.338 20 0 EBADMM CC(=O)N[C@@H]1CN(c2nc(Cl)c(-c3nn[n-]n3)s2)C[C@@H]1O ZINC001573537657 946755587 /nfs/dbraw/zinc/75/55/87/946755587.db2.gz IIKFATHJCHSJMI-RITPCOANSA-N -1 1 329.773 -0.338 20 0 EBADMM CC(=O)c1ccc(C(=O)NCCn2cnc(-c3nn[n-]n3)n2)cc1O ZINC001573555690 946913823 /nfs/dbraw/zinc/91/38/23/946913823.db2.gz FIOKIKHQMNXXLL-UHFFFAOYSA-N -1 1 342.319 -0.204 20 0 EBADMM C[C@H](C(=O)C(C)(C)C)n1c(=O)c(-c2nn[n-]n2)cn(CCO)c1=O ZINC001573585883 947272255 /nfs/dbraw/zinc/27/22/55/947272255.db2.gz JGGHGETWTQUPBZ-MRVPVSSYSA-N -1 1 336.352 -0.641 20 0 EBADMM C[C@@H](C(=O)N(C)C[C@H](O)CNc1nccnc1-c1nnn[n-]1)C1CC1 ZINC001573594920 947410469 /nfs/dbraw/zinc/41/04/69/947410469.db2.gz FBMNXTGNOQJGEG-MWLCHTKSSA-N -1 1 346.395 -0.066 20 0 EBADMM C[C@@H](C(=O)N(C)C[C@H](O)CNc1nccnc1-c1nn[n-]n1)C1CC1 ZINC001573594920 947410472 /nfs/dbraw/zinc/41/04/72/947410472.db2.gz FBMNXTGNOQJGEG-MWLCHTKSSA-N -1 1 346.395 -0.066 20 0 EBADMM C[C@@H](C(=O)N(C)C[C@H](O)CNc1ccc(-c2nnn[n-]2)nn1)C1CC1 ZINC001573595098 947415342 /nfs/dbraw/zinc/41/53/42/947415342.db2.gz FOKCJCHOKGNDOP-MWLCHTKSSA-N -1 1 346.395 -0.066 20 0 EBADMM C[C@@H](C(=O)N(C)C[C@H](O)CNc1ccc(-c2nn[n-]n2)nn1)C1CC1 ZINC001573595098 947415347 /nfs/dbraw/zinc/41/53/47/947415347.db2.gz FOKCJCHOKGNDOP-MWLCHTKSSA-N -1 1 346.395 -0.066 20 0 EBADMM C[C@H](C(=O)N(C)C[C@H](O)c1cccnc1)n1cnc(-c2nn[n-]n2)n1 ZINC001573595058 947415811 /nfs/dbraw/zinc/41/58/11/947415811.db2.gz FJIPDRXFETUSGK-KOLCDFICSA-N -1 1 343.351 -0.394 20 0 EBADMM C[C@H](C(=O)N(C)Cc1cccc(=O)[nH]1)n1cnc(-c2nn[n-]n2)n1 ZINC001573602892 947473666 /nfs/dbraw/zinc/47/36/66/947473666.db2.gz BBSODOIMQONDGG-MRVPVSSYSA-N -1 1 329.324 -0.222 20 0 EBADMM C[C@@H](C(=O)N1CCCCCNC(=O)CC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573628705 947761077 /nfs/dbraw/zinc/76/10/77/947761077.db2.gz NOGNIOFXSJXTMZ-JTQLQIEISA-N -1 1 347.383 -0.462 20 0 EBADMM CC(=O)CCCC(=O)NC[C@@H](O)CNc1cncc(-c2nnn[n-]2)n1 ZINC001573380914 947866451 /nfs/dbraw/zinc/86/64/51/947866451.db2.gz JVMWWJURRVHCLG-JTQLQIEISA-N -1 1 348.367 -0.695 20 0 EBADMM CC(=O)CCCC(=O)NC[C@@H](O)CNc1cncc(-c2nn[n-]n2)n1 ZINC001573380914 947866455 /nfs/dbraw/zinc/86/64/55/947866455.db2.gz JVMWWJURRVHCLG-JTQLQIEISA-N -1 1 348.367 -0.695 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)CN(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001573397822 947975750 /nfs/dbraw/zinc/97/57/50/947975750.db2.gz DPXMPELHQOCGBM-SECBINFHSA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)CN(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001573397822 947975756 /nfs/dbraw/zinc/97/57/56/947975756.db2.gz DPXMPELHQOCGBM-SECBINFHSA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)[C@@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001573399595 947994164 /nfs/dbraw/zinc/99/41/64/947994164.db2.gz XGXOAFPNTNLSBV-DTWKUNHWSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)[C@@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001573399595 947994172 /nfs/dbraw/zinc/99/41/72/947994172.db2.gz XGXOAFPNTNLSBV-DTWKUNHWSA-N -1 1 347.383 -0.560 20 0 EBADMM C[C@@H](C(=O)N[C@H](CO)c1ccccn1)n1cnc(-c2nn[n-]n2)n1 ZINC001573657818 948004411 /nfs/dbraw/zinc/00/44/11/948004411.db2.gz PBKXMXSOBOTVIA-WCBMZHEXSA-N -1 1 329.324 -0.736 20 0 EBADMM CC(=O)N(C)CC(=O)NCC[C@@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001573404945 948035965 /nfs/dbraw/zinc/03/59/65/948035965.db2.gz LTEGFIBCHYECPK-SECBINFHSA-N -1 1 347.383 -0.558 20 0 EBADMM CC(=O)N(C)CC(=O)NCC[C@@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001573404945 948035972 /nfs/dbraw/zinc/03/59/72/948035972.db2.gz LTEGFIBCHYECPK-SECBINFHSA-N -1 1 347.383 -0.558 20 0 EBADMM CC(=O)N(C)C[C@H](O)CN(C)c1snc(C)c1-c1nn[n-]n1 ZINC001573408194 948063741 /nfs/dbraw/zinc/06/37/41/948063741.db2.gz DEBYAYMYKHQIGZ-VIFPVBQESA-N -1 1 325.398 -0.093 20 0 EBADMM C[C@H](C(=O)N[C@H]1CCOC12CCOCC2)n1cnc(-c2nn[n-]n2)n1 ZINC001573672820 948112012 /nfs/dbraw/zinc/11/20/12/948112012.db2.gz JLNWLHOESLQWSL-ZJUUUORDSA-N -1 1 348.367 -0.527 20 0 EBADMM CC(=O)N1CC(C(=O)NC[C@@H](C)Nc2nccnc2-c2nnn[n-]2)C1 ZINC001573419869 948130627 /nfs/dbraw/zinc/13/06/27/948130627.db2.gz UROGKNJUNYKVJP-MRVPVSSYSA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)N1CC(C(=O)NC[C@@H](C)Nc2nccnc2-c2nn[n-]n2)C1 ZINC001573419869 948130631 /nfs/dbraw/zinc/13/06/31/948130631.db2.gz UROGKNJUNYKVJP-MRVPVSSYSA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)N1C[C@@H](C)O[C@]2(CCN(c3ccnc(-c4nn[n-]n4)n3)C2)C1 ZINC001573426608 948158030 /nfs/dbraw/zinc/15/80/30/948158030.db2.gz MVRBKIMNMZUQPI-BMIGLBTASA-N -1 1 344.379 -0.127 20 0 EBADMM C[C@@H](C(=O)NCC(C)(C)n1ccnc1)n1cnc(-c2nn[n-]n2)n1 ZINC001573680769 948187727 /nfs/dbraw/zinc/18/77/27/948187727.db2.gz ROWJSXCBMYSKBR-VIFPVBQESA-N -1 1 330.356 -0.233 20 0 EBADMM C[C@@H](C(=O)NC[C@H](CO)Nc1cnc(-c2nnn[n-]2)cn1)C1CCC1 ZINC001573681578 948208995 /nfs/dbraw/zinc/20/89/95/948208995.db2.gz WONHHBDAFJJUMW-MWLCHTKSSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](C(=O)NC[C@H](CO)Nc1cnc(-c2nn[n-]n2)cn1)C1CCC1 ZINC001573681578 948209004 /nfs/dbraw/zinc/20/90/04/948209004.db2.gz WONHHBDAFJJUMW-MWLCHTKSSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](C(=O)NC[C@H](O)CNc1ccnc(-c2nn[n-]n2)n1)C(C)(C)C ZINC001573690594 948227440 /nfs/dbraw/zinc/22/74/40/948227440.db2.gz FDFOGMQUNTYDNY-VHSXEESVSA-N -1 1 348.411 -0.350 20 0 EBADMM C[C@@H](C(=O)NC[C@H](O)CNc1cnc(-c2nnn[n-]2)cn1)C1CC1 ZINC001573694491 948295555 /nfs/dbraw/zinc/29/55/55/948295555.db2.gz WHQJNTICTSJKLL-PSASIEDQSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@@H](C(=O)NC[C@H](O)CNc1cnc(-c2nn[n-]n2)cn1)C1CC1 ZINC001573694491 948295559 /nfs/dbraw/zinc/29/55/59/948295559.db2.gz WHQJNTICTSJKLL-PSASIEDQSA-N -1 1 332.368 -0.408 20 0 EBADMM C[C@@H](C(=O)NCC[C@H](O)C(F)(F)F)n1cnc(-c2nn[n-]n2)n1 ZINC001573708740 948457161 /nfs/dbraw/zinc/45/71/61/948457161.db2.gz XUSKOSRRUYKUOU-WDSKDSINSA-N -1 1 334.262 -0.551 20 0 EBADMM C[C@H](C(=O)NCc1nccnc1Cl)n1cnc(-c2nn[n-]n2)n1 ZINC001573729658 948569801 /nfs/dbraw/zinc/56/98/01/948569801.db2.gz HZIFVQAYEXXJSW-ZCFIWIBFSA-N -1 1 334.731 -0.221 20 0 EBADMM C[C@@H](C(=O)NCc1nc2c([nH]1)CCCC2)n1cnc(-c2nn[n-]n2)n1 ZINC001573729734 948571765 /nfs/dbraw/zinc/57/17/65/948571765.db2.gz JXDOCPBDHTUFMG-QMMMGPOBSA-N -1 1 342.367 -0.063 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)NC(=O)[C@H](C)n1cccn1 ZINC001573742249 948659820 /nfs/dbraw/zinc/65/98/20/948659820.db2.gz JLSORIKGHYDHHI-ZJUUUORDSA-N -1 1 342.367 -0.547 20 0 EBADMM O=C(c1cc(F)c(-c2nnn[n-]2)c(F)c1)N1CC[C@@H](O)[C@@H](O)C1 ZINC001570923383 948660014 /nfs/dbraw/zinc/66/00/14/948660014.db2.gz XCRKFUFSKCVNOI-ZJUUUORDSA-N -1 1 325.275 -0.287 20 0 EBADMM O=C(c1cc(F)c(-c2nn[n-]n2)c(F)c1)N1CC[C@@H](O)[C@@H](O)C1 ZINC001570923383 948660017 /nfs/dbraw/zinc/66/00/17/948660017.db2.gz XCRKFUFSKCVNOI-ZJUUUORDSA-N -1 1 325.275 -0.287 20 0 EBADMM O=C([C@H]1CCCO1)N1CC[C@@H](Nc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001570927206 948704849 /nfs/dbraw/zinc/70/48/49/948704849.db2.gz BXSMAFSPTJTBKD-MWLCHTKSSA-N -1 1 330.352 -0.152 20 0 EBADMM O=C([C@H]1CCCO1)N1CC[C@@H](Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001570927206 948704854 /nfs/dbraw/zinc/70/48/54/948704854.db2.gz BXSMAFSPTJTBKD-MWLCHTKSSA-N -1 1 330.352 -0.152 20 0 EBADMM Cc1nsc(N2CC[C@H](CS(N)(=O)=O)C2)c1-c1nn[n-]n1 ZINC001570927739 948717283 /nfs/dbraw/zinc/71/72/83/948717283.db2.gz KFJARITUUHPVGV-ZETCQYMHSA-N -1 1 329.411 -0.254 20 0 EBADMM CC(C)(CNC(=O)c1ccc(-c2nnn[n-]2)o1)CS(N)(=O)=O ZINC001570927945 948723219 /nfs/dbraw/zinc/72/32/19/948723219.db2.gz YGJJAURUXWQXKT-UHFFFAOYSA-N -1 1 328.354 -0.496 20 0 EBADMM CC(C)(CNC(=O)c1ccc(-c2nn[n-]n2)o1)CS(N)(=O)=O ZINC001570927945 948723228 /nfs/dbraw/zinc/72/32/28/948723228.db2.gz YGJJAURUXWQXKT-UHFFFAOYSA-N -1 1 328.354 -0.496 20 0 EBADMM O=C(N[C@H]1CCN(c2ccnc(-c3nn[n-]n3)n2)C1)[C@H]1CCCO1 ZINC001570928101 948725809 /nfs/dbraw/zinc/72/58/09/948725809.db2.gz MJDUOPRMNXJICW-VHSXEESVSA-N -1 1 330.352 -0.469 20 0 EBADMM COc1ncc(NC(=O)N2CC(=O)N[C@H](C)C2)cc1-c1nn[n-]n1 ZINC001570928188 948728676 /nfs/dbraw/zinc/72/86/76/948728676.db2.gz DKDNIOKKSLPIOA-SSDOTTSWSA-N -1 1 332.324 -0.378 20 0 EBADMM CCN(C(=O)c1[nH]c(=O)c(-c2nn[n-]n2)cc1C)[C@H]1CCNC1=O ZINC001570929054 948749739 /nfs/dbraw/zinc/74/97/39/948749739.db2.gz FYGNZXKVIVDCIJ-VIFPVBQESA-N -1 1 331.336 -0.374 20 0 EBADMM O=C(Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)NC1(C2CC2)CCC1 ZINC001570929259 948759316 /nfs/dbraw/zinc/75/93/16/948759316.db2.gz KFINARXDBPSMHR-UHFFFAOYSA-N -1 1 331.336 -0.422 20 0 EBADMM C[C@H](CCNc1cnc(-c2nnn[n-]2)cn1)NC(=O)[C@H]1C[C@H]1C(N)=O ZINC001574679212 948769127 /nfs/dbraw/zinc/76/91/27/948769127.db2.gz QLJARIYYVPIHQL-HLTSFMKQSA-N -1 1 345.367 -0.915 20 0 EBADMM C[C@H](CCNc1cnc(-c2nn[n-]n2)cn1)NC(=O)[C@H]1C[C@H]1C(N)=O ZINC001574679212 948769139 /nfs/dbraw/zinc/76/91/39/948769139.db2.gz QLJARIYYVPIHQL-HLTSFMKQSA-N -1 1 345.367 -0.915 20 0 EBADMM C[C@@H](CNC(=O)CCc1cn[nH]c1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574916586 948783701 /nfs/dbraw/zinc/78/37/01/948783701.db2.gz DTUCHOWUFXCQQZ-VIFPVBQESA-N -1 1 342.367 -0.071 20 0 EBADMM C[C@@H](CNC(=O)CCc1cn[nH]c1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574916586 948783720 /nfs/dbraw/zinc/78/37/20/948783720.db2.gz DTUCHOWUFXCQQZ-VIFPVBQESA-N -1 1 342.367 -0.071 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)Cn1cncn1 ZINC001574679933 948795363 /nfs/dbraw/zinc/79/53/63/948795363.db2.gz CDBRTPXLVMVBDS-VIFPVBQESA-N -1 1 343.355 -0.745 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)Cn1cncn1 ZINC001574679933 948795379 /nfs/dbraw/zinc/79/53/79/948795379.db2.gz CDBRTPXLVMVBDS-VIFPVBQESA-N -1 1 343.355 -0.745 20 0 EBADMM CCC1(CNC(=O)Cn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)CCC1 ZINC001570930563 948818423 /nfs/dbraw/zinc/81/84/23/948818423.db2.gz LXNMNZGPLXLAOR-UHFFFAOYSA-N -1 1 333.352 -0.175 20 0 EBADMM CC(C)(O)CC(=O)N1CCN(c2nccnc2-c2nnn[n-]2)CC1 ZINC001570930501 948819225 /nfs/dbraw/zinc/81/92/25/948819225.db2.gz LMBGFKCUGCSWBB-UHFFFAOYSA-N -1 1 332.368 -0.534 20 0 EBADMM CC(C)(O)CC(=O)N1CCN(c2nccnc2-c2nn[n-]n2)CC1 ZINC001570930501 948819241 /nfs/dbraw/zinc/81/92/41/948819241.db2.gz LMBGFKCUGCSWBB-UHFFFAOYSA-N -1 1 332.368 -0.534 20 0 EBADMM CC(C)[C@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)c1cnn(C)c1 ZINC001574081251 948822934 /nfs/dbraw/zinc/82/29/34/948822934.db2.gz ZDTCYMWZRXUEBA-NSHDSACASA-N -1 1 330.356 -0.295 20 0 EBADMM CO[C@H](C)C(=O)N1C[C@@H](C)[C@H](Nc2cncc(-c3nnn[n-]3)n2)C1 ZINC001570931166 948835889 /nfs/dbraw/zinc/83/58/89/948835889.db2.gz SWMMWNHJQQGYPU-FXPVBKGRSA-N -1 1 332.368 -0.050 20 0 EBADMM CO[C@H](C)C(=O)N1C[C@@H](C)[C@H](Nc2cncc(-c3nn[n-]n3)n2)C1 ZINC001570931166 948835901 /nfs/dbraw/zinc/83/59/01/948835901.db2.gz SWMMWNHJQQGYPU-FXPVBKGRSA-N -1 1 332.368 -0.050 20 0 EBADMM CC(C)c1nc(CCNC(=O)Cn2cnc(-c3nn[n-]n3)n2)n(C)n1 ZINC001574425454 948871766 /nfs/dbraw/zinc/87/17/66/948871766.db2.gz DYPARJQTGZROIF-UHFFFAOYSA-N -1 1 345.371 -0.931 20 0 EBADMM CCNC(=O)[C@@H](Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[n-]n1)C(C)C ZINC001570933967 948918440 /nfs/dbraw/zinc/91/84/40/948918440.db2.gz HANNBEJVOWDQPS-QMMMGPOBSA-N -1 1 336.356 -0.761 20 0 EBADMM CC(C)[C@@]1(C)C[C@H]1NC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001574088482 948923472 /nfs/dbraw/zinc/92/34/72/948923472.db2.gz FODYHSZMVWCVSQ-YMTOWFKASA-N -1 1 333.352 -0.320 20 0 EBADMM COC(=O)[C@@H]1CC[C@H](NC(=O)[C@@H](C)n2cnc(-c3nn[n-]n3)n2)C1 ZINC001570934766 948953930 /nfs/dbraw/zinc/95/39/30/948953930.db2.gz NKIZTRRONDYQSP-HLTSFMKQSA-N -1 1 334.340 -0.523 20 0 EBADMM C[C@H](CNC(=O)CN1CCCC1=O)Nc1nccnc1-c1nnn[n-]1 ZINC001574925792 948976567 /nfs/dbraw/zinc/97/65/67/948976567.db2.gz DOVNTDRSZBIXHH-SECBINFHSA-N -1 1 345.367 -0.804 20 0 EBADMM C[C@H](CNC(=O)CN1CCCC1=O)Nc1nccnc1-c1nn[n-]n1 ZINC001574925792 948976576 /nfs/dbraw/zinc/97/65/76/948976576.db2.gz DOVNTDRSZBIXHH-SECBINFHSA-N -1 1 345.367 -0.804 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)[C@@H]1CCOC1 ZINC001574689507 948987779 /nfs/dbraw/zinc/98/77/79/948987779.db2.gz HJUKBUFMRWYJMN-VHSXEESVSA-N -1 1 332.368 0.000 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)[C@@H]1CCOC1 ZINC001574689507 948987793 /nfs/dbraw/zinc/98/77/93/948987793.db2.gz HJUKBUFMRWYJMN-VHSXEESVSA-N -1 1 332.368 0.000 20 0 EBADMM COCC[C@H](CO)NC(=O)Nc1cnc(OC)c(-c2nn[n-]n2)c1 ZINC001570936168 949019091 /nfs/dbraw/zinc/01/90/91/949019091.db2.gz XDFVCMHDVXSKGM-MRVPVSSYSA-N -1 1 337.340 -0.211 20 0 EBADMM CSC[C@@H](C)C(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570936796 949053277 /nfs/dbraw/zinc/05/32/77/949053277.db2.gz OPHHNLKJVWZZAI-SSDOTTSWSA-N -1 1 339.381 -0.756 20 0 EBADMM O=C1OC[C@@H]2C[N@@H+](Cc3cc(=O)n4[n-]cc(-c5nnn[n-]5)c4n3)C[C@H]12 ZINC001570938524 949105984 /nfs/dbraw/zinc/10/59/84/949105984.db2.gz FBJXOQBWOVXHIJ-XVKPBYJWSA-N -1 1 342.319 -0.780 20 0 EBADMM CC(C)(C(=O)NCc1ccnc(-c2nnn[n-]2)c1)N1CCOCC1 ZINC001573782512 949125741 /nfs/dbraw/zinc/12/57/41/949125741.db2.gz AZJRNDCHVGBZQW-UHFFFAOYSA-N -1 1 331.380 -0.011 20 0 EBADMM CC(C)(C(=O)NCc1ccnc(-c2nn[n-]n2)c1)N1CCOCC1 ZINC001573782512 949125760 /nfs/dbraw/zinc/12/57/60/949125760.db2.gz AZJRNDCHVGBZQW-UHFFFAOYSA-N -1 1 331.380 -0.011 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)[C@H]1CCC(=O)N1 ZINC001574696423 949127219 /nfs/dbraw/zinc/12/72/19/949127219.db2.gz QBUXCXFQOLQXKK-RKDXNWHRSA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)[C@H]1CCC(=O)N1 ZINC001574696423 949127233 /nfs/dbraw/zinc/12/72/33/949127233.db2.gz QBUXCXFQOLQXKK-RKDXNWHRSA-N -1 1 345.367 -0.758 20 0 EBADMM O=C(c1cn[nH]n1)N1CCC(Nc2cncc(-c3nnn[n-]3)n2)CC1 ZINC001570939476 949139078 /nfs/dbraw/zinc/13/90/78/949139078.db2.gz DLMBESZNJKQFJE-UHFFFAOYSA-N -1 1 341.339 -0.509 20 0 EBADMM O=C(c1cn[nH]n1)N1CCC(Nc2cncc(-c3nn[n-]n3)n2)CC1 ZINC001570939476 949139090 /nfs/dbraw/zinc/13/90/90/949139090.db2.gz DLMBESZNJKQFJE-UHFFFAOYSA-N -1 1 341.339 -0.509 20 0 EBADMM O=C(Cc1cnoc1)N1CC[C@@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001570940414 949178965 /nfs/dbraw/zinc/17/89/65/949178965.db2.gz LGHYEJKNWZZOQC-SNVBAGLBSA-N -1 1 341.335 -0.100 20 0 EBADMM O=C(Cc1cnoc1)N1CC[C@@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001570940414 949178977 /nfs/dbraw/zinc/17/89/77/949178977.db2.gz LGHYEJKNWZZOQC-SNVBAGLBSA-N -1 1 341.335 -0.100 20 0 EBADMM Cc1ncoc1C(=O)N[C@H]1CCN(c2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570940409 949180325 /nfs/dbraw/zinc/18/03/25/949180325.db2.gz LCKLDSQKJMZTJR-VIFPVBQESA-N -1 1 341.335 -0.038 20 0 EBADMM C[C@@H]1CN(C(=O)c2cn[nH]n2)C[C@@H]1Nc1nccnc1-c1nnn[n-]1 ZINC001570940937 949201319 /nfs/dbraw/zinc/20/13/19/949201319.db2.gz ONHNJAXZGSTQCU-APPZFPTMSA-N -1 1 341.339 -0.653 20 0 EBADMM C[C@@H]1CN(C(=O)c2cn[nH]n2)C[C@@H]1Nc1nccnc1-c1nn[n-]n1 ZINC001570940937 949201339 /nfs/dbraw/zinc/20/13/39/949201339.db2.gz ONHNJAXZGSTQCU-APPZFPTMSA-N -1 1 341.339 -0.653 20 0 EBADMM C[C@H]1CN(C(=O)c2cn[nH]n2)C[C@@H]1Nc1nccnc1-c1nnn[n-]1 ZINC001570940941 949201856 /nfs/dbraw/zinc/20/18/56/949201856.db2.gz ONHNJAXZGSTQCU-CBAPKCEASA-N -1 1 341.339 -0.653 20 0 EBADMM C[C@H]1CN(C(=O)c2cn[nH]n2)C[C@@H]1Nc1nccnc1-c1nn[n-]n1 ZINC001570940941 949201874 /nfs/dbraw/zinc/20/18/74/949201874.db2.gz ONHNJAXZGSTQCU-CBAPKCEASA-N -1 1 341.339 -0.653 20 0 EBADMM O=C(Cc1cnoc1)N[C@@H]1CCN(c2cncc(-c3nnn[n-]3)n2)C1 ZINC001570941728 949241269 /nfs/dbraw/zinc/24/12/69/949241269.db2.gz ZLJHUCFABRDFGB-SNVBAGLBSA-N -1 1 341.335 -0.418 20 0 EBADMM O=C(Cc1cnoc1)N[C@@H]1CCN(c2cncc(-c3nn[n-]n3)n2)C1 ZINC001570941728 949241291 /nfs/dbraw/zinc/24/12/91/949241291.db2.gz ZLJHUCFABRDFGB-SNVBAGLBSA-N -1 1 341.335 -0.418 20 0 EBADMM CC(C)(C)C(=O)N(CCO)CCNc1ccc(-c2nnn[n-]2)nn1 ZINC001573793343 949290935 /nfs/dbraw/zinc/29/09/35/949290935.db2.gz PKWFBLIWWCVUCX-UHFFFAOYSA-N -1 1 334.384 -0.064 20 0 EBADMM CC(C)(C)C(=O)N(CCO)CCNc1ccc(-c2nn[n-]n2)nn1 ZINC001573793343 949290955 /nfs/dbraw/zinc/29/09/55/949290955.db2.gz PKWFBLIWWCVUCX-UHFFFAOYSA-N -1 1 334.384 -0.064 20 0 EBADMM CCS(=O)(=O)C1CN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC001570942671 949306255 /nfs/dbraw/zinc/30/62/55/949306255.db2.gz TXNWGRZWEUTIBX-UHFFFAOYSA-N -1 1 340.369 -0.749 20 0 EBADMM CCS(=O)(=O)C1CN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC001570942671 949306267 /nfs/dbraw/zinc/30/62/67/949306267.db2.gz TXNWGRZWEUTIBX-UHFFFAOYSA-N -1 1 340.369 -0.749 20 0 EBADMM CC(C)(C)C(=O)C(=O)NC[C@@H](O)CNc1nccnc1-c1nnn[n-]1 ZINC001573793737 949306989 /nfs/dbraw/zinc/30/69/89/949306989.db2.gz XRBJUAWWZLKEIN-QMMMGPOBSA-N -1 1 348.367 -0.839 20 0 EBADMM CC(C)(C)C(=O)C(=O)NC[C@@H](O)CNc1nccnc1-c1nn[n-]n1 ZINC001573793737 949307012 /nfs/dbraw/zinc/30/70/12/949307012.db2.gz XRBJUAWWZLKEIN-QMMMGPOBSA-N -1 1 348.367 -0.839 20 0 EBADMM C[C@@H](CNC(=O)Cc1ccon1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001574942288 949351188 /nfs/dbraw/zinc/35/11/88/949351188.db2.gz NDAJGXQLPFXRFW-VIFPVBQESA-N -1 1 343.351 -0.172 20 0 EBADMM C[C@@H](CNC(=O)Cc1ccon1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001574942288 949351210 /nfs/dbraw/zinc/35/12/10/949351210.db2.gz NDAJGXQLPFXRFW-VIFPVBQESA-N -1 1 343.351 -0.172 20 0 EBADMM CC(C)n1ccc(NC(=O)Cn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)n1 ZINC001574459459 949355169 /nfs/dbraw/zinc/35/51/69/949355169.db2.gz HIRDWDFVXDVQND-UHFFFAOYSA-N -1 1 345.323 -0.455 20 0 EBADMM C[C@@H](CNC(=O)Cc1ccon1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574943308 949376010 /nfs/dbraw/zinc/37/60/10/949376010.db2.gz VWCZNTSQDGQIAU-QMMMGPOBSA-N -1 1 329.324 -0.196 20 0 EBADMM C[C@@H](CNC(=O)Cc1ccon1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574943308 949376029 /nfs/dbraw/zinc/37/60/29/949376029.db2.gz VWCZNTSQDGQIAU-QMMMGPOBSA-N -1 1 329.324 -0.196 20 0 EBADMM Cc1onc(CC(=O)NCC2N=NC(=O)N2C2CC2)c1-c1nn[n-]n1 ZINC001570944052 949401349 /nfs/dbraw/zinc/40/13/49/949401349.db2.gz DHKSTWUWFNGXEM-UHFFFAOYSA-N -1 1 345.323 -0.346 20 0 EBADMM C[C@@H](CNC(=O)Cc1cnoc1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574945732 949417171 /nfs/dbraw/zinc/41/71/71/949417171.db2.gz NBIIUHFXHKQJRZ-QMMMGPOBSA-N -1 1 329.324 -0.196 20 0 EBADMM C[C@@H](CNC(=O)Cc1cnoc1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574945732 949417182 /nfs/dbraw/zinc/41/71/82/949417182.db2.gz NBIIUHFXHKQJRZ-QMMMGPOBSA-N -1 1 329.324 -0.196 20 0 EBADMM C[C@H](CNC(=O)Cc1ncc[nH]1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001574945803 949420489 /nfs/dbraw/zinc/42/04/89/949420489.db2.gz OKRPETKIXGDIHJ-SECBINFHSA-N -1 1 342.367 -0.437 20 0 EBADMM C[C@H](CNC(=O)Cc1ncc[nH]1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001574945803 949420501 /nfs/dbraw/zinc/42/05/01/949420501.db2.gz OKRPETKIXGDIHJ-SECBINFHSA-N -1 1 342.367 -0.437 20 0 EBADMM C[C@H](CNC(=O)Cc1ncc[nH]1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001574945982 949423877 /nfs/dbraw/zinc/42/38/77/949423877.db2.gz QXMKHSVCNFJADX-VIFPVBQESA-N -1 1 342.367 -0.213 20 0 EBADMM C[C@H](CNC(=O)Cc1ncc[nH]1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001574945982 949423895 /nfs/dbraw/zinc/42/38/95/949423895.db2.gz QXMKHSVCNFJADX-VIFPVBQESA-N -1 1 342.367 -0.213 20 0 EBADMM CC1=NO[C@H](C(=O)Nc2[nH]nc(N3CCOCC3)c2-c2nnn[n-]2)C1 ZINC001575867075 949429949 /nfs/dbraw/zinc/42/99/49/949429949.db2.gz XOQDZRLOZMXSQI-QMMMGPOBSA-N -1 1 347.339 -0.470 20 0 EBADMM CC1=NO[C@H](C(=O)Nc2[nH]nc(N3CCOCC3)c2-c2nn[n-]n2)C1 ZINC001575867075 949429964 /nfs/dbraw/zinc/42/99/64/949429964.db2.gz XOQDZRLOZMXSQI-QMMMGPOBSA-N -1 1 347.339 -0.470 20 0 EBADMM C[C@H](CN(C)C(=O)C1=COCCO1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574705749 949433269 /nfs/dbraw/zinc/43/32/69/949433269.db2.gz UTPABXPKOMZKSX-SECBINFHSA-N -1 1 346.351 -0.196 20 0 EBADMM C[C@H](CN(C)C(=O)C1=COCCO1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574705749 949433283 /nfs/dbraw/zinc/43/32/83/949433283.db2.gz UTPABXPKOMZKSX-SECBINFHSA-N -1 1 346.351 -0.196 20 0 EBADMM CS(C)(=O)=NS(=O)(=O)CCNc1ccnc(-c2nnn[n-]2)c1 ZINC001570945206 949463109 /nfs/dbraw/zinc/46/31/09/949463109.db2.gz QLTGHYMKSWUVLR-UHFFFAOYSA-N -1 1 345.410 -0.269 20 0 EBADMM CS(C)(=O)=NS(=O)(=O)CCNc1ccnc(-c2nn[n-]n2)c1 ZINC001570945206 949463127 /nfs/dbraw/zinc/46/31/27/949463127.db2.gz QLTGHYMKSWUVLR-UHFFFAOYSA-N -1 1 345.410 -0.269 20 0 EBADMM CO[C@@H]1CN(c2ccc(-c3nnn[n-]3)nn2)C[C@H]1NC(=O)C1(C)CC1 ZINC001570945523 949483835 /nfs/dbraw/zinc/48/38/35/949483835.db2.gz CIELSHLTHCTNQS-GHMZBOCLSA-N -1 1 344.379 -0.223 20 0 EBADMM CO[C@@H]1CN(c2ccc(-c3nn[n-]n3)nn2)C[C@H]1NC(=O)C1(C)CC1 ZINC001570945523 949483843 /nfs/dbraw/zinc/48/38/43/949483843.db2.gz CIELSHLTHCTNQS-GHMZBOCLSA-N -1 1 344.379 -0.223 20 0 EBADMM O=C(c1csnn1)N1CC[C@@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570945586 949487387 /nfs/dbraw/zinc/48/73/87/949487387.db2.gz FFGPMTPQFRGUEA-SSDOTTSWSA-N -1 1 344.364 -0.743 20 0 EBADMM CC(C)CC(=O)NC[C@@]1(O)CCN(c2nccnc2-c2nnn[n-]2)C1 ZINC001574119002 949496549 /nfs/dbraw/zinc/49/65/49/949496549.db2.gz HJBAAMNMAQGGMV-HNNXBMFYSA-N -1 1 346.395 -0.240 20 0 EBADMM CC(C)CC(=O)NC[C@@]1(O)CCN(c2nccnc2-c2nn[n-]n2)C1 ZINC001574119002 949496559 /nfs/dbraw/zinc/49/65/59/949496559.db2.gz HJBAAMNMAQGGMV-HNNXBMFYSA-N -1 1 346.395 -0.240 20 0 EBADMM CO[C@@H](C)C(=O)N1CC[C@@H](CN(C)c2nccnc2-c2nnn[n-]2)C1 ZINC001570945742 949499063 /nfs/dbraw/zinc/49/90/63/949499063.db2.gz BVMCJTCBUFUWMJ-QWRGUYRKSA-N -1 1 346.395 -0.024 20 0 EBADMM CO[C@@H](C)C(=O)N1CC[C@@H](CN(C)c2nccnc2-c2nn[n-]n2)C1 ZINC001570945742 949499078 /nfs/dbraw/zinc/49/90/78/949499078.db2.gz BVMCJTCBUFUWMJ-QWRGUYRKSA-N -1 1 346.395 -0.024 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NC[C@@H]1COCCN1c1cncc(-c2nnn[n-]2)n1 ZINC001570945934 949510265 /nfs/dbraw/zinc/51/02/65/949510265.db2.gz LJGVBUKOWRHYKC-AXFHLTTASA-N -1 1 344.379 -0.366 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NC[C@@H]1COCCN1c1cncc(-c2nn[n-]n2)n1 ZINC001570945934 949510285 /nfs/dbraw/zinc/51/02/85/949510285.db2.gz LJGVBUKOWRHYKC-AXFHLTTASA-N -1 1 344.379 -0.366 20 0 EBADMM O=C(N[C@H]1C[C@H](Nc2ccc(-c3nnn[n-]3)nn2)C1)c1csnn1 ZINC001570946111 949518491 /nfs/dbraw/zinc/51/84/91/949518491.db2.gz NHSHFYXFWDRZSO-LJGSYFOKSA-N -1 1 344.364 -0.119 20 0 EBADMM O=C(N[C@H]1C[C@H](Nc2ccc(-c3nn[n-]n3)nn2)C1)c1csnn1 ZINC001570946111 949518506 /nfs/dbraw/zinc/51/85/06/949518506.db2.gz NHSHFYXFWDRZSO-LJGSYFOKSA-N -1 1 344.364 -0.119 20 0 EBADMM O=C(N[C@@H]1CCCN(c2ccnc(-c3nn[n-]n3)n2)C1)[C@@H]1CCOC1 ZINC001570946232 949524639 /nfs/dbraw/zinc/52/46/39/949524639.db2.gz OJTFHVYRRNUVCY-GHMZBOCLSA-N -1 1 344.379 -0.222 20 0 EBADMM Cn1c(=O)[nH]c(NCc2cn(C3CCC3)nn2)c(-c2nn[n-]n2)c1=O ZINC001570946618 949539371 /nfs/dbraw/zinc/53/93/71/949539371.db2.gz RIMIEPMFTHMYSZ-UHFFFAOYSA-N -1 1 344.339 -0.405 20 0 EBADMM COc1cn(C)nc1[C@H](C)NC(=O)[C@@H](C)n1cnc(-c2nn[n-]n2)n1 ZINC001570947072 949558503 /nfs/dbraw/zinc/55/85/03/949558503.db2.gz RTESNKMTQCCETK-JGVFFNPUSA-N -1 1 346.355 -0.361 20 0 EBADMM O=C([C@@H]1COCCO1)N1CC[C@H](Nc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001570947449 949571955 /nfs/dbraw/zinc/57/19/55/949571955.db2.gz VSJPJTURCACXBO-ONGXEEELSA-N -1 1 346.351 -0.915 20 0 EBADMM O=C([C@@H]1COCCO1)N1CC[C@H](Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001570947449 949571975 /nfs/dbraw/zinc/57/19/75/949571975.db2.gz VSJPJTURCACXBO-ONGXEEELSA-N -1 1 346.351 -0.915 20 0 EBADMM CCO[C@@H]1C[C@@H]1C(=O)N[C@H]1C[C@@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570947406 949572349 /nfs/dbraw/zinc/57/23/49/949572349.db2.gz YXQPASIQUMDJHN-ZRUFSTJUSA-N -1 1 344.379 -0.437 20 0 EBADMM Cn1c(=O)[nH]c(N2CC[C@H](O)[C@@H]3CCCC[C@H]32)c(-c2nn[n-]n2)c1=O ZINC001570947404 949573603 /nfs/dbraw/zinc/57/36/03/949573603.db2.gz AOUBUEHWYUZHCS-BBBLOLIVSA-N -1 1 347.379 -0.617 20 0 EBADMM CC(C)CC(=O)N[C@@H]1CN(c2ccc(-c3nnn[n-]3)nn2)C[C@H]1O ZINC001574126042 949601908 /nfs/dbraw/zinc/60/19/08/949601908.db2.gz XGQNJNULELDLLT-GHMZBOCLSA-N -1 1 332.368 -0.632 20 0 EBADMM CC(C)CC(=O)N[C@@H]1CN(c2ccc(-c3nn[n-]n3)nn2)C[C@H]1O ZINC001574126042 949601922 /nfs/dbraw/zinc/60/19/22/949601922.db2.gz XGQNJNULELDLLT-GHMZBOCLSA-N -1 1 332.368 -0.632 20 0 EBADMM C[C@@H](NC(=O)CCCC(N)=O)[C@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001575270767 949602320 /nfs/dbraw/zinc/60/23/20/949602320.db2.gz GCEAPUIKGUCSOJ-BDAKNGLRSA-N -1 1 347.383 -0.383 20 0 EBADMM C[C@@H](NC(=O)CCCC(N)=O)[C@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001575270767 949602330 /nfs/dbraw/zinc/60/23/30/949602330.db2.gz GCEAPUIKGUCSOJ-BDAKNGLRSA-N -1 1 347.383 -0.383 20 0 EBADMM C[C@@H](CNC(=O)[C@@H]1CCC(=O)N1C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574957494 949617489 /nfs/dbraw/zinc/61/74/89/949617489.db2.gz ZGJZOCOAHCHZHX-WPRPVWTQSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@H](CNC(=O)[C@@H]1CCC(=O)N1C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574957494 949617506 /nfs/dbraw/zinc/61/75/06/949617506.db2.gz ZGJZOCOAHCHZHX-WPRPVWTQSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](CNC(=O)[C@]12C[C@H]1COC2)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001574957970 949640533 /nfs/dbraw/zinc/64/05/33/949640533.db2.gz KFGLSRIJDZLMGN-YHAQOWFVSA-N -1 1 344.379 -0.143 20 0 EBADMM C[C@H](CNC(=O)[C@]12C[C@H]1COC2)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001574957970 949640551 /nfs/dbraw/zinc/64/05/51/949640551.db2.gz KFGLSRIJDZLMGN-YHAQOWFVSA-N -1 1 344.379 -0.143 20 0 EBADMM C[C@@H](NC(=O)CCCC(N)=O)[C@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575272626 949650288 /nfs/dbraw/zinc/65/02/88/949650288.db2.gz RIZAESPFDVJMHK-DTWKUNHWSA-N -1 1 347.383 -0.383 20 0 EBADMM C[C@@H](NC(=O)CCCC(N)=O)[C@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575272626 949650294 /nfs/dbraw/zinc/65/02/94/949650294.db2.gz RIZAESPFDVJMHK-DTWKUNHWSA-N -1 1 347.383 -0.383 20 0 EBADMM C[C@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001574959102 949702369 /nfs/dbraw/zinc/70/23/69/949702369.db2.gz YZVSPKSFWTXHND-UXCLJVHYSA-N -1 1 344.379 -0.865 20 0 EBADMM C[C@@]1(C(=O)N[C@@H]2CCN(c3ccnc(-c4nn[n-]n4)n3)C2)CCOC1 ZINC001575568902 949902007 /nfs/dbraw/zinc/90/20/07/949902007.db2.gz CXUXVFNYSMPFST-MEBBXXQBSA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1CCC(=O)N1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574732514 950077578 /nfs/dbraw/zinc/07/75/78/950077578.db2.gz JFZRFLVSLIIHEE-IUCAKERBSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1CCC(=O)N1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574732514 950077596 /nfs/dbraw/zinc/07/75/96/950077596.db2.gz JFZRFLVSLIIHEE-IUCAKERBSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](CN(C)C(=O)Cn1cccn1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574733245 950106543 /nfs/dbraw/zinc/10/65/43/950106543.db2.gz WVHHEZYJHUCVGF-SNVBAGLBSA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@H](CN(C)C(=O)Cn1cccn1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574733245 950106556 /nfs/dbraw/zinc/10/65/56/950106556.db2.gz WVHHEZYJHUCVGF-SNVBAGLBSA-N -1 1 342.367 -0.188 20 0 EBADMM CC(C)[C@@H](O)C(=O)N(C)C[C@@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001574734946 950155913 /nfs/dbraw/zinc/15/59/13/950155913.db2.gz VQZLDWXKZWVUFJ-MWLCHTKSSA-N -1 1 334.384 -0.068 20 0 EBADMM CC(C)[C@@H](O)C(=O)N(C)C[C@@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001574734946 950155931 /nfs/dbraw/zinc/15/59/31/950155931.db2.gz VQZLDWXKZWVUFJ-MWLCHTKSSA-N -1 1 334.384 -0.068 20 0 EBADMM CC(C)(C)CC[C@@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)C(N)=O ZINC001573842862 950162443 /nfs/dbraw/zinc/16/24/43/950162443.db2.gz NCRQZVLCQBRQNM-MRVPVSSYSA-N -1 1 335.372 -0.745 20 0 EBADMM CCN(C(=O)C(=O)NCC1(C(=O)[O-])CCOCC1)[C@@H]1CCN(C)C1 ZINC001589696868 950173353 /nfs/dbraw/zinc/17/33/53/950173353.db2.gz FHFZWODIQIMAJQ-GFCCVEGCSA-N -1 1 341.408 -0.463 20 0 EBADMM C[C@H](CC(=O)NC[C@@H](O)CNc1cncc(-c2nnn[n-]2)n1)C1CC1 ZINC001574497868 950244810 /nfs/dbraw/zinc/24/48/10/950244810.db2.gz FJXHZDJMCOBBLP-KOLCDFICSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](CC(=O)NC[C@@H](O)CNc1cncc(-c2nn[n-]n2)n1)C1CC1 ZINC001574497868 950244826 /nfs/dbraw/zinc/24/48/26/950244826.db2.gz FJXHZDJMCOBBLP-KOLCDFICSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](CN(C)C(=O)c1cn[nH]n1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574744509 950352102 /nfs/dbraw/zinc/35/21/02/950352102.db2.gz ZNNOPCCSVAZTCA-SSDOTTSWSA-N -1 1 329.328 -0.653 20 0 EBADMM CC1(C(=O)N[C@@H]2CN(c3cnc(-c4nnn[n-]4)cn3)C[C@@H]2O)CCC1 ZINC001575605680 950478329 /nfs/dbraw/zinc/47/83/29/950478329.db2.gz SZNNJVVQCFEXAU-MNOVXSKESA-N -1 1 344.379 -0.487 20 0 EBADMM CC1(C(=O)N[C@@H]2CN(c3cnc(-c4nn[n-]n4)cn3)C[C@@H]2O)CCC1 ZINC001575605680 950478346 /nfs/dbraw/zinc/47/83/46/950478346.db2.gz SZNNJVVQCFEXAU-MNOVXSKESA-N -1 1 344.379 -0.487 20 0 EBADMM CCN1CC[C@@H]1CNC(=O)N[C@@H]1CCCCN(CC(=O)[O-])C1=O ZINC001589741142 950588044 /nfs/dbraw/zinc/58/80/44/950588044.db2.gz BYKFZZCDJNBKPQ-VXGBXAGGSA-N -1 1 326.397 -0.155 20 0 EBADMM C[C@H](CN(C)C(=O)c1ccn(C)n1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574760142 950655272 /nfs/dbraw/zinc/65/52/72/950655272.db2.gz UBMNAQLRWFFZCW-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CN(C)C(=O)c1ccn(C)n1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574760142 950655289 /nfs/dbraw/zinc/65/52/89/950655289.db2.gz UBMNAQLRWFFZCW-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM CC(C)CCC(=O)NC[C@H](O)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001574179515 950704899 /nfs/dbraw/zinc/70/48/99/950704899.db2.gz CQFRXKBBNGRRRS-SNVBAGLBSA-N -1 1 334.384 -0.018 20 0 EBADMM CC(C)CCC(=O)NC[C@H](O)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001574179515 950704907 /nfs/dbraw/zinc/70/49/07/950704907.db2.gz CQFRXKBBNGRRRS-SNVBAGLBSA-N -1 1 334.384 -0.018 20 0 EBADMM C[C@H](CN(C)C(=O)c1cnnn1C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574763399 950715639 /nfs/dbraw/zinc/71/56/39/950715639.db2.gz AHUBJBNDBRHLHI-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CN(C)C(=O)c1cnnn1C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574763399 950715653 /nfs/dbraw/zinc/71/56/53/950715653.db2.gz AHUBJBNDBRHLHI-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CN(C)C(=O)c1cnnn1C)Nc1nccnc1-c1nnn[n-]1 ZINC001574764168 950745009 /nfs/dbraw/zinc/74/50/09/950745009.db2.gz QKTYDRDLSPHHMG-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CN(C)C(=O)c1cnnn1C)Nc1nccnc1-c1nn[n-]n1 ZINC001574764168 950745014 /nfs/dbraw/zinc/74/50/14/950745014.db2.gz QKTYDRDLSPHHMG-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM CC(C)CCC(=O)NC[C@@H](O)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001574182092 950756286 /nfs/dbraw/zinc/75/62/86/950756286.db2.gz UFVTZTMUENOQTH-JTQLQIEISA-N -1 1 334.384 -0.596 20 0 EBADMM CC(C)CCC(=O)NC[C@@H](CO)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574182474 950757093 /nfs/dbraw/zinc/75/70/93/950757093.db2.gz WNFOHPSRZCWSOY-JTQLQIEISA-N -1 1 334.384 -0.018 20 0 EBADMM CC(C)CCC(=O)NC[C@@H](CO)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574182474 950757107 /nfs/dbraw/zinc/75/71/07/950757107.db2.gz WNFOHPSRZCWSOY-JTQLQIEISA-N -1 1 334.384 -0.018 20 0 EBADMM C[C@H](CN(C)C(=O)c1ncn(C)n1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574765250 950784184 /nfs/dbraw/zinc/78/41/84/950784184.db2.gz ATIMLORSIKLMGD-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CN(C)C(=O)c1ncn(C)n1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574765250 950784196 /nfs/dbraw/zinc/78/41/96/950784196.db2.gz ATIMLORSIKLMGD-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM CCOC(=O)C1=C(CN[C@]2(C(=O)[O-])CCOC2)NC(=O)N[C@H]1C ZINC001590179836 950872198 /nfs/dbraw/zinc/87/21/98/950872198.db2.gz SVSYOORIOSJRSN-RMLUDKJBSA-N -1 1 327.337 -0.662 20 0 EBADMM CCOC(=O)C1=C(CN[C@@]2(C(=O)[O-])CCOC2)NC(=O)N[C@H]1C ZINC001590179838 950872545 /nfs/dbraw/zinc/87/25/45/950872545.db2.gz SVSYOORIOSJRSN-RTHLEPHNSA-N -1 1 327.337 -0.662 20 0 EBADMM CC(C)(C)n1nnc(CC(=O)NCc2nc(-c3nnn[n-]3)cs2)n1 ZINC001573893696 950900292 /nfs/dbraw/zinc/90/02/92/950900292.db2.gz RPIDVDIMDJFSCH-UHFFFAOYSA-N -1 1 348.396 -0.076 20 0 EBADMM CC(C)(C)n1nnc(CC(=O)NCc2nc(-c3nn[n-]n3)cs2)n1 ZINC001573893696 950900307 /nfs/dbraw/zinc/90/03/07/950900307.db2.gz RPIDVDIMDJFSCH-UHFFFAOYSA-N -1 1 348.396 -0.076 20 0 EBADMM CC1(C)C[C@@H]1C(=O)N(CCO)CCNc1ccnc(-c2nn[n-]n2)n1 ZINC001575640698 950902322 /nfs/dbraw/zinc/90/23/22/950902322.db2.gz JTAHIPIFKYRIEU-SNVBAGLBSA-N -1 1 346.395 -0.643 20 0 EBADMM C[C@@H]1[C@@H](Nc2nccnc2-c2nnn[n-]2)CCN1C(=O)c1cnon1 ZINC001575936686 950923473 /nfs/dbraw/zinc/92/34/73/950923473.db2.gz HPDSSRWFAPIZDH-SFYZADRCSA-N -1 1 342.323 -0.245 20 0 EBADMM C[C@@H]1[C@@H](Nc2nccnc2-c2nn[n-]n2)CCN1C(=O)c1cnon1 ZINC001575936686 950923493 /nfs/dbraw/zinc/92/34/93/950923493.db2.gz HPDSSRWFAPIZDH-SFYZADRCSA-N -1 1 342.323 -0.245 20 0 EBADMM CC1CC(C(=O)N(C)C[C@H](O)CNc2cncc(-c3nnn[n-]3)n2)C1 ZINC001575937401 950945058 /nfs/dbraw/zinc/94/50/58/950945058.db2.gz FDDBBHWXZOIMJT-VQXHTEKXSA-N -1 1 346.395 -0.066 20 0 EBADMM CC1CC(C(=O)N(C)C[C@H](O)CNc2cncc(-c3nn[n-]n3)n2)C1 ZINC001575937401 950945074 /nfs/dbraw/zinc/94/50/74/950945074.db2.gz FDDBBHWXZOIMJT-VQXHTEKXSA-N -1 1 346.395 -0.066 20 0 EBADMM C[C@@H]1C[C@H](C(=O)N(C)CCN(C)c2ccnc(-c3nn[n-]n3)n2)CO1 ZINC001575938389 950976463 /nfs/dbraw/zinc/97/64/63/950976463.db2.gz KPQAOFDMFUPIRK-MNOVXSKESA-N -1 1 346.395 -0.024 20 0 EBADMM C[C@@H](CNC(=O)c1ccnnc1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575033765 951227169 /nfs/dbraw/zinc/22/71/69/951227169.db2.gz AEYASRPBNKWUHX-QMMMGPOBSA-N -1 1 326.324 -0.901 20 0 EBADMM C[C@@H](CNC(=O)c1ccnn1C)CNc1nccnc1-c1nnn[n-]1 ZINC001575034606 951250928 /nfs/dbraw/zinc/25/09/28/951250928.db2.gz OMMBNOWUGYSKAG-SECBINFHSA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@@H](CNC(=O)c1ccnn1C)CNc1nccnc1-c1nn[n-]n1 ZINC001575034606 951250941 /nfs/dbraw/zinc/25/09/41/951250941.db2.gz OMMBNOWUGYSKAG-SECBINFHSA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@H](CNC(=O)c1ccon1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575040050 951334821 /nfs/dbraw/zinc/33/48/21/951334821.db2.gz TVDYBLJHERIGIG-MRVPVSSYSA-N -1 1 329.324 -0.101 20 0 EBADMM C[C@H](CNC(=O)c1ccon1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575040050 951334831 /nfs/dbraw/zinc/33/48/31/951334831.db2.gz TVDYBLJHERIGIG-MRVPVSSYSA-N -1 1 329.324 -0.101 20 0 EBADMM C[C@@H](CNC(=O)c1cn(C)cn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575042747 951368670 /nfs/dbraw/zinc/36/86/70/951368670.db2.gz MUTWCZLHOBTJKQ-QMMMGPOBSA-N -1 1 328.340 -0.958 20 0 EBADMM C[C@@H](CNC(=O)c1cncnc1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001575046954 951450959 /nfs/dbraw/zinc/45/09/59/951450959.db2.gz VQSQQIYDVMMBFW-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1cncnc1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575046954 951450967 /nfs/dbraw/zinc/45/09/67/951450967.db2.gz VQSQQIYDVMMBFW-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@H](CNC(=O)c1cnon1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575053412 951479440 /nfs/dbraw/zinc/47/94/40/951479440.db2.gz CHJNHAJXCRUUPE-SSDOTTSWSA-N -1 1 330.312 -0.706 20 0 EBADMM C[C@H](CNC(=O)c1cnon1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575053412 951479445 /nfs/dbraw/zinc/47/94/45/951479445.db2.gz CHJNHAJXCRUUPE-SSDOTTSWSA-N -1 1 330.312 -0.706 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)n1)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001575053673 951481047 /nfs/dbraw/zinc/48/10/47/951481047.db2.gz UGCDLTODUFJNQN-MRVPVSSYSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)n1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001575053673 951481053 /nfs/dbraw/zinc/48/10/53/951481053.db2.gz UGCDLTODUFJNQN-MRVPVSSYSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@H](CNC(=O)c1cnsn1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575055252 951502085 /nfs/dbraw/zinc/50/20/85/951502085.db2.gz OYJSJNRWAOKMQW-ZETCQYMHSA-N -1 1 346.380 -0.014 20 0 EBADMM C[C@H](CNC(=O)c1cnsn1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575055252 951502089 /nfs/dbraw/zinc/50/20/89/951502089.db2.gz OYJSJNRWAOKMQW-ZETCQYMHSA-N -1 1 346.380 -0.014 20 0 EBADMM O=C([O-])CCNC(=O)N[C@H]1CCCN(CCN2CCOCC2)C1 ZINC001595059146 951510590 /nfs/dbraw/zinc/51/05/90/951510590.db2.gz ZWLAYSZSYODMPP-ZDUSSCGKSA-N -1 1 328.413 -0.443 20 0 EBADMM C[C@@H](CNC(=O)c1ncn(C)n1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575067831 951624020 /nfs/dbraw/zinc/62/40/20/951624020.db2.gz OWRHKYJPOVUJCJ-QMMMGPOBSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@@H](CNC(=O)c1ncn(C)n1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575067831 951624028 /nfs/dbraw/zinc/62/40/28/951624028.db2.gz OWRHKYJPOVUJCJ-QMMMGPOBSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])Nc1ccc(-c2nn[nH]n2)nn1 ZINC001575068041 951629739 /nfs/dbraw/zinc/62/97/39/951629739.db2.gz WCWPJWRAXUYZAR-QMMMGPOBSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@H](CNc1[nH]c(=O)n(C)c(=O)c1-c1nn[n-]n1)N1CCCCC1=O ZINC001575116257 951968533 /nfs/dbraw/zinc/96/85/33/951968533.db2.gz BRPZCELYFPCMCY-MRVPVSSYSA-N -1 1 348.367 -0.521 20 0 EBADMM O=C([O-])CS(=O)(=O)CCN[C@@H]1CCN(c2ncccc2F)C1 ZINC001595124461 951999986 /nfs/dbraw/zinc/99/99/86/951999986.db2.gz RUAZNNWDVBKXHD-SNVBAGLBSA-N -1 1 331.369 -0.112 20 0 EBADMM C[C@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)c1cn[nH]c1 ZINC001575135211 952115331 /nfs/dbraw/zinc/11/53/31/952115331.db2.gz LGGXYNOYJSTLIO-MRVPVSSYSA-N -1 1 328.340 -0.048 20 0 EBADMM C[C@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)c1cn[nH]c1 ZINC001575135211 952115336 /nfs/dbraw/zinc/11/53/36/952115336.db2.gz LGGXYNOYJSTLIO-MRVPVSSYSA-N -1 1 328.340 -0.048 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1cn(C)cn1 ZINC001575143497 952152931 /nfs/dbraw/zinc/15/29/31/952152931.db2.gz AUOXJGBHQFVDQX-VIFPVBQESA-N -1 1 342.367 -0.615 20 0 EBADMM O=C([O-])Cn1cccc(C(=O)N2CCN(Cc3nnc[nH]3)CC2)c1=O ZINC001595154332 952156447 /nfs/dbraw/zinc/15/64/47/952156447.db2.gz KDJWRVKNBDJZPY-UHFFFAOYSA-N -1 1 346.347 -0.991 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1n[nH]cc1F ZINC001575144309 952164414 /nfs/dbraw/zinc/16/44/14/952164414.db2.gz HSRWYLUCYRGBGR-SSDOTTSWSA-N -1 1 346.330 -0.487 20 0 EBADMM C[C@@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)[C@@H]1COCCO1 ZINC001575153440 952210550 /nfs/dbraw/zinc/21/05/50/952210550.db2.gz HLAAGWBKPQIZFO-ONGXEEELSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)[C@@H]1COCCO1 ZINC001575153440 952210557 /nfs/dbraw/zinc/21/05/57/952210557.db2.gz HLAAGWBKPQIZFO-ONGXEEELSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)Cn1cccn1 ZINC001575154678 952229421 /nfs/dbraw/zinc/22/94/21/952229421.db2.gz UJLDCRSSILADCV-SNVBAGLBSA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)Cn1cccn1 ZINC001575154678 952229427 /nfs/dbraw/zinc/22/94/27/952229427.db2.gz UJLDCRSSILADCV-SNVBAGLBSA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)[C@H]1CCOC1 ZINC001575155166 952235012 /nfs/dbraw/zinc/23/50/12/952235012.db2.gz WZKOSXQMKHHUEV-UWVGGRQHSA-N -1 1 332.368 -0.048 20 0 EBADMM C[C@@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)[C@H]1CCOC1 ZINC001575155166 952235018 /nfs/dbraw/zinc/23/50/18/952235018.db2.gz WZKOSXQMKHHUEV-UWVGGRQHSA-N -1 1 332.368 -0.048 20 0 EBADMM C[C@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)[C@H]1CCOC1 ZINC001575155168 952235269 /nfs/dbraw/zinc/23/52/69/952235269.db2.gz WZKOSXQMKHHUEV-ZJUUUORDSA-N -1 1 332.368 -0.048 20 0 EBADMM C[C@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)[C@H]1CCOC1 ZINC001575155168 952235272 /nfs/dbraw/zinc/23/52/72/952235272.db2.gz WZKOSXQMKHHUEV-ZJUUUORDSA-N -1 1 332.368 -0.048 20 0 EBADMM C[C@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)c1cn[nH]c1 ZINC001575157976 952268582 /nfs/dbraw/zinc/26/85/82/952268582.db2.gz QMAZWMMABDPKKH-MRVPVSSYSA-N -1 1 328.340 -0.048 20 0 EBADMM C[C@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)c1cn[nH]c1 ZINC001575157976 952268585 /nfs/dbraw/zinc/26/85/85/952268585.db2.gz QMAZWMMABDPKKH-MRVPVSSYSA-N -1 1 328.340 -0.048 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)Cn1cccn1 ZINC001575174600 952376302 /nfs/dbraw/zinc/37/63/02/952376302.db2.gz AIPODSOILZHCSH-JTQLQIEISA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)Cn1cccn1 ZINC001575174600 952376306 /nfs/dbraw/zinc/37/63/06/952376306.db2.gz AIPODSOILZHCSH-JTQLQIEISA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)[C@@H]1CCOC1 ZINC001575174774 952380687 /nfs/dbraw/zinc/38/06/87/952380687.db2.gz SNFPFPGPPPUAPZ-NXEZZACHSA-N -1 1 332.368 -0.048 20 0 EBADMM C[C@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)[C@@H]1CCOC1 ZINC001575174774 952380689 /nfs/dbraw/zinc/38/06/89/952380689.db2.gz SNFPFPGPPPUAPZ-NXEZZACHSA-N -1 1 332.368 -0.048 20 0 EBADMM C[C@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)c1cn(C)cn1 ZINC001575178203 952405041 /nfs/dbraw/zinc/40/50/41/952405041.db2.gz LFARIOVFUXJOIY-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)c1cn(C)cn1 ZINC001575178203 952405046 /nfs/dbraw/zinc/40/50/46/952405046.db2.gz LFARIOVFUXJOIY-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)c1cnnn1C ZINC001575179655 952412679 /nfs/dbraw/zinc/41/26/79/952412679.db2.gz QILQCTSRNPBIOU-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)c1cnnn1C ZINC001575179655 952412691 /nfs/dbraw/zinc/41/26/91/952412691.db2.gz QILQCTSRNPBIOU-MRVPVSSYSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@](Cn1cccn1)(NCc1nc(-c2nnn[n-]2)cs1)C(N)=O ZINC001575207932 952537336 /nfs/dbraw/zinc/53/73/36/952537336.db2.gz WNUNUHRYMXRFDM-GFCCVEGCSA-N -1 1 333.381 -0.447 20 0 EBADMM C[C@](Cn1cccn1)(NCc1nc(-c2nn[n-]n2)cs1)C(N)=O ZINC001575207932 952537340 /nfs/dbraw/zinc/53/73/40/952537340.db2.gz WNUNUHRYMXRFDM-GFCCVEGCSA-N -1 1 333.381 -0.447 20 0 EBADMM C[C@@H]1CCN(CCNS(=O)(=O)c2cnn(C)c2)C[C@H]1C(=O)[O-] ZINC001589352957 954096387 /nfs/dbraw/zinc/09/63/87/954096387.db2.gz HPSMHESPRFIGPA-ZYHUDNBSSA-N -1 1 330.410 -0.259 20 0 EBADMM CN(CC(=O)NC1CC[NH+](CC(=O)[O-])CC1)c1ncnc2[n-]cnc21 ZINC001593716558 954224767 /nfs/dbraw/zinc/22/47/67/954224767.db2.gz XAHDGSUJJGUEGB-UHFFFAOYSA-N -1 1 347.379 -0.546 20 0 EBADMM C[C@@H]1COCCN1C1CCN(C(=O)c2cn(CC(=O)[O-])nn2)CC1 ZINC001589408387 954487952 /nfs/dbraw/zinc/48/79/52/954487952.db2.gz FRDLFGSKOUAGAR-LLVKDONJSA-N -1 1 337.380 -0.312 20 0 EBADMM O=C([O-])C1=NO[C@@H](C(=O)N2CCN(CC3CCOCC3)CC2)C1 ZINC001594888937 954495930 /nfs/dbraw/zinc/49/59/30/954495930.db2.gz DZVOUMAWWWRBIA-CYBMUJFWSA-N -1 1 325.365 -0.213 20 0 EBADMM O=C([O-])[C@H]1CC(=O)N(C2CCN(CCN3CCOC3=O)CC2)C1 ZINC001594898532 954593610 /nfs/dbraw/zinc/59/36/10/954593610.db2.gz MZUASDZWMPUDRG-NSHDSACASA-N -1 1 325.365 -0.164 20 0 EBADMM CN1CCN(C2CN(C(=O)[C@H]3CO[C@H](CCC(=O)[O-])C3)C2)CC1 ZINC001593785532 954699455 /nfs/dbraw/zinc/69/94/55/954699455.db2.gz XJLKDDYRVAXVSS-TZMCWYRMSA-N -1 1 325.409 -0.286 20 0 EBADMM CN1C[C@@H]2CN(C(=O)C3(C(=O)[O-])CCS(=O)(=O)CC3)C[C@@H]2C1 ZINC001593788495 954744183 /nfs/dbraw/zinc/74/41/83/954744183.db2.gz UZLGCSKIAULORJ-PHIMTYICSA-N -1 1 330.406 -0.714 20 0 EBADMM CNC(=O)[C@H](Cc1cnc[nH]1)NC(=O)c1ccc(OCC(=O)[O-])cc1 ZINC001593794738 954798951 /nfs/dbraw/zinc/79/89/51/954798951.db2.gz WCGJAQSACOMQOB-ZDUSSCGKSA-N -1 1 346.343 -0.040 20 0 EBADMM O=C([O-])[C@@H]1CN(C(=O)C(=O)N2CCC(c3cnc[nH]3)CC2)CCO1 ZINC001594941088 954892810 /nfs/dbraw/zinc/89/28/10/954892810.db2.gz WYTXYSBXXSHEGC-LBPRGKRZSA-N -1 1 336.348 -0.572 20 0 EBADMM O=C([O-])[C@@H]1CS(=O)(=O)CCN1C(=O)CCCCc1c[nH]nn1 ZINC001594960181 955007915 /nfs/dbraw/zinc/00/79/15/955007915.db2.gz RKQOQAZMSZBNEE-JTQLQIEISA-N -1 1 330.366 -0.772 20 0 EBADMM COC(=O)[C@@H]1CN(C)CCN(C(=O)C2(CC(=O)[O-])CCOCC2)C1 ZINC001593827684 955115238 /nfs/dbraw/zinc/11/52/38/955115238.db2.gz GMPCORPVFSTRIO-GFCCVEGCSA-N -1 1 342.392 -0.179 20 0 EBADMM COC(=O)[C@@H]1CN(CC(=O)[O-])[C@H](C)CN1CC(=O)OC(C)(C)C ZINC001593828412 955123896 /nfs/dbraw/zinc/12/38/96/955123896.db2.gz PCTHJZNELANUPW-MNOVXSKESA-N -1 1 330.381 -0.040 20 0 EBADMM CC(C)[C@H](CNC(=O)C(=O)NC[C@@H](C)C(=O)[O-])N1CCN(C)CC1 ZINC001589036831 955556185 /nfs/dbraw/zinc/55/61/85/955556185.db2.gz NFIODYCDEGSZCL-OLZOCXBDSA-N -1 1 342.440 -0.789 20 0 EBADMM CC(C)[C@@H](CNC(=O)C(=O)NC[C@@H](C)C(=O)[O-])N1CCN(C)CC1 ZINC001589036830 955556347 /nfs/dbraw/zinc/55/63/47/955556347.db2.gz NFIODYCDEGSZCL-CHWSQXEVSA-N -1 1 342.440 -0.789 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]C2CC[NH+](CC(=O)[O-])CC2)c1 ZINC001593893895 955807328 /nfs/dbraw/zinc/80/73/28/955807328.db2.gz JZEHNGZXLSVHFT-UHFFFAOYSA-N -1 1 346.361 -0.107 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)Nc2cncc(C(=O)[O-])c2)C1 ZINC001594014971 957024897 /nfs/dbraw/zinc/02/48/97/957024897.db2.gz MYFUTKWCDHAVCN-NSHDSACASA-N -1 1 336.348 -0.445 20 0 EBADMM CS(=O)(=O)Cc1nc(CNC(=O)c2ccc(C(=O)[O-])cc2)n[nH]1 ZINC001594167693 958956439 /nfs/dbraw/zinc/95/64/39/958956439.db2.gz FPMHISWSRWUXRM-UHFFFAOYSA-N -1 1 338.345 -0.023 20 0 EBADMM CS[C@@H]1CN(S(=O)(=O)N2CCC[C@H]2C(=O)[O-])C[C@@H]1N(C)C ZINC001604957413 972532364 /nfs/dbraw/zinc/53/23/64/972532364.db2.gz KIYAOSLRCBKXLD-GARJFASQSA-N -1 1 337.467 -0.242 20 0 EBADMM CC(C)Cn1[nH]c(CC(=O)N2CCN(C(=O)C(=O)[O-])CC2)cc1=O ZINC001588906492 962285368 /nfs/dbraw/zinc/28/53/68/962285368.db2.gz LIJQKXKAQZSSPH-UHFFFAOYSA-N -1 1 338.364 -0.870 20 0 EBADMM Cc1nc(CC(=O)NC2CCN(C(=O)[C@H]3C[C@@H]3C(=O)[O-])CC2)n[nH]1 ZINC001571223640 962563489 /nfs/dbraw/zinc/56/34/89/962563489.db2.gz ISQJPLADVNDXEK-QWRGUYRKSA-N -1 1 335.364 -0.516 20 0 EBADMM Cc1nc(CC(=O)NC2CCN(C(=O)[C@@H]3C[C@@H]3C(=O)[O-])CC2)n[nH]1 ZINC001571223639 962563943 /nfs/dbraw/zinc/56/39/43/962563943.db2.gz ISQJPLADVNDXEK-MNOVXSKESA-N -1 1 335.364 -0.516 20 0 EBADMM O=C([O-])CN1CCN(CC(=O)NC(=O)NC2CCCCC2)CC1 ZINC000319643787 970557906 /nfs/dbraw/zinc/55/79/06/970557906.db2.gz DNHUFXVCOIDPLJ-UHFFFAOYSA-N -1 1 326.397 -0.153 20 0 EBADMM C[C@@]1(NC(=O)C(F)(F)F)CCN(C(=O)[C@H]2CC(C(=O)[O-])=NO2)C1 ZINC001603346066 972746110 /nfs/dbraw/zinc/74/61/10/972746110.db2.gz DGXZTPBGMXYUGC-RDDDGLTNSA-N -1 1 337.254 -0.115 20 0 EBADMM CNC(=O)[C@H](Cc1cnc[nH]1)NC(=O)[C@@H]1CO[C@@H](CCC(=O)[O-])C1 ZINC001604239845 972751706 /nfs/dbraw/zinc/75/17/06/972751706.db2.gz ILMJCAVBXDZFJZ-DLOVCJGASA-N -1 1 338.364 -0.547 20 0 EBADMM C[C@@H]1CC[C@H](C(=O)[O-])CN1CCNS(=O)(=O)c1cnn(C)c1 ZINC001603391917 973015076 /nfs/dbraw/zinc/01/50/76/973015076.db2.gz NFJDHWIBPZVMNH-MNOVXSKESA-N -1 1 330.410 -0.117 20 0 EBADMM O=C([O-])[C@H]1CS(=O)(=O)CCN1C(=O)[C@H]1CCCc2[nH]ncc21 ZINC001606053701 973159781 /nfs/dbraw/zinc/15/97/81/973159781.db2.gz IWCNHIWTJJGUOT-GZMMTYOYSA-N -1 1 327.362 -0.460 20 0 EBADMM CO[C@](C)(C(=O)[O-])C(=O)N[C@@H]1CCCN(CCN2CCOCC2)C1 ZINC001604454723 973287284 /nfs/dbraw/zinc/28/72/84/973287284.db2.gz BNXXKEWCEUQPGI-CJNGLKHVSA-N -1 1 343.424 -0.611 20 0 EBADMM Cn1cnc(C(=O)N2CCC[C@@H](NC(=O)C(F)(F)F)[C@@H]2C(=O)[O-])n1 ZINC001605519415 973700482 /nfs/dbraw/zinc/70/04/82/973700482.db2.gz HJBGYTVCTYIGQV-RNFRBKRXSA-N -1 1 349.269 -0.449 20 0 EBADMM C[C@H](CN1CCN(C)CC1)NS(=O)(=O)c1ccc(C(=O)[O-])nc1 ZINC001592614790 978573305 /nfs/dbraw/zinc/57/33/05/978573305.db2.gz BCLYOJZGRKUVJH-LLVKDONJSA-N -1 1 342.421 -0.306 20 0 EBADMM C[C@H](CN1CCN(C)CC1)NS(=O)(=O)c1occc1C(=O)[O-] ZINC001592615816 978577076 /nfs/dbraw/zinc/57/70/76/978577076.db2.gz NKSLSVCPMUTAKF-SNVBAGLBSA-N -1 1 331.394 -0.108 20 0 EBADMM C[C@H](Cc1ccc(NC(=O)C(=O)NCc2nn[nH]n2)cc1)C(=O)[O-] ZINC001592858107 979668849 /nfs/dbraw/zinc/66/88/49/979668849.db2.gz OJJFYJSWKKELSY-MRVPVSSYSA-N -1 1 332.320 -0.282 20 0 EBADMM CC[C@H](NC(=O)c1cc(C(=O)N[C@@H](CC)C(=O)OC)n[nH]1)C(=O)[O-] ZINC001595264107 980270157 /nfs/dbraw/zinc/27/01/57/980270157.db2.gz ULHUFIYNAGYHQF-YUMQZZPRSA-N -1 1 340.336 -0.316 20 0 EBADMM CCCCCC[C@](O)(CN1CCN2[C@H](CNS2(=O)=O)C1)C(=O)[O-] ZINC001595696009 982221395 /nfs/dbraw/zinc/22/13/95/982221395.db2.gz AXSYTEZPMHQNGE-OCCSQVGLSA-N -1 1 349.453 -0.393 20 0 EBADMM CCCN(C(=O)C(=O)NC[C@@H]1CCO[C@@H]1C(=O)[O-])[C@H]1CCN(C)C1 ZINC001595810827 982647703 /nfs/dbraw/zinc/64/77/03/982647703.db2.gz RIKQYMGVKUUSGI-AVGNSLFASA-N -1 1 341.408 -0.465 20 0 EBADMM CCN(CCCO)C(=O)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC001596328727 983765293 /nfs/dbraw/zinc/76/52/93/983765293.db2.gz XHPKQUPEUXWSQD-UHFFFAOYSA-N -1 1 329.397 -0.775 20 0 EBADMM CCN1CCCN(C(=O)C(=O)Nc2cnn(CC(=O)[O-])c2C)CC1 ZINC001596425055 984070977 /nfs/dbraw/zinc/07/09/77/984070977.db2.gz PTNIAXBAWRRMPV-UHFFFAOYSA-N -1 1 337.380 -0.231 20 0 EBADMM CCN1CCN(C2CN(S(=O)(=O)c3occc3C(=O)[O-])C2)CC1 ZINC001596430988 984099044 /nfs/dbraw/zinc/09/90/44/984099044.db2.gz QMIMMZZNSZCDAK-UHFFFAOYSA-N -1 1 343.405 -0.012 20 0 EBADMM CCOC(=O)[C@H]1CNCCN1CC(=O)N1CCC(C(=O)[O-])CC1 ZINC001596585225 984508803 /nfs/dbraw/zinc/50/88/03/984508803.db2.gz KFWAQJJQSOQJOB-GFCCVEGCSA-N -1 1 327.381 -0.854 20 0 EBADMM CCOC(=O)N1CC[C@H](NS(=O)(=O)c2cc(C(=O)[O-])no2)C1 ZINC001596647160 984663276 /nfs/dbraw/zinc/66/32/76/984663276.db2.gz UBTSDCCQCXTKKY-ZETCQYMHSA-N -1 1 333.322 -0.118 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)CCCN1C(=O)CNC1=O ZINC001594516619 985910726 /nfs/dbraw/zinc/91/07/26/985910726.db2.gz YQAJDEJENOITHQ-NSHDSACASA-N -1 1 340.380 -0.674 20 0 EBADMM CCOCCN(C)C(=O)C(=O)N1CCN(C(C)(C)C(=O)[O-])CC1 ZINC001596923104 985991195 /nfs/dbraw/zinc/99/11/95/985991195.db2.gz JISLRKMHBCLRJH-UHFFFAOYSA-N -1 1 329.397 -0.511 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1CC(=O)N(C)Cc1cnn(C)c1 ZINC001594521893 985997695 /nfs/dbraw/zinc/99/76/95/985997695.db2.gz LCZMDYUVXACAMG-ZDUSSCGKSA-N -1 1 337.424 -0.141 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)c1cnc2c(c1)nnn2C ZINC001594522768 986013686 /nfs/dbraw/zinc/01/36/86/986013686.db2.gz WQTOSCONKDCNBW-SNVBAGLBSA-N -1 1 332.364 -0.016 20 0 EBADMM C[C@@H]1CN(CCCNC(=O)C(=O)NC[C@H]2C[C@H]2C(=O)[O-])C[C@H](C)O1 ZINC001594528060 986090912 /nfs/dbraw/zinc/09/09/12/986090912.db2.gz LYSGANYKAQLZBQ-YVECIDJPSA-N -1 1 341.408 -0.561 20 0 EBADMM C[C@@H](C(=O)N(CCN1CCN(C)CC1)CC(=O)[O-])[C@@H]1CCC(=O)N1 ZINC001589342463 986269040 /nfs/dbraw/zinc/26/90/40/986269040.db2.gz MVPVPJVWJIINKG-OLZOCXBDSA-N -1 1 340.424 -0.938 20 0 EBADMM C[C@@H]1CNCCN1C(=O)C(=O)N1C[C@@H](C(=O)[O-])Oc2ccccc21 ZINC001594583974 986454039 /nfs/dbraw/zinc/45/40/39/986454039.db2.gz APVUABMUAVGYSF-MFKMUULPSA-N -1 1 333.344 -0.315 20 0 EBADMM C[C@@H]1CN2CCN1C[C@H]2C(=O)N1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001594584026 986455367 /nfs/dbraw/zinc/45/53/67/986455367.db2.gz BPKIJSZXVXUWOT-MDZLAQPJSA-N -1 1 334.380 -0.862 20 0 EBADMM C[C@@H]1SC[C@H](C(=O)NCCC(=O)[O-])N1C(=O)C1=NC(=O)N(C)C1 ZINC001594660892 986938930 /nfs/dbraw/zinc/93/89/30/986938930.db2.gz VRDQOEVNKQXNJO-IONNQARKSA-N -1 1 342.377 -0.380 20 0 EBADMM C[C@H]1SC[C@H](C(=O)NCCC(=O)[O-])N1C(=O)C1=NC(=O)N(C)C1 ZINC001594660893 986939299 /nfs/dbraw/zinc/93/92/99/986939299.db2.gz VRDQOEVNKQXNJO-VXNVDRBHSA-N -1 1 342.377 -0.380 20 0 EBADMM CCS(=O)(=O)N[C@H](C)C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC001597224056 987160893 /nfs/dbraw/zinc/16/08/93/987160893.db2.gz IZEXXFPSBNQXMG-GHMZBOCLSA-N -1 1 335.426 -0.678 20 0 EBADMM CCS(=O)(=O)N[C@@H](C)C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC001597224058 987160933 /nfs/dbraw/zinc/16/09/33/987160933.db2.gz IZEXXFPSBNQXMG-QWRGUYRKSA-N -1 1 335.426 -0.678 20 0 EBADMM CC(C)(C(=O)N1CC(CNC(=O)[C@H]2C[C@@H]2C(=O)[O-])C1)c1c[nH]cn1 ZINC001589560727 987316493 /nfs/dbraw/zinc/31/64/93/987316493.db2.gz OHZCZPVKSHFXAR-QWRGUYRKSA-N -1 1 334.376 -0.017 20 0 EBADMM CC(C)(C)OC(=O)COCC(=O)N[C@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001589898486 988592297 /nfs/dbraw/zinc/59/22/97/988592297.db2.gz HGZSQKADKZWZQE-SNVBAGLBSA-N -1 1 327.337 -0.120 20 0 EBADMM C[C@@H](O)[C@H](NC(=O)c1ccc(CN2CCN(C)CC2)cc1)C(=O)[O-] ZINC001599845434 988923237 /nfs/dbraw/zinc/92/32/37/988923237.db2.gz MYPADWIRHHHOMS-DOMZBBRYSA-N -1 1 335.404 -0.002 20 0 EBADMM C[C@@H]1CN(C(=O)Cc2ncn[nH]2)C[C@H]1Nc1ncc(C(=O)[O-])cn1 ZINC001599855171 989075390 /nfs/dbraw/zinc/07/53/90/989075390.db2.gz GCYPBCJTPJQTTK-PSASIEDQSA-N -1 1 331.336 -0.206 20 0 EBADMM C[C@@H]1C[C@H](C(=O)NC[C@@]2(CO)COC[C@@H]3CCCN32)O[C@H]1C(=O)[O-] ZINC001599874926 989406611 /nfs/dbraw/zinc/40/66/11/989406611.db2.gz FOMQKTBCGHNCNO-ZUSNOYAUSA-N -1 1 342.392 -0.794 20 0 EBADMM CC(C)(O)CN1CCN([C@H]2CCCN(CCC(=O)[O-])C2=O)CC1 ZINC001590899205 990854148 /nfs/dbraw/zinc/85/41/48/990854148.db2.gz TVWGNPGVHSRLQY-ZDUSSCGKSA-N -1 1 327.425 -0.159 20 0 EBADMM CN(C)S(=O)(=O)CCCN1CCC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001598262605 991101112 /nfs/dbraw/zinc/10/11/12/991101112.db2.gz YJSDQFJFQOIHTP-LLVKDONJSA-N -1 1 345.425 -0.105 20 0 EBADMM CN(CC(=O)N1CCNCC1)S(=O)(=O)[C@H]1CCCC[C@@H]1C(=O)[O-] ZINC001598380351 991580079 /nfs/dbraw/zinc/58/00/79/991580079.db2.gz FJLGAWXAIMVCPY-RYUDHWBXSA-N -1 1 347.437 -0.677 20 0 EBADMM CN(CC(=O)NC[C@@H](C(=O)[O-])[C@H]1CCOC1)c1ncnc2[nH]cnc21 ZINC001598391961 991639001 /nfs/dbraw/zinc/63/90/01/991639001.db2.gz GIBNUORZEZXSFZ-VHSXEESVSA-N -1 1 348.363 -0.357 20 0 EBADMM CN(C[C@@H](O)CNc1ncc(C(=O)[O-])cn1)C(=O)Cc1ccn[nH]1 ZINC001598435521 991820425 /nfs/dbraw/zinc/82/04/25/991820425.db2.gz FUYYOTLYXAANMY-NSHDSACASA-N -1 1 334.336 -0.628 20 0 EBADMM C[S@@](=O)(=NS(=O)(=O)NC[C@H](O)CC(=O)[O-])c1ccccc1 ZINC001599743587 991896542 /nfs/dbraw/zinc/89/65/42/991896542.db2.gz IFOISMIIAMOXJA-YBYGRFCBSA-N -1 1 336.391 -0.187 20 0 EBADMM CN(CCN(C)C(=O)[C@@H]1C[C@H]1C(=O)[O-])CCN1C(=O)CCC1=O ZINC001598486970 992218913 /nfs/dbraw/zinc/21/89/13/992218913.db2.gz YBZJAIUIFJKEEU-GHMZBOCLSA-N -1 1 325.365 -0.754 20 0 EBADMM CN(Cc1nnc[nH]1)C(=O)C(=O)N1Cc2ccccc2[C@@H](C(=O)[O-])C1 ZINC001598541922 992911870 /nfs/dbraw/zinc/91/18/70/992911870.db2.gz XIBPHZCMRVQBAE-LBPRGKRZSA-N -1 1 343.343 -0.026 20 0 EBADMM CN1CC[C@@](O)(CNS(=O)(=O)c2cc(F)ccc2C(=O)[O-])C1 ZINC001598586242 993386974 /nfs/dbraw/zinc/38/69/74/993386974.db2.gz OSNDHVSWISGTTR-CYBMUJFWSA-N -1 1 332.353 -0.131 20 0 EBADMM CN1CCC[C@H](NC(=O)C(=O)Nc2cnn(C(C)(C)C(=O)[O-])c2)C1 ZINC001598595981 993505508 /nfs/dbraw/zinc/50/55/08/993505508.db2.gz GJMFWFSZXHPYOV-JTQLQIEISA-N -1 1 337.380 -0.148 20 0 EBADMM C[C@H](NC(=O)N1CCO[C@H](CC(=O)[O-])C1)[C@H]1CN(C)CCN1C ZINC001593062221 993573660 /nfs/dbraw/zinc/57/36/60/993573660.db2.gz FUKUOOWTIGCVGW-YNEHKIRRSA-N -1 1 328.413 -0.494 20 0 EBADMM Cn1cc(NC(=O)C(=O)NC[C@@H]2CN(C)CCN2C)cc1C(=O)[O-] ZINC001598612638 993810597 /nfs/dbraw/zinc/81/05/97/993810597.db2.gz VWBKHHORWUWXOA-LLVKDONJSA-N -1 1 337.380 -0.976 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)c2cnn(C3CC3)c2)CC1 ZINC001598616554 993892586 /nfs/dbraw/zinc/89/25/86/993892586.db2.gz HODRAMLHLQWQNI-UHFFFAOYSA-N -1 1 335.408 -0.008 20 0 EBADMM C[C@@H](NC(=O)c1n[nH]c2ccccc21)C(=O)N1C[C@H](O)C[C@H]1C(=O)[O-] ZINC001593141615 993930301 /nfs/dbraw/zinc/93/03/01/993930301.db2.gz WYGTZQFOBKUKIH-LNLATYFQSA-N -1 1 346.343 -0.272 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)C[C@@H]2COC(=O)C2)CC1 ZINC001598618133 993933896 /nfs/dbraw/zinc/93/38/96/993933896.db2.gz UHGZGMSOJDESQG-LBPRGKRZSA-N -1 1 327.381 -0.900 20 0 EBADMM CN1CCN(c2cc(C(=O)N3CCC[C@@H](O)[C@H]3C(=O)[O-])ccn2)CC1 ZINC001598620187 993990869 /nfs/dbraw/zinc/99/08/69/993990869.db2.gz UVERKBBELNANNM-HIFRSBDPSA-N -1 1 348.403 -0.117 20 0 EBADMM CNC(=O)c1cncc(/C=C\C(=O)N2CCN(CC(=O)[O-])CC2)c1 ZINC001598662267 994617327 /nfs/dbraw/zinc/61/73/27/994617327.db2.gz XARHVPPNNVCDTG-IHWYPQMZSA-N -1 1 332.360 -0.317 20 0 EBADMM CNS(=O)(=O)NC1CCN(C[C@@H]2CC(C(=O)[O-])=C(C)O2)CC1 ZINC001598670697 994751590 /nfs/dbraw/zinc/75/15/90/994751590.db2.gz IQVZVFDFZJHVPE-NSHDSACASA-N -1 1 333.410 -0.348 20 0 EBADMM C[C@](O)(CN1CCN(CC(=O)N2CCCCCC2)CC1)C(=O)[O-] ZINC001593395957 995134340 /nfs/dbraw/zinc/13/43/40/995134340.db2.gz CGDQHIVCFUBYIW-INIZCTEOSA-N -1 1 327.425 -0.158 20 0 EBADMM C[C@@](O)(CN1CCN(c2ccc(F)cc2C(N)=O)CC1)C(=O)[O-] ZINC001593396787 995146489 /nfs/dbraw/zinc/14/64/89/995146489.db2.gz WCTWZIHJUXLITC-OAHLLOKOSA-N -1 1 325.340 -0.118 20 0 EBADMM C[C@H](O)CN1CCN(C(=O)NCCC(=O)NCCC(=O)[O-])[C@@H](C)C1 ZINC001593463450 995393695 /nfs/dbraw/zinc/39/36/95/995393695.db2.gz UPZQKYNAVISSJI-RYUDHWBXSA-N -1 1 344.412 -0.936 20 0 EBADMM COC(=O)C1CCN(C(=O)[C@@H](C)N2CCC(O)(C(=O)[O-])CC2)CC1 ZINC001598791520 996077469 /nfs/dbraw/zinc/07/74/69/996077469.db2.gz YFYLCOOAKSBBNY-LLVKDONJSA-N -1 1 342.392 -0.302 20 0 EBADMM CO[C@]1(C(=O)[O-])CCN(CC(=O)NC2CCC3(CC2)OCCO3)C1 ZINC001599077183 996900008 /nfs/dbraw/zinc/90/00/08/996900008.db2.gz VUKCXCZTGDWZCQ-OAHLLOKOSA-N -1 1 342.392 -0.036 20 0 EBADMM COC[C@]1(C(=O)NC[C@H](Cc2ccncc2)C(=O)[O-])CNCCO1 ZINC001599183695 996988183 /nfs/dbraw/zinc/98/81/83/996988183.db2.gz IMFULEYFAQJSTO-BBRMVZONSA-N -1 1 337.376 -0.554 20 0 EBADMM COC(=O)[C@@H]1CC[C@H](S(=O)(=O)N2CCN(C)C[C@@H](C(=O)[O-])C2)C1 ZINC001598896586 997095578 /nfs/dbraw/zinc/09/55/78/997095578.db2.gz NJVODCDNKCYWDM-UTUOFQBUSA-N -1 1 348.421 -0.394 20 0 EBADMM COC[C@H]1CNCCN1C(=O)C(=O)Nc1cccc(CC(=O)[O-])c1 ZINC001599208667 997457864 /nfs/dbraw/zinc/45/78/64/997457864.db2.gz XVMFUVUFBVCGIO-CYBMUJFWSA-N -1 1 335.360 -0.301 20 0 EBADMM COC[C@@H]1CNCCN1C(=O)C(=O)NCCc1ccc(C(=O)[O-])cc1 ZINC001599208697 997458021 /nfs/dbraw/zinc/45/80/21/997458021.db2.gz YWNWXEQFPHNLHU-AWEZNQCLSA-N -1 1 349.387 -0.510 20 0 EBADMM COC(=O)c1cc(C(=O)N2CCN(CCC(=O)[O-])C[C@@H]2C)n(C)n1 ZINC001598920161 997549398 /nfs/dbraw/zinc/54/93/98/997549398.db2.gz LIIONYRFKAAGEF-JTQLQIEISA-N -1 1 338.364 -0.172 20 0 EBADMM CO[C@H]1CCCN(C(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)C1 ZINC001599115513 997645973 /nfs/dbraw/zinc/64/59/73/997645973.db2.gz OJYYGKXSPZURPR-LBPRGKRZSA-N -1 1 341.408 -0.369 20 0 EBADMM COCCN(CC(N)=O)C1CCN(C(=O)[C@H]2C[C@H]2C(=O)[O-])CC1 ZINC001599234880 997927254 /nfs/dbraw/zinc/92/72/54/997927254.db2.gz JOSMEYOSOWRQHI-NWDGAFQWSA-N -1 1 327.381 -0.868 20 0 EBADMM COCC[N@H+]1CCC[C@@H]1CNS(=O)(=O)c1n[n-]cc1C(=O)[O-] ZINC001599240463 998030790 /nfs/dbraw/zinc/03/07/90/998030790.db2.gz NFVZFDHLZVYXMV-SECBINFHSA-N -1 1 332.382 -0.503 20 0 EBADMM COCCN1CCC[C@@H]1CNS(=O)(=O)c1n[nH]cc1C(=O)[O-] ZINC001599240463 998030803 /nfs/dbraw/zinc/03/08/03/998030803.db2.gz NFVZFDHLZVYXMV-SECBINFHSA-N -1 1 332.382 -0.503 20 0 EBADMM COCCNC(=O)[C@H]1CC[C@@H](C)N(CC(=O)NCCC(=O)[O-])C1 ZINC001599243241 998087468 /nfs/dbraw/zinc/08/74/68/998087468.db2.gz ZMNMLMMUYBKYBP-NEPJUHHUSA-N -1 1 329.397 -0.560 20 0 EBADMM COC[C@H](NC(=O)c1cc(S(=O)(=O)N(C)C)ccc1O)C(=O)[O-] ZINC001599172022 998620947 /nfs/dbraw/zinc/62/09/47/998620947.db2.gz SXGSLCBGFXOGDQ-JTQLQIEISA-N -1 1 346.361 -0.528 20 0 EBADMM COC(=O)c1ccc(CC(=O)N[C@H](Cc2cnc[nH]2)C(=O)[O-])cn1 ZINC001598985883 998695850 /nfs/dbraw/zinc/69/58/50/998695850.db2.gz VHDGTACAADCGBF-GFCCVEGCSA-N -1 1 332.316 -0.054 20 0 EBADMM COC(=O)c1cnccc1NS(=O)(=O)c1cnn(CC(=O)[O-])c1 ZINC001599010900 998897680 /nfs/dbraw/zinc/89/76/80/998897680.db2.gz CTQHZVFUXGMEHB-UHFFFAOYSA-N -1 1 340.317 -0.628 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)CO1 ZINC001594248866 998949525 /nfs/dbraw/zinc/94/95/25/998949525.db2.gz HQLVNENMGFLJFR-GHMZBOCLSA-N -1 1 327.381 -0.713 20 0 EBADMM C[C@@H](C(=O)N1CCN(CCC(=O)[O-])[C@@H](C)C1)N1C(=O)CCC1=O ZINC001594463592 999678768 /nfs/dbraw/zinc/67/87/68/999678768.db2.gz XCVOLGDYSPRRGY-QWRGUYRKSA-N -1 1 325.365 -0.469 20 0 EBADMM C[C@H](C(=O)N1CCN(CCC(=O)[O-])[C@@H](C)C1)N1C(=O)CCC1=O ZINC001594463594 999678968 /nfs/dbraw/zinc/67/89/68/999678968.db2.gz XCVOLGDYSPRRGY-WDEREUQCSA-N -1 1 325.365 -0.469 20 0 EBADMM CN(CCNC(=O)c1[nH]cnc1C(F)(F)F)Cc1nc(=O)n(C)[n-]1 ZINC001480874287 1125799029 /nfs/dbraw/zinc/79/90/29/1125799029.db2.gz YFOKLHAQHLELOE-UHFFFAOYSA-N -1 1 347.301 -0.288 20 0 EBADMM Cc1nnc(COCC(=O)N(C)CCNCc2n[nH]c(=O)[n-]2)s1 ZINC001691379373 1125855246 /nfs/dbraw/zinc/85/52/46/1125855246.db2.gz FKXOWEHYAQXACH-UHFFFAOYSA-N -1 1 341.397 -0.565 20 0 EBADMM CO[C@H](CC(=O)NCCN(C)Cc1nc(=O)n(C)[n-]1)C(F)(F)F ZINC001480932498 1125879536 /nfs/dbraw/zinc/87/95/36/1125879536.db2.gz OYJBFSWECSJEAV-MRVPVSSYSA-N -1 1 339.318 -0.376 20 0 EBADMM CN(CCNC(=O)[C@H]1CCc2n[nH]cc2C1)Cc1nc(=O)n(C)[n-]1 ZINC001480936108 1125883590 /nfs/dbraw/zinc/88/35/90/1125883590.db2.gz SDSWIPZGZTYHQP-JTQLQIEISA-N -1 1 333.396 -0.815 20 0 EBADMM CC(C)Cn1[n-]c(CC(=O)NC[C@@H]2CCN(CC(N)=O)C2)cc1=O ZINC001481099478 1125921940 /nfs/dbraw/zinc/92/19/40/1125921940.db2.gz FIKNKGQBNPLHJM-LBPRGKRZSA-N -1 1 337.424 -0.702 20 0 EBADMM CO[C@@H]1COCC[C@H]1CC(=O)N(C)CCNCc1n[nH]c(=O)[n-]1 ZINC001481240077 1125950348 /nfs/dbraw/zinc/95/03/48/1125950348.db2.gz WRYUOMZKAOQBOI-WDEREUQCSA-N -1 1 327.385 -0.500 20 0 EBADMM COCCOCC(=O)N1CC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001691953513 1125969592 /nfs/dbraw/zinc/96/95/92/1125969592.db2.gz MXYHELGZVVACBV-LLVKDONJSA-N -1 1 327.385 -0.546 20 0 EBADMM Cc1cc(CN2CC(NC(=O)CCn3cc[n-]c(=O)c3=O)C2)no1 ZINC001481589688 1126019671 /nfs/dbraw/zinc/01/96/71/1126019671.db2.gz DLDLJPCQFPCETN-UHFFFAOYSA-N -1 1 333.348 -0.776 20 0 EBADMM CCO[C@@H](C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)C1CC1 ZINC001481731199 1126047502 /nfs/dbraw/zinc/04/75/02/1126047502.db2.gz YRODPCQIIYPBAF-TZMCWYRMSA-N -1 1 337.424 -0.044 20 0 EBADMM CCN(C(=O)Cn1cc(C)cn1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001481759868 1126053364 /nfs/dbraw/zinc/05/33/64/1126053364.db2.gz TUXDSKDHJIUTOG-CYBMUJFWSA-N -1 1 347.423 -0.264 20 0 EBADMM CC[C@@H](CNC(=O)Cn1nnc2c1CCCC2)NCc1n[nH]c(=O)[n-]1 ZINC001481852058 1126061524 /nfs/dbraw/zinc/06/15/24/1126061524.db2.gz MKOVTAISBADMDD-JTQLQIEISA-N -1 1 348.411 -0.335 20 0 EBADMM CC[C@H](CNC(=O)C(=O)N1CCC[C@H](C)C1)NCc1n[nH]c(=O)[n-]1 ZINC001481855133 1126061972 /nfs/dbraw/zinc/06/19/72/1126061972.db2.gz JRIFQILCZSEZGB-WDEREUQCSA-N -1 1 338.412 -0.247 20 0 EBADMM O=C(NCCN(C(=O)c1ncccc1[O-])C1CC1)[C@@H]1CNC(=O)N1 ZINC001408939481 1126084350 /nfs/dbraw/zinc/08/43/50/1126084350.db2.gz RKPHAWSZIAGWTG-JTQLQIEISA-N -1 1 333.348 -0.811 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@@H]1CN(CCCF)CCO1 ZINC001482203402 1126103623 /nfs/dbraw/zinc/10/36/23/1126103623.db2.gz VGOYQSXDVZGACS-GFCCVEGCSA-N -1 1 342.371 -0.897 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCN1CCSCC1 ZINC001414211806 1126113517 /nfs/dbraw/zinc/11/35/17/1126113517.db2.gz JATYIAVXWSTFGO-UHFFFAOYSA-N -1 1 334.423 -0.477 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)c1ccc(C(N)=O)s1 ZINC001482346048 1126135525 /nfs/dbraw/zinc/13/55/25/1126135525.db2.gz XXZCUBAYZHOIFP-SSDOTTSWSA-N -1 1 338.393 -0.079 20 0 EBADMM O=S(=O)([N-]C1CC(CO)(CO)C1)c1c[nH]nc1C(F)(F)F ZINC001364018179 1126134793 /nfs/dbraw/zinc/13/47/93/1126134793.db2.gz CFPREXZOWAKASO-UHFFFAOYSA-N -1 1 329.300 -0.160 20 0 EBADMM COc1nscc1S(=O)(=O)[N-]CC1(C(N)=O)CCOCC1 ZINC001423603022 1126216693 /nfs/dbraw/zinc/21/66/93/1126216693.db2.gz MRNSTQCLHISVEK-UHFFFAOYSA-N -1 1 335.407 -0.288 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2[C@H]3CCO[C@H]3C2(C)C)c(=O)[nH]c1=O ZINC001414230243 1126227786 /nfs/dbraw/zinc/22/77/86/1126227786.db2.gz XFHPXTQBXQCOAP-SZEHBUNVSA-N -1 1 329.378 -0.422 20 0 EBADMM NC(=O)CCCC(=O)N1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1[O-] ZINC001409192127 1126229296 /nfs/dbraw/zinc/22/92/96/1126229296.db2.gz RVCZGRCAGOQUFE-QWRGUYRKSA-N -1 1 332.360 -0.132 20 0 EBADMM CN(CCN(C)C(=O)c1cccc2nccn21)Cc1nc(=O)n(C)[n-]1 ZINC001482613528 1126238007 /nfs/dbraw/zinc/23/80/07/1126238007.db2.gz IPARAZSIGZCDFX-UHFFFAOYSA-N -1 1 343.391 -0.040 20 0 EBADMM O=C(C[C@H]1CCC(F)(F)C1)NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001482733900 1126263380 /nfs/dbraw/zinc/26/33/80/1126263380.db2.gz AEQADUBUEYUHID-BDAKNGLRSA-N -1 1 333.339 -0.098 20 0 EBADMM COc1ncccc1CNC[C@@H](O)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001482721837 1126266070 /nfs/dbraw/zinc/26/60/70/1126266070.db2.gz IPDCOMMGJBHQFZ-LLVKDONJSA-N -1 1 335.364 -0.292 20 0 EBADMM C[C@@H]1Cc2cccc(C(=O)NC[C@H](O)CNCc3n[nH]c(=O)[n-]3)c2O1 ZINC001482750832 1126270926 /nfs/dbraw/zinc/27/09/26/1126270926.db2.gz AAOLJPDQMDVVKI-MWLCHTKSSA-N -1 1 347.375 -0.286 20 0 EBADMM CC1(C)CO[C@H](CCC(=O)NC[C@H](O)CNCc2n[nH]c(=O)[n-]2)C1 ZINC001482787505 1126291193 /nfs/dbraw/zinc/29/11/93/1126291193.db2.gz ICPBBCHVYMJEGC-GHMZBOCLSA-N -1 1 341.412 -0.328 20 0 EBADMM CN(C)c1cccc(C(=O)NC[C@@H](O)CNCc2n[nH]c(=O)[n-]2)c1 ZINC001482788462 1126291398 /nfs/dbraw/zinc/29/13/98/1126291398.db2.gz OUMRBRJYOFQZAT-LBPRGKRZSA-N -1 1 334.380 -0.543 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@H]1COCCN1CC ZINC001482935633 1126304139 /nfs/dbraw/zinc/30/41/39/1126304139.db2.gz UUXWCPHGKGCCMJ-LLVKDONJSA-N -1 1 326.401 -0.831 20 0 EBADMM C[C@@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)CNC(=O)[C@@H]1CC1(C)C ZINC001409719913 1126400203 /nfs/dbraw/zinc/40/02/03/1126400203.db2.gz REDVRMXHCOITPQ-ZJUUUORDSA-N -1 1 346.391 -0.054 20 0 EBADMM CNC(=O)C1(C(=O)N[C@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)CCC1 ZINC001483600336 1126438564 /nfs/dbraw/zinc/43/85/64/1126438564.db2.gz HDUBUJQZYCJYKP-SNVBAGLBSA-N -1 1 336.396 -0.589 20 0 EBADMM CCCC[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@]1(C)CCC(=O)N1 ZINC001409844669 1126445716 /nfs/dbraw/zinc/44/57/16/1126445716.db2.gz WFMBSBOFVWXOCM-BONVTDFDSA-N -1 1 338.412 -0.056 20 0 EBADMM C[C@@H](NC(=O)Cc1ccn(C)n1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001409899490 1126471489 /nfs/dbraw/zinc/47/14/89/1126471489.db2.gz LELCKUYNOXVJDZ-SNVBAGLBSA-N -1 1 333.396 -0.979 20 0 EBADMM CC(C)S(=O)(=O)CC(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001483956100 1126493156 /nfs/dbraw/zinc/49/31/56/1126493156.db2.gz CVFUDKSYBSGSAV-JTQLQIEISA-N -1 1 345.425 -0.586 20 0 EBADMM COCCC(=O)NC[C@]1(O)CC[N@@H+](Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC001484198434 1126515602 /nfs/dbraw/zinc/51/56/02/1126515602.db2.gz SWDGPDVWRBZMMJ-MRXNPFEDSA-N -1 1 349.391 -0.888 20 0 EBADMM CCC(CC)C(=O)NC[C@]1(O)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001484208468 1126519322 /nfs/dbraw/zinc/51/93/22/1126519322.db2.gz SSPKNZYBLDRWSD-OAHLLOKOSA-N -1 1 325.413 -0.402 20 0 EBADMM Cn1[n-]c(CN2CC[C@](O)(CNC(=O)[C@H]3CCCC34CC4)C2)nc1=O ZINC001484226648 1126528484 /nfs/dbraw/zinc/52/84/84/1126528484.db2.gz ZXPACHLQPNYYOU-PXAZEXFGSA-N -1 1 349.435 -0.258 20 0 EBADMM CCN(CC)C(=O)CN1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001484267480 1126547267 /nfs/dbraw/zinc/54/72/67/1126547267.db2.gz IWWZQQHSFGJFGW-UHFFFAOYSA-N -1 1 336.392 -0.568 20 0 EBADMM C[C@H]1CCC[C@@H](C(=O)NCC2(O)CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001484291714 1126557367 /nfs/dbraw/zinc/55/73/67/1126557367.db2.gz FUGMUDAZPOBUML-NWDGAFQWSA-N -1 1 337.424 -0.402 20 0 EBADMM CCC[C@@H](C)C(=O)N[C@@]1(CO)CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001484429638 1126576007 /nfs/dbraw/zinc/57/60/07/1126576007.db2.gz DHKUSVOZWTXNDN-WBMJQRKESA-N -1 1 339.440 -0.012 20 0 EBADMM CCn1ncc(C(=O)N2CC[C@@H](CCNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001484519634 1126600940 /nfs/dbraw/zinc/60/09/40/1126600940.db2.gz GLSRMSZHMYRENM-SNVBAGLBSA-N -1 1 334.384 -0.236 20 0 EBADMM O=C(c1c[nH]c(=O)cn1)N1CC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001484535162 1126601927 /nfs/dbraw/zinc/60/19/27/1126601927.db2.gz HHWCDYUBNGRIAY-VIFPVBQESA-N -1 1 333.352 -0.764 20 0 EBADMM COC[C@@H](OC)C(=O)N1CC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001484541242 1126602844 /nfs/dbraw/zinc/60/28/44/1126602844.db2.gz WXWBQXHFZOKBOB-GHMZBOCLSA-N -1 1 327.385 -0.500 20 0 EBADMM CCC(CC)C(=O)NC[C@@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001484586532 1126605789 /nfs/dbraw/zinc/60/57/89/1126605789.db2.gz GOUNJICQHLAYIO-GFCCVEGCSA-N -1 1 325.413 -0.138 20 0 EBADMM CC[C@H](C)CC(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001484591634 1126606976 /nfs/dbraw/zinc/60/69/76/1126606976.db2.gz ZDDXBCMQJDPWMX-RYUDHWBXSA-N -1 1 325.413 -0.138 20 0 EBADMM C[C@H](C(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1)C1CCC1 ZINC001484596744 1126609815 /nfs/dbraw/zinc/60/98/15/1126609815.db2.gz IMLIWAJQHNYQKQ-AAEUAGOBSA-N -1 1 337.424 -0.138 20 0 EBADMM CC(C)NC(=O)CN1CC2(C1)C[C@@H](NC(=O)c1ncccc1[O-])CO2 ZINC001484799366 1126633775 /nfs/dbraw/zinc/63/37/75/1126633775.db2.gz SSITYTZDMZMCEW-GFCCVEGCSA-N -1 1 348.403 -0.115 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)C(=O)N1CCC(C)CC1 ZINC001485200288 1126680024 /nfs/dbraw/zinc/68/00/24/1126680024.db2.gz QKKBQUUATSJSKL-QWRGUYRKSA-N -1 1 338.412 -0.248 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H](C)NC(=O)C(=O)N1CCC(C)CC1 ZINC001485200285 1126680032 /nfs/dbraw/zinc/68/00/32/1126680032.db2.gz QKKBQUUATSJSKL-GHMZBOCLSA-N -1 1 338.412 -0.248 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)NC[C@@H](C)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001485342477 1126691143 /nfs/dbraw/zinc/69/11/43/1126691143.db2.gz UTZSCELHMXAMON-MRVPVSSYSA-N -1 1 335.368 -0.837 20 0 EBADMM C[C@@H](Oc1ccccn1)C(=O)NC[C@H](C)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001485378174 1126694694 /nfs/dbraw/zinc/69/46/94/1126694694.db2.gz SXODHPBZEPAOEI-NWDGAFQWSA-N -1 1 348.407 -0.093 20 0 EBADMM C[C@H](NC(=O)CCc1ccncn1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001485506275 1126736770 /nfs/dbraw/zinc/73/67/70/1126736770.db2.gz LWZYYXVFINNVRS-NSHDSACASA-N -1 1 345.407 -0.532 20 0 EBADMM COC[C@@H](C)C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC001485565952 1126760407 /nfs/dbraw/zinc/76/04/07/1126760407.db2.gz YJRVFYZHDDWXMV-MNOVXSKESA-N -1 1 325.413 -0.283 20 0 EBADMM Cc1ccc(C(=O)NC[C@H](CO)NCc2nc(=O)n(C)[n-]2)nc1C ZINC001486017241 1126968688 /nfs/dbraw/zinc/96/86/88/1126968688.db2.gz RALJKLVGQLZCSJ-LLVKDONJSA-N -1 1 334.380 -0.999 20 0 EBADMM CN(C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)c1cc2ccccn2c1 ZINC001486302264 1127107517 /nfs/dbraw/zinc/10/75/17/1127107517.db2.gz CWDCQAQEZPWVPB-CYBMUJFWSA-N -1 1 344.375 -0.014 20 0 EBADMM CN(C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)CCc1cncs1 ZINC001486309762 1127114085 /nfs/dbraw/zinc/11/40/85/1127114085.db2.gz GZGCYBFPNNJWLU-SECBINFHSA-N -1 1 340.409 -0.492 20 0 EBADMM CC(C)CCC(=O)N(C)C[C@H](O)CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001486325650 1127127954 /nfs/dbraw/zinc/12/79/54/1127127954.db2.gz PSVVOKGOSWVINY-GFCCVEGCSA-N -1 1 327.429 -0.204 20 0 EBADMM CC(C)(C)[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C[C@H]1CCC(=O)N1 ZINC001486414780 1127172515 /nfs/dbraw/zinc/17/25/15/1127172515.db2.gz BSMZYHSMFZGYRY-ZJUUUORDSA-N -1 1 338.412 -0.201 20 0 EBADMM CNC(=O)C1(C(=O)N[C@@H](C)[C@@H](C)NC(=O)c2ncccc2[O-])CC1 ZINC001486686416 1127236435 /nfs/dbraw/zinc/23/64/35/1127236435.db2.gz DILRHAGHPTVLME-ZJUUUORDSA-N -1 1 334.376 -0.064 20 0 EBADMM CNC(=O)NCC(=O)N[C@H]1CCC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001487374337 1127485326 /nfs/dbraw/zinc/48/53/26/1127485326.db2.gz FEZCPSSBOXFXLG-QWRGUYRKSA-N -1 1 349.391 -0.127 20 0 EBADMM COC[C@@H](OC)C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC001410043506 1127521952 /nfs/dbraw/zinc/52/19/52/1127521952.db2.gz ZFFBDTQRPJLCDM-MNOVXSKESA-N -1 1 341.412 -0.904 20 0 EBADMM CCn1cc(C(=O)NC[C@@H](O)CNC(=O)c2ncccc2[O-])cn1 ZINC001410089784 1127550648 /nfs/dbraw/zinc/55/06/48/1127550648.db2.gz KOUUFIZCVQJJQF-LLVKDONJSA-N -1 1 333.348 -0.476 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)CNC(=O)[C@H]1CC12CC2 ZINC001410262303 1127662343 /nfs/dbraw/zinc/66/23/43/1127662343.db2.gz XXKZCBZZIXPZNR-HTQZYQBOSA-N -1 1 336.348 -0.069 20 0 EBADMM C[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)c1cn(C)c(=O)n1C ZINC001410382274 1127695956 /nfs/dbraw/zinc/69/59/56/1127695956.db2.gz COFKFLJMOITPDI-VIFPVBQESA-N -1 1 333.348 -0.627 20 0 EBADMM CCS(=O)(=O)CC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001411025052 1127978203 /nfs/dbraw/zinc/97/82/03/1127978203.db2.gz UCQRQRLOYMEDSI-SECBINFHSA-N -1 1 345.425 -0.728 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)[C@]4(C)CCOC4)C[C@]3(C)C2)nc1=O ZINC001092139389 1128203734 /nfs/dbraw/zinc/20/37/34/1128203734.db2.gz GSYBMXGMQYBIJP-JLZZUVOBSA-N -1 1 349.435 -0.185 20 0 EBADMM O=C(N[C@@H]1CC2(CN(CCO)C2)n2ccnc21)c1ncccc1[O-] ZINC001092367216 1128240534 /nfs/dbraw/zinc/24/05/34/1128240534.db2.gz LYZDXJKONDLMDQ-LLVKDONJSA-N -1 1 329.360 -0.138 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)[C@@H]1CCC(=O)NC1 ZINC001092761089 1128272281 /nfs/dbraw/zinc/27/22/81/1128272281.db2.gz ULDCDSIKHPJRBN-GMTAPVOTSA-N -1 1 336.396 -0.779 20 0 EBADMM COc1nccc(C(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C)n1 ZINC001092814323 1128279439 /nfs/dbraw/zinc/27/94/39/1128279439.db2.gz JVQXCNFTLZVCOF-NXEZZACHSA-N -1 1 347.379 -0.193 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CC(CNC(=O)C(F)F)C1 ZINC001411332334 1128291068 /nfs/dbraw/zinc/29/10/68/1128291068.db2.gz QARUGZXHPKLOSL-UHFFFAOYSA-N -1 1 346.290 -0.214 20 0 EBADMM CCn1cc(C(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C)nn1 ZINC001093089943 1128295303 /nfs/dbraw/zinc/29/53/03/1128295303.db2.gz MKOJOZGZWJDVSZ-NXEZZACHSA-N -1 1 334.384 -0.380 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)[C@]1(C)CCNC1=O ZINC001487756597 1128418537 /nfs/dbraw/zinc/41/85/37/1128418537.db2.gz WGMDPJMIINQXQI-MGPLVRAMSA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)[C@]1(C)CNC(=O)C1 ZINC001487755269 1128418153 /nfs/dbraw/zinc/41/81/53/1128418153.db2.gz HBVVSLNWKNRESO-QFYYESIMSA-N -1 1 334.376 -0.110 20 0 EBADMM Cc1cc(C(=O)N[C@H](CO)CNC(=O)c2ncccc2[O-])nn1C ZINC001487974937 1128470797 /nfs/dbraw/zinc/47/07/97/1128470797.db2.gz AKNBWNYVLSXZMB-JTQLQIEISA-N -1 1 333.348 -0.650 20 0 EBADMM Cc1cc(CCC(=O)NC[C@H](CO)NC(=O)c2ncccc2[O-])no1 ZINC001488093563 1128595565 /nfs/dbraw/zinc/59/55/65/1128595565.db2.gz XDPJCJDLYLZJGK-GFCCVEGCSA-N -1 1 348.359 -0.077 20 0 EBADMM NC(=O)NCC(=O)N1CC[C@@]2(NC(=O)c3ncccc3[O-])CCC[C@@H]12 ZINC001488228883 1128658718 /nfs/dbraw/zinc/65/87/18/1128658718.db2.gz SEBOZFCLSYQNNU-BZNIZROVSA-N -1 1 347.375 -0.291 20 0 EBADMM Cc1nc(C(=O)N(C)C[C@H](O)CNC(=O)c2ncccc2[O-])c[nH]1 ZINC001488339119 1128725022 /nfs/dbraw/zinc/72/50/22/1128725022.db2.gz OVFYEYGYSGHRLV-SNVBAGLBSA-N -1 1 333.348 -0.318 20 0 EBADMM Cc1cc(CC(=O)N(C)C[C@H](O)CNC(=O)c2ncccc2[O-])no1 ZINC001488341047 1128727284 /nfs/dbraw/zinc/72/72/84/1128727284.db2.gz GZKSWMQEVGNRGK-GFCCVEGCSA-N -1 1 348.359 -0.125 20 0 EBADMM NC(=O)C(=O)NCc1ccc(CNC(=O)c2ncccc2[O-])c(F)c1 ZINC001488647395 1128811442 /nfs/dbraw/zinc/81/14/42/1128811442.db2.gz OUTVKAMWZZEOKB-UHFFFAOYSA-N -1 1 346.318 -0.042 20 0 EBADMM CN(CCOCCN(C)C(=O)C1(C)CC1)Cc1nc(=O)n(C)[n-]1 ZINC001489212488 1128933025 /nfs/dbraw/zinc/93/30/25/1128933025.db2.gz SGUUCQZNFJMOAK-UHFFFAOYSA-N -1 1 325.413 -0.185 20 0 EBADMM CCO[C@H](C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1)C1CC1 ZINC001489575639 1129011609 /nfs/dbraw/zinc/01/16/09/1129011609.db2.gz APHBIWJEEUTHDN-ZLDLUXBVSA-N -1 1 349.387 -0.096 20 0 EBADMM Cn1nnc(CNC[C@@](C)(NC(=O)c2ncccc2[O-])C2CC2)n1 ZINC001495242671 1129040475 /nfs/dbraw/zinc/04/04/75/1129040475.db2.gz UCDJSEZRANRQMW-OAHLLOKOSA-N -1 1 331.380 -0.001 20 0 EBADMM CC(C)C(=O)N(C)C[C@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001489714815 1129050987 /nfs/dbraw/zinc/05/09/87/1129050987.db2.gz WQRUVOVXSKYFNK-SNVBAGLBSA-N -1 1 332.364 -0.254 20 0 EBADMM NC(=O)[C@@H](C1CC1)N(Cc1cc(=O)n2[n-]c(N)nc2n1)CC1CC1 ZINC001414025675 1131325912 /nfs/dbraw/zinc/32/59/12/1131325912.db2.gz NDEKSDPYAPZCGH-GFCCVEGCSA-N -1 1 331.380 -0.524 20 0 EBADMM O=C(NCCCN1CCN(Cc2n[nH]c(=O)[n-]2)CC1)[C@@H]1CC12CC2 ZINC001490472180 1129184375 /nfs/dbraw/zinc/18/43/75/1129184375.db2.gz FQDKWBZSSOVXHB-LBPRGKRZSA-N -1 1 334.424 -0.066 20 0 EBADMM O=C(NCCN1CCN(CCO)CC1)c1ccc2oc(=O)nc-2[n-]1 ZINC001490509254 1129215477 /nfs/dbraw/zinc/21/54/77/1129215477.db2.gz IPXFGEPGRPELQJ-UHFFFAOYSA-N -1 1 335.364 -0.732 20 0 EBADMM CC(=O)N1CC(C(=O)NC[C@@H](C)N(C)C(=O)c2ncccc2[O-])C1 ZINC001411543064 1129314192 /nfs/dbraw/zinc/31/41/92/1129314192.db2.gz ZSBQAVAHEGYMBK-SNVBAGLBSA-N -1 1 334.376 -0.158 20 0 EBADMM O=C(Cc1cnc[nH]1)N1CC(CCO)(NC(=O)c2ncccc2[O-])C1 ZINC001411765180 1129373906 /nfs/dbraw/zinc/37/39/06/1129373906.db2.gz ZONVOYDIOSJXIG-UHFFFAOYSA-N -1 1 345.359 -0.554 20 0 EBADMM O=C(CCC(=O)N1CCC[C@H](c2nn[n-]n2)C1)N1CCC(O)CC1 ZINC001411937630 1129407061 /nfs/dbraw/zinc/40/70/61/1129407061.db2.gz LYXAUDVLUSQYJA-NSHDSACASA-N -1 1 336.396 -0.331 20 0 EBADMM O=C(CCc1nn[n-]n1)Nc1cccc(CN2CCNC(=O)C2)c1 ZINC001412182179 1129470534 /nfs/dbraw/zinc/47/05/34/1129470534.db2.gz XANJDSDCGZRECV-UHFFFAOYSA-N -1 1 329.364 -0.297 20 0 EBADMM COC(=O)[C@H](NC(=O)CCc1nn[n-]n1)[C@H]1CCC[C@H](OC)C1 ZINC001412310909 1129500366 /nfs/dbraw/zinc/50/03/66/1129500366.db2.gz PYNVRGMCROMTDS-OUJBWJOFSA-N -1 1 325.369 -0.005 20 0 EBADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CNC(=O)c2ccncc2)n[n-]1 ZINC001412328870 1129501646 /nfs/dbraw/zinc/50/16/46/1129501646.db2.gz XRCOJRFEFBXONT-SECBINFHSA-N -1 1 346.347 -0.016 20 0 EBADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CNC(=O)c2ccncc2)[n-]1 ZINC001412328870 1129501650 /nfs/dbraw/zinc/50/16/50/1129501650.db2.gz XRCOJRFEFBXONT-SECBINFHSA-N -1 1 346.347 -0.016 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CNC(=O)c2ccncc2)n1 ZINC001412328870 1129501658 /nfs/dbraw/zinc/50/16/58/1129501658.db2.gz XRCOJRFEFBXONT-SECBINFHSA-N -1 1 346.347 -0.016 20 0 EBADMM O=C([C@H]1CC(c2cccnc2)=NO1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001412431004 1129527404 /nfs/dbraw/zinc/52/74/04/1129527404.db2.gz KKCIAVKHABGERS-VXGBXAGGSA-N -1 1 329.320 -0.312 20 0 EBADMM CNC(=O)NCCC(=O)N[C@@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001412497398 1129543057 /nfs/dbraw/zinc/54/30/57/1129543057.db2.gz RWTYTMAQFGCZFQ-JTQLQIEISA-N -1 1 337.380 -0.317 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)[C@@H]1CSCC(=O)N1 ZINC001412533389 1129553603 /nfs/dbraw/zinc/55/36/03/1129553603.db2.gz UDFRXAIEIXQHND-JTQLQIEISA-N -1 1 335.389 -0.100 20 0 EBADMM CC1=NS(=O)(=O)N(C)C=C1C(=O)NC1(c2nn[n-]n2)CCC1 ZINC001412547034 1129557404 /nfs/dbraw/zinc/55/74/04/1129557404.db2.gz WNXFCLNFEPWZBO-UHFFFAOYSA-N -1 1 325.354 -0.770 20 0 EBADMM CS(=O)(=O)N1CCC[C@H](C(=O)NC2(c3nn[n-]n3)CCC2)C1 ZINC001412548628 1129557887 /nfs/dbraw/zinc/55/78/87/1129557887.db2.gz ZHYSXWZTPDYBIN-VIFPVBQESA-N -1 1 328.398 -0.633 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCC[C@H](NC(=O)NC)C2)o1 ZINC001412594221 1129570672 /nfs/dbraw/zinc/57/06/72/1129570672.db2.gz KKRPQUGUECWSFO-VIFPVBQESA-N -1 1 344.393 -0.279 20 0 EBADMM COC[C@H](NC(=O)CN1CCc2ccccc2C1=O)c1nn[n-]n1 ZINC001412598598 1129571249 /nfs/dbraw/zinc/57/12/49/1129571249.db2.gz BALYGQIFPIKMFE-LBPRGKRZSA-N -1 1 330.348 -0.298 20 0 EBADMM CSc1ncc(C(=O)N2CCO[C@H](CS(C)(=O)=O)C2)c(=O)[n-]1 ZINC001412742129 1129607761 /nfs/dbraw/zinc/60/77/61/1129607761.db2.gz XUAOODUIQMHXAP-QMMMGPOBSA-N -1 1 347.418 -0.210 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCc2cc(=O)c(O)co2)co1 ZINC001412744891 1129609100 /nfs/dbraw/zinc/60/91/00/1129609100.db2.gz PVDPMNIFTFULDJ-UHFFFAOYSA-N -1 1 328.302 -0.224 20 0 EBADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)NC[C@H](O)CS(C)(=O)=O ZINC001412749534 1129612441 /nfs/dbraw/zinc/61/24/41/1129612441.db2.gz ZWCIOPUVHWITIJ-VIFPVBQESA-N -1 1 345.421 -0.077 20 0 EBADMM O=C(CC[C@H]1CCCO1)NC[C@H](CO)NC(=O)c1ncccc1[O-] ZINC001412786557 1129646867 /nfs/dbraw/zinc/64/68/67/1129646867.db2.gz VCMIVQSUGKADNG-VXGBXAGGSA-N -1 1 337.376 -0.047 20 0 EBADMM COc1cc(C(=O)N[C@H](CO)CNC(=O)c2ncccc2[O-])ccn1 ZINC001412800463 1129657520 /nfs/dbraw/zinc/65/75/20/1129657520.db2.gz AMUOMJYSQJZGPT-NSHDSACASA-N -1 1 346.343 -0.289 20 0 EBADMM COC(=O)C[C@@]1(NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)CCCOC1 ZINC001412845979 1129727964 /nfs/dbraw/zinc/72/79/64/1129727964.db2.gz DRXIZLZCLFFWND-AWEZNQCLSA-N -1 1 341.320 -0.262 20 0 EBADMM COC(=O)C1(O)CN(C(=O)CCc2c(C)nc3cc(=O)[n-]n3c2C)C1 ZINC001412863868 1129740129 /nfs/dbraw/zinc/74/01/29/1129740129.db2.gz FKMZRNLJEXJSAC-UHFFFAOYSA-N -1 1 348.359 -0.269 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC(N2CN=NC2=O)CC1 ZINC001412924824 1129788590 /nfs/dbraw/zinc/78/85/90/1129788590.db2.gz UJQCPCQEGXECHJ-UHFFFAOYSA-N -1 1 346.347 -0.322 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC3(CC(=O)N3)CC2)co1 ZINC001626150787 1129903563 /nfs/dbraw/zinc/90/35/63/1129903563.db2.gz USTMIEFYMWMQCM-UHFFFAOYSA-N -1 1 327.362 -0.318 20 0 EBADMM CCS(=O)(=O)N[C@@H](C)C(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC001413198787 1129946376 /nfs/dbraw/zinc/94/63/76/1129946376.db2.gz ITANDOQBXXHDBL-ZETCQYMHSA-N -1 1 334.423 -0.152 20 0 EBADMM CN(C[C@@H](O)CNC(=O)c1ncccc1[O-])C(=O)C[C@@H]1CCOC1 ZINC001413239530 1129963817 /nfs/dbraw/zinc/96/38/17/1129963817.db2.gz RFHXKGJJNUJFTP-RYUDHWBXSA-N -1 1 337.376 -0.237 20 0 EBADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@@H](C)c1ncn(C)n1 ZINC001413323068 1130012737 /nfs/dbraw/zinc/01/27/37/1130012737.db2.gz BJCNLUUREZRXNP-ZETCQYMHSA-N -1 1 328.354 -0.327 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)N2CCSC[C@H]2C(N)=O)c1 ZINC001413322173 1130014053 /nfs/dbraw/zinc/01/40/53/1130014053.db2.gz NOWKDJPKZJEQOV-VIFPVBQESA-N -1 1 332.403 -0.008 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCC(=O)N2CCCCC2)[n-]n1 ZINC001413328199 1130016492 /nfs/dbraw/zinc/01/64/92/1130016492.db2.gz RBCLNCQVRVKAEW-UHFFFAOYSA-N -1 1 344.393 -0.123 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCC(=O)N2CCCCC2)n[n-]1 ZINC001413328199 1130016499 /nfs/dbraw/zinc/01/64/99/1130016499.db2.gz RBCLNCQVRVKAEW-UHFFFAOYSA-N -1 1 344.393 -0.123 20 0 EBADMM C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)c2nccn21 ZINC001413339700 1130027726 /nfs/dbraw/zinc/02/77/26/1130027726.db2.gz CTYJRWTYUHGSOR-RKDXNWHRSA-N -1 1 339.377 -0.735 20 0 EBADMM COC(=O)C1(CS(=O)(=O)[N-]C2(C(=O)OC)CCOCC2)CC1 ZINC001413340048 1130027883 /nfs/dbraw/zinc/02/78/83/1130027883.db2.gz FODMVAKCKFBZCC-UHFFFAOYSA-N -1 1 335.378 -0.419 20 0 EBADMM COc1nscc1S(=O)(=O)[N-]CCS(=O)(=O)N(C)C ZINC001413350982 1130038469 /nfs/dbraw/zinc/03/84/69/1130038469.db2.gz AVVWDULRCSXWCC-UHFFFAOYSA-N -1 1 329.425 -0.679 20 0 EBADMM CN(C[C@H](O)CN(C)C(=O)[C@]12C[C@H]1COC2)C(=O)c1ncccc1[O-] ZINC001413371599 1130058122 /nfs/dbraw/zinc/05/81/22/1130058122.db2.gz GQHPDWNPBMSUFS-PRXAMGSTSA-N -1 1 349.387 -0.285 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCc3c(=O)[nH]n(C)c3C2)o1 ZINC001413372032 1130058691 /nfs/dbraw/zinc/05/86/91/1130058691.db2.gz BMSVXQGPSMWMPW-UHFFFAOYSA-N -1 1 340.361 -0.175 20 0 EBADMM C[C@H](O)[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)c1ccccc1 ZINC001413402234 1130073526 /nfs/dbraw/zinc/07/35/26/1130073526.db2.gz OGSJYBCJBLSJKM-JOYOIKCWSA-N -1 1 339.373 -0.114 20 0 EBADMM O=S(=O)([N-][C@@H]1CS(=O)(=O)C[C@H]1O)c1cc2ccccc2o1 ZINC001413409655 1130075927 /nfs/dbraw/zinc/07/59/27/1130075927.db2.gz ISFPEZVNNDNPOW-NXEZZACHSA-N -1 1 331.371 -0.131 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2CCC3(CCC3)O2)c(=O)[nH]c1=O ZINC001413424631 1130081820 /nfs/dbraw/zinc/08/18/20/1130081820.db2.gz WSDWZOHCXXBJLM-VIFPVBQESA-N -1 1 329.378 -0.134 20 0 EBADMM CCOC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@@]1(C)CCNC1=O ZINC001413444710 1130091110 /nfs/dbraw/zinc/09/11/10/1130091110.db2.gz GXZRGVRZDVWQIU-LBPRGKRZSA-N -1 1 330.366 -0.548 20 0 EBADMM CCCNC(=O)[C@@H](C)[N-]S(=O)(=O)c1n[nH]c(C)c1C(=O)OC ZINC001413475815 1130101256 /nfs/dbraw/zinc/10/12/56/1130101256.db2.gz IWDIWNNBXWKZMF-MRVPVSSYSA-N -1 1 332.382 -0.302 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC[C@@H]1CCCS1(=O)=O ZINC001413476044 1130101274 /nfs/dbraw/zinc/10/12/74/1130101274.db2.gz GXVAQCZIDLFWID-ZETCQYMHSA-N -1 1 331.350 -0.407 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)CNC(N)=O ZINC001413612559 1130190247 /nfs/dbraw/zinc/19/02/47/1130190247.db2.gz GOXKNSHCGAWUKU-VHSXEESVSA-N -1 1 335.364 -0.435 20 0 EBADMM C/C(=C/C(=O)NCCNC(=O)CCn1cc[n-]c(=O)c1=O)C1CC1 ZINC001491146873 1130343304 /nfs/dbraw/zinc/34/33/04/1130343304.db2.gz QAOQJJDOILXAMF-KHPPLWFESA-N -1 1 334.376 -0.485 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCNC(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001491211874 1130362838 /nfs/dbraw/zinc/36/28/38/1130362838.db2.gz PIZKHHWNPZJHPO-BRPSZJMVSA-N -1 1 336.348 -0.212 20 0 EBADMM C[C@@H](CNC(=O)[C@@H]1OC[C@@H]2COCC[C@H]12)NCc1n[nH]c(=O)[n-]1 ZINC001491537146 1130485965 /nfs/dbraw/zinc/48/59/65/1130485965.db2.gz VPAPPNVVACIQPU-QFOLPQNPSA-N -1 1 325.369 -0.844 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001492205402 1130598659 /nfs/dbraw/zinc/59/86/59/1130598659.db2.gz VHZTYSKIXFIHBS-LBPRGKRZSA-N -1 1 334.376 -0.014 20 0 EBADMM COCCC(=O)N(C)CCCNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001492439565 1130668575 /nfs/dbraw/zinc/66/85/75/1130668575.db2.gz FYUWKNDBWXUVEI-UHFFFAOYSA-N -1 1 342.352 -0.489 20 0 EBADMM CC[C@H]1C[C@H](C(=O)NCCN(C)Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001492490351 1130695955 /nfs/dbraw/zinc/69/59/55/1130695955.db2.gz LXHWAIHRXJVRGW-NEPJUHHUSA-N -1 1 325.413 -0.138 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1)C(=O)CC1CC1 ZINC001414090638 1130730948 /nfs/dbraw/zinc/73/09/48/1130730948.db2.gz FMFCTOHCXMVLHO-LLVKDONJSA-N -1 1 344.375 -0.109 20 0 EBADMM COC(=O)c1c(NC(=O)C2CCN(OC)CC2)n[n-]c1OCCO ZINC001413865911 1130829917 /nfs/dbraw/zinc/82/99/17/1130829917.db2.gz LNVGQDZZWBVJNT-UHFFFAOYSA-N -1 1 342.352 -0.221 20 0 EBADMM COC(=O)c1c(OCCO)n[n-]c1NC(=O)C1CCN(OC)CC1 ZINC001413865911 1130829924 /nfs/dbraw/zinc/82/99/24/1130829924.db2.gz LNVGQDZZWBVJNT-UHFFFAOYSA-N -1 1 342.352 -0.221 20 0 EBADMM CC/C(C)=C/C(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001356757750 1130921214 /nfs/dbraw/zinc/92/12/14/1130921214.db2.gz RPTJNCGCGDKLOX-DHZHZOJOSA-N -1 1 334.376 -0.390 20 0 EBADMM C[C@H](CNC(=O)CN1C(=O)CCC1=O)CNC(=O)c1ncccc1[O-] ZINC001357018430 1131024989 /nfs/dbraw/zinc/02/49/89/1131024989.db2.gz OITNFLIPQZCFPQ-SNVBAGLBSA-N -1 1 348.359 -0.582 20 0 EBADMM CC(=O)N1CC(C(=O)NC/C=C/CNC(=O)c2ncccc2[O-])C1 ZINC001357753370 1131123008 /nfs/dbraw/zinc/12/30/08/1131123008.db2.gz SRPWIGVSKQMOFI-NSCUHMNNSA-N -1 1 332.360 -0.332 20 0 EBADMM CN1C(=O)CC[C@H]1C(=O)NC/C=C\CNC(=O)c1ncccc1[O-] ZINC001357751019 1131123226 /nfs/dbraw/zinc/12/32/26/1131123226.db2.gz HOJLEJBDYIQACH-QUCGXOGASA-N -1 1 332.360 -0.190 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)c1ccccc1 ZINC001358421286 1131185285 /nfs/dbraw/zinc/18/52/85/1131185285.db2.gz YNENMGOQRRDBPZ-LBPRGKRZSA-N -1 1 344.371 -0.139 20 0 EBADMM CCN(CC(=O)N[C@H]1CCS(=O)(=O)C1)C(=O)c1ccc([O-])cn1 ZINC001361760300 1131451150 /nfs/dbraw/zinc/45/11/50/1131451150.db2.gz DLVJWVVCPXTBGA-JTQLQIEISA-N -1 1 341.389 -0.447 20 0 EBADMM Cc1cc(=O)n2[n-]c(NC(=O)C[C@]3(C)CCS(=O)(=O)N3)nc2n1 ZINC001361846514 1131465974 /nfs/dbraw/zinc/46/59/74/1131465974.db2.gz BNTDIFWCSFITOE-LBPRGKRZSA-N -1 1 340.365 -0.864 20 0 EBADMM COc1ccc(NC(=O)c2nnn(C)n2)cc1[N-]S(C)(=O)=O ZINC001361896434 1131474437 /nfs/dbraw/zinc/47/44/37/1131474437.db2.gz AYXPASAEJNJTOD-UHFFFAOYSA-N -1 1 326.338 -0.158 20 0 EBADMM O=C([C@H]1COc2ccc(O)cc2O1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001361921573 1131479470 /nfs/dbraw/zinc/47/94/70/1131479470.db2.gz FRKSRKKIOILBOB-VXGBXAGGSA-N -1 1 333.304 -0.355 20 0 EBADMM O=C([C@H]1CC(c2ccccn2)=NO1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001361924286 1131480878 /nfs/dbraw/zinc/48/08/78/1131480878.db2.gz LUAPRCZPJCHZTD-NEPJUHHUSA-N -1 1 329.320 -0.312 20 0 EBADMM CC(C)(C)S(=O)(=O)CCNC(=O)CNC(=O)c1ncccc1[O-] ZINC001361965855 1131489460 /nfs/dbraw/zinc/48/94/60/1131489460.db2.gz GGSBVTMITDZMRC-UHFFFAOYSA-N -1 1 343.405 -0.154 20 0 EBADMM CS(=O)(=O)c1cccnc1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001362062045 1131507353 /nfs/dbraw/zinc/50/73/53/1131507353.db2.gz MDFBMCUZDIUHGG-QMMMGPOBSA-N -1 1 338.349 -0.788 20 0 EBADMM COC(=O)[C@@]1(F)CCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC001362081279 1131511597 /nfs/dbraw/zinc/51/15/97/1131511597.db2.gz IXWAXGNTUPACHN-CQSZACIVSA-N -1 1 325.296 -0.369 20 0 EBADMM CS(=O)(=O)N1CCN(CCNC(=O)c2ccc(F)c([O-])c2)CC1 ZINC001362148622 1131525414 /nfs/dbraw/zinc/52/54/14/1131525414.db2.gz HDCRNDDTSIGLGG-UHFFFAOYSA-N -1 1 345.396 -0.162 20 0 EBADMM O=C(CCc1nn[n-]n1)NC[C@H](O)COc1ccc2c(c1)OCO2 ZINC001362226481 1131540603 /nfs/dbraw/zinc/54/06/03/1131540603.db2.gz AUFBAXDFWVWTCI-VIFPVBQESA-N -1 1 335.320 -0.583 20 0 EBADMM CCOC(=O)CNC(=O)C1CCN(C(=O)c2cnncc2[O-])CC1 ZINC001362330618 1131562212 /nfs/dbraw/zinc/56/22/12/1131562212.db2.gz IONCWTITFDMIIS-UHFFFAOYSA-N -1 1 336.348 -0.286 20 0 EBADMM CNC(=O)c1cccc(C(=O)N2CCSC[C@@H]2c2nn[n-]n2)n1 ZINC001362370511 1131569858 /nfs/dbraw/zinc/56/98/58/1131569858.db2.gz OHOFRVIQYFSDEA-SNVBAGLBSA-N -1 1 333.377 -0.115 20 0 EBADMM CCOC(=O)[C@@H](C)NC(=O)[C@H](C)NC(=O)CCCc1nn[n-]n1 ZINC001362396966 1131575361 /nfs/dbraw/zinc/57/53/61/1131575361.db2.gz IDOCLRIXYZYBQC-DTWKUNHWSA-N -1 1 326.357 -0.905 20 0 EBADMM O=C(CS[C@@H]1CCS(=O)(=O)C1)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362408597 1131577387 /nfs/dbraw/zinc/57/73/87/1131577387.db2.gz TUVVJGOYLXKSLK-NXEZZACHSA-N -1 1 345.450 -0.174 20 0 EBADMM O=C([C@H]1CC(c2ccccn2)=NO1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362439822 1131583474 /nfs/dbraw/zinc/58/34/74/1131583474.db2.gz YKENKTRKMPFGDR-GFCCVEGCSA-N -1 1 343.347 -0.402 20 0 EBADMM C[C@@H](CN(C)C(=O)c1ccsc1S(N)(=O)=O)c1nn[n-]n1 ZINC001362457095 1131587547 /nfs/dbraw/zinc/58/75/47/1131587547.db2.gz VFVUECYEYNDBEY-LURJTMIESA-N -1 1 330.395 -0.216 20 0 EBADMM CC(C)(C)OC(=O)N1CCO[C@@H](CNC(=O)CCc2nn[n-]n2)C1 ZINC001362517902 1131600262 /nfs/dbraw/zinc/60/02/62/1131600262.db2.gz BXZILVGVGPFPNM-JTQLQIEISA-N -1 1 340.384 -0.116 20 0 EBADMM CC(C)CS(=O)(=O)N1CCC(NC(=O)CCc2nn[n-]n2)CC1 ZINC001362552326 1131608996 /nfs/dbraw/zinc/60/89/96/1131608996.db2.gz CEUAUEHRFDTRBE-UHFFFAOYSA-N -1 1 344.441 -0.301 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC2(CCN(C)C2=O)CC1 ZINC001362587020 1131617766 /nfs/dbraw/zinc/61/77/66/1131617766.db2.gz WQBQTQPBDLJFAM-UHFFFAOYSA-N -1 1 336.348 -0.019 20 0 EBADMM O=C(C[C@@H]1C=CS(=O)(=O)C1)N1CCSC[C@H]1c1nn[n-]n1 ZINC001362674149 1131638608 /nfs/dbraw/zinc/63/86/08/1131638608.db2.gz QXNFQVQMHDUOKR-IUCAKERBSA-N -1 1 329.407 -0.235 20 0 EBADMM CCC[C@H](C(=O)N1CCSC[C@H]1c1nn[n-]n1)S(N)(=O)=O ZINC001362676051 1131638801 /nfs/dbraw/zinc/63/88/01/1131638801.db2.gz XTGRRJDMJJHVRD-JGVFFNPUSA-N -1 1 334.427 -0.727 20 0 EBADMM COCCn1cc(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)c(C)n1 ZINC001362728879 1131656094 /nfs/dbraw/zinc/65/60/94/1131656094.db2.gz HCEIAGLISQHJQJ-UHFFFAOYSA-N -1 1 335.368 -0.525 20 0 EBADMM NC(=O)c1cnc(C(=O)N2CCOC[C@@H]2c2nn[n-]n2)c(Cl)c1 ZINC001362758750 1131665409 /nfs/dbraw/zinc/66/54/09/1131665409.db2.gz IKZQQBZWHZTWMW-MRVPVSSYSA-N -1 1 337.727 -0.439 20 0 EBADMM CCOC(=O)c1n[n-]c(C2CCN(C(=O)[C@H](O)C(C)(C)O)CC2)n1 ZINC001362762496 1131666801 /nfs/dbraw/zinc/66/68/01/1131666801.db2.gz ZLROONVVRRVEEA-JTQLQIEISA-N -1 1 340.380 -0.181 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCC(C)(C)S(=O)(=O)CC1 ZINC001362764124 1131667348 /nfs/dbraw/zinc/66/73/48/1131667348.db2.gz AWMXFUPJPNRLSJ-UHFFFAOYSA-N -1 1 345.377 -0.064 20 0 EBADMM Cc1[n-][nH]c(=O)c1NC(=O)[C@H]1CC(=O)N([C@H]2CCS(=O)(=O)C2)C1 ZINC001362798735 1131677920 /nfs/dbraw/zinc/67/79/20/1131677920.db2.gz XNIZVVJGGJVUQO-IUCAKERBSA-N -1 1 342.377 -0.602 20 0 EBADMM COC(=O)c1cc(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)no1 ZINC001362807916 1131681336 /nfs/dbraw/zinc/68/13/36/1131681336.db2.gz KFINUAXRBGZPCA-UHFFFAOYSA-N -1 1 334.288 -0.041 20 0 EBADMM COCCN(C[C@H](O)C(F)(F)F)C(=O)CCCc1nn[n-]n1 ZINC001362875679 1131702872 /nfs/dbraw/zinc/70/28/72/1131702872.db2.gz XOMIBGQSWLSGOM-QMMMGPOBSA-N -1 1 325.291 -0.079 20 0 EBADMM Cn1nnc2c1C[C@@H](C(=O)N1CCC[C@H](Cc3nn[n-]n3)C1)CC2 ZINC001362902628 1131708061 /nfs/dbraw/zinc/70/80/61/1131708061.db2.gz DPPWJHZJUPPJRL-MNOVXSKESA-N -1 1 330.396 -0.086 20 0 EBADMM CC(C)[C@@H](NS(C)(=O)=O)C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001362903998 1131708355 /nfs/dbraw/zinc/70/83/55/1131708355.db2.gz IWOBCOCESASTCU-ZYHUDNBSSA-N -1 1 344.441 -0.445 20 0 EBADMM CC(C)(NS(C)(=O)=O)C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001362908826 1131710294 /nfs/dbraw/zinc/71/02/94/1131710294.db2.gz VVVYWOXIZKCGHQ-VIFPVBQESA-N -1 1 330.414 -0.691 20 0 EBADMM COC[C@@H](NC(=O)[C@H]1CC(=O)N(Cc2ccco2)C1)c1nn[n-]n1 ZINC001362912405 1131711811 /nfs/dbraw/zinc/71/18/11/1131711811.db2.gz XSUKJDMYFQLNCN-GXSJLCMTSA-N -1 1 334.336 -0.355 20 0 EBADMM CCN(CC(C)=O)C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC001362913084 1131712366 /nfs/dbraw/zinc/71/23/66/1131712366.db2.gz SWJOOINVKDZMCY-UHFFFAOYSA-N -1 1 331.350 -0.906 20 0 EBADMM COC(=O)[C@H]1CSCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC001362926077 1131715227 /nfs/dbraw/zinc/71/52/27/1131715227.db2.gz GQOXXZVWWHLFKJ-SECBINFHSA-N -1 1 339.373 -0.366 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCS(=O)(=O)[C@@H](C)C1 ZINC001362966106 1131727829 /nfs/dbraw/zinc/72/78/29/1131727829.db2.gz ACVATEGFTHXKIW-NKWVEPMBSA-N -1 1 331.350 -0.408 20 0 EBADMM CSCC[C@H](NC(=O)C1CN(S(C)(=O)=O)C1)c1nn[n-]n1 ZINC001362997052 1131738380 /nfs/dbraw/zinc/73/83/80/1131738380.db2.gz GLKJWAIWFIHAKN-QMMMGPOBSA-N -1 1 334.427 -0.999 20 0 EBADMM COC(=O)CC[C@H](NC(=O)CCc1nn[n-]n1)C(=O)OC(C)(C)C ZINC001363005647 1131742154 /nfs/dbraw/zinc/74/21/54/1131742154.db2.gz HZJCYEIBESQCNI-VIFPVBQESA-N -1 1 341.368 -0.088 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCC(N2CCOC2=O)CC1 ZINC001363021124 1131749687 /nfs/dbraw/zinc/74/96/87/1131749687.db2.gz SGGOUHASUUOREK-UHFFFAOYSA-N -1 1 348.359 -0.040 20 0 EBADMM CN(C)C(=O)N1CCN(C(=O)CNC(=O)c2ncccc2[O-])CC1 ZINC001363022074 1131750718 /nfs/dbraw/zinc/75/07/18/1131750718.db2.gz KWUZDGMWWBGLIZ-UHFFFAOYSA-N -1 1 335.364 -0.657 20 0 EBADMM CSCC(C)(C)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC001363041747 1131758489 /nfs/dbraw/zinc/75/84/89/1131758489.db2.gz HPHWRMLDBBRTQL-UHFFFAOYSA-N -1 1 349.434 -0.085 20 0 EBADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H]2CSCC(=O)N2)n[n-]1 ZINC001363044949 1131760241 /nfs/dbraw/zinc/76/02/41/1131760241.db2.gz ASAOLRZXTNPHJX-RQJHMYQMSA-N -1 1 327.366 -0.610 20 0 EBADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)[C@@H]2CSCC(=O)N2)[n-]1 ZINC001363044949 1131760247 /nfs/dbraw/zinc/76/02/47/1131760247.db2.gz ASAOLRZXTNPHJX-RQJHMYQMSA-N -1 1 327.366 -0.610 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H]2CSCC(=O)N2)n1 ZINC001363044949 1131760251 /nfs/dbraw/zinc/76/02/51/1131760251.db2.gz ASAOLRZXTNPHJX-RQJHMYQMSA-N -1 1 327.366 -0.610 20 0 EBADMM CCOC(=O)CC1(NC(=O)CNC(=O)c2ncccc2[O-])COC1 ZINC001363057500 1131765769 /nfs/dbraw/zinc/76/57/69/1131765769.db2.gz NVFFZQCARWKXNT-UHFFFAOYSA-N -1 1 337.332 -0.645 20 0 EBADMM O=C(CCc1nn[n-]n1)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC001363104129 1131785758 /nfs/dbraw/zinc/78/57/58/1131785758.db2.gz HNYNXZYVLKZJLU-SNVBAGLBSA-N -1 1 328.398 -0.841 20 0 EBADMM COC(=O)c1c(NC(=O)COC[C@@H]2CCCO2)n[n-]c1OCCO ZINC001363117563 1131791222 /nfs/dbraw/zinc/79/12/22/1131791222.db2.gz AJIIWMVWIQLYKO-VIFPVBQESA-N -1 1 343.336 -0.299 20 0 EBADMM COC(=O)c1c(OCCO)n[n-]c1NC(=O)COC[C@@H]1CCCO1 ZINC001363117563 1131791227 /nfs/dbraw/zinc/79/12/27/1131791227.db2.gz AJIIWMVWIQLYKO-VIFPVBQESA-N -1 1 343.336 -0.299 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCn2cnccc2=O)o1 ZINC001363117977 1131791471 /nfs/dbraw/zinc/79/14/71/1131791471.db2.gz FUWCGDZABHUFLQ-UHFFFAOYSA-N -1 1 326.334 -0.826 20 0 EBADMM CSc1nc(CNC(=O)c2cn(C)c(=O)n(C)c2=O)cc(=O)[n-]1 ZINC001363140302 1131799474 /nfs/dbraw/zinc/79/94/74/1131799474.db2.gz IZPHCPJRMJIILZ-UHFFFAOYSA-N -1 1 337.361 -0.769 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H](C)C2N=NC(=O)N2C)o1 ZINC001363151012 1131805198 /nfs/dbraw/zinc/80/51/98/1131805198.db2.gz ALSQFBNHXKZDLQ-ZCFIWIBFSA-N -1 1 329.338 -0.487 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)N[C@H](CO)CN1CCCCC1 ZINC001363175299 1131815682 /nfs/dbraw/zinc/81/56/82/1131815682.db2.gz LKJZBAMSWZGXIW-NSHDSACASA-N -1 1 334.380 -0.966 20 0 EBADMM CN(CC1(C)COC1)C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC001363276285 1131866265 /nfs/dbraw/zinc/86/62/65/1131866265.db2.gz JZLLMYBNWVIHAO-UHFFFAOYSA-N -1 1 345.377 -0.848 20 0 EBADMM NS(=O)(=O)N1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC001363307081 1131887608 /nfs/dbraw/zinc/88/76/08/1131887608.db2.gz TYTYJIYXRJMDEO-UHFFFAOYSA-N -1 1 327.366 -0.979 20 0 EBADMM CNS(=O)(=O)c1ccc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)o1 ZINC001363308233 1131888481 /nfs/dbraw/zinc/88/84/81/1131888481.db2.gz LRKGCMMMLKOOLA-SSDOTTSWSA-N -1 1 326.338 -0.670 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H]2CCOC[C@@H]2OC)o1 ZINC001363309489 1131888861 /nfs/dbraw/zinc/88/88/61/1131888861.db2.gz XSQLEZQKYZIHJV-ONGXEEELSA-N -1 1 332.378 -0.031 20 0 EBADMM Nc1nc2nc(CN3CCC([C@@H]4COC(=O)N4)CC3)cc(=O)n2[n-]1 ZINC001363320553 1131895653 /nfs/dbraw/zinc/89/56/53/1131895653.db2.gz YARUVJPQPGDHKM-JTQLQIEISA-N -1 1 333.352 -0.680 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H]2C[C@@H]3COC[C@@H]3O2)o1 ZINC001363328681 1131902372 /nfs/dbraw/zinc/90/23/72/1131902372.db2.gz ZHCCRIAGXOJSJL-YWVKMMECSA-N -1 1 330.362 -0.279 20 0 EBADMM CC1(C)OC[C@@H]([C@@H]2CN(C(=O)CCCc3nn[n-]n3)CCO2)O1 ZINC001363333941 1131905827 /nfs/dbraw/zinc/90/58/27/1131905827.db2.gz KZQMUDWMEQHNCM-QWRGUYRKSA-N -1 1 325.369 -0.099 20 0 EBADMM COC[C@@H](NC(=O)[C@H](NS(=O)(=O)C1CC1)C(C)C)c1nn[n-]n1 ZINC001363408017 1131937427 /nfs/dbraw/zinc/93/74/27/1131937427.db2.gz APPBIHAVQAVQFR-NXEZZACHSA-N -1 1 346.413 -0.890 20 0 EBADMM COC[C@H](NC(=O)c1ccc(N2CCNC2=O)cc1)c1nn[n-]n1 ZINC001363413621 1131939118 /nfs/dbraw/zinc/93/91/18/1131939118.db2.gz JTRAXHUYVXPKEW-NSHDSACASA-N -1 1 331.336 -0.153 20 0 EBADMM COC[C@@H](NC(=O)c1ccc2c(c1)N(C)C(=O)CO2)c1nn[n-]n1 ZINC001363431121 1131946255 /nfs/dbraw/zinc/94/62/55/1131946255.db2.gz GXJKLLQVBYKDRI-SECBINFHSA-N -1 1 332.320 -0.328 20 0 EBADMM COC[C@H](NC(=O)c1c[nH]c(C(=O)N2CCCC2)c1)c1nn[n-]n1 ZINC001363429670 1131946423 /nfs/dbraw/zinc/94/64/23/1131946423.db2.gz FATIHYQYFIOKFG-NSHDSACASA-N -1 1 333.352 -0.119 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(Cc2cn[nH]c2)CC1 ZINC001363454842 1131957040 /nfs/dbraw/zinc/95/70/40/1131957040.db2.gz MYNYXWSWZZWFSG-UHFFFAOYSA-N -1 1 334.336 -0.423 20 0 EBADMM CN(C(=O)[C@@H](O)[C@@](O)(c1ccccc1)C(F)(F)F)c1nn[n-]n1 ZINC001363486938 1131968666 /nfs/dbraw/zinc/96/86/66/1131968666.db2.gz BUPROFXKHSGGBZ-KCJUWKMLSA-N -1 1 331.254 -0.027 20 0 EBADMM CO[C@]1(CNC(=O)c2cnc(C3CC3)[n-]c2=O)CCS(=O)(=O)C1 ZINC001363534820 1132000398 /nfs/dbraw/zinc/00/03/98/1132000398.db2.gz OVYIWOGBZIPATJ-AWEZNQCLSA-N -1 1 341.389 -0.007 20 0 EBADMM CCS(=O)(=O)N[C@H](C)C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC001363541309 1132003829 /nfs/dbraw/zinc/00/38/29/1132003829.db2.gz SRJIAZZZXLZONJ-SFYZADRCSA-N -1 1 336.443 -0.562 20 0 EBADMM CCS(=O)(=O)N1CCC(C(=O)NC2(c3nn[n-]n3)CCC2)CC1 ZINC001363551673 1132009262 /nfs/dbraw/zinc/00/92/62/1132009262.db2.gz PFMYZXPKGXWUAX-UHFFFAOYSA-N -1 1 342.425 -0.243 20 0 EBADMM COC(=O)c1ccn(CC(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)n1 ZINC001363584977 1132023115 /nfs/dbraw/zinc/02/31/15/1132023115.db2.gz PUSCSLSMUKMJIR-JTQLQIEISA-N -1 1 333.352 -0.336 20 0 EBADMM O=C(NCCc1c(F)cc([O-])cc1F)[C@@H]1CS(=O)(=O)CC(=O)N1 ZINC001363653702 1132045518 /nfs/dbraw/zinc/04/55/18/1132045518.db2.gz OCEAKNTWTLSXRX-NSHDSACASA-N -1 1 348.327 -0.758 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)[C@H]2C[C@@H](C)[C@H](C(=O)OC)O2)n[n-]1 ZINC001363708097 1132064036 /nfs/dbraw/zinc/06/40/36/1132064036.db2.gz MGIGLBKLYUVTEB-NQMVMOMDSA-N -1 1 340.336 -0.436 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)[C@H]2C[C@@H](C)[C@H](C(=O)OC)O2)n1 ZINC001363708097 1132064042 /nfs/dbraw/zinc/06/40/42/1132064042.db2.gz MGIGLBKLYUVTEB-NQMVMOMDSA-N -1 1 340.336 -0.436 20 0 EBADMM Cn1cc(N2C[C@@H](C(=O)N3CC[C@H](c4nn[n-]n4)C3)CC2=O)cn1 ZINC001363715027 1132066951 /nfs/dbraw/zinc/06/69/51/1132066951.db2.gz BGDJVASSFZSHCN-UWVGGRQHSA-N -1 1 330.352 -0.698 20 0 EBADMM CCCNC(=O)C[N-]S(=O)(=O)c1nc[nH]c1Br ZINC001363751708 1132076001 /nfs/dbraw/zinc/07/60/01/1132076001.db2.gz UHQPNIKHZWOPDC-UHFFFAOYSA-N -1 1 325.188 -0.023 20 0 EBADMM CNC(=O)CN1CCC([N-]S(=O)(=O)c2cc(OC)ns2)CC1 ZINC001363762339 1132079689 /nfs/dbraw/zinc/07/96/89/1132079689.db2.gz VHFMSKBBQCDERK-UHFFFAOYSA-N -1 1 348.450 -0.360 20 0 EBADMM CS(C)(=O)=NS(=O)(=O)[N-][C@@H]1CCCc2c1cnn2CCO ZINC001363782578 1132087106 /nfs/dbraw/zinc/08/71/06/1132087106.db2.gz DRTVGAZDGPNWHL-SNVBAGLBSA-N -1 1 336.439 -0.185 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H](O)c2ccccc2F)c(=O)[nH]c1=O ZINC001363787791 1132088541 /nfs/dbraw/zinc/08/85/41/1132088541.db2.gz SZKDOOWQZQTDRU-SNVBAGLBSA-N -1 1 343.336 -0.363 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CCO[C@]3(CCOC3)C2)c(=O)[nH]c1=O ZINC001363844780 1132109642 /nfs/dbraw/zinc/10/96/42/1132109642.db2.gz ZIRKKRODWRFQJG-NOZJJQNGSA-N -1 1 345.377 -0.898 20 0 EBADMM CCOC(=O)N1CC[C@H](NS(=O)(=O)c2cc(C(=O)OC)n[n-]2)C1 ZINC001363849682 1132110981 /nfs/dbraw/zinc/11/09/81/1132110981.db2.gz FSKBJHVRYRUSAN-QMMMGPOBSA-N -1 1 346.365 -0.295 20 0 EBADMM CCOC(=O)N1CC[C@H](NS(=O)(=O)c2cc(C(=O)OC)[n-]n2)C1 ZINC001363849682 1132110983 /nfs/dbraw/zinc/11/09/83/1132110983.db2.gz FSKBJHVRYRUSAN-QMMMGPOBSA-N -1 1 346.365 -0.295 20 0 EBADMM CCn1ccnc1[C@@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001363867444 1132116354 /nfs/dbraw/zinc/11/63/54/1132116354.db2.gz BEXSNXKSWAGCIZ-MRVPVSSYSA-N -1 1 327.366 -0.258 20 0 EBADMM CCOC(=O)c1nc([C@@H](C)NC(=O)[C@@H]2COCCN2CC)n[n-]1 ZINC001363888704 1132120858 /nfs/dbraw/zinc/12/08/58/1132120858.db2.gz SAXCKNJTSUGBMG-ZJUUUORDSA-N -1 1 325.369 -0.121 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)[C@@H]2COCCN2CC)n1 ZINC001363888704 1132120860 /nfs/dbraw/zinc/12/08/60/1132120860.db2.gz SAXCKNJTSUGBMG-ZJUUUORDSA-N -1 1 325.369 -0.121 20 0 EBADMM COC(=O)C[C@@]1([N-]S(=O)(=O)N=[S@](C)(=O)N(C)C)CCOC1 ZINC001363960156 1132143838 /nfs/dbraw/zinc/14/38/38/1132143838.db2.gz DYIQVWTXAQTFLR-FVINQWEUSA-N -1 1 343.427 -0.883 20 0 EBADMM COC(=O)C[C@]1([N-]S(=O)(=O)N=[S@](C)(=O)N(C)C)CCOC1 ZINC001363960157 1132144078 /nfs/dbraw/zinc/14/40/78/1132144078.db2.gz DYIQVWTXAQTFLR-SBKAZYGRSA-N -1 1 343.427 -0.883 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC2(CO)CCCCC2)c(=O)[nH]c1=O ZINC001363974746 1132149819 /nfs/dbraw/zinc/14/98/19/1132149819.db2.gz VXPVFGJUVKSMMS-UHFFFAOYSA-N -1 1 331.394 -0.293 20 0 EBADMM C[C@@H]([N-]S(=O)(=O)c1nc[nH]c1Br)C(=O)NCCF ZINC001363973818 1132149859 /nfs/dbraw/zinc/14/98/59/1132149859.db2.gz VFSQWFNTJWHKRG-RXMQYKEDSA-N -1 1 343.178 -0.075 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@@H](C)CS(C)(=O)=O)[n-]n1 ZINC001363978484 1132151546 /nfs/dbraw/zinc/15/15/46/1132151546.db2.gz UGIZSTPAYBUSEZ-ZETCQYMHSA-N -1 1 339.395 -0.750 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@@H](C)CS(C)(=O)=O)n[n-]1 ZINC001363978484 1132151549 /nfs/dbraw/zinc/15/15/49/1132151549.db2.gz UGIZSTPAYBUSEZ-ZETCQYMHSA-N -1 1 339.395 -0.750 20 0 EBADMM CC(=O)N1CCC[C@@H](C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)C1 ZINC001363987350 1132155014 /nfs/dbraw/zinc/15/50/14/1132155014.db2.gz SALNUNWODKKIQH-JTQLQIEISA-N -1 1 344.393 -0.977 20 0 EBADMM COc1ccc([O-])c(S(=O)(=O)NCC(=O)N=S(C)(C)=O)c1 ZINC001363993510 1132157742 /nfs/dbraw/zinc/15/77/42/1132157742.db2.gz DDCBZJLBBUIZFD-UHFFFAOYSA-N -1 1 336.391 -0.067 20 0 EBADMM COC1(CS(=O)(=O)[N-]CC(=O)N=S(C)(C)=O)CCOCC1 ZINC001364005995 1132162500 /nfs/dbraw/zinc/16/25/00/1132162500.db2.gz SOYRWFSVTNHDPW-UHFFFAOYSA-N -1 1 342.439 -0.644 20 0 EBADMM COc1cc(S(=O)(=O)[N-][C@H]2CS(=O)(=O)C[C@H]2OC)sn1 ZINC001364007477 1132163533 /nfs/dbraw/zinc/16/35/33/1132163533.db2.gz YZXFLKVNMXFVAO-NKWVEPMBSA-N -1 1 342.420 -0.758 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H](C2CC2)C(C)(C)CO)c(=O)[nH]c1=O ZINC001364013312 1132166296 /nfs/dbraw/zinc/16/62/96/1132166296.db2.gz YNRMVGSHTMCDQD-JTQLQIEISA-N -1 1 331.394 -0.439 20 0 EBADMM COc1cc(S(=O)(=O)[N-]C[C@@]2(OCCO)CCOC2)sn1 ZINC001364028742 1132172270 /nfs/dbraw/zinc/17/22/70/1132172270.db2.gz PBUNEVFQYMZETN-NSHDSACASA-N -1 1 338.407 -0.402 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CCO[C@H]2C(=O)OC)o1 ZINC001364049429 1132184236 /nfs/dbraw/zinc/18/42/36/1132184236.db2.gz BYXFJIQZKDRQFO-LDYMZIIASA-N -1 1 347.345 -0.077 20 0 EBADMM CCO[C@H]1COCC[C@H]1CC(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC001364051143 1132185099 /nfs/dbraw/zinc/18/50/99/1132185099.db2.gz UQMICQRARMUXPO-IUCAKERBSA-N -1 1 332.382 -0.022 20 0 EBADMM CCO[C@H]1COCC[C@H]1CC(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC001364051143 1132185101 /nfs/dbraw/zinc/18/51/01/1132185101.db2.gz UQMICQRARMUXPO-IUCAKERBSA-N -1 1 332.382 -0.022 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C(C)(C)C(=O)N1CCCC1 ZINC001364119523 1132213056 /nfs/dbraw/zinc/21/30/56/1132213056.db2.gz ZVJPPIQMMWSYFT-UHFFFAOYSA-N -1 1 344.393 -0.124 20 0 EBADMM COC(=O)[C@@H](CC1OCCO1)[N-]S(=O)(=O)[C@H]1CCC[C@H]1OC ZINC001364179343 1132226611 /nfs/dbraw/zinc/22/66/11/1132226611.db2.gz PEQFMJDWHCWRBE-MXWKQRLJSA-N -1 1 337.394 -0.222 20 0 EBADMM COCC1(S(=O)(=O)[N-][C@H](CC2OCCO2)C(=O)OC)CCC1 ZINC001364179614 1132226910 /nfs/dbraw/zinc/22/69/10/1132226910.db2.gz PXKVTYCZAPSGIO-SNVBAGLBSA-N -1 1 337.394 -0.221 20 0 EBADMM COc1cnc([C@H]2CCCN2C(=O)c2cn(C)c(=O)[nH]c2=O)[n-]c1=O ZINC001364415714 1132308697 /nfs/dbraw/zinc/30/86/97/1132308697.db2.gz KEIOJAOPXTXFOH-SECBINFHSA-N -1 1 347.331 -0.033 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@]2(C(=O)OC)CCOC2)o1 ZINC001364463375 1132325637 /nfs/dbraw/zinc/32/56/37/1132325637.db2.gz BAZKKCIUGNRBEQ-CYBMUJFWSA-N -1 1 346.361 -0.503 20 0 EBADMM O=c1[n-]c(CN2CCS(=O)(=O)C[C@H]2CCO)nc2c1COCC2 ZINC001364500766 1132341817 /nfs/dbraw/zinc/34/18/17/1132341817.db2.gz XHXUMWYAICRCMR-SNVBAGLBSA-N -1 1 343.405 -0.764 20 0 EBADMM CC(C)N(C[C@H](O)CO)C(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001364731100 1132406717 /nfs/dbraw/zinc/40/67/17/1132406717.db2.gz SUEHFUKADMEHJP-JTQLQIEISA-N -1 1 340.380 -0.472 20 0 EBADMM CCOC(=O)c1[n-]nnc1[C@@H]1CCCN1C(=O)[C@@H](O)C(C)(C)O ZINC001364771863 1132421252 /nfs/dbraw/zinc/42/12/52/1132421252.db2.gz XMGUJJNJOJZJRP-GZMMTYOYSA-N -1 1 326.353 -0.223 20 0 EBADMM CCOC(=O)c1nn[n-]c1[C@@H]1CCCN1C(=O)[C@@H](O)C(C)(C)O ZINC001364771863 1132421254 /nfs/dbraw/zinc/42/12/54/1132421254.db2.gz XMGUJJNJOJZJRP-GZMMTYOYSA-N -1 1 326.353 -0.223 20 0 EBADMM CCOC(=O)c1n[n-]nc1[C@@H]1CCCN1C(=O)[C@@H](O)C(C)(C)O ZINC001364771863 1132421261 /nfs/dbraw/zinc/42/12/61/1132421261.db2.gz XMGUJJNJOJZJRP-GZMMTYOYSA-N -1 1 326.353 -0.223 20 0 EBADMM CCS(=O)(CC)=NS(=O)(=O)[N-]CCC(=O)N1CCOCC1 ZINC001364780030 1132424780 /nfs/dbraw/zinc/42/47/80/1132424780.db2.gz PCUITGGXLJXMGK-UHFFFAOYSA-N -1 1 341.455 -0.423 20 0 EBADMM CNC(=O)N[C@@H]1CCCN(C(=O)CNC(=O)c2ncccc2[O-])C1 ZINC001364788305 1132427068 /nfs/dbraw/zinc/42/70/68/1132427068.db2.gz GWUHZSVJHINLPB-SNVBAGLBSA-N -1 1 335.364 -0.563 20 0 EBADMM CCC(=O)N1CC[C@H](NS(=O)(=O)c2cc(C(=O)OC)n[n-]2)C1 ZINC001364794064 1132428695 /nfs/dbraw/zinc/42/86/95/1132428695.db2.gz CZDFEFKXTXEFCD-QMMMGPOBSA-N -1 1 330.366 -0.515 20 0 EBADMM CCC(=O)N1CC[C@H](NS(=O)(=O)c2cc(C(=O)OC)[n-]n2)C1 ZINC001364794064 1132428705 /nfs/dbraw/zinc/42/87/05/1132428705.db2.gz CZDFEFKXTXEFCD-QMMMGPOBSA-N -1 1 330.366 -0.515 20 0 EBADMM CCO[C@H]1COCC[C@@H]1NS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001364818563 1132438547 /nfs/dbraw/zinc/43/85/47/1132438547.db2.gz LOPCDDSIQWZQAK-WPRPVWTQSA-N -1 1 333.366 -0.331 20 0 EBADMM CCO[C@H]1COCC[C@@H]1NS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001364818563 1132438549 /nfs/dbraw/zinc/43/85/49/1132438549.db2.gz LOPCDDSIQWZQAK-WPRPVWTQSA-N -1 1 333.366 -0.331 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCC2(C1)OCCO2 ZINC001364829207 1132445665 /nfs/dbraw/zinc/44/56/65/1132445665.db2.gz DRHVSPNQGVRGBD-MRVPVSSYSA-N -1 1 331.350 -0.230 20 0 EBADMM COC(=O)[C@]1([N-]S(=O)(=O)CCN2CCOC2=O)CCSC1 ZINC001364839612 1132449799 /nfs/dbraw/zinc/44/97/99/1132449799.db2.gz RSAMHJDHBBRABN-NSHDSACASA-N -1 1 338.407 -0.593 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2CCOC(C)(C)C2)c(=O)[nH]c1=O ZINC001364858474 1132456713 /nfs/dbraw/zinc/45/67/13/1132456713.db2.gz PXQKUYIDRHEQFU-SECBINFHSA-N -1 1 331.394 -0.031 20 0 EBADMM COCC1(CNS(=O)(=O)c2cc(C(=O)OC)n[n-]2)CCOCC1 ZINC001364875631 1132464572 /nfs/dbraw/zinc/46/45/72/1132464572.db2.gz XGYCXOQDUXSPKI-UHFFFAOYSA-N -1 1 347.393 -0.082 20 0 EBADMM COCC1(CNS(=O)(=O)c2cc(C(=O)OC)[n-]n2)CCOCC1 ZINC001364875631 1132464576 /nfs/dbraw/zinc/46/45/76/1132464576.db2.gz XGYCXOQDUXSPKI-UHFFFAOYSA-N -1 1 347.393 -0.082 20 0 EBADMM CO[C@@H](CS(=O)(=O)[N-]Cc1nc(C(N)=O)co1)[C@H]1CCOC1 ZINC001364879772 1132466346 /nfs/dbraw/zinc/46/63/46/1132466346.db2.gz DUSKKFBOPZNLDH-WPRPVWTQSA-N -1 1 333.366 -0.756 20 0 EBADMM NC(=O)c1coc(C[N-]S(=O)(=O)c2cccc3c2OCO3)n1 ZINC001364881100 1132467231 /nfs/dbraw/zinc/46/72/31/1132467231.db2.gz PGOKAOJYZVXEAW-UHFFFAOYSA-N -1 1 325.302 -0.019 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H](C)N(CCO)C[C@H]2C)[n-]n1 ZINC001364887370 1132469929 /nfs/dbraw/zinc/46/99/29/1132469929.db2.gz KZGXGOWVFWJPQL-NXEZZACHSA-N -1 1 346.409 -0.728 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H](C)N(CCO)C[C@H]2C)n[n-]1 ZINC001364887370 1132469932 /nfs/dbraw/zinc/46/99/32/1132469932.db2.gz KZGXGOWVFWJPQL-NXEZZACHSA-N -1 1 346.409 -0.728 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC(Cc3cnn(C)c3)C2)[n-]n1 ZINC001364902236 1132475749 /nfs/dbraw/zinc/47/57/49/1132475749.db2.gz HUNSVHKHHYSHOP-UHFFFAOYSA-N -1 1 339.377 -0.207 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC(Cc3cnn(C)c3)C2)n[n-]1 ZINC001364902236 1132475755 /nfs/dbraw/zinc/47/57/55/1132475755.db2.gz HUNSVHKHHYSHOP-UHFFFAOYSA-N -1 1 339.377 -0.207 20 0 EBADMM CC1(C)CCC[C@@H](CS(=O)(=O)[N-][C@@H]2CS(=O)(=O)C[C@H]2O)O1 ZINC001364903056 1132476871 /nfs/dbraw/zinc/47/68/71/1132476871.db2.gz QKHDWYIBILEFPV-HBNTYKKESA-N -1 1 341.451 -0.589 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1C[C@@H](C)n2ncnc21 ZINC001364905906 1132478743 /nfs/dbraw/zinc/47/87/43/1132478743.db2.gz USAUMMSTQDGBRC-SVRRBLITSA-N -1 1 326.338 -0.228 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1C[C@H](C)n2ncnc21 ZINC001364905911 1132478800 /nfs/dbraw/zinc/47/88/00/1132478800.db2.gz USAUMMSTQDGBRC-XPUUQOCRSA-N -1 1 326.338 -0.228 20 0 EBADMM Cn1cnnc1[C@@H](CO)[N-]S(=O)(=O)c1cccc2c1OCO2 ZINC001364951981 1132500625 /nfs/dbraw/zinc/50/06/25/1132500625.db2.gz FRBUUXQDUWFSRE-MRVPVSSYSA-N -1 1 326.334 -0.444 20 0 EBADMM COC(=O)[C@@H](C[C@@H]1CCCO1)[N-]S(=O)(=O)C[C@@H](C)C(=O)OC ZINC001364961189 1132504047 /nfs/dbraw/zinc/50/40/47/1132504047.db2.gz VQUXWODKVWSJQO-OUAUKWLOSA-N -1 1 337.394 -0.174 20 0 EBADMM CCOC(=O)C[C@@H](O)C(=O)N(C)CCc1nc([O-])c(C)c(=O)[nH]1 ZINC001364975711 1132508824 /nfs/dbraw/zinc/50/88/24/1132508824.db2.gz XKFGPVTUZLTKSZ-SECBINFHSA-N -1 1 327.337 -0.489 20 0 EBADMM Cc1ncccc1CC[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC001364996801 1132515065 /nfs/dbraw/zinc/51/50/65/1132515065.db2.gz MSIIJHVAQAOYPS-UHFFFAOYSA-N -1 1 338.389 -0.692 20 0 EBADMM COC(=O)[C@@H]1CS(=O)(=O)CCN1C(=O)c1cccc([O-])c1F ZINC001365126519 1132551586 /nfs/dbraw/zinc/55/15/86/1132551586.db2.gz KDWHNQJUIUNZHZ-VIFPVBQESA-N -1 1 331.321 -0.057 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@]2(C(=O)OC)CCSC2)[n-]n1 ZINC001365387124 1132647323 /nfs/dbraw/zinc/64/73/23/1132647323.db2.gz CPMNSLRVJXWOAU-LLVKDONJSA-N -1 1 349.390 -0.477 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@]2(C(=O)OC)CCSC2)n[n-]1 ZINC001365387124 1132647330 /nfs/dbraw/zinc/64/73/30/1132647330.db2.gz CPMNSLRVJXWOAU-LLVKDONJSA-N -1 1 349.390 -0.477 20 0 EBADMM CC[C@H](CNS(C)(=O)=O)[N-]S(=O)(=O)c1csnc1OC ZINC001365403073 1132654269 /nfs/dbraw/zinc/65/42/69/1132654269.db2.gz IFHMGSPLDRKYCK-SSDOTTSWSA-N -1 1 343.452 -0.242 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC(F)(F)[C@@H](CO)C2)[n-]n1 ZINC001365406190 1132655185 /nfs/dbraw/zinc/65/51/85/1132655185.db2.gz FNIHGMZAHPXKJZ-SSDOTTSWSA-N -1 1 339.320 -0.166 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC(F)(F)[C@@H](CO)C2)n[n-]1 ZINC001365406190 1132655188 /nfs/dbraw/zinc/65/51/88/1132655188.db2.gz FNIHGMZAHPXKJZ-SSDOTTSWSA-N -1 1 339.320 -0.166 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H]3NC(=O)CC[C@@H]3C2)[n-]n1 ZINC001365435343 1132672696 /nfs/dbraw/zinc/67/26/96/1132672696.db2.gz CMZTUOQJXWPNGY-RKDXNWHRSA-N -1 1 342.377 -0.515 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@H]3NC(=O)CC[C@@H]3C2)n[n-]1 ZINC001365435343 1132672701 /nfs/dbraw/zinc/67/27/01/1132672701.db2.gz CMZTUOQJXWPNGY-RKDXNWHRSA-N -1 1 342.377 -0.515 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H]3NC(=O)CC[C@@H]3C2)[n-]n1 ZINC001365435340 1132672876 /nfs/dbraw/zinc/67/28/76/1132672876.db2.gz CMZTUOQJXWPNGY-BDAKNGLRSA-N -1 1 342.377 -0.515 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@H]3NC(=O)CC[C@@H]3C2)n[n-]1 ZINC001365435340 1132672880 /nfs/dbraw/zinc/67/28/80/1132672880.db2.gz CMZTUOQJXWPNGY-BDAKNGLRSA-N -1 1 342.377 -0.515 20 0 EBADMM CCOC(=O)c1nc([C@H](C)NS(=O)(=O)C[C@@H]2CCOC2)n[n-]1 ZINC001365438351 1132675519 /nfs/dbraw/zinc/67/55/19/1132675519.db2.gz VSWHHUIKRYYLCO-DTWKUNHWSA-N -1 1 332.382 -0.002 20 0 EBADMM CCOC(=O)c1nnc([C@H](C)NS(=O)(=O)C[C@@H]2CCOC2)[n-]1 ZINC001365438351 1132675523 /nfs/dbraw/zinc/67/55/23/1132675523.db2.gz VSWHHUIKRYYLCO-DTWKUNHWSA-N -1 1 332.382 -0.002 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](C)NS(=O)(=O)C[C@@H]2CCOC2)n1 ZINC001365438351 1132675528 /nfs/dbraw/zinc/67/55/28/1132675528.db2.gz VSWHHUIKRYYLCO-DTWKUNHWSA-N -1 1 332.382 -0.002 20 0 EBADMM Cn1cc(C(F)F)c(C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)n1 ZINC001365455076 1132686534 /nfs/dbraw/zinc/68/65/34/1132686534.db2.gz ZLJIGONGDHWZBT-UHFFFAOYSA-N -1 1 349.319 -0.365 20 0 EBADMM Cn1cnnc1[C@@H](CO)[N-]S(=O)(=O)c1cc(Cl)cnc1N ZINC001365458273 1132690274 /nfs/dbraw/zinc/69/02/74/1132690274.db2.gz VWDIQKGLGQQNGD-SSDOTTSWSA-N -1 1 332.773 -0.542 20 0 EBADMM CCOC(=O)N1C[C@H](CO)[C@H]([N-]S(=O)(=O)c2ccns2)C1 ZINC001365471586 1132699938 /nfs/dbraw/zinc/69/99/38/1132699938.db2.gz ZZZPCDIYTBJHJX-RKDXNWHRSA-N -1 1 335.407 -0.129 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC(CNC(C)=O)CC2)[n-]n1 ZINC001365636314 1132741475 /nfs/dbraw/zinc/74/14/75/1132741475.db2.gz SSFAQEKKOHVDNL-UHFFFAOYSA-N -1 1 344.393 -0.267 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC(CNC(C)=O)CC2)n[n-]1 ZINC001365636314 1132741477 /nfs/dbraw/zinc/74/14/77/1132741477.db2.gz SSFAQEKKOHVDNL-UHFFFAOYSA-N -1 1 344.393 -0.267 20 0 EBADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-]C[C@@H](O)COCC1CC1 ZINC001365665254 1132753256 /nfs/dbraw/zinc/75/32/56/1132753256.db2.gz AYSQWABITVNJCH-GIGQVBGESA-N -1 1 329.444 -0.817 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@](O)(C(F)(F)F)C2)[n-]n1 ZINC001365699927 1132767024 /nfs/dbraw/zinc/76/70/24/1132767024.db2.gz WGLCHNKTZNZVEK-VIFPVBQESA-N -1 1 343.283 -0.116 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[C@@](O)(C(F)(F)F)C2)n[n-]1 ZINC001365699927 1132767026 /nfs/dbraw/zinc/76/70/26/1132767026.db2.gz WGLCHNKTZNZVEK-VIFPVBQESA-N -1 1 343.283 -0.116 20 0 EBADMM COC[C@@H](NS(=O)(=O)c1cc(C(=O)OC)n[n-]1)[C@@H]1CCCO1 ZINC001365703620 1132768580 /nfs/dbraw/zinc/76/85/80/1132768580.db2.gz IIOGMADEQSXGDO-ZJUUUORDSA-N -1 1 333.366 -0.331 20 0 EBADMM COC[C@@H](NS(=O)(=O)c1cc(C(=O)OC)[n-]n1)[C@@H]1CCCO1 ZINC001365703620 1132768586 /nfs/dbraw/zinc/76/85/86/1132768586.db2.gz IIOGMADEQSXGDO-ZJUUUORDSA-N -1 1 333.366 -0.331 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2C[C@H]2C2CCOCC2)c(=O)[nH]c1=O ZINC001365727037 1132778007 /nfs/dbraw/zinc/77/80/07/1132778007.db2.gz DGHRQLZKGQLECA-QWRGUYRKSA-N -1 1 343.405 -0.173 20 0 EBADMM C[C@@H](C(=O)N(C)C)S(=O)(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001365727980 1132778663 /nfs/dbraw/zinc/77/86/63/1132778663.db2.gz XCYAQCAMNFGUPR-QMMMGPOBSA-N -1 1 344.393 -0.849 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC1CC2(C1)OCCO2 ZINC001365734759 1132783945 /nfs/dbraw/zinc/78/39/45/1132783945.db2.gz OSVHSKWCZYRXIZ-UHFFFAOYSA-N -1 1 331.350 -0.372 20 0 EBADMM COC[C@H]([N-]S(=O)(=O)c1n[nH]cc1C(=O)OC)[C@@H]1CCCOC1 ZINC001365738254 1132785293 /nfs/dbraw/zinc/78/52/93/1132785293.db2.gz GJVCEBYQKHPDCT-KOLCDFICSA-N -1 1 347.393 -0.084 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCSC[C@@H]2C(=O)OC)[n-]n1 ZINC001365737804 1132785347 /nfs/dbraw/zinc/78/53/47/1132785347.db2.gz OIXMPQDNGXDGEA-MRVPVSSYSA-N -1 1 349.390 -0.525 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCSC[C@@H]2C(=O)OC)n[n-]1 ZINC001365737804 1132785354 /nfs/dbraw/zinc/78/53/54/1132785354.db2.gz OIXMPQDNGXDGEA-MRVPVSSYSA-N -1 1 349.390 -0.525 20 0 EBADMM CC(=O)NCC(=O)N1C[C@@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1Cc1n[nH]c(=O)[n-]1 ZINC001365869090 1132846289 /nfs/dbraw/zinc/84/62/89/1132846289.db2.gz FQKLCAZFKMSGMF-RVMXOQNASA-N -1 1 348.407 -0.685 20 0 EBADMM Cn1[n-]c(CN(CCO)[C@H]2CCCN(C(=O)[C@@H]3CC34CC4)C2)nc1=O ZINC001365884788 1132862753 /nfs/dbraw/zinc/86/27/53/1132862753.db2.gz TUXWHKJEGMCNDM-STQMWFEESA-N -1 1 349.435 -0.306 20 0 EBADMM CC(C)OCCN1CC[C@@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001365988231 1132949505 /nfs/dbraw/zinc/94/95/05/1132949505.db2.gz DQPXITFHJHKAMY-GFCCVEGCSA-N -1 1 348.407 -0.313 20 0 EBADMM CCN1CCOC[C@@H]1C(=O)NC[C@@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001366045207 1132979342 /nfs/dbraw/zinc/97/93/42/1132979342.db2.gz QTUBDPTZVLDBKV-VXGBXAGGSA-N -1 1 338.412 -0.785 20 0 EBADMM O=C(CCNC(=O)c1ccco1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001366100781 1133004716 /nfs/dbraw/zinc/00/47/16/1133004716.db2.gz QRRAFXQQJFGUCZ-JTQLQIEISA-N -1 1 348.363 -0.386 20 0 EBADMM C[C@@H](CNC(=O)[C@@H]1CCCc2nn(C)cc21)NCc1n[nH]c(=O)[n-]1 ZINC001366319726 1133097867 /nfs/dbraw/zinc/09/78/67/1133097867.db2.gz FPALALMCZUDGOB-VHSXEESVSA-N -1 1 333.396 -0.042 20 0 EBADMM Cc1noc(CCC(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001366658794 1133231137 /nfs/dbraw/zinc/23/11/37/1133231137.db2.gz IUNIZOSSDXIDRG-NSHDSACASA-N -1 1 349.395 -0.487 20 0 EBADMM COC[C@@H](C)OC[C@H](O)CN1CC(NC(=O)c2ncccc2[O-])C1 ZINC001366917543 1133361646 /nfs/dbraw/zinc/36/16/46/1133361646.db2.gz QWPMUWDXYXEXJM-DGCLKSJQSA-N -1 1 339.392 -0.386 20 0 EBADMM CC[C@H](CNC(=O)C1(S(C)(=O)=O)CCC1)NCc1n[nH]c(=O)[n-]1 ZINC001367133495 1133485068 /nfs/dbraw/zinc/48/50/68/1133485068.db2.gz CQQCUHBBJQDZOF-SECBINFHSA-N -1 1 345.425 -0.538 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@@H]1CCCCS1(=O)=O ZINC001367361131 1133562977 /nfs/dbraw/zinc/56/29/77/1133562977.db2.gz SVXPKPZLYBGPSS-ZJUUUORDSA-N -1 1 345.425 -0.586 20 0 EBADMM C[C@@H](CNCc1ccn(C)n1)N(C)C(=O)CCc1n[nH]c(=O)[n-]c1=O ZINC001367367021 1133565157 /nfs/dbraw/zinc/56/51/57/1133565157.db2.gz XPZVGBLWZGEQLD-JTQLQIEISA-N -1 1 349.395 -0.414 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)c1cc(C(N)=O)cs1 ZINC001367415475 1133584101 /nfs/dbraw/zinc/58/41/01/1133584101.db2.gz OASBZBIBTKOPEG-ZETCQYMHSA-N -1 1 338.393 -0.079 20 0 EBADMM O=C(NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C1(c2ccccn2)CC1 ZINC001367579340 1133647023 /nfs/dbraw/zinc/64/70/23/1133647023.db2.gz JKZLXVRSMGLQOV-JTQLQIEISA-N -1 1 332.364 -0.796 20 0 EBADMM CO[C@@H](CC(=O)NC[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(F)(F)F ZINC001367616139 1133697670 /nfs/dbraw/zinc/69/76/70/1133697670.db2.gz HYXUVUNSZHPZKO-RQJHMYQMSA-N -1 1 341.290 -0.956 20 0 EBADMM COc1cc(C(=O)N[C@@H](C)CN(C)Cc2nc(=O)n(C)[n-]2)ncn1 ZINC001367808965 1133793934 /nfs/dbraw/zinc/79/39/34/1133793934.db2.gz RMANALAODWLYIO-VIFPVBQESA-N -1 1 335.368 -0.843 20 0 EBADMM C[C@H](CC(=O)N[C@@H](C)CN(C)Cc1nc(=O)n(C)[n-]1)n1cccn1 ZINC001367846935 1133810338 /nfs/dbraw/zinc/81/03/38/1133810338.db2.gz UBNJYBQDLRUTEY-NWDGAFQWSA-N -1 1 335.412 -0.107 20 0 EBADMM COc1nccc(C(=O)N[C@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)n1 ZINC001367896070 1133829194 /nfs/dbraw/zinc/82/91/94/1133829194.db2.gz NXGZWRCLRUZRSN-SNVBAGLBSA-N -1 1 333.352 -0.393 20 0 EBADMM CCO[C@@H](C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(C)C ZINC001367940325 1133849709 /nfs/dbraw/zinc/84/97/09/1133849709.db2.gz LKBLBXRRNULJTR-DGCLKSJQSA-N -1 1 325.413 -0.140 20 0 EBADMM CCO[C@@H](C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(C)C ZINC001367940328 1133850186 /nfs/dbraw/zinc/85/01/86/1133850186.db2.gz LKBLBXRRNULJTR-WCQYABFASA-N -1 1 325.413 -0.140 20 0 EBADMM CCO[C@H](C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(C)C ZINC001367940330 1133850544 /nfs/dbraw/zinc/85/05/44/1133850544.db2.gz LKBLBXRRNULJTR-YPMHNXCESA-N -1 1 325.413 -0.140 20 0 EBADMM C[C@@H](Oc1cccnc1)C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001367960813 1133865064 /nfs/dbraw/zinc/86/50/64/1133865064.db2.gz RXLUFRQWJRBBHY-NEPJUHHUSA-N -1 1 346.391 -0.339 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)COc2cccnc2)nc1=O ZINC001367966926 1133870379 /nfs/dbraw/zinc/87/03/79/1133870379.db2.gz UIOAUANTZCNQRB-NSHDSACASA-N -1 1 332.364 -0.727 20 0 EBADMM C[C@H](C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)c1cnn(C)c1 ZINC001367976712 1133876095 /nfs/dbraw/zinc/87/60/95/1133876095.db2.gz LYLHYXZHZSIYSO-CMPLNLGQSA-N -1 1 333.396 -0.664 20 0 EBADMM O=C(NCC1(NCc2cc(=O)n3[n-]ccc3n2)CC1)[C@H]1[C@@H]2COC[C@@H]21 ZINC001368016700 1133897966 /nfs/dbraw/zinc/89/79/66/1133897966.db2.gz NVZLJQPFBRYPPH-JYAVWHMHSA-N -1 1 343.387 -0.347 20 0 EBADMM CN(CC1CC1)C(=O)CN1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001368291546 1134109098 /nfs/dbraw/zinc/10/90/98/1134109098.db2.gz XBAUCHBMFKLEJV-UHFFFAOYSA-N -1 1 348.403 -0.568 20 0 EBADMM Cc1noc(CCC(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001368407318 1134210037 /nfs/dbraw/zinc/21/00/37/1134210037.db2.gz UDVSUGNOAXPLIK-NSHDSACASA-N -1 1 349.395 -0.535 20 0 EBADMM CCC[C@@H](OC)C(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001368437563 1134226030 /nfs/dbraw/zinc/22/60/30/1134226030.db2.gz LQAFSQXZKUZFTO-NWDGAFQWSA-N -1 1 341.412 -0.760 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)CCCF)CO3)nc1=O ZINC001368598206 1134315683 /nfs/dbraw/zinc/31/56/83/1134315683.db2.gz OBKPKUNAVCFGMO-JTQLQIEISA-N -1 1 327.360 -0.682 20 0 EBADMM C[C@@H](CNC(=O)c1cc(N(C)C)ccn1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001369013390 1134519043 /nfs/dbraw/zinc/51/90/43/1134519043.db2.gz TZNOWFSTELEFEY-NSHDSACASA-N -1 1 347.423 -0.180 20 0 EBADMM CC1CC(CN2CC(CCO)(NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)C1 ZINC001369023334 1134529206 /nfs/dbraw/zinc/52/92/06/1134529206.db2.gz JMKFJLCVUQWGGQ-UHFFFAOYSA-N -1 1 337.380 -0.505 20 0 EBADMM CO[C@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C)C1CC1 ZINC001369131600 1134580944 /nfs/dbraw/zinc/58/09/44/1134580944.db2.gz XXSKBPXEGQNPPT-YPMHNXCESA-N -1 1 337.424 -0.140 20 0 EBADMM C[C@@H](CN(C)C(=O)COC[C@@H]1CCCO1)NCc1n[nH]c(=O)[n-]1 ZINC001369292059 1134642781 /nfs/dbraw/zinc/64/27/81/1134642781.db2.gz AOHOGDFDVWWHCK-QWRGUYRKSA-N -1 1 327.385 -0.358 20 0 EBADMM Cn1[n-]c(CN[C@@H](CO)CNC(=O)C(C)(C)C2CCCC2)nc1=O ZINC001369321860 1134662637 /nfs/dbraw/zinc/66/26/37/1134662637.db2.gz GDNKJDIGSGEPOW-GFCCVEGCSA-N -1 1 339.440 -0.109 20 0 EBADMM COc1ccc(C(=O)NC[C@H](CO)NCc2nc(=O)n(C)[n-]2)c(C)c1 ZINC001369330324 1134672450 /nfs/dbraw/zinc/67/24/50/1134672450.db2.gz ASZZCTOKIGEJPY-LLVKDONJSA-N -1 1 349.391 -0.694 20 0 EBADMM Cc1ccc(CCC(=O)NC[C@@H](CO)NCc2nc(=O)n(C)[n-]2)cc1 ZINC001369354533 1134705352 /nfs/dbraw/zinc/70/53/52/1134705352.db2.gz ZGGIRXXAZGRIAA-AWEZNQCLSA-N -1 1 347.419 -0.384 20 0 EBADMM CCCNC(=O)CCC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC001369400854 1134760441 /nfs/dbraw/zinc/76/04/41/1134760441.db2.gz LUJBZYONNDUHNA-WDEREUQCSA-N -1 1 338.412 -0.104 20 0 EBADMM CC(C)n1cc(C(=O)N(C)C[C@H](O)CNCc2n[nH]c(=O)[n-]2)cn1 ZINC001369481951 1134849154 /nfs/dbraw/zinc/84/91/54/1134849154.db2.gz SARJIQXJDWXLOD-LLVKDONJSA-N -1 1 337.384 -0.490 20 0 EBADMM COCCN(CCNC(=O)c1ncccc1[O-])Cc1cn(C)nn1 ZINC001369548724 1134930470 /nfs/dbraw/zinc/93/04/70/1134930470.db2.gz IUBQWRPQJMEYGG-UHFFFAOYSA-N -1 1 334.380 -0.206 20 0 EBADMM COCCN(CCNC(=O)c1occc1C)Cc1nc(=O)n(C)[n-]1 ZINC001369553622 1134935293 /nfs/dbraw/zinc/93/52/93/1134935293.db2.gz NFFLYRZHWQYLNJ-UHFFFAOYSA-N -1 1 337.380 -0.112 20 0 EBADMM CN(C(=O)CCCC(N)=O)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001369785610 1135017452 /nfs/dbraw/zinc/01/74/52/1135017452.db2.gz QLLLOYRWEXFEDA-UHFFFAOYSA-N -1 1 338.412 -0.813 20 0 EBADMM CCCC[C@H](CNC(=O)[C@@H]1CCC(=O)N1C)NC(=O)c1cnn[nH]1 ZINC001370052402 1135186165 /nfs/dbraw/zinc/18/61/65/1135186165.db2.gz BPRKDAPWHJHYRM-PWSUYJOCSA-N -1 1 336.396 -0.170 20 0 EBADMM CC(=O)N[C@](C)(C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001370429576 1135346973 /nfs/dbraw/zinc/34/69/73/1135346973.db2.gz PQETWPVOHKTBRN-NHYWBVRUSA-N -1 1 338.412 -0.248 20 0 EBADMM C[C@H](CN(C)C(=O)c1ncccc1[O-])NC(=O)CN1CCCNC1=O ZINC001370475310 1135366279 /nfs/dbraw/zinc/36/62/79/1135366279.db2.gz SYXUOITUDUWZOY-LLVKDONJSA-N -1 1 349.391 -0.221 20 0 EBADMM O=C(NC[C@H](CO)NC(=O)[C@@H]1CCCOCC1)c1ncccc1[O-] ZINC001370670851 1135476505 /nfs/dbraw/zinc/47/65/05/1135476505.db2.gz BCZCAXYTEVHAKX-VXGBXAGGSA-N -1 1 337.376 -0.189 20 0 EBADMM Cn1ccnc1CCC(=O)N[C@H](CO)CNC(=O)c1ncccc1[O-] ZINC001370673277 1135479447 /nfs/dbraw/zinc/47/94/47/1135479447.db2.gz GJGBIUKLXCVXTO-NSHDSACASA-N -1 1 347.375 -0.640 20 0 EBADMM CO[C@@H](C)CCC(=O)N[C@@H](CO)CNC(=O)c1ncccc1[O-] ZINC001370674106 1135480118 /nfs/dbraw/zinc/48/01/18/1135480118.db2.gz HPOZHINOXMIJKY-WDEREUQCSA-N -1 1 325.365 -0.191 20 0 EBADMM C[C@@]1(C(=O)N[C@@H](CO)CNC(=O)c2ncccc2[O-])CCCOC1 ZINC001370679539 1135488371 /nfs/dbraw/zinc/48/83/71/1135488371.db2.gz ODGUTRYHKYZHRX-BDJLRTHQSA-N -1 1 337.376 -0.189 20 0 EBADMM CO[C@H](C(=O)N[C@@H](CO)CNC(=O)c1ncccc1[O-])C1CCC1 ZINC001370682717 1135492046 /nfs/dbraw/zinc/49/20/46/1135492046.db2.gz QZUOCRHQXGFKBJ-RISCZKNCSA-N -1 1 337.376 -0.191 20 0 EBADMM C[C@H](C(=O)NC[C@@H](CO)NC(=O)c1ncccc1[O-])c1cnn(C)c1 ZINC001370795474 1135638815 /nfs/dbraw/zinc/63/88/15/1135638815.db2.gz DWFRJHLDVADQJN-JQWIXIFHSA-N -1 1 347.375 -0.469 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)CS(C)(=O)=O)CN1C(=O)c1ncccc1[O-] ZINC001370855538 1135672822 /nfs/dbraw/zinc/67/28/22/1135672822.db2.gz DPJNFIYCQLAOQG-NXEZZACHSA-N -1 1 341.389 -0.449 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H]2CNC(=O)[C@@]2(F)CCOC2)nc1=O ZINC001370884141 1135684008 /nfs/dbraw/zinc/68/40/08/1135684008.db2.gz LJEFYEWPZDNYIM-XHDPSFHLSA-N -1 1 341.387 -0.292 20 0 EBADMM CNC(=O)NCC(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC001370907057 1135691964 /nfs/dbraw/zinc/69/19/64/1135691964.db2.gz IXJYJOAMJISGKO-GHMZBOCLSA-N -1 1 349.391 -0.175 20 0 EBADMM Cc1cc(C(=O)NC[C@@H](O)CN(C)C(=O)c2ncccc2[O-])no1 ZINC001370991504 1135743918 /nfs/dbraw/zinc/74/39/18/1135743918.db2.gz UNGKEJOODQNGJK-SNVBAGLBSA-N -1 1 334.332 -0.053 20 0 EBADMM CN(C[C@H](O)CNC(=O)c1ncccc1[O-])C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001371012796 1135774440 /nfs/dbraw/zinc/77/44/40/1135774440.db2.gz AFDSMOHHZGWSTD-DCQANWLSSA-N -1 1 335.360 -0.771 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001372063783 1136103163 /nfs/dbraw/zinc/10/31/63/1136103163.db2.gz VIJGMFPXSPXFBC-PWSUYJOCSA-N -1 1 334.376 -0.015 20 0 EBADMM Cn1cc(CCC(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)nn1 ZINC001372276556 1136162396 /nfs/dbraw/zinc/16/23/96/1136162396.db2.gz JUKRQFNWYHTFLP-LLVKDONJSA-N -1 1 344.375 -0.121 20 0 EBADMM O=C(CCN1CCOCC1)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001372285623 1136164592 /nfs/dbraw/zinc/16/45/92/1136164592.db2.gz VEBAQZZNGQSAHQ-CYBMUJFWSA-N -1 1 348.403 -0.160 20 0 EBADMM O=C(CCCF)N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001372307242 1136170266 /nfs/dbraw/zinc/17/02/66/1136170266.db2.gz URHFZRLCMWREOO-VIFPVBQESA-N -1 1 336.327 -0.502 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NC[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)C1CC1 ZINC001372415313 1136196553 /nfs/dbraw/zinc/19/65/53/1136196553.db2.gz BMWLQHJNCNOUEV-PTOFAABTSA-N -1 1 344.375 -0.302 20 0 EBADMM CCN(CCNC(=O)CCN1CCCC1=O)Cc1nc(=O)n(C)[n-]1 ZINC001372476862 1136209157 /nfs/dbraw/zinc/20/91/57/1136209157.db2.gz UEYFCFBMUDANJS-UHFFFAOYSA-N -1 1 338.412 -0.941 20 0 EBADMM Cc1ncc(CC(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)o1 ZINC001372521834 1136222341 /nfs/dbraw/zinc/22/23/41/1136222341.db2.gz LMZOCOYHJFOMAM-NSHDSACASA-N -1 1 334.380 -0.272 20 0 EBADMM C[C@H](CNC(=O)c1ncccc1[O-])NC(=O)c1ccc(=O)n(C)n1 ZINC001372836346 1136300795 /nfs/dbraw/zinc/30/07/95/1136300795.db2.gz QPFRJJTZFIKJKX-SECBINFHSA-N -1 1 331.332 -0.571 20 0 EBADMM C[C@H](O)CN(CCNC(=O)Cn1[n-]cc2c(=O)ncnc1-2)C1CC1 ZINC001372884155 1136314318 /nfs/dbraw/zinc/31/43/18/1136314318.db2.gz KCLUNDKGEWVJRJ-JTQLQIEISA-N -1 1 334.380 -0.967 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H](C)NC(=O)[C@H]1CC1(F)F ZINC001372984754 1136346637 /nfs/dbraw/zinc/34/66/37/1136346637.db2.gz CTVMYBOPQOXODQ-NTSWFWBYSA-N -1 1 346.290 -0.214 20 0 EBADMM C[C@H](CCNC(=O)c1ncccc1[O-])NC(=O)[C@H]1CCC(=O)NC1 ZINC001373260881 1136411886 /nfs/dbraw/zinc/41/18/86/1136411886.db2.gz JPYXFCSAJPHWJP-MNOVXSKESA-N -1 1 334.376 -0.062 20 0 EBADMM CN(CCNC(=O)[C@H]1C[C@H]2C[C@H]2C1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001373622810 1136517060 /nfs/dbraw/zinc/51/70/60/1136517060.db2.gz IEGQVNGVDLIPQC-URLYPYJESA-N -1 1 344.375 -0.348 20 0 EBADMM CC[C@@H](CNC(=O)CC[C@]1(C)CCC(=O)N1)NCc1n[nH]c(=O)[n-]1 ZINC001373834402 1136570297 /nfs/dbraw/zinc/57/02/97/1136570297.db2.gz DPESELGJNDFRAB-ZUZCIYMTSA-N -1 1 338.412 -0.056 20 0 EBADMM CN(CCN(C)C(=O)c1ccn(C(F)F)n1)Cc1nc(=O)n(C)[n-]1 ZINC001374500272 1136702392 /nfs/dbraw/zinc/70/23/92/1136702392.db2.gz HYZAORBQCMLESN-UHFFFAOYSA-N -1 1 343.338 -0.096 20 0 EBADMM Cc1cc(C)n(CCC(=O)N(C)CCN(C)Cc2nc(=O)n(C)[n-]2)n1 ZINC001374519855 1136708206 /nfs/dbraw/zinc/70/82/06/1136708206.db2.gz QVEQQFLWJBSZRE-UHFFFAOYSA-N -1 1 349.439 -0.098 20 0 EBADMM Cc1cc(C)cc(OCC(=O)NC[C@H](O)CNCc2n[nH]c(=O)[n-]2)c1 ZINC001374674418 1136792725 /nfs/dbraw/zinc/79/27/25/1136792725.db2.gz ITUMOXVZZIMCLN-GFCCVEGCSA-N -1 1 349.391 -0.227 20 0 EBADMM O=C(NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1)[C@@H]1COc2ccccc21 ZINC001374676807 1136794259 /nfs/dbraw/zinc/79/42/59/1136794259.db2.gz NKRBLKMDLHILSL-GXSJLCMTSA-N -1 1 333.348 -0.747 20 0 EBADMM C[C@@]1(C(=O)NC[C@H](O)CNCc2n[nH]c(=O)[n-]2)CCc2ccccc21 ZINC001374678810 1136796287 /nfs/dbraw/zinc/79/62/87/1136796287.db2.gz WRNSWWVRSURFNV-SJKOYZFVSA-N -1 1 345.403 -0.019 20 0 EBADMM CCC(=O)N1CCC[C@@H](C(=O)N[C@@H](C)CNCc2n[nH]c(=O)[n-]2)C1 ZINC001374888607 1136865606 /nfs/dbraw/zinc/86/56/06/1136865606.db2.gz DVWZBWUTJDHQIB-WDEREUQCSA-N -1 1 338.412 -0.247 20 0 EBADMM CO[C@@H]1CCn2cc(C(=O)N[C@@H](C)CNCc3n[nH]c(=O)[n-]3)nc2C1 ZINC001374906858 1136870868 /nfs/dbraw/zinc/87/08/68/1136870868.db2.gz SJYZAWCQNLWGTB-VHSXEESVSA-N -1 1 349.395 -0.424 20 0 EBADMM Cc1cnc(CNC[C@H](C)NC(=O)Cn2c(=O)[n-][nH]c2=O)s1 ZINC001374964465 1136885673 /nfs/dbraw/zinc/88/56/73/1136885673.db2.gz KGXSVDZXKDYCFB-ZETCQYMHSA-N -1 1 326.382 -0.251 20 0 EBADMM COc1ccc(CN(C)C[C@@H](C)NC(=O)c2n[nH]c(=O)[n-]c2=O)cn1 ZINC001375074898 1136908090 /nfs/dbraw/zinc/90/80/90/1136908090.db2.gz SFXYNROZMXZLHO-SECBINFHSA-N -1 1 348.363 -0.063 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@@](OC)(C(=O)OC)C2)co1 ZINC000600288078 375950860 /nfs/dbraw/zinc/95/08/60/375950860.db2.gz SQFRFSYPYUXWMJ-ZDUSSCGKSA-N -1 1 346.361 -0.408 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@@H]1[N-]S(=O)(=O)[C@H]1COC(C)(C)C1 ZINC000625278094 376040324 /nfs/dbraw/zinc/04/03/24/376040324.db2.gz LLTJOUZSNCSBTI-KXUCPTDWSA-N -1 1 327.424 -0.715 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCN3C(=O)CC[C@@H]3C2)o1 ZINC000408041265 376115198 /nfs/dbraw/zinc/11/51/98/376115198.db2.gz BWJUKVHDJOYWFE-SECBINFHSA-N -1 1 327.362 -0.366 20 0 EBADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)c2ccc3nnnn3c2)c1 ZINC000558182685 376229169 /nfs/dbraw/zinc/22/91/69/376229169.db2.gz JTIPCLFERCUFIN-UHFFFAOYSA-N -1 1 334.317 -0.270 20 0 EBADMM CO[C@H]1COC[C@H]1S(=O)(=O)[N-][C@H]1Cc2ccccc2NC1=O ZINC000625521635 376261452 /nfs/dbraw/zinc/26/14/52/376261452.db2.gz KWMVVENYSBDTCS-RWMBFGLXSA-N -1 1 326.374 -0.117 20 0 EBADMM CCOc1ccc(-n2ncc(C(=O)NCc3nn[n-]n3)c2C)nn1 ZINC000600503245 376423759 /nfs/dbraw/zinc/42/37/59/376423759.db2.gz DMILEXMPHRKAEA-UHFFFAOYSA-N -1 1 329.324 -0.187 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCCn1cncn1 ZINC000381661998 376605952 /nfs/dbraw/zinc/60/59/52/376605952.db2.gz FADGHLVYDKSWOL-UHFFFAOYSA-N -1 1 328.354 -0.454 20 0 EBADMM O=C([C@@H]1CC(=O)N(Cc2ccco2)C1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000370890645 376606017 /nfs/dbraw/zinc/60/60/17/376606017.db2.gz FRXUYEJBNUKJPS-PWSUYJOCSA-N -1 1 346.347 -0.259 20 0 EBADMM C[C@H](NC(=O)c1cccs1)C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000370861676 376593670 /nfs/dbraw/zinc/59/36/70/376593670.db2.gz OCRGFZZPGNQBFB-DTWKUNHWSA-N -1 1 336.377 -0.020 20 0 EBADMM COC(=O)Cn1cc(CCS(=O)(=O)c2n[n-]c(C3CC3)n2)nn1 ZINC000448120355 376902938 /nfs/dbraw/zinc/90/29/38/376902938.db2.gz WDZKNQOECRUKJJ-UHFFFAOYSA-N -1 1 340.365 -0.537 20 0 EBADMM CC(C)(C)NC(=O)CNC(=O)CS(=O)(=O)c1n[n-]c(C2CC2)n1 ZINC000448119848 376903889 /nfs/dbraw/zinc/90/38/89/376903889.db2.gz RZOJEKXMPCSIKN-UHFFFAOYSA-N -1 1 343.409 -0.513 20 0 EBADMM COCCOCCN1CCN(C(=O)CCCc2nn[n-]n2)CC1 ZINC000636126630 377234042 /nfs/dbraw/zinc/23/40/42/377234042.db2.gz FPUNYTIGLLQRAQ-UHFFFAOYSA-N -1 1 326.401 -0.670 20 0 EBADMM COC(=O)[C@@H](Cc1ccncc1)[N-]S(=O)(=O)[C@H](C)C(=O)N(C)C ZINC000590588727 377638448 /nfs/dbraw/zinc/63/84/48/377638448.db2.gz JIXLAICZBIRKKU-ZYHUDNBSSA-N -1 1 343.405 -0.438 20 0 EBADMM COC(=O)[C@@H](Cc1ccncc1)[N-]S(=O)(=O)[C@@H](C)C(=O)N(C)C ZINC000590588723 377638659 /nfs/dbraw/zinc/63/86/59/377638659.db2.gz JIXLAICZBIRKKU-CMPLNLGQSA-N -1 1 343.405 -0.438 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C2(C3CC3)CCOCC2)c(=O)n(C)c1=O ZINC000364848554 377678868 /nfs/dbraw/zinc/67/88/68/377678868.db2.gz BUKQKQWHHMBEHC-UHFFFAOYSA-N -1 1 343.405 -0.679 20 0 EBADMM CC1(C)C[C@@H](NC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)CO1 ZINC000617053658 377719920 /nfs/dbraw/zinc/71/99/20/377719920.db2.gz WHDXQFCCIPHMDE-MRVPVSSYSA-N -1 1 345.377 -0.660 20 0 EBADMM COC(=O)[C@@H](CO)[N-]S(=O)(=O)c1ncccc1Br ZINC000594767729 377953065 /nfs/dbraw/zinc/95/30/65/377953065.db2.gz NVZOTOVUHQMSAY-SSDOTTSWSA-N -1 1 339.167 -0.344 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-]Cc2cc(C(N)=O)no2)cc1C ZINC000595318875 377996862 /nfs/dbraw/zinc/99/68/62/377996862.db2.gz OLYMWJJQRQJPEH-UHFFFAOYSA-N -1 1 343.317 -0.060 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H]2CC(=O)N(C)C2=O)cc1C ZINC000595328121 377998340 /nfs/dbraw/zinc/99/83/40/377998340.db2.gz VWQNEBOOKKJLID-ZETCQYMHSA-N -1 1 330.318 -0.590 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-][C@H]2CCC(=O)N(C)C2=O)cc1C ZINC000595332557 377998519 /nfs/dbraw/zinc/99/85/19/377998519.db2.gz KJSKJXNLYJGMLO-QMMMGPOBSA-N -1 1 344.345 -0.200 20 0 EBADMM C[C@@H]1C[C@@]2(CC(C)(C)C1)NC(=O)N(CC(=O)NCc1nn[n-]n1)C2=O ZINC000599334971 378231320 /nfs/dbraw/zinc/23/13/20/378231320.db2.gz VVFUUWPNUDZWOJ-VFZGTOFNSA-N -1 1 349.395 -0.047 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)[C@H]1CCOC[C@@H]1C)c1ccnn1C ZINC000603366140 378400268 /nfs/dbraw/zinc/40/02/68/378400268.db2.gz KTEVNSHBJBERAA-ZMLRMANQSA-N -1 1 331.394 -0.021 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)[C@H]1CCOC[C@H]1C)c1ccnn1C ZINC000603366137 378400892 /nfs/dbraw/zinc/40/08/92/378400892.db2.gz KTEVNSHBJBERAA-ADEWGFFLSA-N -1 1 331.394 -0.021 20 0 EBADMM COc1cc(F)c(S(=O)(=O)[N-]CCN2C(=O)CNC2=O)c(F)c1 ZINC000603505726 378407877 /nfs/dbraw/zinc/40/78/77/378407877.db2.gz GOQUQRBBQHAZBU-UHFFFAOYSA-N -1 1 349.315 -0.197 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@@H](OC)[C@@H]2CCOC2)co1 ZINC000617135030 378471953 /nfs/dbraw/zinc/47/19/53/378471953.db2.gz JZGVREWXSGDPQU-MWLCHTKSSA-N -1 1 332.378 -0.031 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N[C@]23C[C@H]2CCC3)o1 ZINC000617208271 378557679 /nfs/dbraw/zinc/55/76/79/378557679.db2.gz DMUVZYVKNYSELB-AMIZOPFISA-N -1 1 327.362 -0.284 20 0 EBADMM CN(CC(=O)[O-])[C@H]1CN(CCS(=O)(=O)c2ccccc2)C[C@@H]1O ZINC000337685371 378678074 /nfs/dbraw/zinc/67/80/74/378678074.db2.gz ZDVARZBOACTDFS-KBPBESRZSA-N -1 1 342.417 -0.478 20 0 EBADMM C[C@@H](CS(C)(=O)=O)N(C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000337920347 378707050 /nfs/dbraw/zinc/70/70/50/378707050.db2.gz YKTHXJHEIFMEEU-VIFPVBQESA-N -1 1 329.378 -0.592 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CCC(=O)NC2)c(C(F)(F)F)n1 ZINC000338909564 378829209 /nfs/dbraw/zinc/82/92/09/378829209.db2.gz UDKDFWSJZFZRID-ZCFIWIBFSA-N -1 1 326.300 -0.004 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H](C)CCS(C)(=O)=O)co1 ZINC000339443663 378923525 /nfs/dbraw/zinc/92/35/25/378923525.db2.gz AIGYJQDGSBCVFK-QMMMGPOBSA-N -1 1 338.407 -0.259 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCN(C(C)=O)C[C@@H]2C)co1 ZINC000339439292 378919984 /nfs/dbraw/zinc/91/99/84/378919984.db2.gz NQVNXRNTEJTGOB-VIFPVBQESA-N -1 1 329.378 -0.120 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](CO)c1cnn(C)c1 ZINC000339790127 379035387 /nfs/dbraw/zinc/03/53/87/379035387.db2.gz XUYQETGLHHEPIQ-SNVBAGLBSA-N -1 1 343.365 -0.668 20 0 EBADMM NS(=O)(=O)NC[C@@H]1CCCCN1C(=O)C(=O)c1ccc([O-])cc1 ZINC000615940578 379069528 /nfs/dbraw/zinc/06/95/28/379069528.db2.gz GONWGOYNIHILGH-NSHDSACASA-N -1 1 341.389 -0.251 20 0 EBADMM CO[C@H]([C@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C1CC1 ZINC000451998954 379076772 /nfs/dbraw/zinc/07/67/72/379076772.db2.gz VJZCINKQVVGLRX-GZMMTYOYSA-N -1 1 331.394 -0.824 20 0 EBADMM COc1cc[n-]c(=NNC(=O)N[C@H]2CCCN(S(C)(=O)=O)C2)n1 ZINC000067626926 379060937 /nfs/dbraw/zinc/06/09/37/379060937.db2.gz VIFZZFYUXSIRJZ-VIFPVBQESA-N -1 1 344.397 -0.465 20 0 EBADMM Cn1cc(S(N)(=O)=O)cc1C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000339949576 379107243 /nfs/dbraw/zinc/10/72/43/379107243.db2.gz BJPXSDKYVAQLGY-UHFFFAOYSA-N -1 1 339.381 -0.615 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CCOC3(CCC3)C2)c(=O)n(C)c1=O ZINC000268988542 379338167 /nfs/dbraw/zinc/33/81/67/379338167.db2.gz RLDJONAXJHCIQY-SNVBAGLBSA-N -1 1 343.405 -0.536 20 0 EBADMM CCCC(=O)N1CSC[C@@H]1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000067157716 379347573 /nfs/dbraw/zinc/34/75/73/379347573.db2.gz AKUZHIRDRLYUBW-SSDOTTSWSA-N -1 1 347.422 -0.152 20 0 EBADMM CCCC(=O)N1CSC[C@@H]1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000067157716 379347579 /nfs/dbraw/zinc/34/75/79/379347579.db2.gz AKUZHIRDRLYUBW-SSDOTTSWSA-N -1 1 347.422 -0.152 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCN(CCOC)[C@@H](C)C2)co1 ZINC000270079731 379430118 /nfs/dbraw/zinc/43/01/18/379430118.db2.gz UYMFDPKGSJKEEC-NSHDSACASA-N -1 1 345.421 -0.020 20 0 EBADMM CCC[C@H](NC(=O)c1coc(S(=O)(=O)NC)c1)c1nn[n-]n1 ZINC000270053240 379430853 /nfs/dbraw/zinc/43/08/53/379430853.db2.gz CSTOBJNGUZORIC-QMMMGPOBSA-N -1 1 328.354 -0.028 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCCC[C@@H]2CNC(N)=O)co1 ZINC000270082863 379433859 /nfs/dbraw/zinc/43/38/59/379433859.db2.gz NCHGIVSKLPTDTD-SNVBAGLBSA-N -1 1 344.393 -0.149 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CCCC[C@H]2C(N)=O)co1 ZINC000270178904 379437140 /nfs/dbraw/zinc/43/71/40/379437140.db2.gz MJYIYQLWVPFKED-ZJUUUORDSA-N -1 1 329.378 -0.038 20 0 EBADMM CCNC(=O)N1CC[C@@H](NC(=O)c2coc(S(=O)(=O)[N-]C)c2)C1 ZINC000270202433 379438634 /nfs/dbraw/zinc/43/86/34/379438634.db2.gz JSORCCNHFYSLBX-SNVBAGLBSA-N -1 1 344.393 -0.279 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@@H]1[N-]S(=O)(=O)[C@@H]1C[C@@H]2CC[C@H]1O2 ZINC000641605346 379450203 /nfs/dbraw/zinc/45/02/03/379450203.db2.gz ADCSVSWCKFJDFX-FBDQPXRJSA-N -1 1 325.408 -0.962 20 0 EBADMM COCC(COC)S(=O)(=O)[N-][C@@H]1CN(c2ccccc2)C1=O ZINC000641436988 379420678 /nfs/dbraw/zinc/42/06/78/379420678.db2.gz DOOMECLVVMMAHC-CYBMUJFWSA-N -1 1 328.390 -0.017 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCCC(=O)NC2CC2)co1 ZINC000270039463 379428679 /nfs/dbraw/zinc/42/86/79/379428679.db2.gz NVTGVHRZGNGEMF-UHFFFAOYSA-N -1 1 329.378 -0.024 20 0 EBADMM CO[C@H]1CS(=O)(=O)C[C@H]1[N-]S(=O)(=O)[C@H]1C[C@@H]2CC[C@H]1O2 ZINC000641605347 379450955 /nfs/dbraw/zinc/45/09/55/379450955.db2.gz ADCSVSWCKFJDFX-GEVSDDDWSA-N -1 1 325.408 -0.962 20 0 EBADMM COCCN1C[C@H](C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)CC1=O ZINC000622611657 379451670 /nfs/dbraw/zinc/45/16/70/379451670.db2.gz HUSABELROCXEAT-OCCSQVGLSA-N -1 1 344.375 -0.100 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C2CS(=O)(=O)C2)c(C(F)(F)F)n1 ZINC000641652698 379462090 /nfs/dbraw/zinc/46/20/90/379462090.db2.gz WRYDDQSKTVEVGS-UHFFFAOYSA-N -1 1 333.313 -0.486 20 0 EBADMM COC(=O)[C@H]1CC[C@@H](C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])O1 ZINC000486945006 379480637 /nfs/dbraw/zinc/48/06/37/379480637.db2.gz PSVACBKUMHHUJA-WDEREUQCSA-N -1 1 344.345 -0.301 20 0 EBADMM NS(=O)(=O)c1ccc(C=CC(=O)NC2(c3nn[n-]n3)CC2)cc1 ZINC000492344590 379639889 /nfs/dbraw/zinc/63/98/89/379639889.db2.gz PIACISCXOYTEFK-UTCJRWHESA-N -1 1 334.361 -0.334 20 0 EBADMM C[C@@H](CN(C)C(=O)CN1C(=O)[C@@H]2CCCC[C@@H]2C1=O)c1nn[n-]n1 ZINC000273535333 379651526 /nfs/dbraw/zinc/65/15/26/379651526.db2.gz RWIVGRATJFYMMJ-GARJFASQSA-N -1 1 334.380 -0.063 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCOC[C@@H]2C[C@@H](C)O)o1 ZINC000274285722 379680612 /nfs/dbraw/zinc/68/06/12/379680612.db2.gz CWYGJAMRHHYJEQ-ZJUUUORDSA-N -1 1 332.378 -0.200 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])c[nH]1 ZINC000274908988 379722635 /nfs/dbraw/zinc/72/26/35/379722635.db2.gz KAKFCDDPPIQYFT-VIFPVBQESA-N -1 1 342.333 -0.501 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NS(=O)(=O)c1cnn(CC(F)F)c1 ZINC000275332926 379751034 /nfs/dbraw/zinc/75/10/34/379751034.db2.gz ZPDRHCXCYIPRRV-VIFPVBQESA-N -1 1 349.319 -0.155 20 0 EBADMM C[C@@H](C(=O)N1CCCC1)N1CCN(C(=O)CCc2nn[n-]n2)CC1 ZINC000631739855 379753405 /nfs/dbraw/zinc/75/34/05/379753405.db2.gz GGJSFUNIGKCJRS-LBPRGKRZSA-N -1 1 335.412 -0.713 20 0 EBADMM CO[C@@H](CS(=O)(=O)[N-][C@H]1CS(=O)(=O)C1(C)C)[C@@H]1CCOC1 ZINC000632495508 379870111 /nfs/dbraw/zinc/87/01/11/379870111.db2.gz WAOBJDGHINQIOJ-VWYCJHECSA-N -1 1 341.451 -0.467 20 0 EBADMM COCCN1CCN(S(=O)(=O)c2cc(OC)ccc2[O-])CC1=O ZINC000632169746 379823861 /nfs/dbraw/zinc/82/38/61/379823861.db2.gz DMRBQLIVOIHNNG-UHFFFAOYSA-N -1 1 344.389 -0.120 20 0 EBADMM CN1NN=C2C1=NCC[C@@H]2C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000614390080 379925007 /nfs/dbraw/zinc/92/50/07/379925007.db2.gz QXFPUCPPESZJFB-IUCAKERBSA-N -1 1 333.352 -0.294 20 0 EBADMM CCS(=O)(=O)N[C@H](C)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000614474848 379932701 /nfs/dbraw/zinc/93/27/01/379932701.db2.gz ZSBOTNPYDQGQDO-MRVPVSSYSA-N -1 1 332.382 -0.191 20 0 EBADMM Cc1nc([C@H](C)NC(=O)c2cc(S(N)(=O)=O)ccc2[O-])n[nH]1 ZINC000614793980 379983693 /nfs/dbraw/zinc/98/36/93/379983693.db2.gz DKNAQSNTTRPCPR-LURJTMIESA-N -1 1 325.350 -0.043 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@]2(CNC(=O)C2)C1 ZINC000279554287 379955269 /nfs/dbraw/zinc/95/52/69/379955269.db2.gz PKHMQWMZQBVFOV-KRWDZBQOSA-N -1 1 343.387 -0.079 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H](CO)[C@H]2CCOC2)o1 ZINC000280658133 379989693 /nfs/dbraw/zinc/98/96/93/379989693.db2.gz AXISVTKJHYVGDW-UWVGGRQHSA-N -1 1 333.362 -0.011 20 0 EBADMM CC(C)NC(=O)C(=O)N1CCN(C(=O)C(=O)c2ccc([O-])cc2)CC1 ZINC000280731535 379991948 /nfs/dbraw/zinc/99/19/48/379991948.db2.gz IKIRFYTWHKTFOU-UHFFFAOYSA-N -1 1 347.371 -0.230 20 0 EBADMM COC(=O)[C@@H](C)CS(=O)(=O)[N-][C@]1(C(=O)OC)CCSC1 ZINC000340071381 380008569 /nfs/dbraw/zinc/00/85/69/380008569.db2.gz RVZPZQRDWGEHJD-GZMMTYOYSA-N -1 1 325.408 -0.236 20 0 EBADMM C[C@@H](C(=O)N(C)CC(=O)N1CCC[C@H](c2nn[n-]n2)C1)n1cccn1 ZINC000540422758 380010687 /nfs/dbraw/zinc/01/06/87/380010687.db2.gz FMAIIJHQZNHGPE-RYUDHWBXSA-N -1 1 346.395 -0.178 20 0 EBADMM CCC(=O)N1CCCC[C@@H]1C(=O)Nc1nc(S(C)(=O)=O)n[n-]1 ZINC000354724397 380088015 /nfs/dbraw/zinc/08/80/15/380088015.db2.gz JSUUKEIRWDHCBE-MRVPVSSYSA-N -1 1 329.382 -0.062 20 0 EBADMM CCC(=O)N1CCCC[C@@H]1C(=O)Nc1nnc(S(C)(=O)=O)[n-]1 ZINC000354724397 380088024 /nfs/dbraw/zinc/08/80/24/380088024.db2.gz JSUUKEIRWDHCBE-MRVPVSSYSA-N -1 1 329.382 -0.062 20 0 EBADMM COCC1(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCC1 ZINC000281863935 380044473 /nfs/dbraw/zinc/04/44/73/380044473.db2.gz CDOGXHMIUWETBN-UHFFFAOYSA-N -1 1 331.394 -0.821 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@@](O)(C(N)=O)C1 ZINC000355062044 380136076 /nfs/dbraw/zinc/13/60/76/380136076.db2.gz WMNLKUSQQQCSKY-HNNXBMFYSA-N -1 1 333.348 -0.957 20 0 EBADMM O=C(CCc1nn[n-]n1)N1CCN(CC(=O)N2CCCCC2)CC1 ZINC000630617521 380119275 /nfs/dbraw/zinc/11/92/75/380119275.db2.gz WXNOTZIQYDACBN-UHFFFAOYSA-N -1 1 335.412 -0.711 20 0 EBADMM CCS(=O)(=O)N1CCC[C@@H](C(=O)N(C)C[C@H](C)c2nn[n-]n2)C1 ZINC000548138856 380186231 /nfs/dbraw/zinc/18/62/31/380186231.db2.gz FMTKBVZAZOQNSW-WDEREUQCSA-N -1 1 344.441 -0.177 20 0 EBADMM CC[N@H+]1CC[C@@H]2OCCN(C(=O)CNC(=O)c3ncccc3[O-])[C@H]2C1 ZINC000355376239 380191221 /nfs/dbraw/zinc/19/12/21/380191221.db2.gz XECYDJGZPOQCLQ-JSGCOSHPSA-N -1 1 348.403 -0.161 20 0 EBADMM O=C(CCc1nn[n-]n1)NC[C@]1(N2CCOCC2)CCSC1 ZINC000630808057 380258026 /nfs/dbraw/zinc/25/80/26/380258026.db2.gz FTCIHGYFZNUFIY-CYBMUJFWSA-N -1 1 326.426 -0.544 20 0 EBADMM C[C@H]1CCCC[C@]12NC(=O)N(CC(=O)NC1(c3nn[n-]n3)CC1)C2=O ZINC000357074253 380214245 /nfs/dbraw/zinc/21/42/45/380214245.db2.gz JKZCLDLZGSHZLM-VFZGTOFNSA-N -1 1 347.379 -0.194 20 0 EBADMM CCOCCCNC(=O)[C@H](C)S(=O)(=O)c1nc(C(=O)OC)c[n-]1 ZINC000285167623 380228281 /nfs/dbraw/zinc/22/82/81/380228281.db2.gz RJRVZCOHKOAPCP-VIFPVBQESA-N -1 1 347.393 -0.099 20 0 EBADMM NC(=O)[C@@H](NC(=O)CNC(=O)c1ncccc1[O-])c1ccc(F)cc1 ZINC000362042505 380366609 /nfs/dbraw/zinc/36/66/09/380366609.db2.gz DQAWEQDROIEMPR-ZDUSSCGKSA-N -1 1 346.318 -0.001 20 0 EBADMM CC(C)N1C(=O)C[C@@H](NC(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1=O ZINC000287759528 380372728 /nfs/dbraw/zinc/37/27/28/380372728.db2.gz VLWNDSAFJUSFBE-IPHDDYSGSA-N -1 1 330.348 -0.001 20 0 EBADMM CCOC(=O)C[C@@H](C)CNC(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000287992728 380387086 /nfs/dbraw/zinc/38/70/86/380387086.db2.gz JZCWVMDXMADSPV-TYYASITHSA-N -1 1 347.375 -0.014 20 0 EBADMM COC[C@@H]1CN(C(=O)C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C[C@@H](C)O1 ZINC000288060560 380389668 /nfs/dbraw/zinc/38/96/68/380389668.db2.gz UOUAJHJVYUUPEJ-AQIRGBNCSA-N -1 1 347.375 -0.457 20 0 EBADMM COC(=O)[C@H]1CCCN(C(=O)C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000287484379 380356949 /nfs/dbraw/zinc/35/69/49/380356949.db2.gz FQSSPYZWTONOML-FZUCCHQLSA-N -1 1 345.359 -0.308 20 0 EBADMM CO[C@H]1COC[C@H]1n1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)nn1 ZINC000362815461 380434321 /nfs/dbraw/zinc/43/43/21/380434321.db2.gz VLBXVPRPRMVUNK-MNOVXSKESA-N -1 1 348.367 -0.423 20 0 EBADMM CC(C)NS(=O)(=O)NCCNC(=O)C(=O)c1ccc([O-])cc1 ZINC000288385859 380407573 /nfs/dbraw/zinc/40/75/73/380407573.db2.gz KCDURDIJHCXTKY-UHFFFAOYSA-N -1 1 329.378 -0.477 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H]1CCC(=O)N(C)C1 ZINC000269666455 380522679 /nfs/dbraw/zinc/52/26/79/380522679.db2.gz COBWQXSMEJAHIX-MRVPVSSYSA-N -1 1 330.366 -0.515 20 0 EBADMM CS(=O)(=O)[N-]c1ccc(F)cc1C(=O)NC[C@H]1COCCN1 ZINC000638621026 380538905 /nfs/dbraw/zinc/53/89/05/380538905.db2.gz OJXXPTXIRZCEJQ-JTQLQIEISA-N -1 1 331.369 -0.085 20 0 EBADMM O=C(CCNS(=O)(=O)c1ccccc1F)NC[C@@H]1COCCN1 ZINC000638625739 380541312 /nfs/dbraw/zinc/54/13/12/380541312.db2.gz SCIBCJFXEURRGT-LLVKDONJSA-N -1 1 345.396 -0.401 20 0 EBADMM O=C([O-])[C@@H](c1ccccc1)N1CC[C@@H](NC(=O)c2nc[nH]n2)C1=O ZINC000567863330 380552280 /nfs/dbraw/zinc/55/22/80/380552280.db2.gz KUHFCNCDNUFNRU-GHMZBOCLSA-N -1 1 329.316 -0.039 20 0 EBADMM O=C([O-])[C@@H](c1ccccc1)N1CC[C@@H](NC(=O)c2ncn[nH]2)C1=O ZINC000567863330 380552286 /nfs/dbraw/zinc/55/22/86/380552286.db2.gz KUHFCNCDNUFNRU-GHMZBOCLSA-N -1 1 329.316 -0.039 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](CO)[C@@H]1CCCOC1 ZINC000568263715 380589809 /nfs/dbraw/zinc/58/98/09/380589809.db2.gz KEVUQWDLSMNIAY-KOLCDFICSA-N -1 1 347.393 -0.348 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)C1(S(N)(=O)=O)CC1 ZINC000568519412 380606842 /nfs/dbraw/zinc/60/68/42/380606842.db2.gz FTEYGOSDNZDIDW-UHFFFAOYSA-N -1 1 339.377 -0.511 20 0 EBADMM O=C(CNS(=O)(=O)c1ccccc1F)NCCCc1nc[nH]n1 ZINC000574095432 380700982 /nfs/dbraw/zinc/70/09/82/380700982.db2.gz CLTTWURHFWNBCN-UHFFFAOYSA-N -1 1 341.368 -0.029 20 0 EBADMM O=S(=O)(NC[C@@H]1CCCC[N@@H+]1CCO)c1cnn2c1OCCC2 ZINC000574260395 380705738 /nfs/dbraw/zinc/70/57/38/380705738.db2.gz WXLJAPNWJJULCO-LBPRGKRZSA-N -1 1 344.437 -0.209 20 0 EBADMM COC1CC(N(C)C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C1 ZINC000340919871 380653048 /nfs/dbraw/zinc/65/30/48/380653048.db2.gz USXYSWLRRYUAQF-UHFFFAOYSA-N -1 1 345.377 -0.707 20 0 EBADMM Cc1cnc(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)s1 ZINC000173637260 380752735 /nfs/dbraw/zinc/75/27/35/380752735.db2.gz RGPCLVYXDMOFQF-UHFFFAOYSA-N -1 1 330.391 -0.673 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](C)c1ncnn1C ZINC000353790833 380938559 /nfs/dbraw/zinc/93/85/59/380938559.db2.gz JMZFJYQKIHCHQS-ZETCQYMHSA-N -1 1 328.354 -0.246 20 0 EBADMM COCC[C@H]([N-]S(=O)(=O)[C@H]1CCC[C@H]1C(=O)OC)C(=O)OC ZINC000581853615 381104742 /nfs/dbraw/zinc/10/47/42/381104742.db2.gz MOYYHQXOJHHGOY-VWYCJHECSA-N -1 1 337.394 -0.174 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCCNc2cnccn2)o1 ZINC000030644681 381176384 /nfs/dbraw/zinc/17/63/84/381176384.db2.gz GEWHTPSQRLXHRO-UHFFFAOYSA-N -1 1 325.350 -0.180 20 0 EBADMM CC(C)OCCNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000349550066 381466574 /nfs/dbraw/zinc/46/65/74/381466574.db2.gz APEFSDNVCJCCIE-UHFFFAOYSA-N -1 1 333.366 -0.802 20 0 EBADMM C[C@H]1Oc2ccccc2N(CCC(=O)NN2CC(=O)[N-]C2=O)C1=O ZINC000029019489 381513011 /nfs/dbraw/zinc/51/30/11/381513011.db2.gz FKXIDJSEUAFVMC-SECBINFHSA-N -1 1 332.316 -0.226 20 0 EBADMM C[C@@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)Nc1nnn[n-]1 ZINC000349847404 381486743 /nfs/dbraw/zinc/48/67/43/381486743.db2.gz FSAWBPLUZJBBJH-SNVBAGLBSA-N -1 1 332.242 -0.230 20 0 EBADMM C[C@@](O)(Cn1cc(C(F)(F)F)ccc1=O)C(=O)Nc1nn[n-]n1 ZINC000349847404 381486747 /nfs/dbraw/zinc/48/67/47/381486747.db2.gz FSAWBPLUZJBBJH-SNVBAGLBSA-N -1 1 332.242 -0.230 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](COC)C(=O)OC ZINC000350617762 381528770 /nfs/dbraw/zinc/52/87/70/381528770.db2.gz IGFKPQKSLWTIAS-MRVPVSSYSA-N -1 1 335.338 -0.947 20 0 EBADMM CCC[C@H](NC(=O)[C@H]1CCCN(S(N)(=O)=O)C1)c1nn[n-]n1 ZINC000612059693 381777703 /nfs/dbraw/zinc/77/77/03/381777703.db2.gz DWWMSQCESLBLGM-IUCAKERBSA-N -1 1 331.402 -0.927 20 0 EBADMM C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)c1c[n-]n2c1nccc2=O ZINC000332750047 381846303 /nfs/dbraw/zinc/84/63/03/381846303.db2.gz YIQCOEOPQOESDD-LLVKDONJSA-N -1 1 333.392 -0.060 20 0 EBADMM CC(C)(C)c1nc(=NC(=O)C(=O)NC[C@@H]2COCCN2)s[n-]1 ZINC000626881272 381914813 /nfs/dbraw/zinc/91/48/13/381914813.db2.gz PTDZFJOHZSFZMI-MRVPVSSYSA-N -1 1 327.410 -0.699 20 0 EBADMM CCOC(=O)c1c[n-]c(NC(=O)Cn2cnc3c(cnn3C)c2=O)n1 ZINC000352163518 381890183 /nfs/dbraw/zinc/89/01/83/381890183.db2.gz DAOXYNYOIBTGNK-UHFFFAOYSA-N -1 1 345.319 -0.332 20 0 EBADMM CC1CCC(NC(=O)CN2Cc3n[nH]c(=O)n3C[C@H]2C(=O)[O-])CC1 ZINC000333580066 381937114 /nfs/dbraw/zinc/93/71/14/381937114.db2.gz NSSVOXUVPZBOTH-ILDUYXDCSA-N -1 1 337.380 -0.053 20 0 EBADMM O=C(NCCN1CCCS1(=O)=O)c1nc2ccccc2c(=O)[n-]1 ZINC000352346666 381972237 /nfs/dbraw/zinc/97/22/37/381972237.db2.gz IVRCUKYCAAGUOH-UHFFFAOYSA-N -1 1 336.373 -0.312 20 0 EBADMM O=C(CNC(=O)c1ncccc1O)NC1(c2nn[n-]n2)CCCC1 ZINC000352544131 381998893 /nfs/dbraw/zinc/99/88/93/381998893.db2.gz OAHNZOKOGAIDAB-UHFFFAOYSA-N -1 1 331.336 -0.384 20 0 EBADMM O=C(NCCOc1ccc2c(c1)OCO2)c1cc(=O)n2[n-]cnc2n1 ZINC000352422360 381982472 /nfs/dbraw/zinc/98/24/72/381982472.db2.gz IRRAHCHWHZUSLQ-UHFFFAOYSA-N -1 1 343.299 -0.045 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCO[C@@H](CC(N)=O)C1 ZINC000629400216 382118325 /nfs/dbraw/zinc/11/83/25/382118325.db2.gz QPKOFEDKUUFXGV-NSHDSACASA-N -1 1 347.375 -0.303 20 0 EBADMM CC1(C)CCC(NC(=O)c2cc(=O)n3[n-]cnc3n2)(C(N)=O)CC1 ZINC000353874887 382183079 /nfs/dbraw/zinc/18/30/79/382183079.db2.gz AAZDOXGBYXOEHS-UHFFFAOYSA-N -1 1 332.364 -0.028 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@]2(C(=O)OC)CCOC2)o1 ZINC000447165068 382190787 /nfs/dbraw/zinc/19/07/87/382190787.db2.gz GHVVSXOJZZRRJM-LBPRGKRZSA-N -1 1 333.318 -0.323 20 0 EBADMM COC(=O)[C@]1([N-]S(=O)(=O)N2C[C@H](C)OC[C@H]2C)CCSC1 ZINC000340066627 291984101 /nfs/dbraw/zinc/98/41/01/291984101.db2.gz GQDPTWMZZGEXIM-SCVCMEIPSA-N -1 1 338.451 -0.021 20 0 EBADMM O=C(CN1CCOCC1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000299572310 155161202 /nfs/dbraw/zinc/16/12/02/155161202.db2.gz AUTUJZGNZWHVSD-UHFFFAOYSA-N -1 1 334.376 -0.596 20 0 EBADMM Cc1nc(S(=O)(=O)[N-]CCNS(=O)(=O)N(C)C)sc1C ZINC000331293789 155359317 /nfs/dbraw/zinc/35/93/17/155359317.db2.gz CBZXKXOHQLNUJU-UHFFFAOYSA-N -1 1 342.468 -0.566 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N(C[C@H](C)O)[C@@H](C)CO ZINC000189310922 154152749 /nfs/dbraw/zinc/15/27/49/154152749.db2.gz GMIIKIBEGZSDSW-UWVGGRQHSA-N -1 1 336.392 -0.228 20 0 EBADMM COc1ccc(C(=O)Nc2nnn[n-]2)cc1S(=O)(=O)NC1CC1 ZINC000264041314 154271624 /nfs/dbraw/zinc/27/16/24/154271624.db2.gz VUDKIAPQBZWPLZ-UHFFFAOYSA-N -1 1 338.349 -0.099 20 0 EBADMM COc1ccc(C(=O)Nc2nn[n-]n2)cc1S(=O)(=O)NC1CC1 ZINC000264041314 154271627 /nfs/dbraw/zinc/27/16/27/154271627.db2.gz VUDKIAPQBZWPLZ-UHFFFAOYSA-N -1 1 338.349 -0.099 20 0 EBADMM Cc1ccc([C@]2(C)NC(=O)N(CC(=O)Nc3nnn[n-]3)C2=O)cc1 ZINC000263981772 154271639 /nfs/dbraw/zinc/27/16/39/154271639.db2.gz BMYYKVCHCOVTKE-AWEZNQCLSA-N -1 1 329.320 -0.086 20 0 EBADMM Cc1ccc([C@]2(C)NC(=O)N(CC(=O)Nc3nn[n-]n3)C2=O)cc1 ZINC000263981772 154271641 /nfs/dbraw/zinc/27/16/41/154271641.db2.gz BMYYKVCHCOVTKE-AWEZNQCLSA-N -1 1 329.320 -0.086 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]C[C@@H]2CN(C)CCN2C)o1 ZINC000264745960 154293160 /nfs/dbraw/zinc/29/31/60/154293160.db2.gz LHNPDPPBELIQGI-SNVBAGLBSA-N -1 1 331.394 -0.410 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CNC(=O)CCN1C(=O)CCC1=O ZINC000265207965 154308645 /nfs/dbraw/zinc/30/86/45/154308645.db2.gz LCRMEVVHWCPZJN-UHFFFAOYSA-N -1 1 345.359 -0.205 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)CN2CCO[C@@H](CNC(C)=O)C2)cc1 ZINC000265460734 154317849 /nfs/dbraw/zinc/31/78/49/154317849.db2.gz NBUSNAFURNOWIU-HNNXBMFYSA-N -1 1 349.387 -0.212 20 0 EBADMM O=C(CCS(=O)(=O)c1ccc2c(c1)OCCO2)Nc1nnn[n-]1 ZINC000265656780 154324717 /nfs/dbraw/zinc/32/47/17/154324717.db2.gz OBJUNIJFMYGKOU-UHFFFAOYSA-N -1 1 339.333 -0.227 20 0 EBADMM O=C(CCS(=O)(=O)c1ccc2c(c1)OCCO2)Nc1nn[n-]n1 ZINC000265656780 154324720 /nfs/dbraw/zinc/32/47/20/154324720.db2.gz OBJUNIJFMYGKOU-UHFFFAOYSA-N -1 1 339.333 -0.227 20 0 EBADMM CCN(C)S(=O)(=O)[N-]c1ccn(CC(=O)N2CCOCC2)n1 ZINC000266814440 154353090 /nfs/dbraw/zinc/35/30/90/154353090.db2.gz XEADHURNYFWQHF-UHFFFAOYSA-N -1 1 331.398 -0.650 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2CCCC[C@@H]2C(N)=O)o1 ZINC000267224106 154367498 /nfs/dbraw/zinc/36/74/98/154367498.db2.gz BCTGRYKBRAUDJR-DTWKUNHWSA-N -1 1 329.378 -0.038 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H]2CCCC[C@H]2C(N)=O)o1 ZINC000267224104 154367844 /nfs/dbraw/zinc/36/78/44/154367844.db2.gz BCTGRYKBRAUDJR-BDAKNGLRSA-N -1 1 329.378 -0.038 20 0 EBADMM CCN(C)CCNS(=O)(=O)c1c[nH]c2nc(=O)[n-]c(=O)c-2c1 ZINC000267634489 154383596 /nfs/dbraw/zinc/38/35/96/154383596.db2.gz IFFIKZMYQYLHOV-UHFFFAOYSA-N -1 1 327.366 -0.334 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCO[C@@H](CC(=O)OC)C2)o1 ZINC000267758678 154389250 /nfs/dbraw/zinc/38/92/50/154389250.db2.gz SGRICNVTQQCKSZ-VIFPVBQESA-N -1 1 346.361 -0.408 20 0 EBADMM CC(=O)OCCCS(=O)(=O)N1CCC[C@@H](c2nc(=O)[n-][nH]2)C1 ZINC000293165818 155042701 /nfs/dbraw/zinc/04/27/01/155042701.db2.gz RSSKKULWEAXJEO-SNVBAGLBSA-N -1 1 332.382 -0.440 20 0 EBADMM C[C@@H]1CCC[C@]1(O)CNC(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2 ZINC000293546704 155048179 /nfs/dbraw/zinc/04/81/79/155048179.db2.gz OTHKKFMKNZAFEC-KEZPTYNLSA-N -1 1 331.376 -0.052 20 0 EBADMM C[C@@H]1[C@H]([N-]C(=O)C(F)(F)F)CCCN1C(=O)Cn1ncn(C)c1=O ZINC000293913036 155053442 /nfs/dbraw/zinc/05/34/42/155053442.db2.gz ONVKDQZPEBZLNB-RKDXNWHRSA-N -1 1 349.313 -0.360 20 0 EBADMM COCCN1C[C@@H](C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)CC1=O ZINC000294296947 155058231 /nfs/dbraw/zinc/05/82/31/155058231.db2.gz PSNFMXXLQVFPJM-QWRGUYRKSA-N -1 1 337.380 -0.701 20 0 EBADMM CCOC(=O)COCC[N-]S(=O)(=O)c1cc(C(=O)OC)co1 ZINC000295438294 155066592 /nfs/dbraw/zinc/06/65/92/155066592.db2.gz JUDNCYVFZSZJQM-UHFFFAOYSA-N -1 1 335.334 -0.076 20 0 EBADMM CC(C)(C)C(=O)CNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000342368777 156030638 /nfs/dbraw/zinc/03/06/38/156030638.db2.gz KGRNWMVSZQETEN-UHFFFAOYSA-N -1 1 345.377 -0.612 20 0 EBADMM C[C@@H]1CSC[C@H]1NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000342461318 156036939 /nfs/dbraw/zinc/03/69/39/156036939.db2.gz ORHHIVBRNBHMFM-HTQZYQBOSA-N -1 1 347.418 -0.476 20 0 EBADMM COC(=O)CNS(=O)(=O)c1ccc([N-]S(C)(=O)=O)cc1F ZINC000343011191 156082815 /nfs/dbraw/zinc/08/28/15/156082815.db2.gz XQPHTELMGLRPBF-UHFFFAOYSA-N -1 1 340.354 -0.352 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CC[C@@H](N2CCOCC2)C1 ZINC000343531289 156143215 /nfs/dbraw/zinc/14/32/15/156143215.db2.gz FRABXWSRFKYRKE-GFCCVEGCSA-N -1 1 334.376 -0.550 20 0 EBADMM C[C@@]1(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])CCC(=O)NC1 ZINC000343593834 156146335 /nfs/dbraw/zinc/14/63/35/156146335.db2.gz GQHBYYAZSUOZIQ-CYBMUJFWSA-N -1 1 327.362 -0.106 20 0 EBADMM CCC[C@@H](NC(=O)COCC(=O)NCc1cccnc1)c1nn[n-]n1 ZINC000343724605 156160579 /nfs/dbraw/zinc/16/05/79/156160579.db2.gz HWKNJTJWJOTTJO-GFCCVEGCSA-N -1 1 347.379 -0.115 20 0 EBADMM Cc1[nH]c(=O)sc1S(=O)(=O)[N-]CCNC(=O)COCC1CC1 ZINC000345450916 156334306 /nfs/dbraw/zinc/33/43/06/156334306.db2.gz QQZUYMUHQQHJGI-UHFFFAOYSA-N -1 1 349.434 -0.022 20 0 EBADMM CN(C)C(=O)Cn1cc(C(=O)NC2(c3nn[n-]n3)CCCC2)nn1 ZINC000345493167 156337121 /nfs/dbraw/zinc/33/71/21/156337121.db2.gz GEFHITAIZXANJK-UHFFFAOYSA-N -1 1 333.356 -0.921 20 0 EBADMM Cn1ncc2c1ncn(CC(=O)NC1(c3nn[n-]n3)CCCC1)c2=O ZINC000345536275 156342678 /nfs/dbraw/zinc/34/26/78/156342678.db2.gz IAFBVLUGJSJFBU-UHFFFAOYSA-N -1 1 343.351 -0.771 20 0 EBADMM C[C@@H]1OCC[C@]1(C)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000345556842 156344631 /nfs/dbraw/zinc/34/46/31/156344631.db2.gz NSACJCMBUXPIJD-SDBXPKJASA-N -1 1 345.377 -0.660 20 0 EBADMM CC(C)[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C(F)(F)F ZINC000345634015 156352297 /nfs/dbraw/zinc/35/22/97/156352297.db2.gz DJWDCGRJWVOPCX-MRVPVSSYSA-N -1 1 343.327 -0.051 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H]2CCC[C@@H]2C(=O)NC)co1 ZINC000345818700 156363741 /nfs/dbraw/zinc/36/37/41/156363741.db2.gz WDDIBEGWEFNABP-UWVGGRQHSA-N -1 1 329.378 -0.168 20 0 EBADMM C[C@H]1OCC[C@@]12CN(C(=O)CNC(=O)c1ncccc1[O-])CCO2 ZINC000352298687 156766842 /nfs/dbraw/zinc/76/68/42/156766842.db2.gz AGTYPDIGIVAZDF-BDJLRTHQSA-N -1 1 335.360 -0.077 20 0 EBADMM COCCNC(=O)CNC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000355513795 157008818 /nfs/dbraw/zinc/00/88/18/157008818.db2.gz VQVHMVNKCGDOJX-UHFFFAOYSA-N -1 1 335.364 -0.527 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2ccc3c(c2)C(=O)NC3=O)n1 ZINC000355692954 157019614 /nfs/dbraw/zinc/01/96/14/157019614.db2.gz RNWWSCIXUXPGNK-UHFFFAOYSA-N -1 1 335.301 -0.656 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)c2ccc3c(c2)C(=O)NC3=O)[n-]1 ZINC000355692954 157019620 /nfs/dbraw/zinc/01/96/20/157019620.db2.gz RNWWSCIXUXPGNK-UHFFFAOYSA-N -1 1 335.301 -0.656 20 0 EBADMM CC(=O)NCC(=O)Nc1cc(S(=O)(=O)NC2CC2)ccc1[O-] ZINC000356342617 157061670 /nfs/dbraw/zinc/06/16/70/157061670.db2.gz AQONWVNVJAHYBS-UHFFFAOYSA-N -1 1 327.362 -0.093 20 0 EBADMM C[C@H]1[C@@H](N2CCOCC2)CCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000356765513 157091874 /nfs/dbraw/zinc/09/18/74/157091874.db2.gz KPMQNBFZYYBZJH-STQMWFEESA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@H]1CCS(=O)(=O)CCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000357140277 157120719 /nfs/dbraw/zinc/12/07/19/157120719.db2.gz KPEFBPNJRFVKQV-JTQLQIEISA-N -1 1 341.389 -0.447 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@H](CO)Cc2ccncc2)o1 ZINC000357265298 157132273 /nfs/dbraw/zinc/13/22/73/157132273.db2.gz PJSLOBPESWRYEE-NSHDSACASA-N -1 1 339.373 -0.084 20 0 EBADMM Cc1ccsc1CC[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000358590946 157265747 /nfs/dbraw/zinc/26/57/47/157265747.db2.gz MRFMAKNGRHYFHY-UHFFFAOYSA-N -1 1 343.430 -0.025 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCO[C@@]2(CCCOC2)C1 ZINC000358849012 157281161 /nfs/dbraw/zinc/28/11/61/157281161.db2.gz GVYYBOIFYOZFIV-INIZCTEOSA-N -1 1 335.360 -0.075 20 0 EBADMM Cc1noc([C@@H]2C[C@@H](O)CN2C(=O)CNC(=O)c2ncccc2[O-])n1 ZINC000359426721 157321805 /nfs/dbraw/zinc/32/18/05/157321805.db2.gz KRWUWCBWVPNEGI-ZJUUUORDSA-N -1 1 347.331 -0.457 20 0 EBADMM Cc1noc([C@H]2C[C@H](O)CN2C(=O)CNC(=O)c2ncccc2[O-])n1 ZINC000359426720 157321838 /nfs/dbraw/zinc/32/18/38/157321838.db2.gz KRWUWCBWVPNEGI-VHSXEESVSA-N -1 1 347.331 -0.457 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@H](C)S(C)(=O)=O)c1 ZINC000359701421 157338064 /nfs/dbraw/zinc/33/80/64/157338064.db2.gz ULPSDNDQGZIAJL-ZETCQYMHSA-N -1 1 336.391 -0.328 20 0 EBADMM CCn1c2ccc(C(=O)NC(C)(C)c3nn[n-]n3)cc2[nH]c(=O)c1=O ZINC000530859577 418974190 /nfs/dbraw/zinc/97/41/90/418974190.db2.gz AYHFGRQPFTXEPI-UHFFFAOYSA-N -1 1 343.347 -0.112 20 0 EBADMM O=C([O-])[C@H]1CN(C(=O)c2cc(-c3ccoc3)[nH]n2)CCS1(=O)=O ZINC000643869217 419080351 /nfs/dbraw/zinc/08/03/51/419080351.db2.gz BALWGBSIGIMUOG-LLVKDONJSA-N -1 1 339.329 -0.007 20 0 EBADMM CCC[C@H](NC(=O)C[C@@H]1CS(=O)(=O)CCN1C)c1nn[n-]n1 ZINC000644383691 419155486 /nfs/dbraw/zinc/15/54/86/419155486.db2.gz KIHFKQQNBLXQIN-ZJUUUORDSA-N -1 1 330.414 -0.724 20 0 EBADMM N=c1nc(N2CCN(C(=O)NCC[C@]3(O)CCOC3)CC2)s[n-]1 ZINC000651282781 419185053 /nfs/dbraw/zinc/18/50/53/419185053.db2.gz YROYFNKLBODEEM-ZDUSSCGKSA-N -1 1 342.425 -0.676 20 0 EBADMM O=C(CN1C(=O)[C@@H]2CCCC[C@@H]2C1=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000364527904 419207445 /nfs/dbraw/zinc/20/74/45/419207445.db2.gz CGMOEDRJRRCDOC-AXFHLTTASA-N -1 1 348.363 -0.725 20 0 EBADMM Cc1o[n-]c(=N)c1S(=O)(=O)N1CCOC[C@H]1c1ccnn1C ZINC000651924059 419228460 /nfs/dbraw/zinc/22/84/60/419228460.db2.gz VNFQSOJVYSOYGF-JTQLQIEISA-N -1 1 327.366 -0.109 20 0 EBADMM CN(CCN1CCCCC1)C(=O)C(=O)N=c1nc2n([n-]1)CCCC2 ZINC000652290340 419231594 /nfs/dbraw/zinc/23/15/94/419231594.db2.gz YGFUGGJHOUGMIL-UHFFFAOYSA-N -1 1 334.424 -0.081 20 0 EBADMM COCCN(CCOC)C(=O)N1Cc2[nH]cnc2C[C@H]1C(=O)[O-] ZINC000283903589 419304793 /nfs/dbraw/zinc/30/47/93/419304793.db2.gz KFPKGTNLBHTRGJ-LBPRGKRZSA-N -1 1 326.353 -0.064 20 0 EBADMM C[C@@H]1CN(S(=O)(=O)[N-]c2ccn(CC(=O)N(C)C)n2)[C@@H](C)CO1 ZINC000340836188 419383784 /nfs/dbraw/zinc/38/37/84/419383784.db2.gz YFPFWXYNENMMAR-WDEREUQCSA-N -1 1 345.425 -0.263 20 0 EBADMM CCOCC(C)(C)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000355088465 419658766 /nfs/dbraw/zinc/65/87/66/419658766.db2.gz CEJJOWAFHSTBIL-UHFFFAOYSA-N -1 1 347.393 -0.412 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H]3CCC[C@@H]3[C@H]2C(N)=O)o1 ZINC000375511354 419731902 /nfs/dbraw/zinc/73/19/02/419731902.db2.gz GBIQSAJHXDADLA-AUTRQRHGSA-N -1 1 341.389 -0.086 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@@H]3CCC[C@@H]3[C@@H]2C(N)=O)o1 ZINC000375511356 419732785 /nfs/dbraw/zinc/73/27/85/419732785.db2.gz GBIQSAJHXDADLA-HOTUBEGUSA-N -1 1 341.389 -0.086 20 0 EBADMM CCN1CCO[C@H](C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])C1 ZINC000378416324 419750776 /nfs/dbraw/zinc/75/07/76/419750776.db2.gz RJJDWCRGPDYPNQ-LBPRGKRZSA-N -1 1 329.378 -0.301 20 0 EBADMM CO[C@H](CS(=O)(=O)[N-][C@@H]1CC(=O)N(C)C1=O)C1CCOCC1 ZINC000645696102 419797247 /nfs/dbraw/zinc/79/72/47/419797247.db2.gz RGXPMULRSZBQPC-GHMZBOCLSA-N -1 1 334.394 -0.895 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-][C@H](CO)c2ccnn2C)c1 ZINC000516314629 420511466 /nfs/dbraw/zinc/51/14/66/420511466.db2.gz CNFCIKVOHFDGDH-SECBINFHSA-N -1 1 329.334 -0.188 20 0 EBADMM CCOC(=O)Cn1cnc([N-]C(=O)c2nc3nc(C)cc(C)n3n2)n1 ZINC000425100995 420517451 /nfs/dbraw/zinc/51/74/51/420517451.db2.gz KPINYZFXWWRLGX-UHFFFAOYSA-N -1 1 344.335 0.148 20 0 EBADMM C[C@H](C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)CC(F)F ZINC000645796461 420517959 /nfs/dbraw/zinc/51/79/59/420517959.db2.gz WNWMAUYSEKCLBL-ZETCQYMHSA-N -1 1 325.337 -0.346 20 0 EBADMM C[C@@H]1CCc2onc(C(=O)Nc3nc(S(C)(=O)=O)n[n-]3)c2C1 ZINC000434511202 420603119 /nfs/dbraw/zinc/60/31/19/420603119.db2.gz BYLMQYCTLOWODE-ZCFIWIBFSA-N -1 1 325.350 0.573 20 0 EBADMM C[C@@H]1CCc2onc(C(=O)Nc3n[n-]c(S(C)(=O)=O)n3)c2C1 ZINC000434511202 420603122 /nfs/dbraw/zinc/60/31/22/420603122.db2.gz BYLMQYCTLOWODE-ZCFIWIBFSA-N -1 1 325.350 0.573 20 0 EBADMM CC(C)COC(=O)N1CCCN(CC(=O)Nc2nnn[n-]2)CC1 ZINC000497016219 420658132 /nfs/dbraw/zinc/65/81/32/420658132.db2.gz LBZLLCRPDUXCRF-UHFFFAOYSA-N -1 1 325.373 -0.062 20 0 EBADMM CC(C)COC(=O)N1CCCN(CC(=O)Nc2nn[n-]n2)CC1 ZINC000497016219 420658136 /nfs/dbraw/zinc/65/81/36/420658136.db2.gz LBZLLCRPDUXCRF-UHFFFAOYSA-N -1 1 325.373 -0.062 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H](C1CC1)[C@@]1(CO)CCCO1 ZINC000339141092 420661545 /nfs/dbraw/zinc/66/15/45/420661545.db2.gz IBODGNOQJCOUTH-YPMHNXCESA-N -1 1 331.394 0.164 20 0 EBADMM Cc1onc(N)c1S(=O)(=O)[N-][C@H]1CCN(C2CCOCC2)C1=O ZINC000377865996 420661831 /nfs/dbraw/zinc/66/18/31/420661831.db2.gz VKRFAUGEAVCFGD-JTQLQIEISA-N -1 1 344.393 -0.377 20 0 EBADMM CO[C@H](CS(=O)(=O)[N-][C@H]1CC(=O)N(C(C)C)C1=O)[C@H]1CCOC1 ZINC000656926423 420903235 /nfs/dbraw/zinc/90/32/35/420903235.db2.gz DTOPOAGUGFQZLM-SDDRHHMPSA-N -1 1 348.421 -0.507 20 0 EBADMM CO[C@H]1CS(=O)(=O)C[C@H]1[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000657100623 420915361 /nfs/dbraw/zinc/91/53/61/420915361.db2.gz NALZAHOTBCIORR-BDAKNGLRSA-N -1 1 340.448 -0.150 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@@H]1[N-]S(=O)(=O)c1nc(C)c(C)s1 ZINC000657100625 420915832 /nfs/dbraw/zinc/91/58/32/420915832.db2.gz NALZAHOTBCIORR-DTWKUNHWSA-N -1 1 340.448 -0.150 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@@H]1[N-]S(=O)(=O)Cc1csc(C)n1 ZINC000657100917 420917255 /nfs/dbraw/zinc/91/72/55/420917255.db2.gz OVOLYJZRBOEVDC-VHSXEESVSA-N -1 1 340.448 -0.317 20 0 EBADMM Cc1cnc(SCC(=O)NCCCN(C)S(C)(=O)=O)[n-]c1=O ZINC000657261777 420923321 /nfs/dbraw/zinc/92/33/21/420923321.db2.gz SHIHPXDGBFZWOJ-UHFFFAOYSA-N -1 1 348.450 -0.020 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)Nc2n[nH]c(C)c2C(=O)NC)co1 ZINC000337163873 421217584 /nfs/dbraw/zinc/21/75/84/421217584.db2.gz WFMIZBNPAWPYBV-UHFFFAOYSA-N -1 1 341.349 -0.169 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCCNc2cnccn2)co1 ZINC000339202788 421219825 /nfs/dbraw/zinc/21/98/25/421219825.db2.gz RHMAUKAMISIQOS-UHFFFAOYSA-N -1 1 325.350 -0.180 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NC[C@@H]1CCNCC1(F)F ZINC000423703368 265033179 /nfs/dbraw/zinc/03/31/79/265033179.db2.gz ZZBAPPULSVRDAW-VIFPVBQESA-N -1 1 328.319 -0.122 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CC[C@H](C)C[C@@H]2C(N)=O)co1 ZINC000428132028 265117071 /nfs/dbraw/zinc/11/70/71/265117071.db2.gz QWYQJOYWXSYBEC-WCBMZHEXSA-N -1 1 329.378 -0.086 20 0 EBADMM CCN1CCN(C(=O)c2ccc(S(=O)(=O)[N-]C)o2)[C@H](C)C1=O ZINC000428166004 265119013 /nfs/dbraw/zinc/11/90/13/265119013.db2.gz PVNPXYQAAUEZPX-SECBINFHSA-N -1 1 329.378 -0.120 20 0 EBADMM C[C@@H]1CCN(C(=O)CNC(=O)c2ncccc2[O-])CC[S@]1=O ZINC000430354281 265147437 /nfs/dbraw/zinc/14/74/37/265147437.db2.gz PQJODOXLCBGKOS-ZQJOYCHOSA-N -1 1 325.390 -0.114 20 0 EBADMM CCS(=O)(=O)N[C@@H](CCSC)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000430318982 265148325 /nfs/dbraw/zinc/14/83/25/265148325.db2.gz LTWXKEGYSLSTCM-QMMMGPOBSA-N -1 1 348.454 -0.634 20 0 EBADMM Cc1c([C@@H]2[C@H](C(=O)NC3(c4nn[n-]n4)CC3)CC(=O)N2C)cnn1C ZINC000432145428 265172949 /nfs/dbraw/zinc/17/29/49/265172949.db2.gz SEKVCGKJVUMVLI-SKDRFNHKSA-N -1 1 344.379 -0.433 20 0 EBADMM C[C@@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])CC[S@](=O)C1 ZINC000432581769 265177098 /nfs/dbraw/zinc/17/70/98/265177098.db2.gz YQEXLSISXCZLOQ-STFLBKPXSA-N -1 1 325.390 -0.256 20 0 EBADMM C[C@@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])CCS(=O)(=O)C1 ZINC000432563749 265177508 /nfs/dbraw/zinc/17/75/08/265177508.db2.gz VUNVTOWDNNRUFT-SNVBAGLBSA-N -1 1 341.389 -0.590 20 0 EBADMM CCOC(=O)CC1(S(=O)(=O)[N-]c2cnn(CC(=O)NC)c2)CC1 ZINC000436479117 265239669 /nfs/dbraw/zinc/23/96/69/265239669.db2.gz UBFISUHCJVILQT-UHFFFAOYSA-N -1 1 344.393 -0.143 20 0 EBADMM O=C(CNC(=O)c1cc(F)ccc1[O-])NCCN1CCOCC1 ZINC000436559374 265241654 /nfs/dbraw/zinc/24/16/54/265241654.db2.gz VGBMZSXCYFBXIX-UHFFFAOYSA-N -1 1 325.340 -0.291 20 0 EBADMM COC[C@H](O)CN1CCC(NS(=O)(=O)[N-]CC(F)(F)F)CC1 ZINC000439285546 265267144 /nfs/dbraw/zinc/26/71/44/265267144.db2.gz DDNUCIQIIPUFSP-SNVBAGLBSA-N -1 1 349.375 -0.556 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@]1(O)CCSC1 ZINC000443341192 265347115 /nfs/dbraw/zinc/34/71/15/265347115.db2.gz CDWKHSLXFNMUJL-NSHDSACASA-N -1 1 335.407 -0.267 20 0 EBADMM CS[C@H](C)CNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000443963728 265357978 /nfs/dbraw/zinc/35/79/78/265357978.db2.gz OLNBVRNWUFMFDL-SSDOTTSWSA-N -1 1 335.407 -0.476 20 0 EBADMM COC(=O)c1nscc1S(=O)(=O)[N-][C@@H](C(=O)OC)[C@@H](C)O ZINC000444199207 265361287 /nfs/dbraw/zinc/36/12/87/265361287.db2.gz VFTZHUTXRLFQGD-IYSWYEEDSA-N -1 1 338.363 -0.870 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)N1CCc2ccccc2C1)[C@H](C)O ZINC000444206460 265361906 /nfs/dbraw/zinc/36/19/06/265361906.db2.gz QSUXBIVRMTYTTD-GXFFZTMASA-N -1 1 328.390 -0.199 20 0 EBADMM COC(=O)[C@H]1[C@H](O)CCCN1C(=O)CNC(=O)c1ncccc1[O-] ZINC000444429653 265363056 /nfs/dbraw/zinc/36/30/56/265363056.db2.gz CSHNKWNFWAGQGY-ZWNOBZJWSA-N -1 1 337.332 -0.958 20 0 EBADMM COC(=O)C1([N-]S(=O)(=O)[C@@H](C)C(=O)N(C)C)CCSCC1 ZINC000447753754 265378801 /nfs/dbraw/zinc/37/88/01/265378801.db2.gz NBPJBUDHRQCXLC-VIFPVBQESA-N -1 1 338.451 -0.179 20 0 EBADMM Cc1ccn(C[C@@](C)(O)C(=O)N(C)C[C@H](C)c2nn[n-]n2)c(=O)c1 ZINC000279820412 302257579 /nfs/dbraw/zinc/25/75/79/302257579.db2.gz QMWOGBHKTDNWGX-XHDPSFHLSA-N -1 1 334.380 -0.317 20 0 EBADMM CO[C@H]1C[C@@H]([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)C12CCC2 ZINC000668270483 545652187 /nfs/dbraw/zinc/65/21/87/545652187.db2.gz OHONXDLLHBBQCE-MNOVXSKESA-N -1 1 343.405 -0.680 20 0 EBADMM COCCCn1nc(C(=O)NC2(c3nn[n-]n3)CCCC2)ccc1=O ZINC000668869775 545779972 /nfs/dbraw/zinc/77/99/72/545779972.db2.gz WJWNDCPGLHFNER-UHFFFAOYSA-N -1 1 347.379 -0.008 20 0 EBADMM CS(=O)(=O)N1CCN(S(=O)(=O)[N-][C@@H]2CCCC2(F)F)CC1 ZINC000672429226 546099891 /nfs/dbraw/zinc/09/98/91/546099891.db2.gz LUNLQHMAKYVGOC-SECBINFHSA-N -1 1 347.409 -0.414 20 0 EBADMM Cc1nc(CN2CCN(C(=O)CSc3n[nH]c(=O)[n-]3)CC2)n[nH]1 ZINC000672903781 546123436 /nfs/dbraw/zinc/12/34/36/546123436.db2.gz JVNVAQZEBDLFCY-UHFFFAOYSA-N -1 1 338.397 -0.627 20 0 EBADMM CC1(C)CCC[C@@]2(C1)NC(=O)N(CC(=O)NCc1nn[n-]n1)C2=O ZINC000676173556 546197479 /nfs/dbraw/zinc/19/74/79/546197479.db2.gz YLKKIRAPPQOFGX-AWEZNQCLSA-N -1 1 335.368 -0.293 20 0 EBADMM C[C@H](NC(=O)c1n[nH]c2ccccc21)C(=O)N1CCO[C@H](C(=O)[O-])C1 ZINC000676215924 546198370 /nfs/dbraw/zinc/19/83/70/546198370.db2.gz RJPKBKAAZZTCJW-CABZTGNLSA-N -1 1 346.343 -0.007 20 0 EBADMM O=C(CCn1nc2ccccn2c1=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000677048377 546222761 /nfs/dbraw/zinc/22/27/61/546222761.db2.gz YCDNOKPOEFHXPB-NSHDSACASA-N -1 1 342.363 -0.195 20 0 EBADMM CS(=O)(=O)N1CCCC[C@H]1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000677924800 546241227 /nfs/dbraw/zinc/24/12/27/546241227.db2.gz ATYGWIORLQWTJI-MNOVXSKESA-N -1 1 342.425 -0.280 20 0 EBADMM C[C@H]1CCN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)C[C@H]1F ZINC000679263452 546268520 /nfs/dbraw/zinc/26/85/20/546268520.db2.gz ZMXONIFEAAYOTL-DTWKUNHWSA-N -1 1 347.368 -0.137 20 0 EBADMM Cc1nc2ccccn2c1[C@@H]1C(=O)NCCN1Cc1nc(=O)n(C)[n-]1 ZINC000680373565 546285866 /nfs/dbraw/zinc/28/58/66/546285866.db2.gz GNIQAIGBGYEZFR-CQSZACIVSA-N -1 1 341.375 -0.262 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NN1CC(=O)NC1=O ZINC000725878499 582592100 /nfs/dbraw/zinc/59/21/00/582592100.db2.gz GGRVDEVZNKYREH-UHFFFAOYSA-N -1 1 332.320 -0.433 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CCCN(c2ncccn2)C1 ZINC000726868012 582622541 /nfs/dbraw/zinc/62/25/41/582622541.db2.gz SWNMKELTSAVUAB-LBPRGKRZSA-N -1 1 344.375 -0.498 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@H](O)COc1ccccc1 ZINC000726871695 582623655 /nfs/dbraw/zinc/62/36/55/582623655.db2.gz QGWHDFCCNODHEL-LBPRGKRZSA-N -1 1 333.344 -0.517 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNC(=O)c1ccccc1 ZINC000727847794 582659775 /nfs/dbraw/zinc/65/97/75/582659775.db2.gz AOKWCTZMKITFQG-UHFFFAOYSA-N -1 1 330.344 -0.527 20 0 EBADMM CNC(=O)Cc1ccc(NC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC000727848704 582661128 /nfs/dbraw/zinc/66/11/28/582661128.db2.gz SRUGTYVUNRXCOR-UHFFFAOYSA-N -1 1 330.344 -0.146 20 0 EBADMM CN(Cc1ccccc1)C(=O)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC000727852488 582661275 /nfs/dbraw/zinc/66/12/75/582661275.db2.gz WXLAUAIMCFYNPW-UHFFFAOYSA-N -1 1 344.371 -0.299 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNC(=O)c1ccc(F)cc1 ZINC000727852531 582661406 /nfs/dbraw/zinc/66/14/06/582661406.db2.gz XZJZLGVXZUVHEO-UHFFFAOYSA-N -1 1 348.334 -0.388 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CC(=O)N(c2ccccc2)C1 ZINC000727853939 582661723 /nfs/dbraw/zinc/66/17/23/582661723.db2.gz ZHJISGUEZVVVSQ-GFCCVEGCSA-N -1 1 342.355 -0.152 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC(=O)Nc1cccc(F)c1 ZINC000727853576 582661769 /nfs/dbraw/zinc/66/17/69/582661769.db2.gz MWEGNBCNCQOFFQ-UHFFFAOYSA-N -1 1 334.307 -0.179 20 0 EBADMM CNC(=O)NC(=O)COC(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C ZINC000729290369 582690333 /nfs/dbraw/zinc/69/03/33/582690333.db2.gz UEBGTOLLAXIHEB-UHFFFAOYSA-N -1 1 335.320 -0.407 20 0 EBADMM COCCOCCN1C(=O)C[C@@H](N2CCC(CC(=O)[O-])CC2)C1=O ZINC000737814303 582836679 /nfs/dbraw/zinc/83/66/79/582836679.db2.gz MVCBBEDUFYQBKF-CYBMUJFWSA-N -1 1 342.392 -0.036 20 0 EBADMM O=C(CCNC(=O)c1cccs1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000731854830 582841058 /nfs/dbraw/zinc/84/10/58/582841058.db2.gz HDWBKUSEPMJCNI-VIFPVBQESA-N -1 1 336.377 -0.019 20 0 EBADMM O=C(CCNC(=O)c1ccsc1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000731855306 582841335 /nfs/dbraw/zinc/84/13/35/582841335.db2.gz YYRDHLLOAFEJMZ-JTQLQIEISA-N -1 1 336.377 -0.019 20 0 EBADMM COCCOc1ncccc1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000731855299 582841401 /nfs/dbraw/zinc/84/14/01/582841401.db2.gz YHLHCJDEKJHMFK-NSHDSACASA-N -1 1 334.336 -0.166 20 0 EBADMM O=C(c1ccccc1-n1cnnn1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000731880610 582841880 /nfs/dbraw/zinc/84/18/80/582841880.db2.gz RJWTWDWTSGKREQ-NSHDSACASA-N -1 1 327.308 -0.611 20 0 EBADMM O=C(c1cccc(-n2cnnn2)c1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000731880641 582841916 /nfs/dbraw/zinc/84/19/16/582841916.db2.gz SQKUYEKSGSPMCA-NSHDSACASA-N -1 1 327.308 -0.611 20 0 EBADMM O=C(CN1C(=O)N[C@@]2(CCc3ccccc32)C1=O)NCc1nn[n-]n1 ZINC000731887971 582842109 /nfs/dbraw/zinc/84/21/09/582842109.db2.gz QPWGXHCORCTECX-OAHLLOKOSA-N -1 1 341.331 -0.791 20 0 EBADMM COc1cc(C=O)ccc1OCC(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000732090868 582844596 /nfs/dbraw/zinc/84/45/96/582844596.db2.gz OTJLOCIOIYDABD-ZDUSSCGKSA-N -1 1 347.331 0.000 20 0 EBADMM C[C@@H](CS(C)(=O)=O)N(C(=O)CCn1cc[n-]c(=O)c1=O)C1CC1 ZINC000733275695 582859150 /nfs/dbraw/zinc/85/91/50/582859150.db2.gz OEMNCOKOOVXJMT-JTQLQIEISA-N -1 1 343.405 -0.649 20 0 EBADMM CC(C)CN1CC(=O)N[C@H]1c1cnc2c(-c3nnn[n-]3)cnn2c1 ZINC000735830745 582908033 /nfs/dbraw/zinc/90/80/33/582908033.db2.gz ILHPARBRFFAATN-CYBMUJFWSA-N -1 1 327.352 -0.004 20 0 EBADMM CC(C)CN1CC(=O)N[C@H]1c1cnc2c(-c3nn[n-]n3)cnn2c1 ZINC000735830745 582908036 /nfs/dbraw/zinc/90/80/36/582908036.db2.gz ILHPARBRFFAATN-CYBMUJFWSA-N -1 1 327.352 -0.004 20 0 EBADMM CC(C)n1cnnc1CNc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000735966834 582910853 /nfs/dbraw/zinc/91/08/53/582910853.db2.gz XKTOTOHTXHLFQE-UHFFFAOYSA-N -1 1 346.355 -0.951 20 0 EBADMM C[C@@H](Cn1cncn1)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736099322 582918459 /nfs/dbraw/zinc/91/84/59/582918459.db2.gz UTDZISRPOMSBBE-QMMMGPOBSA-N -1 1 335.353 -0.780 20 0 EBADMM C[C@@H](Cn1cncn1)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736099322 582918462 /nfs/dbraw/zinc/91/84/62/582918462.db2.gz UTDZISRPOMSBBE-QMMMGPOBSA-N -1 1 335.353 -0.780 20 0 EBADMM C[C@@H](OC(=O)c1ccc(-c2nnn[n-]2)nc1)C(=O)NC(=O)NC1CC1 ZINC000736156944 582922010 /nfs/dbraw/zinc/92/20/10/582922010.db2.gz GJLIPXXJWYTDKX-SSDOTTSWSA-N -1 1 345.319 -0.205 20 0 EBADMM C[C@@H](OC(=O)c1ccc(-c2nn[n-]n2)nc1)C(=O)NC(=O)NC1CC1 ZINC000736156944 582922014 /nfs/dbraw/zinc/92/20/14/582922014.db2.gz GJLIPXXJWYTDKX-SSDOTTSWSA-N -1 1 345.319 -0.205 20 0 EBADMM C[C@@H](c1cccnc1)N(C)c1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000736204517 582923970 /nfs/dbraw/zinc/92/39/70/582923970.db2.gz QTGZOLRSZXTGEO-VIFPVBQESA-N -1 1 342.363 -0.144 20 0 EBADMM CC[C@@H]1CN(c2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)C[C@@H](C)O1 ZINC000736408354 582932986 /nfs/dbraw/zinc/93/29/86/582932986.db2.gz TVLOSAKWGVLCFP-RKDXNWHRSA-N -1 1 335.368 -0.732 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)COC(=O)[C@H]2C[C@@H]2C(=O)[O-])C1 ZINC000736444594 582934250 /nfs/dbraw/zinc/93/42/50/582934250.db2.gz YUYWTGBDKXGHOA-AGIUHOORSA-N -1 1 342.392 -0.277 20 0 EBADMM CC(C)NC(=O)CN1CCN(CCC(=O)N(C)CC(=O)[O-])CC1 ZINC000736477251 582935313 /nfs/dbraw/zinc/93/53/13/582935313.db2.gz GTXKPIIMUZIMHJ-UHFFFAOYSA-N -1 1 328.413 -0.938 20 0 EBADMM CCS(=O)(=O)CCn1cc(C(=O)OC)cc(-c2nn[n-]n2)c1=O ZINC000736658530 582949116 /nfs/dbraw/zinc/94/91/16/582949116.db2.gz DZPYJKQIWKIJKJ-UHFFFAOYSA-N -1 1 341.349 -0.750 20 0 EBADMM COC(=O)C1CCN(C(=O)Cn2cccc(-c3nn[n-]n3)c2=O)CC1 ZINC000736913626 582972153 /nfs/dbraw/zinc/97/21/53/582972153.db2.gz YBUCFHJZFMLRAM-UHFFFAOYSA-N -1 1 346.347 -0.560 20 0 EBADMM COCC[N@@H+](C)CCNC(=O)COc1cccc(-c2nn[nH]n2)c1 ZINC000736989345 582980122 /nfs/dbraw/zinc/98/01/22/582980122.db2.gz AKNKZAGXOUOXSA-UHFFFAOYSA-N -1 1 334.380 -0.060 20 0 EBADMM Cc1nnc2n1C[C@@H](NC(=O)c1ccc(-c3nnn[n-]3)nc1)CC2 ZINC000737534731 583013176 /nfs/dbraw/zinc/01/31/76/583013176.db2.gz VXMFWBRGJLTLAM-JTQLQIEISA-N -1 1 325.336 -0.094 20 0 EBADMM Cc1nnc2n1C[C@@H](NC(=O)c1ccc(-c3nn[n-]n3)nc1)CC2 ZINC000737534731 583013177 /nfs/dbraw/zinc/01/31/77/583013177.db2.gz VXMFWBRGJLTLAM-JTQLQIEISA-N -1 1 325.336 -0.094 20 0 EBADMM Cc1oc(NC(=O)COC(=O)[C@@H]2CNC(=O)N2)c(-c2nn[n-]n2)c1C ZINC000737551846 583014970 /nfs/dbraw/zinc/01/49/70/583014970.db2.gz JRTSODDJJUISMV-ZETCQYMHSA-N -1 1 349.307 -0.760 20 0 EBADMM COC(=O)[C@@H]1CN(CCCCN2CCO[C@@H](C(=O)[O-])C2)CCO1 ZINC000737586698 583017435 /nfs/dbraw/zinc/01/74/35/583017435.db2.gz DSOAXXCULJWRMG-OLZOCXBDSA-N -1 1 330.381 -0.574 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NC[C@H]2CCCC[C@@H]2O)n(C)c1=O ZINC000737597999 583019034 /nfs/dbraw/zinc/01/90/34/583019034.db2.gz WBCQJQQGBGETLA-BDAKNGLRSA-N -1 1 335.368 -0.773 20 0 EBADMM Cn1ncc(S(=O)(=O)[N-]C[C@@]2(O)CCOC2)c1C(F)(F)F ZINC000867012582 583067410 /nfs/dbraw/zinc/06/74/10/583067410.db2.gz HXUSANBQJJUHEC-VIFPVBQESA-N -1 1 329.300 -0.131 20 0 EBADMM CN(CCCC(=O)Nc1nnn[n-]1)C1=NS(=O)(=O)c2ccccc21 ZINC000742080115 583076027 /nfs/dbraw/zinc/07/60/27/583076027.db2.gz XFIKTSSFDXFHTD-UHFFFAOYSA-N -1 1 349.376 -0.001 20 0 EBADMM CN(CCCC(=O)Nc1nn[n-]n1)C1=NS(=O)(=O)c2ccccc21 ZINC000742080115 583076030 /nfs/dbraw/zinc/07/60/30/583076030.db2.gz XFIKTSSFDXFHTD-UHFFFAOYSA-N -1 1 349.376 -0.001 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCNC(=O)[C@H]1c1cccs1 ZINC000742847239 583082991 /nfs/dbraw/zinc/08/29/91/583082991.db2.gz JTJXQJZHNYIOCC-GFCCVEGCSA-N -1 1 348.384 -0.312 20 0 EBADMM CCCS(=O)(=O)N1CCCC[C@@H]1C(=O)NN1CC(=O)[N-]C1=O ZINC000743286380 583084590 /nfs/dbraw/zinc/08/45/90/583084590.db2.gz BTEJUUWGSCYHCA-SECBINFHSA-N -1 1 332.382 -0.836 20 0 EBADMM CCCS(=O)(=O)N1CCCC[C@H]1C(=O)NN1CC(=O)[N-]C1=O ZINC000743286381 583084626 /nfs/dbraw/zinc/08/46/26/583084626.db2.gz BTEJUUWGSCYHCA-VIFPVBQESA-N -1 1 332.382 -0.836 20 0 EBADMM CC(C)OCCN1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000746350911 583105611 /nfs/dbraw/zinc/10/56/11/583105611.db2.gz FATKSYOZXBTPPT-UHFFFAOYSA-N -1 1 338.408 -0.504 20 0 EBADMM COCCS(=O)(=O)CC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000747474009 583113719 /nfs/dbraw/zinc/11/37/19/583113719.db2.gz NNZNJEHJLPCWSE-GFCCVEGCSA-N -1 1 339.377 -0.534 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCS(C)(=O)=O)o1 ZINC000748053076 583117508 /nfs/dbraw/zinc/11/75/08/583117508.db2.gz IRDMQHQYNJKIEU-UHFFFAOYSA-N -1 1 325.364 -0.221 20 0 EBADMM Cn1[n-]c(CN2CCC(Oc3ccnc(C(N)=O)c3)CC2)nc1=O ZINC000754096202 583165931 /nfs/dbraw/zinc/16/59/31/583165931.db2.gz BUPLQINHQURVAH-UHFFFAOYSA-N -1 1 332.364 -0.354 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NCC2N=NC(=S)N2C)co1 ZINC000755859320 583178217 /nfs/dbraw/zinc/17/82/17/583178217.db2.gz UUEIASHICMQIQE-UHFFFAOYSA-N -1 1 331.379 -0.465 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OCC(=O)N2CCO[C@H](C)C2)co1 ZINC000756404976 583183087 /nfs/dbraw/zinc/18/30/87/583183087.db2.gz OLGQSENEWQIFGX-SECBINFHSA-N -1 1 346.361 -0.408 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@H]1[C@@H](O)C(F)(F)F ZINC000759650362 583215939 /nfs/dbraw/zinc/21/59/39/583215939.db2.gz KMIJGXRASBVUHI-WCBMZHEXSA-N -1 1 335.282 -0.159 20 0 EBADMM Cc1cc(C(=O)OCc2nc(=O)n(C)[n-]2)cc(S(N)(=O)=O)c1C ZINC000765420178 583282008 /nfs/dbraw/zinc/28/20/08/583282008.db2.gz USLQBWYSJMHTCK-UHFFFAOYSA-N -1 1 340.361 -0.270 20 0 EBADMM CN(CC(=O)OCc1nc(=O)n(C)[n-]1)S(=O)(=O)c1ccccc1 ZINC000765436253 583282313 /nfs/dbraw/zinc/28/23/13/583282313.db2.gz YAIWQVANFAXBEX-UHFFFAOYSA-N -1 1 340.361 -0.528 20 0 EBADMM CN(C)S(=O)(=O)c1cc(C(=O)OCc2nc(=O)n(C)[n-]2)co1 ZINC000765503933 583284063 /nfs/dbraw/zinc/28/40/63/583284063.db2.gz WCVRVEIFMWPUER-UHFFFAOYSA-N -1 1 330.322 -0.691 20 0 EBADMM Cn1[n-]c(COC(=O)c2cccc([C@@]3(C)NC(=O)NC3=O)c2)nc1=O ZINC000765496214 583284100 /nfs/dbraw/zinc/28/41/00/583284100.db2.gz XXFZSVZZWOCOTF-OAHLLOKOSA-N -1 1 345.315 -0.480 20 0 EBADMM CCOC(=O)[C@@H](COC)OC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000766322056 583293357 /nfs/dbraw/zinc/29/33/57/583293357.db2.gz ULXJGFBYOTULKJ-SECBINFHSA-N -1 1 335.334 -0.077 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)CN2CCN(C(=O)CO)CC2)cc1 ZINC000767524288 583307066 /nfs/dbraw/zinc/30/70/66/583307066.db2.gz NHHKYNPGBCBIQM-UHFFFAOYSA-N -1 1 335.360 -0.912 20 0 EBADMM NC(=O)CO[N-]C(=O)CCc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000772939257 583367945 /nfs/dbraw/zinc/36/79/45/583367945.db2.gz HYUAINMNOIPPNZ-UHFFFAOYSA-N -1 1 341.389 -0.407 20 0 EBADMM CCN1C(=O)N=NC1S(=O)(=O)CCC[N-]C(=O)C(F)(F)F ZINC000774627595 583387746 /nfs/dbraw/zinc/38/77/46/583387746.db2.gz RXNSYLCMZGEWHJ-UHFFFAOYSA-N -1 1 330.288 -0.154 20 0 EBADMM Cn1c(Cl)ncc1S(=O)(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000776437972 583404054 /nfs/dbraw/zinc/40/40/54/583404054.db2.gz PMLMBMUAQNAZRH-ZETCQYMHSA-N -1 1 333.757 -0.229 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCCS(=O)(=O)NC)co1 ZINC000777127818 583412174 /nfs/dbraw/zinc/41/21/74/583412174.db2.gz VFVYPYXSUVYBDX-UHFFFAOYSA-N -1 1 340.379 -0.716 20 0 EBADMM CC(C)(C)OC(=O)N1CC(OCCC(=O)NCc2nn[n-]n2)C1 ZINC000777396046 583413239 /nfs/dbraw/zinc/41/32/39/583413239.db2.gz WPCGGZCVWXWOCJ-UHFFFAOYSA-N -1 1 326.357 -0.158 20 0 EBADMM C[C@H](OC(=O)c1cn[n-]n1)C(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000805604653 583420289 /nfs/dbraw/zinc/42/02/89/583420289.db2.gz NSICXWUKDXKPDG-ZETCQYMHSA-N -1 1 339.333 -0.364 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H](O)[C@@H](O)c1nc2ccccc2[nH]1)c1nn[n-]n1 ZINC000779838226 583441530 /nfs/dbraw/zinc/44/15/30/583441530.db2.gz CEWQBFDCWTZAHZ-GGZOMVNGSA-N -1 1 345.363 -0.268 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)N2CCOC[C@H]2C(=O)[O-])C1 ZINC000780361260 583448578 /nfs/dbraw/zinc/44/85/78/583448578.db2.gz VVEUFTOJELDLDJ-OLZOCXBDSA-N -1 1 329.397 -0.162 20 0 EBADMM O=C(NN1CC(=O)[N-]C1=O)[C@H]1CC=CC[C@H]1C(=O)N1CCOCC1 ZINC000784348566 583485487 /nfs/dbraw/zinc/48/54/87/583485487.db2.gz WUZWGPBWGNNPIQ-WDEREUQCSA-N -1 1 336.348 -0.989 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)O[C@@H]2CCCCC2=O)o1 ZINC000786196385 583507195 /nfs/dbraw/zinc/50/71/95/583507195.db2.gz GNODZMHSQAUBNL-SECBINFHSA-N -1 1 344.345 -0.288 20 0 EBADMM C[C@H](OC(=O)c1cc(=O)[n-]c(N(C)C)n1)C(=O)NC(=O)NC1CC1 ZINC000786496278 583510388 /nfs/dbraw/zinc/51/03/88/583510388.db2.gz IEDQBIYHAVRUQR-ZETCQYMHSA-N -1 1 337.336 -0.218 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]C[C@H]2CC(C(N)=O)=NO2)o1 ZINC000790007267 583540800 /nfs/dbraw/zinc/54/08/00/583540800.db2.gz IRUXZOUAYQDMQG-SSDOTTSWSA-N -1 1 345.333 -0.635 20 0 EBADMM CCOCCOC[C@@H](O)C[N-]S(=O)(=O)c1ncn(C)c1Cl ZINC000790947405 583548438 /nfs/dbraw/zinc/54/84/38/583548438.db2.gz YZSLNNCHBSNTII-VIFPVBQESA-N -1 1 341.817 -0.234 20 0 EBADMM CN1C(=O)CN(C(=O)CCn2cc[n-]c(=O)c2=O)[C@H]1c1ccccc1 ZINC000793932882 583573014 /nfs/dbraw/zinc/57/30/14/583573014.db2.gz YSKQFSKCFGBHTK-INIZCTEOSA-N -1 1 342.355 -0.074 20 0 EBADMM CSCCO[N-]C(=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000794190260 583577771 /nfs/dbraw/zinc/57/77/71/583577771.db2.gz SSQNYDXGJUGNGD-NXEZZACHSA-N -1 1 336.435 -0.567 20 0 EBADMM CC1(C)CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@]2(CCOC2)O1 ZINC000794311249 583579053 /nfs/dbraw/zinc/57/90/53/583579053.db2.gz HJFVKGBTJQBALR-INIZCTEOSA-N -1 1 337.376 -0.277 20 0 EBADMM COC(=O)[C@H]1CCC[C@H](C(=O)N2CCC(O)(c3nn[n-]n3)CC2)C1 ZINC000798269994 583603741 /nfs/dbraw/zinc/60/37/41/583603741.db2.gz LFUDYWVMAJTFQL-QWRGUYRKSA-N -1 1 337.380 -0.011 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CC[C@@H](CO)[C@@H](O)C1 ZINC000798999527 583609392 /nfs/dbraw/zinc/60/93/92/583609392.db2.gz NRVFJZRAMFISRB-AAEUAGOBSA-N -1 1 334.376 -0.204 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)OCc2cn[nH]c2)o1 ZINC000803502437 583630157 /nfs/dbraw/zinc/63/01/57/583630157.db2.gz QRRFIEJQMOFTNR-UHFFFAOYSA-N -1 1 328.306 -0.877 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCCNc3ccccn3)ccnc1-2 ZINC000806830500 583654803 /nfs/dbraw/zinc/65/48/03/583654803.db2.gz GCYOTYZKNZMADG-UHFFFAOYSA-N -1 1 339.359 -0.096 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCC[C@@H](OCCO)C3)ccnc1-2 ZINC000806900292 583655316 /nfs/dbraw/zinc/65/53/16/583655316.db2.gz VDOYKBAFFUADEC-LLVKDONJSA-N -1 1 347.375 -0.720 20 0 EBADMM C[C@@H]1CN(CCNC(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)CCO1 ZINC000806882371 583655428 /nfs/dbraw/zinc/65/54/28/583655428.db2.gz UCOOWUSZICHVML-LLVKDONJSA-N -1 1 346.391 -0.883 20 0 EBADMM CN(C[C@H]1CCC[C@H]1O)C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806951312 583656096 /nfs/dbraw/zinc/65/60/96/583656096.db2.gz WRMALLKNIZEHLT-ZWNOBZJWSA-N -1 1 331.376 -0.100 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NC[C@@](C)(O)C(F)(F)F)ccnc1-2 ZINC000806953131 583656179 /nfs/dbraw/zinc/65/61/79/583656179.db2.gz PMBSSVMACCFBFQ-GFCCVEGCSA-N -1 1 345.281 -0.290 20 0 EBADMM COCCO[C@H]1CCN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)C1 ZINC000806990579 583656409 /nfs/dbraw/zinc/65/64/09/583656409.db2.gz DLFIZKMTSJRHNU-NSHDSACASA-N -1 1 347.375 -0.456 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCSC[C@@H]3CCO)ccnc1-2 ZINC000807023444 583657019 /nfs/dbraw/zinc/65/70/19/583657019.db2.gz MOWGFANPLDTSCE-JTQLQIEISA-N -1 1 349.416 -0.393 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3C[C@H](O)CC(F)(F)C3)ccnc1-2 ZINC000807030388 583657206 /nfs/dbraw/zinc/65/72/06/583657206.db2.gz LDGMNBYSAOIROZ-MRVPVSSYSA-N -1 1 339.302 -0.491 20 0 EBADMM CC(C)OC[C@@H](CO)N(C)C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000807036852 583657291 /nfs/dbraw/zinc/65/72/91/583657291.db2.gz ORDCBTRVWHACPC-LLVKDONJSA-N -1 1 349.391 -0.475 20 0 EBADMM O=C(Nc1cccc(-n2cccc2)c1)C(=O)NN1CC(=O)[N-]C1=O ZINC000807061847 583657575 /nfs/dbraw/zinc/65/75/75/583657575.db2.gz FZUVEOYEPQTJNC-UHFFFAOYSA-N -1 1 327.300 -0.001 20 0 EBADMM Cc1noc(C)c1S(=O)(=O)NCC(=O)N1CC[N@@H+](C)[C@H](C)C1 ZINC000810441872 583684339 /nfs/dbraw/zinc/68/43/39/583684339.db2.gz AGJLZIUKZVJOKR-SECBINFHSA-N -1 1 330.410 -0.268 20 0 EBADMM COC[C@H]([N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F)C(N)=O ZINC000815028765 583707178 /nfs/dbraw/zinc/70/71/78/583707178.db2.gz FSIVOJICIWSLQG-YFKPBYRVSA-N -1 1 330.288 -0.783 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NOCCC2CC2)o1 ZINC000817383599 583726663 /nfs/dbraw/zinc/72/66/63/583726663.db2.gz QDJNKVRWZGPRDZ-UHFFFAOYSA-N -1 1 331.350 -0.495 20 0 EBADMM CC(C)N1CCO[C@@H](CN2CCN(C(=O)NCCC(=O)[O-])CC2)C1 ZINC000817909778 583730848 /nfs/dbraw/zinc/73/08/48/583730848.db2.gz NROHYIAUAWFTFF-AWEZNQCLSA-N -1 1 342.440 -0.103 20 0 EBADMM O=C([O-])[C@@H]1CN(C(=O)C(=O)Nc2cnn(-c3ccncc3)c2)CCO1 ZINC000819219917 583756085 /nfs/dbraw/zinc/75/60/85/583756085.db2.gz RDEQXWWVJTXVOC-LBPRGKRZSA-N -1 1 345.315 -0.482 20 0 EBADMM O=C(Cc1ccc(-n2cnnc2)cc1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000819256466 583757042 /nfs/dbraw/zinc/75/70/42/583757042.db2.gz LGTXHYVMHFLDCP-ZDUSSCGKSA-N -1 1 340.347 -0.077 20 0 EBADMM O=C([O-])[C@@H]1CN(C(=O)CCN2CCN(c3ccccn3)CC2)CCO1 ZINC000819299774 583757207 /nfs/dbraw/zinc/75/72/07/583757207.db2.gz YQHCAVXTJMRGMF-AWEZNQCLSA-N -1 1 348.403 -0.094 20 0 EBADMM CC(=O)NC[C@H]1CN(C(=O)c2ccc(-c3nnn[n-]3)s2)CCO1 ZINC000819810287 583761646 /nfs/dbraw/zinc/76/16/46/583761646.db2.gz FMTOSCILRZDRQA-VIFPVBQESA-N -1 1 336.377 -0.095 20 0 EBADMM CC(=O)NC[C@H]1CN(C(=O)c2ccc(-c3nn[n-]n3)s2)CCO1 ZINC000819810287 583761648 /nfs/dbraw/zinc/76/16/48/583761648.db2.gz FMTOSCILRZDRQA-VIFPVBQESA-N -1 1 336.377 -0.095 20 0 EBADMM CC(C)(C)OC(=O)[C@H]1CCN(C(=O)Cn2cnc(-c3nn[n-]n3)n2)C1 ZINC000819922774 583763728 /nfs/dbraw/zinc/76/37/28/583763728.db2.gz PGSKHPAJIKDISA-VIFPVBQESA-N -1 1 348.367 -0.352 20 0 EBADMM COC(=O)Cc1ccccc1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC000820027728 583765109 /nfs/dbraw/zinc/76/51/09/583765109.db2.gz WYMDXZKKJJCOOR-UHFFFAOYSA-N -1 1 345.355 -0.041 20 0 EBADMM CS(=O)(=O)N=S1(=O)CCN(C(=O)c2ncccc2[O-])CC1 ZINC000820249840 583769134 /nfs/dbraw/zinc/76/91/34/583769134.db2.gz SWGOSFOYYVYJPP-UHFFFAOYSA-N -1 1 333.391 -0.329 20 0 EBADMM CC(C)[N@@H+]1C[C@H](Nc2c(-c3nnn[n-]3)c(=O)n(C)c(=O)n2C)[C@@H](C)C1 ZINC000820752874 583779020 /nfs/dbraw/zinc/77/90/20/583779020.db2.gz SZPJKUTWCRBPGE-UWVGGRQHSA-N -1 1 348.411 -0.595 20 0 EBADMM C[C@H]1CN(c2nccnc2-c2nnn[n-]2)CCN1C(=O)[C@@H]1CCCO1 ZINC000820778043 583779479 /nfs/dbraw/zinc/77/94/79/583779479.db2.gz USIVFFGTBUBZFN-QWRGUYRKSA-N -1 1 344.379 -0.127 20 0 EBADMM C[C@H]1CN(c2nccnc2-c2nn[n-]n2)CCN1C(=O)[C@@H]1CCCO1 ZINC000820778043 583779483 /nfs/dbraw/zinc/77/94/83/583779483.db2.gz USIVFFGTBUBZFN-QWRGUYRKSA-N -1 1 344.379 -0.127 20 0 EBADMM CCC(=O)N1CC[C@H](NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000820837084 583781834 /nfs/dbraw/zinc/78/18/34/583781834.db2.gz GZJLUDFNIHBSOV-QMMMGPOBSA-N -1 1 333.356 -0.267 20 0 EBADMM CCC(=O)N1CC[C@H](NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000820837084 583781836 /nfs/dbraw/zinc/78/18/36/583781836.db2.gz GZJLUDFNIHBSOV-QMMMGPOBSA-N -1 1 333.356 -0.267 20 0 EBADMM CC[C@H]1CN(c2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)CCS1 ZINC000820994171 583783644 /nfs/dbraw/zinc/78/36/44/583783644.db2.gz FRWWWTKGVLGVDV-QMMMGPOBSA-N -1 1 337.409 -0.404 20 0 EBADMM CCNC(=O)N1CC[C@H](NC(=O)Nc2nn(C)cc2-c2nnn[n-]2)C1 ZINC000821286679 583788142 /nfs/dbraw/zinc/78/81/42/583788142.db2.gz VRIBPKUZRPMOET-QMMMGPOBSA-N -1 1 348.371 -0.475 20 0 EBADMM CCNC(=O)N1CC[C@H](NC(=O)Nc2nn(C)cc2-c2nn[n-]n2)C1 ZINC000821286679 583788145 /nfs/dbraw/zinc/78/81/45/583788145.db2.gz VRIBPKUZRPMOET-QMMMGPOBSA-N -1 1 348.371 -0.475 20 0 EBADMM CCn1cc(-c2nn[n-]n2)c(=O)n(Cc2cc(=O)c(OC)co2)c1=O ZINC000821565297 583793004 /nfs/dbraw/zinc/79/30/04/583793004.db2.gz MTZVZDDAMSMYTJ-UHFFFAOYSA-N -1 1 346.303 -0.780 20 0 EBADMM CN(C)C(=O)C1(CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)CCCC1 ZINC000821574706 583793293 /nfs/dbraw/zinc/79/32/93/583793293.db2.gz STUVPPRYHUUPIW-UHFFFAOYSA-N -1 1 347.383 -0.777 20 0 EBADMM CN1C(=O)N[C@]2(CCC[C@H]2CNc2nccnc2-c2nnn[n-]2)C1=O ZINC000821620550 583795694 /nfs/dbraw/zinc/79/56/94/583795694.db2.gz OOYVVUDTDFVQPU-RTHLEPHNSA-N -1 1 343.351 -0.211 20 0 EBADMM CN1C(=O)N[C@]2(CCC[C@H]2CNc2nccnc2-c2nn[n-]n2)C1=O ZINC000821620550 583795697 /nfs/dbraw/zinc/79/56/97/583795697.db2.gz OOYVVUDTDFVQPU-RTHLEPHNSA-N -1 1 343.351 -0.211 20 0 EBADMM CN1CCN(C(=O)Cn2cnc(-c3nn[n-]n3)n2)Cc2ccccc21 ZINC000821627249 583796726 /nfs/dbraw/zinc/79/67/26/583796726.db2.gz TVGMBMKWGXLXNJ-UHFFFAOYSA-N -1 1 339.363 -0.063 20 0 EBADMM CNC(=O)CN(C)S(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000821632723 583797762 /nfs/dbraw/zinc/79/77/62/583797762.db2.gz GGZOHXKIDGJWCE-UHFFFAOYSA-N -1 1 328.329 -0.628 20 0 EBADMM CO[C@@H](C)C(=O)N1CCN(c2nccnc2-c2nnn[n-]2)C[C@@H]1C ZINC000821674029 583800443 /nfs/dbraw/zinc/80/04/43/583800443.db2.gz RPRUXMTWIQUCCU-UWVGGRQHSA-N -1 1 332.368 -0.271 20 0 EBADMM CO[C@@H](C)C(=O)N1CCN(c2nccnc2-c2nn[n-]n2)C[C@@H]1C ZINC000821674029 583800445 /nfs/dbraw/zinc/80/04/45/583800445.db2.gz RPRUXMTWIQUCCU-UWVGGRQHSA-N -1 1 332.368 -0.271 20 0 EBADMM COCCNC(=O)N1CCN(c2nccnc2-c2nnn[n-]2)C[C@H]1C ZINC000821691435 583802162 /nfs/dbraw/zinc/80/21/62/583802162.db2.gz UOALPSVBCHBKIK-SNVBAGLBSA-N -1 1 347.383 -0.477 20 0 EBADMM COCCNC(=O)N1CCN(c2nccnc2-c2nn[n-]n2)C[C@H]1C ZINC000821691435 583802164 /nfs/dbraw/zinc/80/21/64/583802164.db2.gz UOALPSVBCHBKIK-SNVBAGLBSA-N -1 1 347.383 -0.477 20 0 EBADMM Cc1ccc([C@@H](O)Cn2c(=O)c(-c3nn[n-]n3)cn(C)c2=O)cc1 ZINC000822230953 583808706 /nfs/dbraw/zinc/80/87/06/583808706.db2.gz WDIVKQNVPIXHCL-LBPRGKRZSA-N -1 1 328.332 -0.231 20 0 EBADMM Cc1ccc([C@@H](O)CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)cc1 ZINC000822230983 583808731 /nfs/dbraw/zinc/80/87/31/583808731.db2.gz WYRSRWLRDCBQIW-NSHDSACASA-N -1 1 328.336 -0.384 20 0 EBADMM Cc1nn(C)c2ncc(NC(=O)Cn3cnc(-c4nn[n-]n4)n3)cc12 ZINC000822496549 583811554 /nfs/dbraw/zinc/81/15/54/583811554.db2.gz QJDWUBPXUZYGQU-UHFFFAOYSA-N -1 1 339.323 -0.313 20 0 EBADMM Cc1noc2ncc(NC(=O)Cn3cnc(-c4nn[n-]n4)n3)cc12 ZINC000822531184 583812130 /nfs/dbraw/zinc/81/21/30/583812130.db2.gz WHVZEXPPECVTRT-UHFFFAOYSA-N -1 1 326.280 -0.058 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NC[C@](C)(O)c2ccco2)n(C)c1=O ZINC000822599224 583813253 /nfs/dbraw/zinc/81/32/53/583813253.db2.gz BXASLESQINEPJS-AWEZNQCLSA-N -1 1 347.335 -0.823 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NC[C@]2(C)CCCS2)n(C)c1=O ZINC000822599145 583813333 /nfs/dbraw/zinc/81/33/33/583813333.db2.gz AWEVQBJJNVLRGH-ZDUSSCGKSA-N -1 1 337.409 -0.038 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N[C@@H]2CCC[C@@H]3OCC[C@H]32)n(C)c1=O ZINC000822600040 583813346 /nfs/dbraw/zinc/81/33/46/583813346.db2.gz JBAZESMHYDAGPS-AEJSXWLSSA-N -1 1 347.379 -0.366 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(NCCCC(C)(C)CO)n(C)c1=O ZINC000822599309 583813416 /nfs/dbraw/zinc/81/34/16/583813416.db2.gz CRLSCXXDEIGCQV-UHFFFAOYSA-N -1 1 337.384 -0.525 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCCNC(=O)c2ccco2)n1 ZINC000822614885 583814170 /nfs/dbraw/zinc/81/41/70/583814170.db2.gz WASZXOLVRIXDOD-UHFFFAOYSA-N -1 1 345.323 -0.255 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCCNC(=O)c2ccco2)n1 ZINC000822614885 583814172 /nfs/dbraw/zinc/81/41/72/583814172.db2.gz WASZXOLVRIXDOD-UHFFFAOYSA-N -1 1 345.323 -0.255 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N[C@@H]2CC(=O)N(C3CC3)C2)n1 ZINC000822609553 583814337 /nfs/dbraw/zinc/81/43/37/583814337.db2.gz QAXRQAGPLGVRDD-SSDOTTSWSA-N -1 1 331.340 -0.515 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N[C@@H]2CC(=O)N(C3CC3)C2)n1 ZINC000822609553 583814338 /nfs/dbraw/zinc/81/43/38/583814338.db2.gz QAXRQAGPLGVRDD-SSDOTTSWSA-N -1 1 331.340 -0.515 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N[C@H]2CCCn3ncnc32)n1 ZINC000822614971 583814574 /nfs/dbraw/zinc/81/45/74/583814574.db2.gz YGKZCUJDFXBDOL-QMMMGPOBSA-N -1 1 329.328 -0.152 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N[C@H]2CCCn3ncnc32)n1 ZINC000822614971 583814576 /nfs/dbraw/zinc/81/45/76/583814576.db2.gz YGKZCUJDFXBDOL-QMMMGPOBSA-N -1 1 329.328 -0.152 20 0 EBADMM Cn1cc([C@H](O)CNC(=O)Nc2nn(C)cc2-c2nnn[n-]2)cn1 ZINC000822669809 583815944 /nfs/dbraw/zinc/81/59/44/583815944.db2.gz QNZGJAVNPBWMJU-SECBINFHSA-N -1 1 332.328 -0.811 20 0 EBADMM Cn1cc([C@H](O)CNC(=O)Nc2nn(C)cc2-c2nn[n-]n2)cn1 ZINC000822669809 583815945 /nfs/dbraw/zinc/81/59/45/583815945.db2.gz QNZGJAVNPBWMJU-SECBINFHSA-N -1 1 332.328 -0.811 20 0 EBADMM Cn1cc(N2CCN(c3cccc(-c4nnn[n-]4)n3)CC2=O)cn1 ZINC000822684565 583816378 /nfs/dbraw/zinc/81/63/78/583816378.db2.gz BKPRSDONLHPSFC-UHFFFAOYSA-N -1 1 325.336 -0.152 20 0 EBADMM Cn1cc(N2CCN(c3cccc(-c4nn[n-]n4)n3)CC2=O)cn1 ZINC000822684565 583816380 /nfs/dbraw/zinc/81/63/80/583816380.db2.gz BKPRSDONLHPSFC-UHFFFAOYSA-N -1 1 325.336 -0.152 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)NC[C@]3(OCCO)CCOC3)ccnc1-2 ZINC000823064253 583823798 /nfs/dbraw/zinc/82/37/98/583823798.db2.gz XYQWERANGALXNM-OAHLLOKOSA-N -1 1 335.364 -0.369 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N(CCO)Cc1ccccc1 ZINC000823123419 583824830 /nfs/dbraw/zinc/82/48/30/583824830.db2.gz YSLMJRSBLRONOX-UHFFFAOYSA-N -1 1 328.336 -0.521 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCO[C@H](c2ccco2)C1 ZINC000823127135 583825096 /nfs/dbraw/zinc/82/50/96/583825096.db2.gz UKKAVBKWQRJODD-JTQLQIEISA-N -1 1 330.308 -0.349 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCc2ccc(O)cc2C1 ZINC000823127079 583825215 /nfs/dbraw/zinc/82/52/15/583825215.db2.gz RXYRJPGYSZVHAY-UHFFFAOYSA-N -1 1 326.320 -0.251 20 0 EBADMM O=C(Cn1nc2ccccn2c1=O)Nc1ncc(-c2nnn[n-]2)s1 ZINC000823136404 583826047 /nfs/dbraw/zinc/82/60/47/583826047.db2.gz ZEDHLDGZEVXZNB-UHFFFAOYSA-N -1 1 343.332 -0.229 20 0 EBADMM O=C(Cn1nc2ccccn2c1=O)Nc1ncc(-c2nn[n-]n2)s1 ZINC000823136404 583826048 /nfs/dbraw/zinc/82/60/48/583826048.db2.gz ZEDHLDGZEVXZNB-UHFFFAOYSA-N -1 1 343.332 -0.229 20 0 EBADMM O=C(c1ccc(-c2nnn[n-]2)nc1)N1CCN([C@H]2CCOC2)CC1 ZINC000823478832 583829913 /nfs/dbraw/zinc/82/99/13/583829913.db2.gz UQYAOANQOSYXDE-LBPRGKRZSA-N -1 1 329.364 -0.192 20 0 EBADMM O=C(c1ccc(-c2nn[n-]n2)nc1)N1CCN([C@H]2CCOC2)CC1 ZINC000823478832 583829915 /nfs/dbraw/zinc/82/99/15/583829915.db2.gz UQYAOANQOSYXDE-LBPRGKRZSA-N -1 1 329.364 -0.192 20 0 EBADMM C[C@H](C(=O)N1CCC[C@H](C(N)=O)C1)N1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000823661720 583835354 /nfs/dbraw/zinc/83/53/54/583835354.db2.gz JVBFTSDWSIMZLF-GRYCIOLGSA-N -1 1 326.397 -0.217 20 0 EBADMM CC(=O)NCCNS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000823995026 583839811 /nfs/dbraw/zinc/83/98/11/583839811.db2.gz XJUSFMYVJCXXAW-UHFFFAOYSA-N -1 1 328.329 -0.580 20 0 EBADMM CC(C)[C@H](CNC(=O)[C@H]1CN(C(=O)[O-])CCO1)N1CCN(C)CC1 ZINC000824110153 583841467 /nfs/dbraw/zinc/84/14/67/583841467.db2.gz DSAQKGGRRLOBQI-UONOGXRCSA-N -1 1 342.440 -0.247 20 0 EBADMM CC(C)[C@](C)(O)CNS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000824193281 583842079 /nfs/dbraw/zinc/84/20/79/583842079.db2.gz WDFZZZHUIFTDOE-GFCCVEGCSA-N -1 1 326.382 -0.053 20 0 EBADMM CC(C)[C@](C)(O)CNS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000824193281 583842081 /nfs/dbraw/zinc/84/20/81/583842081.db2.gz WDFZZZHUIFTDOE-GFCCVEGCSA-N -1 1 326.382 -0.053 20 0 EBADMM CC(C)[C@@H](CCO)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000824191874 583842118 /nfs/dbraw/zinc/84/21/18/583842118.db2.gz HILBKKIUUZSVGZ-SNVBAGLBSA-N -1 1 326.382 -0.053 20 0 EBADMM CC(C)[C@@H](CCO)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000824191874 583842120 /nfs/dbraw/zinc/84/21/20/583842120.db2.gz HILBKKIUUZSVGZ-SNVBAGLBSA-N -1 1 326.382 -0.053 20 0 EBADMM CC(C)[C@H]1C[C@H](Nc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)CCO1 ZINC000824214281 583842403 /nfs/dbraw/zinc/84/24/03/583842403.db2.gz FECUCUFCHKLUQM-NXEZZACHSA-N -1 1 349.395 -0.120 20 0 EBADMM CC(C)Oc1cc(CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)ccn1 ZINC000824318980 583843977 /nfs/dbraw/zinc/84/39/77/583843977.db2.gz BXJYFHIEBFKOHX-UHFFFAOYSA-N -1 1 343.351 -0.043 20 0 EBADMM C[C@H](C[S@](C)=O)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000824447693 583846168 /nfs/dbraw/zinc/84/61/68/583846168.db2.gz AWMVOCUSTRBRFA-GLEHDBDLSA-N -1 1 330.395 -0.693 20 0 EBADMM C[C@H](C[S@](C)=O)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000824447693 583846170 /nfs/dbraw/zinc/84/61/70/583846170.db2.gz AWMVOCUSTRBRFA-GLEHDBDLSA-N -1 1 330.395 -0.693 20 0 EBADMM C[C@H](NC(=O)COc1cccc(F)c1-c1nnn[n-]1)C(=O)N(C)C ZINC000824477596 583846801 /nfs/dbraw/zinc/84/68/01/583846801.db2.gz AGNJKORNBJSOGO-QMMMGPOBSA-N -1 1 336.327 -0.023 20 0 EBADMM C[C@H](NC(=O)COc1cccc(F)c1-c1nn[n-]n1)C(=O)N(C)C ZINC000824477596 583846802 /nfs/dbraw/zinc/84/68/02/583846802.db2.gz AGNJKORNBJSOGO-QMMMGPOBSA-N -1 1 336.327 -0.023 20 0 EBADMM C[C@@H](O)[C@@H](NC(=O)C1CC1)C(=O)OCc1ccnc(-c2nnn[n-]2)c1 ZINC000824677263 583848654 /nfs/dbraw/zinc/84/86/54/583848654.db2.gz CIKWXAYCDDGJFF-PRHODGIISA-N -1 1 346.347 -0.420 20 0 EBADMM C[C@@H](O)[C@@H](NC(=O)C1CC1)C(=O)OCc1ccnc(-c2nn[n-]n2)c1 ZINC000824677263 583848655 /nfs/dbraw/zinc/84/86/55/583848655.db2.gz CIKWXAYCDDGJFF-PRHODGIISA-N -1 1 346.347 -0.420 20 0 EBADMM C[C@@H]1OCC[C@H]1NC(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000825022668 583854374 /nfs/dbraw/zinc/85/43/74/583854374.db2.gz YVWAJRUIUPMQPM-DTWKUNHWSA-N -1 1 349.399 -0.077 20 0 EBADMM C[C@@H]1OCC[C@H]1NC(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000825022668 583854376 /nfs/dbraw/zinc/85/43/76/583854376.db2.gz YVWAJRUIUPMQPM-DTWKUNHWSA-N -1 1 349.399 -0.077 20 0 EBADMM CC[C@@H](C)[C@@H](O)C(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000825059516 583854644 /nfs/dbraw/zinc/85/46/44/583854644.db2.gz GERGNURHLHDLKG-LDYMZIIASA-N -1 1 336.400 -0.030 20 0 EBADMM CC[C@@H](C)[C@@H](O)C(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000825059516 583854645 /nfs/dbraw/zinc/85/46/45/583854645.db2.gz GERGNURHLHDLKG-LDYMZIIASA-N -1 1 336.400 -0.030 20 0 EBADMM CCC(CC)(CO)CNc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000825089864 583854740 /nfs/dbraw/zinc/85/47/40/583854740.db2.gz NIXRIPYVIZJPTP-UHFFFAOYSA-N -1 1 337.384 -0.525 20 0 EBADMM CC[C@H](C)NC(=O)CN1CCN(c2ccc(-c3nnn[n-]3)nn2)CC1 ZINC000825067758 583854852 /nfs/dbraw/zinc/85/48/52/583854852.db2.gz MGTSPGZVGZZSBH-NSHDSACASA-N -1 1 345.411 -0.307 20 0 EBADMM CC[C@H](C)NC(=O)CN1CCN(c2ccc(-c3nn[n-]n3)nn2)CC1 ZINC000825067758 583854854 /nfs/dbraw/zinc/85/48/54/583854854.db2.gz MGTSPGZVGZZSBH-NSHDSACASA-N -1 1 345.411 -0.307 20 0 EBADMM CC[C@H](Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C)[C@H]1CCCO1 ZINC000825149349 583855738 /nfs/dbraw/zinc/85/57/38/583855738.db2.gz XIUBHDFNQHSZHG-DTWKUNHWSA-N -1 1 335.368 -0.366 20 0 EBADMM CCC[C@H](NC(=O)Cc1noc(C)c1-c1nn[nH]n1)c1nn[n-]n1 ZINC000825241868 583856727 /nfs/dbraw/zinc/85/67/27/583856727.db2.gz CBBHMWUNLUMSTB-ZETCQYMHSA-N -1 1 332.328 -0.124 20 0 EBADMM CCC[C@H]1CN(c2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)CCO1 ZINC000825258815 583856735 /nfs/dbraw/zinc/85/67/35/583856735.db2.gz DUVIFNHIKDUTBE-VIFPVBQESA-N -1 1 335.368 -0.731 20 0 EBADMM CCNC(=O)CNS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000825471280 583861359 /nfs/dbraw/zinc/86/13/59/583861359.db2.gz QLWXQWHNMZEARR-UHFFFAOYSA-N -1 1 328.329 -0.580 20 0 EBADMM CCNC(=O)CNS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000825471280 583861361 /nfs/dbraw/zinc/86/13/61/583861361.db2.gz QLWXQWHNMZEARR-UHFFFAOYSA-N -1 1 328.329 -0.580 20 0 EBADMM CCOC(=O)c1cnn(Cc2nnc(CC)n2C)c1-c1nn[n-]n1 ZINC000825569999 583863317 /nfs/dbraw/zinc/86/33/17/583863317.db2.gz DKRAIDULZNEJGS-UHFFFAOYSA-N -1 1 331.340 -0.021 20 0 EBADMM CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)c2ccc(-c3nnn[n-]3)nc2)O1 ZINC000825840813 583868096 /nfs/dbraw/zinc/86/80/96/583868096.db2.gz QVSWGABGYGEOFI-PWSUYJOCSA-N -1 1 345.363 -0.373 20 0 EBADMM CN(C)C(=O)[C@@H]1CC[C@H](CNC(=O)c2ccc(-c3nn[n-]n3)nc2)O1 ZINC000825840813 583868098 /nfs/dbraw/zinc/86/80/98/583868098.db2.gz QVSWGABGYGEOFI-PWSUYJOCSA-N -1 1 345.363 -0.373 20 0 EBADMM CN(C)S(=O)(=O)c1ccc(Cn2cnc(-c3nn[n-]n3)n2)cc1 ZINC000825875683 583869410 /nfs/dbraw/zinc/86/94/10/583869410.db2.gz UXQUVEMGUHMSRG-UHFFFAOYSA-N -1 1 334.365 -0.243 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nnn[n-]1)C(=O)N[C@H]1CCCOC1 ZINC000825929889 583870901 /nfs/dbraw/zinc/87/09/01/583870901.db2.gz NTGSYILENNPCSS-VIFPVBQESA-N -1 1 349.399 -0.075 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nn[n-]n1)C(=O)N[C@H]1CCCOC1 ZINC000825929889 583870902 /nfs/dbraw/zinc/87/09/02/583870902.db2.gz NTGSYILENNPCSS-VIFPVBQESA-N -1 1 349.399 -0.075 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nnn[n-]1)C(=O)[C@@H](O)C(C)(C)C ZINC000825923833 583871021 /nfs/dbraw/zinc/87/10/21/583871021.db2.gz YCIVUTYXPYPPDH-SNVBAGLBSA-N -1 1 336.400 -0.030 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nn[n-]n1)C(=O)[C@@H](O)C(C)(C)C ZINC000825923833 583871024 /nfs/dbraw/zinc/87/10/24/583871024.db2.gz YCIVUTYXPYPPDH-SNVBAGLBSA-N -1 1 336.400 -0.030 20 0 EBADMM CN1C[C@H](NS(=O)(=O)c2cccc(F)c2-c2nnn[n-]2)CC1=O ZINC000826017299 583873748 /nfs/dbraw/zinc/87/37/48/583873748.db2.gz KNMXMXZPCKSLBN-SSDOTTSWSA-N -1 1 340.340 -0.485 20 0 EBADMM CN1C[C@H](NS(=O)(=O)c2cccc(F)c2-c2nn[n-]n2)CC1=O ZINC000826017299 583873749 /nfs/dbraw/zinc/87/37/49/583873749.db2.gz KNMXMXZPCKSLBN-SSDOTTSWSA-N -1 1 340.340 -0.485 20 0 EBADMM CN1CN(S(=O)(=O)c2ccc(F)c(-c3nn[n-]n3)c2)CC1=O ZINC000826045069 583875150 /nfs/dbraw/zinc/87/51/50/583875150.db2.gz OGFRNRRPRCXITG-UHFFFAOYSA-N -1 1 326.313 -0.574 20 0 EBADMM C[C@@H]1OC(C)(C)N(C(=O)[O-])[C@H]1C(=O)NC[C@H]1CN(C)CCN1C ZINC000826110619 583879241 /nfs/dbraw/zinc/87/92/41/583879241.db2.gz SMENZDVUMAPVHU-SDDRHHMPSA-N -1 1 328.413 -0.148 20 0 EBADMM COC(=O)C1(NS(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)CCC1 ZINC000826124244 583880277 /nfs/dbraw/zinc/88/02/77/583880277.db2.gz QHNCXOVOPXOXQY-UHFFFAOYSA-N -1 1 338.349 -0.364 20 0 EBADMM COC(=O)C1(NS(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)CCC1 ZINC000826124244 583880280 /nfs/dbraw/zinc/88/02/80/583880280.db2.gz QHNCXOVOPXOXQY-UHFFFAOYSA-N -1 1 338.349 -0.364 20 0 EBADMM COC(=O)[C@@H]1C[C@@H]1C(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000826129567 583881579 /nfs/dbraw/zinc/88/15/79/583881579.db2.gz VMBPTPFTCFRFAY-JGVFFNPUSA-N -1 1 348.367 -0.628 20 0 EBADMM COC(=O)[C@@H]1C[C@@H]1C(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000826129567 583881581 /nfs/dbraw/zinc/88/15/81/583881581.db2.gz VMBPTPFTCFRFAY-JGVFFNPUSA-N -1 1 348.367 -0.628 20 0 EBADMM CO[C@@H]1[C@H](C)[C@@H](Nc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)C1(C)C ZINC000826144967 583883204 /nfs/dbraw/zinc/88/32/04/583883204.db2.gz WUYHAPKGLXKSDU-SZEHBUNVSA-N -1 1 349.395 -0.265 20 0 EBADMM COC[C@@H](CNS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1)OC ZINC000826149835 583884021 /nfs/dbraw/zinc/88/40/21/583884021.db2.gz QDNGXTRPFOUOCM-MRVPVSSYSA-N -1 1 345.356 -0.055 20 0 EBADMM COC[C@@H](CNS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1)OC ZINC000826149835 583884022 /nfs/dbraw/zinc/88/40/22/583884022.db2.gz QDNGXTRPFOUOCM-MRVPVSSYSA-N -1 1 345.356 -0.055 20 0 EBADMM COCCN1CCN(C(=O)c2ccc(-c3nnn[n-]3)s2)CC1=O ZINC000826159818 583885872 /nfs/dbraw/zinc/88/58/72/583885872.db2.gz TYCZDGSWNZEOLH-UHFFFAOYSA-N -1 1 336.377 -0.141 20 0 EBADMM COCCN1CCN(C(=O)c2ccc(-c3nn[n-]n3)s2)CC1=O ZINC000826159818 583885873 /nfs/dbraw/zinc/88/58/73/583885873.db2.gz TYCZDGSWNZEOLH-UHFFFAOYSA-N -1 1 336.377 -0.141 20 0 EBADMM CS(=O)(=O)N[C@H]1CCC[C@@H]1CNc1ccc(-c2nnn[n-]2)nn1 ZINC000826223442 583888567 /nfs/dbraw/zinc/88/85/67/583888567.db2.gz MZLPDQWFKLJMJS-BDAKNGLRSA-N -1 1 338.397 -0.214 20 0 EBADMM CS(=O)(=O)N[C@H]1CCC[C@@H]1CNc1ccc(-c2nn[n-]n2)nn1 ZINC000826223442 583888569 /nfs/dbraw/zinc/88/85/69/583888569.db2.gz MZLPDQWFKLJMJS-BDAKNGLRSA-N -1 1 338.397 -0.214 20 0 EBADMM CS[C@@H](CO)[C@@H](C)NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000826227299 583888838 /nfs/dbraw/zinc/88/88/38/583888838.db2.gz OXNHLSXNSNPYEL-XCBNKYQSSA-N -1 1 344.422 -0.348 20 0 EBADMM CS[C@@H](CO)[C@@H](C)NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000826227299 583888839 /nfs/dbraw/zinc/88/88/39/583888839.db2.gz OXNHLSXNSNPYEL-XCBNKYQSSA-N -1 1 344.422 -0.348 20 0 EBADMM Cc1cnc([C@@H](C)Nc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)o1 ZINC000826318057 583891157 /nfs/dbraw/zinc/89/11/57/583891157.db2.gz UHHAQTMRLUEEKU-SSDOTTSWSA-N -1 1 332.324 -0.266 20 0 EBADMM Cc1cccn2c1ncc(C(=O)n1ncc(-c3nn[n-]n3)c1N)c2=O ZINC000826307920 583891199 /nfs/dbraw/zinc/89/11/99/583891199.db2.gz BLBBRHXQCVXNPY-UHFFFAOYSA-N -1 1 337.303 -0.350 20 0 EBADMM Cc1nc2n(n1)C[C@@H](NC(=O)Cc1noc(C)c1-c1nnn[n-]1)CC2 ZINC000826329017 583891807 /nfs/dbraw/zinc/89/18/07/583891807.db2.gz NDBLJNNOSZQNHB-VIFPVBQESA-N -1 1 343.351 -0.263 20 0 EBADMM Cc1nc2n(n1)C[C@@H](NC(=O)Cc1noc(C)c1-c1nn[n-]n1)CC2 ZINC000826329017 583891808 /nfs/dbraw/zinc/89/18/08/583891808.db2.gz NDBLJNNOSZQNHB-VIFPVBQESA-N -1 1 343.351 -0.263 20 0 EBADMM Cc1onc(CC(=O)N2CCC[C@H]2C(=O)NC2CC2)c1-c1nnn[n-]1 ZINC000826344774 583892653 /nfs/dbraw/zinc/89/26/53/583892653.db2.gz RKWHCJIAKDVNAQ-NSHDSACASA-N -1 1 345.363 -0.025 20 0 EBADMM Cc1onc(CC(=O)N2CCC[C@H]2C(=O)NC2CC2)c1-c1nn[n-]n1 ZINC000826344774 583892654 /nfs/dbraw/zinc/89/26/54/583892654.db2.gz RKWHCJIAKDVNAQ-NSHDSACASA-N -1 1 345.363 -0.025 20 0 EBADMM Cc1onc(CC(=O)N2CCN(C)C(=O)C2(C)C)c1-c1nnn[n-]1 ZINC000826344859 583892699 /nfs/dbraw/zinc/89/26/99/583892699.db2.gz UTUVPXVKLZSCSS-UHFFFAOYSA-N -1 1 333.352 -0.215 20 0 EBADMM Cc1onc(CC(=O)N2CCN(C)C(=O)C2(C)C)c1-c1nn[n-]n1 ZINC000826344859 583892700 /nfs/dbraw/zinc/89/27/00/583892700.db2.gz UTUVPXVKLZSCSS-UHFFFAOYSA-N -1 1 333.352 -0.215 20 0 EBADMM Cc1onc(CC(=O)N2CCC[C@@H](OCCO)C2)c1-c1nnn[n-]1 ZINC000826344745 583892708 /nfs/dbraw/zinc/89/27/08/583892708.db2.gz RBUZYSSTWUYIDN-SNVBAGLBSA-N -1 1 336.352 -0.294 20 0 EBADMM Cc1onc(CC(=O)N2CCC[C@@H](OCCO)C2)c1-c1nn[n-]n1 ZINC000826344745 583892709 /nfs/dbraw/zinc/89/27/09/583892709.db2.gz RBUZYSSTWUYIDN-SNVBAGLBSA-N -1 1 336.352 -0.294 20 0 EBADMM Cc1onc(CC(=O)N[C@H]2CCN(C(C)C)C2=O)c1-c1nnn[n-]1 ZINC000826346496 583892892 /nfs/dbraw/zinc/89/28/92/583892892.db2.gz NVCKPHFHCXEMOD-VIFPVBQESA-N -1 1 333.352 -0.169 20 0 EBADMM Cc1onc(CC(=O)N[C@H]2CCN(C(C)C)C2=O)c1-c1nn[n-]n1 ZINC000826346496 583892894 /nfs/dbraw/zinc/89/28/94/583892894.db2.gz NVCKPHFHCXEMOD-VIFPVBQESA-N -1 1 333.352 -0.169 20 0 EBADMM Cc1onc(CC(=O)NCCNc2cnccn2)c1-c1nnn[n-]1 ZINC000826347665 583893467 /nfs/dbraw/zinc/89/34/67/583893467.db2.gz ZHEYPMOJMWCNJT-UHFFFAOYSA-N -1 1 329.324 -0.276 20 0 EBADMM Cc1onc(CC(=O)NCCNc2cnccn2)c1-c1nn[n-]n1 ZINC000826347665 583893468 /nfs/dbraw/zinc/89/34/68/583893468.db2.gz ZHEYPMOJMWCNJT-UHFFFAOYSA-N -1 1 329.324 -0.276 20 0 EBADMM NC(=O)C1(NS(=O)(=O)c2ccc(F)cc2-c2nn[n-]n2)CC1 ZINC000826390280 583896690 /nfs/dbraw/zinc/89/66/90/583896690.db2.gz VVKYZCDCUVPDDT-UHFFFAOYSA-N -1 1 326.313 -0.698 20 0 EBADMM NC(=O)c1cc([C@H]2CCCN(c3ccc(-c4nnn[n-]4)nn3)C2)n[nH]1 ZINC000826392572 583897783 /nfs/dbraw/zinc/89/77/83/583897783.db2.gz DHGSTDYDWMVFRC-QMMMGPOBSA-N -1 1 340.351 -0.137 20 0 EBADMM NC(=O)c1cc([C@H]2CCCN(c3ccc(-c4nn[n-]n4)nn3)C2)n[nH]1 ZINC000826392572 583897784 /nfs/dbraw/zinc/89/77/84/583897784.db2.gz DHGSTDYDWMVFRC-QMMMGPOBSA-N -1 1 340.351 -0.137 20 0 EBADMM NC(=O)NCC(=O)Nc1ccn(-c2cccc(F)c2-c2nn[n-]n2)n1 ZINC000826392406 583897983 /nfs/dbraw/zinc/89/79/83/583897983.db2.gz XFMBXHXLOMGITK-UHFFFAOYSA-N -1 1 345.298 -0.202 20 0 EBADMM NS(=O)(=O)c1ccc(NC(=O)Cn2ccnc2-c2nnn[n-]2)cc1 ZINC000826395045 583898586 /nfs/dbraw/zinc/89/85/86/583898586.db2.gz KQSGXAQWTQTCTF-UHFFFAOYSA-N -1 1 348.348 -0.651 20 0 EBADMM NS(=O)(=O)c1ccc(NC(=O)Cn2ccnc2-c2nn[n-]n2)cc1 ZINC000826395045 583898587 /nfs/dbraw/zinc/89/85/87/583898587.db2.gz KQSGXAQWTQTCTF-UHFFFAOYSA-N -1 1 348.348 -0.651 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCC[C@@H]([C@H]2CCOC2)C1 ZINC000826424883 583900776 /nfs/dbraw/zinc/90/07/76/583900776.db2.gz HJMRNQPGZZLBRD-MNOVXSKESA-N -1 1 332.368 -0.267 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CCC[C@H]1C1CCOCC1 ZINC000826425025 583900782 /nfs/dbraw/zinc/90/07/82/583900782.db2.gz NAJUZFWCIDESJG-NSHDSACASA-N -1 1 332.368 -0.124 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@H](CO)c1cccc(F)c1 ZINC000826425165 583900830 /nfs/dbraw/zinc/90/08/30/583900830.db2.gz RUPVWDHKKSKOEF-SNVBAGLBSA-N -1 1 332.299 -0.553 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@H](CCO)c1cccs1 ZINC000826425179 583900842 /nfs/dbraw/zinc/90/08/42/583900842.db2.gz SLLKWGZTFVKIFD-MRVPVSSYSA-N -1 1 334.365 -0.240 20 0 EBADMM O=C(Cn1cc(NCc2ccc(-c3nn[n-]n3)s2)cn1)NCCO ZINC000826424237 583900863 /nfs/dbraw/zinc/90/08/63/583900863.db2.gz BYIBCULTVOEYPA-UHFFFAOYSA-N -1 1 348.392 -0.155 20 0 EBADMM O=C([O-])c1cccc(CNC(=O)Cn2cnc(-c3nn[nH]n3)n2)c1 ZINC000826425695 583901363 /nfs/dbraw/zinc/90/13/63/583901363.db2.gz PCENOBHHZCUSQT-UHFFFAOYSA-N -1 1 328.292 -0.527 20 0 EBADMM O=C(NC[C@H]1COC2(CCOCC2)O1)c1ccc(-c2nnn[n-]2)nc1 ZINC000826435789 583902069 /nfs/dbraw/zinc/90/20/69/583902069.db2.gz XSGDOBXTEUGUKY-NSHDSACASA-N -1 1 346.347 -0.086 20 0 EBADMM O=C(NC[C@H]1COC2(CCOCC2)O1)c1ccc(-c2nn[n-]n2)nc1 ZINC000826435789 583902071 /nfs/dbraw/zinc/90/20/71/583902071.db2.gz XSGDOBXTEUGUKY-NSHDSACASA-N -1 1 346.347 -0.086 20 0 EBADMM O=C1NC(=O)N2CCN(c3snc(Cl)c3-c3nnn[n-]3)C[C@@H]12 ZINC000826481772 583904391 /nfs/dbraw/zinc/90/43/91/583904391.db2.gz IOTKUQCILOAWFA-BYPYZUCNSA-N -1 1 340.756 -0.283 20 0 EBADMM O=C1NC(=O)N2CCN(c3snc(Cl)c3-c3nn[n-]n3)C[C@@H]12 ZINC000826481772 583904392 /nfs/dbraw/zinc/90/43/92/583904392.db2.gz IOTKUQCILOAWFA-BYPYZUCNSA-N -1 1 340.756 -0.283 20 0 EBADMM O=C(c1ccc(-c2nn[n-]n2)s1)N1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000826482302 583904682 /nfs/dbraw/zinc/90/46/82/583904682.db2.gz ZKUDOYHQWWQQAN-LURJTMIESA-N -1 1 333.333 -0.696 20 0 EBADMM O=S(=O)(c1ccc(F)c(-c2nn[n-]n2)c1)N(CCO)CCCO ZINC000826495634 583905974 /nfs/dbraw/zinc/90/59/74/583905974.db2.gz VJJVVQKWRBWYAU-UHFFFAOYSA-N -1 1 345.356 -0.629 20 0 EBADMM CC[C@H]1CN(C(=O)CN(CCOC)C(=O)[O-])CCN1C[C@@H](C)O ZINC000826682942 583909539 /nfs/dbraw/zinc/90/95/39/583909539.db2.gz QMHWNCGGHYMNLA-OLZOCXBDSA-N -1 1 331.413 -0.084 20 0 EBADMM O=C(c1cccc(-c2nc(=O)o[n-]2)c1)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000826833408 583911089 /nfs/dbraw/zinc/91/10/89/583911089.db2.gz CPMMZLJCGSNBLE-SNVBAGLBSA-N -1 1 343.303 -0.243 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@@H](C)N2C(=O)CCC2=O)CC1 ZINC000827356340 583919758 /nfs/dbraw/zinc/91/97/58/583919758.db2.gz QOKJOQPDARQKAD-GHMZBOCLSA-N -1 1 325.365 -0.469 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)CCCN2C(=O)CCC2=O)CC1 ZINC000827362439 583919856 /nfs/dbraw/zinc/91/98/56/583919856.db2.gz OFGBLZYTKKPNOV-GFCCVEGCSA-N -1 1 339.392 -0.077 20 0 EBADMM CCc1nnc([C@H]2CN(C(=O)[C@@H]3CN(C(=O)[O-])CCO3)CCO2)[nH]1 ZINC000827621653 583925100 /nfs/dbraw/zinc/92/51/00/583925100.db2.gz UBLTZZDQTCJOEM-ZJUUUORDSA-N -1 1 339.352 -0.354 20 0 EBADMM CN(Cc1nnc[nH]1)C(=O)c1cn(C2CCN(C(=O)[O-])CC2)nn1 ZINC000828426840 583938785 /nfs/dbraw/zinc/93/87/85/583938785.db2.gz QAKDQAVQTOTBGM-UHFFFAOYSA-N -1 1 334.340 -0.017 20 0 EBADMM CC(C)N1C[C@@H](C)[C@@H](NS(=O)(=O)c2cnn(CC(=O)[O-])c2)C1 ZINC000828424940 583938918 /nfs/dbraw/zinc/93/89/18/583938918.db2.gz VCQWOFDZBLMVCW-PWSUYJOCSA-N -1 1 330.410 -0.025 20 0 EBADMM C[C@@]1([N-]C(=O)C(F)(F)F)CCN(C(=O)[C@@H]2CSCC(=O)N2)C1 ZINC000828680750 583945217 /nfs/dbraw/zinc/94/52/17/583945217.db2.gz PHUPPGQWYBXDGZ-WRWORJQWSA-N -1 1 339.339 -0.113 20 0 EBADMM CC[C@H](C)[C@@H](NC(=O)CN1CCN(C(C)(C)CO)CC1)C(=O)[O-] ZINC000828716058 583946319 /nfs/dbraw/zinc/94/63/19/583946319.db2.gz FHLSTFONAPOZLQ-GXTWGEPZSA-N -1 1 329.441 -0.010 20 0 EBADMM CC[C@@H](C)[C@@H](NC(=O)CN1CCN(C[C@@H](O)CC)CC1)C(=O)[O-] ZINC000829004299 583949877 /nfs/dbraw/zinc/94/98/77/583949877.db2.gz DYURLWQHTDMRBM-VNHYZAJKSA-N -1 1 329.441 -0.010 20 0 EBADMM CN(CCN1CC2(C1)CCOCC2)C(=O)c1cnc([O-])n(C)c1=O ZINC000829266807 583952155 /nfs/dbraw/zinc/95/21/55/583952155.db2.gz NSAPIMSKLBBAET-UHFFFAOYSA-N -1 1 336.392 -0.330 20 0 EBADMM COC[C@H]([N-]S(=O)(=O)c1cnn(C)c1C(F)(F)F)C(=O)OC ZINC000867066269 583965189 /nfs/dbraw/zinc/96/51/89/583965189.db2.gz JYOBWLNKWBELOB-LURJTMIESA-N -1 1 345.299 -0.095 20 0 EBADMM O=C([O-])N1C[C@@H](O)C[C@@H]1C(=O)N1CCN(C2CCOCC2)CC1 ZINC000831271132 583981173 /nfs/dbraw/zinc/98/11/73/583981173.db2.gz AIQBBWWCNYUREI-QWHCGFSZSA-N -1 1 327.381 -0.577 20 0 EBADMM O=C([O-])N1CC(NC(=O)N[C@H]2CCN(CCN3CCOCC3)C2)C1 ZINC000831600329 583988025 /nfs/dbraw/zinc/98/80/25/583988025.db2.gz YKQGMXAOQPVBQO-LBPRGKRZSA-N -1 1 341.412 -0.946 20 0 EBADMM C[C@@H](C(=O)Nc1cccc(S(=O)(=O)NCC(=O)[O-])c1)N(C)C ZINC000832803101 584002101 /nfs/dbraw/zinc/00/21/01/584002101.db2.gz FOZVZDQAFJGZAP-VIFPVBQESA-N -1 1 329.378 -0.062 20 0 EBADMM Cc1cc(O)cc(=O)n1CC(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000832900218 584002505 /nfs/dbraw/zinc/00/25/05/584002505.db2.gz TXVWZROPRNNZSY-LLVKDONJSA-N -1 1 337.376 -0.130 20 0 EBADMM O=C([O-])c1cn(CC(=O)N2CCCN(C[C@@H]3CCCO3)CC2)nn1 ZINC000833340703 584008969 /nfs/dbraw/zinc/00/89/69/584008969.db2.gz VNYAVTSDIZOFFZ-LBPRGKRZSA-N -1 1 337.380 -0.310 20 0 EBADMM CC(=O)N1CCN(C(=O)[C@H](C)N2CCC([C@@H](O)C(=O)[O-])CC2)CC1 ZINC000833394459 584009629 /nfs/dbraw/zinc/00/96/29/584009629.db2.gz ZPMMOZBPZHSQFE-SMDDNHRTSA-N -1 1 341.408 -0.777 20 0 EBADMM CC(=O)N1CCOC[C@H]1C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC000833391101 584009636 /nfs/dbraw/zinc/00/96/36/584009636.db2.gz HGQIHEPYQVTLFO-YPMHNXCESA-N -1 1 327.381 -0.759 20 0 EBADMM C[C@@H](C(=O)N1CCN(CCC(=O)[O-])C[C@@H]1C)N1C(=O)CCC1=O ZINC000833619993 584012240 /nfs/dbraw/zinc/01/22/40/584012240.db2.gz JRQPEDGELZHPBS-QWRGUYRKSA-N -1 1 325.365 -0.469 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NCc1cc(C(N)=O)no1 ZINC000833627471 584012916 /nfs/dbraw/zinc/01/29/16/584012916.db2.gz XUZPVXVPESVDHF-VIFPVBQESA-N -1 1 339.352 -0.536 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NCCN1C(=O)CCC1=O ZINC000833627347 584012988 /nfs/dbraw/zinc/01/29/88/584012988.db2.gz WMMUULFWDLTKSR-LLVKDONJSA-N -1 1 340.380 -0.674 20 0 EBADMM O=C(CN(C[C@@H]1CCCO1)C(=O)[O-])Nc1nc(SCCO)n[nH]1 ZINC000834008401 584023895 /nfs/dbraw/zinc/02/38/95/584023895.db2.gz YJBUOGVANRTDBU-QMMMGPOBSA-N -1 1 345.381 -0.013 20 0 EBADMM O=S(=O)(N=S1(=O)CCCC1)[N-]CCN1CCCOCC1 ZINC000867156195 584042723 /nfs/dbraw/zinc/04/27/23/584042723.db2.gz LVSGHHGBHDYLOW-UHFFFAOYSA-N -1 1 325.456 -0.195 20 0 EBADMM CC(C)[C@@H](CO)O[N-]C(=O)C1=CC=CN2CCS(=O)(=O)N=C12 ZINC000836899410 584046052 /nfs/dbraw/zinc/04/60/52/584046052.db2.gz CHNYZKJGCZGWFR-LLVKDONJSA-N -1 1 329.378 -0.451 20 0 EBADMM COCCn1cc(C(=O)[N-]S(=O)(=O)CC2(N(C)C)CCC2)nn1 ZINC000843015275 584069608 /nfs/dbraw/zinc/06/96/08/584069608.db2.gz UVBNPHXIZPOSCG-UHFFFAOYSA-N -1 1 345.425 -0.532 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)CN2CCn3nnc(CO)c3C2)cc1 ZINC000844331292 584092995 /nfs/dbraw/zinc/09/29/95/584092995.db2.gz FIBUSBUIUFBLSL-UHFFFAOYSA-N -1 1 345.359 -0.449 20 0 EBADMM CS(=O)(=O)N1CC[C@@H](NC(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC000846406275 584125511 /nfs/dbraw/zinc/12/55/11/584125511.db2.gz PSVBFTXAURUOES-SECBINFHSA-N -1 1 341.418 -0.218 20 0 EBADMM CCOC(=O)c1cnc(NC(=O)CCC(=O)NCCNC(C)=O)[n-]1 ZINC000846651697 584129456 /nfs/dbraw/zinc/12/94/56/584129456.db2.gz YIPBHFJQSINGLQ-UHFFFAOYSA-N -1 1 339.352 -0.443 20 0 EBADMM CCOC(=O)c1c[n-]c(NC(=O)CCC(=O)NCCNC(C)=O)n1 ZINC000846651697 584129457 /nfs/dbraw/zinc/12/94/57/584129457.db2.gz YIPBHFJQSINGLQ-UHFFFAOYSA-N -1 1 339.352 -0.443 20 0 EBADMM CN(C)S(=O)(=O)NCCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000846655202 584129578 /nfs/dbraw/zinc/12/95/78/584129578.db2.gz FRXKHVCDJDGJRH-UHFFFAOYSA-N -1 1 344.422 -0.856 20 0 EBADMM Cn1cnnc1N1CCN(C(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1 ZINC000847177929 584135588 /nfs/dbraw/zinc/13/55/88/584135588.db2.gz LQMVGDCOWACDNC-UHFFFAOYSA-N -1 1 341.379 -0.176 20 0 EBADMM CCC[C@H](NC(=O)CCN1C(=O)CCNC1=S)c1nn[n-]n1 ZINC000847554327 584142199 /nfs/dbraw/zinc/14/21/99/584142199.db2.gz QTGMCUWSWNUZGN-QMMMGPOBSA-N -1 1 325.398 -0.346 20 0 EBADMM Cc1nc(COC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)co1 ZINC000848748504 584161337 /nfs/dbraw/zinc/16/13/37/584161337.db2.gz GPKKGTFOIWMZPJ-UHFFFAOYSA-N -1 1 343.317 -0.303 20 0 EBADMM CO[C@H](CS(=O)(=O)[N-]Cc1nc(N(C)C)no1)[C@H]1CCOC1 ZINC000849387521 584168727 /nfs/dbraw/zinc/16/87/27/584168727.db2.gz NLJOCDGZSQRAIP-VHSXEESVSA-N -1 1 334.398 -0.394 20 0 EBADMM CN1c2ccccc2C[C@H]([N-]S(=O)(=O)CCS(C)(=O)=O)C1=O ZINC000849423265 584170502 /nfs/dbraw/zinc/17/05/02/584170502.db2.gz KMIKTTYSBDTBAW-NSHDSACASA-N -1 1 346.430 -0.462 20 0 EBADMM CO[C@@H]1CS(=O)(=O)C[C@H]1[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC000849432870 584170654 /nfs/dbraw/zinc/17/06/54/584170654.db2.gz VOLKMPRMUMLIQJ-PHDIDXHHSA-N -1 1 329.787 -0.847 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N[C@@H]3C[C@H](O)C34CCC4)ccnc1-2 ZINC000850669831 584185213 /nfs/dbraw/zinc/18/52/13/584185213.db2.gz ZABCUUSXEWIKER-NEPJUHHUSA-N -1 1 329.360 -0.300 20 0 EBADMM CCc1cc(CNC(=O)C(=O)N2C[C@@H]3COC[C@]3(C(=O)[O-])C2)n[nH]1 ZINC000851681528 584197865 /nfs/dbraw/zinc/19/78/65/584197865.db2.gz NRMDTWQUQDPQRF-RFAUZJTJSA-N -1 1 336.348 -0.852 20 0 EBADMM O=C([O-])[C@@H]1[C@@H](NC(=O)C(F)(F)F)CCCN1C(=O)c1cn[nH]n1 ZINC000851701155 584200745 /nfs/dbraw/zinc/20/07/45/584200745.db2.gz FSIDCXYRSXNTKU-FSPLSTOPSA-N -1 1 335.242 -0.459 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@@H](N3CCOC3=O)C2)o1 ZINC000855508604 584260500 /nfs/dbraw/zinc/26/05/00/584260500.db2.gz LCIOKOWORSAEEF-SECBINFHSA-N -1 1 343.361 -0.146 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N[C@@]34C[C@@H]3COC4(C)C)ccnc1-2 ZINC000862013941 584345441 /nfs/dbraw/zinc/34/54/41/584345441.db2.gz QWQAWFJVRKVIQY-ABKXIKBNSA-N -1 1 329.360 -0.036 20 0 EBADMM CN(C(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@H]1C[C@@H](C(N)=O)C1 ZINC000862125673 584347740 /nfs/dbraw/zinc/34/77/40/584347740.db2.gz JTIIOLOIRCGITR-DTORHVGOSA-N -1 1 330.348 -0.997 20 0 EBADMM CN(CCNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)CC(F)F ZINC000862197990 584349308 /nfs/dbraw/zinc/34/93/08/584349308.db2.gz HZAONZXATMOEIU-UHFFFAOYSA-N -1 1 340.334 -0.407 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@H]1CCCN1CC(F)F ZINC000862694031 584355868 /nfs/dbraw/zinc/35/58/68/584355868.db2.gz VQLDZYQCEQCHSX-SNVBAGLBSA-N -1 1 330.335 -0.228 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2CC(C)(C)CO2)c(=O)n(C)c1=O ZINC000872548649 584463181 /nfs/dbraw/zinc/46/31/81/584463181.db2.gz FYSOPHJGAKIEDG-VIFPVBQESA-N -1 1 331.394 -0.823 20 0 EBADMM CCO[N-]C(=O)CNC(=O)N(C)CCN1CC2(C1)CCOCC2 ZINC000875698324 584504755 /nfs/dbraw/zinc/50/47/55/584504755.db2.gz MTDUBGFYQJSSGL-UHFFFAOYSA-N -1 1 328.413 -0.192 20 0 EBADMM CN1CCOC[C@H]1CNC(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000875865002 584507743 /nfs/dbraw/zinc/50/77/43/584507743.db2.gz XLFIKTBDNKUDOW-SNVBAGLBSA-N -1 1 338.330 -0.363 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)CN2C(=O)CCC2=O)cc1 ZINC000024850351 584577087 /nfs/dbraw/zinc/57/70/87/584577087.db2.gz MFHQVHJFCBKOFB-UHFFFAOYSA-N -1 1 348.311 -0.356 20 0 EBADMM CC(C)N1CC[C@@H]([N-]S(=O)(=O)N=[S@](C)(=O)N(C)C)C1=O ZINC000881897301 584578911 /nfs/dbraw/zinc/57/89/11/584578911.db2.gz BTMWGRODLOTBGC-HOGDKLEQSA-N -1 1 326.444 -0.596 20 0 EBADMM COC[C@H](CS(=O)(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1)OC ZINC000882506129 584591502 /nfs/dbraw/zinc/59/15/02/584591502.db2.gz RMVUBPDEELDYNM-SECBINFHSA-N -1 1 348.343 -0.412 20 0 EBADMM CC[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)[C@H]1CCCOC1 ZINC000882758100 584595170 /nfs/dbraw/zinc/59/51/70/584595170.db2.gz VQSACVKNOMTURK-QWRGUYRKSA-N -1 1 345.421 -0.433 20 0 EBADMM O=c1n(CCCNc2nccnc2-c2nnn[n-]2)nc2n1CCCC2 ZINC000738382459 598346300 /nfs/dbraw/zinc/34/63/00/598346300.db2.gz RDVXCKYJINIMKD-UHFFFAOYSA-N -1 1 342.367 -0.147 20 0 EBADMM O=c1n(CCCNc2nccnc2-c2nn[n-]n2)nc2n1CCCC2 ZINC000738382459 598346302 /nfs/dbraw/zinc/34/63/02/598346302.db2.gz RDVXCKYJINIMKD-UHFFFAOYSA-N -1 1 342.367 -0.147 20 0 EBADMM Cc1nc(CN2CCC[C@H](N(C)CC(=O)NCC(=O)[O-])C2)no1 ZINC000738857170 599923116 /nfs/dbraw/zinc/92/31/16/599923116.db2.gz JZBXOIFKRIJAHT-NSHDSACASA-N -1 1 325.369 -0.525 20 0 EBADMM O=C([O-])CN(CCN1CCOCC1)C(=O)c1ccc2[nH]nnc2c1 ZINC000739785186 600267224 /nfs/dbraw/zinc/26/72/24/600267224.db2.gz ANMKGGQTNPMVCH-UHFFFAOYSA-N -1 1 333.348 -0.183 20 0 EBADMM O=C([O-])C1CCN(C(=O)CN2CC[C@@H](N3CCOCC3)C2)CC1 ZINC000320844181 601109272 /nfs/dbraw/zinc/10/92/72/601109272.db2.gz GPBVUUVFPVHWAQ-CQSZACIVSA-N -1 1 325.409 -0.284 20 0 EBADMM CC(=O)N1CCN(C(=O)CN2CCSC[C@H]2CC(=O)[O-])CC1 ZINC000387549703 601893789 /nfs/dbraw/zinc/89/37/89/601893789.db2.gz YVUYHUIBNZJETF-GFCCVEGCSA-N -1 1 329.422 -0.431 20 0 EBADMM O=C([O-])N1CCC[C@@H]1[C@H]1CCCCN1CC(=O)N1CCNC(=O)C1 ZINC000740731638 601930437 /nfs/dbraw/zinc/93/04/37/601930437.db2.gz TWVVOPAAMDDMLE-CHWSQXEVSA-N -1 1 338.408 -0.058 20 0 EBADMM CC[C@H](C)[C@@H](NC(=O)[O-])C(=O)N1CCN(C(=O)CN(C)C)CC1 ZINC000739229881 602191295 /nfs/dbraw/zinc/19/12/95/602191295.db2.gz INQLKBNMAORNLL-WCQYABFASA-N -1 1 328.413 -0.099 20 0 EBADMM CN1CCN(C)[C@@H](CNC(=O)NCC2CCN(C(=O)[O-])CC2)C1 ZINC000739734821 602587882 /nfs/dbraw/zinc/58/78/82/602587882.db2.gz NOEUXOZOXQSJDF-ZDUSSCGKSA-N -1 1 327.429 -0.079 20 0 EBADMM O=C([O-])NCCOCC(=O)N1CCCN(C[C@@H]2CCCO2)CC1 ZINC000740663044 602663578 /nfs/dbraw/zinc/66/35/78/602663578.db2.gz BTLMUWSXKFVDCY-ZDUSSCGKSA-N -1 1 329.397 -0.016 20 0 EBADMM CC(C)CN1CCO[C@@H](CNC(=O)[C@@H]2COCCN2C(=O)[O-])C1 ZINC000738823681 602830529 /nfs/dbraw/zinc/83/05/29/602830529.db2.gz HGRXWMGMKKGBFT-STQMWFEESA-N -1 1 329.397 -0.162 20 0 EBADMM CN1CCN(C)[C@H](CNC(=O)CN(C[C@H]2CCCO2)C(=O)[O-])C1 ZINC000739733546 602901216 /nfs/dbraw/zinc/90/12/16/602901216.db2.gz FABXXVBUPLZKLL-CHWSQXEVSA-N -1 1 328.413 -0.493 20 0 EBADMM C[C@@H]1CN(C(=O)CN(C)c2ncnc3[nH]cnc32)CCN1C(=O)[O-] ZINC000739122739 602944641 /nfs/dbraw/zinc/94/46/41/602944641.db2.gz DZHZAKYGIXJONT-SECBINFHSA-N -1 1 333.352 0.000 20 0 EBADMM C[C@H]1CN(C(=O)CN(C)c2ncnc3[nH]cnc32)CCN1C(=O)[O-] ZINC000739122741 602944842 /nfs/dbraw/zinc/94/48/42/602944842.db2.gz DZHZAKYGIXJONT-VIFPVBQESA-N -1 1 333.352 0.000 20 0 EBADMM CC(C)(CC(=O)N[C@@H]1CCN(CCN2CCOCC2)C1)NC(=O)[O-] ZINC000738733568 603052010 /nfs/dbraw/zinc/05/20/10/603052010.db2.gz XNOCBLXJQRJGMI-CYBMUJFWSA-N -1 1 342.440 -0.055 20 0 EBADMM Cc1nc(CN2CCN(C(=O)COCCNC(=O)[O-])CC2)n[nH]1 ZINC000740218207 603226906 /nfs/dbraw/zinc/22/69/06/603226906.db2.gz IGPIYZSZVYMGHF-UHFFFAOYSA-N -1 1 326.357 -0.958 20 0 EBADMM O=C([O-])NCCOCC(=O)N1CCN(CC2CCOCC2)CC1 ZINC000740669136 603241269 /nfs/dbraw/zinc/24/12/69/603241269.db2.gz YXBAHRRNUIFPCG-UHFFFAOYSA-N -1 1 329.397 -0.159 20 0 EBADMM CN1CC[C@@H](C(=O)OCC(=O)N[N-]C(=O)c2cccs2)CC1=O ZINC000044435388 649943035 /nfs/dbraw/zinc/94/30/35/649943035.db2.gz NFPMOFLCNHCHCN-SECBINFHSA-N -1 1 339.373 -0.079 20 0 EBADMM CN1C(=O)C[C@H]([N-]S(=O)(=O)c2cccc(F)c2[N+](=O)[O-])C1=O ZINC000317429691 649994764 /nfs/dbraw/zinc/99/47/64/649994764.db2.gz QBAVWUJKVISAKM-ZETCQYMHSA-N -1 1 331.281 -0.231 20 0 EBADMM CC(=O)N1CSC[C@H]1C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907476717 650083493 /nfs/dbraw/zinc/08/34/93/650083493.db2.gz ROWGSBONZNRDIR-IUCAKERBSA-N -1 1 328.423 -0.262 20 0 EBADMM Cn1ncc(S(=O)(=O)[N-][C@@]2(C)CCNC2=O)c1C(F)(F)F ZINC000907809566 650090780 /nfs/dbraw/zinc/09/07/80/650090780.db2.gz CIQSXPLNDSLVDA-VIFPVBQESA-N -1 1 326.300 -0.004 20 0 EBADMM COCCN(CCC(=O)[O-])C(=O)C(=O)N[C@@H]1CN(C2CC2)C[C@@H]1C ZINC000910490452 650110617 /nfs/dbraw/zinc/11/06/17/650110617.db2.gz URZPYMYZSLGWOL-WCQYABFASA-N -1 1 341.408 -0.465 20 0 EBADMM COCCN(CCC(=O)[O-])C(=O)C(=O)N[C@H]1CN(C2CC2)C[C@@H]1C ZINC000910490449 650110811 /nfs/dbraw/zinc/11/08/11/650110811.db2.gz URZPYMYZSLGWOL-AAEUAGOBSA-N -1 1 341.408 -0.465 20 0 EBADMM CN(C[C@H]1COc2ccccc2O1)C(=O)[C@@]1(C(=O)[O-])CNCCO1 ZINC000912021676 650199380 /nfs/dbraw/zinc/19/93/80/650199380.db2.gz GHYNLHOKJDFYGI-MEDUHNTESA-N -1 1 336.344 -0.272 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCN(C)[C@@H](CO)C1 ZINC000913548150 650238071 /nfs/dbraw/zinc/23/80/71/650238071.db2.gz FJUWNFCRNZEGDV-GFCCVEGCSA-N -1 1 333.392 -0.271 20 0 EBADMM C[C@H]1C[C@@H]([N-]S(=O)(=O)[C@@H]2COC[C@H]2O)C(=O)N1c1ccccc1 ZINC000914195052 650254855 /nfs/dbraw/zinc/25/48/55/650254855.db2.gz CWYAMCWNMXVTRX-IGHBBLSQSA-N -1 1 340.401 -0.141 20 0 EBADMM CC(=O)NCC(=O)NCC(=O)OCc1cc(=O)oc2cc([O-])ccc12 ZINC000919508457 650301670 /nfs/dbraw/zinc/30/16/70/650301670.db2.gz RZKUGHCZSBCSGT-UHFFFAOYSA-N -1 1 348.311 -0.206 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-]CC(=O)N2CCN(C)CC2)c1Cl ZINC000920539507 650313240 /nfs/dbraw/zinc/31/32/40/650313240.db2.gz KMQNPOYUJGRJEC-UHFFFAOYSA-N -1 1 335.817 -0.874 20 0 EBADMM CN1CCOC[C@]1(CO)C[N-]S(=O)(=O)c1ccc(F)nc1F ZINC000921338900 650317563 /nfs/dbraw/zinc/31/75/63/650317563.db2.gz SFKXDVNMNKBMNM-GFCCVEGCSA-N -1 1 337.348 -0.669 20 0 EBADMM CN1CCOC[C@]1(CO)C[N-]S(=O)(=O)c1cccc(F)c1F ZINC000921339296 650318146 /nfs/dbraw/zinc/31/81/46/650318146.db2.gz AXDTUUUTDUYYDG-CYBMUJFWSA-N -1 1 336.360 -0.064 20 0 EBADMM CO[C@]1(C[N-]S(=O)(=O)N=[S@](C)(=O)N(C)C)CCSC1 ZINC000921401866 650319714 /nfs/dbraw/zinc/31/97/14/650319714.db2.gz KHQSENFOORTAES-YYSFKGJASA-N -1 1 331.485 -0.083 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@H]2CN(C)CCO2)C1 ZINC000937001229 651529946 /nfs/dbraw/zinc/52/99/46/651529946.db2.gz KBKXIEKHJACLAI-GXTWGEPZSA-N -1 1 348.403 -0.209 20 0 EBADMM CN(C(=O)[C@H]1CCNC1=O)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216754 651684447 /nfs/dbraw/zinc/68/44/47/651684447.db2.gz LPCMMSRGCNLOBU-MNOVXSKESA-N -1 1 332.360 -0.404 20 0 EBADMM CN(C(=O)[C@@H]1CCN(C)C1=O)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216750 651684521 /nfs/dbraw/zinc/68/45/21/651684521.db2.gz LOQUXOVHLFONNQ-NWDGAFQWSA-N -1 1 346.387 -0.062 20 0 EBADMM CN(C(=O)Cn1cncn1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937216906 651685114 /nfs/dbraw/zinc/68/51/14/651685114.db2.gz OMFDVFVDORYTMW-NSHDSACASA-N -1 1 330.348 -0.248 20 0 EBADMM CN(C(=O)c1c[nH]c(=O)cn1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937219112 651685612 /nfs/dbraw/zinc/68/56/12/651685612.db2.gz CSEPEVNPPJOVIP-JTQLQIEISA-N -1 1 343.343 -0.143 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)[C@@H]1CCN(C(=O)C2CC2)C1 ZINC000936317903 651764654 /nfs/dbraw/zinc/76/46/54/651764654.db2.gz OPXBAFYUNNMGIV-SNVBAGLBSA-N -1 1 330.348 -0.500 20 0 EBADMM CC1CC(C(=O)N[C@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)C1 ZINC000937808489 651928384 /nfs/dbraw/zinc/92/83/84/651928384.db2.gz OTBXZCNIQCFOOU-BPCQOVAHSA-N -1 1 348.403 -0.310 20 0 EBADMM O=C(CN1CCOCC1)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937847136 651942976 /nfs/dbraw/zinc/94/29/76/651942976.db2.gz MPQUXUCHVUADGI-LBPRGKRZSA-N -1 1 334.376 -0.550 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)[C@@H]2CCNC(=O)C2)C1)c1ncccc1[O-] ZINC000937860068 651957170 /nfs/dbraw/zinc/95/71/70/651957170.db2.gz BLRBFTWUPVCQDC-MNOVXSKESA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(C[C@H]1CCNC1=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000938073652 652067322 /nfs/dbraw/zinc/06/73/22/652067322.db2.gz MJWARZQOJAPCHR-MNOVXSKESA-N -1 1 332.360 -0.356 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H](NC(=O)c2cc[nH]c2)C1 ZINC000938082607 652069593 /nfs/dbraw/zinc/06/95/93/652069593.db2.gz VBMRDRAKRYDMPM-LBPRGKRZSA-N -1 1 345.359 -0.714 20 0 EBADMM CN1CC[C@@H](CC(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)C1=O ZINC000938120290 652093545 /nfs/dbraw/zinc/09/35/45/652093545.db2.gz JDDKSFCYJBPHDI-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1[n-]c(CN2CC(N3CCN(C(=O)CC4CCC4)CC3)C2)nc1=O ZINC000941360014 652693224 /nfs/dbraw/zinc/69/32/24/652693224.db2.gz BVOKFVZLDQIOIS-UHFFFAOYSA-N -1 1 348.451 -0.373 20 0 EBADMM C[C@@H]1CN(Cc2ccnn2C)CC[C@@H]1NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC000944345951 652748635 /nfs/dbraw/zinc/74/86/35/652748635.db2.gz ILZUASHCUYCLNT-PWSUYJOCSA-N -1 1 349.395 -0.550 20 0 EBADMM CCCN1CC(N2CCN(C(=O)c3cnc([O-])n(C)c3=O)CC2)C1 ZINC000941513635 652780512 /nfs/dbraw/zinc/78/05/12/652780512.db2.gz LRGYXGPKGQZWBS-UHFFFAOYSA-N -1 1 335.408 -0.662 20 0 EBADMM Cc1ncc(C(=O)N(C)[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC000938942997 652781347 /nfs/dbraw/zinc/78/13/47/652781347.db2.gz PSZKIXSGVGZNCF-QMMMGPOBSA-N -1 1 347.335 -0.699 20 0 EBADMM CO[C@@H]1CN(C(=O)Cn2cccn2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941600884 652825771 /nfs/dbraw/zinc/82/57/71/652825771.db2.gz JPDRITWUQXCFPD-DGCLKSJQSA-N -1 1 345.359 -0.361 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ccn(C)n2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941604807 652827752 /nfs/dbraw/zinc/82/77/52/652827752.db2.gz MEIIFTQEUUOEAM-DGCLKSJQSA-N -1 1 345.359 -0.210 20 0 EBADMM CO[C@@H]1CN(C(=O)Cc2nnc[nH]2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941605039 652829185 /nfs/dbraw/zinc/82/91/85/652829185.db2.gz PONDVRUBMIMZAS-MWLCHTKSSA-N -1 1 346.347 -0.896 20 0 EBADMM CC(C)(O)CC(=O)N1CCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944560053 652858938 /nfs/dbraw/zinc/85/89/38/652858938.db2.gz HAFXRJFFQJFQNY-NSHDSACASA-N -1 1 337.376 -0.095 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)[C@H]2CCOC2)CCO1)c1ncccc1[O-] ZINC000944561299 652860554 /nfs/dbraw/zinc/86/05/54/652860554.db2.gz QKLFHSGGIWKTQU-RYUDHWBXSA-N -1 1 335.360 -0.219 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944561871 652861692 /nfs/dbraw/zinc/86/16/92/652861692.db2.gz VWYROBYOKUHBOD-JTQLQIEISA-N -1 1 346.347 -0.515 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944561871 652861695 /nfs/dbraw/zinc/86/16/95/652861695.db2.gz VWYROBYOKUHBOD-JTQLQIEISA-N -1 1 346.347 -0.515 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)CCn2cnnn2)C1 ZINC000939290724 652881218 /nfs/dbraw/zinc/88/12/18/652881218.db2.gz HZWVAYCAESNJBX-NSHDSACASA-N -1 1 345.363 -0.463 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)Cn1cccn1 ZINC000941819028 652921713 /nfs/dbraw/zinc/92/17/13/652921713.db2.gz JEKPSDWRDFJONE-VXGBXAGGSA-N -1 1 333.396 -0.668 20 0 EBADMM Cc1cc(=O)c(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)c[nH]1 ZINC000939457853 652939829 /nfs/dbraw/zinc/93/98/29/652939829.db2.gz LHVBXFDWZRPODN-LDYMZIIASA-N -1 1 332.364 -0.243 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1cncnc1 ZINC000941980039 653011688 /nfs/dbraw/zinc/01/16/88/653011688.db2.gz OMIAUJKUOGKWGX-JQWIXIFHSA-N -1 1 331.380 -0.461 20 0 EBADMM COCCn1cc(C(=O)N2C[C@@H](C)[C@H](NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC000939636626 653013919 /nfs/dbraw/zinc/01/39/19/653013919.db2.gz DIATZARJLHSSHN-ZYHUDNBSSA-N -1 1 349.395 -0.397 20 0 EBADMM C[C@H]1CN(Cc2nnn(C)n2)CC[C@@H]1NC(=O)c1ncccc1[O-] ZINC000941989357 653014084 /nfs/dbraw/zinc/01/40/84/653014084.db2.gz LLMSPSUQIPKMGJ-QWRGUYRKSA-N -1 1 331.380 -0.049 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000942018580 653022622 /nfs/dbraw/zinc/02/26/22/653022622.db2.gz SSKJVNNUPLPPCZ-IJLUTSLNSA-N -1 1 334.376 -0.700 20 0 EBADMM Cc1c[nH]nc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC000942029487 653024132 /nfs/dbraw/zinc/02/41/32/653024132.db2.gz MIAPGQPGTVSTQU-GHMZBOCLSA-N -1 1 333.396 -0.220 20 0 EBADMM Cc1nc(CC(=O)N[C@H]2CCN(Cc3n[nH]c(=O)[n-]3)C[C@@H]2C)n[nH]1 ZINC000942033855 653025230 /nfs/dbraw/zinc/02/52/30/653025230.db2.gz QEGMUHBLQKTXKC-WPRPVWTQSA-N -1 1 334.384 -0.494 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)nn1 ZINC000942043933 653026373 /nfs/dbraw/zinc/02/63/73/653026373.db2.gz GIOPLQRVZPPBKE-ZYHUDNBSSA-N -1 1 345.407 -0.153 20 0 EBADMM CN1CC[C@H](C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)C1=O ZINC000942221340 653061062 /nfs/dbraw/zinc/06/10/62/653061062.db2.gz MDMFAXMZMMKGGQ-GHMZBOCLSA-N -1 1 332.360 -0.404 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cnc[nH]c2=O)C1)c1ncccc1[O-] ZINC000940139166 653085617 /nfs/dbraw/zinc/08/56/17/653085617.db2.gz UCIUPHUNQIEGJM-SECBINFHSA-N -1 1 329.316 -0.073 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2CCC[C@@H]2NC(=O)Cc2nnc[nH]2)c1[O-] ZINC000946322552 653100775 /nfs/dbraw/zinc/10/07/75/653100775.db2.gz LWNHHDDQWCUZGM-UWVGGRQHSA-N -1 1 347.379 -0.201 20 0 EBADMM O=C(CN1CN=NC1=O)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000946520697 653139829 /nfs/dbraw/zinc/13/98/29/653139829.db2.gz TYIBDMXCUAJJIJ-UHFFFAOYSA-N -1 1 346.347 -0.495 20 0 EBADMM O=C(NC1CCN(C(=O)c2ncccc2[O-])CC1)[C@H]1CCC(=O)N1 ZINC000946532828 653144316 /nfs/dbraw/zinc/14/43/16/653144316.db2.gz DOSGLOOLFKOPIU-LLVKDONJSA-N -1 1 332.360 -0.213 20 0 EBADMM CN1CCO[C@@H](C(=O)NC2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC000946533413 653144631 /nfs/dbraw/zinc/14/46/31/653144631.db2.gz TWAKPCNJLWMXEA-CQSZACIVSA-N -1 1 348.403 -0.161 20 0 EBADMM O=C(NC1CCN(C(=O)c2ncccc2[O-])CC1)[C@H]1CNC(=O)N1 ZINC000946535778 653145546 /nfs/dbraw/zinc/14/55/46/653145546.db2.gz LGAVYMGEDAQIEW-SNVBAGLBSA-N -1 1 333.348 -0.811 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1c[nH]c(=O)n1C ZINC000942559769 653146520 /nfs/dbraw/zinc/14/65/20/653146520.db2.gz GOWKVAAAYZKDDZ-UWVGGRQHSA-N -1 1 349.395 -0.812 20 0 EBADMM CCC(=O)N1CCO[C@H](CNC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC000944680683 653149410 /nfs/dbraw/zinc/14/94/10/653149410.db2.gz ZASPJXIOQLHAKT-MRVPVSSYSA-N -1 1 340.336 -0.736 20 0 EBADMM NC(=O)c1cc(C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)c[nH]1 ZINC000940555215 653214165 /nfs/dbraw/zinc/21/41/65/653214165.db2.gz PNMZNMJUBWKFRE-SNVBAGLBSA-N -1 1 343.343 -0.141 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@H]3CCOC3)C(C)(C)C2)nc1=O ZINC000940611380 653243737 /nfs/dbraw/zinc/24/37/37/653243737.db2.gz YBCOSYMRYLAZPM-RYUDHWBXSA-N -1 1 337.424 -0.138 20 0 EBADMM Cn1nccc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000940619905 653246826 /nfs/dbraw/zinc/24/68/26/653246826.db2.gz CVLGCGHIVTTZDC-GFCCVEGCSA-N -1 1 347.423 -0.128 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ncc[nH]3)C(C)(C)C2)nc1=O ZINC000940730918 653269970 /nfs/dbraw/zinc/26/99/70/653269970.db2.gz BUUPOCNQRCDGDA-JTQLQIEISA-N -1 1 333.396 -0.138 20 0 EBADMM Cc1cnc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H](C)C2)cn1 ZINC000947017270 653291283 /nfs/dbraw/zinc/29/12/83/653291283.db2.gz GFJANPLXQLGDRR-RYUDHWBXSA-N -1 1 345.407 -0.010 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2ccc(=O)[nH]n2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947020920 653292218 /nfs/dbraw/zinc/29/22/18/653292218.db2.gz HYPFBSPJQQQXAD-NXEZZACHSA-N -1 1 347.379 -0.613 20 0 EBADMM O=C(c1c[nH]c(=O)cn1)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940832468 653298068 /nfs/dbraw/zinc/29/80/68/653298068.db2.gz JDVSOGZMMBNRKV-UHFFFAOYSA-N -1 1 343.343 -0.141 20 0 EBADMM Cn1cncc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000940870636 653308158 /nfs/dbraw/zinc/30/81/58/653308158.db2.gz RSNFBRHRBVTVAZ-LBPRGKRZSA-N -1 1 347.423 -0.128 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H](C)C2)n(C)n1 ZINC000947103023 653314016 /nfs/dbraw/zinc/31/40/16/653314016.db2.gz GXVQHCXYBDRDPK-VXGBXAGGSA-N -1 1 347.423 -0.067 20 0 EBADMM Cc1cnn(CC(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H](C)C2)c1 ZINC000947108637 653315147 /nfs/dbraw/zinc/31/51/47/653315147.db2.gz JOZDJJVAKWHXKU-QWHCGFSZSA-N -1 1 347.423 -0.217 20 0 EBADMM Cc1ccnn1CC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1C ZINC000943229841 653319113 /nfs/dbraw/zinc/31/91/13/653319113.db2.gz FDIBJUDCFNEUJY-WCQYABFASA-N -1 1 347.423 -0.360 20 0 EBADMM O=C(Cn1ncnn1)N[C@@H]1CCCCN(C(=O)c2ncccc2[O-])C1 ZINC000943267875 653332901 /nfs/dbraw/zinc/33/29/01/653332901.db2.gz FVHANZDPLAXSLR-LLVKDONJSA-N -1 1 345.363 -0.415 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H](C)C2)cnn1 ZINC000947248801 653347240 /nfs/dbraw/zinc/34/72/40/653347240.db2.gz LTXRNHUHZPVDMR-DGCLKSJQSA-N -1 1 345.407 -0.010 20 0 EBADMM Cc1nnc(CC(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H](C)C2)o1 ZINC000947276558 653353424 /nfs/dbraw/zinc/35/34/24/653353424.db2.gz JWULSPNDJSRVSP-ONGXEEELSA-N -1 1 349.395 -0.488 20 0 EBADMM CCn1ncc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H](C)C2)n1 ZINC000947285984 653356855 /nfs/dbraw/zinc/35/68/55/653356855.db2.gz IMDOCSJKOKLUCV-QWRGUYRKSA-N -1 1 348.411 -0.497 20 0 EBADMM Cc1c[nH]nc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H](C)C1 ZINC000947291543 653358411 /nfs/dbraw/zinc/35/84/11/653358411.db2.gz RNUYSOQSXQMICM-WDEREUQCSA-N -1 1 333.396 -0.077 20 0 EBADMM CCC(=O)N1CCCC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000943381080 653370582 /nfs/dbraw/zinc/37/05/82/653370582.db2.gz KEAXMWCWGCJOCM-JTQLQIEISA-N -1 1 332.364 -0.062 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2cn[nH]c(=O)c2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947420696 653397255 /nfs/dbraw/zinc/39/72/55/653397255.db2.gz GNNJBCTUPIUKNZ-ONGXEEELSA-N -1 1 347.379 -0.613 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC[C@@H]1NC(=O)C(N)=O ZINC000945328750 653411204 /nfs/dbraw/zinc/41/12/04/653411204.db2.gz OTGOMGLSHKRUDZ-KCJUWKMLSA-N -1 1 347.375 -0.488 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC[C@H]1NC(=O)C(N)=O ZINC000945328753 653411572 /nfs/dbraw/zinc/41/15/72/653411572.db2.gz OTGOMGLSHKRUDZ-LDYMZIIASA-N -1 1 347.375 -0.488 20 0 EBADMM C[C@@H]1CN(C(=O)Cn2ncnn2)CC[C@H]1NC(=O)c1ncccc1[O-] ZINC000945333933 653413246 /nfs/dbraw/zinc/41/32/46/653413246.db2.gz CGHXGFDHSCOMLX-GHMZBOCLSA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@H]1C[C@H]1C(=O)NC1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000947498112 653415086 /nfs/dbraw/zinc/41/50/86/653415086.db2.gz SFZPDTGKAZDCMF-GXSJLCMTSA-N -1 1 344.375 -0.206 20 0 EBADMM Cc1nnccc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H](C)C1 ZINC000947502358 653416706 /nfs/dbraw/zinc/41/67/06/653416706.db2.gz NLEDWMHBYXMHPD-CMPLNLGQSA-N -1 1 345.407 -0.010 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2COCCO2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000947647011 653446952 /nfs/dbraw/zinc/44/69/52/653446952.db2.gz NUIOFMSRRRRLDG-NQBHXWOUSA-N -1 1 335.360 -0.221 20 0 EBADMM C[C@@H]1OCCO[C@@H]1C(=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000941245537 653466136 /nfs/dbraw/zinc/46/61/36/653466136.db2.gz GQKFVYJHBPAKLX-WDMOLILDSA-N -1 1 335.360 -0.078 20 0 EBADMM C[C@H]1CN(C(=O)C(N)=O)CC[C@H]1NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000945495078 653476351 /nfs/dbraw/zinc/47/63/51/653476351.db2.gz OPUBFRCPYJVSEK-GZMMTYOYSA-N -1 1 347.375 -0.488 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1cn[nH]c1 ZINC000941274501 653479113 /nfs/dbraw/zinc/47/91/13/653479113.db2.gz NZKUXJGUCVDOQE-ZYHUDNBSSA-N -1 1 331.332 -0.220 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CCN(C2CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC000941292643 653486635 /nfs/dbraw/zinc/48/66/35/653486635.db2.gz KCTXIBAMCDNCTI-AAEUAGOBSA-N -1 1 334.424 -0.907 20 0 EBADMM CC1(C(=O)N2CCCN(C(=O)CCn3cc[n-]c(=O)c3=O)CC2)CC1 ZINC000941294060 653487273 /nfs/dbraw/zinc/48/72/73/653487273.db2.gz OTHOVUCHLQDRPY-UHFFFAOYSA-N -1 1 348.403 -0.212 20 0 EBADMM CO[C@H](C)C(=O)N1CCC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000943894574 653488338 /nfs/dbraw/zinc/48/83/38/653488338.db2.gz AEMQBRLPLQBDQM-NXEZZACHSA-N -1 1 348.363 -0.827 20 0 EBADMM CC(=O)N1CC(C(=O)N2CCC[C@@H]2CNC(=O)c2ncccc2[O-])C1 ZINC000943985109 653502578 /nfs/dbraw/zinc/50/25/78/653502578.db2.gz UPVKCASOJSFAKB-CYBMUJFWSA-N -1 1 346.387 -0.014 20 0 EBADMM CC(=O)N1CC[C@@H]2[C@H](CCN2C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000945820545 653509878 /nfs/dbraw/zinc/50/98/78/653509878.db2.gz KTMCQTKEMMTWPT-ZYHUDNBSSA-N -1 1 330.348 -0.500 20 0 EBADMM CC(=O)N1CC[C@H]2[C@@H](CCN2C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000945819519 653509990 /nfs/dbraw/zinc/50/99/90/653509990.db2.gz GKLHNWACQWZHHF-STQMWFEESA-N -1 1 334.376 -0.604 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2ccc(=O)[nH]c2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947998728 653511027 /nfs/dbraw/zinc/51/10/27/653511027.db2.gz NWZPSCFPGPZBOQ-ZYHUDNBSSA-N -1 1 346.391 -0.008 20 0 EBADMM NC(=O)CC(=O)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])CC[C@@H]21 ZINC000945934052 653519848 /nfs/dbraw/zinc/51/98/48/653519848.db2.gz XZXKGNVBHVZFHE-MNOVXSKESA-N -1 1 332.360 -0.274 20 0 EBADMM CC(=O)N1CC[C@@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)CC[C@@H]21 ZINC000945986495 653528152 /nfs/dbraw/zinc/52/81/52/653528152.db2.gz BOLLGKUANUMRMM-OLZOCXBDSA-N -1 1 334.376 -0.604 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H]2[C@H](CCN2C(C)=O)C1 ZINC000945994010 653529392 /nfs/dbraw/zinc/52/93/92/653529392.db2.gz SXSPNVCWXPSETJ-ZJUUUORDSA-N -1 1 336.348 -0.021 20 0 EBADMM Cn1nnc(C(=O)NC[C@H]2CCC[C@H]2NC(=O)c2ncccc2[O-])n1 ZINC000946191553 653548025 /nfs/dbraw/zinc/54/80/25/653548025.db2.gz FHMIHLMDXVLJIB-NXEZZACHSA-N -1 1 345.363 -0.361 20 0 EBADMM O=C(Cc1nnc[nH]1)N1CCC(NC(=O)c2ncccc2[O-])CC1 ZINC000948493694 653550311 /nfs/dbraw/zinc/55/03/11/653550311.db2.gz CXMHWQXXSVIELR-UHFFFAOYSA-N -1 1 330.348 -0.131 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@@H]1COC(=O)N1 ZINC000948629854 653564301 /nfs/dbraw/zinc/56/43/01/653564301.db2.gz FFBCLTJMOFRGNO-BBBLOLIVSA-N -1 1 334.332 -0.528 20 0 EBADMM CC[C@@H]1OCCC[C@@H]1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000948703918 653567601 /nfs/dbraw/zinc/56/76/01/653567601.db2.gz XCLIXZYZUBREJC-STQMWFEESA-N -1 1 337.424 -0.042 20 0 EBADMM COc1cc(C)cnc1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000948801090 653573342 /nfs/dbraw/zinc/57/33/42/653573342.db2.gz IOOBEYUSQLSGHR-UHFFFAOYSA-N -1 1 346.391 -0.222 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cnc(C4CC4)o3)CC2)nc1=O ZINC000948812635 653573539 /nfs/dbraw/zinc/57/35/39/653573539.db2.gz OXORBCSCSMJANE-UHFFFAOYSA-N -1 1 332.364 -0.068 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)Cn3cc(C4CC4)cn3)CC2)nc1=O ZINC000948988304 653586559 /nfs/dbraw/zinc/58/65/59/653586559.db2.gz RJTQZAQPAGMZER-UHFFFAOYSA-N -1 1 345.407 -0.473 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)N1CCOC2(CCN(Cc3nc(=O)n(C)[n-]3)CC2)C1 ZINC000949015246 653588545 /nfs/dbraw/zinc/58/85/45/653588545.db2.gz MCQHCMLXVQBTBH-OLZOCXBDSA-N -1 1 349.435 -0.042 20 0 EBADMM CCc1nc([C@H](C)N2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)CC2)n[nH]1 ZINC000949111219 653595556 /nfs/dbraw/zinc/59/55/56/653595556.db2.gz IYYVPEDEAWWFHO-QMMMGPOBSA-N -1 1 348.367 -0.518 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H]1CNC(=O)C1CC=CC1 ZINC000949187391 653825666 /nfs/dbraw/zinc/82/56/66/653825666.db2.gz DPGJSBOIIWSZPA-CYBMUJFWSA-N -1 1 346.387 -0.390 20 0 EBADMM CCOc1cc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)on1 ZINC000949326690 653864416 /nfs/dbraw/zinc/86/44/16/653864416.db2.gz IZSJNUFNKULAKA-UHFFFAOYSA-N -1 1 336.352 -0.547 20 0 EBADMM CN1CCOC[C@@H]1C(=O)NC[C@@H]1CCN1C(=O)c1ncccc1[O-] ZINC000949478283 653902447 /nfs/dbraw/zinc/90/24/47/653902447.db2.gz SCJRKYMUYHVKGO-NWDGAFQWSA-N -1 1 334.376 -0.552 20 0 EBADMM CCN1CCOC[C@H]1C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949538523 653922953 /nfs/dbraw/zinc/92/29/53/653922953.db2.gz LAYOSFJEHAYJAU-STQMWFEESA-N -1 1 348.403 -0.161 20 0 EBADMM O=C(CCc1cn[nH]n1)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949538536 653923170 /nfs/dbraw/zinc/92/31/70/653923170.db2.gz LOPWVPLUOUNONT-LLVKDONJSA-N -1 1 330.348 -0.131 20 0 EBADMM O=C(CCc1c[nH]nn1)N1CC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000949538536 653923172 /nfs/dbraw/zinc/92/31/72/653923172.db2.gz LOPWVPLUOUNONT-LLVKDONJSA-N -1 1 330.348 -0.131 20 0 EBADMM CN1C[C@H](C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])NC1=O ZINC000949541650 653923707 /nfs/dbraw/zinc/92/37/07/653923707.db2.gz MQGWYNUKZZSUHR-NXEZZACHSA-N -1 1 333.348 -0.859 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)[C@@H]1CCCNC1=O)c1ncccc1[O-] ZINC000949539134 653923716 /nfs/dbraw/zinc/92/37/16/653923716.db2.gz YPAFWQAVHWSOGH-GHMZBOCLSA-N -1 1 332.360 -0.356 20 0 EBADMM C[C@@]1(C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])CCNC1=O ZINC000949539039 653924074 /nfs/dbraw/zinc/92/40/74/653924074.db2.gz WSHOCJHSVUKPIH-QLJPJBMISA-N -1 1 332.360 -0.356 20 0 EBADMM CN1CC[C@H](C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])CC1=O ZINC000949541885 653924407 /nfs/dbraw/zinc/92/44/07/653924407.db2.gz RAUBDZCTEQVQHX-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM CCN(C(=O)[C@@H]1CCCO[C@@H]1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000949962423 654011082 /nfs/dbraw/zinc/01/10/82/654011082.db2.gz JKCAJZOWURYSNF-DGCLKSJQSA-N -1 1 337.424 -0.044 20 0 EBADMM CCN(C(=O)c1cnnc(C)c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000949970612 654011528 /nfs/dbraw/zinc/01/15/28/654011528.db2.gz MKACDTFMLYVCDM-UHFFFAOYSA-N -1 1 331.380 -0.447 20 0 EBADMM CCN(C(=O)[C@H]1CCCOCC1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000949985857 654013304 /nfs/dbraw/zinc/01/33/04/654013304.db2.gz MTEZDZGNZOSMEI-LBPRGKRZSA-N -1 1 337.424 -0.042 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1cn[nH]n1)c1cnc(C2CC2)[n-]c1=O ZINC000950033293 654022175 /nfs/dbraw/zinc/02/21/75/654022175.db2.gz KUHAGDWYRDYATF-VIFPVBQESA-N -1 1 343.347 -0.178 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N[C@@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000951362094 654103775 /nfs/dbraw/zinc/10/37/75/654103775.db2.gz JGQPHLZQCADYDT-XQQFMLRXSA-N -1 1 348.403 -0.310 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)[C@H]3CCCc4nc[nH]c43)CC2)nc1=O ZINC000950433417 654131621 /nfs/dbraw/zinc/13/16/21/654131621.db2.gz DPHQRTWWXOVDEZ-NSHDSACASA-N -1 1 345.407 -0.404 20 0 EBADMM CCc1c(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)nnn1CC ZINC000950462130 654140638 /nfs/dbraw/zinc/14/06/38/654140638.db2.gz PHDOAFLCQXOXQE-UHFFFAOYSA-N -1 1 348.411 -0.760 20 0 EBADMM Cn1c(C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])c[nH]c1=O ZINC000951465523 654145501 /nfs/dbraw/zinc/14/55/01/654145501.db2.gz QQDJSSUBZWTHJO-SECBINFHSA-N -1 1 331.332 -0.129 20 0 EBADMM CN1CCOC[C@@H]1C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000950494249 654147315 /nfs/dbraw/zinc/14/73/15/654147315.db2.gz NMCCBVIMLMCYPB-CHWSQXEVSA-N -1 1 348.403 -0.161 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)[C@H]3Cc4ccncc4C3)CC2)nc1=O ZINC000950517887 654159767 /nfs/dbraw/zinc/15/97/67/654159767.db2.gz CFTDLROLXDLJOM-ZDUSSCGKSA-N -1 1 342.403 -0.437 20 0 EBADMM CCN(C(=O)c1cnc2n1CCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950536561 654170448 /nfs/dbraw/zinc/17/04/48/654170448.db2.gz GLKXPNOPWQGAMI-UHFFFAOYSA-N -1 1 345.407 -0.402 20 0 EBADMM CN1CCOC[C@H]1C(=O)N[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950542819 654173518 /nfs/dbraw/zinc/17/35/18/654173518.db2.gz KEQXBEMOMVWTOQ-STQMWFEESA-N -1 1 348.403 -0.161 20 0 EBADMM CN1C[C@@H](C(=O)N[C@H]2CCCN(C(=O)c3ncccc3[O-])C2)NC1=O ZINC000950546132 654174817 /nfs/dbraw/zinc/17/48/17/654174817.db2.gz HJOGIMPMMWUZRO-QWRGUYRKSA-N -1 1 347.375 -0.468 20 0 EBADMM Cn1nnc(C(=O)N[C@H]2CCCN(C(=O)c3ncccc3[O-])C2)n1 ZINC000950547207 654174877 /nfs/dbraw/zinc/17/48/77/654174877.db2.gz ZNOHTVPAEGRKBK-VIFPVBQESA-N -1 1 331.336 -0.655 20 0 EBADMM Cn1nncc1C(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000950652093 654209528 /nfs/dbraw/zinc/20/95/28/654209528.db2.gz LDHNMIWDVXDNES-SNVBAGLBSA-N -1 1 330.348 -0.050 20 0 EBADMM CCN(C(=O)c1ccnc(OC)c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950708222 654232988 /nfs/dbraw/zinc/23/29/88/654232988.db2.gz QZLRDIFALBHILG-UHFFFAOYSA-N -1 1 346.391 -0.142 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(Cc2ccon2)CC1 ZINC000951777213 654279025 /nfs/dbraw/zinc/27/90/25/654279025.db2.gz BVHIHWUDICKCAY-UHFFFAOYSA-N -1 1 333.348 -0.741 20 0 EBADMM CCN(C(=O)c1cn(C)ccc1=O)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000950844978 654285181 /nfs/dbraw/zinc/28/51/81/654285181.db2.gz TVVKUMYWMUGLLL-UHFFFAOYSA-N -1 1 346.391 -0.846 20 0 EBADMM O=C(N[C@@H]1CCCN(C(=O)c2c[nH]c(=O)cn2)C1)c1ncccc1[O-] ZINC000951831575 654300613 /nfs/dbraw/zinc/30/06/13/654300613.db2.gz XZBVBPRCCBARLN-SNVBAGLBSA-N -1 1 343.343 -0.095 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CCCN(C(=O)C(N)=O)C1 ZINC000951861430 654322771 /nfs/dbraw/zinc/32/27/71/654322771.db2.gz YQSQOFBMLBJYAZ-SNVBAGLBSA-N -1 1 349.391 -0.180 20 0 EBADMM CN1CC[C@H](C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])CC1=O ZINC000950953401 654324794 /nfs/dbraw/zinc/32/47/94/654324794.db2.gz ZBBWOSAREDDUMA-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(NC[C@@H]1CCN1C(=O)c1ccc2oc(=O)nc-2[n-]1)c1cnn[nH]1 ZINC000950995251 654339685 /nfs/dbraw/zinc/33/96/85/654339685.db2.gz MDWBDSSTIFIFGP-ZETCQYMHSA-N -1 1 343.303 -0.309 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cc(C4CC4)no3)CC2)nc1=O ZINC000951007582 654348581 /nfs/dbraw/zinc/34/85/81/654348581.db2.gz LGNSRWVECQSHTD-UHFFFAOYSA-N -1 1 332.364 -0.068 20 0 EBADMM CN1C[C@@H](C(=O)N2CCC[C@@H](NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000951070797 654369686 /nfs/dbraw/zinc/36/96/86/654369686.db2.gz VCINIKVKJYXSDF-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(Cc1nnc[nH]1)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000952086714 654391651 /nfs/dbraw/zinc/39/16/51/654391651.db2.gz SQBGFXJSLRDSBE-SNVBAGLBSA-N -1 1 330.348 -0.131 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3ccnc(C4CC4)n3)CC2)nc1=O ZINC000951122831 654393039 /nfs/dbraw/zinc/39/30/39/654393039.db2.gz NKNOCOROWGEYTM-UHFFFAOYSA-N -1 1 343.391 -0.266 20 0 EBADMM CC[C@H](OC)C(=O)N1CC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000951222872 654424673 /nfs/dbraw/zinc/42/46/73/654424673.db2.gz UPPPDQUAAFRXMS-KOLCDFICSA-N -1 1 348.363 -0.827 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)CCc3ncccn3)CC2)nc1=O ZINC000952334265 654441991 /nfs/dbraw/zinc/44/19/91/654441991.db2.gz FPSDCXLPZXUVAN-UHFFFAOYSA-N -1 1 331.380 -0.825 20 0 EBADMM C[C@@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1NC(=O)C1CCC1 ZINC000952369535 654449956 /nfs/dbraw/zinc/44/99/56/654449956.db2.gz IXIGUZQVNCVVIP-DGCLKSJQSA-N -1 1 348.403 -0.310 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H]2CCN(C(C)=O)[C@@H]2C1 ZINC000953372181 654567956 /nfs/dbraw/zinc/56/79/56/654567956.db2.gz HXRSZRTYFYMWSV-VHSXEESVSA-N -1 1 336.348 -0.021 20 0 EBADMM CN(C(=O)CC1CC1)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000953496890 654592328 /nfs/dbraw/zinc/59/23/28/654592328.db2.gz FKAWAWLYTTUDKT-UHFFFAOYSA-N -1 1 334.376 -0.604 20 0 EBADMM CN(C(=O)C1CC1)C1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000953681188 654609330 /nfs/dbraw/zinc/60/93/30/654609330.db2.gz JCBMBSKZSSHQDY-UHFFFAOYSA-N -1 1 348.403 -0.214 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)cnn1 ZINC000965957490 724548106 /nfs/dbraw/zinc/54/81/06/724548106.db2.gz DBFYPILIIOUKMC-MFKMUULPSA-N -1 1 345.407 -0.153 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)Cc1ccnn1C ZINC000965962306 724549187 /nfs/dbraw/zinc/54/91/87/724549187.db2.gz AXGGQNGHVJQJFL-YPMHNXCESA-N -1 1 347.423 -0.589 20 0 EBADMM C[C@H]1CN(C(=O)C2CCC2)C[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000966029150 724560609 /nfs/dbraw/zinc/56/06/09/724560609.db2.gz LQDKBKJHFGLZNT-JOYOIKCWSA-N -1 1 344.375 -0.206 20 0 EBADMM Cc1nc(S(=O)(=O)[N-][C@H]2CNC(=O)C2)c(Br)n1C ZINC000381204114 719413568 /nfs/dbraw/zinc/41/35/68/719413568.db2.gz QKOSPBJXCMOXIJ-ZCFIWIBFSA-N -1 1 337.199 -0.342 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CCN(C(=O)C2CC2)CC1 ZINC000954095941 719557627 /nfs/dbraw/zinc/55/76/27/719557627.db2.gz YBJBMUWQMKSHMA-UHFFFAOYSA-N -1 1 344.375 -0.109 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)CN2CN=NC2=O)C1 ZINC000954124215 719569661 /nfs/dbraw/zinc/56/96/61/719569661.db2.gz RBHUFHROCOZKGT-UHFFFAOYSA-N -1 1 332.320 -0.933 20 0 EBADMM CC[C@]1(C(=O)N2CC(N(C)C(=O)c3ncccc3[O-])C2)CCNC1=O ZINC000954127771 719570473 /nfs/dbraw/zinc/57/04/73/719570473.db2.gz GHEAFAYMHOFWHK-KRWDZBQOSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@]2(C)CCC(=O)N2)C1 ZINC000954127958 719570822 /nfs/dbraw/zinc/57/08/22/719570822.db2.gz MTZSZBCXIQYUJG-INIZCTEOSA-N -1 1 332.360 -0.261 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CCCC(=O)N2)C1 ZINC000954127782 719570857 /nfs/dbraw/zinc/57/08/57/719570857.db2.gz GNWIYBVBZPFGCB-LLVKDONJSA-N -1 1 332.360 -0.261 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)CCc2cnn(C)n2)C1 ZINC000954128219 719571341 /nfs/dbraw/zinc/57/13/41/719571341.db2.gz XRWLKLNSSZQNPZ-UHFFFAOYSA-N -1 1 344.375 -0.169 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CC[C@@H]2C(N)=O)C1 ZINC000954128246 719571766 /nfs/dbraw/zinc/57/17/66/719571766.db2.gz YLWUPKZHZCVIBA-QWRGUYRKSA-N -1 1 332.360 -0.419 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)c2cc3n(n2)CCO3)C1 ZINC000954128226 719571783 /nfs/dbraw/zinc/57/17/83/719571783.db2.gz XWFYXCVMFCQFON-UHFFFAOYSA-N -1 1 343.343 -0.027 20 0 EBADMM CN(C(=O)c1cc(=O)n(C)o1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954156131 719584007 /nfs/dbraw/zinc/58/40/07/719584007.db2.gz XKSDFNLZXOBRSX-UHFFFAOYSA-N -1 1 332.316 -0.325 20 0 EBADMM C[C@@H]1CN(C(=O)CN2CN=NC2=O)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966333693 724615540 /nfs/dbraw/zinc/61/55/40/724615540.db2.gz GMKIMLLSQJKDCC-ZJUUUORDSA-N -1 1 346.347 -0.639 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnn(C)c2N)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966349257 724619637 /nfs/dbraw/zinc/61/96/37/724619637.db2.gz MSPSBSWZMYCCAT-KOLCDFICSA-N -1 1 344.375 -0.007 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)Cn1cncn1 ZINC000966350431 724620094 /nfs/dbraw/zinc/62/00/94/724620094.db2.gz QNRYKKSOWBRFND-WDEREUQCSA-N -1 1 330.348 -0.344 20 0 EBADMM CN(C(=O)[C@H]1CCC(=O)NC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954716567 719857774 /nfs/dbraw/zinc/85/77/74/719857774.db2.gz NACLFCRBIYCWBJ-JTQLQIEISA-N -1 1 332.360 -0.404 20 0 EBADMM C[C@H]1CN(C(=O)c2cnnn2C)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966376312 724629248 /nfs/dbraw/zinc/62/92/48/724629248.db2.gz LNQIZEHPYLIBHZ-VHSXEESVSA-N -1 1 330.348 -0.194 20 0 EBADMM CC[C@@H](F)C(=O)N1CC(N(C)C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C1 ZINC000954827986 719923594 /nfs/dbraw/zinc/92/35/94/719923594.db2.gz KHOYTRRDJNVMOJ-MRVPVSSYSA-N -1 1 342.327 -0.073 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C1CN(C(=O)C2(C)CC2)C1 ZINC000954828204 719924455 /nfs/dbraw/zinc/92/44/55/719924455.db2.gz LKUGLPBJEGYSEX-UHFFFAOYSA-N -1 1 336.348 -0.021 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C1CN(C(=O)[C@H]2C[C@H]2C)C1 ZINC000954829751 719925091 /nfs/dbraw/zinc/92/50/91/719925091.db2.gz ZQDGFSKJLNMCHV-APPZFPTMSA-N -1 1 336.348 -0.165 20 0 EBADMM CCc1cc(C(=O)N2CC(N(C)C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC000954876815 719944848 /nfs/dbraw/zinc/94/48/48/719944848.db2.gz IIDFUTFDUQDVBL-UHFFFAOYSA-N -1 1 347.335 -0.835 20 0 EBADMM CN(C(=O)[C@H]1CCC(=O)N1C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000955066699 720034725 /nfs/dbraw/zinc/03/47/25/720034725.db2.gz GMRCNQULASHTQY-LLVKDONJSA-N -1 1 332.360 -0.309 20 0 EBADMM C[C@H]1C[C@@H]1CC(=O)N(C)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000955218666 720095147 /nfs/dbraw/zinc/09/51/47/720095147.db2.gz ZPCFWIBWKWZAFF-NWDGAFQWSA-N -1 1 348.403 -0.358 20 0 EBADMM C[C@@H](C(=O)N(C)C1CN(C(=O)c2ncccc2[O-])C1)[C@H]1CCC(=O)N1 ZINC000955410864 720169624 /nfs/dbraw/zinc/16/96/24/720169624.db2.gz PEWRRRDCVRENQV-ZYHUDNBSSA-N -1 1 346.387 -0.015 20 0 EBADMM COCC(=O)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC000955482355 720180785 /nfs/dbraw/zinc/18/07/85/720180785.db2.gz GPOMHSIJXUSLPE-ZJUUUORDSA-N -1 1 348.363 -0.827 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CCN1C(=O)c1ccn[nH]1 ZINC000955575327 720194374 /nfs/dbraw/zinc/19/43/74/720194374.db2.gz YLBRKPKFZVUTNR-HTQZYQBOSA-N -1 1 347.335 -0.571 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CCN1C(=O)c1ccn[nH]1 ZINC000955575330 720194581 /nfs/dbraw/zinc/19/45/81/720194581.db2.gz YLBRKPKFZVUTNR-JGVFFNPUSA-N -1 1 347.335 -0.571 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)C2CC2)CCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000955744503 720243014 /nfs/dbraw/zinc/24/30/14/720243014.db2.gz CRMCFKBYXLQFCI-MWLCHTKSSA-N -1 1 344.375 -0.063 20 0 EBADMM O=C(NC[C@@H]1CCCN1C(=O)c1ncccc1[O-])[C@@H]1CCC(=O)N1 ZINC000955979882 720315467 /nfs/dbraw/zinc/31/54/67/720315467.db2.gz USOHOBYQQUPYCI-QWRGUYRKSA-N -1 1 332.360 -0.213 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NC[C@@H]1CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000956108797 720364679 /nfs/dbraw/zinc/36/46/79/720364679.db2.gz YVKHRQAJNCJIKD-DCAQKATOSA-N -1 1 344.375 -0.206 20 0 EBADMM COCC(=O)N1CCN([C@@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC000956575627 720446962 /nfs/dbraw/zinc/44/69/62/720446962.db2.gz JLWUWUSSPGYOLB-CYBMUJFWSA-N -1 1 348.403 -0.208 20 0 EBADMM CC(C)C(=O)N[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H]1C ZINC000966589002 724690883 /nfs/dbraw/zinc/69/08/83/724690883.db2.gz BZVFTLNOAZNPIJ-RYUDHWBXSA-N -1 1 336.392 -0.454 20 0 EBADMM C[C@H]1CN(C(=O)c2nnn(C)n2)C[C@@H]1NC(=O)c1ncccc1[O-] ZINC000966703729 724723897 /nfs/dbraw/zinc/72/38/97/724723897.db2.gz UGDWUVGYYRIODF-IUCAKERBSA-N -1 1 331.336 -0.799 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H]1CN(C(=O)C(N)=O)C[C@@H]1C ZINC000966766579 724747144 /nfs/dbraw/zinc/74/71/44/724747144.db2.gz VYPPMPOUOPVHQD-WPRPVWTQSA-N -1 1 349.391 -0.324 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1C ZINC000966885230 724775258 /nfs/dbraw/zinc/77/52/58/724775258.db2.gz YZHKHZCHBGRQEB-SCZZXKLOSA-N -1 1 349.395 -0.514 20 0 EBADMM CC(=O)N(C)C[C@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000967067288 724793915 /nfs/dbraw/zinc/79/39/15/724793915.db2.gz HRCCKMTURKXEPT-CYBMUJFWSA-N -1 1 336.392 -0.356 20 0 EBADMM CC(=O)N1CC(C(=O)N2CC[C@](C)(NC(=O)c3ncccc3[O-])C2)C1 ZINC000956849062 722118997 /nfs/dbraw/zinc/11/89/97/722118997.db2.gz KHUZWMFAPMHIEQ-KRWDZBQOSA-N -1 1 346.387 -0.014 20 0 EBADMM CN1CCOC[C@H]1C(=O)N1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC000956849293 722119505 /nfs/dbraw/zinc/11/95/05/722119505.db2.gz PKZDPKZCFKDQEX-YVEFUNNKSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@@]1(NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN(C(=O)CC2CC2)C1 ZINC000957219755 722176215 /nfs/dbraw/zinc/17/62/15/722176215.db2.gz LUTASHPIKUGFHG-MRXNPFEDSA-N -1 1 344.375 -0.062 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CC[C@](C)(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000957219427 722176282 /nfs/dbraw/zinc/17/62/82/722176282.db2.gz FFAFKELNZTVRFT-PUTJDCORSA-N -1 1 344.375 -0.206 20 0 EBADMM Cc1cc(C(=O)N2CC[C@](C)(NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC000957235362 722178021 /nfs/dbraw/zinc/17/80/21/722178021.db2.gz BFVHFQXYMYJNQS-AWEZNQCLSA-N -1 1 347.335 -0.651 20 0 EBADMM Cc1cnc(CN2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC2)o1 ZINC000957314629 722193041 /nfs/dbraw/zinc/19/30/41/722193041.db2.gz MUNQPTVBTNDAQY-UHFFFAOYSA-N -1 1 343.347 -0.328 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(Cc2ncccn2)CC1 ZINC000957319405 722195344 /nfs/dbraw/zinc/19/53/44/722195344.db2.gz LXOCBLJHNLYIRD-UHFFFAOYSA-N -1 1 346.347 -0.356 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N[C@]1(C)CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000957327146 722197200 /nfs/dbraw/zinc/19/72/00/722197200.db2.gz UITWTNYLDQATLH-NVGCLXPQSA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@]1(NC(=O)[C@@H]2CNC(=O)N2)CCN(C(=O)c2ncccc2[O-])C1 ZINC000957456226 722213736 /nfs/dbraw/zinc/21/37/36/722213736.db2.gz SIWVEFIZSUCAOA-VFZGTOFNSA-N -1 1 333.348 -0.811 20 0 EBADMM Cc1nc([C@H](C)N2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)CC2)n[nH]1 ZINC000957473652 722215504 /nfs/dbraw/zinc/21/55/04/722215504.db2.gz CDDAXUVAAUVSIJ-QMMMGPOBSA-N -1 1 336.356 -0.979 20 0 EBADMM CO[C@H](C)C(=O)N1CC(N2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC000967211280 724836375 /nfs/dbraw/zinc/83/63/75/724836375.db2.gz SILSFMNVYTVLOG-GFCCVEGCSA-N -1 1 348.403 -0.209 20 0 EBADMM Cc1ccc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)o1 ZINC000957850411 722252322 /nfs/dbraw/zinc/25/23/22/722252322.db2.gz BTZSMJATJVVGGW-MNOVXSKESA-N -1 1 335.364 -0.767 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)CCc3cncc(F)c3)CC2)nc1=O ZINC000957936885 722272385 /nfs/dbraw/zinc/27/23/85/722272385.db2.gz CFOUSDGTULBSHX-UHFFFAOYSA-N -1 1 348.382 -0.081 20 0 EBADMM Cc1nnc(CN2C[C@H](O)[C@H](CNC(=O)c3ncccc3[O-])C2)o1 ZINC000957989359 722283223 /nfs/dbraw/zinc/28/32/23/722283223.db2.gz CDTJCNHAGOPVGD-PWSUYJOCSA-N -1 1 333.348 -0.299 20 0 EBADMM CCc1nc(CN2C[C@H](O)[C@@H](CNC(=O)c3ncccc3[O-])C2)no1 ZINC000957989390 722283227 /nfs/dbraw/zinc/28/32/27/722283227.db2.gz CLEQQOVXSZFFRV-JQWIXIFHSA-N -1 1 347.375 -0.045 20 0 EBADMM CCn1ncc(CN2C[C@@H](O)[C@@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC000957994907 722285198 /nfs/dbraw/zinc/28/51/98/722285198.db2.gz JWYZBUIGYAYGIU-SMDDNHRTSA-N -1 1 346.391 -0.379 20 0 EBADMM CCc1[nH]ccc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC000958047104 722296711 /nfs/dbraw/zinc/29/67/11/722296711.db2.gz PLHRRNWNIAOJLJ-MFKMUULPSA-N -1 1 348.407 -0.778 20 0 EBADMM Cn1[n-]c(CN2C[C@H](O)[C@H](CNC(=O)C3C=CC=CC=C3)C2)nc1=O ZINC000958111524 722305428 /nfs/dbraw/zinc/30/54/28/722305428.db2.gz OOSCKYTXBQPTOE-KGLIPLIRSA-N -1 1 345.403 -0.684 20 0 EBADMM Cc1oncc1C[N@H+]1C[C@H](O)[C@@H](CNC(=O)c2cnc[nH]c2=O)C1 ZINC000958168052 722317156 /nfs/dbraw/zinc/31/71/56/722317156.db2.gz SGVNEGHEGGSWAA-AAEUAGOBSA-N -1 1 333.348 -0.299 20 0 EBADMM Cc1cc(C[N@H+]2C[C@@H](CNC(=O)c3cnc[nH]c3=O)[C@H](O)C2)on1 ZINC000958168027 722317225 /nfs/dbraw/zinc/31/72/25/722317225.db2.gz RUDOIFFTCJZLBS-ZWNOBZJWSA-N -1 1 333.348 -0.299 20 0 EBADMM O=C(NC[C@H]1C[N@@H+](Cc2cncc(F)c2)C[C@@H]1O)c1cnc[nH]c1=O ZINC000958167829 722317417 /nfs/dbraw/zinc/31/74/17/722317417.db2.gz QMZBDYGOXUJVMM-FZMZJTMJSA-N -1 1 347.350 -0.061 20 0 EBADMM Cc1cc(C)c(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)[nH]1 ZINC000958187771 722321247 /nfs/dbraw/zinc/32/12/47/722321247.db2.gz WETBKZFRJKFSOD-NEPJUHHUSA-N -1 1 348.407 -0.724 20 0 EBADMM Cc1ncc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)s1 ZINC000958365776 722352840 /nfs/dbraw/zinc/35/28/40/722352840.db2.gz RFJGTFWUXKTMJX-GDGBQDQQSA-N -1 1 334.405 -0.266 20 0 EBADMM CCc1ccoc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC000958375464 722354394 /nfs/dbraw/zinc/35/43/94/722354394.db2.gz UKWZYSYECLJHTA-RYUDHWBXSA-N -1 1 349.391 -0.514 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)ccn1 ZINC000958507220 722382840 /nfs/dbraw/zinc/38/28/40/722382840.db2.gz MZWCMNAVYSEBOV-OLZOCXBDSA-N -1 1 346.391 -0.965 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2[C@H]3CN(CC(=O)N(C)C4CC4)C[C@H]32)c1[O-] ZINC000958560459 722391060 /nfs/dbraw/zinc/39/10/60/722391060.db2.gz WRAIZAHTVMENJW-PJXYFTJBSA-N -1 1 333.392 -0.295 20 0 EBADMM Cc1c[nH]nc1C(=O)N1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000958830203 722603921 /nfs/dbraw/zinc/60/39/21/722603921.db2.gz KCMPKRWEBJBKSR-JQWIXIFHSA-N -1 1 345.359 -0.224 20 0 EBADMM C[C@@H]1CCO[C@@H]1C(=O)N1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC000958830604 722604509 /nfs/dbraw/zinc/60/45/09/722604509.db2.gz BLHCRGIZFFBFCW-MPXAEWJHSA-N -1 1 349.387 -0.144 20 0 EBADMM CN(C(=O)C1=CCOCC1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958830887 722604725 /nfs/dbraw/zinc/60/47/25/722604725.db2.gz OLNAAUZWNSLJSL-JSGCOSHPSA-N -1 1 347.371 -0.222 20 0 EBADMM CN(C(=O)c1cccnn1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958938404 722664873 /nfs/dbraw/zinc/66/48/73/722664873.db2.gz KAZWKKYYGPHKHI-AAEUAGOBSA-N -1 1 343.343 -0.465 20 0 EBADMM O=C(c1ncccc1[O-])N1CC2(C1)CCN(C(=O)[C@@H]1CCNC1=O)C2 ZINC001018962305 728756463 /nfs/dbraw/zinc/75/64/63/728756463.db2.gz NVWOZBUNLAONMH-LLVKDONJSA-N -1 1 344.371 -0.402 20 0 EBADMM O=C(Cn1ncnn1)N1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001018962335 728756531 /nfs/dbraw/zinc/75/65/31/728756531.db2.gz PCEFWKWOLXHWFT-UHFFFAOYSA-N -1 1 343.347 -0.852 20 0 EBADMM C[C@H]1CN(C(=O)Cn2nccn2)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000959489715 722730166 /nfs/dbraw/zinc/73/01/66/722730166.db2.gz VTNGGQPRPXVMNS-NWDGAFQWSA-N -1 1 344.375 -0.097 20 0 EBADMM C[C@@H]1CN(C(=O)C2(C(N)=O)CC2)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC000959570260 722744201 /nfs/dbraw/zinc/74/42/01/722744201.db2.gz KPRSRGLBAUXRBW-GHMZBOCLSA-N -1 1 346.387 -0.123 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)nn1 ZINC000959845076 722767209 /nfs/dbraw/zinc/76/72/09/722767209.db2.gz ICMMJYWKYIBMDR-IWIIMEHWSA-N -1 1 329.364 -0.933 20 0 EBADMM O=C(c1cc[n+]([O-])cc1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959861479 722769006 /nfs/dbraw/zinc/76/90/06/722769006.db2.gz AFGVCMFFXVSBRG-LBPRGKRZSA-N -1 1 332.364 -0.072 20 0 EBADMM O=C(C1CS(=O)(=O)C1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000960019609 722783773 /nfs/dbraw/zinc/78/37/73/722783773.db2.gz SOXFAACGWFWXJY-JTQLQIEISA-N -1 1 343.409 -0.974 20 0 EBADMM O=C(C[C@@H]1CCNC1=O)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000960029277 722784610 /nfs/dbraw/zinc/78/46/10/722784610.db2.gz QBZNPBWCYIEOEU-QWRGUYRKSA-N -1 1 336.396 -0.493 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@H]2OCCN(C(=O)[C@H]3CCOC3)[C@H]2C1 ZINC000960112589 722794827 /nfs/dbraw/zinc/79/48/27/722794827.db2.gz TUCAJOCOEURNRF-SGMGOOAPSA-N -1 1 347.371 -0.125 20 0 EBADMM O=C(CCn1cnnn1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000960175397 722803446 /nfs/dbraw/zinc/80/34/46/722803446.db2.gz ZEYLUXTWHCIZIA-JTQLQIEISA-N -1 1 335.372 -0.942 20 0 EBADMM CCn1cc(C(=O)N2CCCC[C@H]2CNCc2n[nH]c(=O)[n-]2)nn1 ZINC000960177439 722803649 /nfs/dbraw/zinc/80/36/49/722803649.db2.gz RVGFSXLKQVEGKS-JTQLQIEISA-N -1 1 334.384 -0.094 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)Cc1cnn(C)c1 ZINC000960482849 722832888 /nfs/dbraw/zinc/83/28/88/722832888.db2.gz ZRMJZDMRCUURIO-CYBMUJFWSA-N -1 1 347.423 -0.493 20 0 EBADMM Cc1nnc(CC(=O)N2CCC[C@H]2CN(C)Cc2nc(=O)n(C)[n-]2)o1 ZINC000960618331 722845529 /nfs/dbraw/zinc/84/55/29/722845529.db2.gz PJZOSUSVSBYIHW-NSHDSACASA-N -1 1 349.395 -0.535 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)c1n[nH]cc1F ZINC000960858599 722873642 /nfs/dbraw/zinc/87/36/42/722873642.db2.gz IMSMYCIQHVKHLO-VIFPVBQESA-N -1 1 337.359 -0.293 20 0 EBADMM Cc1ccnn1CC(=O)N1CCC[C@@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC000960904992 722878307 /nfs/dbraw/zinc/87/83/07/722878307.db2.gz URQPHCLFZSHHNW-CYBMUJFWSA-N -1 1 347.423 -0.264 20 0 EBADMM COc1cc(OC)nc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001019045660 728781085 /nfs/dbraw/zinc/78/10/85/728781085.db2.gz FYJNZGIAGAQDRN-QMMMGPOBSA-N -1 1 349.351 -0.678 20 0 EBADMM CCc1ncoc1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000961711801 723061760 /nfs/dbraw/zinc/06/17/60/723061760.db2.gz JPDREJHLUNAHAP-GDGBQDQQSA-N -1 1 332.364 -0.481 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1cnsn1 ZINC000961716237 723062564 /nfs/dbraw/zinc/06/25/64/723062564.db2.gz YJVRMHPFQBTKOZ-SCZZXKLOSA-N -1 1 335.345 -0.746 20 0 EBADMM O=C(Cn1cc(C2CC2)cn1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019062218 728787498 /nfs/dbraw/zinc/78/74/98/728787498.db2.gz KVOCNYSXCXZMRB-LBPRGKRZSA-N -1 1 331.380 -0.025 20 0 EBADMM Cc1ncoc1CN[C@H]1CCN(C(=O)CCc2n[nH]c(=O)[n-]c2=O)C1 ZINC001019082270 728791317 /nfs/dbraw/zinc/79/13/17/728791317.db2.gz VTXRNSWHQCYXHK-JTQLQIEISA-N -1 1 348.363 -0.097 20 0 EBADMM CCn1ncnc1CNCC1CC(NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000962039749 723155873 /nfs/dbraw/zinc/15/58/73/723155873.db2.gz NTDMGZIBNGJODR-UHFFFAOYSA-N -1 1 348.367 -0.808 20 0 EBADMM COc1cccc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)n1 ZINC000962194784 723191642 /nfs/dbraw/zinc/19/16/42/723191642.db2.gz PKYCLXRDVVGHNA-MSRIBSCDSA-N -1 1 344.375 -0.628 20 0 EBADMM CC[C@H](C(N)=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC000962276318 723213755 /nfs/dbraw/zinc/21/37/55/723213755.db2.gz BWMXAVDHYHGOLE-CCCLYZFWSA-N -1 1 345.359 -0.148 20 0 EBADMM Cc1nocc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cn1c(=O)[n-][nH]c1=O ZINC000962410822 723243602 /nfs/dbraw/zinc/24/36/02/723243602.db2.gz WWTQYFCLKJOSSB-IAZYJMLFSA-N -1 1 334.336 -0.768 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccn2)C[C@@H]1O)c1ncccc1[O-] ZINC000962434269 723251226 /nfs/dbraw/zinc/25/12/26/723251226.db2.gz FYJVVBMWPXBXBY-KOLCDFICSA-N -1 1 329.316 -0.808 20 0 EBADMM Cn1cc(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)cn1 ZINC000962433952 723251389 /nfs/dbraw/zinc/25/13/89/723251389.db2.gz BEFAPGIWHQYKNO-PWSUYJOCSA-N -1 1 331.332 -0.864 20 0 EBADMM C[C@@]1(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)CCCOC1 ZINC000962435260 723251966 /nfs/dbraw/zinc/25/19/66/723251966.db2.gz NNRNQTKWHDLGSY-BTJLNZGRSA-N -1 1 349.387 -0.095 20 0 EBADMM O=C(CCc1ncc[nH]1)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962435333 723252226 /nfs/dbraw/zinc/25/22/26/723252226.db2.gz PQFKNRJQKNZAKT-PWSUYJOCSA-N -1 1 345.359 -0.555 20 0 EBADMM O=C(Cc1ccoc1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000962445029 723255326 /nfs/dbraw/zinc/25/53/26/723255326.db2.gz KZRRUCRLAYGXPQ-YPMHNXCESA-N -1 1 331.328 -0.076 20 0 EBADMM CCn1nccc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000962458315 723257933 /nfs/dbraw/zinc/25/79/33/723257933.db2.gz NDZYOCNMLATLHI-MFKMUULPSA-N -1 1 345.359 -0.381 20 0 EBADMM Cc1cnc(CNCC2CC(NC(=O)Cn3c(=O)[n-][nH]c3=O)C2)o1 ZINC000962590710 723290896 /nfs/dbraw/zinc/29/08/96/723290896.db2.gz DSNLKBGELBCTQS-UHFFFAOYSA-N -1 1 336.352 -0.330 20 0 EBADMM C[C@H](C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)C1CCOCC1 ZINC000963136193 723383611 /nfs/dbraw/zinc/38/36/11/723383611.db2.gz IQLWUOPKIGIOPO-MUYACECFSA-N -1 1 349.435 -0.283 20 0 EBADMM O=C(c1cn[nH]n1)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001019176403 728816966 /nfs/dbraw/zinc/81/69/66/728816966.db2.gz UBTJGAOGVSUWPV-UHFFFAOYSA-N -1 1 328.332 -0.106 20 0 EBADMM CCC(=O)N1CC[C@@H]2[C@@H]1CCCN2C(=O)CCn1cc[n-]c(=O)c1=O ZINC000963417970 723420432 /nfs/dbraw/zinc/42/04/32/723420432.db2.gz WWEFZJXHNVAQOD-QWHCGFSZSA-N -1 1 348.403 -0.071 20 0 EBADMM CO[C@@H](C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1)c1cnn(C)c1 ZINC001019195657 728824045 /nfs/dbraw/zinc/82/40/45/728824045.db2.gz BKCYCALAUIGMOX-CMPLNLGQSA-N -1 1 335.368 -0.678 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC2(C1)CCN(C(=O)C1CC1)C2 ZINC001019206213 728825863 /nfs/dbraw/zinc/82/58/63/728825863.db2.gz YCSYFKWUFUPSPP-UHFFFAOYSA-N -1 1 348.359 -0.019 20 0 EBADMM Cc1cc(CN[C@H]2CCN(C(=O)c3cnc([O-])n(C)c3=O)C2)nn1C ZINC001019227720 728830899 /nfs/dbraw/zinc/83/08/99/728830899.db2.gz PLNLTEWHEKOLOI-NSHDSACASA-N -1 1 346.391 -0.468 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1ncccc1[O-])c1cnsn1 ZINC000964091343 723796101 /nfs/dbraw/zinc/79/61/01/723796101.db2.gz DYZCRCKHUKLNLR-SECBINFHSA-N -1 1 349.372 -0.090 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)[C@H]1CCC(=O)N1)c1ncccc1[O-] ZINC000964153290 723839786 /nfs/dbraw/zinc/83/97/86/723839786.db2.gz BTWDVRBAXRPKNN-WDEREUQCSA-N -1 1 348.359 -0.977 20 0 EBADMM O=C(NC[C@@H]1COCCN1C(=O)c1nc[nH]n1)c1ncccc1[O-] ZINC000964154136 723840207 /nfs/dbraw/zinc/84/02/07/723840207.db2.gz JOXWMBRCNJCVGT-SECBINFHSA-N -1 1 332.320 -0.824 20 0 EBADMM Cn1ncc(C(=O)N2CCOC[C@H]2CNC(=O)c2ncccc2[O-])n1 ZINC000964156655 723842526 /nfs/dbraw/zinc/84/25/26/723842526.db2.gz WENWLZJJLGNUQU-SNVBAGLBSA-N -1 1 346.347 -0.813 20 0 EBADMM CC(C)C(=O)N1CCOC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000964268770 723929501 /nfs/dbraw/zinc/92/95/01/723929501.db2.gz ZSUDOMUWSMPIJB-SNVBAGLBSA-N -1 1 348.363 -0.969 20 0 EBADMM O=C(NC[C@H]1COCCN1C(=O)c1ncccc1[O-])c1n[nH]cc1F ZINC000964345551 723989163 /nfs/dbraw/zinc/98/91/63/723989163.db2.gz AEQHJMAYRULRGN-VIFPVBQESA-N -1 1 349.322 -0.080 20 0 EBADMM CCC(=O)N[C@@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000964639005 724046247 /nfs/dbraw/zinc/04/62/47/724046247.db2.gz KYTYGXHRSCOSDG-GFCCVEGCSA-N -1 1 336.392 -0.166 20 0 EBADMM O=C(N[C@@H]1CCCN(C(=O)[C@H]2CNC(=O)N2)CC1)c1ncccc1[O-] ZINC000964855422 724066630 /nfs/dbraw/zinc/06/66/30/724066630.db2.gz OJTZLFTZVBZXOG-GHMZBOCLSA-N -1 1 347.375 -0.421 20 0 EBADMM Cc1c[nH]c(CC(N)=O)c1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019414635 728881027 /nfs/dbraw/zinc/88/10/27/728881027.db2.gz RNTKCUQACXZZGT-VIFPVBQESA-N -1 1 347.379 -0.821 20 0 EBADMM Cc1ncncc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1C ZINC000965347009 724192114 /nfs/dbraw/zinc/19/21/14/724192114.db2.gz MCGPUCANALYCQR-ZWNOBZJWSA-N -1 1 345.407 -0.153 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1ccnn1C ZINC000965525664 724284226 /nfs/dbraw/zinc/28/42/26/724284226.db2.gz LMSQXRXHJNREDY-MNOVXSKESA-N -1 1 333.396 -0.518 20 0 EBADMM NC(=O)CC(=O)N1CC2(C1)CC[C@H](CNC(=O)c1ncccc1[O-])O2 ZINC000965536387 724288301 /nfs/dbraw/zinc/28/83/01/724288301.db2.gz IJWJJSNJQHPGSK-SNVBAGLBSA-N -1 1 348.359 -0.848 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)Cc1ccon1 ZINC000965558347 724297963 /nfs/dbraw/zinc/29/79/63/724297963.db2.gz MTFBHDJQCGSDIH-PWSUYJOCSA-N -1 1 334.380 -0.334 20 0 EBADMM O=C(N[C@@H]1COC2(CN(C(=O)c3cn[nH]c3)C2)C1)c1ncccc1[O-] ZINC000965682810 724363687 /nfs/dbraw/zinc/36/36/87/724363687.db2.gz MFKDKBFBVJBBRA-NSHDSACASA-N -1 1 343.343 -0.076 20 0 EBADMM NC(=O)CC(=O)N1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2CC1 ZINC000967330402 724864739 /nfs/dbraw/zinc/86/47/39/724864739.db2.gz ZKXHAOVKVMBTEV-TXEJJXNPSA-N -1 1 346.387 -0.027 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC000967903521 724928324 /nfs/dbraw/zinc/92/83/24/724928324.db2.gz CBJGKQZSWTUTEE-NSHDSACASA-N -1 1 346.387 -0.062 20 0 EBADMM Cc1ncc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)n1C ZINC000968015003 724946314 /nfs/dbraw/zinc/94/63/14/724946314.db2.gz GVRANLRUKIQMOO-JQWIXIFHSA-N -1 1 347.423 -0.209 20 0 EBADMM CCN(C(=O)[C@@H]1CC(=O)N(C)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000968048486 724951725 /nfs/dbraw/zinc/95/17/25/724951725.db2.gz WCQOJNYWLAGCPI-LLVKDONJSA-N -1 1 346.387 -0.062 20 0 EBADMM CCN(C(=O)c1cnc([O-])n(C)c1=O)C1CN(C(=O)c2ccn[nH]2)C1 ZINC000968214491 724982078 /nfs/dbraw/zinc/98/20/78/724982078.db2.gz DEHHLNXNGVZQFA-UHFFFAOYSA-N -1 1 346.347 -0.804 20 0 EBADMM Cc1nccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@H]2C)n1 ZINC000968664307 725098151 /nfs/dbraw/zinc/09/81/51/725098151.db2.gz FJGUZJGXZUXPRJ-ZWNOBZJWSA-N -1 1 345.407 -0.153 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2cc(C3CC3)on2)C[C@@H]1O)c1cnn[nH]1 ZINC000968704814 725106350 /nfs/dbraw/zinc/10/63/50/725106350.db2.gz YUHXUUMQMQEPML-MNOVXSKESA-N -1 1 332.320 -0.715 20 0 EBADMM O=C(c1ncccc1[O-])N1[C@@H]2CC[C@H]1CN(C(=O)[C@@H]1CCNC1=O)C2 ZINC000968886096 725125727 /nfs/dbraw/zinc/12/57/27/725125727.db2.gz FAHKLZJLSXCQAO-GRYCIOLGSA-N -1 1 344.371 -0.261 20 0 EBADMM O=C(c1cnn2ccncc12)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000968926313 725129384 /nfs/dbraw/zinc/12/93/84/725129384.db2.gz ABDJKGFWSNNSPY-SECBINFHSA-N -1 1 328.336 -0.443 20 0 EBADMM Cn1cnc2cncc(C(=O)N3CC[C@@H](NCc4n[nH]c(=O)[n-]4)C3)c21 ZINC000968967009 725136212 /nfs/dbraw/zinc/13/62/12/725136212.db2.gz HMFTZXZIYHYWHO-SECBINFHSA-N -1 1 342.363 -0.204 20 0 EBADMM Cc1cc(CN[C@@H]2CCN(C(=O)CCc3n[nH]c(=O)[n-]c3=O)C2)on1 ZINC000969184194 725159356 /nfs/dbraw/zinc/15/93/56/725159356.db2.gz CRNLHDLGYJTWNI-SNVBAGLBSA-N -1 1 348.363 -0.097 20 0 EBADMM Cc1ncc(CN[C@@H]2CCN(C(=O)CCc3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC000969184633 725159413 /nfs/dbraw/zinc/15/94/13/725159413.db2.gz PJQBPSVBNFRHQJ-SNVBAGLBSA-N -1 1 348.363 -0.097 20 0 EBADMM Cc1nc(C)c(CN[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC000969212015 725162162 /nfs/dbraw/zinc/16/21/62/725162162.db2.gz RMDWYBCODZLQBA-SECBINFHSA-N -1 1 334.336 -0.108 20 0 EBADMM O=C(Cc1ccn[nH]1)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc[nH]c1=O ZINC000969254439 725166412 /nfs/dbraw/zinc/16/64/12/725166412.db2.gz QPOMDJWYQBIURL-TXEJJXNPSA-N -1 1 342.359 -0.037 20 0 EBADMM CCCC(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)CCn1cc[n-]c(=O)c1=O ZINC000969395679 725176051 /nfs/dbraw/zinc/17/60/51/725176051.db2.gz QZIDJURDUPNDKD-BETUJISGSA-N -1 1 348.403 -0.071 20 0 EBADMM CCc1cc(CC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)n(C)n1 ZINC000969421962 725179068 /nfs/dbraw/zinc/17/90/68/725179068.db2.gz ZHKVSAURWBMGSM-LLVKDONJSA-N -1 1 333.396 -0.261 20 0 EBADMM Cc1n[nH]cc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000969439861 725180738 /nfs/dbraw/zinc/18/07/38/725180738.db2.gz JXWGNZXIRLJBAS-PWSUYJOCSA-N -1 1 331.332 -0.566 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN([C@H]2CCC(=O)NC2=O)C1 ZINC000969542197 725191290 /nfs/dbraw/zinc/19/12/90/725191290.db2.gz FNHXJPIVGPXBLZ-ONGXEEELSA-N -1 1 332.360 -0.358 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN([C@H]2CCC(=O)NC2=O)C1 ZINC000969542193 725191382 /nfs/dbraw/zinc/19/13/82/725191382.db2.gz FNHXJPIVGPXBLZ-KOLCDFICSA-N -1 1 332.360 -0.358 20 0 EBADMM Cc1ncc(CN[C@@H]2CCN(C(=O)c3cnc([O-])n(C)c3=O)C2)o1 ZINC000969553724 725192411 /nfs/dbraw/zinc/19/24/11/725192411.db2.gz XYHQVCCYSKAWMN-SNVBAGLBSA-N -1 1 333.348 -0.213 20 0 EBADMM C[C@H](NC(=O)[C@H]1CCCOCC1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969585249 725194760 /nfs/dbraw/zinc/19/47/60/725194760.db2.gz RWEMZUNKHBJBGX-RYUDHWBXSA-N -1 1 337.424 -0.138 20 0 EBADMM C[C@@H](NC(=O)c1cn2c(n1)CCC2)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969695792 725204039 /nfs/dbraw/zinc/20/40/39/725204039.db2.gz IMPCLKHRMFNKOA-SNVBAGLBSA-N -1 1 345.407 -0.499 20 0 EBADMM O=C([C@@H]1CCCc2nn[nH]c21)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969740405 725208793 /nfs/dbraw/zinc/20/87/93/725208793.db2.gz LAPIDPFQKRDYQS-RKDXNWHRSA-N -1 1 332.368 -0.561 20 0 EBADMM Cn1cnc2ccc(C(=O)N3CC[C@@H](NCc4n[nH]c(=O)[n-]4)C3)nc21 ZINC000969939823 725230167 /nfs/dbraw/zinc/23/01/67/725230167.db2.gz NJNDFZVRGUMADA-SECBINFHSA-N -1 1 342.363 -0.204 20 0 EBADMM O=C(Cc1ncc[nH]1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000970079438 725248375 /nfs/dbraw/zinc/24/83/75/725248375.db2.gz MXEAUWUPUIXQSZ-KOLCDFICSA-N -1 1 331.332 -0.946 20 0 EBADMM COc1ccc(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC000970099559 725250792 /nfs/dbraw/zinc/25/07/92/725250792.db2.gz CXHMNXXUEJBREK-SNVBAGLBSA-N -1 1 346.391 -0.238 20 0 EBADMM C[C@H](NC(=O)c1cnc([O-])n(C)c1=O)C1CN(CCn2cccn2)C1 ZINC000970262315 725269883 /nfs/dbraw/zinc/26/98/83/725269883.db2.gz RAEOILSWGPNCPE-NSHDSACASA-N -1 1 346.391 -0.567 20 0 EBADMM C[C@@H](NC(=O)c1cnc([O-])n(C)c1=O)C1CN(CCn2cccn2)C1 ZINC000970262313 725270003 /nfs/dbraw/zinc/27/00/03/725270003.db2.gz RAEOILSWGPNCPE-LLVKDONJSA-N -1 1 346.391 -0.567 20 0 EBADMM Cc1cnn(C)c1C(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970298228 725275523 /nfs/dbraw/zinc/27/55/23/725275523.db2.gz QLQQVNVLIZYYSD-JTQLQIEISA-N -1 1 333.396 -0.599 20 0 EBADMM Cc1nnc(CN[C@@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)o1 ZINC000970475152 725295011 /nfs/dbraw/zinc/29/50/11/725295011.db2.gz NJLIGVOIXROWFH-SECBINFHSA-N -1 1 344.335 -0.887 20 0 EBADMM CCc1oncc1C(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970515708 725296978 /nfs/dbraw/zinc/29/69/78/725296978.db2.gz KLGNMSQLOSXKCV-VIFPVBQESA-N -1 1 334.380 -0.091 20 0 EBADMM CC(=O)N1CC[C@@](C)(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001019618864 728942665 /nfs/dbraw/zinc/94/26/65/728942665.db2.gz QNYHEWLBPPJRNQ-XHDPSFHLSA-N -1 1 336.396 -0.541 20 0 EBADMM NC(=O)c1ccnc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC000970901436 725339561 /nfs/dbraw/zinc/33/95/61/725339561.db2.gz NORRBQRHXVDPHL-SECBINFHSA-N -1 1 331.336 -0.992 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)CC2OCCCO2)C1 ZINC000971403393 725363462 /nfs/dbraw/zinc/36/34/62/725363462.db2.gz HYQKPKFSWYVIIO-LLVKDONJSA-N -1 1 339.396 -0.706 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)C2CCC(O)CC2)C1 ZINC000971437833 725365969 /nfs/dbraw/zinc/36/59/69/725365969.db2.gz BCUMQBLDZPJBKB-OTTFEQOBSA-N -1 1 337.424 -0.308 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)c2cnc3n2CCC3)C1 ZINC000971703357 725431844 /nfs/dbraw/zinc/43/18/44/725431844.db2.gz FOBLLALMLAZWEK-LLVKDONJSA-N -1 1 345.407 -0.402 20 0 EBADMM O=C(Cn1cncn1)N1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000971900405 725446942 /nfs/dbraw/zinc/44/69/42/725446942.db2.gz RZDISLBNNDPHND-VXGBXAGGSA-N -1 1 342.359 -0.248 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@H]2CCN(C(=O)[C@@H]3CCNC3=O)C[C@H]21 ZINC000971902595 725447354 /nfs/dbraw/zinc/44/73/54/725447354.db2.gz QXZXECORAHQBFK-IJLUTSLNSA-N -1 1 344.371 -0.404 20 0 EBADMM COc1nccc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000971919313 725448543 /nfs/dbraw/zinc/44/85/43/725448543.db2.gz IWZSWZNHJBAUST-SNVBAGLBSA-N -1 1 347.379 -0.747 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@@H]1CCN(C(=O)Cc2ccc(=O)[nH]c2)C1 ZINC000971958127 725451073 /nfs/dbraw/zinc/45/10/73/725451073.db2.gz RREFZUUPBRYZAZ-GFCCVEGCSA-N -1 1 346.391 -0.516 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)[C@@H]2OC[C@@H]3CCC[C@@H]32)C1 ZINC000971966389 725451463 /nfs/dbraw/zinc/45/14/63/725451463.db2.gz NOVCYLWBOSLULO-PWNZVWSESA-N -1 1 349.435 -0.044 20 0 EBADMM CC[C@@H]1OCC[C@H]1C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000971985107 725452385 /nfs/dbraw/zinc/45/23/85/725452385.db2.gz MNFJRSQECQTSKQ-UPJWGTAASA-N -1 1 337.424 -0.044 20 0 EBADMM CO[C@H](C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1)C1CCC1 ZINC000972025891 725456445 /nfs/dbraw/zinc/45/64/45/725456445.db2.gz WJYGCKFYQSKCGU-OCCSQVGLSA-N -1 1 337.424 -0.044 20 0 EBADMM C[C@H](C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1)c1cncnc1 ZINC000972164116 725472977 /nfs/dbraw/zinc/47/29/77/725472977.db2.gz DWBRRGKMHXXKRB-WCQYABFASA-N -1 1 345.407 -0.265 20 0 EBADMM CC(C)=CC(=O)N1CC[C@]2(C1)CN(Cc1nc(=O)n(C)[n-]1)CCO2 ZINC000972230579 725481233 /nfs/dbraw/zinc/48/12/33/725481233.db2.gz NLJWMSPDGJQTLX-MRXNPFEDSA-N -1 1 335.408 -0.122 20 0 EBADMM CC(C)CC(=O)N1CC[C@]2(C1)CN(Cc1nc(=O)n(C)[n-]1)CCO2 ZINC000972235858 725482053 /nfs/dbraw/zinc/48/20/53/725482053.db2.gz XQINBNRGMVXQIX-MRXNPFEDSA-N -1 1 337.424 -0.042 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)c1csnn1 ZINC000991629646 725495405 /nfs/dbraw/zinc/49/54/05/725495405.db2.gz UDRYGZYTRNRPHR-LDYMZIIASA-N -1 1 349.372 -0.356 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@]3(CCN(C(=O)C4CC=CC4)C3)C2)nc1=O ZINC000972308409 725496989 /nfs/dbraw/zinc/49/69/89/725496989.db2.gz LTHSLHZHZQEPKG-KRWDZBQOSA-N -1 1 347.419 -0.122 20 0 EBADMM CC1CC(C(=O)N2CC[C@@]3(C2)CN(Cc2nc(=O)n(C)[n-]2)CCO3)C1 ZINC000972331577 725500392 /nfs/dbraw/zinc/50/03/92/725500392.db2.gz GGKJXEPETZGMEA-FVKWTLKZSA-N -1 1 349.435 -0.042 20 0 EBADMM CNC(=O)CN1CCO[C@@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000972354904 725505374 /nfs/dbraw/zinc/50/53/74/725505374.db2.gz GVQAJRCGIQCOQA-INIZCTEOSA-N -1 1 334.376 -0.550 20 0 EBADMM C[C@@H](C(N)=O)N1CCO[C@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000972356225 725505717 /nfs/dbraw/zinc/50/57/17/725505717.db2.gz WHGMLTHNWGKGKH-MEDUHNTESA-N -1 1 334.376 -0.422 20 0 EBADMM O=C(c1ncccc1[O-])N1CC[C@@]2(C1)CN([C@H]1CCNC1=O)CCO2 ZINC000972356149 725505898 /nfs/dbraw/zinc/50/58/98/725505898.db2.gz VPVRTAIGBUVFTE-SJCJKPOMSA-N -1 1 346.387 -0.407 20 0 EBADMM CC[C@H](F)C(=O)N1CC[C@@]2(C1)CN(Cc1nc(=O)n(C)[n-]1)CCO2 ZINC000972502033 725536707 /nfs/dbraw/zinc/53/67/07/725536707.db2.gz KPAFTEHTIXWBPV-NHYWBVRUSA-N -1 1 341.387 -0.340 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)[C@H]1[C@@H]2COC[C@@H]21 ZINC000991685832 725541659 /nfs/dbraw/zinc/54/16/59/725541659.db2.gz ZSHWRMMDIDIFLY-VGYDOTAVSA-N -1 1 347.371 -0.629 20 0 EBADMM CCN1CCO[C@]2(CCN(C(=O)c3c[n-]n4c3nccc4=O)C2)C1 ZINC000972583415 725555187 /nfs/dbraw/zinc/55/51/87/725555187.db2.gz TXJQWFBVCFCNMF-MRXNPFEDSA-N -1 1 331.376 -0.041 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1cnon1 ZINC000991702373 725555948 /nfs/dbraw/zinc/55/59/48/725555948.db2.gz KZMRHOYYMFDXMI-KCJUWKMLSA-N -1 1 333.304 -0.824 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)c2ncccc2F)C1 ZINC000972610867 725561733 /nfs/dbraw/zinc/56/17/33/725561733.db2.gz VUSHFYDOSOMPOH-JTQLQIEISA-N -1 1 334.355 -0.011 20 0 EBADMM CC(C)n1cc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC000972631478 725566033 /nfs/dbraw/zinc/56/60/33/725566033.db2.gz IQQIWIJGCFVCDS-NSHDSACASA-N -1 1 348.411 -0.373 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)c3cnns3)C(C)(C)C2)nc1=O ZINC000972833920 725597017 /nfs/dbraw/zinc/59/70/17/725597017.db2.gz DHPHACFCIUIDCO-SECBINFHSA-N -1 1 337.409 -0.400 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000972920968 725602820 /nfs/dbraw/zinc/60/28/20/725602820.db2.gz AWKTUWFVFQYYQI-KYXWUPHJSA-N -1 1 330.348 -0.549 20 0 EBADMM O=C(CN1CCCC1=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000972952385 725609779 /nfs/dbraw/zinc/60/97/79/725609779.db2.gz DRSRVVJUBXLWAC-XYPYZODXSA-N -1 1 332.360 -0.213 20 0 EBADMM CC[C@@H]1CCO[C@@H]1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051660866 735301101 /nfs/dbraw/zinc/30/11/01/735301101.db2.gz GORBCCUVGBZANX-MDZLAQPJSA-N -1 1 339.396 -0.358 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C[C@@H]3CCOC3)C(C)(C)C2)nc1=O ZINC000972978279 725614666 /nfs/dbraw/zinc/61/46/66/725614666.db2.gz AYJQBCCRJCIHMR-NWDGAFQWSA-N -1 1 337.424 -0.138 20 0 EBADMM Cc1c(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)nnn1C ZINC000972987759 725615838 /nfs/dbraw/zinc/61/58/38/725615838.db2.gz ZROSMQZVGYZEBD-JTQLQIEISA-N -1 1 348.411 -0.814 20 0 EBADMM COC(=O)[C@@H]1C[C@@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973092731 725634982 /nfs/dbraw/zinc/63/49/82/725634982.db2.gz LADYJXLMYPKPAP-XWLWVQCSSA-N -1 1 333.344 -0.027 20 0 EBADMM CCN1CCOC[C@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973196519 725667121 /nfs/dbraw/zinc/66/71/21/725667121.db2.gz DUZIVXVAAQNTLO-AVGNSLFASA-N -1 1 348.403 -0.115 20 0 EBADMM Cc1n[nH]c(C(=O)NCC2CC(NC(=O)Cc3nnc[nH]3)C2)c1[O-] ZINC000992040413 725706920 /nfs/dbraw/zinc/70/69/20/725706920.db2.gz PYBYOSMTOSSNDV-UHFFFAOYSA-N -1 1 333.352 -0.591 20 0 EBADMM O=C(NCC1CC(NC(=O)[C@@H]2CNC(=O)N2)C1)c1ncccc1[O-] ZINC000992056554 725711238 /nfs/dbraw/zinc/71/12/38/725711238.db2.gz RHCOZZYHZBFUQE-RTBKNWGFSA-N -1 1 333.348 -0.907 20 0 EBADMM O=C(NCC1CC(NC(=O)[C@H]2CCCNC2=O)C1)c1ncccc1[O-] ZINC000992057213 725711426 /nfs/dbraw/zinc/71/14/26/725711426.db2.gz VZGINNJQSWMVHC-MCIGGMRASA-N -1 1 346.387 -0.062 20 0 EBADMM O=C(C[C@@H]1CCNC1=O)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC000992057582 725711643 /nfs/dbraw/zinc/71/16/43/725711643.db2.gz ZWMDVSVJAXUBEQ-CXQJBGSLSA-N -1 1 346.387 -0.062 20 0 EBADMM Cc1nn[nH]c1C(=O)N[C@@]12CCC[C@H]1N(Cc1nc(=O)n(C)[n-]1)CC2 ZINC000992099176 725725031 /nfs/dbraw/zinc/72/50/31/725725031.db2.gz LGUDNBWEENKZKQ-MEBBXXQBSA-N -1 1 346.395 -0.538 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)[C@H]4[C@@H]5COC[C@@H]54)CCC[C@H]23)nc1=O ZINC000992142746 725734498 /nfs/dbraw/zinc/73/44/98/725734498.db2.gz NJYOPJMVKNGLRF-XTASOONQSA-N -1 1 347.419 -0.386 20 0 EBADMM COC1CC(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)C1 ZINC001051673634 735313424 /nfs/dbraw/zinc/31/34/24/735313424.db2.gz VVQYHGXCWMYTNY-VQXHTEKXSA-N -1 1 325.369 -0.748 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NCC1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000992298333 725770603 /nfs/dbraw/zinc/77/06/03/725770603.db2.gz IWTGHPDVUNJGRA-PMUOWJKOSA-N -1 1 344.375 -0.302 20 0 EBADMM NC(=O)C(=O)N1CC[C@@H]2[C@H](CCCN2C(=O)c2ncccc2[O-])C1 ZINC000973730048 725793979 /nfs/dbraw/zinc/79/39/79/725793979.db2.gz ZGQNRPYNICSWRS-GHMZBOCLSA-N -1 1 332.360 -0.274 20 0 EBADMM C[C@@]12CN(C(=O)CC(N)=O)C[C@@H]1CN(C(=O)c1ncccc1[O-])C2 ZINC000992814862 725836637 /nfs/dbraw/zinc/83/66/37/725836637.db2.gz VGRFMINHULUBJK-HWPZZCPQSA-N -1 1 332.360 -0.417 20 0 EBADMM CC1CC(C(=O)N[C@H]2C[C@@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)C1 ZINC000974010213 725846071 /nfs/dbraw/zinc/84/60/71/725846071.db2.gz XFQPZRBADJLUQV-HWACXVBKSA-N -1 1 344.375 -0.159 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cc[n+]([O-])cc2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993004782 725850172 /nfs/dbraw/zinc/85/01/72/725850172.db2.gz OLJXPWVTXJIDLZ-YPMHNXCESA-N -1 1 346.391 -0.475 20 0 EBADMM Cc1nc(CC(=O)N[C@H]2C[C@@H](NC(=O)c3[nH]nc(C)c3[O-])C2)n[nH]1 ZINC000974038586 725852364 /nfs/dbraw/zinc/85/23/64/725852364.db2.gz IEVOXMOGZHKFMV-DTORHVGOSA-N -1 1 333.352 -0.530 20 0 EBADMM O=C(N[C@H]1C[C@@H](NC(=O)[C@H]2CCCNC2=O)C1)c1ncccc1[O-] ZINC000974056672 725859199 /nfs/dbraw/zinc/85/91/99/725859199.db2.gz LTEKJZHPSUAWMY-AXFHLTTASA-N -1 1 332.360 -0.310 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC000974057200 725859472 /nfs/dbraw/zinc/85/94/72/725859472.db2.gz NZFKGMGMEUBNRE-TXEJJXNPSA-N -1 1 332.360 -0.358 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2cnnn2C)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993276887 725868544 /nfs/dbraw/zinc/86/85/44/725868544.db2.gz GCGOILOLFJZZAL-NXEZZACHSA-N -1 1 334.384 -0.980 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2csnn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993637015 725919023 /nfs/dbraw/zinc/91/90/23/725919023.db2.gz RHIZKKSWAHXPPF-IUCAKERBSA-N -1 1 337.409 -0.257 20 0 EBADMM Cc1cnn(C)c1C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1C ZINC000993699088 725929421 /nfs/dbraw/zinc/92/94/21/725929421.db2.gz BSHXKVUDRIXKDV-RYUDHWBXSA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993795584 725944048 /nfs/dbraw/zinc/94/40/48/725944048.db2.gz YLKZCCDQWCRIPC-UWVGGRQHSA-N -1 1 349.395 -0.670 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1CNC(=O)[C@H]1COC(=O)N1 ZINC000993959743 725967525 /nfs/dbraw/zinc/96/75/25/725967525.db2.gz ROWOPJUUOXZLEA-GMTAPVOTSA-N -1 1 348.359 -0.280 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2cccnn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000994060073 725976326 /nfs/dbraw/zinc/97/63/26/725976326.db2.gz MNTDDNYMCVZVGT-GHMZBOCLSA-N -1 1 331.380 -0.319 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ccc(=O)[nH]c2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000994324780 725996139 /nfs/dbraw/zinc/99/61/39/725996139.db2.gz BXKXFUBWZXIWNO-JQWIXIFHSA-N -1 1 346.391 -0.008 20 0 EBADMM Cc1nccc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)n1 ZINC000994447586 726003086 /nfs/dbraw/zinc/00/30/86/726003086.db2.gz BZIWLKOTEMVSDP-ZYHUDNBSSA-N -1 1 345.407 -0.010 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)Cc3cncnc3)C(C)(C)C2)nc1=O ZINC000974525028 726035403 /nfs/dbraw/zinc/03/54/03/726035403.db2.gz MRRCCDPUNZXXLX-LBPRGKRZSA-N -1 1 345.407 -0.532 20 0 EBADMM CC(C)C(=O)N1CC[C@@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)[C@@H]2C1 ZINC000974538894 726036569 /nfs/dbraw/zinc/03/65/69/726036569.db2.gz XLUQWUQMXAOQTP-CHWSQXEVSA-N -1 1 348.403 -0.358 20 0 EBADMM Cc1c[nH]nc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000974559155 726038841 /nfs/dbraw/zinc/03/88/41/726038841.db2.gz KEDNCUZUKYQUJN-SNVBAGLBSA-N -1 1 333.396 -0.220 20 0 EBADMM Cc1ccc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)nn1 ZINC000974599654 726043053 /nfs/dbraw/zinc/04/30/53/726043053.db2.gz UHUONEUKEVIYJL-LBPRGKRZSA-N -1 1 345.407 -0.153 20 0 EBADMM CN1CC(C(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)CC2(C)C)=NC1=O ZINC000974989269 726091687 /nfs/dbraw/zinc/09/16/87/726091687.db2.gz RPORBJBUPXUSBY-SECBINFHSA-N -1 1 335.368 -0.410 20 0 EBADMM Cc1ccn(CC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CC2(C)C)n1 ZINC000975043971 726097293 /nfs/dbraw/zinc/09/72/93/726097293.db2.gz ZIFJDLUSMYCPIP-GFCCVEGCSA-N -1 1 347.423 -0.360 20 0 EBADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)c1cn2c(n1)COCC2 ZINC000994878059 726107501 /nfs/dbraw/zinc/10/75/01/726107501.db2.gz LLRYUKSFRILERI-UHFFFAOYSA-N -1 1 343.343 -0.232 20 0 EBADMM NC(=O)c1ccc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)nc1 ZINC000994906375 726113738 /nfs/dbraw/zinc/11/37/38/726113738.db2.gz WKPATUZIVYCGKY-UHFFFAOYSA-N -1 1 341.327 -0.465 20 0 EBADMM O=C(N[C@@H]1CC[C@@H](CNCc2ccon2)C1)c1n[nH]c(=O)[n-]c1=O ZINC000995003180 726140755 /nfs/dbraw/zinc/14/07/55/726140755.db2.gz AXOXEPVARWLOSJ-RKDXNWHRSA-N -1 1 334.336 -0.041 20 0 EBADMM Cn1ncc(CNC[C@H]2CC[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC000995006079 726141705 /nfs/dbraw/zinc/14/17/05/726141705.db2.gz KPDYOGIPCCMZBP-IUCAKERBSA-N -1 1 348.367 -0.900 20 0 EBADMM CC(C)Cn1[n-]c(CC(=O)NC2CN(C(=O)c3ccn[nH]3)C2)cc1=O ZINC000995039012 726151652 /nfs/dbraw/zinc/15/16/52/726151652.db2.gz QFLAZCSIYGUXJS-UHFFFAOYSA-N -1 1 346.391 -0.261 20 0 EBADMM CN1CC[C@@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC000995123438 726166534 /nfs/dbraw/zinc/16/65/34/726166534.db2.gz YGGBHMDRNAKVQL-SNVBAGLBSA-N -1 1 332.360 -0.404 20 0 EBADMM O=C(NC[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1)[C@H]1CNC(=O)N1 ZINC000975493985 726167736 /nfs/dbraw/zinc/16/77/36/726167736.db2.gz YAHMLKQRZISHQJ-WDEREUQCSA-N -1 1 347.375 -0.563 20 0 EBADMM O=C(CCc1ccon1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051715048 735348183 /nfs/dbraw/zinc/34/81/83/735348183.db2.gz KSIMOUWXSKJMKE-NSHDSACASA-N -1 1 336.352 -0.552 20 0 EBADMM CC(C)Cn1[n-]c(CC(=O)N2CC(NC(=O)c3cnn[nH]3)C2)cc1=O ZINC000995185797 726186049 /nfs/dbraw/zinc/18/60/49/726186049.db2.gz RDGUBLNCMOOCIY-UHFFFAOYSA-N -1 1 347.379 -0.866 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]21)C1CC1 ZINC000976016588 726193538 /nfs/dbraw/zinc/19/35/38/726193538.db2.gz ONHLMKRBARRAKB-GDGBQDQQSA-N -1 1 328.332 -0.986 20 0 EBADMM O=C(CN1CCCC1=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000976140096 726211058 /nfs/dbraw/zinc/21/10/58/726211058.db2.gz HRRXGEROBQZECV-HBYGRHMLSA-N -1 1 344.371 -0.404 20 0 EBADMM O=C([C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051722724 735352251 /nfs/dbraw/zinc/35/22/51/735352251.db2.gz SUJZERVSTIQNCS-RXSPXGNFSA-N -1 1 349.391 -0.749 20 0 EBADMM CCC(=O)N[C@@H]1CC(=O)N(C2CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000995456338 726226913 /nfs/dbraw/zinc/22/69/13/726226913.db2.gz XAFUZWYGUYTINM-SNVBAGLBSA-N -1 1 332.360 -0.261 20 0 EBADMM CC1(C)CN(C(=O)C[C@@H]2COC(=O)C2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995669724 726285613 /nfs/dbraw/zinc/28/56/13/726285613.db2.gz PGDSIXJSLBKVQC-VHSXEESVSA-N -1 1 337.380 -0.210 20 0 EBADMM C[C@@]1(C(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ncccc2[O-])CNC(=O)C1 ZINC000976394267 726290341 /nfs/dbraw/zinc/29/03/41/726290341.db2.gz MXOSOKLFZTZBRG-LOVKBYSESA-N -1 1 344.371 -0.500 20 0 EBADMM C[C@]1(C(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ncccc2[O-])CNC(=O)C1 ZINC000976394264 726290388 /nfs/dbraw/zinc/29/03/88/726290388.db2.gz MXOSOKLFZTZBRG-JRUYNEJOSA-N -1 1 344.371 -0.500 20 0 EBADMM CN1C[C@@H](C(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ncccc2[O-])CC1=O ZINC000976394132 726290479 /nfs/dbraw/zinc/29/04/79/726290479.db2.gz KQADSXWZKSDWML-KZWBYHQPSA-N -1 1 344.371 -0.548 20 0 EBADMM Cn1oc(C(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ncccc2[O-])cc1=O ZINC000976395221 726291214 /nfs/dbraw/zinc/29/12/14/726291214.db2.gz AJJLDYALSVNZMH-WOFXILAISA-N -1 1 344.327 -0.421 20 0 EBADMM CC(=O)N1CC(C(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ncccc2[O-])C1 ZINC000976396755 726291676 /nfs/dbraw/zinc/29/16/76/726291676.db2.gz HTQREFUOSQZLAS-IMRBUKKESA-N -1 1 344.371 -0.548 20 0 EBADMM Cn1nncc1C(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000976426125 726301522 /nfs/dbraw/zinc/30/15/22/726301522.db2.gz FDCNAAKINJSKFT-GDGBQDQQSA-N -1 1 328.332 -0.584 20 0 EBADMM Cn1nncc1CN[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC1(C)C ZINC000996005668 726322964 /nfs/dbraw/zinc/32/29/64/726322964.db2.gz GSEJUYPMKRRMPR-SECBINFHSA-N -1 1 348.367 -0.948 20 0 EBADMM CN1CC[C@@H](C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)C1=O ZINC000996182790 726342020 /nfs/dbraw/zinc/34/20/20/726342020.db2.gz LSVWUJQCCQTTOA-ZJUUUORDSA-N -1 1 336.396 -0.685 20 0 EBADMM NC(=O)c1cc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)co1 ZINC000996311807 726364686 /nfs/dbraw/zinc/36/46/86/726364686.db2.gz WECPWUXLTIPFIZ-UHFFFAOYSA-N -1 1 330.300 -0.267 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)[C@H]2CC2(F)F)C1 ZINC000996395749 726384222 /nfs/dbraw/zinc/38/42/22/726384222.db2.gz FBAJFTORBMXTJM-ZCFIWIBFSA-N -1 1 344.274 -0.508 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)C[C@@H]2C[C@H]2C)C1 ZINC000996396081 726384985 /nfs/dbraw/zinc/38/49/85/726384985.db2.gz GQUBLAQURVPNIP-SFYZADRCSA-N -1 1 336.348 -0.117 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)c2n[nH]cc2C)C1 ZINC000996396662 726385290 /nfs/dbraw/zinc/38/52/90/726385290.db2.gz JJSFIZWYPUJNRW-UHFFFAOYSA-N -1 1 348.319 -0.818 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)c2c[nH]nc2C)C1 ZINC000996396701 726385562 /nfs/dbraw/zinc/38/55/62/726385562.db2.gz JUDODHUVGBMEDM-UHFFFAOYSA-N -1 1 348.319 -0.818 20 0 EBADMM CC1(C(=O)N2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)CCCC1 ZINC000996404677 726388554 /nfs/dbraw/zinc/38/85/54/726388554.db2.gz GSLDRIMMWKTRMA-UHFFFAOYSA-N -1 1 344.375 -0.062 20 0 EBADMM C/C(=C/C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC000996405532 726388559 /nfs/dbraw/zinc/38/85/59/726388559.db2.gz JACVCLOIERBELP-WTKPLQERSA-N -1 1 342.359 -0.286 20 0 EBADMM O=C(Cc1ncn[nH]1)N1CC(NC(=O)c2ccc3oc(=O)nc-3[n-]2)C1 ZINC000996407646 726388672 /nfs/dbraw/zinc/38/86/72/726388672.db2.gz XMIITUMPRWHRCP-UHFFFAOYSA-N -1 1 343.303 -0.770 20 0 EBADMM O=C(Cc1ccco1)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996409557 726389358 /nfs/dbraw/zinc/38/93/58/726389358.db2.gz UPSSYGFVUJIACA-UHFFFAOYSA-N -1 1 342.315 -0.806 20 0 EBADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)[C@H]1CCNC(=O)CC1 ZINC000996532550 726411784 /nfs/dbraw/zinc/41/17/84/726411784.db2.gz MXTURJFVCUPHKM-SNVBAGLBSA-N -1 1 332.360 -0.356 20 0 EBADMM Cn1nc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C(C)(C)C2)ccc1=O ZINC000996580354 726419034 /nfs/dbraw/zinc/41/90/34/726419034.db2.gz CUJSGYIVNRGVQQ-JTQLQIEISA-N -1 1 347.379 -0.756 20 0 EBADMM CC1(C)CC[C@@H]1C(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000997922614 726469855 /nfs/dbraw/zinc/46/98/55/726469855.db2.gz LTRHWOPRYCXJBA-GFCCVEGCSA-N -1 1 348.403 -0.310 20 0 EBADMM O=C(CO[C@H]1CCOC1)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999148844 726509021 /nfs/dbraw/zinc/50/90/21/726509021.db2.gz OIWRULCCCSYDLU-MNOVXSKESA-N -1 1 325.369 -0.604 20 0 EBADMM Cc1nn(C)cc1CC(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999371538 726517237 /nfs/dbraw/zinc/51/72/37/726517237.db2.gz XUYHSJUWSJSDSE-GFCCVEGCSA-N -1 1 333.396 -0.124 20 0 EBADMM O=C(c1cnc2n1CCOC2)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999418264 726519199 /nfs/dbraw/zinc/51/91/99/726519199.db2.gz DAIVIAXQJRUKDQ-JTQLQIEISA-N -1 1 347.379 -0.369 20 0 EBADMM CN1CC[C@@H](CC(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)C1=O ZINC000999455824 726520004 /nfs/dbraw/zinc/52/00/04/726520004.db2.gz IZUPBNVKPGTMJG-QWRGUYRKSA-N -1 1 336.396 -0.541 20 0 EBADMM Cc1nc(C(=O)N2CCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c(=O)[nH]c1C ZINC000999466603 726520301 /nfs/dbraw/zinc/52/03/01/726520301.db2.gz NTINBKXQFNKWNE-JTQLQIEISA-N -1 1 347.379 -0.395 20 0 EBADMM C[C@H](C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)S(C)(=O)=O ZINC000999516764 726521295 /nfs/dbraw/zinc/52/12/95/726521295.db2.gz SGFHODIBTYFKDG-RKDXNWHRSA-N -1 1 331.398 -0.976 20 0 EBADMM O=C(C[C@@H]1CCCC(=O)N1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999653214 726526127 /nfs/dbraw/zinc/52/61/27/726526127.db2.gz NSGSBANRJOLKKW-QWRGUYRKSA-N -1 1 336.396 -0.350 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)c2nocc2C)C1 ZINC000999655993 726526129 /nfs/dbraw/zinc/52/61/29/726526129.db2.gz YJMQMPNJJHKVMW-UHFFFAOYSA-N -1 1 349.303 -0.553 20 0 EBADMM COc1cnc(C(=O)N2CCC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)nc1 ZINC000999662557 726526452 /nfs/dbraw/zinc/52/64/52/726526452.db2.gz BMECKHZDPDTQEZ-SECBINFHSA-N -1 1 333.352 -0.297 20 0 EBADMM O=C(c1ccnc2n[nH]nc21)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999672620 726527040 /nfs/dbraw/zinc/52/70/40/726527040.db2.gz GAOZFTYUNQPBEA-QMMMGPOBSA-N -1 1 343.351 -0.429 20 0 EBADMM CN(C(=O)c1cnn2cc[nH]c12)C1CC([NH2+]Cc2nc(=O)n(C)[n-]2)C1 ZINC000999748323 726530703 /nfs/dbraw/zinc/53/07/03/726530703.db2.gz WHIMUNFWHMANLN-UHFFFAOYSA-N -1 1 344.379 -0.523 20 0 EBADMM Cc1cc(C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)on1 ZINC001000421611 726566674 /nfs/dbraw/zinc/56/66/74/726566674.db2.gz VNIBPKFLHXNMSE-UHFFFAOYSA-N -1 1 332.364 -0.033 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)[C@@H]2CC23CC3)C1 ZINC001000497665 726568808 /nfs/dbraw/zinc/56/88/08/726568808.db2.gz VRNBGPWDEAMIMH-QMMMGPOBSA-N -1 1 334.332 -0.363 20 0 EBADMM Cc1cc(C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)cnn1 ZINC001000623284 726573078 /nfs/dbraw/zinc/57/30/78/726573078.db2.gz HVMIDJCNRLDEJI-UHFFFAOYSA-N -1 1 343.391 -0.231 20 0 EBADMM O=C(NCC1CN(C(=O)c2ncccc2[O-])C1)c1ccc(=O)[nH]n1 ZINC001000778707 726577482 /nfs/dbraw/zinc/57/74/82/726577482.db2.gz JZBZBHJBRQINOS-UHFFFAOYSA-N -1 1 329.316 -0.215 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N1CCC[C@@H](NCc2nccs2)C1 ZINC001000787844 726577578 /nfs/dbraw/zinc/57/75/78/726577578.db2.gz CCXIYAYMSHNQBD-SECBINFHSA-N -1 1 338.393 -0.073 20 0 EBADMM O=C(NCC1CN(C(=O)c2c[nH]c(=O)cn2)C1)c1ncccc1[O-] ZINC001001540431 726611116 /nfs/dbraw/zinc/61/11/16/726611116.db2.gz DDHMOZQUAUUKAO-UHFFFAOYSA-N -1 1 329.316 -0.628 20 0 EBADMM C[C@@H]1CCN(C(=O)C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)C1 ZINC001001542593 726611236 /nfs/dbraw/zinc/61/12/36/726611236.db2.gz WUQCSKANIJOJFA-LLVKDONJSA-N -1 1 346.387 -0.156 20 0 EBADMM CCn1cc(CC(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)nn1 ZINC001001541287 726611257 /nfs/dbraw/zinc/61/12/57/726611257.db2.gz KMCKYIRWYQXKAT-UHFFFAOYSA-N -1 1 344.375 -0.171 20 0 EBADMM O=C(C[C@@H]1CCNC1=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001542779 726611310 /nfs/dbraw/zinc/61/13/10/726611310.db2.gz ZNLOFMOOFKQWEP-NSHDSACASA-N -1 1 332.360 -0.498 20 0 EBADMM O=C(NCC1CN(C(=O)[C@@H]2CCNC(=O)CC2)C1)c1ncccc1[O-] ZINC001001545615 726611449 /nfs/dbraw/zinc/61/14/49/726611449.db2.gz UTHJMTCMYMRZPM-LBPRGKRZSA-N -1 1 346.387 -0.108 20 0 EBADMM O=C(CCc1cn[nH]n1)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001546662 726611474 /nfs/dbraw/zinc/61/14/74/726611474.db2.gz UREWOKAQZVJEGI-UHFFFAOYSA-N -1 1 330.348 -0.274 20 0 EBADMM O=C(CCc1c[nH]nn1)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001546662 726611475 /nfs/dbraw/zinc/61/14/75/726611475.db2.gz UREWOKAQZVJEGI-UHFFFAOYSA-N -1 1 330.348 -0.274 20 0 EBADMM CN1C(=O)CC[C@H]1CC(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001545713 726611487 /nfs/dbraw/zinc/61/14/87/726611487.db2.gz XPTRCGCYCQUYEA-LBPRGKRZSA-N -1 1 346.387 -0.014 20 0 EBADMM CC[C@@]1(C(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)CCNC1=O ZINC001001551044 726611607 /nfs/dbraw/zinc/61/16/07/726611607.db2.gz BNNTYMNAMOOXQZ-QGZVFWFLSA-N -1 1 346.387 -0.108 20 0 EBADMM CN(C[C@H]1CCCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1CCNC1=O ZINC001002411929 726640532 /nfs/dbraw/zinc/64/05/32/726640532.db2.gz NNTSAWZZTBUKJD-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM Cc1cc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cnn1 ZINC001002687049 726644905 /nfs/dbraw/zinc/64/49/05/726644905.db2.gz FIWOXEQYFDDCMZ-UHFFFAOYSA-N -1 1 331.380 -0.399 20 0 EBADMM Cc1cn(C)nc1C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001002791625 726648098 /nfs/dbraw/zinc/64/80/98/726648098.db2.gz JBFZZJODSKUYOV-UHFFFAOYSA-N -1 1 333.396 -0.455 20 0 EBADMM Cc1nnccc1C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001003249573 726666671 /nfs/dbraw/zinc/66/66/71/726666671.db2.gz ZZFIQRFLMZEKQJ-UHFFFAOYSA-N -1 1 331.380 -0.399 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CN(C(=O)[C@H]2C[C@@H]2C)C1 ZINC001003313645 726668859 /nfs/dbraw/zinc/66/88/59/726668859.db2.gz BHQDCXUONNRYLU-CBAPKCEASA-N -1 1 336.348 -0.259 20 0 EBADMM O=C(NCC1CN(C(=O)C2CCC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001003318692 726669613 /nfs/dbraw/zinc/66/96/13/726669613.db2.gz GOAVQGVYBYQOLV-UHFFFAOYSA-N -1 1 330.348 -0.594 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CN(C(=O)[C@@H](C)OC)C1 ZINC001003318282 726669656 /nfs/dbraw/zinc/66/96/56/726669656.db2.gz ZOGFCYCUIRQACS-SSDOTTSWSA-N -1 1 340.336 -0.881 20 0 EBADMM C[C@@H]1C[C@H]1CC(=O)N1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001003319188 726669668 /nfs/dbraw/zinc/66/96/68/726669668.db2.gz MJIAVTNZRIHPLB-KOLCDFICSA-N -1 1 344.375 -0.348 20 0 EBADMM Cc1nc(CN2CCC(NC(=O)c3cnc([O-])n(C)c3=O)CC2)n[nH]1 ZINC001003421400 726674033 /nfs/dbraw/zinc/67/40/33/726674033.db2.gz ZDIUINHEGGOHCC-UHFFFAOYSA-N -1 1 347.379 -0.693 20 0 EBADMM C[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C[C@H]1NC(=O)C1CC1 ZINC001004315947 726705206 /nfs/dbraw/zinc/70/52/06/726705206.db2.gz QNZDYQLTFAZUHJ-DGCLKSJQSA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@@H]1CCN(C(=O)c2nnn(C)n2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001004763450 726715478 /nfs/dbraw/zinc/71/54/78/726715478.db2.gz ZIQREZDWPOHSQA-NXEZZACHSA-N -1 1 345.363 -0.409 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)CN2CCOCC2)C1 ZINC001005951631 726749665 /nfs/dbraw/zinc/74/96/65/726749665.db2.gz OOXLBVHFYJQVQO-LBPRGKRZSA-N -1 1 348.403 -0.304 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CNC(=O)N2)C1 ZINC001005954173 726749861 /nfs/dbraw/zinc/74/98/61/726749861.db2.gz WJPBOHFGGPVAAO-WPRPVWTQSA-N -1 1 333.348 -0.955 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CNC(=O)N2)C1 ZINC001005954170 726749898 /nfs/dbraw/zinc/74/98/98/726749898.db2.gz WJPBOHFGGPVAAO-SCZZXKLOSA-N -1 1 333.348 -0.955 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CCCNC2=O)C1 ZINC001005956681 726750033 /nfs/dbraw/zinc/75/00/33/726750033.db2.gz MLKLBYOHYHYSES-CMPLNLGQSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@H](NC(=O)[C@@H]1CCC(=O)NC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006375134 726765201 /nfs/dbraw/zinc/76/52/01/726765201.db2.gz NMXVQZFQSDFGFJ-WDEREUQCSA-N -1 1 346.387 -0.110 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)C1CN(C(=O)C2CC2)C1 ZINC001006454171 726769796 /nfs/dbraw/zinc/76/97/96/726769796.db2.gz MWCJOSNUKGSPRS-SSDOTTSWSA-N -1 1 336.348 -0.117 20 0 EBADMM Cc1cc(C(=O)N2CC([C@H](C)NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001006489770 726771225 /nfs/dbraw/zinc/77/12/25/726771225.db2.gz BNCTXBWSRVKICM-ZETCQYMHSA-N -1 1 347.335 -0.795 20 0 EBADMM CCC(=O)N[C@]12CCC[C@H]1CN(C(=O)CCn1cc[n-]c(=O)c1=O)C2 ZINC001006785963 726783788 /nfs/dbraw/zinc/78/37/88/726783788.db2.gz MVYMXCYXQOXQSH-SJCJKPOMSA-N -1 1 348.403 -0.166 20 0 EBADMM C[C@H](C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1)n1cncn1 ZINC001007165467 726789870 /nfs/dbraw/zinc/78/98/70/726789870.db2.gz RJWUZVUYNCZTCH-MNOVXSKESA-N -1 1 334.384 -0.958 20 0 EBADMM O=C(CN1CCC[C@@H](NC(=O)c2ncccc2[O-])C1)N1CCOCC1 ZINC001007192333 726790264 /nfs/dbraw/zinc/79/02/64/726790264.db2.gz XBGVTBXPSNTEBQ-CYBMUJFWSA-N -1 1 348.403 -0.160 20 0 EBADMM O=C(NC[C@@H]1[C@H]2CN(C(=O)C3CC3)C[C@H]21)c1cc(=O)n2[n-]cnc2n1 ZINC001009278374 726885093 /nfs/dbraw/zinc/88/50/93/726885093.db2.gz MWXKILQUUXOUDF-RTCCRHLQSA-N -1 1 342.359 -0.738 20 0 EBADMM O=C(NC[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)c1nnc[nH]1 ZINC001009373489 726901061 /nfs/dbraw/zinc/90/10/61/726901061.db2.gz IUMLERQNFSKQAT-OWUUHHOZSA-N -1 1 328.332 -0.347 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])[C@H]1CN(C(=O)c2cn[nH]n2)CCO1 ZINC001009704245 726971812 /nfs/dbraw/zinc/97/18/12/726971812.db2.gz FMFXPOIVHOVOHU-JOYOIKCWSA-N -1 1 346.347 -0.435 20 0 EBADMM NC(=O)C(=O)N1C[C@@H]2CC[C@@H](NC(=O)c3ncccc3[O-])C[C@H]2C1 ZINC001009831983 727003285 /nfs/dbraw/zinc/00/32/85/727003285.db2.gz PIDGJBBPEREUKZ-GARJFASQSA-N -1 1 332.360 -0.371 20 0 EBADMM C[C@@H]1C[C@H](CNC(=O)c2ncccc2[O-])CN1C(=O)Cn1cnnn1 ZINC001009984656 727016092 /nfs/dbraw/zinc/01/60/92/727016092.db2.gz FJCFXQKHHKXJGC-GHMZBOCLSA-N -1 1 345.363 -0.559 20 0 EBADMM CO[C@@H](C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001051803471 735404813 /nfs/dbraw/zinc/40/48/13/735404813.db2.gz OOKGYNQYTZQCAR-ZYHUDNBSSA-N -1 1 327.385 -0.502 20 0 EBADMM CCc1nocc1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051810658 735409526 /nfs/dbraw/zinc/40/95/26/735409526.db2.gz AVNSOMSNICNWRW-SECBINFHSA-N -1 1 336.352 -0.309 20 0 EBADMM O=C(N[C@H]1CCN(CCN2C(=O)CNC2=O)C1)c1ncccc1[O-] ZINC001010731440 727135698 /nfs/dbraw/zinc/13/56/98/727135698.db2.gz JHANXLPWFVNEDQ-JTQLQIEISA-N -1 1 333.348 -0.857 20 0 EBADMM Cc1c(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)ccn1C ZINC001051811883 735410209 /nfs/dbraw/zinc/41/02/09/735410209.db2.gz KJCJLQMXRNQKNX-LLVKDONJSA-N -1 1 334.380 -0.212 20 0 EBADMM CC(=O)N1CC[C@@H](C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)C1 ZINC001011035477 727185788 /nfs/dbraw/zinc/18/57/88/727185788.db2.gz IARYYLXVGGMRGV-CYBMUJFWSA-N -1 1 346.387 -0.060 20 0 EBADMM C[C@@H](C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1)N1CCOCC1 ZINC001011036222 727186164 /nfs/dbraw/zinc/18/61/64/727186164.db2.gz GQHHXNXQVYEZGL-ZDUSSCGKSA-N -1 1 348.403 -0.208 20 0 EBADMM Cn1nc(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)ccc1=O ZINC001011036671 727186542 /nfs/dbraw/zinc/18/65/42/727186542.db2.gz WQNAHRKOSUOESB-UHFFFAOYSA-N -1 1 343.343 -0.521 20 0 EBADMM NC(=O)[C@H]1CC[C@H]1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011036668 727186620 /nfs/dbraw/zinc/18/66/20/727186620.db2.gz SPBUVOHBQJVPIN-WDEREUQCSA-N -1 1 332.360 -0.417 20 0 EBADMM O=C(c1cn[nH]c(=O)c1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011036806 727186705 /nfs/dbraw/zinc/18/67/05/727186705.db2.gz ZAWDQCOMYGZEEV-UHFFFAOYSA-N -1 1 329.316 -0.119 20 0 EBADMM CC(C)c1cc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC001051839135 735422926 /nfs/dbraw/zinc/42/29/26/735422926.db2.gz CJRRKRWFGKVTMU-SNVBAGLBSA-N -1 1 349.395 -0.012 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)nc(C)n1 ZINC001051869158 735436112 /nfs/dbraw/zinc/43/61/12/735436112.db2.gz KREJDBPACHGCAQ-LLVKDONJSA-N -1 1 347.379 -0.452 20 0 EBADMM O=C(CCn1cccc1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051883807 735444247 /nfs/dbraw/zinc/44/42/47/735444247.db2.gz AHWOMLBXVMZYBX-GFCCVEGCSA-N -1 1 334.380 -0.281 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2cnc([O-])n(C)c2=O)CCN1C(=O)c1ccn[nH]1 ZINC001011517925 727536144 /nfs/dbraw/zinc/53/61/44/727536144.db2.gz MDBRCCWZZNROBN-PSASIEDQSA-N -1 1 346.347 -0.758 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CCN1C(=O)c1ccn[nH]1 ZINC001011523750 727536877 /nfs/dbraw/zinc/53/68/77/727536877.db2.gz XCGBKNYKNYHYGB-RNFRBKRXSA-N -1 1 333.308 -0.961 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)N1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001011557174 727542164 /nfs/dbraw/zinc/54/21/64/727542164.db2.gz KASRYJQFYKIFNP-CHWSQXEVSA-N -1 1 348.403 -0.356 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(C(=O)[C@@H]2CC23CC3)CC1 ZINC001011635638 727551810 /nfs/dbraw/zinc/55/18/10/727551810.db2.gz GPWLAUCZQOTFRB-VIFPVBQESA-N -1 1 348.359 -0.019 20 0 EBADMM CC[C@@H](F)C(=O)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001011637297 727552150 /nfs/dbraw/zinc/55/21/50/727552150.db2.gz GCOXHSRVKIPWNW-SECBINFHSA-N -1 1 336.327 -0.550 20 0 EBADMM CC(=O)N1CC(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])[C@H]2C)C1 ZINC001011693038 727559886 /nfs/dbraw/zinc/55/98/86/727559886.db2.gz IYUKRSOKYNTKCA-ZWNOBZJWSA-N -1 1 346.387 -0.015 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])[C@@H]1C ZINC001011952412 727609099 /nfs/dbraw/zinc/60/90/99/727609099.db2.gz RIATYRBYNXLEBE-DTWKUNHWSA-N -1 1 333.352 -0.117 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CN1C(=O)C1CC1 ZINC001012135874 727639717 /nfs/dbraw/zinc/63/97/17/727639717.db2.gz SLMMDPQOEOQJAF-WCBMZHEXSA-N -1 1 330.348 -0.453 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)Cn1cnnn1 ZINC001012258589 727646517 /nfs/dbraw/zinc/64/65/17/727646517.db2.gz AOHLCWGMNHVUQT-ZJUUUORDSA-N -1 1 331.336 -0.807 20 0 EBADMM C[C@H](CC(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1)n1cccn1 ZINC001051896433 735451677 /nfs/dbraw/zinc/45/16/77/735451677.db2.gz HQVMXVJUXCMTMI-VXGBXAGGSA-N -1 1 349.395 -0.325 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2c[nH]c(=O)cn2)CN1C(=O)c1ncccc1[O-] ZINC001012508912 727673745 /nfs/dbraw/zinc/67/37/45/727673745.db2.gz GEIDHLCYCQNTTK-ZJUUUORDSA-N -1 1 343.343 -0.097 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)CC2CC2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001013325087 727793826 /nfs/dbraw/zinc/79/38/26/727793826.db2.gz IKELOQSHSSEIEP-KOLCDFICSA-N -1 1 344.375 -0.063 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)C2(C)CC2)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001013325744 727794024 /nfs/dbraw/zinc/79/40/24/727794024.db2.gz KTPADAKUPNBTLA-ZJUUUORDSA-N -1 1 344.375 -0.063 20 0 EBADMM NC(=O)CC(=O)N1CC[C@@]2(NC(=O)c3ncccc3[O-])CCC[C@H]12 ZINC001014254161 727913566 /nfs/dbraw/zinc/91/35/66/727913566.db2.gz YIGPVNCLSNKDSH-ZBEGNZNMSA-N -1 1 332.360 -0.084 20 0 EBADMM CC(C)c1cc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC001014402116 727940323 /nfs/dbraw/zinc/94/03/23/727940323.db2.gz WEZQVJTZXVLDNB-LLVKDONJSA-N -1 1 347.423 -0.030 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3c(F)cncc3F)C2)nc1=O ZINC001014574699 727972235 /nfs/dbraw/zinc/97/22/35/727972235.db2.gz QQHCMFRPVFKLEB-QMMMGPOBSA-N -1 1 338.318 -0.214 20 0 EBADMM C[C@@H]1[C@H](NC(=O)Cn2cnnn2)CCCN1C(=O)c1ncccc1[O-] ZINC001014747321 728002593 /nfs/dbraw/zinc/00/25/93/728002593.db2.gz UCIKAENRKZAPRZ-GHMZBOCLSA-N -1 1 345.363 -0.417 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3snnc3C3CC3)C2)nc1=O ZINC001014813785 728014795 /nfs/dbraw/zinc/01/47/95/728014795.db2.gz GSLFUYVARGNGAA-VIFPVBQESA-N -1 1 349.420 -0.158 20 0 EBADMM CN(C)Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)no1 ZINC001015025793 728059269 /nfs/dbraw/zinc/05/92/69/728059269.db2.gz BXNWWWHCCFWPCB-JTQLQIEISA-N -1 1 349.395 -0.838 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ncnc4[nH]ccc43)C2)nc1=O ZINC001015102420 728078918 /nfs/dbraw/zinc/07/89/18/728078918.db2.gz BXXGQXAHFGQUFA-SECBINFHSA-N -1 1 342.363 -0.664 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CCCN1C(=O)c1ccn[nH]1 ZINC001015103917 728079608 /nfs/dbraw/zinc/07/96/08/728079608.db2.gz XLBGEXWTEMVUKP-YUMQZZPRSA-N -1 1 347.335 -0.571 20 0 EBADMM O=C(N[C@H]1CCN(CCc2ccns2)C1)c1n[nH]c(=O)[n-]c1=O ZINC001015116294 728083682 /nfs/dbraw/zinc/08/36/82/728083682.db2.gz AXSPLSHUONQJLF-QMMMGPOBSA-N -1 1 336.377 -0.214 20 0 EBADMM COCCN1CCN([C@@H]2CCN(C(=O)c3n[nH]c(C)c3[O-])C2)CC1 ZINC001052015133 735503776 /nfs/dbraw/zinc/50/37/76/735503776.db2.gz NNIHYWHXLLCIMZ-CYBMUJFWSA-N -1 1 337.424 -0.098 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ncc4ccccn43)C2)nc1=O ZINC001015272127 728392837 /nfs/dbraw/zinc/39/28/37/728392837.db2.gz RYMOBZUHLMSGRV-NSHDSACASA-N -1 1 341.375 -0.240 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C2)nc1=O ZINC001015322321 728398110 /nfs/dbraw/zinc/39/81/10/728398110.db2.gz ZMKMVRQKFABOCG-SSLNLQFTSA-N -1 1 333.392 -0.778 20 0 EBADMM COc1ccnc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001015482585 728416809 /nfs/dbraw/zinc/41/68/09/728416809.db2.gz GFQSEVWJGJPKMN-JTQLQIEISA-N -1 1 332.364 -0.484 20 0 EBADMM COc1cc(CC(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)ccn1 ZINC001015714224 728429549 /nfs/dbraw/zinc/42/95/49/728429549.db2.gz XYUYZTFBSRJWKI-GFCCVEGCSA-N -1 1 346.391 -0.555 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3csc4cncn43)C2)nc1=O ZINC001015789602 728432354 /nfs/dbraw/zinc/43/23/54/728432354.db2.gz RTSAYJNUMXZRKB-SECBINFHSA-N -1 1 347.404 -0.178 20 0 EBADMM Cc1c(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nnn1C(C)C ZINC001015916019 728438749 /nfs/dbraw/zinc/43/87/49/728438749.db2.gz CHDXARLJEABGNP-LLVKDONJSA-N -1 1 348.411 -0.406 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@H]3CCc4n[nH]cc4C3)C2)nc1=O ZINC001015988420 728440565 /nfs/dbraw/zinc/44/05/65/728440565.db2.gz XMNBRHUEKJKJNU-CMPLNLGQSA-N -1 1 345.407 -0.673 20 0 EBADMM CC(C)c1nn(C)cc1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001016245926 728445911 /nfs/dbraw/zinc/44/59/11/728445911.db2.gz LJOFMECDTBPOQJ-LLVKDONJSA-N -1 1 347.423 -0.030 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)c2nccnc2N)C1 ZINC001017082660 728545471 /nfs/dbraw/zinc/54/54/71/728545471.db2.gz ULLLZQMHVODJSJ-SNVBAGLBSA-N -1 1 346.395 -0.133 20 0 EBADMM Cc1c(C(=O)N2CCC[C@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)nnn1C ZINC001017085907 728545833 /nfs/dbraw/zinc/54/58/33/728545833.db2.gz HOFWEIRJJRMWSQ-LLVKDONJSA-N -1 1 348.411 -0.069 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)[C@@H]2COC(=O)N2)C1 ZINC001017122079 728549413 /nfs/dbraw/zinc/54/94/13/728549413.db2.gz ZAHBJDZBGSPOHX-UWVGGRQHSA-N -1 1 338.368 -0.711 20 0 EBADMM CC(=O)N1CCC(C2(NC(=O)c3cc(=O)n4[n-]cnc4n3)CC2)CC1 ZINC001017175538 728551929 /nfs/dbraw/zinc/55/19/29/728551929.db2.gz YRPNXKSXIFOLLV-UHFFFAOYSA-N -1 1 344.375 -0.062 20 0 EBADMM O=C(NC1(C2CCN(C(=O)[C@H]3COCCO3)CC2)CC1)c1cnn[nH]1 ZINC001017177130 728551979 /nfs/dbraw/zinc/55/19/79/728551979.db2.gz ZISBVNXAVMDINU-CYBMUJFWSA-N -1 1 349.391 -0.279 20 0 EBADMM Cn1c(C(=O)N2CCC[C@H](C[N@@H+](C)Cc3n[nH]c(=O)[n-]3)C2)c[nH]c1=O ZINC001017176911 728552050 /nfs/dbraw/zinc/55/20/50/728552050.db2.gz BWKGUKRYISAGLJ-SNVBAGLBSA-N -1 1 349.395 -0.066 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)c2c[nH]c(=O)n2C)C1 ZINC001017176911 728552052 /nfs/dbraw/zinc/55/20/52/728552052.db2.gz BWKGUKRYISAGLJ-SNVBAGLBSA-N -1 1 349.395 -0.066 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)[C@@H]2CCC(=O)N2)C1 ZINC001017249213 728558515 /nfs/dbraw/zinc/55/85/15/728558515.db2.gz WQUFAUYNXNDFAD-QWRGUYRKSA-N -1 1 336.396 -0.541 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)C2=COCCO2)nc1=O ZINC001017328584 728564424 /nfs/dbraw/zinc/56/44/24/728564424.db2.gz VHCWQTZASYASQP-PHIMTYICSA-N -1 1 335.364 -0.828 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)Cc2cncnc2)nc1=O ZINC001017510837 728582906 /nfs/dbraw/zinc/58/29/06/728582906.db2.gz RAOKLKLDFPLRJT-BETUJISGSA-N -1 1 343.391 -0.684 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)[C@@]2(F)CCOC2)nc1=O ZINC001017852449 728619162 /nfs/dbraw/zinc/61/91/62/728619162.db2.gz XGJNDYWGUFQXIG-JRPNMDOOSA-N -1 1 339.371 -0.588 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)C2CC2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001017890980 728622508 /nfs/dbraw/zinc/62/25/08/728622508.db2.gz OFTXEPNCESLGJZ-WCQYABFASA-N -1 1 348.403 -0.168 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)Cn2cnnn2)CN1C(=O)c1ncccc1[O-] ZINC001017959999 728625071 /nfs/dbraw/zinc/62/50/71/728625071.db2.gz CJKCHFPCNKRVIC-MNOVXSKESA-N -1 1 345.363 -0.417 20 0 EBADMM C[C@H]1CCN(C(=O)CC(N)=O)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC001018536406 728673962 /nfs/dbraw/zinc/67/39/62/728673962.db2.gz RJTHQNBJRMNHAH-WDEREUQCSA-N -1 1 334.376 -0.123 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H](NCc2ncccn2)C1 ZINC001019647473 728960550 /nfs/dbraw/zinc/96/05/50/728960550.db2.gz ORRQZRJTXNXDFQ-VIFPVBQESA-N -1 1 346.347 -0.309 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CC[C@H](NCc2ccon2)C1 ZINC001019650286 728961961 /nfs/dbraw/zinc/96/19/61/728961961.db2.gz GAHYBFDERAZKNW-JTQLQIEISA-N -1 1 329.320 -0.590 20 0 EBADMM Cc1cnc(CN[C@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)o1 ZINC001019650995 728962892 /nfs/dbraw/zinc/96/28/92/728962892.db2.gz YDSFVGDWSXVSJN-JTQLQIEISA-N -1 1 343.347 -0.282 20 0 EBADMM O=C(C[C@@H]1CCCCC(=O)N1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019706380 728975299 /nfs/dbraw/zinc/97/52/99/728975299.db2.gz KJYGBKFSXQKKIY-QWRGUYRKSA-N -1 1 336.396 -0.350 20 0 EBADMM CCn1ccc(CN[C@H]2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)n1 ZINC001019710188 728975980 /nfs/dbraw/zinc/97/59/80/728975980.db2.gz IGGPIQNANZDHIT-NSHDSACASA-N -1 1 335.368 -0.704 20 0 EBADMM CNC(=O)c1cccc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001019795553 728994198 /nfs/dbraw/zinc/99/41/98/728994198.db2.gz ZRJROUNCIHQFLJ-LBPRGKRZSA-N -1 1 344.375 -0.126 20 0 EBADMM C[C@H](C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1)N1CCCCC1=O ZINC001019808393 728997294 /nfs/dbraw/zinc/99/72/94/728997294.db2.gz HJVBJHAYEVWLMT-MNOVXSKESA-N -1 1 336.396 -0.398 20 0 EBADMM Cc1nnc2n1C[C@@H](C(=O)N1CC[C@H](NCc3n[nH]c(=O)[n-]3)C1)CC2 ZINC001019806405 728997438 /nfs/dbraw/zinc/99/74/38/728997438.db2.gz XREFUNXWFSSSET-QWRGUYRKSA-N -1 1 346.395 -0.637 20 0 EBADMM NC(=O)CC(=O)N1CC[C@H]2OCCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001019862921 729015393 /nfs/dbraw/zinc/01/53/93/729015393.db2.gz DFQDXHCHNFFANY-ZYHUDNBSSA-N -1 1 348.359 -0.896 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2C[C@H](NCc3nnc4n3CCOC4)C2)c1[O-] ZINC001020077351 729065897 /nfs/dbraw/zinc/06/58/97/729065897.db2.gz DJQZLAQEWHPNKA-MGCOHNPYSA-N -1 1 347.379 -0.404 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@@H]1COCCO1 ZINC001020077913 729066541 /nfs/dbraw/zinc/06/65/41/729066541.db2.gz IFAVJZCUSOXMIV-GVXVVHGQSA-N -1 1 335.360 -0.078 20 0 EBADMM CC(C)n1ncnc1CN[C@H]1C[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001020353310 729144661 /nfs/dbraw/zinc/14/46/61/729144661.db2.gz ZDQZTOHKHVTWJY-KYZUINATSA-N -1 1 348.367 -0.494 20 0 EBADMM Cc1nnc([C@H](C)N[C@H]2C[C@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC001020353165 729144677 /nfs/dbraw/zinc/14/46/77/729144677.db2.gz RXUYHNPXMCXUGS-GEVIPFJHSA-N -1 1 334.340 -0.679 20 0 EBADMM C[C@H](N[C@H]1C[C@H](NC(=O)c2cnc([O-])n(C)c2=O)C1)c1nncn1C ZINC001020459199 729175310 /nfs/dbraw/zinc/17/53/10/729175310.db2.gz BWXLHWMGPKLZDS-GUBZILKMSA-N -1 1 347.379 -0.774 20 0 EBADMM O=C(NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1)[C@H]1CCNC1=O ZINC001021348017 729307258 /nfs/dbraw/zinc/30/72/58/729307258.db2.gz UHCAEINLXGSNBK-GYIHNLGQSA-N -1 1 334.380 -0.742 20 0 EBADMM O=C(c1ncccc1[O-])N1CC[C@H]2CN(C(=O)[C@@H]3CNC(=O)N3)C[C@H]21 ZINC001021444712 729314467 /nfs/dbraw/zinc/31/44/67/729314467.db2.gz PVZSDPGHPMOUJD-GARJFASQSA-N -1 1 345.359 -0.859 20 0 EBADMM CCC(=O)N1C[C@@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)[C@@H]2C1 ZINC001022164077 729462770 /nfs/dbraw/zinc/46/27/70/729462770.db2.gz SSSWVAOTCJKZTO-NWDGAFQWSA-N -1 1 334.376 -0.604 20 0 EBADMM O=C(N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C1)[C@H]1CCCCS1(=O)=O ZINC001022198667 729465938 /nfs/dbraw/zinc/46/59/38/729465938.db2.gz OKMJTVPIDSMPGP-KXUCPTDWSA-N -1 1 343.409 -0.786 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@@H]2CCN(C(=O)[C@H]3CCNC3=O)[C@@H]2C1 ZINC001022345734 729481951 /nfs/dbraw/zinc/48/19/51/729481951.db2.gz ULIOKDNVLHXEPM-SDDRHHMPSA-N -1 1 344.371 -0.404 20 0 EBADMM CCc1nnc(CN[C@H]2C[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1C ZINC001022370049 729485931 /nfs/dbraw/zinc/48/59/31/729485931.db2.gz SXXNPBZYMOQMBB-OCAPTIKFSA-N -1 1 348.367 -0.976 20 0 EBADMM Cc1nnc([C@H](C)N[C@H]2C[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC001022370014 729486130 /nfs/dbraw/zinc/48/61/30/729486130.db2.gz RXUYHNPXMCXUGS-APQOSEDMSA-N -1 1 334.340 -0.679 20 0 EBADMM O=C([N-][C@@H]1CN(C(=O)c2cc(C(F)(F)F)n[nH]2)C[C@H]1O)C(F)F ZINC001022856602 729541042 /nfs/dbraw/zinc/54/10/42/729541042.db2.gz QNUCDWAFVAGVOM-PHDIDXHHSA-N -1 1 342.224 -0.005 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)on1 ZINC001023036435 729582820 /nfs/dbraw/zinc/58/28/20/729582820.db2.gz BPJPNPOPVJXQMU-MWLCHTKSSA-N -1 1 332.316 -0.301 20 0 EBADMM CCc1cc(C(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2O)[nH]n1 ZINC001023091858 729599883 /nfs/dbraw/zinc/59/98/83/729599883.db2.gz SJMITPZFKNJNNN-DGCLKSJQSA-N -1 1 345.359 -0.312 20 0 EBADMM O=C(C[C@@H]1CCCO1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001023111870 729608637 /nfs/dbraw/zinc/60/86/37/729608637.db2.gz XHDMVUSEMSOGOG-DMDPSCGWSA-N -1 1 335.360 -0.342 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O)c1ccnc(F)c1 ZINC001023166663 729625705 /nfs/dbraw/zinc/62/57/05/729625705.db2.gz FIQOBWQJTIHCCG-ZYHUDNBSSA-N -1 1 346.318 -0.063 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](CNC(=O)c3cnns3)C2)nc1=O ZINC001023253953 729649983 /nfs/dbraw/zinc/64/99/83/729649983.db2.gz YXAVJPHMOYMOAN-VIFPVBQESA-N -1 1 337.409 -0.398 20 0 EBADMM O=C(C[C@H]1CCOC1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001023260714 729651818 /nfs/dbraw/zinc/65/18/18/729651818.db2.gz XUNASHUCQKXPQS-NQBHXWOUSA-N -1 1 335.360 -0.485 20 0 EBADMM Cc1c(C(=O)NC[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)nnn1C ZINC001023287670 729658054 /nfs/dbraw/zinc/65/80/54/729658054.db2.gz QBUZJGXLSJHYKY-NSHDSACASA-N -1 1 348.411 -0.813 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2cc[nH]c(=O)c2)C[C@H]1O)c1ncccc1[O-] ZINC001023488327 729702137 /nfs/dbraw/zinc/70/21/37/729702137.db2.gz CNSUIHJHXUWYFE-ZYHUDNBSSA-N -1 1 344.327 -0.497 20 0 EBADMM CCn1cc(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)cn1 ZINC001023488555 729702380 /nfs/dbraw/zinc/70/23/80/729702380.db2.gz NCFNTHFYLWFXHH-DGCLKSJQSA-N -1 1 345.359 -0.381 20 0 EBADMM Cc1cnn(CC(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)c1 ZINC001023488663 729702514 /nfs/dbraw/zinc/70/25/14/729702514.db2.gz QXEXJWQVEJBXNE-DGCLKSJQSA-N -1 1 345.359 -0.706 20 0 EBADMM CO[C@@H](C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1)C1CC1 ZINC001023488576 729702639 /nfs/dbraw/zinc/70/26/39/729702639.db2.gz OCAYVZVHGQYMOH-MPKXVKKWSA-N -1 1 335.360 -0.486 20 0 EBADMM Cc1cc(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)n(C)n1 ZINC001023488791 729702944 /nfs/dbraw/zinc/70/29/44/729702944.db2.gz XCKZBRDORKAXJY-ZWNOBZJWSA-N -1 1 345.359 -0.556 20 0 EBADMM Cn1ccc(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)c1 ZINC001023488750 729702978 /nfs/dbraw/zinc/70/29/78/729702978.db2.gz VLOHWIKWAFGVCW-DGCLKSJQSA-N -1 1 330.344 -0.259 20 0 EBADMM O=C(Cc1ccc[nH]1)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023493802 729704461 /nfs/dbraw/zinc/70/44/61/729704461.db2.gz MIORUFVZANDQMB-DGCLKSJQSA-N -1 1 330.344 -0.341 20 0 EBADMM CCc1nocc1C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023493606 729704647 /nfs/dbraw/zinc/70/46/47/729704647.db2.gz ICMPHYKVJLTKOA-DGCLKSJQSA-N -1 1 346.343 -0.047 20 0 EBADMM CNC(=O)CN1CCC[C@@H](CNC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001023636953 729743722 /nfs/dbraw/zinc/74/37/22/729743722.db2.gz YHEVTXYMICUJPC-NSHDSACASA-N -1 1 346.391 -0.790 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](CNC(=O)c3ncccn3)C2)nc1=O ZINC001023663030 729750253 /nfs/dbraw/zinc/75/02/53/729750253.db2.gz UYRAANQIYXKDTP-NSHDSACASA-N -1 1 331.380 -0.460 20 0 EBADMM Cc1cnc(C(=O)NC[C@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001024312852 729872822 /nfs/dbraw/zinc/87/28/22/729872822.db2.gz PQCTVUJPPXBQGP-GFCCVEGCSA-N -1 1 345.407 -0.009 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H]2CNC(=O)c2cccc(=O)[nH]2)nc1=O ZINC001024378009 729879568 /nfs/dbraw/zinc/87/95/68/729879568.db2.gz GLYPKUVSNVDAQP-NSHDSACASA-N -1 1 346.391 -0.007 20 0 EBADMM Cn1cc(C(=O)NC[C@@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)nn1 ZINC001024420204 729885556 /nfs/dbraw/zinc/88/55/56/729885556.db2.gz LHDDIRIRTDRHHW-JTQLQIEISA-N -1 1 334.384 -0.979 20 0 EBADMM Cc1cn(C)nc1C(=O)NC[C@@H]1CCCCN1Cc1nc(=O)n(C)[n-]1 ZINC001024437540 729887892 /nfs/dbraw/zinc/88/78/92/729887892.db2.gz SYMJEUCHTALIDE-LBPRGKRZSA-N -1 1 347.423 -0.065 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H]2CNC(=O)c2cnc[nH]c2=O)nc1=O ZINC001024498752 729893933 /nfs/dbraw/zinc/89/39/33/729893933.db2.gz ANRMYQOIENKJDT-JTQLQIEISA-N -1 1 347.379 -0.612 20 0 EBADMM Cn1ccc(C(=O)NC[C@@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001024799876 729930888 /nfs/dbraw/zinc/93/08/88/729930888.db2.gz RFUCIVXXXTXYEU-NSHDSACASA-N -1 1 333.396 -0.374 20 0 EBADMM Cc1nccc(C(=O)NC[C@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001024806555 729931517 /nfs/dbraw/zinc/93/15/17/729931517.db2.gz JZRPUGRBWCXWTB-GFCCVEGCSA-N -1 1 345.407 -0.009 20 0 EBADMM C[C@@H](C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1)n1cncn1 ZINC001024933637 729943779 /nfs/dbraw/zinc/94/37/79/729943779.db2.gz YWWZHZLDVNHXPZ-WDEREUQCSA-N -1 1 334.384 -0.166 20 0 EBADMM Cc1nc[nH]c1CC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001024957022 729945636 /nfs/dbraw/zinc/94/56/36/729945636.db2.gz OFCKUTBKSKAQMP-DGCLKSJQSA-N -1 1 345.359 -0.637 20 0 EBADMM Cn1ncc(CCC(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)n1 ZINC001025087228 729994943 /nfs/dbraw/zinc/99/49/43/729994943.db2.gz YCIBFONJAXJSCB-GFCCVEGCSA-N -1 1 348.411 -0.258 20 0 EBADMM CC(C)[C@H]1OCC[C@H]1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001025810246 730094267 /nfs/dbraw/zinc/09/42/67/730094267.db2.gz UILITIAUFNYSAF-RKEKIRQTSA-N -1 1 349.435 -0.284 20 0 EBADMM C[C@@H]1OCC[C@]1(C)C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001025924331 730108331 /nfs/dbraw/zinc/10/83/31/730108331.db2.gz PTEZYHMIHSJVHH-CTSUJBPDSA-N -1 1 335.408 -0.530 20 0 EBADMM C[C@H]1C[C@@H](C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)CCO1 ZINC001026125535 730122342 /nfs/dbraw/zinc/12/23/42/730122342.db2.gz DPHOOXZFNHTRND-ZXPJVPCYSA-N -1 1 335.408 -0.530 20 0 EBADMM C[C@@H]1C[C@H](C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)CCO1 ZINC001026125533 730122369 /nfs/dbraw/zinc/12/23/69/730122369.db2.gz DPHOOXZFNHTRND-SSKLVLDBSA-N -1 1 335.408 -0.530 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H]2C[C@@]23CCOC3)nc1=O ZINC001026138126 730123441 /nfs/dbraw/zinc/12/34/41/730123441.db2.gz YFTMRIWUUIGDIS-IWAHSAMASA-N -1 1 333.392 -0.919 20 0 EBADMM CO[C@H]1CCCC[C@@H]1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001026152713 730127121 /nfs/dbraw/zinc/12/71/21/730127121.db2.gz PZZLOZVFSFHEOR-DKBOKBLXSA-N -1 1 349.435 -0.140 20 0 EBADMM O=C(NC1CCC(NCc2ncccn2)CC1)c1n[nH]c(=O)[n-]c1=O ZINC001026522620 730148541 /nfs/dbraw/zinc/14/85/41/730148541.db2.gz PIXMHNDDGVGERC-UHFFFAOYSA-N -1 1 345.363 -0.096 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CC[C@H](CNCc2nncs2)C1 ZINC001026940261 730173554 /nfs/dbraw/zinc/17/35/54/730173554.db2.gz DRXXRKQGRPHDQZ-SSDOTTSWSA-N -1 1 337.365 -0.614 20 0 EBADMM Cc1noc([C@H](C)NC[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001026940953 730173896 /nfs/dbraw/zinc/17/38/96/730173896.db2.gz WACOIRSIHPXVRM-IONNQARKSA-N -1 1 349.351 -0.213 20 0 EBADMM C[C@@H](NC[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1)c1ncccn1 ZINC001026940854 730173933 /nfs/dbraw/zinc/17/39/33/730173933.db2.gz SSAHZZKOKVWBAX-ZJUUUORDSA-N -1 1 345.363 -0.114 20 0 EBADMM CC(C)(C(=O)N1CC[C@H](CNCc2n[nH]c(=O)[n-]2)C1)S(C)(=O)=O ZINC001027100883 730188527 /nfs/dbraw/zinc/18/85/27/730188527.db2.gz IMJDJWUIAADTKR-SECBINFHSA-N -1 1 345.425 -0.728 20 0 EBADMM C[C@H](C(N)=O)N(C)[C@@H]1CCCN(C(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001027606601 730228158 /nfs/dbraw/zinc/22/81/58/730228158.db2.gz JAMOIKWRIYRRJI-GHMZBOCLSA-N -1 1 346.391 -0.567 20 0 EBADMM Cc1c(C(=O)NC[C@H]2CCCN2Cc2nc(=O)n(C)[n-]2)cnn1C ZINC001027759022 730237466 /nfs/dbraw/zinc/23/74/66/730237466.db2.gz HPRAOYWPXQNBFF-LLVKDONJSA-N -1 1 333.396 -0.455 20 0 EBADMM COc1cc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)on1 ZINC001027832231 730241791 /nfs/dbraw/zinc/24/17/91/730241791.db2.gz BHZUSVQGKMBLKA-VIFPVBQESA-N -1 1 336.352 -0.501 20 0 EBADMM O=C(NC[C@H]1CCCN1[C@@H]1CCC(=O)NC1=O)c1ncccc1[O-] ZINC001027843617 730242242 /nfs/dbraw/zinc/24/22/42/730242242.db2.gz CQGPVDHTJBXJBC-GHMZBOCLSA-N -1 1 332.360 -0.213 20 0 EBADMM O=C(CN1CCC[C@@H]1CNC(=O)c1ncccc1[O-])N1CCOCC1 ZINC001027844442 730242548 /nfs/dbraw/zinc/24/25/48/730242548.db2.gz RKNUVQFBIUNIPN-CYBMUJFWSA-N -1 1 348.403 -0.160 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)NC[C@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001027950128 730250946 /nfs/dbraw/zinc/25/09/46/730250946.db2.gz JISPZDAWUJVNRB-SECBINFHSA-N -1 1 335.368 -0.760 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H]2CNC(=O)c2coc(C(N)=O)c2)nc1=O ZINC001028045859 730260434 /nfs/dbraw/zinc/26/04/34/730260434.db2.gz RLSUTGPSSJPYMX-JTQLQIEISA-N -1 1 348.363 -0.805 20 0 EBADMM COc1nn(C)cc1C(=O)NC[C@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001028072921 730262903 /nfs/dbraw/zinc/26/29/03/730262903.db2.gz LDBHIWHIWUPQNU-SNVBAGLBSA-N -1 1 349.395 -0.755 20 0 EBADMM COc1ncccc1C(=O)NC[C@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001028111360 730268231 /nfs/dbraw/zinc/26/82/31/730268231.db2.gz PUUVKYWFRWUKTN-LLVKDONJSA-N -1 1 346.391 -0.094 20 0 EBADMM Cc1nc(C)c(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)[nH]1 ZINC001028143205 730271022 /nfs/dbraw/zinc/27/10/22/730271022.db2.gz ASHMUAFMWNHXGA-NSHDSACASA-N -1 1 333.396 -0.157 20 0 EBADMM CNC(=O)[C@H](C)N1CCC[C@@H]1CNC(=O)c1c[n-]n2c1nccc2=O ZINC001028186473 730272866 /nfs/dbraw/zinc/27/28/66/730272866.db2.gz LQSQGWJHCPFMMR-WDEREUQCSA-N -1 1 346.391 -0.649 20 0 EBADMM CCn1cc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)nn1 ZINC001028229046 730276379 /nfs/dbraw/zinc/27/63/79/730276379.db2.gz BRPXBKGYJNQGNT-JTQLQIEISA-N -1 1 334.384 -0.886 20 0 EBADMM O=C(NC[C@@H]1CCCN1Cc1cnon1)c1cc(=O)n2[n-]cnc2n1 ZINC001028259283 730278122 /nfs/dbraw/zinc/27/81/22/730278122.db2.gz BTKOYZWQHSQJHE-JTQLQIEISA-N -1 1 344.335 -0.805 20 0 EBADMM CCc1ncncc1C(=O)NC[C@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001028321526 730283173 /nfs/dbraw/zinc/28/31/73/730283173.db2.gz JYGBGRHADLKELL-LLVKDONJSA-N -1 1 345.407 -0.145 20 0 EBADMM CCc1cc(C(=O)NC[C@@H]2CCCN2Cc2nc(=O)n(C)[n-]2)nn1C ZINC001028350107 730287653 /nfs/dbraw/zinc/28/76/53/730287653.db2.gz CZIKJPQOPGMRHW-LBPRGKRZSA-N -1 1 347.423 -0.201 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)c3cn(C)ccc3=O)C2)nc1=O ZINC001028682007 730339414 /nfs/dbraw/zinc/33/94/14/730339414.db2.gz FAZLYEGDHIJVHG-LLVKDONJSA-N -1 1 346.391 -0.941 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)c3ncccc3F)C2)nc1=O ZINC001028891342 730367986 /nfs/dbraw/zinc/36/79/86/730367986.db2.gz BQLABCIUZHSRMS-JTQLQIEISA-N -1 1 334.355 -0.106 20 0 EBADMM Cc1ncc(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)n1C ZINC001029441242 730405707 /nfs/dbraw/zinc/40/57/07/730405707.db2.gz DXUMYPXCDQBOOY-TXEJJXNPSA-N -1 1 345.407 -0.361 20 0 EBADMM CN1CC(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[n-]2)=NC1=O ZINC001029454194 730406339 /nfs/dbraw/zinc/40/63/39/730406339.db2.gz UOQRVMLVOJXOHK-DTORHVGOSA-N -1 1 333.352 -0.562 20 0 EBADMM C[C@@H]1OCC[C@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029527459 730409177 /nfs/dbraw/zinc/40/91/77/730409177.db2.gz JUJUBWPOBYXXSZ-WUHRBBMRSA-N -1 1 335.408 -0.291 20 0 EBADMM Cc1cnoc1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029536890 730409520 /nfs/dbraw/zinc/40/95/20/730409520.db2.gz NQPFGKXHJUUONO-PHIMTYICSA-N -1 1 332.364 -0.106 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)CN2CCOCC2)C1 ZINC001029709278 730485865 /nfs/dbraw/zinc/48/58/65/730485865.db2.gz HXZFQJVTNRJPIF-LBPRGKRZSA-N -1 1 338.412 -0.877 20 0 EBADMM CCn1nncc1C(=O)N1CC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001029731885 730490207 /nfs/dbraw/zinc/49/02/07/730490207.db2.gz YXXGRJPLJPNWBN-SNVBAGLBSA-N -1 1 334.384 -0.284 20 0 EBADMM CN(Cc1ccn(C)n1)C[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001029776492 730499729 /nfs/dbraw/zinc/49/97/29/730499729.db2.gz BRVLGYNGYBIVRK-SNVBAGLBSA-N -1 1 347.379 -0.390 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)c2coc(C(N)=O)c2)C1 ZINC001029791819 730501998 /nfs/dbraw/zinc/50/19/98/730501998.db2.gz WINWZAPZKCYJIC-SECBINFHSA-N -1 1 348.363 -0.204 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)[C@]2(C)CCNC2=O)C1 ZINC001029838997 730510823 /nfs/dbraw/zinc/51/08/23/730510823.db2.gz RXXAMEPIONCDTJ-ZUZCIYMTSA-N -1 1 336.396 -0.683 20 0 EBADMM Cn1cc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c(C2CC2)n1 ZINC001030379531 730576502 /nfs/dbraw/zinc/57/65/02/730576502.db2.gz HOXWCQZZAGRULF-UHFFFAOYSA-N -1 1 331.380 -0.667 20 0 EBADMM CCOc1ccc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001030398067 730579628 /nfs/dbraw/zinc/57/96/28/730579628.db2.gz WLKPBMPKPSWBNG-UHFFFAOYSA-N -1 1 332.364 -0.484 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)Cc3cc(C4CC4)no3)C2)nc1=O ZINC001030430652 730584379 /nfs/dbraw/zinc/58/43/79/730584379.db2.gz HUPLHYNKQMDICC-UHFFFAOYSA-N -1 1 332.364 -0.483 20 0 EBADMM CCc1nc([C@@H](C)N2CC(NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001030616983 730614593 /nfs/dbraw/zinc/61/45/93/730614593.db2.gz RFMVWVICNNJZPZ-ZCFIWIBFSA-N -1 1 334.340 -0.862 20 0 EBADMM Cn1nc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)cc1Cl ZINC001030649704 730619444 /nfs/dbraw/zinc/61/94/44/730619444.db2.gz AEUQCRBVMXTGPU-UHFFFAOYSA-N -1 1 325.760 -0.891 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3nc4cnccc4s3)C2)nc1=O ZINC001030653825 730620243 /nfs/dbraw/zinc/62/02/43/730620243.db2.gz NXTAJNJCPQFYNU-UHFFFAOYSA-N -1 1 345.388 -0.273 20 0 EBADMM COc1ccnc(OC)c1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030667389 730621822 /nfs/dbraw/zinc/62/18/22/730621822.db2.gz IMYGXXPLBUBXSQ-UHFFFAOYSA-N -1 1 348.363 -0.865 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3nccc4occc43)C2)nc1=O ZINC001030717700 730627534 /nfs/dbraw/zinc/62/75/34/730627534.db2.gz WUHFPTYPRRGQSK-UHFFFAOYSA-N -1 1 328.332 -0.136 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)Cc3ccc4c(c3)CCO4)C2)nc1=O ZINC001030764017 730634363 /nfs/dbraw/zinc/63/43/63/730634363.db2.gz YOKRPMMMRRDCRU-UHFFFAOYSA-N -1 1 343.387 -0.414 20 0 EBADMM CC(C)C(=O)NC[C@@H]1COCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001061297289 738483550 /nfs/dbraw/zinc/48/35/50/738483550.db2.gz CRVDGPNZJMBCGM-SNVBAGLBSA-N -1 1 348.363 -0.969 20 0 EBADMM C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001030836011 730645957 /nfs/dbraw/zinc/64/59/57/730645957.db2.gz CVZIZHSIRAXHKL-SKDRFNHKSA-N -1 1 345.407 -0.502 20 0 EBADMM COc1cccnc1CC(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030845949 730646997 /nfs/dbraw/zinc/64/69/97/730646997.db2.gz VNFAOGRTXMNSRJ-UHFFFAOYSA-N -1 1 332.364 -0.945 20 0 EBADMM CCOc1cc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)ccn1 ZINC001030931142 730654333 /nfs/dbraw/zinc/65/43/33/730654333.db2.gz CLUPQNMERFPDFO-UHFFFAOYSA-N -1 1 332.364 -0.484 20 0 EBADMM CC(C)c1ccc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c(=O)[nH]1 ZINC001031053996 730668815 /nfs/dbraw/zinc/66/88/15/730668815.db2.gz GUVSCMVTZZPFDF-UHFFFAOYSA-N -1 1 346.391 -0.053 20 0 EBADMM Cn1nncc1CN1CC(NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001031060847 730669951 /nfs/dbraw/zinc/66/99/51/730669951.db2.gz LOANUNKPCKUTIS-UHFFFAOYSA-N -1 1 329.364 -0.198 20 0 EBADMM Cn1nnc(CN2CC(NC(=O)c3cnc(C4CC4)[n-]c3=O)C2)n1 ZINC001031061299 730669957 /nfs/dbraw/zinc/66/99/57/730669957.db2.gz VELLDFGSDPETGU-UHFFFAOYSA-N -1 1 330.352 -0.803 20 0 EBADMM O=C(NC1CN(C[C@@H]2CCCOC2)C1)c1c[n-]n2c1nccc2=O ZINC001031065793 730670516 /nfs/dbraw/zinc/67/05/16/730670516.db2.gz WVZVQMQXASTHNX-NSHDSACASA-N -1 1 331.376 -0.137 20 0 EBADMM Cc1n[nH]c(C)c1[C@@H](C)C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031113841 730677110 /nfs/dbraw/zinc/67/71/10/730677110.db2.gz RCPKOXIBZJGERP-MRVPVSSYSA-N -1 1 333.396 -0.448 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cccc4nsnc43)C2)nc1=O ZINC001031186706 730684426 /nfs/dbraw/zinc/68/44/26/730684426.db2.gz ZXAVQAYZNIGJOK-UHFFFAOYSA-N -1 1 345.388 -0.273 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C[C@H]2CC[C@H](C)O2)C1 ZINC001031249777 730693203 /nfs/dbraw/zinc/69/32/03/730693203.db2.gz HNGMLQTXTUKHDX-WCBMZHEXSA-N -1 1 338.364 -0.122 20 0 EBADMM Cc1cccnc1CN1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001031250137 730693794 /nfs/dbraw/zinc/69/37/94/730693794.db2.gz VHNHNCWNIOMCHL-UHFFFAOYSA-N -1 1 339.359 -0.265 20 0 EBADMM O=C(NC1CN(CCc2ccns2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001031253800 730695410 /nfs/dbraw/zinc/69/54/10/730695410.db2.gz SOYVLXGFEFLIIT-UHFFFAOYSA-N -1 1 345.388 -0.469 20 0 EBADMM O=C(CN1CCCC1)NC1CN(Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC001031389343 730713915 /nfs/dbraw/zinc/71/39/15/730713915.db2.gz LLEFGGJDHHWNAX-UHFFFAOYSA-N -1 1 330.392 -0.581 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)Cc3csc4nccn34)C2)nc1=O ZINC001031394133 730715059 /nfs/dbraw/zinc/71/50/59/730715059.db2.gz PCTQRZNXJSCNMY-UHFFFAOYSA-N -1 1 347.404 -0.639 20 0 EBADMM Cn1nnc2cccc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)c21 ZINC001031499708 730730668 /nfs/dbraw/zinc/73/06/68/730730668.db2.gz QSQRUPIGISNNEJ-UHFFFAOYSA-N -1 1 342.363 -0.996 20 0 EBADMM O=C(CN1CC(CNC(=O)c2ncccc2[O-])C1)N1CCOCC1 ZINC001031627650 730742788 /nfs/dbraw/zinc/74/27/88/730742788.db2.gz QOKUWNPPRYQKDC-UHFFFAOYSA-N -1 1 334.376 -0.692 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cnn(C4CCC4)c3)C2)nc1=O ZINC001031668002 730748301 /nfs/dbraw/zinc/74/83/01/730748301.db2.gz UMCIUPNEBWMTOL-UHFFFAOYSA-N -1 1 345.407 -0.108 20 0 EBADMM CC[C@H]1OCCC[C@@H]1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031722309 730757396 /nfs/dbraw/zinc/75/73/96/730757396.db2.gz SJUNCZLZAKOBAO-QWHCGFSZSA-N -1 1 337.424 -0.138 20 0 EBADMM Cc1ccc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)nc1C ZINC001031851047 730780017 /nfs/dbraw/zinc/78/00/17/730780017.db2.gz YQPOSGFWZGYHDO-UHFFFAOYSA-N -1 1 330.392 -0.018 20 0 EBADMM O=C(NCC1CN(Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCCc2n[nH]nc21 ZINC001031931912 730794914 /nfs/dbraw/zinc/79/49/14/730794914.db2.gz ZAMSGXZROZAOPE-SECBINFHSA-N -1 1 332.368 -0.704 20 0 EBADMM CCOC1CC2(C[C@H]2C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001031973862 730798643 /nfs/dbraw/zinc/79/86/43/730798643.db2.gz IMKHJNCKUCYWGF-JZLYGMAVSA-N -1 1 349.435 -0.138 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cc(C4CC4)n[nH]3)C2)nc1=O ZINC001032000773 730802109 /nfs/dbraw/zinc/80/21/09/730802109.db2.gz WTTDPMMMULRPOZ-UHFFFAOYSA-N -1 1 331.380 -0.429 20 0 EBADMM CC[C@@H]1C[C@@H](C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)CCO1 ZINC001032110774 730813223 /nfs/dbraw/zinc/81/32/23/730813223.db2.gz PZZGGZGSLXIBLF-QWHCGFSZSA-N -1 1 337.424 -0.138 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3noc4c3COCC4)C2)nc1=O ZINC001032197406 730826962 /nfs/dbraw/zinc/82/69/62/730826962.db2.gz JSZRLZFDRXTLGJ-UHFFFAOYSA-N -1 1 348.363 -0.969 20 0 EBADMM CO[C@H]1CCCC[C@H]1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032221074 730831633 /nfs/dbraw/zinc/83/16/33/730831633.db2.gz WKJWFVVJLLKKLC-OLZOCXBDSA-N -1 1 337.424 -0.138 20 0 EBADMM C[C@H](C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1)n1cccc1 ZINC001032355588 730844632 /nfs/dbraw/zinc/84/46/32/730844632.db2.gz GJUNCGKYJDKYDM-AGIUHOORSA-N -1 1 330.392 -0.044 20 0 EBADMM O=C(CN1C[C@@H]2C[C@H]1CN2C(=O)c1ncccc1[O-])N1CCOCC1 ZINC001032369735 730846898 /nfs/dbraw/zinc/84/68/98/730846898.db2.gz PGSWZVDNLWQEBC-STQMWFEESA-N -1 1 346.387 -0.455 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3C[C@H]2CN3C(=O)c2cc3n(n2)CCC3)nc1=O ZINC001032435967 730859985 /nfs/dbraw/zinc/85/99/85/730859985.db2.gz KTHPNCMLRDAMDH-RYUDHWBXSA-N -1 1 343.391 -0.650 20 0 EBADMM CCc1cc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)n(C)n1 ZINC001032699199 730913801 /nfs/dbraw/zinc/91/38/01/730913801.db2.gz HSXZKKKTYBKRKG-RYUDHWBXSA-N -1 1 345.407 -0.497 20 0 EBADMM CN(C(=O)c1cc[n+]([O-])cc1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032885108 730945798 /nfs/dbraw/zinc/94/57/98/730945798.db2.gz OBXUQFABFDXUKI-GFCCVEGCSA-N -1 1 332.364 -0.912 20 0 EBADMM Cc1c(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001032915307 730948504 /nfs/dbraw/zinc/94/85/04/730948504.db2.gz PJRGXUZFGDMSQU-NSHDSACASA-N -1 1 333.396 -0.503 20 0 EBADMM Cc1cc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC001032936350 730950352 /nfs/dbraw/zinc/95/03/52/730950352.db2.gz VJJZQHJKLRZGIG-NSHDSACASA-N -1 1 333.396 -0.503 20 0 EBADMM CN(C(=O)c1ccncc1F)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032971541 730953582 /nfs/dbraw/zinc/95/35/82/730953582.db2.gz APDWLGQIRHPVJR-JTQLQIEISA-N -1 1 334.355 -0.011 20 0 EBADMM CN(C(=O)c1cc[nH]c(=O)c1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001032999178 730956006 /nfs/dbraw/zinc/95/60/06/730956006.db2.gz YYCFZYUPJMCGEI-NSHDSACASA-N -1 1 332.364 -0.445 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(Cc2nc(=O)n(C)[nH]2)C1 ZINC001033024231 730958999 /nfs/dbraw/zinc/95/89/99/730958999.db2.gz BNPHLPLJQVUDPG-SNVBAGLBSA-N -1 1 332.364 -0.445 20 0 EBADMM CCn1ccc(CC(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001033098266 730970547 /nfs/dbraw/zinc/97/05/47/730970547.db2.gz DASBSJLOHSRDQT-CYBMUJFWSA-N -1 1 347.423 -0.400 20 0 EBADMM CN(C(=O)c1cnn2c1CCC2)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033129479 730974229 /nfs/dbraw/zinc/97/42/29/730974229.db2.gz AJMDJRZUCXDSIT-LLVKDONJSA-N -1 1 345.407 -0.402 20 0 EBADMM COc1cc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC001033251937 730996121 /nfs/dbraw/zinc/99/61/21/730996121.db2.gz ZIUNGZZWLDKXIP-SNVBAGLBSA-N -1 1 349.395 -0.803 20 0 EBADMM COc1nccc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001033257637 730997560 /nfs/dbraw/zinc/99/75/60/730997560.db2.gz SUHYRKUWKYQVQK-SNVBAGLBSA-N -1 1 347.379 -0.747 20 0 EBADMM CN(C(=O)c1coc(C(N)=O)c1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033297709 731002750 /nfs/dbraw/zinc/00/27/50/731002750.db2.gz RJHVHXSWGKJGNT-SNVBAGLBSA-N -1 1 348.363 -0.853 20 0 EBADMM Cc1nn(C)c(C)c1C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033310485 731004379 /nfs/dbraw/zinc/00/43/79/731004379.db2.gz XEEKYXPQBOUMJY-GFCCVEGCSA-N -1 1 347.423 -0.195 20 0 EBADMM COc1ccnc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001033324258 731006704 /nfs/dbraw/zinc/00/67/04/731006704.db2.gz PQZAJADUFUPTBO-NSHDSACASA-N -1 1 346.391 -0.142 20 0 EBADMM CO[C@@H](C)CN1CC[C@@H](N(C)C(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001033509443 731033359 /nfs/dbraw/zinc/03/33/59/731033359.db2.gz JJYSLINRLPEMSY-NWDGAFQWSA-N -1 1 348.407 -0.361 20 0 EBADMM CN(C(=O)Cn1[n-]cc2c(=O)ncnc1-2)[C@@H]1CCN(CCCF)C1 ZINC001033509406 731033574 /nfs/dbraw/zinc/03/35/74/731033574.db2.gz INRONACDYOQPGV-LLVKDONJSA-N -1 1 336.371 -0.037 20 0 EBADMM CN(C(=O)Cn1c(=O)[n-][nH]c1=O)[C@H]1CCN(Cc2cccnc2)C1 ZINC001033616062 731047155 /nfs/dbraw/zinc/04/71/55/731047155.db2.gz FDLNELBTWYRFSJ-LBPRGKRZSA-N -1 1 332.364 -0.183 20 0 EBADMM Cc1noc(C)c1CC(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033653611 731055958 /nfs/dbraw/zinc/05/59/58/731055958.db2.gz SEAJMTHDYXIYPP-LBPRGKRZSA-N -1 1 348.407 -0.011 20 0 EBADMM C[C@H](C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)c1cnn(C)c1 ZINC001033723535 731070381 /nfs/dbraw/zinc/07/03/81/731070381.db2.gz PULREBUNTIESKX-WCQYABFASA-N -1 1 347.423 -0.322 20 0 EBADMM CCN(C(=O)c1cc[n+]([O-])cc1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033737389 731070640 /nfs/dbraw/zinc/07/06/40/731070640.db2.gz OBLOVQVAKMJRIQ-CYBMUJFWSA-N -1 1 346.391 -0.522 20 0 EBADMM CCN(C(=O)c1cnn(C)c1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033743997 731070824 /nfs/dbraw/zinc/07/08/24/731070824.db2.gz FIWNYKZOOVZUSN-GFCCVEGCSA-N -1 1 333.396 -0.422 20 0 EBADMM CCN(C(=O)c1cnccn1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033738378 731071161 /nfs/dbraw/zinc/07/11/61/731071161.db2.gz VDNGTYVHVQBAKR-NSHDSACASA-N -1 1 331.380 -0.365 20 0 EBADMM CCN(C(=O)c1cc(C)nn1C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033762447 731071941 /nfs/dbraw/zinc/07/19/41/731071941.db2.gz GMRYUDSNLRFUNH-LBPRGKRZSA-N -1 1 347.423 -0.113 20 0 EBADMM CCN(C(=O)c1cc(C)ncn1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033795618 731074770 /nfs/dbraw/zinc/07/47/70/731074770.db2.gz PPKWKJNDCLHJDG-GFCCVEGCSA-N -1 1 345.407 -0.057 20 0 EBADMM CCN(C(=O)c1[nH]c(=O)[nH]c1C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033863115 731080908 /nfs/dbraw/zinc/08/09/08/731080908.db2.gz ALIMKQYSSOKFGP-SNVBAGLBSA-N -1 1 349.395 -0.418 20 0 EBADMM CCN(C(=O)c1cn[nH]c(=O)c1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033863541 731080926 /nfs/dbraw/zinc/08/09/26/731080926.db2.gz HAGLJMLXAFLYSZ-NSHDSACASA-N -1 1 347.379 -0.659 20 0 EBADMM CCN(C(=O)[C@H]1[C@@H]2COC[C@@H]21)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033924112 731089503 /nfs/dbraw/zinc/08/95/03/731089503.db2.gz YAIORLRATKWTGG-CIQGVGRVSA-N -1 1 335.408 -0.576 20 0 EBADMM Cc1cnn(CC(=O)N[C@@H]2CCCCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001034123790 731104720 /nfs/dbraw/zinc/10/47/20/731104720.db2.gz RSKVYQIVRCJVLC-CYBMUJFWSA-N -1 1 347.423 -0.216 20 0 EBADMM Cn1cc(CN2CCCC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)cn1 ZINC001034289784 731118008 /nfs/dbraw/zinc/11/80/08/731118008.db2.gz BZRDESPUMVROLA-LLVKDONJSA-N -1 1 347.379 -0.199 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H](NC(=O)c3csnn3)C2)nc1=O ZINC001034335582 731121706 /nfs/dbraw/zinc/12/17/06/731121706.db2.gz VWJIUUXKMGOIGX-VIFPVBQESA-N -1 1 337.409 -0.256 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H](NC(=O)c3ccnnc3)C2)nc1=O ZINC001034410686 731129579 /nfs/dbraw/zinc/12/95/79/731129579.db2.gz HGRNBNJULBQOQK-LBPRGKRZSA-N -1 1 331.380 -0.317 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H](NC(=O)c3cccnn3)C2)nc1=O ZINC001034455776 731133187 /nfs/dbraw/zinc/13/31/87/731133187.db2.gz ONZGKFVVTSJOLE-LLVKDONJSA-N -1 1 331.380 -0.317 20 0 EBADMM O=C(N[C@H]1CC[C@H](NCc2ncccn2)CC1)c1n[nH]c(=O)[n-]c1=O ZINC001034683981 731150553 /nfs/dbraw/zinc/15/05/53/731150553.db2.gz PIXMHNDDGVGERC-MGCOHNPYSA-N -1 1 345.363 -0.096 20 0 EBADMM Cn1nccc1CCC(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034949949 731166900 /nfs/dbraw/zinc/16/69/00/731166900.db2.gz HHKQZNNZYFBXJG-GFCCVEGCSA-N -1 1 333.396 -0.043 20 0 EBADMM O=C(c1ccc2n[nH]nc2n1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034956231 731167440 /nfs/dbraw/zinc/16/74/40/731167440.db2.gz RKESCBNHDYLBOJ-MRVPVSSYSA-N -1 1 343.351 -0.429 20 0 EBADMM COc1nccc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)n1 ZINC001034990634 731174044 /nfs/dbraw/zinc/17/40/44/731174044.db2.gz VDNARBCKNMTZDZ-SECBINFHSA-N -1 1 333.352 -0.297 20 0 EBADMM O=C(c1cc2n(n1)CCCO2)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034990723 731174216 /nfs/dbraw/zinc/17/42/16/731174216.db2.gz WGAMRDUOUPVTQV-SNVBAGLBSA-N -1 1 347.379 -0.116 20 0 EBADMM Cn1ccnc1CCC(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001034999620 731175190 /nfs/dbraw/zinc/17/51/90/731175190.db2.gz IPTQUBYGQYNZAZ-LLVKDONJSA-N -1 1 333.396 -0.043 20 0 EBADMM Cc1ccn(CC(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)c(=O)c1 ZINC001035069794 731182315 /nfs/dbraw/zinc/18/23/15/731182315.db2.gz HCBAOGKKYUOWRB-GFCCVEGCSA-N -1 1 346.391 -0.239 20 0 EBADMM CC(C)c1nnnn1CC(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035070852 731182470 /nfs/dbraw/zinc/18/24/70/731182470.db2.gz SEWYRPSONRMTBW-SNVBAGLBSA-N -1 1 349.399 -0.599 20 0 EBADMM O=C(c1cc2ncccn2n1)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001035082437 731183814 /nfs/dbraw/zinc/18/38/14/731183814.db2.gz AJAUOPQXDALVMI-SNVBAGLBSA-N -1 1 342.363 -0.053 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)c3ccccc3F)C2)nc1=O ZINC001035262359 731201873 /nfs/dbraw/zinc/20/18/73/731201873.db2.gz BJRZZSLDYRLCSE-NSHDSACASA-N -1 1 349.366 -0.122 20 0 EBADMM Cc1ccc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)o1 ZINC001035279071 731204325 /nfs/dbraw/zinc/20/43/25/731204325.db2.gz IRQLMJLUNXXPSD-NSHDSACASA-N -1 1 335.364 -0.359 20 0 EBADMM Cc1ccc(C(=O)NC[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)o1 ZINC001035279067 731204358 /nfs/dbraw/zinc/20/43/58/731204358.db2.gz IRQLMJLUNXXPSD-LLVKDONJSA-N -1 1 335.364 -0.359 20 0 EBADMM Cc1cncc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)c1 ZINC001035328799 731216952 /nfs/dbraw/zinc/21/69/52/731216952.db2.gz WAJCRQAWIRDCGU-ZDUSSCGKSA-N -1 1 346.391 -0.557 20 0 EBADMM C[C@H](c1nnnn1C)N1CCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001035344009 731221934 /nfs/dbraw/zinc/22/19/34/731221934.db2.gz NXYRHWAMVPCVIZ-MNOVXSKESA-N -1 1 347.379 -0.498 20 0 EBADMM C[C@@H](C(=O)NC1CC1)N1CCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001035348650 731224051 /nfs/dbraw/zinc/22/40/51/731224051.db2.gz YUQMCZGQISWVIM-WCQYABFASA-N -1 1 348.403 -0.115 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)C3C=CC=CC=C3)C2)nc1=O ZINC001035383019 731235318 /nfs/dbraw/zinc/23/53/18/731235318.db2.gz FPDIPCQJVHZUOM-AWEZNQCLSA-N -1 1 345.403 -0.276 20 0 EBADMM O=C(NC[C@@H]1CCN1CCN1C(=O)CNC1=O)c1ncccc1[O-] ZINC001038184229 731291652 /nfs/dbraw/zinc/29/16/52/731291652.db2.gz QZOUPPZJPOZSOE-JTQLQIEISA-N -1 1 333.348 -0.857 20 0 EBADMM O=C(CN1CC[C@H]1CNC(=O)c1ncccc1[O-])NC1CCOCC1 ZINC001038195075 731292396 /nfs/dbraw/zinc/29/23/96/731292396.db2.gz RZJAEGFUOZTCNT-ZDUSSCGKSA-N -1 1 348.403 -0.114 20 0 EBADMM Cn1cc(Cl)c(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001038283519 731302134 /nfs/dbraw/zinc/30/21/34/731302134.db2.gz HKZVESMLJNEWMG-MRVPVSSYSA-N -1 1 339.787 -0.500 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)c(C)nn1 ZINC001038299039 731304031 /nfs/dbraw/zinc/30/40/31/731304031.db2.gz BECOTDJIUIEPOE-LLVKDONJSA-N -1 1 331.380 -0.480 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2ncnc3[nH]ccc32)nc1=O ZINC001038465193 731330539 /nfs/dbraw/zinc/33/05/39/731330539.db2.gz JAPHSFOHCPUPON-VIFPVBQESA-N -1 1 342.363 -0.664 20 0 EBADMM Cn1nc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)cc1Cl ZINC001038491816 731335320 /nfs/dbraw/zinc/33/53/20/731335320.db2.gz JGDXFRWRNLRXKU-MRVPVSSYSA-N -1 1 339.787 -0.500 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2ccc3n[nH]cc3c2)nc1=O ZINC001038638801 731358508 /nfs/dbraw/zinc/35/85/08/731358508.db2.gz KSTXPWYUZFTPQT-GFCCVEGCSA-N -1 1 341.375 -0.011 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)[C@H]2CCCc3nn[nH]c32)nc1=O ZINC001038647478 731359322 /nfs/dbraw/zinc/35/93/22/731359322.db2.gz SZZGCQAQBFMARG-ZJUUUORDSA-N -1 1 346.395 -0.963 20 0 EBADMM CCc1c(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)nnn1CC ZINC001038693927 731365404 /nfs/dbraw/zinc/36/54/04/731365404.db2.gz PFLSGXHNXKVBPZ-SNVBAGLBSA-N -1 1 348.411 -0.714 20 0 EBADMM COc1c(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)c(C)nn1C ZINC001038761900 731373073 /nfs/dbraw/zinc/37/30/73/731373073.db2.gz OYDNZOAVCRWBNF-SNVBAGLBSA-N -1 1 349.395 -0.837 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2cnoc2C2CC2)nc1=O ZINC001038877113 731387679 /nfs/dbraw/zinc/38/76/79/731387679.db2.gz RPPJEUIKPVZTPI-JTQLQIEISA-N -1 1 332.364 -0.022 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2ncoc2C2CC2)nc1=O ZINC001038883458 731387765 /nfs/dbraw/zinc/38/77/65/731387765.db2.gz XHRMMSQBWDJUES-JTQLQIEISA-N -1 1 332.364 -0.022 20 0 EBADMM CC(C)c1cc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)n(C)n1 ZINC001038879238 731387905 /nfs/dbraw/zinc/38/79/05/731387905.db2.gz SSUWDQWRFABLMR-NSHDSACASA-N -1 1 347.423 -0.030 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2cc3c([nH]2)CCC3)nc1=O ZINC001038903598 731389855 /nfs/dbraw/zinc/38/98/55/731389855.db2.gz BVOGXBWRPFOFGX-LLVKDONJSA-N -1 1 330.392 -0.071 20 0 EBADMM CN(C)c1ccncc1C(=O)NC[C@@H]1CCN1Cc1n[nH]c(=O)[n-]1 ZINC001038920489 731392901 /nfs/dbraw/zinc/39/29/01/731392901.db2.gz FKKMPPSPNHAETE-JTQLQIEISA-N -1 1 331.380 -0.024 20 0 EBADMM Cc1nocc1CN1CC[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001038921705 731393159 /nfs/dbraw/zinc/39/31/59/731393159.db2.gz NEMVACARRLGEIM-NSHDSACASA-N -1 1 343.347 -0.282 20 0 EBADMM O=C(NC[C@@H]1CCN1Cc1ccns1)c1cc(=O)n2[n-]cnc2n1 ZINC001038923936 731393692 /nfs/dbraw/zinc/39/36/92/731393692.db2.gz WCJOIRGIICQRFC-VIFPVBQESA-N -1 1 345.388 -0.122 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2[nH]nc3ccccc32)nc1=O ZINC001038979422 731399021 /nfs/dbraw/zinc/39/90/21/731399021.db2.gz NDWLPQDRXXAIKH-JTQLQIEISA-N -1 1 341.375 -0.011 20 0 EBADMM CCc1onc(C)c1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001039000972 731401059 /nfs/dbraw/zinc/40/10/59/731401059.db2.gz CJPRTCFZILOKJB-JTQLQIEISA-N -1 1 334.380 -0.028 20 0 EBADMM CN(C)c1ccnc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)c1 ZINC001039073514 731415429 /nfs/dbraw/zinc/41/54/29/731415429.db2.gz KQNILXKLZXYDTO-LBPRGKRZSA-N -1 1 345.407 -0.426 20 0 EBADMM Cn1ncc(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)c1C1CC1 ZINC001039074415 731415826 /nfs/dbraw/zinc/41/58/26/731415826.db2.gz AXJUBHNMWCBQCM-NSHDSACASA-N -1 1 345.407 -0.276 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CC[C@@H](C2)N3C(=O)Cc2cc[nH]n2)nc1=O ZINC001039349998 731428442 /nfs/dbraw/zinc/42/84/42/731428442.db2.gz YBROOVJWPFNIML-OLZOCXBDSA-N -1 1 345.407 -0.361 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CC[C@@H](C2)N3C(=O)c2ccnnc2)nc1=O ZINC001039442895 731435513 /nfs/dbraw/zinc/43/55/13/731435513.db2.gz YTDOAABOQVLZFT-OLZOCXBDSA-N -1 1 343.391 -0.223 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CC[C@@H](C2)N3C(=O)c2nc[nH]n2)nc1=O ZINC001039454028 731436113 /nfs/dbraw/zinc/43/61/13/731436113.db2.gz GEFAJGFWCMKPBJ-ZJUUUORDSA-N -1 1 332.368 -0.894 20 0 EBADMM Cc1nn[nH]c1C(=O)N1C[C@H]2CCC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001039817222 731541926 /nfs/dbraw/zinc/54/19/26/731541926.db2.gz QLKPNLDWDKAILG-AOOOYVTPSA-N -1 1 332.368 -0.184 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@]3(CCN(C(=O)[C@@H]4CCOC4)C3)C2)nc1=O ZINC001040097978 731595980 /nfs/dbraw/zinc/59/59/80/731595980.db2.gz YRBMBHXMQCZOHN-CXAGYDPISA-N -1 1 349.435 -0.041 20 0 EBADMM Cn1[n-]c(CN2CCC[C@]3(CCN(C(=O)c4ncc[nH]4)C3)C2)nc1=O ZINC001040196185 731639684 /nfs/dbraw/zinc/63/96/84/731639684.db2.gz VGLSAELLBVECAT-INIZCTEOSA-N -1 1 345.407 -0.040 20 0 EBADMM O=C(NC[C@@]1(O)CCN(C(=O)c2ncccc2[O-])C1)c1ccnnc1 ZINC001041015241 731961479 /nfs/dbraw/zinc/96/14/79/731961479.db2.gz FQWUYZBTXSLEQN-INIZCTEOSA-N -1 1 343.343 -0.416 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(CCN(C(=O)C4=COCCO4)C3)C2)nc1=O ZINC001041036625 731970428 /nfs/dbraw/zinc/97/04/28/731970428.db2.gz AZASFEBMRQXDOB-MRXNPFEDSA-N -1 1 349.391 -0.579 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(CCN(C(=O)C4=CCOCC4)C3)C2)nc1=O ZINC001041232762 732043453 /nfs/dbraw/zinc/04/34/53/732043453.db2.gz BFUZXKHFSZPPLX-KRWDZBQOSA-N -1 1 347.419 -0.121 20 0 EBADMM O=C(C[C@H]1CCCO1)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001041649441 732183047 /nfs/dbraw/zinc/18/30/47/732183047.db2.gz VSAGGHQVWXASFN-LLVKDONJSA-N -1 1 335.360 -0.341 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(CCN(C(=O)c4ccnnc4)C3)C2)nc1=O ZINC001041709539 732203256 /nfs/dbraw/zinc/20/32/56/732203256.db2.gz ZDPURORLOFEYDC-INIZCTEOSA-N -1 1 343.391 -0.363 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)C4CCOCC4)C[C@@H]32)nc1=O ZINC001041890089 732248863 /nfs/dbraw/zinc/24/88/63/732248863.db2.gz CFPSHOHEYZEWGX-JSGCOSHPSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)Cc4ccon4)C[C@H]32)nc1=O ZINC001041975201 732265854 /nfs/dbraw/zinc/26/58/54/732265854.db2.gz KVJBWBQINKSRIK-DGCLKSJQSA-N -1 1 346.391 -0.238 20 0 EBADMM Cc1c[nH]nc1C(=O)N1CC[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C1 ZINC001042053527 732289092 /nfs/dbraw/zinc/28/90/92/732289092.db2.gz ANNDWNCSPQVZIK-NWDGAFQWSA-N -1 1 345.407 -0.123 20 0 EBADMM C[C@@H]1CN(c2ncccn2)C[C@H]1NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001042086258 732300360 /nfs/dbraw/zinc/30/03/60/732300360.db2.gz FPVMIPMOLZJBQF-MWLCHTKSSA-N -1 1 340.347 -0.538 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)c4cnco4)C[C@H]32)nc1=O ZINC001042169977 732341416 /nfs/dbraw/zinc/34/14/16/732341416.db2.gz OQCRULRQRAHEPD-WDEREUQCSA-N -1 1 332.364 -0.167 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ccncc2F)C1)c1ncccc1[O-] ZINC001042712872 732546601 /nfs/dbraw/zinc/54/66/01/732546601.db2.gz JUXHERRYCGNCCL-UHFFFAOYSA-N -1 1 346.318 -0.062 20 0 EBADMM C[C@H](C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1)n1cccn1 ZINC001042713476 732547186 /nfs/dbraw/zinc/54/71/86/732547186.db2.gz ZNRRYHMFSGABGW-LLVKDONJSA-N -1 1 345.359 -0.452 20 0 EBADMM Cn1nccc1C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042713302 732547386 /nfs/dbraw/zinc/54/73/86/732547386.db2.gz RXJRHRLOTHWTLM-UHFFFAOYSA-N -1 1 331.332 -0.862 20 0 EBADMM O=C(NCC1(O)CN(C(=O)[C@]2(F)CCOC2)C1)c1ncccc1[O-] ZINC001042719394 732549696 /nfs/dbraw/zinc/54/96/96/732549696.db2.gz BWOXKCUNEOGDHJ-HNNXBMFYSA-N -1 1 339.323 -0.781 20 0 EBADMM CN(C(=O)C1(c2ccccn2)CC1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042719434 732549863 /nfs/dbraw/zinc/54/98/63/732549863.db2.gz OICIHCNZSQVDQV-UHFFFAOYSA-N -1 1 342.403 -0.122 20 0 EBADMM O=C(CCc1cn[nH]c1)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042720544 732551839 /nfs/dbraw/zinc/55/18/39/732551839.db2.gz YQEIYGQCDAFMDA-UHFFFAOYSA-N -1 1 345.359 -0.554 20 0 EBADMM Cc1nnc(CC(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)o1 ZINC001042886362 732643757 /nfs/dbraw/zinc/64/37/57/732643757.db2.gz DTEBKMXFZCXVGP-UHFFFAOYSA-N -1 1 347.331 -0.976 20 0 EBADMM CN(C(=O)c1ncc(F)cc1F)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043106065 732759126 /nfs/dbraw/zinc/75/91/26/732759126.db2.gz RWGNATPVZKGMIT-UHFFFAOYSA-N -1 1 338.318 -0.262 20 0 EBADMM Cc1nc([C@H](C)N2CC(N(C)C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001043230757 732837043 /nfs/dbraw/zinc/83/70/43/732837043.db2.gz APNXRLBWQHAXCA-LURJTMIESA-N -1 1 334.340 -0.773 20 0 EBADMM COCCC1(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001043344005 732879848 /nfs/dbraw/zinc/87/98/48/732879848.db2.gz UGTOCNKMPZNYKV-UHFFFAOYSA-N -1 1 349.387 -0.093 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)c1ccsn1 ZINC001043595251 733017821 /nfs/dbraw/zinc/01/78/21/733017821.db2.gz KBLRWQQUJRGEKG-UHFFFAOYSA-N -1 1 334.357 -0.139 20 0 EBADMM COc1cc(=O)[nH]cc1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043901124 733187656 /nfs/dbraw/zinc/18/76/56/733187656.db2.gz LZEMIBXRZKHJML-UHFFFAOYSA-N -1 1 348.363 -0.826 20 0 EBADMM CN(C)c1cc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)ccn1 ZINC001043994996 733236574 /nfs/dbraw/zinc/23/65/74/733236574.db2.gz PHXPUHFMDRVFSM-UHFFFAOYSA-N -1 1 345.407 -0.474 20 0 EBADMM CN(C(=O)c1c[n-]n2c1nccc2=O)C1CN(C[C@H]2CCOC2)C1 ZINC001044019634 733249079 /nfs/dbraw/zinc/24/90/79/733249079.db2.gz BODJAFHEQCBTTB-LLVKDONJSA-N -1 1 331.376 -0.185 20 0 EBADMM COc1cccc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001044128115 733294408 /nfs/dbraw/zinc/29/44/08/733294408.db2.gz JHUFTQLZXDCIER-UHFFFAOYSA-N -1 1 332.364 -0.532 20 0 EBADMM Cc1nn(C)c(F)c1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044241713 733336281 /nfs/dbraw/zinc/33/62/81/733336281.db2.gz JCIZCRNIWKJHAG-UHFFFAOYSA-N -1 1 337.359 -0.754 20 0 EBADMM CN(C(=O)[C@@H]1CCc2c[nH]nc2C1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044320647 733380099 /nfs/dbraw/zinc/38/00/99/733380099.db2.gz NQHSHLTYELHIQU-SNVBAGLBSA-N -1 1 345.407 -0.721 20 0 EBADMM C[C@H]1C[C@H](NCc2cnn(C)n2)CCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001044663724 733474754 /nfs/dbraw/zinc/47/47/54/733474754.db2.gz NHUOFWARSRBKJX-DTWKUNHWSA-N -1 1 348.367 -0.806 20 0 EBADMM C[C@@H]1C[C@H](NCc2ncccn2)CCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001044665402 733475548 /nfs/dbraw/zinc/47/55/48/733475548.db2.gz YKCFBZOYDPVINX-NXEZZACHSA-N -1 1 345.363 -0.144 20 0 EBADMM O=C(C[C@@H]1CCC(=O)NC1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045065544 733570457 /nfs/dbraw/zinc/57/04/57/733570457.db2.gz YHXWWFGIXIOWPD-QWRGUYRKSA-N -1 1 336.396 -0.493 20 0 EBADMM CN(C)Cc1cc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)no1 ZINC001045099449 733581371 /nfs/dbraw/zinc/58/13/71/733581371.db2.gz GRHATSOXAXIFIO-JTQLQIEISA-N -1 1 349.395 -0.046 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)[C@]1(F)CCOC1 ZINC001045138740 733598421 /nfs/dbraw/zinc/59/84/21/733598421.db2.gz VFHWFMOIVWMCGU-HNNXBMFYSA-N -1 1 339.323 -0.781 20 0 EBADMM CN1C(=O)CC[C@@H]1CC(=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045146710 733600308 /nfs/dbraw/zinc/60/03/08/733600308.db2.gz DYZXDICRMRXBNP-MNOVXSKESA-N -1 1 336.396 -0.398 20 0 EBADMM CN(C)c1ccc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)nn1 ZINC001045278383 733646943 /nfs/dbraw/zinc/64/69/43/733646943.db2.gz BQAIUHVVHRSXBQ-JTQLQIEISA-N -1 1 346.395 -0.239 20 0 EBADMM Cn1ccc(CNC[C@@H]2CCCN2C(=O)Cn2c(=O)[n-][nH]c2=O)n1 ZINC001045288998 733651601 /nfs/dbraw/zinc/65/16/01/733651601.db2.gz QUMOGCOTFVSPJC-NSHDSACASA-N -1 1 335.368 -0.796 20 0 EBADMM C[C@]1(C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)CCOC1 ZINC001045299517 733658629 /nfs/dbraw/zinc/65/86/29/733658629.db2.gz ZLUZMGPLVQHTNT-HNNXBMFYSA-N -1 1 335.360 -0.483 20 0 EBADMM Cn1[n-]c(CN2CCC(C)(NC(=O)c3cc[nH]c(=O)c3)CC2)nc1=O ZINC001045402451 733688107 /nfs/dbraw/zinc/68/81/07/733688107.db2.gz KWIKFLUDOHSMEP-UHFFFAOYSA-N -1 1 346.391 -0.007 20 0 EBADMM CNC(=O)[C@@H](C)N1CCC(C)(NC(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001045492318 733705248 /nfs/dbraw/zinc/70/52/48/733705248.db2.gz FVHMDYVYMMKVQC-MRVPVSSYSA-N -1 1 338.368 -0.998 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](N3CCN(C(=O)C(F)F)CC3)C2)nc1=O ZINC001045993525 733789317 /nfs/dbraw/zinc/78/93/17/733789317.db2.gz WQBHTRRTRWFVQQ-SNVBAGLBSA-N -1 1 344.366 -0.908 20 0 EBADMM C[C@H]1COCC[C@@H]1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001046223688 733885837 /nfs/dbraw/zinc/88/58/37/733885837.db2.gz AUBDXNFRIUFTFW-RYUDHWBXSA-N -1 1 349.387 -0.237 20 0 EBADMM COc1cc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)on1 ZINC001046254937 733896638 /nfs/dbraw/zinc/89/66/38/733896638.db2.gz NEKLLGRPLONYBP-CQSZACIVSA-N -1 1 336.352 -0.501 20 0 EBADMM Cn1cc(CN2CC[C@](C)(NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)cn1 ZINC001046452976 733939269 /nfs/dbraw/zinc/93/92/69/733939269.db2.gz DXDWURZEROBOMO-AWEZNQCLSA-N -1 1 333.352 -0.589 20 0 EBADMM Cc1cc(=O)c(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)c[nH]1 ZINC001046748795 734018591 /nfs/dbraw/zinc/01/85/91/734018591.db2.gz YLFYNFPYRRHUSO-INIZCTEOSA-N -1 1 346.391 -0.500 20 0 EBADMM C[C@@H]1CCO[C@@H]1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001046777485 734025616 /nfs/dbraw/zinc/02/56/16/734025616.db2.gz JHBFZOYJVCMSQS-MFKMUULPSA-N -1 1 335.360 -0.485 20 0 EBADMM Cc1nccc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001046843911 734039245 /nfs/dbraw/zinc/03/92/45/734039245.db2.gz GHBZVWJYEIMFTL-HNNXBMFYSA-N -1 1 331.380 -0.399 20 0 EBADMM NC(=O)CN1CCC(F)(F)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001046933055 734065092 /nfs/dbraw/zinc/06/50/92/734065092.db2.gz YMXBOEQGFFULFH-VIFPVBQESA-N -1 1 328.319 -0.041 20 0 EBADMM O=C(Cn1nccn1)N[C@@H]1C[C@H]2C[C@@H](C1)N(Cc1n[nH]c(=O)[n-]1)C2 ZINC001047079235 734090045 /nfs/dbraw/zinc/09/00/45/734090045.db2.gz VRPMJBFCEMGRMJ-AXFHLTTASA-N -1 1 332.368 -0.729 20 0 EBADMM CN(C(=O)C1CCCCC1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047282404 734116343 /nfs/dbraw/zinc/11/63/43/734116343.db2.gz JQQMKWAEIHGOED-STQMWFEESA-N -1 1 337.424 -0.308 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(Cc2cnn(C)n2)C[C@@H]1O ZINC001047359113 734151974 /nfs/dbraw/zinc/15/19/74/734151974.db2.gz PGGQXKRTXIEHLH-AAEUAGOBSA-N -1 1 332.364 -0.767 20 0 EBADMM CN(C(=O)[C@@]1(C)CC=CCC1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047392664 734169611 /nfs/dbraw/zinc/16/96/11/734169611.db2.gz DRWIWPPMFZILAF-DCGLDWPTSA-N -1 1 349.435 -0.142 20 0 EBADMM CCc1ccc(C(=O)N(C)[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)o1 ZINC001047432324 734191389 /nfs/dbraw/zinc/19/13/89/734191389.db2.gz KOYFEBRFRIQDDO-RYUDHWBXSA-N -1 1 349.391 -0.419 20 0 EBADMM CN(C(=O)C[C@@H]1C[C@H]1C1CC1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047463749 734207861 /nfs/dbraw/zinc/20/78/61/734207861.db2.gz QDWPQVJLDHEOLP-XUXIUFHCSA-N -1 1 349.435 -0.452 20 0 EBADMM CSCC(=O)N(C)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001048171173 734357524 /nfs/dbraw/zinc/35/75/24/734357524.db2.gz ZKDIKKSTCMFONV-ONGXEEELSA-N -1 1 325.390 -0.206 20 0 EBADMM Cc1nnc(CNC[C@H]2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@H]2C)o1 ZINC001048303782 734385760 /nfs/dbraw/zinc/38/57/60/734385760.db2.gz KBXGKMJJGKQWMV-APPZFPTMSA-N -1 1 349.351 -0.528 20 0 EBADMM Cc1nnc(CNC[C@@H]2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@H]2C)o1 ZINC001048303786 734386465 /nfs/dbraw/zinc/38/64/65/734386465.db2.gz KBXGKMJJGKQWMV-VXNVDRBHSA-N -1 1 349.351 -0.528 20 0 EBADMM COC[C@H](C)C(=O)N(C)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001048534797 734437419 /nfs/dbraw/zinc/43/74/19/734437419.db2.gz FBEFAOXFDAWROY-GVXVVHGQSA-N -1 1 337.376 -0.287 20 0 EBADMM Cn1ncc(C(=O)NC[C@H]2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[n-]2)n1 ZINC001048574886 734448344 /nfs/dbraw/zinc/44/83/44/734448344.db2.gz XXELVHVSMCVMAP-JGPRNRPPSA-N -1 1 346.395 -0.188 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4cccnc4)C[C@@H]3C2)nc1=O ZINC001048646444 734461048 /nfs/dbraw/zinc/46/10/48/734461048.db2.gz VUQDLXGEIVUQSM-BETUJISGSA-N -1 1 328.376 -0.293 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4cnns4)C[C@@H]3C2)nc1=O ZINC001048703494 734474553 /nfs/dbraw/zinc/47/45/53/734474553.db2.gz WELITIVBUFLMCM-DTORHVGOSA-N -1 1 335.393 -0.836 20 0 EBADMM Cn1nnc(CN2C[C@@H]3CN(C(=O)c4ncccc4[O-])C[C@@H]3C2)n1 ZINC001048780090 734506461 /nfs/dbraw/zinc/50/64/61/734506461.db2.gz ADCVPHSZESZQOQ-PHIMTYICSA-N -1 1 329.364 -0.485 20 0 EBADMM O=C(Cc1nc[nH]n1)NC[C@@H]1COCCN1C(=O)c1ncccc1[O-] ZINC001061920727 738828581 /nfs/dbraw/zinc/82/85/81/738828581.db2.gz ZUDKTGSGNNLDEC-SNVBAGLBSA-N -1 1 346.347 -0.895 20 0 EBADMM O=C(Cc1nnc[nH]1)NC[C@@H]1COCCN1C(=O)c1ncccc1[O-] ZINC001061920727 738828587 /nfs/dbraw/zinc/82/85/87/738828587.db2.gz ZUDKTGSGNNLDEC-SNVBAGLBSA-N -1 1 346.347 -0.895 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@H]2CCCN3C(=O)[C@@H]2CCCO2)nc1=O ZINC001049309283 734706495 /nfs/dbraw/zinc/70/64/95/734706495.db2.gz VWWZRKIDGQLECQ-UPJWGTAASA-N -1 1 335.408 -0.147 20 0 EBADMM Cn1cnc(C(=O)N2CCC[C@@H]3[C@@H]2CCN3Cc2nc(=O)n(C)[n-]2)n1 ZINC001049456157 734734658 /nfs/dbraw/zinc/73/46/58/734734658.db2.gz IZYWZKINQMOZTE-MNOVXSKESA-N -1 1 346.395 -0.884 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@H]2CCCN3C(=O)c2ncc[nH]2)nc1=O ZINC001049472435 734738189 /nfs/dbraw/zinc/73/81/89/734738189.db2.gz UGBPDGBZTJJWJO-GHMZBOCLSA-N -1 1 331.380 -0.289 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@@H]2CCCN3C(=O)c2nc[nH]n2)nc1=O ZINC001049798890 734790812 /nfs/dbraw/zinc/79/08/12/734790812.db2.gz RTLDLBXGCKJIIG-VHSXEESVSA-N -1 1 332.368 -0.894 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@@H]2CCCN3C(=O)c2ccncn2)nc1=O ZINC001049793686 734790901 /nfs/dbraw/zinc/79/09/01/734790901.db2.gz PUDMXFXWXQIDQM-QWHCGFSZSA-N -1 1 343.391 -0.223 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@@H]2CCCN3C(=O)c2nc[nH]n2)nc1=O ZINC001049798889 734791293 /nfs/dbraw/zinc/79/12/93/734791293.db2.gz RTLDLBXGCKJIIG-UWVGGRQHSA-N -1 1 332.368 -0.894 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@H]2CCCN3C(=O)c2cnon2)nc1=O ZINC001049801650 734791988 /nfs/dbraw/zinc/79/19/88/734791988.db2.gz IOXXNRARBGBVQI-MNOVXSKESA-N -1 1 333.352 -0.630 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCCN(C(=O)c4cn[nH]c4)[C@@H]3C2)nc1=O ZINC001049985588 734819580 /nfs/dbraw/zinc/81/95/80/734819580.db2.gz GAMRBRDHNUAHHB-CMPLNLGQSA-N -1 1 331.380 -0.432 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCCN(C(=O)c4cnco4)[C@@H]3C2)nc1=O ZINC001050107714 734843155 /nfs/dbraw/zinc/84/31/55/734843155.db2.gz VBNOVDQGBVYIGM-WDEREUQCSA-N -1 1 332.364 -0.167 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCCN(C(=O)Cc4cnoc4)[C@@H]3C2)nc1=O ZINC001050122360 734848098 /nfs/dbraw/zinc/84/80/98/734848098.db2.gz SJRMNPYBVIDWMZ-QWHCGFSZSA-N -1 1 346.391 -0.238 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCCN(C(=O)Cn4ccnc4)[C@@H]3C2)nc1=O ZINC001050214885 734869655 /nfs/dbraw/zinc/86/96/55/734869655.db2.gz UHGONGFMTORFAW-QWHCGFSZSA-N -1 1 345.407 -0.572 20 0 EBADMM C[C@H](NC(=O)CCNC(N)=O)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050529025 734919410 /nfs/dbraw/zinc/91/94/10/734919410.db2.gz GOBHVKRIHHHGLZ-WDEREUQCSA-N -1 1 349.391 -0.188 20 0 EBADMM Cn1ccc(C(=O)NC[C@H]2COCCN2Cc2nc(=O)n(C)[n-]2)c1 ZINC001050878747 734986447 /nfs/dbraw/zinc/98/64/47/734986447.db2.gz YXQDKRAYSXKBSS-LBPRGKRZSA-N -1 1 334.380 -0.922 20 0 EBADMM Cn1ccc(C(=O)NC[C@@H]2COCCN2Cc2nc(=O)n(C)[n-]2)c1 ZINC001050878746 734986469 /nfs/dbraw/zinc/98/64/69/734986469.db2.gz YXQDKRAYSXKBSS-GFCCVEGCSA-N -1 1 334.380 -0.922 20 0 EBADMM O=C(NC[C@H]1COCCN1CCN1CCCC1=O)c1ncccc1[O-] ZINC001050887090 734989128 /nfs/dbraw/zinc/98/91/28/734989128.db2.gz BORKBCDCVIIESW-ZDUSSCGKSA-N -1 1 348.403 -0.160 20 0 EBADMM Cn1ncc(CN2CCOC[C@H]2CNC(=O)c2ncccc2[O-])n1 ZINC001050890799 734990588 /nfs/dbraw/zinc/99/05/88/734990588.db2.gz JWYOXUKIIPJHTO-GFCCVEGCSA-N -1 1 332.364 -0.454 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)c2ccns2)nc1=O ZINC001050941027 735006886 /nfs/dbraw/zinc/00/68/86/735006886.db2.gz UGFPIRRMWMCMES-SECBINFHSA-N -1 1 338.393 -0.804 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2COCCN2Cc2nc(=O)n(C)[n-]2)ccn1 ZINC001051169958 735085098 /nfs/dbraw/zinc/08/50/98/735085098.db2.gz GIZMRBYSXGESNV-CYBMUJFWSA-N -1 1 346.391 -0.557 20 0 EBADMM CCC(=O)N[C@@H](C)[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001051195742 735096271 /nfs/dbraw/zinc/09/62/71/735096271.db2.gz HGQBRRDWFUULLR-NWDGAFQWSA-N -1 1 336.392 -0.310 20 0 EBADMM O=C(c1ccccc1O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051449826 735155948 /nfs/dbraw/zinc/15/59/48/735155948.db2.gz NCZNTFCBNJHQRL-SNVBAGLBSA-N -1 1 333.348 -0.153 20 0 EBADMM O=C(COC1CCCC1)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051460564 735161351 /nfs/dbraw/zinc/16/13/51/735161351.db2.gz IINWVHHGFVYLRI-LBPRGKRZSA-N -1 1 339.396 -0.214 20 0 EBADMM O=C(C[C@@H]1CCOC1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051507509 735215017 /nfs/dbraw/zinc/21/50/17/735215017.db2.gz RJQBSUACPOLKML-WDEREUQCSA-N -1 1 325.369 -0.746 20 0 EBADMM CCC(=O)NC[C@H]1CCCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001062276401 738890982 /nfs/dbraw/zinc/89/09/82/738890982.db2.gz IGPZDGKXMNIOGK-GFCCVEGCSA-N -1 1 336.392 -0.166 20 0 EBADMM O=C(C=Cc1ccc[nH]1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051571959 735240234 /nfs/dbraw/zinc/24/02/34/735240234.db2.gz MKPMDJAHEXGBRV-VSQXVHSFSA-N -1 1 332.364 -0.131 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNC(=O)Cn1cncn1 ZINC001052422799 735611676 /nfs/dbraw/zinc/61/16/76/735611676.db2.gz TUPVXSJAPZZKFI-NEPJUHHUSA-N -1 1 344.375 -0.097 20 0 EBADMM C[C@@H]1CN(C(=O)CCNC(N)=O)C[C@H]1CNC(=O)c1ncccc1[O-] ZINC001052572083 735644358 /nfs/dbraw/zinc/64/43/58/735644358.db2.gz IPYDVDRXRHPRTB-GHMZBOCLSA-N -1 1 349.391 -0.330 20 0 EBADMM NC(=O)CN1CCC[C@@H](NC(=O)c2c[n-]n3c2nccc3=O)CC1 ZINC001052773226 735687641 /nfs/dbraw/zinc/68/76/41/735687641.db2.gz HRYFAKLEUSKAHF-SNVBAGLBSA-N -1 1 332.364 -0.908 20 0 EBADMM Cc1cc(C(=O)N2CCOC3(CN(Cc4nc(=O)n(C)[n-]4)C3)C2)no1 ZINC001053147361 735759213 /nfs/dbraw/zinc/75/92/13/735759213.db2.gz PTDGJNRYNMXDPI-UHFFFAOYSA-N -1 1 348.363 -0.868 20 0 EBADMM Cc1nnc(CN2CC3(C2)CN(C(=O)c2ncccc2[O-])CCO3)[nH]1 ZINC001053232660 735786365 /nfs/dbraw/zinc/78/63/65/735786365.db2.gz VMYCEEQVNIQEDI-UHFFFAOYSA-N -1 1 344.375 -0.059 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCOC2(CN(CCF)C2)C1 ZINC001053507100 735908507 /nfs/dbraw/zinc/90/85/07/735908507.db2.gz COOOHSNZJFLLND-UHFFFAOYSA-N -1 1 342.327 -0.607 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CC[C@H](CNC(=O)c2ccon2)O3)nc1=O ZINC001053577963 735931477 /nfs/dbraw/zinc/93/14/77/735931477.db2.gz WLIITADKHMKEAL-SNVBAGLBSA-N -1 1 348.363 -0.740 20 0 EBADMM CNC(=O)[C@@H](C)N1CC2(C1)CC[C@H](CNC(=O)c1ncccc1[O-])O2 ZINC001053594104 735936347 /nfs/dbraw/zinc/93/63/47/735936347.db2.gz DMSYHQAEYFJTDJ-VXGBXAGGSA-N -1 1 348.403 -0.115 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)C=C2CCC2)CO3)nc1=O ZINC001053772556 736007082 /nfs/dbraw/zinc/00/70/82/736007082.db2.gz RLRYCSXCTALJMM-LBPRGKRZSA-N -1 1 333.392 -0.322 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)C2=CCCC2)CO3)nc1=O ZINC001053774373 736007279 /nfs/dbraw/zinc/00/72/79/736007279.db2.gz YOHUBKWPZLOQFM-GFCCVEGCSA-N -1 1 333.392 -0.322 20 0 EBADMM O=C(CN1CC2(C1)C[C@@H](NC(=O)c1ncccc1[O-])CO2)N1CCC1 ZINC001053784447 736014131 /nfs/dbraw/zinc/01/41/31/736014131.db2.gz OIUZERAVYJPVRU-GFCCVEGCSA-N -1 1 346.387 -0.407 20 0 EBADMM O=C(N[C@@H]1COC2(CN([C@@H]3CCCNC3=O)C2)C1)c1ncccc1[O-] ZINC001053784558 736014235 /nfs/dbraw/zinc/01/42/35/736014235.db2.gz RCJBIAOYDRBKNO-NWDGAFQWSA-N -1 1 346.387 -0.361 20 0 EBADMM CC1CC(CN2CC3(C2)C[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CO3)C1 ZINC001053858524 736061044 /nfs/dbraw/zinc/06/10/44/736061044.db2.gz JJFWBFLEFQMIAG-VQXHTEKXSA-N -1 1 349.391 -0.098 20 0 EBADMM Cc1cnoc1C(=O)N[C@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053970505 736125476 /nfs/dbraw/zinc/12/54/76/736125476.db2.gz OFPFCMWLTASBFY-SNVBAGLBSA-N -1 1 348.363 -0.822 20 0 EBADMM CC(C)CCN1CC2(C1)C[C@H](NC(=O)Cn1c(=O)[n-][nH]c1=O)CO2 ZINC001053993153 736137469 /nfs/dbraw/zinc/13/74/69/736137469.db2.gz GFONXZNWEOXJLU-NSHDSACASA-N -1 1 339.396 -0.305 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)Cc2ccc[nH]2)CO3)nc1=O ZINC001054015029 736152309 /nfs/dbraw/zinc/15/23/09/736152309.db2.gz WUYAKORXBGKPHK-GFCCVEGCSA-N -1 1 346.391 -0.861 20 0 EBADMM COc1cc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H](C)C2)ncn1 ZINC001054670541 736228627 /nfs/dbraw/zinc/22/86/27/736228627.db2.gz SBQFLIWECKRHRH-WPRPVWTQSA-N -1 1 333.352 -0.441 20 0 EBADMM COCc1nn(C)cc1C(=O)N1C[C@@H](C)[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001054820111 736250332 /nfs/dbraw/zinc/25/03/32/736250332.db2.gz MVDVAJBRRONYHV-KOLCDFICSA-N -1 1 349.395 -0.360 20 0 EBADMM C[C@H]1CN(C(=O)c2cnn(CCF)c2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054833071 736252711 /nfs/dbraw/zinc/25/27/11/736252711.db2.gz CAHLBXUUYXUWGM-ONGXEEELSA-N -1 1 337.359 -0.073 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccc(=O)n(C)c2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054848627 736254579 /nfs/dbraw/zinc/25/45/79/736254579.db2.gz ZCBYUXSNLMSDMD-KOLCDFICSA-N -1 1 332.364 -0.541 20 0 EBADMM Cc1nocc1CN[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@H]1C ZINC001054860845 736257243 /nfs/dbraw/zinc/25/72/43/736257243.db2.gz RVYAZPQRQQKIFF-OIBJUYFYSA-N -1 1 334.336 -0.170 20 0 EBADMM C[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1NCc1nccs1 ZINC001054862333 736257733 /nfs/dbraw/zinc/25/77/33/736257733.db2.gz CGQGQFVMFUNTLA-HTQZYQBOSA-N -1 1 336.377 -0.010 20 0 EBADMM CCn1ccnc1CN[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1C ZINC001054862785 736257977 /nfs/dbraw/zinc/25/79/77/736257977.db2.gz LHOLMNYHNXUZCH-NXEZZACHSA-N -1 1 347.379 -0.251 20 0 EBADMM C[C@H]1CN(C(=O)c2coc(C(N)=O)c2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054930501 736270730 /nfs/dbraw/zinc/27/07/30/736270730.db2.gz OLOCMQPPZKSZNI-IONNQARKSA-N -1 1 334.336 -0.548 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC001055152132 736298323 /nfs/dbraw/zinc/29/83/23/736298323.db2.gz VJHUOZOXOLQSGH-WCFLWFBJSA-N -1 1 346.387 -0.158 20 0 EBADMM NC(=O)NCC(=O)N1CC[C@@H]2[C@H]1CCCN2C(=O)c1ncccc1[O-] ZINC001056358081 736700276 /nfs/dbraw/zinc/70/02/76/736700276.db2.gz XCRRLFZUKYDTEN-GHMZBOCLSA-N -1 1 347.375 -0.339 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1cnccn1)c1ncccc1[O-] ZINC001056833427 736827340 /nfs/dbraw/zinc/82/73/40/736827340.db2.gz DZPWZEUEVPGDIS-UHFFFAOYSA-N -1 1 331.332 -0.558 20 0 EBADMM O=C(COCC1CC1)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057067430 736957617 /nfs/dbraw/zinc/95/76/17/736957617.db2.gz MKOBGMHDXBCIJF-UHFFFAOYSA-N -1 1 337.376 -0.236 20 0 EBADMM CC[C@H](OC)C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057154297 737035081 /nfs/dbraw/zinc/03/50/81/737035081.db2.gz VOJLLCRGTTWFTN-LBPRGKRZSA-N -1 1 325.365 -0.237 20 0 EBADMM Cc1nc(C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])c[nH]1 ZINC001057206813 737077035 /nfs/dbraw/zinc/07/70/35/737077035.db2.gz YTYZAXWZTSDVQE-UHFFFAOYSA-N -1 1 333.348 -0.317 20 0 EBADMM Cc1n[nH]c(C(=O)NCCN(CCO)C(=O)[C@@H]2CCCN2C)c1[O-] ZINC001057209562 737080146 /nfs/dbraw/zinc/08/01/46/737080146.db2.gz RBIZIOIXWARBKB-NSHDSACASA-N -1 1 339.396 -0.931 20 0 EBADMM O=C(Cc1cccnc1)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057408417 737228237 /nfs/dbraw/zinc/22/82/37/737228237.db2.gz DJUPUXHRKWHPGE-UHFFFAOYSA-N -1 1 344.371 -0.024 20 0 EBADMM Cn1cc(CC(=O)NCCN(CCO)C(=O)c2ncccc2[O-])cn1 ZINC001057410389 737230614 /nfs/dbraw/zinc/23/06/14/737230614.db2.gz PNVGMFAOCQRJON-UHFFFAOYSA-N -1 1 347.375 -0.686 20 0 EBADMM C[C@@H]1CO[C@H](C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])C1 ZINC001057410588 737230887 /nfs/dbraw/zinc/23/08/87/737230887.db2.gz SPHKTRHQMPMJJQ-AAEUAGOBSA-N -1 1 337.376 -0.237 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccc1[O-])c1cn[nH]c(=O)c1 ZINC001057413524 737233770 /nfs/dbraw/zinc/23/37/70/737233770.db2.gz ZBHVZZRTRMHREX-UHFFFAOYSA-N -1 1 347.331 -0.853 20 0 EBADMM Cn1ccc(C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])n1 ZINC001057637594 737378109 /nfs/dbraw/zinc/37/81/09/737378109.db2.gz QDMXWPTVLXGRLT-UHFFFAOYSA-N -1 1 333.348 -0.615 20 0 EBADMM O=C(N[C@H]1CCCN(c2ncccn2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001058179261 737698951 /nfs/dbraw/zinc/69/89/51/737698951.db2.gz SVDUKOHVKWOUDE-JTQLQIEISA-N -1 1 340.347 -0.394 20 0 EBADMM Cc1nc(C)c(C(=O)N(CCO)CCNC(=O)c2ncccc2[O-])[nH]1 ZINC001058863010 738040040 /nfs/dbraw/zinc/04/00/40/738040040.db2.gz CRVJASAYTGCMQF-UHFFFAOYSA-N -1 1 347.375 -0.008 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CC[C@H](Nc2nccnc2F)C1 ZINC001058927990 738077843 /nfs/dbraw/zinc/07/78/43/738077843.db2.gz IITPLVKJNIUVGD-QMMMGPOBSA-N -1 1 344.310 -0.327 20 0 EBADMM Cc1nsc(N[C@H]2C[C@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)n1 ZINC001059265458 738141508 /nfs/dbraw/zinc/14/15/08/738141508.db2.gz WUGJMRXRWOIVOI-ZKCHVHJHSA-N -1 1 346.376 -0.050 20 0 EBADMM Cn1nnc(C(=O)NCC[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC001059518526 738187966 /nfs/dbraw/zinc/18/79/66/738187966.db2.gz MUGVTKVEHDGXKZ-SNVBAGLBSA-N -1 1 345.363 -0.407 20 0 EBADMM COCC(=O)NCC[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001059969927 738285849 /nfs/dbraw/zinc/28/58/49/738285849.db2.gz RGAMRRNDWOALIW-SNVBAGLBSA-N -1 1 348.363 -0.968 20 0 EBADMM O=C(NCC[C@H]1CCN(C(=O)[C@@H]2CCNC2=O)C1)c1ncccc1[O-] ZINC001060061926 738301182 /nfs/dbraw/zinc/30/11/82/738301182.db2.gz UJBZUAIDSJXZAG-NWDGAFQWSA-N -1 1 346.387 -0.108 20 0 EBADMM CN1CCO[C@H](C(=O)NCC2(NC(=O)c3ncccc3[O-])CCC2)C1 ZINC001062747326 738982301 /nfs/dbraw/zinc/98/23/01/738982301.db2.gz MSSLDSGDEMKUGM-ZDUSSCGKSA-N -1 1 348.403 -0.114 20 0 EBADMM O=C(NCC1(NC(=O)[C@@H]2COC(=O)N2)CCC1)c1ncccc1[O-] ZINC001062839037 739002655 /nfs/dbraw/zinc/00/26/55/739002655.db2.gz FJLQHPPNQOKGQZ-VIFPVBQESA-N -1 1 334.332 -0.336 20 0 EBADMM COC(=O)NCC(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839640 739002677 /nfs/dbraw/zinc/00/26/77/739002677.db2.gz YKQWNPVKANHRCD-UHFFFAOYSA-N -1 1 336.348 -0.088 20 0 EBADMM O=C(NCC1(NC(=O)[C@H]2COCCO2)CCC1)c1ncccc1[O-] ZINC001062839461 739002684 /nfs/dbraw/zinc/00/26/84/739002684.db2.gz QOESHYPWUNCJHZ-GFCCVEGCSA-N -1 1 335.360 -0.029 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)[C@H]1CCN(c2ncccn2)C1 ZINC001062957780 739032806 /nfs/dbraw/zinc/03/28/06/739032806.db2.gz FQSREYAXFGEJRE-JTQLQIEISA-N -1 1 340.347 -0.442 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCC[C@@H]1CNc1ncccn1 ZINC001063499555 739120688 /nfs/dbraw/zinc/12/06/88/739120688.db2.gz ZBDJTCOUOFQOJX-SNVBAGLBSA-N -1 1 340.347 -0.076 20 0 EBADMM O=C(NC1(CNC(=O)[C@@H]2CCC(=O)N2)CCC1)c1ncccc1[O-] ZINC001063837506 739199587 /nfs/dbraw/zinc/19/95/87/739199587.db2.gz XHHVESWOJLXLHV-JTQLQIEISA-N -1 1 332.360 -0.166 20 0 EBADMM O=C(Cn1cncn1)NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001065072555 739509780 /nfs/dbraw/zinc/50/97/80/739509780.db2.gz CZMPXINYDZKTBR-LLVKDONJSA-N -1 1 346.347 -0.964 20 0 EBADMM C[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1Nc1ncccn1 ZINC001067122697 740097977 /nfs/dbraw/zinc/09/79/77/740097977.db2.gz HMZFWQIIEWOSSW-GXSJLCMTSA-N -1 1 340.347 -0.220 20 0 EBADMM C[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1Nc1ncccn1 ZINC001067122699 740098029 /nfs/dbraw/zinc/09/80/29/740098029.db2.gz HMZFWQIIEWOSSW-ONGXEEELSA-N -1 1 340.347 -0.220 20 0 EBADMM O=C(NC[C@@H]1CN(c2cc(F)ncn2)C[C@H]1O)c1ncccc1[O-] ZINC001067666147 740179362 /nfs/dbraw/zinc/17/93/62/740179362.db2.gz YHEUUYHYBHLJTQ-MWLCHTKSSA-N -1 1 333.323 -0.057 20 0 EBADMM COCCC(=O)N1CC2(C1)C[C@H](NC(=O)c1ncccc1[O-])CO2 ZINC001068824927 740455901 /nfs/dbraw/zinc/45/59/01/740455901.db2.gz FYTIWWRQZBFOAA-NSHDSACASA-N -1 1 335.360 -0.077 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)NCc1cnn2c1CN(Cc1nc(=O)n(C)[n-]1)CC2 ZINC001069851305 740590032 /nfs/dbraw/zinc/59/00/32/740590032.db2.gz ZFYWQCVOSOIEDZ-ZYHUDNBSSA-N -1 1 345.407 -0.407 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCCN(C(=O)CC2CC2)C1 ZINC001070354195 740793228 /nfs/dbraw/zinc/79/32/28/740793228.db2.gz XDFWLLOWRBYNHB-CYBMUJFWSA-N -1 1 348.403 -0.166 20 0 EBADMM Cn1cnc([C@@H]2CN(C(=O)C3CC3)C[C@H]2NCc2n[nH]c(=O)[n-]2)c1 ZINC001070457415 740836912 /nfs/dbraw/zinc/83/69/12/740836912.db2.gz JGFFFHVOMKXHTM-CMPLNLGQSA-N -1 1 331.380 -0.262 20 0 EBADMM CO[C@H](C)C(=O)N1C[C@@H](c2cncn2C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001070627372 740899339 /nfs/dbraw/zinc/89/93/39/740899339.db2.gz LRLIHYPHWQBZAW-GMTAPVOTSA-N -1 1 349.395 -0.637 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001070648739 740908773 /nfs/dbraw/zinc/90/87/73/740908773.db2.gz VHZTYSKIXFIHBS-GFCCVEGCSA-N -1 1 334.376 -0.014 20 0 EBADMM CO[C@H](C)C(=O)N[C@@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001071014097 741037406 /nfs/dbraw/zinc/03/74/06/741037406.db2.gz YHWGTHMXUFEYMO-NXEZZACHSA-N -1 1 348.363 -0.827 20 0 EBADMM C[C@@H]1CN(C(=O)C2CC2)C[C@]2(CCN(Cc3nc(=O)n(C)[n-]3)C2)O1 ZINC001071116354 741062514 /nfs/dbraw/zinc/06/25/14/741062514.db2.gz NATOGFZOSUKBFI-BDJLRTHQSA-N -1 1 335.408 -0.290 20 0 EBADMM C[C@@H]1CN(C(=O)C(F)F)C[C@]2(CCN(Cc3nc(=O)n(C)[n-]3)C2)O1 ZINC001071117249 741062542 /nfs/dbraw/zinc/06/25/42/741062542.db2.gz SHMAYUREKGIEBZ-YMTOWFKASA-N -1 1 345.350 -0.435 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)C2=COCCO2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071317250 741120599 /nfs/dbraw/zinc/12/05/99/741120599.db2.gz QEJMYQXYVUUKKX-WDEREUQCSA-N -1 1 337.380 -0.534 20 0 EBADMM Cc1ncc(C(=O)N[C@H]2CC[C@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)[nH]1 ZINC001071392659 741131832 /nfs/dbraw/zinc/13/18/32/741131832.db2.gz ZWOVUWBHUXHSSL-ONGXEEELSA-N -1 1 333.396 -0.077 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)ncn1 ZINC001071436953 741135979 /nfs/dbraw/zinc/13/59/79/741135979.db2.gz AFBJDIMZKFSNDU-NEPJUHHUSA-N -1 1 345.407 -0.010 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2cncnc2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071447326 741138350 /nfs/dbraw/zinc/13/83/50/741138350.db2.gz LMQUQKYPIMXPSO-ZYHUDNBSSA-N -1 1 331.380 -0.319 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N[C@H]1CC[C@@H](C)N(Cc2nc(=O)n(C)[n-]2)C1 ZINC001071589488 741173732 /nfs/dbraw/zinc/17/37/32/741173732.db2.gz VNKFVKXZCIPIHH-SCZZXKLOSA-N -1 1 349.395 -0.372 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CN1CCn1cncn1 ZINC001071651445 741181975 /nfs/dbraw/zinc/18/19/75/741181975.db2.gz INXGFKJPTLEAMB-VHSXEESVSA-N -1 1 348.367 -0.843 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)CCn1cnnn1 ZINC001071952514 741263996 /nfs/dbraw/zinc/26/39/96/741263996.db2.gz NEEBNAUNVRAESF-WDEREUQCSA-N -1 1 345.363 -0.559 20 0 EBADMM C[C@H]1CN(C(=O)CCNC(N)=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001072065369 741295165 /nfs/dbraw/zinc/29/51/65/741295165.db2.gz GHXJBNXHTOCODW-VHSXEESVSA-N -1 1 335.364 -0.578 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)c2ccn(C)n2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001072073386 741297881 /nfs/dbraw/zinc/29/78/81/741297881.db2.gz YRJGRZUTHDKCNP-WDEREUQCSA-N -1 1 333.396 -0.375 20 0 EBADMM C/C=C(\C)C(=O)N[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1C ZINC001072425906 741394899 /nfs/dbraw/zinc/39/48/99/741394899.db2.gz ALQYIZRKXNZFIN-HWSGNJFJSA-N -1 1 344.375 -0.040 20 0 EBADMM CCOCC(=O)N[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1C ZINC001072426274 741395096 /nfs/dbraw/zinc/39/50/96/741395096.db2.gz FXIBCYGLEOTMDJ-GXSJLCMTSA-N -1 1 348.363 -0.969 20 0 EBADMM CCCC(=O)N[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1C ZINC001072426286 741395157 /nfs/dbraw/zinc/39/51/57/741395157.db2.gz GBEFZKOJJGJDJT-GXSJLCMTSA-N -1 1 332.364 -0.206 20 0 EBADMM COCCC(=O)N[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC001072427532 741395935 /nfs/dbraw/zinc/39/59/35/741395935.db2.gz WHBZYCVPUHLCNG-MWLCHTKSSA-N -1 1 348.363 -0.969 20 0 EBADMM Cc1ncncc1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072512886 741420157 /nfs/dbraw/zinc/42/01/57/741420157.db2.gz MKWJHHGBNAYYBC-UHFFFAOYSA-N -1 1 343.391 -0.445 20 0 EBADMM Cc1cc(C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)ncn1 ZINC001072637292 741447871 /nfs/dbraw/zinc/44/78/71/741447871.db2.gz CUWOSJINGYDKMX-UHFFFAOYSA-N -1 1 343.391 -0.445 20 0 EBADMM C[C@H]1OCCC[C@@H]1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072670282 741457534 /nfs/dbraw/zinc/45/75/34/741457534.db2.gz QLPDLQBQMHTHHP-OLZOCXBDSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)C4CCC(O)CC4)C3)C2)nc1=O ZINC001072673762 741458243 /nfs/dbraw/zinc/45/82/43/741458243.db2.gz UQIZEOANKXEKGX-UHFFFAOYSA-N -1 1 349.435 -0.306 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)[C@]4(C)CCOC4)C3)C2)nc1=O ZINC001073082294 741555180 /nfs/dbraw/zinc/55/51/80/741555180.db2.gz OZVQEXJYDIBICX-OAHLLOKOSA-N -1 1 335.408 -0.431 20 0 EBADMM Cn1ccc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CCCO2)c1 ZINC001073567980 741658617 /nfs/dbraw/zinc/65/86/17/741658617.db2.gz GTIPYPAHUWVGQX-ZDUSSCGKSA-N -1 1 348.407 -0.532 20 0 EBADMM Cn1[n-]c(CN2CCCO[C@H](CNC(=O)[C@@H]3CC3(C)C)C2)nc1=O ZINC001073578506 741664774 /nfs/dbraw/zinc/66/47/74/741664774.db2.gz OPTILPUCUDXOBQ-NEPJUHHUSA-N -1 1 337.424 -0.138 20 0 EBADMM O=C(CN1CCCO[C@H](CNC(=O)c2ncccc2[O-])C1)N1CCC1 ZINC001073582288 741667833 /nfs/dbraw/zinc/66/78/33/741667833.db2.gz HWLJIDNOZHIARB-CYBMUJFWSA-N -1 1 348.403 -0.160 20 0 EBADMM CC[C@@H](F)CN1CCCO[C@@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001073680290 741708217 /nfs/dbraw/zinc/70/82/17/741708217.db2.gz ZCYAUPNKEHUOGC-ZJUUUORDSA-N -1 1 343.359 -0.148 20 0 EBADMM CNC(=O)CC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001073910551 741786788 /nfs/dbraw/zinc/78/67/88/741786788.db2.gz WHXREMOCZYTDPQ-MNOVXSKESA-N -1 1 334.376 -0.110 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCCN1C(=O)Cn1nccn1 ZINC001074020947 741810361 /nfs/dbraw/zinc/81/03/61/741810361.db2.gz FWDCHODKFQOMKD-GFCCVEGCSA-N -1 1 348.411 -0.787 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H]3CCN(C(=O)C(C)(C)F)C[C@@H]32)nc1=O ZINC001074131651 741837717 /nfs/dbraw/zinc/83/77/17/741837717.db2.gz OSCFDTCTTSEDBA-QWRGUYRKSA-N -1 1 341.387 -0.342 20 0 EBADMM C/C=C(\C)C(=O)N1CC[C@@H]2OCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C1 ZINC001074209393 741861524 /nfs/dbraw/zinc/86/15/24/741861524.db2.gz XKQISGPQGGGWHH-VVBALGDRSA-N -1 1 335.408 -0.124 20 0 EBADMM CCN1CCO[C@@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]21 ZINC001074382982 741921976 /nfs/dbraw/zinc/92/19/76/741921976.db2.gz UBAWVVYTMJEXIC-VXGBXAGGSA-N -1 1 332.364 -0.647 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H]3CCN(C(=O)C(C)(C)C)C[C@@H]32)nc1=O ZINC001074391193 741924731 /nfs/dbraw/zinc/92/47/31/741924731.db2.gz ZEVXUJZJBFDNPI-NWDGAFQWSA-N -1 1 337.424 -0.044 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C[C@H]1CCCC(=O)N1 ZINC001074736727 742094321 /nfs/dbraw/zinc/09/43/21/742094321.db2.gz KNTYPMNCPPGCDH-AXFHLTTASA-N -1 1 336.396 -0.352 20 0 EBADMM COCC(=O)N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)[C@H](C)C1 ZINC001074769274 742103447 /nfs/dbraw/zinc/10/34/47/742103447.db2.gz XYIVFPXNYYCYNA-ZJUUUORDSA-N -1 1 348.363 -0.827 20 0 EBADMM CCn1cc(C(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)cn1 ZINC001075626779 742263323 /nfs/dbraw/zinc/26/33/23/742263323.db2.gz QSDZDPFXANKZPB-WCQYABFASA-N -1 1 345.407 -0.329 20 0 EBADMM Cc1ccnc(C(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)n1 ZINC001075746965 742288025 /nfs/dbraw/zinc/28/80/25/742288025.db2.gz QXQFDRRKXMMEPF-NWDGAFQWSA-N -1 1 343.391 -0.447 20 0 EBADMM Cc1cn(C)nc1C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001075781615 742297081 /nfs/dbraw/zinc/29/70/81/742297081.db2.gz SLXSIRYDEWPOSI-NWDGAFQWSA-N -1 1 345.407 -0.503 20 0 EBADMM Cc1ncc(CC(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)o1 ZINC001075878700 742319284 /nfs/dbraw/zinc/31/92/84/742319284.db2.gz GJIFNFUAJAMCFO-WCQYABFASA-N -1 1 346.391 -0.320 20 0 EBADMM CO[C@@H](C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)C1CCC1 ZINC001076093962 742376115 /nfs/dbraw/zinc/37/61/15/742376115.db2.gz GALHDRNIFITAIW-GZBFAFLISA-N -1 1 349.435 -0.044 20 0 EBADMM C[C@@H](CCNC(=O)c1ncccc1[O-])NC(=O)CN1C(=O)CCC1=O ZINC001076247576 742419693 /nfs/dbraw/zinc/41/96/93/742419693.db2.gz UEHGHKRQEKCCJR-JTQLQIEISA-N -1 1 348.359 -0.439 20 0 EBADMM O=C([N-][C@@H]1CN(Cc2nnnn2CC(F)(F)F)C[C@H]1O)C(F)F ZINC001076659763 742527337 /nfs/dbraw/zinc/52/73/37/742527337.db2.gz IUUCOWXSUZQJBP-PHDIDXHHSA-N -1 1 344.244 -0.838 20 0 EBADMM C[C@@H](CCNC(=O)C[C@H]1CCNC1=O)NC(=O)c1ncccc1[O-] ZINC001076849111 742601448 /nfs/dbraw/zinc/60/14/48/742601448.db2.gz IKPSEAQLXOCOCK-WDEREUQCSA-N -1 1 334.376 -0.062 20 0 EBADMM CCn1ncc(CN2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)n1 ZINC001076916929 742642157 /nfs/dbraw/zinc/64/21/57/742642157.db2.gz JGMOOIMMQQCHIS-DGCLKSJQSA-N -1 1 332.364 -0.626 20 0 EBADMM COc1cc(CN2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)on1 ZINC001076917303 742642539 /nfs/dbraw/zinc/64/25/39/742642539.db2.gz XSGSYYICJIKJPY-ZYHUDNBSSA-N -1 1 334.332 -0.241 20 0 EBADMM CCc1ccnc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c1 ZINC001077046075 742709230 /nfs/dbraw/zinc/70/92/30/742709230.db2.gz AVWUGNPBGLPGJV-CHWSQXEVSA-N -1 1 346.391 -0.959 20 0 EBADMM CC(C)=CCN1C[C@@H](O)[C@H](NC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001077796412 743254041 /nfs/dbraw/zinc/25/40/41/743254041.db2.gz BHYPUMIDJHXRBB-CHWSQXEVSA-N -1 1 331.376 -0.236 20 0 EBADMM C[C@@H](CCNC(=O)CCCF)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001077845657 743293033 /nfs/dbraw/zinc/29/30/33/743293033.db2.gz HFZAVDUSQGGGHE-NSHDSACASA-N -1 1 342.371 -0.313 20 0 EBADMM O=C(c1cccnc1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078316058 743583633 /nfs/dbraw/zinc/58/36/33/743583633.db2.gz XRDQHHZJLOKCSF-LBPRGKRZSA-N -1 1 332.364 -0.074 20 0 EBADMM O=C(c1cncs1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078351896 743599808 /nfs/dbraw/zinc/59/98/08/743599808.db2.gz WXQKCJLVSGQCJB-VIFPVBQESA-N -1 1 338.393 -0.012 20 0 EBADMM Cn1cc(CC(=O)N2CCCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001078356072 743601888 /nfs/dbraw/zinc/60/18/88/743601888.db2.gz BBVQORRXVXLOOI-GFCCVEGCSA-N -1 1 349.395 -0.806 20 0 EBADMM O=C(c1cc[nH]c(=O)c1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078374317 743613041 /nfs/dbraw/zinc/61/30/41/743613041.db2.gz WRJGVDHSSCRNJP-NSHDSACASA-N -1 1 348.363 -0.368 20 0 EBADMM O=C(Cc1cccnc1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078380010 743615362 /nfs/dbraw/zinc/61/53/62/743615362.db2.gz YZJXLMQIPWKAQV-ZDUSSCGKSA-N -1 1 346.391 -0.145 20 0 EBADMM O=C(c1cn[nH]c(=O)c1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078414920 743639375 /nfs/dbraw/zinc/63/93/75/743639375.db2.gz SSROQURDXAJNCF-JTQLQIEISA-N -1 1 349.351 -0.973 20 0 EBADMM O=C(c1cnc[nH]c1=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078416102 743639992 /nfs/dbraw/zinc/63/99/92/743639992.db2.gz QUOIVXMRVTYIRJ-SECBINFHSA-N -1 1 349.351 -0.973 20 0 EBADMM Cc1ncc(C(=O)N2CCCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)n1C ZINC001078455115 743656182 /nfs/dbraw/zinc/65/61/82/743656182.db2.gz FLTHKYOJUKTOKW-LLVKDONJSA-N -1 1 349.395 -0.427 20 0 EBADMM O=C(c1ccncn1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078489180 743672328 /nfs/dbraw/zinc/67/23/28/743672328.db2.gz PCCFOYIRLHVODN-JTQLQIEISA-N -1 1 333.352 -0.679 20 0 EBADMM O=C(c1ncccn1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078490365 743673946 /nfs/dbraw/zinc/67/39/46/743673946.db2.gz IYJNODWNAOLFQO-SNVBAGLBSA-N -1 1 333.352 -0.679 20 0 EBADMM C[C@@]1(C(=O)N2CCCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)CCOC1 ZINC001078494163 743676958 /nfs/dbraw/zinc/67/69/58/743676958.db2.gz VIRPPKKBYZNVFE-IAQYHMDHSA-N -1 1 339.396 -0.356 20 0 EBADMM Cn1oc(C(=O)N[C@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)cc1=O ZINC001078606412 743706513 /nfs/dbraw/zinc/70/65/13/743706513.db2.gz HAHUSGXSISUTFA-UWVGGRQHSA-N -1 1 348.363 -0.367 20 0 EBADMM Cn1oc(C(=O)N[C@@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)cc1=O ZINC001078606413 743706722 /nfs/dbraw/zinc/70/67/22/743706722.db2.gz HAHUSGXSISUTFA-VHSXEESVSA-N -1 1 348.363 -0.367 20 0 EBADMM Cn1c(C(=O)N[C@@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)c[nH]c1=O ZINC001078698199 743720831 /nfs/dbraw/zinc/72/08/31/743720831.db2.gz MBFLGINKLVQDJB-VHSXEESVSA-N -1 1 347.379 -0.220 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N[C@H]1C[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000980055478 805711388 /nfs/dbraw/zinc/71/13/88/805711388.db2.gz IFXSMLMBLKLKCA-GWOFURMSSA-N -1 1 344.375 -0.302 20 0 EBADMM O=C(NC[C@H]1C[C@H](NC(=O)C2CCC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000980057323 805711540 /nfs/dbraw/zinc/71/15/40/805711540.db2.gz WNZHBVPLXARUII-HOMQSWHASA-N -1 1 344.375 -0.158 20 0 EBADMM O=C(NC1CCC(NC(=O)[C@@H]2CNC(=O)N2)CC1)c1ncccc1[O-] ZINC000980144277 805765100 /nfs/dbraw/zinc/76/51/00/805765100.db2.gz FHMBTWGGXNWVLH-ILDUYXDCSA-N -1 1 347.375 -0.374 20 0 EBADMM Cc1cnc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)cn1 ZINC000980652434 805974624 /nfs/dbraw/zinc/97/46/24/805974624.db2.gz XOQCLFVLKDJECC-UHFFFAOYSA-N -1 1 331.380 -0.445 20 0 EBADMM Cn1cc(CC(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)cn1 ZINC000980820850 806025311 /nfs/dbraw/zinc/02/53/11/806025311.db2.gz SHPJWCAXSHFHRK-UHFFFAOYSA-N -1 1 333.396 -0.881 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CCC[C@H](NCc3nnnn3C)C2)c1[O-] ZINC000981020613 806058827 /nfs/dbraw/zinc/05/88/27/806058827.db2.gz NUFCYGGEOPYJMF-UWVGGRQHSA-N -1 1 334.384 -0.222 20 0 EBADMM O=C(NC[C@H]1CC[C@@H](NC(=O)[C@@H]2COC(=O)N2)C1)c1ncccc1[O-] ZINC000981206937 806109388 /nfs/dbraw/zinc/10/93/88/806109388.db2.gz DPFYWTMHKDZBCZ-AXFHLTTASA-N -1 1 348.359 -0.090 20 0 EBADMM Cc1nc([C@H](C)N2CCCN(C(=O)c3n[nH]c(=O)[n-]c3=O)CC2)n[nH]1 ZINC000981555128 806200460 /nfs/dbraw/zinc/20/04/60/806200460.db2.gz CXWWUUFZKCSMDJ-QMMMGPOBSA-N -1 1 348.367 -0.382 20 0 EBADMM Cc1cc(CC(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)n(C)n1 ZINC000981613053 806217044 /nfs/dbraw/zinc/21/70/44/806217044.db2.gz RXPQBONONNCEMY-UHFFFAOYSA-N -1 1 347.423 -0.573 20 0 EBADMM Cn1ccnc1CCC(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000981668708 806231039 /nfs/dbraw/zinc/23/10/39/806231039.db2.gz RKUIQPFGBVHVMY-UHFFFAOYSA-N -1 1 347.423 -0.491 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)C[C@@H]4CCOC4)C3)C2)nc1=O ZINC000981680953 806235969 /nfs/dbraw/zinc/23/59/69/806235969.db2.gz FOJQBYNGQKUZAL-ZDUSSCGKSA-N -1 1 349.435 -0.041 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)Cc4cc[nH]n4)C3)C2)nc1=O ZINC000981682242 806236070 /nfs/dbraw/zinc/23/60/70/806236070.db2.gz DEINQVBDYWWOIR-UHFFFAOYSA-N -1 1 345.407 -0.501 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)CC(C)(C)O)C3)C2)nc1=O ZINC000981738489 806255897 /nfs/dbraw/zinc/25/58/97/806255897.db2.gz CJGRIGBKVRULOU-UHFFFAOYSA-N -1 1 337.424 -0.306 20 0 EBADMM Cc1cnn(C)c1C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000981786196 806279613 /nfs/dbraw/zinc/27/96/13/806279613.db2.gz VWZGMWKMMNTTOV-UHFFFAOYSA-N -1 1 333.396 -0.502 20 0 EBADMM COc1ncccc1C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000981869074 806310883 /nfs/dbraw/zinc/31/08/83/806310883.db2.gz MTYQAWIHVQOIFH-UHFFFAOYSA-N -1 1 346.391 -0.140 20 0 EBADMM O=C(N[C@@H]1CC[C@H](CNC(=O)[C@@H]2CCNC2=O)C1)c1ncccc1[O-] ZINC000981878466 806313858 /nfs/dbraw/zinc/31/38/58/806313858.db2.gz AGLUPYSCOQWMLS-QJPTWQEYSA-N -1 1 346.387 -0.062 20 0 EBADMM Cc1n[nH]cc1C(=O)N1CC2(C1)CCCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC000981927550 806326230 /nfs/dbraw/zinc/32/62/30/806326230.db2.gz YGRIVPWMJISURI-UHFFFAOYSA-N -1 1 345.407 -0.122 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)c4n[nH]cc4F)C3)C2)nc1=O ZINC000981994045 806365597 /nfs/dbraw/zinc/36/55/97/806365597.db2.gz QVEDSZAIAQUXJH-UHFFFAOYSA-N -1 1 349.370 -0.291 20 0 EBADMM Cc1ccnn1CC(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000982048939 806382782 /nfs/dbraw/zinc/38/27/82/806382782.db2.gz ADGKJDQWDKXJQM-UHFFFAOYSA-N -1 1 333.396 -0.652 20 0 EBADMM Cn1[n-]c(CN2C[C@]3(C)CN(C(=O)[C@@H]4CCCO4)C[C@]3(C)C2)nc1=O ZINC000982200712 806421658 /nfs/dbraw/zinc/42/16/58/806421658.db2.gz USNFHRKOPSIZBL-AFAVFJNCSA-N -1 1 349.435 -0.042 20 0 EBADMM Cn1[n-]c(CN2C[C@]3(C)CN(C(=O)[C@H]4CCOC4)C[C@]3(C)C2)nc1=O ZINC000982229609 806428604 /nfs/dbraw/zinc/42/86/04/806428604.db2.gz LGPBNRWMCYNZRS-AFAVFJNCSA-N -1 1 349.435 -0.185 20 0 EBADMM Cc1ncc(C(=O)N2CC[C@@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC000982554095 806558842 /nfs/dbraw/zinc/55/88/42/806558842.db2.gz NFZNAHBHOXHVRN-QMMMGPOBSA-N -1 1 347.335 -0.794 20 0 EBADMM CN1CCO[C@H](C(=O)N2CC[C@H](CNC(=O)c3ncccc3[O-])C2)C1 ZINC000982576967 806577038 /nfs/dbraw/zinc/57/70/38/806577038.db2.gz DLHMMKBSGZGTBF-OCCSQVGLSA-N -1 1 348.403 -0.304 20 0 EBADMM CN1CCO[C@H](C(=O)NC[C@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000982671230 806627380 /nfs/dbraw/zinc/62/73/80/806627380.db2.gz OYMUDXIOJRTZPU-OCCSQVGLSA-N -1 1 348.403 -0.304 20 0 EBADMM CN1C(=O)CC[C@H]1C(=O)NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000982676435 806631310 /nfs/dbraw/zinc/63/13/10/806631310.db2.gz SASXBMIEEWDTGV-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1nnc(C(=O)NC[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC000982675180 806631478 /nfs/dbraw/zinc/63/14/78/806631478.db2.gz JTCXVYUOFYAFPH-VIFPVBQESA-N -1 1 331.336 -0.797 20 0 EBADMM CCc1cc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)n(C)n1 ZINC000982682190 806634091 /nfs/dbraw/zinc/63/40/91/806634091.db2.gz CNUPYZDAVGHJFQ-UHFFFAOYSA-N -1 1 347.423 -0.248 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)c3ncccc3F)CC2)nc1=O ZINC000982705860 806651319 /nfs/dbraw/zinc/65/13/19/806651319.db2.gz KTJRWAABZJBOMI-UHFFFAOYSA-N -1 1 334.355 -0.009 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H](CNC(=O)C2CC2)C1 ZINC000983005220 806832125 /nfs/dbraw/zinc/83/21/25/806832125.db2.gz AOTPEVGKDOTRFD-MRVPVSSYSA-N -1 1 336.348 -0.115 20 0 EBADMM NC(=O)C(=O)N1CC[C@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000983206163 806947425 /nfs/dbraw/zinc/94/74/25/806947425.db2.gz SOWKIXVLAUFVMA-MRVPVSSYSA-N -1 1 333.348 -0.877 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1cncnc1 ZINC000965935723 803817909 /nfs/dbraw/zinc/81/79/09/803817909.db2.gz IPQJGOYDIYWGFF-PWSUYJOCSA-N -1 1 331.380 -0.461 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)[C@H]1COC(=O)N1 ZINC000976433035 803829871 /nfs/dbraw/zinc/82/98/71/803829871.db2.gz PFSVFVLADZBFCE-LOKLDPHHSA-N -1 1 332.316 -0.918 20 0 EBADMM O=C(Cn1cncn1)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000977222725 804237936 /nfs/dbraw/zinc/23/79/36/804237936.db2.gz LJOGNEPWFHERJL-IWIIMEHWSA-N -1 1 328.332 -0.735 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1cc(=O)n2[n-]cnc2n1)C(=O)C1CCC1 ZINC000977266480 804261324 /nfs/dbraw/zinc/26/13/24/804261324.db2.gz XZAAMUABVOUBNX-LLVKDONJSA-N -1 1 344.375 -0.109 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@@H]1COCCN1C ZINC000977590810 804399705 /nfs/dbraw/zinc/39/97/05/804399705.db2.gz AURDYQIJLLKSGG-OLZOCXBDSA-N -1 1 348.403 -0.209 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)C[C@@H]1CCNC1=O)C(=O)c1ncccc1[O-] ZINC000977598482 804407086 /nfs/dbraw/zinc/40/70/86/804407086.db2.gz ISFSIDRYFBAATG-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)[C@H]1COCCO1)C(=O)c1ncccc1[O-] ZINC000977600077 804408210 /nfs/dbraw/zinc/40/82/10/804408210.db2.gz AMEUKMMNOGSEMY-WCQYABFASA-N -1 1 335.360 -0.125 20 0 EBADMM CN(C[C@H]1CCN1C(=O)C(C)(C)C(N)=O)C(=O)c1ncccc1[O-] ZINC000977602008 804408988 /nfs/dbraw/zinc/40/89/88/804408988.db2.gz RMYTXGQIKYPRNF-SNVBAGLBSA-N -1 1 334.376 -0.028 20 0 EBADMM CN(C[C@H]1CCN1C(=O)CS(C)(=O)=O)C(=O)c1ncccc1[O-] ZINC000977605669 804410274 /nfs/dbraw/zinc/41/02/74/804410274.db2.gz BKGURZIYJCCSKR-SNVBAGLBSA-N -1 1 341.389 -0.495 20 0 EBADMM CN(C[C@H]1CCN1C(=O)[C@H]1CCN(C)C1=O)C(=O)c1ncccc1[O-] ZINC000977616715 804416316 /nfs/dbraw/zinc/41/63/16/804416316.db2.gz ILVWEHLIKIYFRV-NEPJUHHUSA-N -1 1 346.387 -0.062 20 0 EBADMM Cc1nonc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000977659832 804449499 /nfs/dbraw/zinc/44/94/99/804449499.db2.gz QLHNXMSNPZHOBP-SECBINFHSA-N -1 1 335.368 -0.560 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@@H]1COC(=O)N1 ZINC000977660690 804449606 /nfs/dbraw/zinc/44/96/06/804449606.db2.gz VIQMPKQDXHUEMP-ZJUUUORDSA-N -1 1 334.332 -0.432 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@H]1CC(=O)N(C)C1 ZINC000977841488 804518376 /nfs/dbraw/zinc/51/83/76/804518376.db2.gz HUNVCRHETTXDHY-RYUDHWBXSA-N -1 1 346.387 -0.062 20 0 EBADMM CS(=O)(=O)CCN1C[C@@H]2[C@@H](CNC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000978070716 804645167 /nfs/dbraw/zinc/64/51/67/804645167.db2.gz ZDVWFPVRTYUTGF-CNDDSTCGSA-N -1 1 339.417 -0.261 20 0 EBADMM O=C(N[C@H]1C[C@@H](CNC(=O)C2CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000978493154 804846324 /nfs/dbraw/zinc/84/63/24/804846324.db2.gz LWDVZKPVRQRQJI-WAAGHKOSSA-N -1 1 330.348 -0.548 20 0 EBADMM C[C@@H]1C[C@@H]1C(=O)NC[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000978548028 804858226 /nfs/dbraw/zinc/85/82/26/804858226.db2.gz IWTGHPDVUNJGRA-ZNSHCXBVSA-N -1 1 344.375 -0.302 20 0 EBADMM O=C(N[C@H]1C[C@@H](CNC(=O)[C@@H]2COCCO2)C1)c1ncccc1[O-] ZINC000978600766 804877866 /nfs/dbraw/zinc/87/78/66/804877866.db2.gz RTLWBCBMBVSXPK-LOWVWBTDSA-N -1 1 335.360 -0.173 20 0 EBADMM CN1CCO[C@H](C(=O)N[C@H]2C[C@@H](CNC(=O)c3ncccc3[O-])C2)C1 ZINC000978740249 804952784 /nfs/dbraw/zinc/95/27/84/804952784.db2.gz ADUSHVKUOJAXGW-SCRDCRAPSA-N -1 1 348.403 -0.258 20 0 EBADMM O=C(CN1CN=NC1=O)N[C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000978745932 804956315 /nfs/dbraw/zinc/95/63/15/804956315.db2.gz WAHHSBDMJCFKEB-AOOOYVTPSA-N -1 1 346.347 -0.591 20 0 EBADMM O=C(NC[C@H]1C[C@@H](NC(=O)[C@@H]2CCNC2=O)C1)c1ncccc1[O-] ZINC000978751716 804958353 /nfs/dbraw/zinc/95/83/53/804958353.db2.gz FKEXLEFVJDZUJD-OUAUKWLOSA-N -1 1 332.360 -0.452 20 0 EBADMM CN1C[C@@H](C(=O)N[C@H]2C[C@@H](CNC(=O)c3ncccc3[O-])C2)CC1=O ZINC000978752586 804959153 /nfs/dbraw/zinc/95/91/53/804959153.db2.gz JTMQZPNWQOHACW-SDDRHHMPSA-N -1 1 346.387 -0.110 20 0 EBADMM COc1cncc(C(=O)NC[C@H]2C[C@@H](NC(=O)c3cnn[nH]3)C2)n1 ZINC000978837526 805001674 /nfs/dbraw/zinc/00/16/74/805001674.db2.gz XYXFXBPJWZKXNL-DTORHVGOSA-N -1 1 331.336 -0.458 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)Cn2cccn2)CCO1 ZINC000979184250 805195601 /nfs/dbraw/zinc/19/56/01/805195601.db2.gz BBFTWQBXVDMNIZ-WDEREUQCSA-N -1 1 335.368 -0.888 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2ccc(=O)[nH]n2)CCO1 ZINC000979185789 805195788 /nfs/dbraw/zinc/19/57/88/805195788.db2.gz YPVYFLZCXZXARI-WCBMZHEXSA-N -1 1 349.351 -0.975 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)n(C)n1 ZINC000979218418 805215065 /nfs/dbraw/zinc/21/50/65/805215065.db2.gz PUZINWKFQZNUDX-CMPLNLGQSA-N -1 1 349.395 -0.428 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)c2ccnn2C)CCO1 ZINC000979233343 805225469 /nfs/dbraw/zinc/22/54/69/805225469.db2.gz VIIWPBIVYQUNOK-MWLCHTKSSA-N -1 1 335.368 -0.737 20 0 EBADMM Cc1nn(C)cc1C(=O)N1CCO[C@@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979240579 805230935 /nfs/dbraw/zinc/23/09/35/805230935.db2.gz LBJNRAGXIHJWTO-CMPLNLGQSA-N -1 1 349.395 -0.428 20 0 EBADMM Cc1ncoc1C(=O)N1CCO[C@@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979266848 805249201 /nfs/dbraw/zinc/24/92/01/805249201.db2.gz ITJOUFFZLIOYRM-WCBMZHEXSA-N -1 1 336.352 -0.174 20 0 EBADMM C[C@@H](NCc1nnn(C)n1)[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC000979272972 805254019 /nfs/dbraw/zinc/25/40/19/805254019.db2.gz IMMAVMSMLXPHPA-ZYHUDNBSSA-N -1 1 347.379 -0.670 20 0 EBADMM C[C@@H](NCc1nnnn1C)[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC000979277954 805257610 /nfs/dbraw/zinc/25/76/10/805257610.db2.gz QGXSYAPCYPEELS-ZYHUDNBSSA-N -1 1 347.379 -0.670 20 0 EBADMM CCn1ccnc1C(=O)N1CCO[C@@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979287737 805265495 /nfs/dbraw/zinc/26/54/95/805265495.db2.gz YYELJVGKYUMMII-WDEREUQCSA-N -1 1 349.395 -0.254 20 0 EBADMM Cc1ccc(C(=O)N2CCO[C@@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)nn1 ZINC000979296252 805272807 /nfs/dbraw/zinc/27/28/07/805272807.db2.gz PCXYNTOMJNEKGN-CMPLNLGQSA-N -1 1 347.379 -0.372 20 0 EBADMM Cc1n[nH]cc1C(=O)N1CCO[C@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979362123 805326359 /nfs/dbraw/zinc/32/63/59/805326359.db2.gz NBGFGCWMRXHSOE-KOLCDFICSA-N -1 1 335.368 -0.439 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1C[C@H](CNC(=O)C2CC2)C1 ZINC000979377401 805338740 /nfs/dbraw/zinc/33/87/40/805338740.db2.gz PNYHXDYZVAXIHC-XWEPSHTISA-N -1 1 336.348 -0.069 20 0 EBADMM Cc1ccn(CC(=O)N2CCO[C@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000979395518 805349457 /nfs/dbraw/zinc/34/94/57/805349457.db2.gz WQHMONIYSDGANZ-RYUDHWBXSA-N -1 1 349.395 -0.579 20 0 EBADMM Cc1ccnn1CC(=O)N1CCO[C@H]([C@@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979407855 805357524 /nfs/dbraw/zinc/35/75/24/805357524.db2.gz PFEKYZSZEZQQDY-NEPJUHHUSA-N -1 1 349.395 -0.579 20 0 EBADMM Cc1conc1C(=O)N1CCO[C@@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979424620 805367483 /nfs/dbraw/zinc/36/74/83/805367483.db2.gz GZBHCCRXDGXWDF-VHSXEESVSA-N -1 1 336.352 -0.174 20 0 EBADMM Cc1nccc(C(=O)N2CCO[C@@H]([C@H](C)NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000979434100 805375577 /nfs/dbraw/zinc/37/55/77/805375577.db2.gz QUHDTTKEXKRJOA-JOYOIKCWSA-N -1 1 347.379 -0.372 20 0 EBADMM CN1CCO[C@@H](C(=O)N[C@H]2C[C@H](CNC(=O)c3ncccc3[O-])C2)C1 ZINC000979662801 805474220 /nfs/dbraw/zinc/47/42/20/805474220.db2.gz ADUSHVKUOJAXGW-YRGRVCCFSA-N -1 1 348.403 -0.258 20 0 EBADMM O=C(CN1CCOCC1)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000979665179 805476834 /nfs/dbraw/zinc/47/68/34/805476834.db2.gz QBCDHBISFFBVJE-JOCQHMNTSA-N -1 1 348.403 -0.256 20 0 EBADMM CN(C(=O)[C@H]1CNC(=O)N1)[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984113599 807167719 /nfs/dbraw/zinc/16/77/19/807167719.db2.gz LDFOMEWEJGHLCU-WDEREUQCSA-N -1 1 347.375 -0.468 20 0 EBADMM CN(C(=O)c1nnn(C)n1)[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000984121839 807171941 /nfs/dbraw/zinc/17/19/41/807171941.db2.gz RHMNJIKLTKRFFY-SNVBAGLBSA-N -1 1 345.363 -0.313 20 0 EBADMM C[C@H](NC[C@H]1C[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1)c1ncccn1 ZINC000984212525 807217997 /nfs/dbraw/zinc/21/79/97/807217997.db2.gz DSUXWAJHFWLCOE-GUBZILKMSA-N -1 1 345.363 -0.068 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)[C@H]2CCNC(=O)C2)CC1 ZINC000985372892 807496136 /nfs/dbraw/zinc/49/61/36/807496136.db2.gz IOTISYFQGGGQMG-JTQLQIEISA-N -1 1 336.396 -0.541 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)Cc2cncnc2)CC1 ZINC000985400101 807504821 /nfs/dbraw/zinc/50/48/21/807504821.db2.gz VWRGLFBANVECIJ-UHFFFAOYSA-N -1 1 331.380 -0.034 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)c2coc(C(N)=O)c2)CC1 ZINC000985548889 807534742 /nfs/dbraw/zinc/53/47/42/807534742.db2.gz AFKNYRDJOLWLFP-UHFFFAOYSA-N -1 1 348.363 -0.061 20 0 EBADMM Cc1c(CC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)cnn1C ZINC000986343938 807680766 /nfs/dbraw/zinc/68/07/66/807680766.db2.gz UGUDDOOBGXXSIU-ZYHUDNBSSA-N -1 1 333.396 -0.126 20 0 EBADMM Cc1c(CC(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)cnn1C ZINC000986343933 807681326 /nfs/dbraw/zinc/68/13/26/807681326.db2.gz UGUDDOOBGXXSIU-JQWIXIFHSA-N -1 1 333.396 -0.126 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnn2ccncc12 ZINC000986352269 807682460 /nfs/dbraw/zinc/68/24/60/807682460.db2.gz GCFUOSPGVKIMEW-MWLCHTKSSA-N -1 1 342.363 -0.054 20 0 EBADMM Cc1nn(C)cc1CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC000986367569 807687521 /nfs/dbraw/zinc/68/75/21/807687521.db2.gz ZKIZKARFHZAKLK-JQWIXIFHSA-N -1 1 333.396 -0.126 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cn2c(n1)COCC2 ZINC000986414161 807697240 /nfs/dbraw/zinc/69/72/40/807697240.db2.gz JIVRKQSCSOZEGB-ZJUUUORDSA-N -1 1 347.379 -0.370 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cccc2ncnn21 ZINC000986494106 807719821 /nfs/dbraw/zinc/71/98/21/807719821.db2.gz CZZJHYBPEQZOES-ZJUUUORDSA-N -1 1 342.363 -0.054 20 0 EBADMM COc1cnc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)nc1 ZINC000986532820 807729038 /nfs/dbraw/zinc/72/90/38/807729038.db2.gz KMKKYGUIJOZXDE-WCBMZHEXSA-N -1 1 333.352 -0.298 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1coc(C(N)=O)c1 ZINC000986599873 807749398 /nfs/dbraw/zinc/74/93/98/807749398.db2.gz GHVRFEZDLDUIFY-IONNQARKSA-N -1 1 334.336 -0.405 20 0 EBADMM Cc1noc(CCC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)[C@H]2C)n1 ZINC000986636245 807754487 /nfs/dbraw/zinc/75/44/87/807754487.db2.gz RNRWGFDICJURLH-PSASIEDQSA-N -1 1 335.368 -0.086 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnn2cccnc12 ZINC000986635364 807754649 /nfs/dbraw/zinc/75/46/49/807754649.db2.gz CNMVPLNAQXMUJB-ONGXEEELSA-N -1 1 342.363 -0.054 20 0 EBADMM CC(=O)N[C@H](C)C1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000986929509 807798923 /nfs/dbraw/zinc/79/89/23/807798923.db2.gz NNYKDJUYMGQJMT-LLVKDONJSA-N -1 1 336.392 -0.310 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1nccn2ccnc12 ZINC000987004596 807818689 /nfs/dbraw/zinc/81/86/89/807818689.db2.gz URYQLJOVGUEBMV-VHSXEESVSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1nccn2ccnc12 ZINC000987004598 807820015 /nfs/dbraw/zinc/82/00/15/807820015.db2.gz URYQLJOVGUEBMV-ZJUUUORDSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCc1cncn1C ZINC000987433697 807918809 /nfs/dbraw/zinc/91/88/09/807918809.db2.gz NOUNOSAYWDOYOS-JQWIXIFHSA-N -1 1 333.396 -0.044 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CN1CCOCC1 ZINC000987672870 807987777 /nfs/dbraw/zinc/98/77/77/807987777.db2.gz TXWAGSOFHLVJSP-OLZOCXBDSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@H]1CCNC1=O ZINC000987687358 807991179 /nfs/dbraw/zinc/99/11/79/807991179.db2.gz ILIBVKFIHWZQRC-VWYCJHECSA-N -1 1 332.360 -0.358 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CCN1C(=O)C(N)=O ZINC000988053179 808099968 /nfs/dbraw/zinc/09/99/68/808099968.db2.gz JQZHYVUXSWZNKC-XCBNKYQSSA-N -1 1 333.348 -0.736 20 0 EBADMM CN(C[C@@H]1CCN(C(=O)[C@H]2C[C@H]2C(N)=O)C1)C(=O)c1ncccc1[O-] ZINC000988349416 808200034 /nfs/dbraw/zinc/20/00/34/808200034.db2.gz PKMVEKDDCRYWFU-TUAOUCFPSA-N -1 1 346.387 -0.171 20 0 EBADMM Cc1cccn(CC(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)c1=O ZINC000988839503 808299093 /nfs/dbraw/zinc/29/90/93/808299093.db2.gz TYZFFITUBKPPQP-RYUDHWBXSA-N -1 1 346.391 -0.240 20 0 EBADMM COc1cc(C(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)ncn1 ZINC000988848744 808300555 /nfs/dbraw/zinc/30/05/55/808300555.db2.gz HYHPHJZZMNTADX-BDAKNGLRSA-N -1 1 333.352 -0.298 20 0 EBADMM COc1cc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)ncn1 ZINC000988848749 808300795 /nfs/dbraw/zinc/30/07/95/808300795.db2.gz HYHPHJZZMNTADX-RKDXNWHRSA-N -1 1 333.352 -0.298 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnc2n[nH]nc2c1 ZINC000988972229 808338544 /nfs/dbraw/zinc/33/85/44/808338544.db2.gz HWNJHEADAGITIV-CBAPKCEASA-N -1 1 343.351 -0.431 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cn2cccnc2n1 ZINC000989094368 808372724 /nfs/dbraw/zinc/37/27/24/808372724.db2.gz MUPWWVAVGKQZTR-NXEZZACHSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@@H]1C[C@@H](NCc2nccn2C)CN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000989122300 808382740 /nfs/dbraw/zinc/38/27/40/808382740.db2.gz XIXSNLOVKXYHRK-RKDXNWHRSA-N -1 1 333.352 -0.591 20 0 EBADMM COc1cnc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)nc1 ZINC000989164978 808391832 /nfs/dbraw/zinc/39/18/32/808391832.db2.gz XLIRZLANUAIVLC-DTWKUNHWSA-N -1 1 333.352 -0.298 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)[C@@H]2CN(C)C(=O)N2)CCN1C(=O)c1ncccc1[O-] ZINC000989238883 808415808 /nfs/dbraw/zinc/41/58/08/808415808.db2.gz FWTOUWFLHZPPBK-VWYCJHECSA-N -1 1 347.375 -0.470 20 0 EBADMM Cc1noc(CCC(=O)N2C[C@@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)n1 ZINC000989273332 808424170 /nfs/dbraw/zinc/42/41/70/808424170.db2.gz OYRCYVJLTTVPBQ-SCZZXKLOSA-N -1 1 335.368 -0.086 20 0 EBADMM C[C@H]1C[C@H](NCc2nccn2C)CN1C(=O)c1cnc([O-])n(C)c1=O ZINC000989279668 808426112 /nfs/dbraw/zinc/42/61/12/808426112.db2.gz BXWBWXNRNOKRTQ-QWRGUYRKSA-N -1 1 346.391 -0.388 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H]1C ZINC000989324897 808444985 /nfs/dbraw/zinc/44/49/85/808444985.db2.gz KQKSSBOQCGIXOH-VLEAKVRGSA-N -1 1 344.375 -0.207 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC000989330578 808445551 /nfs/dbraw/zinc/44/55/51/808445551.db2.gz UGPJBRSJDHXGOB-CBAPKCEASA-N -1 1 333.352 -0.293 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)COC1CCOCC1 ZINC000989510462 808492703 /nfs/dbraw/zinc/49/27/03/808492703.db2.gz GRFSYZBLBIWFNL-WDEREUQCSA-N -1 1 339.396 -0.215 20 0 EBADMM C[C@H]1C[C@H](NCc2ccon2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000989562342 808508327 /nfs/dbraw/zinc/50/83/27/808508327.db2.gz PFDBYEMKJTUOSJ-AAEUAGOBSA-N -1 1 347.375 -0.306 20 0 EBADMM COc1ccc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)nn1 ZINC000989646796 808544694 /nfs/dbraw/zinc/54/46/94/808544694.db2.gz PEVKLMBKIUOPDI-RKDXNWHRSA-N -1 1 333.352 -0.298 20 0 EBADMM CCn1ccnc1CN[C@H]1C[C@@H](C)N(C(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC000989722473 808568763 /nfs/dbraw/zinc/56/87/63/808568763.db2.gz KPXVOEZJEVEMAI-MNOVXSKESA-N -1 1 349.395 -0.315 20 0 EBADMM COCCn1cc(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)cn1 ZINC000989734313 808574076 /nfs/dbraw/zinc/57/40/76/808574076.db2.gz SSLJDKAPMXZLPV-CMPLNLGQSA-N -1 1 349.395 -0.254 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1noc2c1COCC2 ZINC000989823047 808604556 /nfs/dbraw/zinc/60/45/56/808604556.db2.gz QWJWQTRTHQMCCW-RKDXNWHRSA-N -1 1 348.363 -0.036 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCc1cnn(C)c1 ZINC000989825498 808606357 /nfs/dbraw/zinc/60/63/57/808606357.db2.gz GRWZMJXXFZHYKW-CMPLNLGQSA-N -1 1 333.396 -0.044 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCc1cn(C)nn1 ZINC000989860353 808613272 /nfs/dbraw/zinc/61/32/72/808613272.db2.gz GWQINJFWFSYQFY-KOLCDFICSA-N -1 1 334.384 -0.649 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)[C@H]4CCOC4)CCC[C@H]23)nc1=O ZINC000989984907 808631102 /nfs/dbraw/zinc/63/11/02/808631102.db2.gz UZXTXPKFBTVBEP-MQIPJXDCSA-N -1 1 335.408 -0.242 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)[C@H]1CC=CCC1 ZINC000990213905 808702761 /nfs/dbraw/zinc/70/27/61/808702761.db2.gz YUVDCOZPGGIQPJ-JTQLQIEISA-N -1 1 342.359 -0.286 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)c2ccoc2)C1 ZINC000990229680 808705870 /nfs/dbraw/zinc/70/58/70/808705870.db2.gz BMQIAGMSMCGOSP-UHFFFAOYSA-N -1 1 334.288 -0.256 20 0 EBADMM C[C@@H](C(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1)C1CC1 ZINC000990672961 808887051 /nfs/dbraw/zinc/88/70/51/808887051.db2.gz CGKDJZLLBYGNQW-SNVBAGLBSA-N -1 1 334.376 -0.700 20 0 EBADMM O=C(NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1=CCCC1 ZINC000990810970 808929365 /nfs/dbraw/zinc/92/93/65/808929365.db2.gz YDSDMKDRGPXRBL-UHFFFAOYSA-N -1 1 328.332 -0.532 20 0 EBADMM CC1(C)C[C@@H]1C(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000990882999 808962504 /nfs/dbraw/zinc/96/25/04/808962504.db2.gz XZTYMGJFPMSZCD-LLVKDONJSA-N -1 1 334.376 -0.700 20 0 EBADMM O=C(CN1CCCNC1=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990970113 809018790 /nfs/dbraw/zinc/01/87/90/809018790.db2.gz VEQUGOCAPLAXQX-UHFFFAOYSA-N -1 1 333.348 -0.857 20 0 EBADMM NC(=O)N1CCCC[C@H]1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990971204 809019180 /nfs/dbraw/zinc/01/91/80/809019180.db2.gz HLWQUJKXHXFFKF-NSHDSACASA-N -1 1 347.375 -0.339 20 0 EBADMM CCn1nc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)ccc1=O ZINC000990972196 809019746 /nfs/dbraw/zinc/01/97/46/809019746.db2.gz MZAXSPLWIABNNY-UHFFFAOYSA-N -1 1 343.343 -0.382 20 0 EBADMM CC(=O)N1CCC[C@@H](C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC000990976563 809022842 /nfs/dbraw/zinc/02/28/42/809022842.db2.gz GRJCAWNGZISLAJ-GFCCVEGCSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@H]1CCCN(C(=O)C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC000990978931 809026782 /nfs/dbraw/zinc/02/67/82/809026782.db2.gz QPWSEMPHIKUTPP-NSHDSACASA-N -1 1 346.387 -0.014 20 0 EBADMM NC(=O)N1CCC[C@@H](C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)C1 ZINC000990980243 809027651 /nfs/dbraw/zinc/02/76/51/809027651.db2.gz YYNKNFLOKIOQDK-SNVBAGLBSA-N -1 1 347.375 -0.482 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)c1cnns1 ZINC000991303293 809261589 /nfs/dbraw/zinc/26/15/89/809261589.db2.gz HJRJGIFLMILWTO-WCBMZHEXSA-N -1 1 349.372 -0.356 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)c1ccn[nH]1 ZINC000991308324 809269415 /nfs/dbraw/zinc/26/94/15/809269415.db2.gz NJWUMMRMCHDTSY-BXKDBHETSA-N -1 1 331.332 -0.484 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)c1cnsn1 ZINC000991310669 809274374 /nfs/dbraw/zinc/27/43/74/809274374.db2.gz QLIUDNYCRIHCMG-KWQFWETISA-N -1 1 349.372 -0.356 20 0 EBADMM Cn1cnc(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]2O)c1 ZINC000991387752 809393058 /nfs/dbraw/zinc/39/30/58/809393058.db2.gz NRXKOJHIEYWGAG-GWCFXTLKSA-N -1 1 345.359 -0.474 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)C2=COCCO2)C[C@H]1O)c1ncccc1[O-] ZINC000991404205 809419914 /nfs/dbraw/zinc/41/99/14/809419914.db2.gz DSVXYCKEJQNRFY-CMPLNLGQSA-N -1 1 349.343 -0.633 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)C2=COCCO2)C[C@@H]1O)c1ncccc1[O-] ZINC000991404207 809420040 /nfs/dbraw/zinc/42/00/40/809420040.db2.gz DSVXYCKEJQNRFY-JQWIXIFHSA-N -1 1 349.343 -0.633 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ccnnc2)C[C@@H]1O)c1ncccc1[O-] ZINC000991405668 809422420 /nfs/dbraw/zinc/42/24/20/809422420.db2.gz ACMBLWKCMQKFHI-YPMHNXCESA-N -1 1 343.343 -0.417 20 0 EBADMM C[C@H]1CC[C@H](C(=O)NC2CN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)C1 ZINC000991406154 809423754 /nfs/dbraw/zinc/42/37/54/809423754.db2.gz FHDRJJFBAHTRKL-RYUDHWBXSA-N -1 1 348.403 -0.310 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cc[nH]n2)C[C@@H]1O)c1ncccc1[O-] ZINC000991409528 809427739 /nfs/dbraw/zinc/42/77/39/809427739.db2.gz OCQRLKQNAQUBIV-SKDRFNHKSA-N -1 1 331.332 -0.484 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ccn[nH]2)C[C@@H]1O)c1ncccc1[O-] ZINC000991409528 809427742 /nfs/dbraw/zinc/42/77/42/809427742.db2.gz OCQRLKQNAQUBIV-SKDRFNHKSA-N -1 1 331.332 -0.484 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cnon2)C[C@H]1O)c1ncccc1[O-] ZINC000991422719 809444654 /nfs/dbraw/zinc/44/46/54/809444654.db2.gz HRIOLADQRQLZEH-GZMMTYOYSA-N -1 1 333.304 -0.824 20 0 EBADMM O=C(Cc1cnc[nH]1)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991423577 809446269 /nfs/dbraw/zinc/44/62/69/809446269.db2.gz JMNHYPCMWLYFJA-AAEUAGOBSA-N -1 1 345.359 -0.555 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)C[C@@H]1O)c1ncccc1[O-] ZINC000991426459 809452438 /nfs/dbraw/zinc/45/24/38/809452438.db2.gz NBSNTMPYJGQLSD-QXJJFIIZSA-N -1 1 347.371 -0.629 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O)C1=CCOCC1 ZINC000991427430 809454488 /nfs/dbraw/zinc/45/44/88/809454488.db2.gz OGHQONGSHHRNKR-JSGCOSHPSA-N -1 1 347.371 -0.175 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)C2=CCOCC2)C[C@@H]1O)c1ncccc1[O-] ZINC000991427812 809455135 /nfs/dbraw/zinc/45/51/35/809455135.db2.gz PARRRAQZWISKGH-JSGCOSHPSA-N -1 1 347.371 -0.175 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)C2=CCOCC2)C[C@H]1O)c1ncccc1[O-] ZINC000991427807 809455453 /nfs/dbraw/zinc/45/54/53/809455453.db2.gz PARRRAQZWISKGH-GXTWGEPZSA-N -1 1 347.371 -0.175 20 0 EBADMM O=C(Cc1cc[nH]n1)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991430325 809459306 /nfs/dbraw/zinc/45/93/06/809459306.db2.gz TVFDTRGMGWFEHA-AAEUAGOBSA-N -1 1 345.359 -0.555 20 0 EBADMM O=C(Cc1ccn[nH]1)N1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991430325 809459316 /nfs/dbraw/zinc/45/93/16/809459316.db2.gz TVFDTRGMGWFEHA-AAEUAGOBSA-N -1 1 345.359 -0.555 20 0 EBADMM CCn1ccnc1C(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001002714302 809767668 /nfs/dbraw/zinc/76/76/68/809767668.db2.gz MVZWOLKYTZRUCU-UHFFFAOYSA-N -1 1 333.396 -0.281 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CCCCN1C(=O)Cc1nnc[nH]1 ZINC001004977776 809835464 /nfs/dbraw/zinc/83/54/64/809835464.db2.gz RCATZHDUBWBLGH-UWVGGRQHSA-N -1 1 334.384 -0.270 20 0 EBADMM CCC(=O)N1CC[C@@H](C)[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001005059131 809837845 /nfs/dbraw/zinc/83/78/45/809837845.db2.gz XDIBVEVIGFBKRO-MWLCHTKSSA-N -1 1 332.364 -0.206 20 0 EBADMM CCC(=O)N1CC[C@H](C)[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001005059132 809837914 /nfs/dbraw/zinc/83/79/14/809837914.db2.gz XDIBVEVIGFBKRO-ONGXEEELSA-N -1 1 332.364 -0.206 20 0 EBADMM C[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)c1nnn(C)n1 ZINC001005139560 809840214 /nfs/dbraw/zinc/84/02/14/809840214.db2.gz ZFOPCLXHMDJUMB-ZJUUUORDSA-N -1 1 345.363 -0.409 20 0 EBADMM CN(C(=O)Cc1ccn(C)n1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005374124 809854644 /nfs/dbraw/zinc/85/46/44/809854644.db2.gz BOSVWDVIDZMXAH-UHFFFAOYSA-N -1 1 347.423 -0.493 20 0 EBADMM C[C@@H](NC(=O)C1CCC1)C1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001005557629 809858227 /nfs/dbraw/zinc/85/82/27/809858227.db2.gz BGYYWDFLONVDLK-SECBINFHSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@H](NC(=O)C1CCC1)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001005557992 809858580 /nfs/dbraw/zinc/85/85/80/809858580.db2.gz DQWCDIOQXPCFCU-NSHDSACASA-N -1 1 348.403 -0.310 20 0 EBADMM Cc1cc(CC(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001007917882 810042593 /nfs/dbraw/zinc/04/25/93/810042593.db2.gz GCAQVHRWGJEVRL-GFCCVEGCSA-N -1 1 347.423 -0.526 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)c3coc(C(N)=O)c3)C2)nc1=O ZINC001008053169 810067030 /nfs/dbraw/zinc/06/70/30/810067030.db2.gz SPFYAVDGDXOUOM-SNVBAGLBSA-N -1 1 348.363 -0.805 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)CC3=CCOCC3)C2)nc1=O ZINC001008419925 810159779 /nfs/dbraw/zinc/15/97/79/810159779.db2.gz SQFKAJYJHXXQGH-CYBMUJFWSA-N -1 1 335.408 -0.074 20 0 EBADMM C[C@H]1[C@@H](NC(=O)CN2CN=NC2=O)CCN1C(=O)c1ncccc1[O-] ZINC001011674130 810344495 /nfs/dbraw/zinc/34/44/95/810344495.db2.gz RCHMGFLTPHVDMG-UWVGGRQHSA-N -1 1 346.347 -0.496 20 0 EBADMM COc1ncc(-n2cc3c(n2)ncnc3N)cc1[N-]S(C)(=O)=O ZINC001216152498 810361957 /nfs/dbraw/zinc/36/19/57/810361957.db2.gz WPAWHWDRDONLMK-UHFFFAOYSA-N -1 1 335.349 -0.045 20 0 EBADMM CC(=O)N(C)CCCC(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001216588888 810378087 /nfs/dbraw/zinc/37/80/87/810378087.db2.gz OOPGBEUCICCKPG-ZYHUDNBSSA-N -1 1 338.412 -0.295 20 0 EBADMM CCOCCO[C@@H](C)C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001216830297 810393477 /nfs/dbraw/zinc/39/34/77/810393477.db2.gz OFXTUAJGWVRCDO-GRYCIOLGSA-N -1 1 341.412 -0.112 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@@H]3CCc4nccn4C3)C2)nc1=O ZINC001014984316 810458796 /nfs/dbraw/zinc/45/87/96/810458796.db2.gz QSURAZNMIBBJIY-NEPJUHHUSA-N -1 1 345.407 -0.742 20 0 EBADMM CSc1nc(NC(=O)Cn2cnc3c2nc(N)[nH]c3=O)cc(=O)[n-]1 ZINC001218583774 810507113 /nfs/dbraw/zinc/50/71/13/810507113.db2.gz GVYZWNITQNNFPK-UHFFFAOYSA-N -1 1 348.348 -0.030 20 0 EBADMM Cc1cc(C2(C(=O)N[C@@H]3CCN(Cc4nc(=O)n(C)[n-]4)C3)CC2)on1 ZINC001015606860 810537232 /nfs/dbraw/zinc/53/72/32/810537232.db2.gz GGVANXQRBJXECR-LLVKDONJSA-N -1 1 346.391 -0.173 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@H]3CCCc4nn[nH]c43)C2)nc1=O ZINC001015621329 810545935 /nfs/dbraw/zinc/54/59/35/810545935.db2.gz WHJRLOGHEOWPJK-ZJUUUORDSA-N -1 1 346.395 -0.963 20 0 EBADMM COc1nc(C)ccc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001015800245 810585264 /nfs/dbraw/zinc/58/52/64/810585264.db2.gz NLKRLPGFEIGNQL-NSHDSACASA-N -1 1 346.391 -0.175 20 0 EBADMM O=C(N[C@@H]1CCN(Cc2cnns2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001015991412 810643700 /nfs/dbraw/zinc/64/37/00/810643700.db2.gz CZILRRAGBKDWOL-MRVPVSSYSA-N -1 1 346.376 -0.727 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCN(Cc2cnon2)C1 ZINC001015989795 810643814 /nfs/dbraw/zinc/64/38/14/810643814.db2.gz YQHWZPJKOZFDFT-SSDOTTSWSA-N -1 1 336.308 -0.716 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCN(Cc2cnon2)C1 ZINC001015989796 810643918 /nfs/dbraw/zinc/64/39/18/810643918.db2.gz YQHWZPJKOZFDFT-ZETCQYMHSA-N -1 1 336.308 -0.716 20 0 EBADMM O=C(N[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCc2[nH]nnc2C1 ZINC001015991456 810643995 /nfs/dbraw/zinc/64/39/95/810643995.db2.gz BGWYTEOTFVHARH-RKDXNWHRSA-N -1 1 332.368 -0.876 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCN(Cc2cn(C)nn2)C1 ZINC001015989731 810644224 /nfs/dbraw/zinc/64/42/24/810644224.db2.gz XLPABCMBRTZIRU-MRVPVSSYSA-N -1 1 349.351 -0.971 20 0 EBADMM Cc1nnc(CN2CC[C@@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)o1 ZINC001015992176 810645272 /nfs/dbraw/zinc/64/52/72/810645272.db2.gz LVVDFRPSBDSQMW-SECBINFHSA-N -1 1 344.335 -0.887 20 0 EBADMM Cn1cc(CN2CC[C@@H](NC(=O)c3cc(=O)n4nc[n-]c4n3)C2)cn1 ZINC001015992220 810645596 /nfs/dbraw/zinc/64/55/96/810645596.db2.gz MNVXFYLMDBFXAJ-LLVKDONJSA-N -1 1 342.363 -0.845 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)c1cccnc1 ZINC001016015783 810654205 /nfs/dbraw/zinc/65/42/05/810654205.db2.gz HBTQRAURKCUKQG-DGCLKSJQSA-N -1 1 330.392 -0.002 20 0 EBADMM Cc1ccncc1CN1CC[C@@H](NC(=O)Cn2c(=O)[n-][nH]c2=O)C1 ZINC001016110747 810667963 /nfs/dbraw/zinc/66/79/63/810667963.db2.gz MQXDUXWKXUKNQP-GFCCVEGCSA-N -1 1 332.364 -0.217 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)N[C@@H]1CCN(CCO[C@@H]2CC2(F)F)C1 ZINC001016111541 810668030 /nfs/dbraw/zinc/66/80/30/810668030.db2.gz SDQYDYAWTCLXMU-RKDXNWHRSA-N -1 1 347.322 -0.696 20 0 EBADMM CCCNC(=O)[C@@H](C)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001219503697 810725608 /nfs/dbraw/zinc/72/56/08/810725608.db2.gz DPPKXCKZQDBILJ-WZRBSPASSA-N -1 1 336.392 -0.523 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)[C@@H]2CNC(=O)N2)C1 ZINC001016432211 810726614 /nfs/dbraw/zinc/72/66/14/810726614.db2.gz VVDJMXLBHKVDDW-RTBKNWGFSA-N -1 1 333.348 -0.812 20 0 EBADMM Cc1nocc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035493871 810853161 /nfs/dbraw/zinc/85/31/61/810853161.db2.gz PQFRIOOQTQUDFQ-SNVBAGLBSA-N -1 1 336.352 -0.964 20 0 EBADMM O=C(NC[C@@H]1CN(CCCF)CCO1)c1cc(=O)n2[n-]cnc2n1 ZINC001035573558 810924213 /nfs/dbraw/zinc/92/42/13/810924213.db2.gz DNPMTTVNUNLEDO-SNVBAGLBSA-N -1 1 338.343 -0.792 20 0 EBADMM Cc1conc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035573857 810924253 /nfs/dbraw/zinc/92/42/53/810924253.db2.gz ODFAHGDRMONXRE-SNVBAGLBSA-N -1 1 336.352 -0.964 20 0 EBADMM Cc1nc(C(=O)N2CCC3(CN(Cc4nc(=O)n(C)[n-]4)C3)CC2)c[nH]1 ZINC001035670774 810983991 /nfs/dbraw/zinc/98/39/91/810983991.db2.gz OWVJGSLAHGUXQD-UHFFFAOYSA-N -1 1 345.407 -0.122 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CCN(C(=O)Cc2ncc[nH]2)CC3)nc1=O ZINC001035824126 811034714 /nfs/dbraw/zinc/03/47/14/811034714.db2.gz SGPIPOPRYYNKOE-UHFFFAOYSA-N -1 1 345.407 -0.501 20 0 EBADMM CCCCC1(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)CC1 ZINC001220286380 811047280 /nfs/dbraw/zinc/04/72/80/811047280.db2.gz BTTMFUABBWKTRK-NEPJUHHUSA-N -1 1 337.424 -0.260 20 0 EBADMM C[C@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC[C@H]1NCc1ccon1 ZINC001036093961 811095743 /nfs/dbraw/zinc/09/57/43/811095743.db2.gz NQCBMVRBOLQYTD-WCBMZHEXSA-N -1 1 334.336 -0.089 20 0 EBADMM C[C@@H]1CCCN(C(=O)c2cnnn2C)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036545138 811173288 /nfs/dbraw/zinc/17/32/88/811173288.db2.gz POHAPYZPXGFMTN-ZJUUUORDSA-N -1 1 334.384 -0.331 20 0 EBADMM Cc1nnc(CC(=O)N2CCC[C@H](C)[C@@H]2CNCc2n[nH]c(=O)[n-]2)[nH]1 ZINC001036551442 811175520 /nfs/dbraw/zinc/17/55/20/811175520.db2.gz KYVKJKDLAYUVKC-ONGXEEELSA-N -1 1 348.411 -0.104 20 0 EBADMM C[C@H]1CCCN(C(=O)c2c[nH]c(=O)n2C)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036569685 811178674 /nfs/dbraw/zinc/17/86/74/811178674.db2.gz RKOPHJSXENMBPL-VHSXEESVSA-N -1 1 349.395 -0.020 20 0 EBADMM O=C(CN1CCCC1=O)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036641336 811193574 /nfs/dbraw/zinc/19/35/74/811193574.db2.gz YXJDBTLIEVTRCB-NWDGAFQWSA-N -1 1 348.407 -0.445 20 0 EBADMM N[C@@H](Cc1nnn[n-]1)C(=O)N[C@@H]1Cc2ccc(Cl)cc2NC1=O ZINC001220709021 811213263 /nfs/dbraw/zinc/21/32/63/811213263.db2.gz PJXUMOHKXHIQSL-WCBMZHEXSA-N -1 1 335.755 -0.598 20 0 EBADMM Cn1cc(C(=O)N2CC[C@@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)nn1 ZINC001036704955 811217577 /nfs/dbraw/zinc/21/75/77/811217577.db2.gz AYKJXFLQUFAEDO-GXSJLCMTSA-N -1 1 332.368 -0.625 20 0 EBADMM Cn1nncc1C(=O)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036703995 811217623 /nfs/dbraw/zinc/21/76/23/811217623.db2.gz LYLIVSMNHLRKHM-NXEZZACHSA-N -1 1 332.368 -0.625 20 0 EBADMM CCn1nncc1C(=O)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036710255 811223111 /nfs/dbraw/zinc/22/31/11/811223111.db2.gz INMGYAZEDZEFSG-GHMZBOCLSA-N -1 1 346.395 -0.142 20 0 EBADMM O=C(c1cn[nH]c(=O)c1)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036744719 811240056 /nfs/dbraw/zinc/24/00/56/811240056.db2.gz NWSOMDXIJXPRJD-GXSJLCMTSA-N -1 1 345.363 -0.258 20 0 EBADMM Cn1c(C(=O)N2CC[C@@H]3[C@@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)c[nH]c1=O ZINC001036791357 811257195 /nfs/dbraw/zinc/25/71/95/811257195.db2.gz YFLICRRMMDRWLU-VHSXEESVSA-N -1 1 347.379 -0.314 20 0 EBADMM O=C(Cc1ncc[nH]1)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036851752 811288368 /nfs/dbraw/zinc/28/83/68/811288368.db2.gz REKRXLSXXZBOIR-MNOVXSKESA-N -1 1 331.380 -0.101 20 0 EBADMM CCn1cc(C(=O)N2CC[C@@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)nn1 ZINC001036856718 811291792 /nfs/dbraw/zinc/29/17/92/811291792.db2.gz WSRAIOKIYOIYCJ-ZYHUDNBSSA-N -1 1 346.395 -0.142 20 0 EBADMM Cn1ncnc1CNC[C@H]1CCC[C@@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001037038323 811347445 /nfs/dbraw/zinc/34/74/45/811347445.db2.gz LIGKAIVJBZVOJX-BDAKNGLRSA-N -1 1 348.367 -0.900 20 0 EBADMM Cc1ccc(CCC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)o1 ZINC001221069812 811361490 /nfs/dbraw/zinc/36/14/90/811361490.db2.gz RBKHNIJFBBIVQD-OLZOCXBDSA-N -1 1 349.391 -0.696 20 0 EBADMM CC(=O)N1CCC[C@H](N(C)C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001079002877 811403616 /nfs/dbraw/zinc/40/36/16/811403616.db2.gz CEKHNUPTBONYPK-ZDUSSCGKSA-N -1 1 336.392 -0.214 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)COCC(F)F)[C@@H]3C2)nc1=O ZINC001221710939 811520850 /nfs/dbraw/zinc/52/08/50/811520850.db2.gz QMCPDPLMKIXJPA-NXEZZACHSA-N -1 1 345.350 -0.577 20 0 EBADMM CCN(C(=O)CN1CCOCC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079631273 811614399 /nfs/dbraw/zinc/61/43/99/811614399.db2.gz HPXFTDQFOFGGMJ-UHFFFAOYSA-N -1 1 348.403 -0.208 20 0 EBADMM CCn1ccnc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001079635502 811620209 /nfs/dbraw/zinc/62/02/09/811620209.db2.gz AQBQCFGFOPFMRS-GHMZBOCLSA-N -1 1 333.396 -0.425 20 0 EBADMM CCN(C(=O)Cn1ncnn1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079829435 811746842 /nfs/dbraw/zinc/74/68/42/811746842.db2.gz LOINVBBLHJYNPH-UHFFFAOYSA-N -1 1 331.336 -0.853 20 0 EBADMM COc1cnc(=S)[n-]c1O[C@H]1CO[C@@H]2[C@H](O[N+](=O)[O-])CO[C@H]12 ZINC001226243277 811854651 /nfs/dbraw/zinc/85/46/51/811854651.db2.gz ZZCRWLZXKNXYNE-JQCXWYLXSA-N -1 1 331.306 -0.104 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)C[C@@](C)(O)C3CC3)CC2)nc1=O ZINC001226934647 811891836 /nfs/dbraw/zinc/89/18/36/811891836.db2.gz URIGOIBSIPZQFL-MRXNPFEDSA-N -1 1 337.424 -0.260 20 0 EBADMM CCN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(C(=O)CCOC)C1 ZINC001080135914 811911789 /nfs/dbraw/zinc/91/17/89/811911789.db2.gz VGCLHQGJYXZYMS-UHFFFAOYSA-N -1 1 348.363 -0.873 20 0 EBADMM O=S(=O)([O-])C[C@H](CN1CCOCC1)Oc1ccc2[nH]nnc2c1 ZINC001228667561 811992847 /nfs/dbraw/zinc/99/28/47/811992847.db2.gz NHMJQZDZDFNXGU-NSHDSACASA-N -1 1 342.377 -0.075 20 0 EBADMM COc1c(=O)[n-]c(C)nc1O[C@@H]1CO[C@H]2[C@@H]1OC[C@@H]2O[N+](=O)[O-] ZINC001230020900 812069915 /nfs/dbraw/zinc/06/99/15/812069915.db2.gz IECMMBJHIRNJLA-BZNPZCIMSA-N -1 1 329.265 -0.379 20 0 EBADMM COC(=O)c1ccc2c(c1)nc(=O)[nH]c2OC(=O)[C@H](O)CC(=O)[O-] ZINC001230204828 812086271 /nfs/dbraw/zinc/08/62/71/812086271.db2.gz KQWMVJXTVAPVGP-SECBINFHSA-N -1 1 336.256 -0.137 20 0 EBADMM Cc1ncc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)c(C)n1 ZINC001080636896 812105510 /nfs/dbraw/zinc/10/55/10/812105510.db2.gz YKAWVPIXPKAQSI-NOZJJQNGSA-N -1 1 345.407 -0.234 20 0 EBADMM O=C(NCC[C@@H]1CN(CCF)CCS1(=O)=O)c1ncccc1[O-] ZINC001230449341 812141592 /nfs/dbraw/zinc/14/15/92/812141592.db2.gz AGSHLXARESNBFZ-LLVKDONJSA-N -1 1 345.396 -0.024 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C(C)(C)c1c[nH]cn1 ZINC001080784161 812229601 /nfs/dbraw/zinc/22/96/01/812229601.db2.gz KCPLCHYKRKQYSO-GHMZBOCLSA-N -1 1 347.423 -0.254 20 0 EBADMM O=C(NC1(CO)CCN(C(=O)c2nc[nH]n2)CC1)c1ncccc1[O-] ZINC001080826388 812260794 /nfs/dbraw/zinc/26/07/94/812260794.db2.gz KEMNNRGVXINBRX-UHFFFAOYSA-N -1 1 346.347 -0.698 20 0 EBADMM COC(=O)c1nc[n-]c(=O)c1O[C@@H]1CO[C@H]2[C@@H]1OC[C@@H]2OC(C)=O ZINC001233758364 812339172 /nfs/dbraw/zinc/33/91/72/812339172.db2.gz AYSDXAISHXIUDO-SCVMZPAESA-N -1 1 340.288 -0.555 20 0 EBADMM C[C@H](C(=O)NC(N)=O)N1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001233837756 812354053 /nfs/dbraw/zinc/35/40/53/812354053.db2.gz QBAFXXDKIGLZQO-ZJUUUORDSA-N -1 1 335.364 -0.483 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C[C@@H]1CCCOC1 ZINC001080958268 812394557 /nfs/dbraw/zinc/39/45/57/812394557.db2.gz PJRJOEXOJQPHDL-FRRDWIJNSA-N -1 1 337.424 -0.138 20 0 EBADMM CCC(=O)N[C@@H](C)C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001234490029 812433675 /nfs/dbraw/zinc/43/36/75/812433675.db2.gz NZAUYIUNBIDDAJ-QWRGUYRKSA-N -1 1 338.412 -0.944 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@@H]1CC12CCOCC2 ZINC001081060244 812459904 /nfs/dbraw/zinc/45/99/04/812459904.db2.gz YPSSBCKVSKFBHH-FRRDWIJNSA-N -1 1 349.435 -0.138 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)C1(F)CCOCC1 ZINC001235107444 812471536 /nfs/dbraw/zinc/47/15/36/812471536.db2.gz XPTGLOYQGAMJMG-LLVKDONJSA-N -1 1 341.387 -0.340 20 0 EBADMM COC(=O)[C@@H]1C[C@@H](N2CCN(CCCCS(=O)(=O)[O-])CC2)CN1 ZINC001246906072 812592082 /nfs/dbraw/zinc/59/20/82/812592082.db2.gz OUZFVMWXUFXXCF-OLZOCXBDSA-N -1 1 349.453 -0.825 20 0 EBADMM CSCC(=O)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001081237903 812602284 /nfs/dbraw/zinc/60/22/84/812602284.db2.gz VOSCCLGQZYXHPB-GXSJLCMTSA-N -1 1 325.390 -0.301 20 0 EBADMM CS(=O)(=O)NCCNC(=S)NN=c1[n-]ncc(N)c1Cl ZINC001253080651 812672566 /nfs/dbraw/zinc/67/25/66/812672566.db2.gz XDUFAGFRNBQBGK-UHFFFAOYSA-N -1 1 339.834 -0.948 20 0 EBADMM COC(=O)[C@H]1C[C@@H](N[C@@H]2CO[N-]C2=O)CN1C(=O)OC(C)(C)C ZINC001256251535 812727820 /nfs/dbraw/zinc/72/78/20/812727820.db2.gz NGXWHWFROPFCTP-OPRDCNLKSA-N -1 1 329.353 -0.443 20 0 EBADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)c1c(C)nn(C)c1Cl)[C@@H](C)O ZINC001258458104 812793670 /nfs/dbraw/zinc/79/36/70/812793670.db2.gz OIYKFASPUHXXGI-SFYZADRCSA-N -1 1 339.801 -0.027 20 0 EBADMM COc1ccccc1C1([N-]S(=O)(=O)CS(C)(=O)=O)COC1 ZINC001260597428 812842179 /nfs/dbraw/zinc/84/21/79/812842179.db2.gz ITEMDZKZKYTJKS-UHFFFAOYSA-N -1 1 335.403 -0.158 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1cccc(C)n1 ZINC001081379775 812843370 /nfs/dbraw/zinc/84/33/70/812843370.db2.gz CEPGJWSGRDYMSY-CHWSQXEVSA-N -1 1 346.391 -0.559 20 0 EBADMM CCCOCC(=O)N1C[C@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001081424275 812910878 /nfs/dbraw/zinc/91/08/78/812910878.db2.gz CLFCLHQRCDEGKO-AAEUAGOBSA-N -1 1 337.376 -0.237 20 0 EBADMM C[C@@H](CNC(=O)C(=O)NCCCN1C[C@@H](C)O[C@H](C)C1)C(=O)[O-] ZINC001261554818 812936653 /nfs/dbraw/zinc/93/66/53/812936653.db2.gz ODCSOCXBGFASAG-QJPTWQEYSA-N -1 1 329.397 -0.561 20 0 EBADMM O=C([O-])[C@]12COC[C@H]1CN(C(=O)C(=O)N1CC[C@H](N3CC=CC3)C1)C2 ZINC001261644543 812959268 /nfs/dbraw/zinc/95/92/68/812959268.db2.gz DVVHIKGJYYPOIC-IIYDPXPESA-N -1 1 349.387 -0.981 20 0 EBADMM O=C(CC1(O)CCC1)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001081466670 813002700 /nfs/dbraw/zinc/00/27/00/813002700.db2.gz WPCDYWDOEBKQKT-YPMHNXCESA-N -1 1 349.387 -0.359 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@](CO)(C(F)(F)F)C1 ZINC001262189148 813045217 /nfs/dbraw/zinc/04/52/17/813045217.db2.gz QDGNTHWLARJELI-GFCCVEGCSA-N -1 1 335.282 -0.300 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H]1CNC(=O)c1ccc[nH]1 ZINC001262915478 813104650 /nfs/dbraw/zinc/10/46/50/813104650.db2.gz MUSVOKHYGZTQTD-LLVKDONJSA-N -1 1 345.359 -0.714 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2CCN(c3ccc(F)cc3)CC2)CNCCO1 ZINC001263092449 813106883 /nfs/dbraw/zinc/10/68/83/813106883.db2.gz SWPNHYWSDZWWEQ-INIZCTEOSA-N -1 1 337.351 -0.083 20 0 EBADMM O=C(Cc1ccc[nH]1)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001081525685 813125800 /nfs/dbraw/zinc/12/58/00/813125800.db2.gz KSBCOWDVHKJCHG-FZMZJTMJSA-N -1 1 344.371 -0.093 20 0 EBADMM CN(C[C@H]1CCN1Cc1ccon1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001264374188 813167276 /nfs/dbraw/zinc/16/72/76/813167276.db2.gz BHXXOKHMUNHJLD-CYBMUJFWSA-N -1 1 347.375 -0.352 20 0 EBADMM CO[C@@H]1CN(Cc2cnn(C)n2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001081578429 813227000 /nfs/dbraw/zinc/22/70/00/813227000.db2.gz DAGIUSMENFTZBY-DGCLKSJQSA-N -1 1 332.364 -0.455 20 0 EBADMM CCN(CCCNC(=O)[C@H](C)[C@@H]1CCC(=O)N1)Cc1n[nH]c(=O)[n-]1 ZINC001265134583 813244761 /nfs/dbraw/zinc/24/47/61/813244761.db2.gz ZMTBROSITJIDTF-MNOVXSKESA-N -1 1 338.412 -0.247 20 0 EBADMM NC(=O)[C@@H]1CC[C@@H]1C(=O)NCCCN(Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001265171251 813252007 /nfs/dbraw/zinc/25/20/07/813252007.db2.gz NDIILANSWMKNBK-MNOVXSKESA-N -1 1 336.396 -0.508 20 0 EBADMM O=C(NCCC[C@@H]1CCCN1Cc1n[nH]c(=O)[n-]1)[C@@H]1COCCO1 ZINC001266202251 813477650 /nfs/dbraw/zinc/47/76/50/813477650.db2.gz VIJNRTNZHXABSX-NEPJUHHUSA-N -1 1 339.396 -0.214 20 0 EBADMM CO[C@@H]1CN(CCCF)C[C@H]1NC(=O)c1cnc([O-])n(C)c1=O ZINC001082015246 813714909 /nfs/dbraw/zinc/71/49/09/813714909.db2.gz KMKUXGHBQODHBV-GHMZBOCLSA-N -1 1 328.344 -0.726 20 0 EBADMM CN(CCNC(=O)c1nccc2cccnc21)Cc1nc(=O)n(C)[n-]1 ZINC001267198931 813783504 /nfs/dbraw/zinc/78/35/04/813783504.db2.gz DXZVAPRIOOKZSX-UHFFFAOYSA-N -1 1 341.375 -0.087 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1nccs1 ZINC001082265211 813861889 /nfs/dbraw/zinc/86/18/89/813861889.db2.gz JOBGWBOLHXBIDE-RKDXNWHRSA-N -1 1 338.393 -0.806 20 0 EBADMM Cc1nc(CNCCN(C)C(=O)CCn2cc[n-]c(=O)c2=O)co1 ZINC001267440520 813894760 /nfs/dbraw/zinc/89/47/60/813894760.db2.gz DAPGMBZWKOLGMS-UHFFFAOYSA-N -1 1 335.364 -0.529 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1ccnc(-n2ccnc2)c1 ZINC001267470208 813907947 /nfs/dbraw/zinc/90/79/47/813907947.db2.gz FSCGRCIYBLHTTC-UHFFFAOYSA-N -1 1 342.363 -0.047 20 0 EBADMM CC(C)N(CCCNC(=O)[C@H]1CC[C@@H]1C(N)=O)Cc1n[nH]c(=O)[n-]1 ZINC001267506047 813918309 /nfs/dbraw/zinc/91/83/09/813918309.db2.gz UTXCUNIMTYTSSI-QWRGUYRKSA-N -1 1 338.412 -0.262 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2CCc3nccn3C2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082603424 813977690 /nfs/dbraw/zinc/97/76/90/813977690.db2.gz ZZMIDDJRMOAOKQ-IJLUTSLNSA-N -1 1 345.407 -0.094 20 0 EBADMM C[C@@H]1CN(C(=O)[C@H]2CCCC(=O)N2C)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082891638 814020045 /nfs/dbraw/zinc/02/00/45/814020045.db2.gz QNJNJUXSFKIBEQ-GMTAPVOTSA-N -1 1 336.396 -0.542 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2CCc3c[nH]nc3C2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001082934617 814029038 /nfs/dbraw/zinc/02/90/38/814029038.db2.gz ROEMCJVQZUSVFS-GIPNMCIBSA-N -1 1 345.407 -0.025 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)C(C)(C)F)[C@H]3C2)nc1=O ZINC001082976806 814038263 /nfs/dbraw/zinc/03/82/63/814038263.db2.gz CPFUBIZTPNLBEE-VHSXEESVSA-N -1 1 327.360 -0.732 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)C4=COCCC4)[C@H]3C2)nc1=O ZINC001083019606 814066106 /nfs/dbraw/zinc/06/61/06/814066106.db2.gz RFRDJLLUSSKTKF-QWHCGFSZSA-N -1 1 349.391 -0.786 20 0 EBADMM Cc1ncoc1C(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21 ZINC001083038107 814083897 /nfs/dbraw/zinc/08/38/97/814083897.db2.gz SVJCBNOUGCZWIA-WDEREUQCSA-N -1 1 348.363 -0.870 20 0 EBADMM C[C@@H](CNCc1cn(C)nn1)CNC(=O)c1c[n-]n2c1nccc2=O ZINC001268004953 814089276 /nfs/dbraw/zinc/08/92/76/814089276.db2.gz JEAHPVYTEBLNFC-JTQLQIEISA-N -1 1 344.379 -0.693 20 0 EBADMM Cn1nncc1CN1C[C@H]2OCCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC001083059591 814097771 /nfs/dbraw/zinc/09/77/71/814097771.db2.gz MJGUTARAXWHMAN-GXTWGEPZSA-N -1 1 344.375 -0.359 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21 ZINC001083199050 814199593 /nfs/dbraw/zinc/19/95/93/814199593.db2.gz FJIJWULWDAQENI-FVCCEPFGSA-N -1 1 335.408 -0.434 20 0 EBADMM Cc1nnc(CNCC[C@H](C)NC(=O)c2c[n-]n3c2nccc3=O)[nH]1 ZINC001268402331 814232871 /nfs/dbraw/zinc/23/28/71/814232871.db2.gz GRVJSTSMZBMVBX-VIFPVBQESA-N -1 1 344.379 -0.253 20 0 EBADMM C[C@@H](CC(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21)C1CC1 ZINC001083286152 814261847 /nfs/dbraw/zinc/26/18/47/814261847.db2.gz VVAOHXPHPOAEIN-FPMFFAJLSA-N -1 1 349.435 -0.044 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC/C=C\CNCc1nccs1 ZINC001268604273 814314212 /nfs/dbraw/zinc/31/42/12/814314212.db2.gz YPHVAGQYWTXWJI-UPHRSURJSA-N -1 1 349.416 -0.155 20 0 EBADMM Cc1cnc2c(C(=O)N[C@H](C)CNCc3n[nH]c(=O)[n-]3)cnn2c1 ZINC001268664965 814344661 /nfs/dbraw/zinc/34/46/61/814344661.db2.gz VSNXWYSLXGFGQY-SECBINFHSA-N -1 1 330.352 -0.230 20 0 EBADMM Cc1[nH]nc(C(=O)N(C)CCCNCc2nnnn2C2CC2)c1[O-] ZINC001268786153 814385324 /nfs/dbraw/zinc/38/53/24/814385324.db2.gz OJYAGSJCIYORGX-UHFFFAOYSA-N -1 1 334.384 -0.003 20 0 EBADMM C[C@@H](C(=O)NC1CC1)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001083422309 814401658 /nfs/dbraw/zinc/40/16/58/814401658.db2.gz PZDPMOKCFPTGPY-NDMJEZRESA-N -1 1 334.376 -0.771 20 0 EBADMM CCCn1ncnc1CN1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001083423273 814404043 /nfs/dbraw/zinc/40/40/43/814404043.db2.gz NNGCRXFRNWXAJY-YPMHNXCESA-N -1 1 346.391 -0.236 20 0 EBADMM O=C(c1ncccc1[O-])N1CC[C@]2(C1)CN(C1COC1)C(=O)CO2 ZINC001268845096 814410460 /nfs/dbraw/zinc/41/04/60/814410460.db2.gz GBUAFATVDGDPRB-INIZCTEOSA-N -1 1 333.344 -0.371 20 0 EBADMM Cc1sccc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001083449673 814439094 /nfs/dbraw/zinc/43/90/94/814439094.db2.gz HWIZWOPFVANRGB-MNOVXSKESA-N -1 1 337.405 -0.547 20 0 EBADMM Cc1nc(C)c(CC(=O)N(C)CCCNCc2nnnn2C)c(=O)[nH]1 ZINC001268947007 814454163 /nfs/dbraw/zinc/45/41/63/814454163.db2.gz XYOKXEYXOCEBED-UHFFFAOYSA-N -1 1 348.411 -0.497 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@@H]3C[C@@H]4C=C[C@H]3CC4)[C@@H](O)C2)nc1=O ZINC001083476101 814472071 /nfs/dbraw/zinc/47/20/71/814472071.db2.gz UFDZYIJSIAHLSI-ITGHMWBKSA-N -1 1 347.419 -0.628 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@H]1CCN1Cc1ccon1 ZINC001269320644 814634869 /nfs/dbraw/zinc/63/48/69/814634869.db2.gz GFNLRAQQHKYAJK-GFCCVEGCSA-N -1 1 333.348 -0.695 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@H]1CCN1Cc1cncs1 ZINC001269320526 814634899 /nfs/dbraw/zinc/63/48/99/814634899.db2.gz DOZGXKIESGZART-LLVKDONJSA-N -1 1 349.416 -0.226 20 0 EBADMM Cn1nnnc1NC(=O)C12CC(NC(=O)c3ncccc3[O-])(C1)C2 ZINC001269346056 814650551 /nfs/dbraw/zinc/65/05/51/814650551.db2.gz JIGITZHVPDBTTA-UHFFFAOYSA-N -1 1 329.320 -0.398 20 0 EBADMM CN(C)C(=O)CNC(=O)[C@]12C[C@H]1CCN2C(=O)c1ncccc1[O-] ZINC001269346503 814651317 /nfs/dbraw/zinc/65/13/17/814651317.db2.gz QRHPBXNLUKOLRB-HWPZZCPQSA-N -1 1 332.360 -0.404 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@H]3CCCC3(C)C)[C@@H](O)C2)nc1=O ZINC001083707217 814714467 /nfs/dbraw/zinc/71/44/67/814714467.db2.gz ZMLQDGGLGNQXAC-UTUOFQBUSA-N -1 1 337.424 -0.404 20 0 EBADMM Cn1cncc1CN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)Cc1nn[n-]n1 ZINC001269576960 814733700 /nfs/dbraw/zinc/73/37/00/814733700.db2.gz CHNAZHVUNBTYMX-VXGBXAGGSA-N -1 1 344.379 -0.732 20 0 EBADMM CC(C)=CCN1C[C@@]2(F)CN(C(=O)Cc3nn[n-]n3)C[C@@]2(F)C1=O ZINC001269576405 814733712 /nfs/dbraw/zinc/73/37/12/814733712.db2.gz KSFWQYARPGZQON-ZIAGYGMSSA-N -1 1 340.334 -0.191 20 0 EBADMM CCOCCN1CCC2(CCN(C(=O)Cc3nn[n-]n3)CC2)C1=O ZINC001269576390 814734160 /nfs/dbraw/zinc/73/41/60/814734160.db2.gz KJYJJCRVHOIDKC-UHFFFAOYSA-N -1 1 336.396 -0.380 20 0 EBADMM CC(=O)[C@@H](C)N1CCC2(CCN(C(=O)Cc3nn[n-]n3)CC2)C1=O ZINC001269576446 814734694 /nfs/dbraw/zinc/73/46/94/814734694.db2.gz MCPRMNFTLKAJEK-SNVBAGLBSA-N -1 1 334.380 -0.439 20 0 EBADMM Cn1cncc1CN1CC[C@]2(CCN(C(=O)Cc3nn[n-]n3)C2)C1=O ZINC001269577163 814736127 /nfs/dbraw/zinc/73/61/27/814736127.db2.gz GDBIEIZTDLRKQK-OAHLLOKOSA-N -1 1 344.379 -0.873 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC2(C[C@@H]2C(=O)NCc2cnoc2)CC1 ZINC001269578203 814736273 /nfs/dbraw/zinc/73/62/73/814736273.db2.gz WDJJLELKNFWPEN-LLVKDONJSA-N -1 1 345.363 -0.325 20 0 EBADMM CCOCCNC(=O)CN1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001269772410 815597739 /nfs/dbraw/zinc/59/77/39/815597739.db2.gz CJHAQDLIIGKGEL-UHFFFAOYSA-N -1 1 336.392 -0.304 20 0 EBADMM COCCOCN1C[C@@H]2CN(C(=O)c3ccc([O-])cn3)C[C@H](C1)O2 ZINC001269896050 815630850 /nfs/dbraw/zinc/63/08/50/815630850.db2.gz WTALMNSBJCTIHV-OKILXGFUSA-N -1 1 337.376 -0.067 20 0 EBADMM O=C(Cc1nn[n-]n1)N1C[C@H]2CN(CCCC(F)(F)F)C[C@@H](C1)O2 ZINC001270183192 815714401 /nfs/dbraw/zinc/71/44/01/815714401.db2.gz RSRZHLBGASFQMC-AOOOYVTPSA-N -1 1 348.329 -0.004 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC2(C1)CN(C(=O)NCC(F)(F)F)C2 ZINC001270185689 815715695 /nfs/dbraw/zinc/71/56/95/815715695.db2.gz JGQJCUNJMTVQNX-UHFFFAOYSA-N -1 1 333.274 -0.842 20 0 EBADMM O=C(Cc1nn[n-]n1)N1[C@@H]2C[C@H]1CN(C(=O)Nc1cccnc1)C2 ZINC001270186334 815715804 /nfs/dbraw/zinc/71/58/04/815715804.db2.gz VFRUPZNNTZIIQO-PHIMTYICSA-N -1 1 328.336 -0.346 20 0 EBADMM Cc1ncc(CO)c(C(=O)N2CC3(CN(CC(=O)N(C)C)C3)C2)c1[O-] ZINC001270373796 815760924 /nfs/dbraw/zinc/76/09/24/815760924.db2.gz MTPJMZUBIYBJHY-UHFFFAOYSA-N -1 1 348.403 -0.566 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)c3ccc(F)s3)[C@@H](O)C2)nc1=O ZINC001084112209 815838736 /nfs/dbraw/zinc/83/87/36/815838736.db2.gz MLBGIKITVOGVAF-SFYZADRCSA-N -1 1 341.368 -0.716 20 0 EBADMM Cc1[nH]nc(C(=O)N2C[C@H]3CCN(CCn4cncn4)C[C@H]32)c1[O-] ZINC001084283034 815880877 /nfs/dbraw/zinc/88/08/77/815880877.db2.gz RGXAOQAAPOZHQV-VXGBXAGGSA-N -1 1 331.380 -0.138 20 0 EBADMM COc1ccc(NC(=O)[C@@]23C[C@@H]2CCN3C(=O)Cc2nn[n-]n2)nn1 ZINC001270784174 815887229 /nfs/dbraw/zinc/88/72/29/815887229.db2.gz DMSFXTIDEHRLMZ-RMLUDKJBSA-N -1 1 344.335 -0.830 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@H]2C[C@]21C(=O)NCCc1ccccn1 ZINC001270784986 815888984 /nfs/dbraw/zinc/88/89/84/815888984.db2.gz OQMMTMXMVJHWGX-MEDUHNTESA-N -1 1 341.375 -0.513 20 0 EBADMM CCN(C(=O)c1n[nH]c2c1CN(C(=O)Cc1nn[n-]n1)C2)C(C)C ZINC001270787959 815890311 /nfs/dbraw/zinc/89/03/11/815890311.db2.gz BWIHNZKDTZDRHX-UHFFFAOYSA-N -1 1 332.368 -0.122 20 0 EBADMM CC(C)(C)NC(=O)[C@@H]1CCn2nccc2CN1C(=O)Cc1nn[n-]n1 ZINC001270786110 815890447 /nfs/dbraw/zinc/89/04/47/815890447.db2.gz ZTFNVUNHBANQRX-NSHDSACASA-N -1 1 346.395 -0.346 20 0 EBADMM Cn1ccnc1NC(=O)[C@H]1[C@@H]2CC[C@@H](C2)[C@H]1NC(=O)Cc1nn[n-]n1 ZINC001270786092 815890510 /nfs/dbraw/zinc/89/05/10/815890510.db2.gz ZNECVKNSESREHW-FIDNZITISA-N -1 1 344.379 -0.355 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC2(C1)CC[C@H](C(=O)N1CCCCO1)O2 ZINC001270788355 815890748 /nfs/dbraw/zinc/89/07/48/815890748.db2.gz GFCWYCUSOAPEHK-SNVBAGLBSA-N -1 1 336.352 -0.944 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC2(C[C@@H]2C(=O)N2CCCCO2)CC1 ZINC001270788996 815891821 /nfs/dbraw/zinc/89/18/21/815891821.db2.gz LJFHLFQJUZYWBE-LLVKDONJSA-N -1 1 334.380 -0.075 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC2(C1)CC[C@@H](C(=O)N1CCCC13CC3)O2 ZINC001270788993 815892096 /nfs/dbraw/zinc/89/20/96/815892096.db2.gz LDJWBIWKPPSVEX-NSHDSACASA-N -1 1 346.391 -0.343 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC2(C[C@@H]2C(=O)NCC2COC2)CC1 ZINC001270789670 815893283 /nfs/dbraw/zinc/89/32/83/815893283.db2.gz UBROOSUTYZRSSO-LLVKDONJSA-N -1 1 334.380 -0.867 20 0 EBADMM CCN(C(=O)c1nnc2n1CCN(C(=O)Cc1nn[n-]n1)C2)C(C)C ZINC001270789983 815894714 /nfs/dbraw/zinc/89/47/14/815894714.db2.gz YVCMWAYLXBUGHL-UHFFFAOYSA-N -1 1 347.383 -0.753 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)[C@@H]4CC4(F)F)[C@@H]3C2)nc1=O ZINC001084328769 815895428 /nfs/dbraw/zinc/89/54/28/815895428.db2.gz FEHSLQZPHSBGBF-KXUCPTDWSA-N -1 1 327.335 -0.204 20 0 EBADMM Cc1ncn(C)c1C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001084428261 815915301 /nfs/dbraw/zinc/91/53/01/815915301.db2.gz BWWYEPYILHBJIH-VXGBXAGGSA-N -1 1 345.407 -0.503 20 0 EBADMM CCc1n[nH]cc1C(=O)N1C[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001084477066 815923481 /nfs/dbraw/zinc/92/34/81/815923481.db2.gz VJMPULSPZKAMRD-ZWNOBZJWSA-N -1 1 345.407 -0.260 20 0 EBADMM CO[C@@H](C(=O)N1CC[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C1)C1CC1 ZINC001084932822 816003480 /nfs/dbraw/zinc/00/34/80/816003480.db2.gz QVEMLZPFCSDSPU-OSAQELSMSA-N -1 1 349.435 -0.044 20 0 EBADMM O=C(N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)[C@@H]1COCCO1 ZINC001085139355 816023245 /nfs/dbraw/zinc/02/32/45/816023245.db2.gz LNOWJIVWSFZTHN-MXWKQRLJSA-N -1 1 325.369 -0.557 20 0 EBADMM O=C(C[C@@H]1COC(=O)C1)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001085171134 816024309 /nfs/dbraw/zinc/02/43/09/816024309.db2.gz FDDIHGDUKTYYSV-HBNTYKKESA-N -1 1 337.380 -0.020 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1cc[n+]([O-])cc1 ZINC001085471501 816052166 /nfs/dbraw/zinc/05/21/66/816052166.db2.gz QKMRQNUYPPNYSG-GFCCVEGCSA-N -1 1 332.364 -0.912 20 0 EBADMM Cc1ncncc1C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085499294 816057641 /nfs/dbraw/zinc/05/76/41/816057641.db2.gz YVBZVALEWWESKV-LLVKDONJSA-N -1 1 331.380 -0.447 20 0 EBADMM CCn1cc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001085521410 816062650 /nfs/dbraw/zinc/06/26/50/816062650.db2.gz NJPQYHMZTVUDAX-GFCCVEGCSA-N -1 1 333.396 -0.329 20 0 EBADMM COc1cc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)on1 ZINC001085556308 816071586 /nfs/dbraw/zinc/07/15/86/816071586.db2.gz NAHZGNHCOPRKBW-SECBINFHSA-N -1 1 336.352 -0.549 20 0 EBADMM CCn1ncc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001085583996 816080224 /nfs/dbraw/zinc/08/02/24/816080224.db2.gz VISQNHCXNGLCPZ-JTQLQIEISA-N -1 1 334.384 -0.934 20 0 EBADMM Cc1cc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)c(C)nn1 ZINC001085615013 816087242 /nfs/dbraw/zinc/08/72/42/816087242.db2.gz AKARFWJZSRKWDW-LBPRGKRZSA-N -1 1 345.407 -0.138 20 0 EBADMM O=C(c1cncc([O-])c1)N1CCOC[C@]2(CC(=O)N(C3COC3)C2)C1 ZINC001271387269 816094464 /nfs/dbraw/zinc/09/44/64/816094464.db2.gz RXMXXGOKAFASDD-QGZVFWFLSA-N -1 1 347.371 -0.123 20 0 EBADMM Cc1nc([C@@H](C)N2CC[C@@H]2CN(C)C(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC001085700554 816116655 /nfs/dbraw/zinc/11/66/55/816116655.db2.gz RGUBDWGJPAZNDC-VXNVDRBHSA-N -1 1 348.367 -0.383 20 0 EBADMM Cc1nc(C)c(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)[nH]1 ZINC001085823536 816158500 /nfs/dbraw/zinc/15/85/00/816158500.db2.gz LTHCONCSDRSYMJ-LLVKDONJSA-N -1 1 333.396 -0.205 20 0 EBADMM CCc1cc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)n(C)n1 ZINC001085892518 816172331 /nfs/dbraw/zinc/17/23/31/816172331.db2.gz BHOLYYXLHDYDBE-GFCCVEGCSA-N -1 1 347.423 -0.249 20 0 EBADMM CCCn1cc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)nn1 ZINC001085896708 816174605 /nfs/dbraw/zinc/17/46/05/816174605.db2.gz KUXWVEUHQPTBRG-LLVKDONJSA-N -1 1 348.411 -0.544 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)c1ccc(=O)[nH]c1 ZINC001085958213 816187341 /nfs/dbraw/zinc/18/73/41/816187341.db2.gz VUXFXQSMSHAJCM-NSHDSACASA-N -1 1 332.364 -0.445 20 0 EBADMM CCn1nc(C)c(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)n1 ZINC001086003244 816208684 /nfs/dbraw/zinc/20/86/84/816208684.db2.gz CTHNHBDCOXGBRI-NSHDSACASA-N -1 1 348.411 -0.625 20 0 EBADMM C[C@H](N[C@H]1C[C@@H](CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1)c1nncn1C ZINC001086138470 816245084 /nfs/dbraw/zinc/24/50/84/816245084.db2.gz KLWSUZIWJGEZMW-XHNCKOQMSA-N -1 1 348.367 -0.730 20 0 EBADMM O=C(NC[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C1)[C@H]1CCCS1(=O)=O ZINC001086275552 816287643 /nfs/dbraw/zinc/28/76/43/816287643.db2.gz GUSCAOGOHPNRSH-KXUCPTDWSA-N -1 1 343.409 -0.928 20 0 EBADMM Cn1ccc(=O)c(C(=O)NC[C@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C2)c1 ZINC001086424945 816331220 /nfs/dbraw/zinc/33/12/20/816331220.db2.gz XBCUIPODOXQOIE-MGCOHNPYSA-N -1 1 332.364 -0.493 20 0 EBADMM CN1C[C@H](C(=O)N2CC[C@H](CCNCc3n[nH]c(=O)[n-]3)C2)CC1=O ZINC001272449811 816435890 /nfs/dbraw/zinc/43/58/90/816435890.db2.gz WALMGXDVQPKJLO-WDEREUQCSA-N -1 1 336.396 -0.683 20 0 EBADMM Cc1c(C(=O)N2CC[C@@H](C)C[C@H]2CNCc2n[nH]c(=O)[n-]2)nnn1C ZINC001087139932 816468532 /nfs/dbraw/zinc/46/85/32/816468532.db2.gz BIPSZNDMDBTTCP-KOLCDFICSA-N -1 1 348.411 -0.022 20 0 EBADMM C[C@@H]1CCN(C(=O)CCn2ccnn2)[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001087155984 816469322 /nfs/dbraw/zinc/46/93/22/816469322.db2.gz PAHLCOYWFWVENT-NEPJUHHUSA-N -1 1 348.411 -0.091 20 0 EBADMM Cn1[n-]c(CNCc2cc(=O)[nH]c(CNC(=O)C3CCC3)n2)nc1=O ZINC001087253752 816479104 /nfs/dbraw/zinc/47/91/04/816479104.db2.gz AXYKRYRQEKIMFO-UHFFFAOYSA-N -1 1 347.379 -0.690 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)Cc2cc[nH]n2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087527535 816528339 /nfs/dbraw/zinc/52/83/39/816528339.db2.gz NPRFHCJHRLXPTM-NWDGAFQWSA-N -1 1 333.396 -0.457 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2ccncn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087766783 816557954 /nfs/dbraw/zinc/55/79/54/816557954.db2.gz RRPJOUPCKODPQK-CMPLNLGQSA-N -1 1 331.380 -0.319 20 0 EBADMM O=C(NCC(F)F)C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001272974534 816563350 /nfs/dbraw/zinc/56/33/50/816563350.db2.gz WVJJWUHSNKEIGV-MRVPVSSYSA-N -1 1 346.338 -0.638 20 0 EBADMM Cc1cc(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]3C2)no1 ZINC001087878110 816569102 /nfs/dbraw/zinc/56/91/02/816569102.db2.gz GCQLTJULDZRZMY-NEPJUHHUSA-N -1 1 346.391 -0.001 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4cnsn4)C[C@@H]3C2)nc1=O ZINC001087895506 816573715 /nfs/dbraw/zinc/57/37/15/816573715.db2.gz JOCLIWSAXUTOOM-ZJUUUORDSA-N -1 1 349.420 -0.446 20 0 EBADMM COC(CN1CC2(CN(Cc3ccncc3[O-])C2)OCC1=O)OC ZINC001273077802 816581961 /nfs/dbraw/zinc/58/19/61/816581961.db2.gz ALEWKVLCVTZHPL-UHFFFAOYSA-N -1 1 337.376 -0.181 20 0 EBADMM CNC(=O)C(C)(C)N1CC2(CN(Cc3ccncc3[O-])C2)OCC1=O ZINC001273080279 816582999 /nfs/dbraw/zinc/58/29/99/816582999.db2.gz NIJXVRSQDXOPFH-UHFFFAOYSA-N -1 1 348.403 -0.275 20 0 EBADMM CCNC(=O)CN1C(=O)COCC12CN(Cc1ccncc1[O-])C2 ZINC001273080384 816583209 /nfs/dbraw/zinc/58/32/09/816583209.db2.gz OGYCQYMYVALIJK-UHFFFAOYSA-N -1 1 334.376 -0.664 20 0 EBADMM CC[C@@H](C(N)=O)N1C[C@]2(CC[N@@H+](Cc3ncccc3O)C2)OCC1=O ZINC001273326256 816645176 /nfs/dbraw/zinc/64/51/76/816645176.db2.gz YRKBHNBASLDQRX-SUMWQHHRSA-N -1 1 348.403 -0.146 20 0 EBADMM CCn1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)cn1 ZINC001088499205 816691530 /nfs/dbraw/zinc/69/15/30/816691530.db2.gz OJLWWBPHHLDHQU-PWSUYJOCSA-N -1 1 333.396 -0.282 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)ncn1 ZINC001088528585 816696394 /nfs/dbraw/zinc/69/63/94/816696394.db2.gz HCUHXUBROHZXLE-MNOVXSKESA-N -1 1 331.380 -0.400 20 0 EBADMM COc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)on1 ZINC001088534204 816697723 /nfs/dbraw/zinc/69/77/23/816697723.db2.gz GZDJWLHFALEWPA-BDAKNGLRSA-N -1 1 336.352 -0.502 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)CCn1cnccc1=O ZINC001273688303 816724380 /nfs/dbraw/zinc/72/43/80/816724380.db2.gz PUDKHMNVKZBGGD-UHFFFAOYSA-N -1 1 335.368 -0.905 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2csc(=O)[nH]2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088806120 816746623 /nfs/dbraw/zinc/74/66/23/816746623.db2.gz PSDKHQCVMVPHRV-SFYZADRCSA-N -1 1 338.393 -0.337 20 0 EBADMM COc1ncccc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001088834891 816752014 /nfs/dbraw/zinc/75/20/14/816752014.db2.gz SNNUQJMTYSMRPH-PWSUYJOCSA-N -1 1 346.391 -0.095 20 0 EBADMM CN(C)C(=O)CN1CCC12CN(C(=O)c1c[nH]c(=S)[n-]c1=O)C2 ZINC001273780051 816760211 /nfs/dbraw/zinc/76/02/11/816760211.db2.gz QWRACGIVSOPILJ-UHFFFAOYSA-N -1 1 337.405 -0.541 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cccs3)[C@@H](O)C2)nc1=O ZINC001090043354 816981966 /nfs/dbraw/zinc/98/19/66/816981966.db2.gz XCHNAXBBPRZREE-UWVGGRQHSA-N -1 1 337.405 -0.465 20 0 EBADMM O=C(c1c[nH]c(=O)c(=O)[n-]1)N1CC[C@H]2CN(Cc3cn[nH]c3)C[C@H]21 ZINC001274502229 816985758 /nfs/dbraw/zinc/98/57/58/816985758.db2.gz PMVDZQRDYWHLMY-CMPLNLGQSA-N -1 1 330.348 -0.867 20 0 EBADMM Cc1cc(C)c(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)o1 ZINC001090163740 817083135 /nfs/dbraw/zinc/08/31/35/817083135.db2.gz QJLMPWANUHMSMY-VXGBXAGGSA-N -1 1 349.391 -0.317 20 0 EBADMM Cc1ccc(CC(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)o1 ZINC001090204794 817120966 /nfs/dbraw/zinc/12/09/66/817120966.db2.gz VSLBIYITPZYUFH-CHWSQXEVSA-N -1 1 349.391 -0.696 20 0 EBADMM Cn1cncc1CN1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001090212242 817129225 /nfs/dbraw/zinc/12/92/25/817129225.db2.gz AAIRUNUTNYUMJB-JSGCOSHPSA-N -1 1 331.376 -0.114 20 0 EBADMM Cc1ccc([O-])c(C(=O)N2CCn3c(nnc3C(N)=O)C[C@H]2C)n1 ZINC001274975050 817135128 /nfs/dbraw/zinc/13/51/28/817135128.db2.gz RIJORQCDSLWQGR-SECBINFHSA-N -1 1 330.348 -0.127 20 0 EBADMM Cn1nncc1CN1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001090217318 817136736 /nfs/dbraw/zinc/13/67/36/817136736.db2.gz PVTRHFPLXITGAS-YPMHNXCESA-N -1 1 332.364 -0.719 20 0 EBADMM O=C(N[C@H]1CCN(Cc2ncccn2)C[C@@H]1O)c1ncccc1[O-] ZINC001090217832 817138100 /nfs/dbraw/zinc/13/81/00/817138100.db2.gz RXCQKYQPEKMGDQ-AAEUAGOBSA-N -1 1 329.360 -0.058 20 0 EBADMM Cn1cc(CN2CC[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)nn1 ZINC001090218683 817138878 /nfs/dbraw/zinc/13/88/78/817138878.db2.gz VXVQFRRZVUDMIP-YPMHNXCESA-N -1 1 332.364 -0.719 20 0 EBADMM CCc1[nH]ccc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001090245501 817176790 /nfs/dbraw/zinc/17/67/90/817176790.db2.gz DNXDDRPFJVVZHD-QWHCGFSZSA-N -1 1 348.407 -0.636 20 0 EBADMM Cc1cc(C)c(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)[nH]1 ZINC001090362352 817305573 /nfs/dbraw/zinc/30/55/73/817305573.db2.gz WMUVYRZBHCJIGV-RYUDHWBXSA-N -1 1 348.407 -0.581 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)Cc3ccccc3)[C@H](O)C2)nc1=O ZINC001090364472 817306789 /nfs/dbraw/zinc/30/67/89/817306789.db2.gz JPDZBEOHVDLQPY-UONOGXRCSA-N -1 1 345.403 -0.598 20 0 EBADMM Cn1nnnc1NC(=O)[C@@]12C[C@@H]1CN(C(=O)c1ccc(F)c(=O)[n-]1)C2 ZINC001276297309 817381913 /nfs/dbraw/zinc/38/19/13/817381913.db2.gz LCHDUMLJXMOBEI-NXSYQRQQSA-N -1 1 347.310 -0.449 20 0 EBADMM C[C@@H]1Cc2nnc(C(N)=O)n2CCN1C(=O)c1ccc(F)c(=O)[n-]1 ZINC001276303731 817383186 /nfs/dbraw/zinc/38/31/86/817383186.db2.gz PRHCWFSHFKVGCU-SSDOTTSWSA-N -1 1 334.311 -0.296 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C3CC4(CC4)C3)[C@H](O)C2)nc1=O ZINC001090561017 817541572 /nfs/dbraw/zinc/54/15/72/817541572.db2.gz YATYILFYHRKFRW-NWDGAFQWSA-N -1 1 335.408 -0.650 20 0 EBADMM Cc1cc(CN2CCc3c([nH]nc3C(=O)N=c3nn[n-]n3C)C2)n[nH]1 ZINC001277349491 817557845 /nfs/dbraw/zinc/55/78/45/817557845.db2.gz SAHWXOUOWZFVQC-UHFFFAOYSA-N -1 1 342.367 -0.803 20 0 EBADMM CC[C@@H](C)CN1CCn2c(nnc2C(=O)N=c2nn[n-]n2C)C[C@H]1C ZINC001278124011 817704624 /nfs/dbraw/zinc/70/46/24/817704624.db2.gz QGVKTVQMWGDJDJ-GHMZBOCLSA-N -1 1 347.427 -0.232 20 0 EBADMM Cn1[n-]nnc1=NC(=O)c1ccc2c(n1)CCN(Cc1cnc[nH]1)C2 ZINC001278347849 817745299 /nfs/dbraw/zinc/74/52/99/817745299.db2.gz NVXNFSVOHMOHOL-UHFFFAOYSA-N -1 1 339.363 -0.439 20 0 EBADMM Cc1n[nH]c(C(=O)NCC2CC(N[C@@H](C)c3nnnn3C)C2)c1[O-] ZINC001090986230 817834408 /nfs/dbraw/zinc/83/44/08/817834408.db2.gz UOPLBLQPJUNBDR-IDKOKCKLSA-N -1 1 334.384 -0.194 20 0 EBADMM CN(C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)c1ccc2cccnn21 ZINC001278815996 817847005 /nfs/dbraw/zinc/84/70/05/817847005.db2.gz FOJBTXZNXSPRCL-NSHDSACASA-N -1 1 345.363 -0.619 20 0 EBADMM Cc1conc1CNC1CC(CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001091122039 817894009 /nfs/dbraw/zinc/89/40/09/817894009.db2.gz ZWNGJIZGENJRKY-UHFFFAOYSA-N -1 1 334.336 -0.123 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)[C@H]4CCCO4)C[C@]3(C)C2)nc1=O ZINC001091461806 817992278 /nfs/dbraw/zinc/99/22/78/817992278.db2.gz BSUWUQBVMGSWKF-HSMVNMDESA-N -1 1 335.408 -0.432 20 0 EBADMM C[C@@H]1C[C@@H](C(=O)N(CCO)CCNCc2n[nH]c(=O)[n-]2)CCO1 ZINC001279870198 817997912 /nfs/dbraw/zinc/99/79/12/817997912.db2.gz YXZDYIJLYZKGPQ-MNOVXSKESA-N -1 1 327.385 -0.764 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4cnsn4)C[C@]3(C)C2)nc1=O ZINC001091511666 818001131 /nfs/dbraw/zinc/00/11/31/818001131.db2.gz FFWVYDYGKDHRSQ-OTYXRUKQSA-N -1 1 349.420 -0.446 20 0 EBADMM Cc1nnc(CC(=O)N2CC[C@H](CCNCc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC001280040867 818013346 /nfs/dbraw/zinc/01/33/46/818013346.db2.gz LAYHSLQPFPAKFQ-JTQLQIEISA-N -1 1 334.384 -0.492 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)C4=CCOCC4)C[C@]3(C)C2)nc1=O ZINC001091619285 818017812 /nfs/dbraw/zinc/01/78/12/818017812.db2.gz RAWPESUINRYUAA-DYVFJYSZSA-N -1 1 347.419 -0.265 20 0 EBADMM C/C=C(\C)C(=O)N[C@@H]1C[C@H](C)N(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001281177542 818067140 /nfs/dbraw/zinc/06/71/40/818067140.db2.gz OGRDCHSTUSDJLX-CLFQDTNISA-N -1 1 348.403 -0.002 20 0 EBADMM CSc1ccnc(NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001093746816 818094069 /nfs/dbraw/zinc/09/40/69/818094069.db2.gz SEGFLIQYMJVJKP-UHFFFAOYSA-N -1 1 346.376 -0.229 20 0 EBADMM Cc1cc(C)nc(NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001093761655 818096933 /nfs/dbraw/zinc/09/69/33/818096933.db2.gz RCUOAHGEUOLEEL-UHFFFAOYSA-N -1 1 328.336 -0.334 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCCNc1nccnc1F ZINC001094499092 818277378 /nfs/dbraw/zinc/27/73/78/818277378.db2.gz KBKFLGFOYMCODD-UHFFFAOYSA-N -1 1 336.327 -0.526 20 0 EBADMM CC(=O)N1CC[C@](C)(C(=O)NCCNC(=O)c2ncccc2[O-])C1 ZINC001282980974 818561056 /nfs/dbraw/zinc/56/10/56/818561056.db2.gz BYSFVOGNIFFPPW-INIZCTEOSA-N -1 1 334.376 -0.108 20 0 EBADMM Cn1nccc1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001095229123 818587990 /nfs/dbraw/zinc/58/79/90/818587990.db2.gz FSPGVIPAHFDGQC-VWYCJHECSA-N -1 1 331.380 -0.623 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)C[C@H]2COC(=O)C2)C3)nc1=O ZINC001095313221 818599509 /nfs/dbraw/zinc/59/95/09/818599509.db2.gz LFCPCUFHMDMHEH-WYUUTHIRSA-N -1 1 349.391 -0.717 20 0 EBADMM Cn1ccc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)c1 ZINC001095308527 818599551 /nfs/dbraw/zinc/59/95/51/818599551.db2.gz RRGUMJGPJXSWTK-AGIUHOORSA-N -1 1 330.392 -0.018 20 0 EBADMM COc1cc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)on1 ZINC001095339121 818606065 /nfs/dbraw/zinc/60/60/65/818606065.db2.gz DJLYVJPNLARQGT-UTLUCORTSA-N -1 1 348.363 -0.360 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@]24C[C@H]2COC4)C3)nc1=O ZINC001095443345 818625867 /nfs/dbraw/zinc/62/58/67/818625867.db2.gz JBWIFIMJXUTOHA-OSHAPPAQSA-N -1 1 333.392 -0.634 20 0 EBADMM Cc1ncc(CC(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)o1 ZINC001095528375 818638598 /nfs/dbraw/zinc/63/85/98/818638598.db2.gz QESUXMPPDIMZRM-WXHSDQCUSA-N -1 1 346.391 -0.131 20 0 EBADMM CO[C@@H]1CCC[C@@H]1C(=O)NCCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001283088756 818639096 /nfs/dbraw/zinc/63/90/96/818639096.db2.gz JMIINNSLWGXIRI-GXSJLCMTSA-N -1 1 348.363 -0.921 20 0 EBADMM Cc1cc(N(C)CCCNC(=O)c2cc(=O)n3[n-]cnc3n2)ncn1 ZINC001095592616 818654428 /nfs/dbraw/zinc/65/44/28/818654428.db2.gz CTYOIKRWARVNQZ-UHFFFAOYSA-N -1 1 342.363 -0.228 20 0 EBADMM CN(CCCNC(=O)c1cc(=O)n2[n-]cnc2n1)c1cnc(F)cn1 ZINC001095592872 818654528 /nfs/dbraw/zinc/65/45/28/818654528.db2.gz QSFRXIZPJNCYTE-UHFFFAOYSA-N -1 1 346.326 -0.397 20 0 EBADMM CN(CCCNC(=O)c1cc(=O)n2[n-]cnc2n1)c1nccnc1F ZINC001095592577 818654801 /nfs/dbraw/zinc/65/48/01/818654801.db2.gz AMJSQGVLMKOBDK-UHFFFAOYSA-N -1 1 346.326 -0.397 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)CCCCC(N)=O)CC1 ZINC001283336090 818762458 /nfs/dbraw/zinc/76/24/58/818762458.db2.gz ZMBOOYZLWQFSNJ-UHFFFAOYSA-N -1 1 338.412 -0.021 20 0 EBADMM O=C(CCC1CC1)N[C@@H]1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001283353489 818772586 /nfs/dbraw/zinc/77/25/86/818772586.db2.gz YKAAYIVIFDLVSQ-CYBMUJFWSA-N -1 1 348.403 -0.166 20 0 EBADMM CCn1cnc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)c1 ZINC001096359132 818806369 /nfs/dbraw/zinc/80/63/69/818806369.db2.gz CAZCWEGKYVNUHH-MDZLAQPJSA-N -1 1 345.407 -0.140 20 0 EBADMM CCc1nc[nH]c1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001096449480 818828957 /nfs/dbraw/zinc/82/89/57/818828957.db2.gz LNTCYAINIXEGEW-USWWRNFRSA-N -1 1 345.407 -0.071 20 0 EBADMM Cc1cc(CN[C@H](C)CN(C)C(=O)CCn2cc[n-]c(=O)c2=O)no1 ZINC001283690256 818906579 /nfs/dbraw/zinc/90/65/79/818906579.db2.gz GULHHFBBSGJIPN-LLVKDONJSA-N -1 1 349.391 -0.140 20 0 EBADMM Cn1nnnc1CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncccc1[O-])C2 ZINC001096990864 818922004 /nfs/dbraw/zinc/92/20/04/818922004.db2.gz WXAFGWVSRCIAOU-MXWKQRLJSA-N -1 1 329.364 -0.154 20 0 EBADMM Cc1nc(CC(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2n[nH]c(=O)[n-]2)n[nH]1 ZINC001097046099 818933117 /nfs/dbraw/zinc/93/31/17/818933117.db2.gz WHRRAVGKUFMAGD-BBBLOLIVSA-N -1 1 332.368 -0.599 20 0 EBADMM Cc1cc(N[C@H]2C[C@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)ncn1 ZINC001097045151 818933168 /nfs/dbraw/zinc/93/31/68/818933168.db2.gz CFIUUWMUFAYRTG-HAQNSBGRSA-N -1 1 344.375 -0.216 20 0 EBADMM Cc1ccc(N[C@H]2C[C@H](NC(=O)CCn3cc[n-]c(=O)c3=O)C2)nn1 ZINC001097045934 818933359 /nfs/dbraw/zinc/93/33/59/818933359.db2.gz RYHBMDPVHDXPPU-HAQNSBGRSA-N -1 1 344.375 -0.216 20 0 EBADMM NC(=O)C(=O)NCCCN(C(=O)c1cnc(C2CC2)[n-]c1=O)C1CC1 ZINC001283807291 818962410 /nfs/dbraw/zinc/96/24/10/818962410.db2.gz LNEOSIHHPHGXLP-UHFFFAOYSA-N -1 1 347.375 -0.344 20 0 EBADMM Cc1cc(N[C@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)nc(C)n1 ZINC001097940194 819058826 /nfs/dbraw/zinc/05/88/26/819058826.db2.gz VCYDQIXVXFVQTJ-LLVKDONJSA-N -1 1 346.391 -0.050 20 0 EBADMM Cc1ccc(N[C@H](C)CNC(=O)c2cc(=O)n3[n-]cnc3n2)nn1 ZINC001097950797 819062346 /nfs/dbraw/zinc/06/23/46/819062346.db2.gz WHLCMFXDSSQJLG-SECBINFHSA-N -1 1 328.336 -0.254 20 0 EBADMM COCCOCCC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001284032082 819073473 /nfs/dbraw/zinc/07/34/73/819073473.db2.gz LRJWRMPJZMFCDL-MNOVXSKESA-N -1 1 327.385 -0.358 20 0 EBADMM CCn1cc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)nn1 ZINC001098120099 819093765 /nfs/dbraw/zinc/09/37/65/819093765.db2.gz WVAIHHWHLGUDPP-FOGDFJRCSA-N -1 1 346.395 -0.745 20 0 EBADMM CN(CCNC(=O)CCC(F)F)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001284555236 819286531 /nfs/dbraw/zinc/28/65/31/819286531.db2.gz XSLUWFIFJMUERI-UHFFFAOYSA-N -1 1 346.334 -0.453 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC[C@@H](n3cc(CNC[C@H](C)O)nn3)C2)c1[O-] ZINC001098656065 819300315 /nfs/dbraw/zinc/30/03/15/819300315.db2.gz LLOTZHJNCWNAOH-JOYOIKCWSA-N -1 1 349.395 -0.427 20 0 EBADMM Cn1cc(C(=O)N[C@]23CCC[C@H]2CN(Cc2nc(=O)n(C)[n-]2)C3)cn1 ZINC001098721912 819342602 /nfs/dbraw/zinc/34/26/02/819342602.db2.gz MIUFMTFWPGOEQP-LRDDRELGSA-N -1 1 345.407 -0.374 20 0 EBADMM CCCCCC(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001284879889 819387808 /nfs/dbraw/zinc/38/78/08/819387808.db2.gz HQXBVCOGXJGKOY-UHFFFAOYSA-N -1 1 332.364 -0.062 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCC[C@]3(NC(=O)Cc3ncc[nH]3)C2)nc1=O ZINC001099095011 819416953 /nfs/dbraw/zinc/41/69/53/819416953.db2.gz GKIIJDVXXRKQDK-ZBEGNZNMSA-N -1 1 345.407 -0.455 20 0 EBADMM C[C@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)Nc1ncc(F)cn1 ZINC001099313742 819449868 /nfs/dbraw/zinc/44/98/68/819449868.db2.gz GKFWWNUBRVGYGR-MRVPVSSYSA-N -1 1 346.326 -0.033 20 0 EBADMM CC[C@H](CNC(=O)C1CCC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285027152 819459650 /nfs/dbraw/zinc/45/96/50/819459650.db2.gz FESPATDMEXCVEN-GFCCVEGCSA-N -1 1 336.392 -0.262 20 0 EBADMM C/C=C(\C)C(=O)N[C@H](C)[C@@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285341619 819548852 /nfs/dbraw/zinc/54/88/52/819548852.db2.gz LLYNGDSXNZIJGM-VDUSXYPOSA-N -1 1 336.392 -0.098 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC=CCNC(=O)[C@@H]1C[C@H]1C ZINC001285596242 819687440 /nfs/dbraw/zinc/68/74/40/819687440.db2.gz RPHLMXSEXJNPJS-UREXNXCXSA-N -1 1 336.348 -0.045 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)N(C)C(=O)/C=C/C1CC1 ZINC001285748188 819752446 /nfs/dbraw/zinc/75/24/46/819752446.db2.gz CDRQAYYORXIVAC-BTDICHCPSA-N -1 1 348.403 -0.144 20 0 EBADMM Cc1ccoc1C(=O)NC[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285756401 819759468 /nfs/dbraw/zinc/75/94/68/819759468.db2.gz QMPDXSOKLZDSCN-NSHDSACASA-N -1 1 348.359 -0.237 20 0 EBADMM CC1(C(=O)NC[C@H]2CCN2C(=O)CCn2cc[n-]c(=O)c2=O)CCC1 ZINC001285884261 819807611 /nfs/dbraw/zinc/80/76/11/819807611.db2.gz FRAHTMBIJWAGRQ-GFCCVEGCSA-N -1 1 348.403 -0.166 20 0 EBADMM C/C=C(/C)C(=O)N[C@H]1C[C@@H](CNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001290055689 820449697 /nfs/dbraw/zinc/44/96/97/820449697.db2.gz UCFRGFZUYPLMJF-HITUPNAXSA-N -1 1 348.403 -0.096 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCNC(=O)C1(C(F)F)CC1 ZINC001292745403 820599609 /nfs/dbraw/zinc/59/96/09/820599609.db2.gz IRQQSWZUDMVVCO-UHFFFAOYSA-N -1 1 346.290 -0.212 20 0 EBADMM CN(CCCNC(=O)c1c[n-]n2c1nccc2=O)C(=O)c1ccn[nH]1 ZINC001294087907 820868290 /nfs/dbraw/zinc/86/82/90/820868290.db2.gz DTNWULJQQIWANO-UHFFFAOYSA-N -1 1 343.347 -0.362 20 0 EBADMM Cc1ccc(NCCN(C)C(=O)CCn2cc[n-]c(=O)c2=O)nn1 ZINC001101686955 820878797 /nfs/dbraw/zinc/87/87/97/820878797.db2.gz RHEHIUGMSFRHBR-UHFFFAOYSA-N -1 1 332.364 -0.404 20 0 EBADMM CCC(=O)N[C@@H](C)C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001101993732 820987762 /nfs/dbraw/zinc/98/77/62/820987762.db2.gz UGEURQBLWJMREZ-OUAUKWLOSA-N -1 1 338.412 -0.391 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCN(C(=O)c2ccc[nH]2)C1 ZINC001294772629 820996239 /nfs/dbraw/zinc/99/62/39/820996239.db2.gz DAHKXOBVBCBAKI-LLVKDONJSA-N -1 1 345.359 -0.714 20 0 EBADMM CC[C@@H](F)C(=O)N1CC[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001295060809 821044716 /nfs/dbraw/zinc/04/47/16/821044716.db2.gz JCGKIFUYUDCEMX-WDEREUQCSA-N -1 1 340.355 -0.608 20 0 EBADMM COCCOCC(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001295322723 821075578 /nfs/dbraw/zinc/07/55/78/821075578.db2.gz FTBMCUPOJHHSQN-YABSGUDNSA-N -1 1 335.360 -0.363 20 0 EBADMM CCNC(=O)CC(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001295336075 821078268 /nfs/dbraw/zinc/07/82/68/821078268.db2.gz JLVVXNWOOLDNNB-MSRIBSCDSA-N -1 1 332.360 -0.500 20 0 EBADMM C[C@H](CNC(=O)Cc1ccc[nH]1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001295514217 821109112 /nfs/dbraw/zinc/10/91/12/821109112.db2.gz OBZZMQNBRQUCFL-LLVKDONJSA-N -1 1 347.375 -0.882 20 0 EBADMM CN(CCNC(=O)c1cccn1C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001296006997 821193483 /nfs/dbraw/zinc/19/34/83/821193483.db2.gz JXCPSDZQRDFOQP-UHFFFAOYSA-N -1 1 347.375 -0.846 20 0 EBADMM Cc1cc(NCCNC(=O)CNC(=O)c2ncccc2[O-])n(C)n1 ZINC001296968646 821298935 /nfs/dbraw/zinc/29/89/35/821298935.db2.gz VVBCIFHZDXBMHG-UHFFFAOYSA-N -1 1 332.364 -0.213 20 0 EBADMM CO[C@H](C(=O)OC(C)(C)C)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001296955878 821299340 /nfs/dbraw/zinc/29/93/40/821299340.db2.gz NEWNIAOXPSXZJW-VIFPVBQESA-N -1 1 341.368 -0.634 20 0 EBADMM CC[C@@H]1C[C@H]1C(=O)N1CC(NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001297096508 821320017 /nfs/dbraw/zinc/32/00/17/821320017.db2.gz FJUVCAITAFMUDV-ZYHUDNBSSA-N -1 1 334.376 -0.700 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)c1cnn(C)n1 ZINC001103531415 821321889 /nfs/dbraw/zinc/32/18/89/821321889.db2.gz UBWCLCQRSUDTLF-NXEZZACHSA-N -1 1 334.384 -0.473 20 0 EBADMM C[C@H](C(=O)N1C[C@@H](C)[C@H](CCNCc2n[nH]c(=O)[n-]2)C1)n1cncn1 ZINC001104093766 821399541 /nfs/dbraw/zinc/39/95/41/821399541.db2.gz WKTDGEHAPYJNEW-IJLUTSLNSA-N -1 1 348.411 -0.063 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)CNC(=O)C1(C)CC1 ZINC001297764793 821413127 /nfs/dbraw/zinc/41/31/27/821413127.db2.gz MKWQZLUHEQVRPR-NSHDSACASA-N -1 1 336.392 -0.405 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC/C=C\CNC(=O)C1CCC1 ZINC001298209147 821488263 /nfs/dbraw/zinc/48/82/63/821488263.db2.gz KADVBNPPTSQTFU-UPHRSURJSA-N -1 1 334.376 -0.485 20 0 EBADMM O=C(NC/C=C\CNC(=O)c1ncccc1[O-])c1c[nH]c(=O)cn1 ZINC001298357869 821516844 /nfs/dbraw/zinc/51/68/44/821516844.db2.gz ZOESJGSXOJMNOF-UPHRSURJSA-N -1 1 329.316 -0.414 20 0 EBADMM C[C@@H]1CN(C(=O)CCn2cnnn2)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001104832738 821551530 /nfs/dbraw/zinc/55/15/30/821551530.db2.gz QXKONSBAKQCCCG-GHMZBOCLSA-N -1 1 349.399 -0.839 20 0 EBADMM CC/C(C)=C/C(=O)N[C@@H](C)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001298768234 821595419 /nfs/dbraw/zinc/59/54/19/821595419.db2.gz APXCOMLXRJULQX-ZKQHCESOSA-N -1 1 336.392 -0.096 20 0 EBADMM CC(C)[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)Nc1ncccn1 ZINC001105201310 821616987 /nfs/dbraw/zinc/61/69/87/821616987.db2.gz DYNNBWSJVQESHF-GFCCVEGCSA-N -1 1 346.391 -0.030 20 0 EBADMM Cc1[nH]nc(C(=O)N2CC(n3cc(CNCCCO)nn3)C2)c1[O-] ZINC001105213696 821622863 /nfs/dbraw/zinc/62/28/63/821622863.db2.gz HRWYOXCUQQEKKW-UHFFFAOYSA-N -1 1 335.368 -0.816 20 0 EBADMM CC(C)CC(=O)NC1(CNC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001299198316 821663010 /nfs/dbraw/zinc/66/30/10/821663010.db2.gz NASDQHYYSMAPRI-UHFFFAOYSA-N -1 1 336.392 -0.262 20 0 EBADMM C[C@H]1C[C@H]1CC(=O)N1CC(N(C)C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001299381437 821687701 /nfs/dbraw/zinc/68/77/01/821687701.db2.gz YJKXZNFMOGDAAL-RYUDHWBXSA-N -1 1 348.403 -0.358 20 0 EBADMM CCOCCC(=O)N1CC[C@](O)(CNC(=O)c2ncccc2[O-])C1 ZINC001299747465 821739126 /nfs/dbraw/zinc/73/91/26/821739126.db2.gz SFYYITNRKFLTQB-INIZCTEOSA-N -1 1 337.376 -0.093 20 0 EBADMM O=C(CCn1nnc2ccccc2c1=O)NC1(c2nn[n-]n2)CCC1 ZINC001303221506 821755668 /nfs/dbraw/zinc/75/56/68/821755668.db2.gz JHUBMWBJBUBZDR-UHFFFAOYSA-N -1 1 340.347 -0.110 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCc1noc(-c2ccco2)n1 ZINC001303574496 821757679 /nfs/dbraw/zinc/75/76/79/821757679.db2.gz LJHHOCRGOUEXOA-UHFFFAOYSA-N -1 1 331.288 -0.114 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCCNc2ncccn2)[n-]n1 ZINC001309126363 821775269 /nfs/dbraw/zinc/77/52/69/821775269.db2.gz LDQCYNJDPZDXGB-UHFFFAOYSA-N -1 1 340.365 -0.233 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCCCNc2ncccn2)n[n-]1 ZINC001309126363 821775273 /nfs/dbraw/zinc/77/52/73/821775273.db2.gz LDQCYNJDPZDXGB-UHFFFAOYSA-N -1 1 340.365 -0.233 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCCCS(C)(=O)=O ZINC001309605039 821779426 /nfs/dbraw/zinc/77/94/26/821779426.db2.gz JXQVBYQNKSPFKR-UHFFFAOYSA-N -1 1 339.395 -0.701 20 0 EBADMM Cc1cc(N(C)CCNC(=O)CCn2cc[n-]c(=O)c2=O)ncn1 ZINC001316593923 821806105 /nfs/dbraw/zinc/80/61/05/821806105.db2.gz FZOHCOVCNYIYMC-UHFFFAOYSA-N -1 1 332.364 -0.722 20 0 EBADMM Cc1cc(CN[C@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)no1 ZINC001317278685 822059900 /nfs/dbraw/zinc/05/99/00/822059900.db2.gz WKNGHRJNYFGZNN-SNVBAGLBSA-N -1 1 335.364 -0.482 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H]2CNC(=O)CCCCC(N)=O)nc1=O ZINC001317510550 822139300 /nfs/dbraw/zinc/13/93/00/822139300.db2.gz XAMCCJPUMRUBKT-LLVKDONJSA-N -1 1 338.412 -0.765 20 0 EBADMM Cc1cc(C)n2nc(C(=O)N(C)CCNCc3n[nH]c(=O)[n-]3)nc2n1 ZINC001317659787 822195555 /nfs/dbraw/zinc/19/55/55/822195555.db2.gz KPBDHRQOWWKTPS-UHFFFAOYSA-N -1 1 345.367 -0.573 20 0 EBADMM CC(C)N(CCCNC(=O)[C@@H]1COCCO1)Cc1n[nH]c(=O)[n-]1 ZINC001317674184 822200300 /nfs/dbraw/zinc/20/03/00/822200300.db2.gz CCKAEQFCXIZBBI-NSHDSACASA-N -1 1 327.385 -0.358 20 0 EBADMM O=C(CCCCc1cn[nH]n1)N1C[C@@H]2C[C@H]1CN2Cc1n[nH]c(=O)[n-]1 ZINC001318205641 822273543 /nfs/dbraw/zinc/27/35/43/822273543.db2.gz JEXWACFSKZQRGY-RYUDHWBXSA-N -1 1 346.395 -0.174 20 0 EBADMM Cn1c([S-])nnc1CCNC(=O)c1cnc2n(c1=O)CCS2 ZINC001318620398 822344849 /nfs/dbraw/zinc/34/48/49/822344849.db2.gz BBRZJGUIZNSGOP-UHFFFAOYSA-N -1 1 338.418 -0.261 20 0 EBADMM CC(C)=CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1n1ccnn1 ZINC001128671502 828504679 /nfs/dbraw/zinc/50/46/79/828504679.db2.gz BYWHAQIXEQZYLS-NEPJUHHUSA-N -1 1 346.395 -0.792 20 0 EBADMM CC(C)=CC(=O)NCc1cn(C2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001107123616 823737624 /nfs/dbraw/zinc/73/76/24/823737624.db2.gz LSIWOSNJUPAWEU-UHFFFAOYSA-N -1 1 346.395 -0.661 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC/C=C/CNc1cc(F)ncn1 ZINC001107256699 823831150 /nfs/dbraw/zinc/83/11/50/823831150.db2.gz BTTZLMTYZPAGFY-OWOJBTEDSA-N -1 1 348.338 -0.360 20 0 EBADMM Cc1ccc(NC/C=C\CNC(=O)c2cc(=O)n3[n-]cnc3n2)nn1 ZINC001107263185 823831992 /nfs/dbraw/zinc/83/19/92/823831992.db2.gz TZAOLCSDNUNDOO-IHWYPQMZSA-N -1 1 340.347 -0.086 20 0 EBADMM Cn1[n-]c(CN2CCO[C@](C)(CNC(=O)C(C)(F)F)C2)nc1=O ZINC001107685889 823905351 /nfs/dbraw/zinc/90/53/51/823905351.db2.gz PFKKKWSXZWXAFO-GFCCVEGCSA-N -1 1 333.339 -0.529 20 0 EBADMM Cn1[n-]c(CN2CCO[C@](C)(CNC(=O)c3cccnc3)C2)nc1=O ZINC001107692386 823907187 /nfs/dbraw/zinc/90/71/87/823907187.db2.gz LXJIKANBKYNSQE-MRXNPFEDSA-N -1 1 346.391 -0.476 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@](C)(CNC(=O)c3ccco3)C2)nc1=O ZINC001107694868 823908443 /nfs/dbraw/zinc/90/84/43/823908443.db2.gz OZBINRVONJZNBI-HNNXBMFYSA-N -1 1 335.364 -0.278 20 0 EBADMM CCCOCC(=O)NC[C@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107790759 823966820 /nfs/dbraw/zinc/96/68/20/823966820.db2.gz NLKXXKPENGRWRR-OAHLLOKOSA-N -1 1 341.412 -0.758 20 0 EBADMM CO[C@@H](C)CC(=O)NC[C@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107799268 823970650 /nfs/dbraw/zinc/97/06/50/823970650.db2.gz BKNUFHDHSBSBBX-XHDPSFHLSA-N -1 1 341.412 -0.760 20 0 EBADMM Cc1ncc(C(=O)NC[C@@]2(C)CN(Cc3nc(=O)n(C)[n-]3)CCO2)[nH]1 ZINC001107814353 823977164 /nfs/dbraw/zinc/97/71/64/823977164.db2.gz AQGIFVGOXWUQGS-HNNXBMFYSA-N -1 1 349.395 -0.839 20 0 EBADMM CN1CCC[C@H]1C(=O)NC[C@]1(C)CN(Cc2n[nH]c(=O)[n-]2)CCO1 ZINC001107816644 823979155 /nfs/dbraw/zinc/97/91/55/823979155.db2.gz GSZZMLSGWFCQSO-XHDPSFHLSA-N -1 1 338.412 -0.688 20 0 EBADMM Cn1ncnc1CN1CCO[C@@](C)(CNC(=O)c2ncccc2[O-])C1 ZINC001107890678 824020695 /nfs/dbraw/zinc/02/06/95/824020695.db2.gz SXKRZBVYCNWKFF-INIZCTEOSA-N -1 1 346.391 -0.063 20 0 EBADMM C[C@@]1(CNC(=O)c2ncccc2[O-])CN([C@H]2CCCNC2=O)CCO1 ZINC001107890621 824020775 /nfs/dbraw/zinc/02/07/75/824020775.db2.gz RBIYKCSWXDOTPZ-YVEFUNNKSA-N -1 1 348.403 -0.114 20 0 EBADMM CN1CC[C@H](N2CCO[C@@](C)(CNC(=O)c3ncccc3[O-])C2)C1=O ZINC001107889845 824021301 /nfs/dbraw/zinc/02/13/01/824021301.db2.gz FBOCTIPOAFGGOD-SJCJKPOMSA-N -1 1 348.403 -0.161 20 0 EBADMM CCc1c(C(=O)NCCNCc2n[nH]c(=O)[n-]2)[nH]c(C)c1C(N)=O ZINC001129380000 828607145 /nfs/dbraw/zinc/60/71/45/828607145.db2.gz CHTGMZKGSVMEIA-UHFFFAOYSA-N -1 1 335.368 -0.672 20 0 EBADMM Cc1cc[nH]c1C(=O)NC[C@@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107910658 824035636 /nfs/dbraw/zinc/03/56/36/824035636.db2.gz LHORBFFGVLRJHC-INIZCTEOSA-N -1 1 348.407 -0.234 20 0 EBADMM COCCCN1CCO[C@@](C)(CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001108050892 824106597 /nfs/dbraw/zinc/10/65/97/824106597.db2.gz BLMFDDJJVYDCEQ-AWEZNQCLSA-N -1 1 341.368 -0.860 20 0 EBADMM C[C@]1(CNC(=O)CCn2cc[n-]c(=O)c2=O)CN(CCF)CCO1 ZINC001108281602 824193919 /nfs/dbraw/zinc/19/39/19/824193919.db2.gz HYSQWWNBRHZEBE-HNNXBMFYSA-N -1 1 342.371 -0.897 20 0 EBADMM Cc1ccnc(N(C)C[C@@H](C)NC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001109020613 824472830 /nfs/dbraw/zinc/47/28/30/824472830.db2.gz PDGMWSWWRLLYDT-GFCCVEGCSA-N -1 1 346.391 -0.334 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)[C@@H]1OCCO[C@@H]1c1ccccc1 ZINC001129856496 828682610 /nfs/dbraw/zinc/68/26/10/828682610.db2.gz ROKAEKZIBKNOBI-ZIAGYGMSSA-N -1 1 347.375 -0.127 20 0 EBADMM O=C(c1ncccc1[O-])N(CCO)CCNc1ncnc2nc[nH]c21 ZINC001111465728 825143396 /nfs/dbraw/zinc/14/33/96/825143396.db2.gz LRVAORMXEDLNPX-UHFFFAOYSA-N -1 1 343.347 -0.048 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCC[C@]3(NC(=O)CC(C)(C)O)C2)nc1=O ZINC001111730406 825304967 /nfs/dbraw/zinc/30/49/67/825304967.db2.gz KFHKBJGHSYYLEK-ZBEGNZNMSA-N -1 1 337.424 -0.260 20 0 EBADMM Cn1cc(C(=O)N2CCN(CCC[N-]C(=O)C(F)(F)F)CC2)nn1 ZINC001112817429 825650064 /nfs/dbraw/zinc/65/00/64/825650064.db2.gz KZLZRLAWSKIDFM-UHFFFAOYSA-N -1 1 348.329 -0.359 20 0 EBADMM COC[C@H](C)[C@H](C)C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001112944673 825701011 /nfs/dbraw/zinc/70/10/11/825701011.db2.gz JKJJCTKYMZCHFA-RYUDHWBXSA-N -1 1 325.413 -0.329 20 0 EBADMM O=C([N-]CCCN1CCN(C(=O)c2cnon2)CC1)C(F)(F)F ZINC001113443912 825877351 /nfs/dbraw/zinc/87/73/51/825877351.db2.gz GLSZBZJYRBHBLN-UHFFFAOYSA-N -1 1 335.286 -0.104 20 0 EBADMM Cc1cc(OCC(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)no1 ZINC001114430983 826245713 /nfs/dbraw/zinc/24/57/13/826245713.db2.gz KNMRFJGFJGFTQB-MSRIBSCDSA-N -1 1 348.363 -0.970 20 0 EBADMM CO[C@H](C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)C1CCC1 ZINC001114639590 826306362 /nfs/dbraw/zinc/30/63/62/826306362.db2.gz ZBQRFAHRTLBGPA-UNJBNNCHSA-N -1 1 335.408 -0.530 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CCc2ccccn2)nc1=O ZINC001114665554 826315541 /nfs/dbraw/zinc/31/55/41/826315541.db2.gz KEYXVABZYQKQNR-VIKVFOODSA-N -1 1 342.403 -0.317 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)C(F)(F)F ZINC001114703431 826321954 /nfs/dbraw/zinc/32/19/54/826321954.db2.gz RBGGABMTTNGUCE-QHOPCYEYSA-N -1 1 333.314 -0.147 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)CCn2cccc2)nc1=O ZINC001115016451 826401049 /nfs/dbraw/zinc/40/10/49/826401049.db2.gz XBRUJXIMUSDBFS-JYAVWHMHSA-N -1 1 330.392 -0.453 20 0 EBADMM CCCS(=O)(=O)CC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001180160095 833050560 /nfs/dbraw/zinc/05/05/60/833050560.db2.gz CEAFKDJBYDYAPX-UWVGGRQHSA-N -1 1 345.425 -0.586 20 0 EBADMM CCOCCOCC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001180238874 833062641 /nfs/dbraw/zinc/06/26/41/833062641.db2.gz REMZGKULZPBLEW-QWRGUYRKSA-N -1 1 327.385 -0.358 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)Nc1ccnn1C[C@@H]1CCOC1 ZINC001116054250 826596937 /nfs/dbraw/zinc/59/69/37/826596937.db2.gz BWKAWOBKOXYFFF-NSHDSACASA-N -1 1 333.348 -0.202 20 0 EBADMM Cc1cnn(-c2ccccc2)c1NC(=O)C(=O)NCc1nn[n-]n1 ZINC001116435167 826619978 /nfs/dbraw/zinc/61/99/78/826619978.db2.gz DVAFJSZDLKHMGB-UHFFFAOYSA-N -1 1 326.320 -0.051 20 0 EBADMM CCOC(C)(C)C(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001181152881 833137684 /nfs/dbraw/zinc/13/76/84/833137684.db2.gz MQLQWYHERRIOIF-NSHDSACASA-N -1 1 340.380 -0.157 20 0 EBADMM C[C@H]1Cc2ccccc2N1CCNC(=O)[C@]1(C(=O)[O-])CNCCO1 ZINC001121996955 827499168 /nfs/dbraw/zinc/49/91/68/827499168.db2.gz POYJBQXTZGCNMW-SJCJKPOMSA-N -1 1 333.388 -0.003 20 0 EBADMM C[C@@H]1CN(C(=O)[C@]2(C(=O)[O-])CNCCO2)C[C@H](CC(F)(F)F)O1 ZINC001122076569 827516762 /nfs/dbraw/zinc/51/67/62/827516762.db2.gz AHPQFICYFGLZJU-PTRXPTGYSA-N -1 1 340.298 -0.002 20 0 EBADMM Cn1c(Cc2nnn[n-]2)nnc1N1CCN(C(=O)c2ccco2)CC1 ZINC001122926392 827670334 /nfs/dbraw/zinc/67/03/34/827670334.db2.gz GMNABFISZQIGOM-UHFFFAOYSA-N -1 1 343.351 -0.526 20 0 EBADMM Cn1c(Cc2nn[n-]n2)nnc1N1CCN(C(=O)c2ccco2)CC1 ZINC001122926392 827670340 /nfs/dbraw/zinc/67/03/40/827670340.db2.gz GMNABFISZQIGOM-UHFFFAOYSA-N -1 1 343.351 -0.526 20 0 EBADMM Cc1n[nH]c(C(=O)NCCNCC(=O)Nc2c(C)n[nH]c2C)c1[O-] ZINC001125745232 828129476 /nfs/dbraw/zinc/12/94/76/828129476.db2.gz MNXAXDFXSHQJKX-UHFFFAOYSA-N -1 1 335.368 -0.278 20 0 EBADMM O=C(NCCNCc1nnnn1CC(F)(F)F)c1ncccc1[O-] ZINC001126125462 828156467 /nfs/dbraw/zinc/15/64/67/828156467.db2.gz YONSCBAOXKOUOY-UHFFFAOYSA-N -1 1 345.285 -0.144 20 0 EBADMM O=C([O-])COc1ccc(OCC(=O)N[C@@H](CO)Cc2cnc[nH]2)cc1 ZINC001183909914 833312127 /nfs/dbraw/zinc/31/21/27/833312127.db2.gz LJJGSAOUFQQPGX-GFCCVEGCSA-N -1 1 349.343 -0.028 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1ccc2c(cc[nH]c2=O)n1 ZINC001130561832 828884694 /nfs/dbraw/zinc/88/46/94/828884694.db2.gz SOAUCNCIBGQZCJ-UHFFFAOYSA-N -1 1 329.320 -0.321 20 0 EBADMM CC(C)C[C@@H]1C[C@H](C(=O)NCCNCc2n[nH]c(=O)[n-]2)CC(=O)N1 ZINC001130661610 828909106 /nfs/dbraw/zinc/90/91/06/828909106.db2.gz OVNQCKKLBAJDGK-WDEREUQCSA-N -1 1 338.412 -0.343 20 0 EBADMM Cc1cccnc1CNCCNC(=O)CCc1n[nH]c(=O)[n-]c1=O ZINC001130754004 828927945 /nfs/dbraw/zinc/92/79/45/828927945.db2.gz IRNNMJJIORTQQC-UHFFFAOYSA-N -1 1 332.364 -0.175 20 0 EBADMM C[C@@H](NCCNC(=O)CCc1n[nH]c(=O)[n-]c1=O)c1cnccn1 ZINC001130756935 828929604 /nfs/dbraw/zinc/92/96/04/828929604.db2.gz IJWKOFRCNYGWDV-SECBINFHSA-N -1 1 333.352 -0.528 20 0 EBADMM COc1ccn2c(c1)ncc2C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001131340451 829101609 /nfs/dbraw/zinc/10/16/09/829101609.db2.gz VSULXUILBFPIPS-UHFFFAOYSA-N -1 1 331.336 -0.314 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2ncccc2[O-])CN1CC(=O)NC(N)=O ZINC001131971993 829286619 /nfs/dbraw/zinc/28/66/19/829286619.db2.gz OCGKSYSCUOTXCE-ZJUUUORDSA-N -1 1 335.364 -0.435 20 0 EBADMM C[C@H](NC(=O)c1cccs1)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001132064764 829317842 /nfs/dbraw/zinc/31/78/42/829317842.db2.gz BMSLVMNFLMJXEI-QMMMGPOBSA-N -1 1 338.393 -0.404 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)c1cccnc1-n1cccn1 ZINC001132179914 829369945 /nfs/dbraw/zinc/36/99/45/829369945.db2.gz OMUQJYMMQTYXJR-UHFFFAOYSA-N -1 1 328.336 -0.389 20 0 EBADMM Cc1cnc([C@@H](C)NCCNC(=O)c2cnc([O-])n(C)c2=O)cn1 ZINC001132242653 829392795 /nfs/dbraw/zinc/39/27/95/829392795.db2.gz XFHRVSPTYYAHAJ-SNVBAGLBSA-N -1 1 332.364 -0.335 20 0 EBADMM CCc1nc([C@H](C)NCCNC(=O)c2c[n-]n3c2nccc3=O)n[nH]1 ZINC001134942104 829876547 /nfs/dbraw/zinc/87/65/47/829876547.db2.gz ZJSPZKODEOVASH-VIFPVBQESA-N -1 1 344.379 -0.216 20 0 EBADMM C[C@H](C[C@H](C)NCc1cnn(C)n1)NC(=O)c1cnc([O-])n(C)c1=O ZINC001135165870 829912401 /nfs/dbraw/zinc/91/24/01/829912401.db2.gz IRVCNRHJOOVLRH-VHSXEESVSA-N -1 1 349.395 -0.699 20 0 EBADMM Cn1cc(C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])n(C)c1=O ZINC001137775468 830095137 /nfs/dbraw/zinc/09/51/37/830095137.db2.gz ZLPQANVADQAGKM-UHFFFAOYSA-N -1 1 326.334 -0.671 20 0 EBADMM O=C(Cn1nccn1)NCC[N-]C(=O)C(F)(F)Br ZINC001142198020 830125162 /nfs/dbraw/zinc/12/51/62/830125162.db2.gz HNJQTUDECXHNLQ-UHFFFAOYSA-N -1 1 326.101 -0.502 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)C1CC(F)C1 ZINC001142580158 830132743 /nfs/dbraw/zinc/13/27/43/830132743.db2.gz DYMFBFYGHITBPI-AMUVOQDHSA-N -1 1 326.328 -0.224 20 0 EBADMM CC(C)S(=O)(=O)CC(=O)N[C@@H](C)C[C@@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001146440400 830200267 /nfs/dbraw/zinc/20/02/67/830200267.db2.gz GGBYEONORATNNN-ZJUUUORDSA-N -1 1 347.441 -0.294 20 0 EBADMM CCc1noc([C@@H](C)NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001147725228 830402590 /nfs/dbraw/zinc/40/25/90/830402590.db2.gz CABIRSKFWBCBEU-MRVPVSSYSA-N -1 1 346.351 -0.556 20 0 EBADMM CC[C@@H](OC)C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149349911 830646833 /nfs/dbraw/zinc/64/68/33/830646833.db2.gz UAKZBLFSAYJCFL-VXGBXAGGSA-N -1 1 341.412 -0.760 20 0 EBADMM CCOCCC(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCCO1 ZINC001149356788 830648887 /nfs/dbraw/zinc/64/88/87/830648887.db2.gz UEIKMROWADMJDE-GFCCVEGCSA-N -1 1 341.412 -0.758 20 0 EBADMM CCSCCC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1OC ZINC001211929550 837496224 /nfs/dbraw/zinc/49/62/24/837496224.db2.gz LTJRMWDLAKPRCF-GHMZBOCLSA-N -1 1 343.453 -0.433 20 0 EBADMM COCCC1(NC(=O)COC)CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001150841042 830996585 /nfs/dbraw/zinc/99/65/85/830996585.db2.gz BOUIDJONICDKPG-UHFFFAOYSA-N -1 1 341.412 -0.758 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)/C=C(/C)C1CC1 ZINC001211951511 837503735 /nfs/dbraw/zinc/50/37/35/837503735.db2.gz HNTQGXZXKWXHMV-PIFJCSEESA-N -1 1 335.408 -0.220 20 0 EBADMM CCCC(=O)N1CCCC[C@@H]1C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001152110718 831132661 /nfs/dbraw/zinc/13/26/61/831132661.db2.gz PCUMUWQLZMGSET-LLVKDONJSA-N -1 1 338.412 -0.103 20 0 EBADMM CCC[C@@H](C)N1C[C@H](C(=O)NCCNCc2n[nH]c(=O)[n-]2)CC1=O ZINC001152245360 831145101 /nfs/dbraw/zinc/14/51/01/831145101.db2.gz FCFVDPKQCKOTTN-GHMZBOCLSA-N -1 1 338.412 -0.247 20 0 EBADMM CCN(Cc1n[nH]c(C)n1)[C@H](C)CNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001154178433 831315779 /nfs/dbraw/zinc/31/57/79/831315779.db2.gz IYGUZNOVICJOSB-SSDOTTSWSA-N -1 1 336.356 -0.650 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)NCc1nnc2c(=O)[nH]ccn12 ZINC001155700251 831423316 /nfs/dbraw/zinc/42/33/16/831423316.db2.gz FYFGJVFKBJYSCB-UHFFFAOYSA-N -1 1 333.333 -0.560 20 0 EBADMM CO[C@@H]1CN(CCOCCO)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001212156516 837559939 /nfs/dbraw/zinc/55/99/39/837559939.db2.gz QBPOTDSAWDGILH-DGCLKSJQSA-N -1 1 325.365 -0.775 20 0 EBADMM CCOC(=O)[C@@H](N)Cc1cn(-c2nc(C)cc3c2C(=O)[N-]C3=O)cn1 ZINC001163416925 832114147 /nfs/dbraw/zinc/11/41/47/832114147.db2.gz ZWSCEVAMZMQLPO-NSHDSACASA-N -1 1 343.343 -0.108 20 0 EBADMM O=C(CCn1cncn1)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001163448299 832116175 /nfs/dbraw/zinc/11/61/75/832116175.db2.gz VTMSIZSCYNKTIK-UHFFFAOYSA-N -1 1 334.384 -0.432 20 0 EBADMM COC(=O)NCC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001163615557 832124334 /nfs/dbraw/zinc/12/43/34/832124334.db2.gz WMHFQIOSEWGQGC-UHFFFAOYSA-N -1 1 326.357 -0.758 20 0 EBADMM CCNC(=O)CCC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001163777137 832137126 /nfs/dbraw/zinc/13/71/26/832137126.db2.gz GFSCAZFXNOEMQS-UHFFFAOYSA-N -1 1 338.412 -0.197 20 0 EBADMM C[C@@H](NCCCNC(=O)c1cnc([O-])n(C)c1=O)c1nncn1C ZINC001163781324 832137774 /nfs/dbraw/zinc/13/77/74/832137774.db2.gz DGHVHQQMRJQNHM-SECBINFHSA-N -1 1 335.368 -0.915 20 0 EBADMM CCn1c(N)c(Nc2ccc(-c3nn[n-]n3)nc2)c(=O)n(CC)c1=O ZINC001164470888 832189527 /nfs/dbraw/zinc/18/95/27/832189527.db2.gz OSWVLHXMDVEOLF-UHFFFAOYSA-N -1 1 343.351 -0.049 20 0 EBADMM Cn1ccc(CC(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)n1 ZINC001164501912 832192247 /nfs/dbraw/zinc/19/22/47/832192247.db2.gz XGZQNNSKTPEPDK-UHFFFAOYSA-N -1 1 333.396 -0.137 20 0 EBADMM O=C(CO[C@@H]1CCOC1)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001164519229 832193109 /nfs/dbraw/zinc/19/31/09/832193109.db2.gz BECWMXVVYFCQFE-LLVKDONJSA-N -1 1 339.396 -0.308 20 0 EBADMM NC(=O)[C@@H]1CN(C(F)(F)c2cc(Cl)nnc2C(=O)[O-])CCN1 ZINC001165071487 832204876 /nfs/dbraw/zinc/20/48/76/832204876.db2.gz HENRYTWRHGZTFS-LURJTMIESA-N -1 1 335.698 -0.363 20 0 EBADMM O=C(CCCn1ccnn1)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001165420942 832218872 /nfs/dbraw/zinc/21/88/72/832218872.db2.gz HNCJPXMVBNOXII-UHFFFAOYSA-N -1 1 348.411 -0.042 20 0 EBADMM O=c1nc(N[C@H]2CCN(c3ccc4nncn4n3)C2)nc2[nH][n-]cc1-2 ZINC001351365744 832231491 /nfs/dbraw/zinc/23/14/91/832231491.db2.gz XPXGDWLHDSTIBE-QMMMGPOBSA-N -1 1 338.335 -0.440 20 0 EBADMM CS(=O)(=O)CCC(=O)NCCC1(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001165767314 832235841 /nfs/dbraw/zinc/23/58/41/832235841.db2.gz HUNKSHDLJBLGIG-UHFFFAOYSA-N -1 1 345.425 -0.679 20 0 EBADMM COc1cc(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)nn1C ZINC001166088758 832247314 /nfs/dbraw/zinc/24/73/14/832247314.db2.gz LOGOCNCUZCESDB-UHFFFAOYSA-N -1 1 349.395 -0.058 20 0 EBADMM C[C@@]1(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)CCNC1=O ZINC001167200856 832317349 /nfs/dbraw/zinc/31/73/49/832317349.db2.gz NCCHANXWPQXFJS-CQSZACIVSA-N -1 1 336.396 -0.587 20 0 EBADMM CNC(=O)C1(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)CC1 ZINC001167456109 832342170 /nfs/dbraw/zinc/34/21/70/832342170.db2.gz RZHNJHGDADBJBM-UHFFFAOYSA-N -1 1 336.396 -0.587 20 0 EBADMM NCc1nnnn1-c1ccc(S(=O)(=O)[N-]c2ccccn2)cn1 ZINC001168920978 832440970 /nfs/dbraw/zinc/44/09/70/832440970.db2.gz NXYGDIRXGNCUML-UHFFFAOYSA-N -1 1 332.349 -0.288 20 0 EBADMM Cc1cnc(S(C)(=O)=O)nc1Nc1[nH]c(=O)nc2[n-]c(=O)[nH]c21 ZINC001170217083 832493317 /nfs/dbraw/zinc/49/33/17/832493317.db2.gz KLZUONHJVMNIIT-UHFFFAOYSA-N -1 1 337.321 -0.039 20 0 EBADMM CCCCCS(=O)(=O)CC(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC001170375306 832502989 /nfs/dbraw/zinc/50/29/89/832502989.db2.gz JDFCNUAMNSKPFO-JTQLQIEISA-N -1 1 331.398 -0.295 20 0 EBADMM Cc1nc(C(=O)N(C)CCNC(=O)CCn2cc[n-]c(=O)c2=O)co1 ZINC001355753829 832581110 /nfs/dbraw/zinc/58/11/10/832581110.db2.gz XYOQLSBRUCXFSN-UHFFFAOYSA-N -1 1 349.347 -0.888 20 0 EBADMM Cc1cocc1C(=O)N(C)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001355752400 832581242 /nfs/dbraw/zinc/58/12/42/832581242.db2.gz QGQLHKOSNNPNCF-UHFFFAOYSA-N -1 1 348.359 -0.283 20 0 EBADMM CN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)CC1(C)CC1 ZINC001355753766 832581372 /nfs/dbraw/zinc/58/13/72/832581372.db2.gz XIHWYUOREPONNT-UHFFFAOYSA-N -1 1 336.392 -0.309 20 0 EBADMM CN(CCNC(=O)C1(C)CC=CC1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001355875419 832589484 /nfs/dbraw/zinc/58/94/84/832589484.db2.gz ATLBKLLDRXKREB-UHFFFAOYSA-N -1 1 348.403 -0.142 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC2(C[C@@H](C(=O)NC3CCC3)CO2)CC1 ZINC001176841047 832694389 /nfs/dbraw/zinc/69/43/89/832694389.db2.gz PPUGQKJPOWIQPN-LLVKDONJSA-N -1 1 348.407 -0.191 20 0 EBADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)Cc1ccc(-n2cnnn2)cc1 ZINC001176862083 832696197 /nfs/dbraw/zinc/69/61/97/832696197.db2.gz CBHONBKMFQSXND-UHFFFAOYSA-N -1 1 328.292 -0.373 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)CCn1cccc1 ZINC001176940833 832714520 /nfs/dbraw/zinc/71/45/20/832714520.db2.gz SWULISWUDRSQKP-ZDUSSCGKSA-N -1 1 347.375 -0.080 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H]3CCN(C(=O)CCCF)C[C@@H]32)nc1=O ZINC001177072548 832747130 /nfs/dbraw/zinc/74/71/30/832747130.db2.gz HZQMALCAMCXANZ-RYUDHWBXSA-N -1 1 341.387 -0.340 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCc1cc(=O)[nH]c(C2CC2)n1 ZINC001177644478 832798039 /nfs/dbraw/zinc/79/80/39/832798039.db2.gz BMDUCRWFNUGFIY-UHFFFAOYSA-N -1 1 331.332 -0.384 20 0 EBADMM O=C(Cn1nn[n-]c1=S)Nc1nc[nH]c(=O)c1Br ZINC001177736600 832800241 /nfs/dbraw/zinc/80/02/41/832800241.db2.gz WGBPBPLVZDMSSU-UHFFFAOYSA-N -1 1 332.143 -0.141 20 0 EBADMM C[C@H](C(=O)NCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-])n1ccnc1 ZINC001177929959 832810402 /nfs/dbraw/zinc/81/04/02/832810402.db2.gz WDSZPJFVLCNXAT-PWSUYJOCSA-N -1 1 348.363 -0.514 20 0 EBADMM C[C@@H]1CC[C@H](C(=O)NCCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])O1 ZINC001178842500 832924529 /nfs/dbraw/zinc/92/45/29/832924529.db2.gz GEFPZAUSBGQTOV-ADEWGFFLSA-N -1 1 338.364 -0.405 20 0 EBADMM CO[C@H](C)CCC(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001187613515 833946274 /nfs/dbraw/zinc/94/62/74/833946274.db2.gz LCEFWYQEKBHZAT-FRRDWIJNSA-N -1 1 337.424 -0.044 20 0 EBADMM CS(=O)(=O)N1CCC[C@H]([N-]S(=O)(=O)c2nccs2)C1 ZINC001187913259 833981253 /nfs/dbraw/zinc/98/12/53/833981253.db2.gz VGBREMNGGCQQOY-QMMMGPOBSA-N -1 1 325.437 -0.155 20 0 EBADMM CC(C)C[C@H]([N-]S(=O)(=O)c1nccs1)C(=O)NCC(N)=O ZINC001187923274 833984185 /nfs/dbraw/zinc/98/41/85/833984185.db2.gz MLXWWGNSVYVYPN-QMMMGPOBSA-N -1 1 334.423 -0.562 20 0 EBADMM CCO[C@H](C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21)C1CC1 ZINC001187938319 833986478 /nfs/dbraw/zinc/98/64/78/833986478.db2.gz NQISASPFUVPQES-GUTXKFCHSA-N -1 1 349.435 -0.044 20 0 EBADMM C[C@@H](NC(=O)C1CCC1)C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001188431909 834048636 /nfs/dbraw/zinc/04/86/36/834048636.db2.gz RAGHWKAVPDVDAP-MWLCHTKSSA-N -1 1 336.396 -0.494 20 0 EBADMM CCC[C@@H](OC)C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001188768146 834089539 /nfs/dbraw/zinc/08/95/39/834089539.db2.gz KDKXANVJXDQVGC-YNEHKIRRSA-N -1 1 337.424 -0.044 20 0 EBADMM CCCCOCC(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001189480024 834160216 /nfs/dbraw/zinc/16/02/16/834160216.db2.gz JSNUUHZYSAUPME-LBPRGKRZSA-N -1 1 325.413 -0.042 20 0 EBADMM CO[C@H](C)CCC(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001189798141 834193688 /nfs/dbraw/zinc/19/36/88/834193688.db2.gz QSKCRTYBKCXHIK-NEPJUHHUSA-N -1 1 325.413 -0.044 20 0 EBADMM CCc1cc(C(=O)NCCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])no1 ZINC001190007126 834221843 /nfs/dbraw/zinc/22/18/43/834221843.db2.gz NNGQLLCYUMCDJD-LBPRGKRZSA-N -1 1 349.347 -0.108 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)/C=C\c3ccco3)C2)nc1=O ZINC001190709598 834324401 /nfs/dbraw/zinc/32/44/01/834324401.db2.gz SOSNRTKWKHEXLU-XLMCQVRKSA-N -1 1 333.348 -0.924 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C1(CF)CC1 ZINC001213425936 837770777 /nfs/dbraw/zinc/77/07/77/837770777.db2.gz NHDCHZNPJPMYSB-NXEZZACHSA-N -1 1 327.360 -0.827 20 0 EBADMM Cc1cc(S(=O)(=O)Nc2c([O-])[nH]c(=O)[nH]c2=S)cnc1Cl ZINC001192592392 834696396 /nfs/dbraw/zinc/69/63/96/834696396.db2.gz PAKALEAPFGKPDX-ZCFIWIBFSA-N -1 1 348.793 -0.143 20 0 EBADMM CNC(=O)[C@@H](C)N(C)[C@@H]1CCN(C(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001192713977 834721070 /nfs/dbraw/zinc/72/10/70/834721070.db2.gz YBRXPBLOTNKVNM-GHMZBOCLSA-N -1 1 346.391 -0.697 20 0 EBADMM COCCOCCC(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001194420164 835100095 /nfs/dbraw/zinc/10/00/95/835100095.db2.gz OGRSROFSOKERNH-LBPRGKRZSA-N -1 1 341.412 -0.806 20 0 EBADMM C[C@H](CC(F)F)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001194789953 835151610 /nfs/dbraw/zinc/15/16/10/835151610.db2.gz SWXMYEJZOSACHU-IWSPIJDZSA-N -1 1 333.339 -0.939 20 0 EBADMM CCOC(=O)c1ccncc1S(=O)(=O)NCC[P@](=O)([O-])O ZINC001195654996 835266439 /nfs/dbraw/zinc/26/64/39/835266439.db2.gz VIKOONYTAZIWQE-UHFFFAOYSA-N -1 1 338.278 -0.286 20 0 EBADMM O=C(c1c[nH]c(=S)[n-]c1=O)N1CCN(C2CCOCC2)C(=O)C1 ZINC001196012845 835327156 /nfs/dbraw/zinc/32/71/56/835327156.db2.gz BYDSJVUCNKDHJS-UHFFFAOYSA-N -1 1 338.389 -0.066 20 0 EBADMM COCCC1(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)CC1 ZINC001196099694 835347895 /nfs/dbraw/zinc/34/78/95/835347895.db2.gz IJVIDFJXQZENGM-UHFFFAOYSA-N -1 1 337.424 -0.041 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2[nH]nc3c2CC[C@H]3C)C(=O)N1S(=O)(=O)[O-] ZINC001198452543 835685023 /nfs/dbraw/zinc/68/50/23/835685023.db2.gz YQRXUUJMWFCFDY-JHEQGTHGSA-N -1 1 328.350 -0.409 20 0 EBADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)c1cnc(=O)n(C2CCOCC2)c1 ZINC001198886190 835738125 /nfs/dbraw/zinc/73/81/25/835738125.db2.gz HUJHBDIFXNJDHW-UHFFFAOYSA-N -1 1 348.319 -0.370 20 0 EBADMM CO[C@@H](C)CC(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001200062659 835902695 /nfs/dbraw/zinc/90/26/95/835902695.db2.gz NRHZULRNQCKIML-QWRGUYRKSA-N -1 1 327.385 -0.358 20 0 EBADMM COC[C@@H](C)C(=O)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001200122490 835913336 /nfs/dbraw/zinc/91/33/36/835913336.db2.gz FFGVLSZURDEVQD-GHMZBOCLSA-N -1 1 327.385 -0.500 20 0 EBADMM O=C(COCC(F)F)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001201071967 836088877 /nfs/dbraw/zinc/08/88/77/836088877.db2.gz LUIIXYWTAIIIJU-VIFPVBQESA-N -1 1 349.338 -0.501 20 0 EBADMM COCC[C@@H](C)C(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001202097643 836252914 /nfs/dbraw/zinc/25/29/14/836252914.db2.gz KCLLREJDYXCXAR-NEPJUHHUSA-N -1 1 341.412 -0.110 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202535248 836312669 /nfs/dbraw/zinc/31/26/69/836312669.db2.gz ZNKJQLLMASHYIE-QWRGUYRKSA-N -1 1 336.396 -0.494 20 0 EBADMM CCC(=O)NCC(=O)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001202624494 836325383 /nfs/dbraw/zinc/32/53/83/836325383.db2.gz CYVFYBQUKGBEEU-UWVGGRQHSA-N -1 1 336.396 -0.447 20 0 EBADMM CN(C)C(=O)CC(=O)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001203475416 836435254 /nfs/dbraw/zinc/43/52/54/836435254.db2.gz RSGVAEKOCNYENE-UWVGGRQHSA-N -1 1 336.396 -0.494 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C[C@](C)(O)C1CC1 ZINC001206883664 836690501 /nfs/dbraw/zinc/69/05/01/836690501.db2.gz LHGHHYYBRSRMRL-SIVJFFJCSA-N -1 1 337.424 -0.404 20 0 EBADMM CO[C@H](C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C)C(C)C ZINC001208500307 837066548 /nfs/dbraw/zinc/06/65/48/837066548.db2.gz MVJOKYMJXXLLCM-WZRBSPASSA-N -1 1 325.413 -0.284 20 0 EBADMM C[C@H](CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C)n1ccnc1 ZINC001208985726 837128083 /nfs/dbraw/zinc/12/80/83/837128083.db2.gz YFNIVMZCMAIUIV-JHJVBQTASA-N -1 1 347.423 -0.107 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CCCCC(N)=O ZINC001210166000 837247486 /nfs/dbraw/zinc/24/74/86/837247486.db2.gz PWPRLBKGTGNTLN-GHMZBOCLSA-N -1 1 338.412 -0.909 20 0 EBADMM CCC[C@@H](NC(N)=O)C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001210853131 837338667 /nfs/dbraw/zinc/33/86/67/837338667.db2.gz XUUZQQLONKKTAW-VHSXEESVSA-N -1 1 339.400 -0.572 20 0 EBADMM Cc1cc(C)n2nc(C(=O)[N-]c3ncn(CC(=O)N(C)C)n3)nc2n1 ZINC001318824825 838037649 /nfs/dbraw/zinc/03/76/49/838037649.db2.gz HBCGRWKZOCQVPQ-UHFFFAOYSA-N -1 1 343.351 -0.327 20 0 EBADMM COCCOCc1cccc(NC(=O)C(=O)NCc2nn[n-]n2)c1 ZINC001318993569 838057514 /nfs/dbraw/zinc/05/75/14/838057514.db2.gz FBUVWKJUDYXNNV-UHFFFAOYSA-N -1 1 334.336 -0.382 20 0 EBADMM C[S@@](=O)c1ccc(CNC(=O)CCn2cc[n-]c(=O)c2=O)cc1 ZINC001318998910 838058987 /nfs/dbraw/zinc/05/89/87/838058987.db2.gz UQARMZKUPKZGEE-HSZRJFAPSA-N -1 1 335.385 -0.020 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)[C@]3(C)C=CCC3)C2)nc1=O ZINC001319318303 838108545 /nfs/dbraw/zinc/10/85/45/838108545.db2.gz NMOZFLQLACWJFG-MLGOLLRUSA-N -1 1 335.408 -0.218 20 0 EBADMM CN(CCN(C)C(=O)CCn1cc[n-]c(=O)c1=O)Cc1ccn(C)n1 ZINC001320062415 838220976 /nfs/dbraw/zinc/22/09/76/838220976.db2.gz UOUWPEUUWZEMAH-UHFFFAOYSA-N -1 1 348.407 -0.749 20 0 EBADMM COc1ccc(NC(=O)C(=O)N(C)CCO)cc1[N-]S(C)(=O)=O ZINC001320534153 838785521 /nfs/dbraw/zinc/78/55/21/838785521.db2.gz COJGYXNVEAVOAT-UHFFFAOYSA-N -1 1 345.377 -0.544 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)OCCCNS(C)(=O)=O)co1 ZINC001320656354 838813346 /nfs/dbraw/zinc/81/33/46/838813346.db2.gz AYJGLJJQSBUKGX-UHFFFAOYSA-N -1 1 340.379 -0.716 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1ccc(C(N)=O)cc1 ZINC001320922635 838864273 /nfs/dbraw/zinc/86/42/73/838864273.db2.gz VEDOXWSBPXPAIB-UHFFFAOYSA-N -1 1 332.364 -0.139 20 0 EBADMM COCc1nnc(S(=O)(=O)Cc2nnnn2Cc2ccccc2)[n-]1 ZINC001322588179 839210353 /nfs/dbraw/zinc/21/03/53/839210353.db2.gz JUHDCZWCDCDCEL-UHFFFAOYSA-N -1 1 349.376 -0.040 20 0 EBADMM COCc1nc(S(=O)(=O)Cc2nnnn2Cc2ccccc2)n[n-]1 ZINC001322588179 839210364 /nfs/dbraw/zinc/21/03/64/839210364.db2.gz JUHDCZWCDCDCEL-UHFFFAOYSA-N -1 1 349.376 -0.040 20 0 EBADMM CCO[C@H]1COCC[C@H]1NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001323004685 839317924 /nfs/dbraw/zinc/31/79/24/839317924.db2.gz JZLVGBSDSZKGDF-OLZOCXBDSA-N -1 1 347.375 -0.410 20 0 EBADMM O=C(N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)c1cccc2ncnn21 ZINC001323032108 839328451 /nfs/dbraw/zinc/32/84/51/839328451.db2.gz GYHWLKSGQDATSL-SNVBAGLBSA-N -1 1 342.363 -0.149 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@H]1CCN1Cc1ccccn1 ZINC001323317677 839411340 /nfs/dbraw/zinc/41/13/40/839411340.db2.gz IGLXUUJJQCJFFL-CQSZACIVSA-N -1 1 343.387 -0.288 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCC[C@@H](CCO)C3)ccnc1-2 ZINC001323664820 839495830 /nfs/dbraw/zinc/49/58/30/839495830.db2.gz OSZFYRBZSNJMJQ-NSHDSACASA-N -1 1 331.376 -0.099 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CCC[C@H](CCO)C3)ccnc1-2 ZINC001323664819 839496022 /nfs/dbraw/zinc/49/60/22/839496022.db2.gz OSZFYRBZSNJMJQ-LLVKDONJSA-N -1 1 331.376 -0.099 20 0 EBADMM CC(=O)OC1CCN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)CC1 ZINC001323672421 839496946 /nfs/dbraw/zinc/49/69/46/839496946.db2.gz AKXTZWGFPGUERT-UHFFFAOYSA-N -1 1 345.359 -0.166 20 0 EBADMM CCOCCS(=O)(=O)[N-]Cc1nc(Cc2cnn(C)c2)no1 ZINC001323905546 839555593 /nfs/dbraw/zinc/55/55/93/839555593.db2.gz SOWLZJIXLZMQJC-UHFFFAOYSA-N -1 1 329.382 -0.150 20 0 EBADMM O=C(CCOC[C@H]1CCCO1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001324332113 839631604 /nfs/dbraw/zinc/63/16/04/839631604.db2.gz QICLPFVRCBOYJY-LLVKDONJSA-N -1 1 325.369 -0.405 20 0 EBADMM O=C(N[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CCCC1)[C@H]1CCNC1=O ZINC001324495968 839665899 /nfs/dbraw/zinc/66/58/99/839665899.db2.gz RXGZIKNBLPTKAZ-QWRGUYRKSA-N -1 1 336.396 -0.589 20 0 EBADMM CCN(C)C(=O)[C@H](C)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC001324877927 839729709 /nfs/dbraw/zinc/72/97/09/839729709.db2.gz IODHBMFBSPSPBG-VIFPVBQESA-N -1 1 332.364 -0.737 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CC[C@@](C)(C(N)=O)C3)ccnc1-2 ZINC001324893396 839732160 /nfs/dbraw/zinc/73/21/60/839732160.db2.gz PAOWNLIYAWVPLY-OAHLLOKOSA-N -1 1 330.348 -0.996 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CC[C@](C)(C(N)=O)C3)ccnc1-2 ZINC001324893392 839732216 /nfs/dbraw/zinc/73/22/16/839732216.db2.gz PAOWNLIYAWVPLY-HNNXBMFYSA-N -1 1 330.348 -0.996 20 0 EBADMM CCN1C(=S)N=NC1CNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001326241205 840069337 /nfs/dbraw/zinc/06/93/37/840069337.db2.gz DFVVLPBEHWVRJG-UHFFFAOYSA-N -1 1 326.338 -0.274 20 0 EBADMM CCS(=O)(=O)NCCCN1CCC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001326579112 840140312 /nfs/dbraw/zinc/14/03/12/840140312.db2.gz ZUSZZTJUYDDZLG-LLVKDONJSA-N -1 1 345.425 -0.057 20 0 EBADMM CCC(CC)(CNC(=O)C(=O)N[C@H]1CCN(CCOC)C1)C(=O)[O-] ZINC001327962490 840559050 /nfs/dbraw/zinc/55/90/50/840559050.db2.gz WBDYZZBXIBHMNM-LBPRGKRZSA-N -1 1 343.424 -0.170 20 0 EBADMM CCC(CC)(CNC(=O)C(=O)N1CCN2C[C@H](O)C[C@H]2C1)C(=O)[O-] ZINC001329949253 841071647 /nfs/dbraw/zinc/07/16/47/841071647.db2.gz IJVHOQUMZJOJHO-NWDGAFQWSA-N -1 1 341.408 -0.729 20 0 EBADMM Cc1cnc(C(=O)N(C)CCOCCNCc2nc(=O)n(C)[nH]2)cn1 ZINC001333190968 841817091 /nfs/dbraw/zinc/81/70/91/841817091.db2.gz IOWRPFOJJXDTBX-UHFFFAOYSA-N -1 1 349.395 -0.915 20 0 EBADMM C[C@@H](NC(=O)c1cc[nH]c1)[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001333916872 841966325 /nfs/dbraw/zinc/96/63/25/841966325.db2.gz QDUIRHTWQHODNE-WDEREUQCSA-N -1 1 347.375 -0.422 20 0 EBADMM CNC(=O)CC(=O)N1C[C@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC001335236962 842237056 /nfs/dbraw/zinc/23/70/56/842237056.db2.gz HOKKXFVGKGAYGS-NSHDSACASA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@@H](CN(C)C(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)[C@@H]1C[C@H]1C ZINC001337310250 842599993 /nfs/dbraw/zinc/59/99/93/842599993.db2.gz AMXOPAKHZBYUIK-GRYCIOLGSA-N -1 1 336.392 -0.454 20 0 EBADMM CNC(=O)[C@@H](CO)[N-]S(=O)(=O)c1cnc(Cl)cc1Cl ZINC001339679443 842933122 /nfs/dbraw/zinc/93/31/22/842933122.db2.gz DCDIKUSGTNGKAD-ZCFIWIBFSA-N -1 1 328.177 -0.226 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2C[C@@H](Cc3ccccc3)[C@H](O)C2)CNCCO1 ZINC001341453963 843090741 /nfs/dbraw/zinc/09/07/41/843090741.db2.gz LVPXVYBLBCGRFR-CPUCHLNUSA-N -1 1 334.372 -0.508 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2CCC[C@H](N3CCCCC3=O)C2)CNCCO1 ZINC001342110533 843141393 /nfs/dbraw/zinc/14/13/93/843141393.db2.gz HGVVPYYVGSUNQJ-LRDDRELGSA-N -1 1 339.392 -0.567 20 0 EBADMM Cn1[n-]c(COC(=O)c2cc(N)cc(S(C)(=O)=O)c2)nc1=O ZINC001342637217 843172742 /nfs/dbraw/zinc/17/27/42/843172742.db2.gz PBORKHXUPSWHOS-UHFFFAOYSA-N -1 1 326.334 -0.549 20 0 EBADMM Cn1c(-c2noc(=O)[n-]2)nnc1N1CCN(C(=O)c2ccco2)CC1 ZINC001344568236 843321500 /nfs/dbraw/zinc/32/15/00/843321500.db2.gz IAIDSBDLDXTTOF-UHFFFAOYSA-N -1 1 345.319 -0.286 20 0 EBADMM O=C([O-])[C@]1(C(=O)NC[C@@H]2CCCN2CC(F)(F)F)CNCCO1 ZINC001344892062 843342284 /nfs/dbraw/zinc/34/22/84/843342284.db2.gz YJNRUYNAQSUAHL-JOYOIKCWSA-N -1 1 339.314 -0.428 20 0 EBADMM O=C([N-]OC[C@H]1CCOC1)C(=O)NCCCCN1CCOCC1 ZINC001345033429 843358160 /nfs/dbraw/zinc/35/81/60/843358160.db2.gz HBXXANBXOOURKB-ZDUSSCGKSA-N -1 1 329.397 -0.701 20 0 EBADMM O=C([N-]OC[C@@H]1CCOC1)C(=O)NCCCCN1CCOCC1 ZINC001345033428 843358547 /nfs/dbraw/zinc/35/85/47/843358547.db2.gz HBXXANBXOOURKB-CYBMUJFWSA-N -1 1 329.397 -0.701 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NC[C@@H](O)c3ccco3)ccnc1-2 ZINC001346466556 843520332 /nfs/dbraw/zinc/52/03/32/843520332.db2.gz DMTGACOPQPHZBC-LLVKDONJSA-N -1 1 329.316 -0.277 20 0 EBADMM O=C([O-])[C@@]1(N2CCN(C(=O)/C=C/c3cnccn3)CC2)CCOC1 ZINC001348479726 843701498 /nfs/dbraw/zinc/70/14/98/843701498.db2.gz CRPLXIDPBPILKR-XGBNDESESA-N -1 1 332.360 -0.122 20 0 EBADMM CNC(=O)CCCC(=O)NCCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001348827585 843753403 /nfs/dbraw/zinc/75/34/03/843753403.db2.gz MTXLXQWRMHHUAQ-UHFFFAOYSA-N -1 1 349.391 -0.178 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCN(CC[S@](C)=O)CC1 ZINC001348974564 843781324 /nfs/dbraw/zinc/78/13/24/843781324.db2.gz CSYXEABDECJHTK-QFIPXVFZSA-N -1 1 344.462 -0.084 20 0 EBADMM O=C([O-])c1ccc(N2C(=O)C[C@H](NCCn3ncnn3)C2=O)cc1 ZINC001349675374 843926665 /nfs/dbraw/zinc/92/66/65/843926665.db2.gz VTJIMNQOOFOGIW-NSHDSACASA-N -1 1 330.304 -0.707 20 0 EBADMM O=C(C[C@@H]1CC(=O)NC1=O)NCCCNC(=O)c1ncccc1[O-] ZINC001349940420 843951304 /nfs/dbraw/zinc/95/13/04/843951304.db2.gz MKKSHPFSFIBZBU-SECBINFHSA-N -1 1 334.332 -0.924 20 0 EBADMM O=C([O-])Cc1ccccc1NC(=O)C(=O)NCC[N@@H+]1CC[C@H](O)C1 ZINC001602149294 971157458 /nfs/dbraw/zinc/15/74/58/971157458.db2.gz UWSFHDFAIJRFDF-LBPRGKRZSA-N -1 1 335.360 -0.565 20 0 EBADMM O=C([O-])Cc1ccccc1NC(=O)C(=O)NCCN1CC[C@H](O)C1 ZINC001602149294 971157462 /nfs/dbraw/zinc/15/74/62/971157462.db2.gz UWSFHDFAIJRFDF-LBPRGKRZSA-N -1 1 335.360 -0.565 20 0 EBADMM CC(=O)NC(C)(C)C(=O)N(C)CCNc1ccc(-c2nnn[n-]2)nn1 ZINC001573456403 945891857 /nfs/dbraw/zinc/89/18/57/945891857.db2.gz KGIGSQUVQNOVAX-UHFFFAOYSA-N -1 1 347.383 -0.558 20 0 EBADMM CC(=O)NC(C)(C)C(=O)N(C)CCNc1ccc(-c2nn[n-]n2)nn1 ZINC001573456403 945891873 /nfs/dbraw/zinc/89/18/73/945891873.db2.gz KGIGSQUVQNOVAX-UHFFFAOYSA-N -1 1 347.383 -0.558 20 0 EBADMM CC(=O)NC(C)(C)C(=O)NCCN(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001573463853 945960830 /nfs/dbraw/zinc/96/08/30/945960830.db2.gz CGYMIZZRDAAMTF-UHFFFAOYSA-N -1 1 347.383 -0.876 20 0 EBADMM CC(=O)NC(C)(C)C(=O)NCCN(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001573463853 945960834 /nfs/dbraw/zinc/96/08/34/945960834.db2.gz CGYMIZZRDAAMTF-UHFFFAOYSA-N -1 1 347.383 -0.876 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)CCNc1cncc(-c2nnn[n-]2)n1 ZINC001573467596 945981546 /nfs/dbraw/zinc/98/15/46/945981546.db2.gz FTTWWDNDRDMUFD-MRVPVSSYSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)CCNc1cncc(-c2nn[n-]n2)n1 ZINC001573467596 945981550 /nfs/dbraw/zinc/98/15/50/945981550.db2.gz FTTWWDNDRDMUFD-MRVPVSSYSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)CCNc1cncc(-c2nnn[n-]2)n1 ZINC001573467597 945982018 /nfs/dbraw/zinc/98/20/18/945982018.db2.gz FTTWWDNDRDMUFD-QMMMGPOBSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)CCNc1cncc(-c2nn[n-]n2)n1 ZINC001573467597 945982026 /nfs/dbraw/zinc/98/20/26/945982026.db2.gz FTTWWDNDRDMUFD-QMMMGPOBSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)CCN(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001573469224 946004328 /nfs/dbraw/zinc/00/43/28/946004328.db2.gz SZCRDXXMGPJSAR-SECBINFHSA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)CCN(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001573469224 946004343 /nfs/dbraw/zinc/00/43/43/946004343.db2.gz SZCRDXXMGPJSAR-SECBINFHSA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)CCN(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001573470028 946017994 /nfs/dbraw/zinc/01/79/94/946017994.db2.gz ZRDSXZOSCJCIIV-SECBINFHSA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@H](C)CNc1cncc(-c2nnn[n-]2)n1 ZINC001573475951 946109664 /nfs/dbraw/zinc/10/96/64/946109664.db2.gz BMEQJFAFUCYLPK-BDAKNGLRSA-N -1 1 347.383 -0.655 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@H](C)CNc1cncc(-c2nn[n-]n2)n1 ZINC001573475951 946109667 /nfs/dbraw/zinc/10/96/67/946109667.db2.gz BMEQJFAFUCYLPK-BDAKNGLRSA-N -1 1 347.383 -0.655 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@@H](C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001573475998 946110116 /nfs/dbraw/zinc/11/01/16/946110116.db2.gz BYBFTUIFXURERU-HTQZYQBOSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@@H](C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001573475998 946110121 /nfs/dbraw/zinc/11/01/21/946110121.db2.gz BYBFTUIFXURERU-HTQZYQBOSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@H](C)CCNc1ccc(-c2nnn[n-]2)nn1 ZINC001573476085 946111082 /nfs/dbraw/zinc/11/10/82/946111082.db2.gz YUOSMFHIIYSFJU-BDAKNGLRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@H](C)CCNc1ccc(-c2nn[n-]n2)nn1 ZINC001573476085 946111087 /nfs/dbraw/zinc/11/10/87/946111087.db2.gz YUOSMFHIIYSFJU-BDAKNGLRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001573477483 946136894 /nfs/dbraw/zinc/13/68/94/946136894.db2.gz REVCCIOXONCKBD-SFYZADRCSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NC[C@@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001573477483 946136897 /nfs/dbraw/zinc/13/68/97/946136897.db2.gz REVCCIOXONCKBD-SFYZADRCSA-N -1 1 333.356 -0.902 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NCCCN(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001573483995 946211571 /nfs/dbraw/zinc/21/15/71/946211571.db2.gz JBCHUGCQZYJVNB-VIFPVBQESA-N -1 1 347.383 -0.876 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@H]1C[C@@H](Nc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001573486694 946242651 /nfs/dbraw/zinc/24/26/51/946242651.db2.gz ILKKYJUFLSINSQ-UJNFCWOMSA-N -1 1 345.367 -0.760 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@H]1C[C@@H](Nc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001573486694 946242661 /nfs/dbraw/zinc/24/26/61/946242661.db2.gz ILKKYJUFLSINSQ-UJNFCWOMSA-N -1 1 345.367 -0.760 20 0 EBADMM CC(=O)N[C@]1(CO)CCCN(c2nc(C)cc(-c3nnn[n-]3)n2)C1 ZINC001573492725 946294318 /nfs/dbraw/zinc/29/43/18/946294318.db2.gz FFRLJGZDNSNNNC-CQSZACIVSA-N -1 1 332.368 -0.567 20 0 EBADMM CC(=O)N[C@]1(CO)CCCN(c2nc(C)cc(-c3nn[n-]n3)n2)C1 ZINC001573492725 946294330 /nfs/dbraw/zinc/29/43/30/946294330.db2.gz FFRLJGZDNSNNNC-CQSZACIVSA-N -1 1 332.368 -0.567 20 0 EBADMM CC(=O)N[C@@H]1CCN(S(=O)(=O)c2cccnc2-c2nn[n-]n2)C1 ZINC001573497239 946345642 /nfs/dbraw/zinc/34/56/42/946345642.db2.gz OMCKMGMTRVZQRY-SECBINFHSA-N -1 1 337.365 -0.839 20 0 EBADMM CC(=O)NCC(=O)N(C)[C@@H](C)CNc1nc(C)cc(-c2nnn[n-]2)n1 ZINC001573498049 946357503 /nfs/dbraw/zinc/35/75/03/946357503.db2.gz XLFFHSHGZWMPKN-VIFPVBQESA-N -1 1 347.383 -0.640 20 0 EBADMM CC(=O)NCC(=O)N(C)[C@@H](C)CNc1nc(C)cc(-c2nn[n-]n2)n1 ZINC001573498049 946357516 /nfs/dbraw/zinc/35/75/16/946357516.db2.gz XLFFHSHGZWMPKN-VIFPVBQESA-N -1 1 347.383 -0.640 20 0 EBADMM CC(=O)NCC(=O)N(C)C[C@H](C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001573501030 946380680 /nfs/dbraw/zinc/38/06/80/946380680.db2.gz NMRSHYCFICXUCV-QMMMGPOBSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)NCC(=O)N(C)C[C@H](C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001573501030 946380689 /nfs/dbraw/zinc/38/06/89/946380689.db2.gz NMRSHYCFICXUCV-QMMMGPOBSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)NCC(=O)N1CCC[C@H](Nc2cncc(-c3nnn[n-]3)n2)C1 ZINC001573508205 946453154 /nfs/dbraw/zinc/45/31/54/946453154.db2.gz YSJLTFZTBGKNFA-JTQLQIEISA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)NCC(=O)N1CCC[C@H](Nc2cncc(-c3nn[n-]n3)n2)C1 ZINC001573508205 946453157 /nfs/dbraw/zinc/45/31/57/946453157.db2.gz YSJLTFZTBGKNFA-JTQLQIEISA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)CN(C)c1nc(C)cc(-c2nnn[n-]2)n1 ZINC001573510207 946474781 /nfs/dbraw/zinc/47/47/81/946474781.db2.gz BQQLNNZAUXQDES-VIFPVBQESA-N -1 1 347.383 -0.958 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](C)CN(C)c1nc(C)cc(-c2nn[n-]n2)n1 ZINC001573510207 946474783 /nfs/dbraw/zinc/47/47/83/946474783.db2.gz BQQLNNZAUXQDES-VIFPVBQESA-N -1 1 347.383 -0.958 20 0 EBADMM CC(=O)NCC(=O)NC[C@H]1C[C@H](Nc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001573520085 946592469 /nfs/dbraw/zinc/59/24/69/946592469.db2.gz CGSMGNRJVBJVRD-MGCOHNPYSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)NC[C@H]1C[C@H](Nc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001573520085 946592481 /nfs/dbraw/zinc/59/24/81/946592481.db2.gz CGSMGNRJVBJVRD-MGCOHNPYSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@H](C(=O)N(C)C[C@H](O)CNc1cnc(-c2nnn[n-]2)cn1)C1CC1 ZINC001573596534 947429202 /nfs/dbraw/zinc/42/92/02/947429202.db2.gz KZCANUCFOVUHQW-GXSJLCMTSA-N -1 1 346.395 -0.066 20 0 EBADMM C[C@H](C(=O)N(C)C[C@H](O)CNc1cnc(-c2nn[n-]n2)cn1)C1CC1 ZINC001573596534 947429208 /nfs/dbraw/zinc/42/92/08/947429208.db2.gz KZCANUCFOVUHQW-GXSJLCMTSA-N -1 1 346.395 -0.066 20 0 EBADMM C[C@@H](C(=O)N(C)CCNc1ccnc(-c2nn[n-]n2)n1)n1cccn1 ZINC001573603224 947482959 /nfs/dbraw/zinc/48/29/59/947482959.db2.gz FFJZWOFNZGDVAW-JTQLQIEISA-N -1 1 342.367 -0.593 20 0 EBADMM C[C@H](C(=O)N1CC[C@H](c2nncn2C)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573624235 947694646 /nfs/dbraw/zinc/69/46/46/947694646.db2.gz JQSAFOMCLNRONL-BDAKNGLRSA-N -1 1 343.355 -0.836 20 0 EBADMM C[C@H](C(=O)N1CCC(n2ccnn2)CC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573625552 947702278 /nfs/dbraw/zinc/70/22/78/947702278.db2.gz OTESVVFKQGMMJQ-SECBINFHSA-N -1 1 343.355 -0.526 20 0 EBADMM C[C@H](C(=O)N1CCC[C@@H]1c1nncn1C)n1cnc(-c2nn[n-]n2)n1 ZINC001573628453 947756488 /nfs/dbraw/zinc/75/64/88/947756488.db2.gz KYAGLUVFVFMGOQ-RKDXNWHRSA-N -1 1 343.355 -0.489 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)[C@@H](C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001573399206 947989848 /nfs/dbraw/zinc/98/98/48/947989848.db2.gz SXDRPESALCAYJI-BDAKNGLRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@@H](C)[C@@H](C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001573399206 947989856 /nfs/dbraw/zinc/98/98/56/947989856.db2.gz SXDRPESALCAYJI-BDAKNGLRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@H](C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001573403392 948009790 /nfs/dbraw/zinc/00/97/90/948009790.db2.gz LUPPXXMNXWPKLV-QMMMGPOBSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@H](C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001573403392 948009799 /nfs/dbraw/zinc/00/97/99/948009799.db2.gz LUPPXXMNXWPKLV-QMMMGPOBSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001573404175 948017299 /nfs/dbraw/zinc/01/72/99/948017299.db2.gz RBAHZGNADPLZBT-QMMMGPOBSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001573404175 948017309 /nfs/dbraw/zinc/01/73/09/948017309.db2.gz RBAHZGNADPLZBT-QMMMGPOBSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001573404174 948017427 /nfs/dbraw/zinc/01/74/27/948017427.db2.gz RBAHZGNADPLZBT-MRVPVSSYSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001573404174 948017436 /nfs/dbraw/zinc/01/74/36/948017436.db2.gz RBAHZGNADPLZBT-MRVPVSSYSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N(C)CC(=O)NC1CN(Cc2ccc(-c3nn[n-]n3)s2)C1 ZINC001573404162 948017957 /nfs/dbraw/zinc/01/79/57/948017957.db2.gz QUDMDVSQBUVQJZ-UHFFFAOYSA-N -1 1 349.420 -0.293 20 0 EBADMM C[C@@H](C(=O)NC1(CCO)CCOCC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573660066 948018763 /nfs/dbraw/zinc/01/87/63/948018763.db2.gz XQMXSPQMTCRODL-VIFPVBQESA-N -1 1 336.356 -0.933 20 0 EBADMM C[C@@H](C(=O)N[C@@H]1CC(=O)N(CC2CC2)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573663330 948034658 /nfs/dbraw/zinc/03/46/58/948034658.db2.gz LMMKFJBFBZETCA-WCBMZHEXSA-N -1 1 345.367 -0.854 20 0 EBADMM C[C@@H](C(=O)N[C@H]1CCCn2nccc21)n1cnc(-c2nn[n-]n2)n1 ZINC001573666467 948087008 /nfs/dbraw/zinc/08/70/08/948087008.db2.gz TXZZRDWGKGRFPS-IUCAKERBSA-N -1 1 328.340 -0.133 20 0 EBADMM CC(=O)N1CCC(CNc2[nH]c(=O)n(C)c(=O)c2-c2nn[n-]n2)CC1 ZINC001573432361 948187299 /nfs/dbraw/zinc/18/72/99/948187299.db2.gz AUUUVFVTGWUYGX-UHFFFAOYSA-N -1 1 348.367 -0.664 20 0 EBADMM CC(=O)N1CCC(N(CCO)c2cncc(-c3nnn[n-]3)n2)CC1 ZINC001573432773 948193885 /nfs/dbraw/zinc/19/38/85/948193885.db2.gz GRVNFVSULOMVAW-UHFFFAOYSA-N -1 1 332.368 -0.534 20 0 EBADMM CC(=O)N1CCC(N(CCO)c2cncc(-c3nn[n-]n3)n2)CC1 ZINC001573432773 948193893 /nfs/dbraw/zinc/19/38/93/948193893.db2.gz GRVNFVSULOMVAW-UHFFFAOYSA-N -1 1 332.368 -0.534 20 0 EBADMM CC(=O)N1CC[C@]2(C1)CN(c1ccnc(-c3nn[n-]n3)n1)CCO2 ZINC001573439541 948247364 /nfs/dbraw/zinc/24/73/64/948247364.db2.gz MAAIZLHALVBTMU-AWEZNQCLSA-N -1 1 330.352 -0.516 20 0 EBADMM C[C@@H](C(=O)NCCNc1cncc(-c2nnn[n-]2)n1)[C@@H]1CCC(=O)N1 ZINC001573718948 948521361 /nfs/dbraw/zinc/52/13/61/948521361.db2.gz VEHUPNROXPOKGH-BDAKNGLRSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@@H](C(=O)NCCNc1cncc(-c2nn[n-]n2)n1)[C@@H]1CCC(=O)N1 ZINC001573718948 948521362 /nfs/dbraw/zinc/52/13/62/948521362.db2.gz VEHUPNROXPOKGH-BDAKNGLRSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@@H](C(=O)NCCOC1CCOCC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573719367 948524663 /nfs/dbraw/zinc/52/46/63/948524663.db2.gz VOZYIUPKXZYPLU-VIFPVBQESA-N -1 1 336.356 -0.669 20 0 EBADMM C[C@H](C(=O)NCCOC1CCOCC1)n1cnc(-c2nn[n-]n2)n1 ZINC001573719364 948525533 /nfs/dbraw/zinc/52/55/33/948525533.db2.gz VOZYIUPKXZYPLU-SECBINFHSA-N -1 1 336.356 -0.669 20 0 EBADMM C[C@H](C(=O)NCc1noc2c1COCC2)n1cnc(-c2nn[n-]n2)n1 ZINC001573730093 948575078 /nfs/dbraw/zinc/57/50/78/948575078.db2.gz MPQWECIYJHBTBV-SSDOTTSWSA-N -1 1 345.323 -0.604 20 0 EBADMM C[C@H](C(=O)NCc1nnc(C(C)(C)C)[nH]1)n1cnc(-c2nn[n-]n2)n1 ZINC001573730308 948578737 /nfs/dbraw/zinc/57/87/37/948578737.db2.gz NRTLEDZNJCYAJH-SSDOTTSWSA-N -1 1 345.371 -0.249 20 0 EBADMM C[C@@H](C(=O)NCc1cc(C2CC2)n(C)n1)n1cnc(-c2nn[n-]n2)n1 ZINC001573730529 948581269 /nfs/dbraw/zinc/58/12/69/948581269.db2.gz QLYPTHCGNBOWRK-QMMMGPOBSA-N -1 1 342.367 -0.054 20 0 EBADMM C[C@H](C(=O)NCc1ccc(C(N)=O)o1)n1cnc(-c2nn[n-]n2)n1 ZINC001573731412 948590629 /nfs/dbraw/zinc/59/06/29/948590629.db2.gz WQPCNZQZTAHFKC-ZCFIWIBFSA-N -1 1 331.296 -0.973 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CN(c2ccnc(-c3nn[n-]n3)n2)C[C@@H]1O)C1CC1 ZINC001573740134 948629363 /nfs/dbraw/zinc/62/93/63/948629363.db2.gz FIJVWXHLZKYBAN-GDPRMGEGSA-N -1 1 344.379 -0.632 20 0 EBADMM C[C@H](CNc1cncc(-c2nnn[n-]2)n1)NC(=O)[C@@H](C)n1cncn1 ZINC001573741458 948654745 /nfs/dbraw/zinc/65/47/45/948654745.db2.gz AOPQAIFZDIFCKU-RKDXNWHRSA-N -1 1 343.355 -0.574 20 0 EBADMM C[C@H](CNc1cncc(-c2nn[n-]n2)n1)NC(=O)[C@@H](C)n1cncn1 ZINC001573741458 948654748 /nfs/dbraw/zinc/65/47/48/948654748.db2.gz AOPQAIFZDIFCKU-RKDXNWHRSA-N -1 1 343.355 -0.574 20 0 EBADMM O=C(c1cocn1)N1CC[C@@H](Nc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001570926788 948697553 /nfs/dbraw/zinc/69/75/53/948697553.db2.gz FETXCSGSSNSWTO-MRVPVSSYSA-N -1 1 327.308 -0.029 20 0 EBADMM O=C(c1cocn1)N1CC[C@@H](Nc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001570926788 948697557 /nfs/dbraw/zinc/69/75/57/948697557.db2.gz FETXCSGSSNSWTO-MRVPVSSYSA-N -1 1 327.308 -0.029 20 0 EBADMM NC(=O)[C@]12CCC[C@H]1CN(C(=O)c1cccc(-c3nnn[n-]3)n1)C2 ZINC001570927504 948713200 /nfs/dbraw/zinc/71/32/00/948713200.db2.gz PZJKSYWZOLLCPG-VFZGTOFNSA-N -1 1 327.348 -0.011 20 0 EBADMM NC(=O)[C@]12CCC[C@H]1CN(C(=O)c1cccc(-c3nn[n-]n3)n1)C2 ZINC001570927504 948713204 /nfs/dbraw/zinc/71/32/04/948713204.db2.gz PZJKSYWZOLLCPG-VFZGTOFNSA-N -1 1 327.348 -0.011 20 0 EBADMM COC(=O)c1cnn(CC(=O)Nc2cccc(-c3nnn[n-]3)n2)c1 ZINC001570927612 948714911 /nfs/dbraw/zinc/71/49/11/948714911.db2.gz VCOPXDZDKMMQGU-UHFFFAOYSA-N -1 1 328.292 -0.117 20 0 EBADMM COC(=O)c1cnn(CC(=O)Nc2cccc(-c3nn[n-]n3)n2)c1 ZINC001570927612 948714915 /nfs/dbraw/zinc/71/49/15/948714915.db2.gz VCOPXDZDKMMQGU-UHFFFAOYSA-N -1 1 328.292 -0.117 20 0 EBADMM C[C@@H](CCNc1cnc(-c2nn[n-]n2)cn1)NC(=O)Cn1ccnc1 ZINC001574678290 948746267 /nfs/dbraw/zinc/74/62/67/948746267.db2.gz CFDINBIRCRJHNN-JTQLQIEISA-N -1 1 342.367 -0.140 20 0 EBADMM C[C@H](CCNc1cnc(-c2nnn[n-]2)cn1)NC(=O)Cc1cc[nH]n1 ZINC001574678683 948757385 /nfs/dbraw/zinc/75/73/85/948757385.db2.gz IAVQVRCUZRAGEU-SECBINFHSA-N -1 1 342.367 -0.071 20 0 EBADMM C[C@H](CCNc1cnc(-c2nn[n-]n2)cn1)NC(=O)Cc1cc[nH]n1 ZINC001574678683 948757394 /nfs/dbraw/zinc/75/73/94/948757394.db2.gz IAVQVRCUZRAGEU-SECBINFHSA-N -1 1 342.367 -0.071 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)[C@H]1CCNC1=O ZINC001574678979 948761929 /nfs/dbraw/zinc/76/19/29/948761929.db2.gz LFTMDRKQJMAKGG-IUCAKERBSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)[C@H]1CCNC1=O ZINC001574678979 948761939 /nfs/dbraw/zinc/76/19/39/948761939.db2.gz LFTMDRKQJMAKGG-IUCAKERBSA-N -1 1 345.367 -0.901 20 0 EBADMM CC[C@@H](CO)N1CCN(C(=O)c2cccn(CC(=O)[O-])c2=O)CC1 ZINC001589555482 948789995 /nfs/dbraw/zinc/78/99/95/948789995.db2.gz UKSFXOWTLLSNTP-LBPRGKRZSA-N -1 1 337.376 -0.538 20 0 EBADMM C[C@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)Cn1cccn1 ZINC001574682816 948830625 /nfs/dbraw/zinc/83/06/25/948830625.db2.gz RIJIUKSPBKZUPP-SNVBAGLBSA-N -1 1 342.367 -0.140 20 0 EBADMM C[C@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)Cn1cccn1 ZINC001574682816 948830631 /nfs/dbraw/zinc/83/06/31/948830631.db2.gz RIJIUKSPBKZUPP-SNVBAGLBSA-N -1 1 342.367 -0.140 20 0 EBADMM COC[C@@H](NC(=O)c1cc(-c2nn[nH]n2)ccc1F)c1nn[n-]n1 ZINC001570930987 948831610 /nfs/dbraw/zinc/83/16/10/948831610.db2.gz RKDXHHDHVOAGIW-SECBINFHSA-N -1 1 333.287 -0.364 20 0 EBADMM CCC(=O)N1CCO[C@@H]([C@H](C)Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570931427 948847387 /nfs/dbraw/zinc/84/73/87/948847387.db2.gz XVRBJHAVKHHNJC-VHSXEESVSA-N -1 1 332.368 -0.484 20 0 EBADMM CC(C)[C@@H](O)CCNS(=O)(=O)c1cccnc1-c1nn[n-]n1 ZINC001574082629 948858881 /nfs/dbraw/zinc/85/88/81/948858881.db2.gz GCDFPMLUMXJAJT-VIFPVBQESA-N -1 1 326.382 -0.053 20 0 EBADMM CC[C@@H](C)NC(=O)[C@H](C)Nc1[nH]c(=O)n(C)c(=O)c1-c1nn[n-]n1 ZINC001570933659 948903459 /nfs/dbraw/zinc/90/34/59/948903459.db2.gz BQSMEFGYMYVYPC-RQJHMYQMSA-N -1 1 336.356 -0.619 20 0 EBADMM CCN(C[C@@H](C)OC)C(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570933610 948903814 /nfs/dbraw/zinc/90/38/14/948903814.db2.gz BEBZWSVMPPDBTM-MRVPVSSYSA-N -1 1 337.340 -0.988 20 0 EBADMM COc1ncc(NC(=O)N[C@@H]2C[C@@H](O)[C@@H](O)C2)cc1-c1nn[n-]n1 ZINC001570933845 948914801 /nfs/dbraw/zinc/91/48/01/948914801.db2.gz UJRHILNPGPQFMI-JDBBZGQPSA-N -1 1 335.324 -0.724 20 0 EBADMM C[C@@H](CNC(=O)CCn1ccnn1)Nc1nccnc1-c1nnn[n-]1 ZINC001574923484 948951950 /nfs/dbraw/zinc/95/19/50/948951950.db2.gz NXYJPHOCYBIBTN-VIFPVBQESA-N -1 1 343.355 -0.745 20 0 EBADMM C[C@@H](CNC(=O)CCn1ccnn1)Nc1nccnc1-c1nn[n-]n1 ZINC001574923484 948951967 /nfs/dbraw/zinc/95/19/67/948951967.db2.gz NXYJPHOCYBIBTN-VIFPVBQESA-N -1 1 343.355 -0.745 20 0 EBADMM O=C1COc2ccccc2N1CCNc1ccnc(-c2nn[n-]n2)n1 ZINC001570935040 948965166 /nfs/dbraw/zinc/96/51/66/948965166.db2.gz LBNMUXAEBGHYQO-UHFFFAOYSA-N -1 1 338.331 -0.084 20 0 EBADMM CC(C)(CNS(C)(=O)=O)NC(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC001570935811 948997064 /nfs/dbraw/zinc/99/70/64/948997064.db2.gz GIEJXYSCINUISG-UHFFFAOYSA-N -1 1 339.381 -0.681 20 0 EBADMM CC(C)(CNS(C)(=O)=O)NC(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC001570935811 948997083 /nfs/dbraw/zinc/99/70/83/948997083.db2.gz GIEJXYSCINUISG-UHFFFAOYSA-N -1 1 339.381 -0.681 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)[C@H]1CCNC1=O ZINC001574690391 949002853 /nfs/dbraw/zinc/00/28/53/949002853.db2.gz OTENSODUWWCJTF-BDAKNGLRSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)[C@H]1CCNC1=O ZINC001574690391 949002865 /nfs/dbraw/zinc/00/28/65/949002865.db2.gz OTENSODUWWCJTF-BDAKNGLRSA-N -1 1 345.367 -0.901 20 0 EBADMM CCOC(=O)[C@@H]1CCN(C(=O)[C@@H](C)n2cnc(-c3nn[n-]n3)n2)C1 ZINC001570935955 949004499 /nfs/dbraw/zinc/00/44/99/949004499.db2.gz WQPXTJJNYDLTFK-RKDXNWHRSA-N -1 1 334.340 -0.569 20 0 EBADMM CC(C)CC[C@H](CO)NC(=O)NCCn1cnc(-c2nn[n-]n2)n1 ZINC001570936299 949024663 /nfs/dbraw/zinc/02/46/63/949024663.db2.gz VLKJCBLLRGDQEA-SNVBAGLBSA-N -1 1 337.388 -0.446 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)[C@H]1COCCO1 ZINC001574691937 949030993 /nfs/dbraw/zinc/03/09/93/949030993.db2.gz ZJDGVFMVIFAAAI-VHSXEESVSA-N -1 1 348.367 -0.621 20 0 EBADMM C[C@@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)[C@H]1COCCO1 ZINC001574691937 949031010 /nfs/dbraw/zinc/03/10/10/949031010.db2.gz ZJDGVFMVIFAAAI-VHSXEESVSA-N -1 1 348.367 -0.621 20 0 EBADMM O=C(c1ncccn1)N1CC[C@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001570936515 949038282 /nfs/dbraw/zinc/03/82/82/949038282.db2.gz XOVGIRVSLVAUDI-VIFPVBQESA-N -1 1 338.335 -0.227 20 0 EBADMM O=C(c1ncccn1)N1CC[C@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001570936515 949038297 /nfs/dbraw/zinc/03/82/97/949038297.db2.gz XOVGIRVSLVAUDI-VIFPVBQESA-N -1 1 338.335 -0.227 20 0 EBADMM C[C@@H](CN(C)C(=O)C(=O)C(C)(C)C)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574694107 949069672 /nfs/dbraw/zinc/06/96/72/949069672.db2.gz LAYCNUNKXBYCHL-VIFPVBQESA-N -1 1 346.395 -0.047 20 0 EBADMM O=C(Cc1ccco1)N[C@H]1C[C@@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570937888 949087274 /nfs/dbraw/zinc/08/72/74/949087274.db2.gz LCYNLQGMARCKAJ-AOOOYVTPSA-N -1 1 340.347 -0.027 20 0 EBADMM O=C(c1cccnc1)N1CC(n2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)C1 ZINC001570938853 949118433 /nfs/dbraw/zinc/11/84/33/949118433.db2.gz ZSIZVMRYOOQCNB-UHFFFAOYSA-N -1 1 340.303 -0.779 20 0 EBADMM C[C@@H]1CN(C(=O)c2cn[nH]n2)C[C@@H]1Nc1cncc(-c2nnn[n-]2)n1 ZINC001570939108 949124957 /nfs/dbraw/zinc/12/49/57/949124957.db2.gz AXQWPQFPRDCENP-XCBNKYQSSA-N -1 1 341.339 -0.653 20 0 EBADMM C[C@@H]1CN(C(=O)c2cn[nH]n2)C[C@@H]1Nc1cncc(-c2nn[n-]n2)n1 ZINC001570939108 949124973 /nfs/dbraw/zinc/12/49/73/949124973.db2.gz AXQWPQFPRDCENP-XCBNKYQSSA-N -1 1 341.339 -0.653 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nnn[n-]1)NC(=O)[C@H]1C[C@H]1C(N)=O ZINC001574696242 949125319 /nfs/dbraw/zinc/12/53/19/949125319.db2.gz OIHBVENTFOYHRO-HLTSFMKQSA-N -1 1 345.367 -0.915 20 0 EBADMM C[C@H](CCNc1nccnc1-c1nn[n-]n1)NC(=O)[C@H]1C[C@H]1C(N)=O ZINC001574696242 949125341 /nfs/dbraw/zinc/12/53/41/949125341.db2.gz OIHBVENTFOYHRO-HLTSFMKQSA-N -1 1 345.367 -0.915 20 0 EBADMM O=C(COc1cccc(-c2nn[nH]n2)c1)NC1(c2nn[n-]n2)CCC1 ZINC001570939659 949151631 /nfs/dbraw/zinc/15/16/31/949151631.db2.gz GRXMOPHNPZHZRB-UHFFFAOYSA-N -1 1 341.335 -0.046 20 0 EBADMM NC(=O)c1cn(C2CCN(c3ncncc3-c3nn[n-]n3)CC2)nn1 ZINC001570939853 949158252 /nfs/dbraw/zinc/15/82/52/949158252.db2.gz HOLKPJCLZUJZAM-UHFFFAOYSA-N -1 1 341.339 -0.811 20 0 EBADMM C[C@@H]1[C@H](Nc2ccnc(-c3nn[n-]n3)n2)CCN1C(=O)c1cnon1 ZINC001570939962 949160622 /nfs/dbraw/zinc/16/06/22/949160622.db2.gz SHTCBNZAAMMXDD-HTQZYQBOSA-N -1 1 342.323 -0.823 20 0 EBADMM O=C([C@H]1[C@@H]2COC[C@@H]21)N1CCN(c2nccnc2-c2nnn[n-]2)CC1 ZINC001570940599 949187356 /nfs/dbraw/zinc/18/73/56/949187356.db2.gz NZFISGBWRHLJLA-URLYPYJESA-N -1 1 342.363 -0.802 20 0 EBADMM O=C([C@H]1[C@@H]2COC[C@@H]21)N1CCN(c2nccnc2-c2nn[n-]n2)CC1 ZINC001570940599 949187367 /nfs/dbraw/zinc/18/73/67/949187367.db2.gz NZFISGBWRHLJLA-URLYPYJESA-N -1 1 342.363 -0.802 20 0 EBADMM Cc1ocnc1C(=O)N1CCN(c2ccnc(-c3nn[n-]n3)n2)CC1 ZINC001570940606 949189296 /nfs/dbraw/zinc/18/92/96/949189296.db2.gz NMBDAIZAUCJNCX-UHFFFAOYSA-N -1 1 341.335 -0.084 20 0 EBADMM COC(=O)[C@@H]1CN(S(=O)(=O)c2ccc(-c3nn[n-]n3)o2)C[C@H]1C ZINC001570940980 949198799 /nfs/dbraw/zinc/19/87/99/949198799.db2.gz QLUZLEQDUGSKQG-HTQZYQBOSA-N -1 1 341.349 -0.111 20 0 EBADMM Cc1ocnc1C(=O)N[C@H]1C[C@H](Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570941403 949221843 /nfs/dbraw/zinc/22/18/43/949221843.db2.gz VLOWQVKMFCBHFN-KYZUINATSA-N -1 1 341.335 -0.252 20 0 EBADMM Cc1nc(C(=O)N2CCN(c3nccnc3-c3nnn[n-]3)CC2)co1 ZINC001570941583 949232776 /nfs/dbraw/zinc/23/27/76/949232776.db2.gz XNGIGYSRROKTHH-UHFFFAOYSA-N -1 1 341.335 -0.084 20 0 EBADMM Cc1nc(C(=O)N2CCN(c3nccnc3-c3nn[n-]n3)CC2)co1 ZINC001570941583 949232793 /nfs/dbraw/zinc/23/27/93/949232793.db2.gz XNGIGYSRROKTHH-UHFFFAOYSA-N -1 1 341.335 -0.084 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H](C)CC(N)=O)Nc1nccnc1-c1nnn[n-]1 ZINC001574699458 949237659 /nfs/dbraw/zinc/23/76/59/949237659.db2.gz VBBGSFDEFPOFNW-DTWKUNHWSA-N -1 1 347.383 -0.573 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H](C)CC(N)=O)Nc1nccnc1-c1nn[n-]n1 ZINC001574699458 949237684 /nfs/dbraw/zinc/23/76/84/949237684.db2.gz VBBGSFDEFPOFNW-DTWKUNHWSA-N -1 1 347.383 -0.573 20 0 EBADMM CN(c1ccnc(-c2nn[n-]n2)n1)[C@@H]1CCN(C(=O)c2cocn2)C1 ZINC001570941805 949250262 /nfs/dbraw/zinc/25/02/62/949250262.db2.gz FUADWNKDBDFPJY-SECBINFHSA-N -1 1 341.335 -0.004 20 0 EBADMM Cn1nc(CNc2[nH]c(=O)n(C)c(=O)c2-c2nn[n-]n2)cc1C1CC1 ZINC001570941931 949254805 /nfs/dbraw/zinc/25/48/05/949254805.db2.gz CGLWPKXMDISHMW-UHFFFAOYSA-N -1 1 343.351 -0.111 20 0 EBADMM CC(C)(C)C(=O)C(=O)N[C@H]1CCN(c2nccnc2-c2nnn[n-]2)C1 ZINC001573793101 949281712 /nfs/dbraw/zinc/28/17/12/949281712.db2.gz LBCWMVMTKUPFLA-VIFPVBQESA-N -1 1 344.379 -0.033 20 0 EBADMM CC(C)(C)C(=O)C(=O)N[C@H]1CCN(c2nccnc2-c2nn[n-]n2)C1 ZINC001573793101 949281736 /nfs/dbraw/zinc/28/17/36/949281736.db2.gz LBCWMVMTKUPFLA-VIFPVBQESA-N -1 1 344.379 -0.033 20 0 EBADMM C[C@H](NC(=O)C1=COCCO1)[C@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575258255 949292378 /nfs/dbraw/zinc/29/23/78/949292378.db2.gz GEZXCFVPWYCDGF-IUCAKERBSA-N -1 1 346.351 -0.150 20 0 EBADMM C[C@H](NC(=O)C1=COCCO1)[C@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575258255 949292399 /nfs/dbraw/zinc/29/23/99/949292399.db2.gz GEZXCFVPWYCDGF-IUCAKERBSA-N -1 1 346.351 -0.150 20 0 EBADMM C[C@H](CNC(=O)Cc1ccon1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001574941168 949293129 /nfs/dbraw/zinc/29/31/29/949293129.db2.gz BHRHYBVJYMZLGW-SECBINFHSA-N -1 1 343.351 -0.172 20 0 EBADMM C[C@H](CNC(=O)Cc1ccon1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001574941168 949293155 /nfs/dbraw/zinc/29/31/55/949293155.db2.gz BHRHYBVJYMZLGW-SECBINFHSA-N -1 1 343.351 -0.172 20 0 EBADMM CC(C)n1cc(CNS(=O)(=O)c2cccnc2-c2nn[n-]n2)nn1 ZINC001574455128 949301515 /nfs/dbraw/zinc/30/15/15/949301515.db2.gz LWHHGSNOQNNDMC-UHFFFAOYSA-N -1 1 349.380 -0.087 20 0 EBADMM CC(C)(C)C(=O)N(CCO)CCNc1cnc(-c2nnn[n-]2)cn1 ZINC001573793776 949304563 /nfs/dbraw/zinc/30/45/63/949304563.db2.gz ZDEKSQNYQGVBKK-UHFFFAOYSA-N -1 1 334.384 -0.064 20 0 EBADMM CC(C)(C)C(=O)N(CCO)CCNc1cnc(-c2nn[n-]n2)cn1 ZINC001573793776 949304584 /nfs/dbraw/zinc/30/45/84/949304584.db2.gz ZDEKSQNYQGVBKK-UHFFFAOYSA-N -1 1 334.384 -0.064 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N2CCCS(=O)(=O)CC2)n1 ZINC001570942678 949305116 /nfs/dbraw/zinc/30/51/16/949305116.db2.gz TZULCWMJYRPSCI-UHFFFAOYSA-N -1 1 340.369 -0.747 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N2CCCS(=O)(=O)CC2)n1 ZINC001570942678 949305141 /nfs/dbraw/zinc/30/51/41/949305141.db2.gz TZULCWMJYRPSCI-UHFFFAOYSA-N -1 1 340.369 -0.747 20 0 EBADMM C[C@H](CNC(=O)Cc1cc[nH]n1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001574941882 949324129 /nfs/dbraw/zinc/32/41/29/949324129.db2.gz HVZLPBXPAGMTTN-VIFPVBQESA-N -1 1 342.367 -0.213 20 0 EBADMM C[C@H](CNC(=O)Cc1cc[nH]n1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001574941882 949324152 /nfs/dbraw/zinc/32/41/52/949324152.db2.gz HVZLPBXPAGMTTN-VIFPVBQESA-N -1 1 342.367 -0.213 20 0 EBADMM C[C@@H](Nc1nccnc1-c1nnn[n-]1)[C@H](C)NC(=O)C1=COCCO1 ZINC001575259871 949336145 /nfs/dbraw/zinc/33/61/45/949336145.db2.gz RIHNNTZUSGEPKD-BDAKNGLRSA-N -1 1 346.351 -0.150 20 0 EBADMM C[C@@H](Nc1nccnc1-c1nn[n-]n1)[C@H](C)NC(=O)C1=COCCO1 ZINC001575259871 949336160 /nfs/dbraw/zinc/33/61/60/949336160.db2.gz RIHNNTZUSGEPKD-BDAKNGLRSA-N -1 1 346.351 -0.150 20 0 EBADMM C[C@@H](NC(=O)C1=COCCO1)[C@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001575259872 949339180 /nfs/dbraw/zinc/33/91/80/949339180.db2.gz RIHNNTZUSGEPKD-DTWKUNHWSA-N -1 1 346.351 -0.150 20 0 EBADMM C[C@@H](NC(=O)C1=COCCO1)[C@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001575259872 949339202 /nfs/dbraw/zinc/33/92/02/949339202.db2.gz RIHNNTZUSGEPKD-DTWKUNHWSA-N -1 1 346.351 -0.150 20 0 EBADMM C[C@@H]1CCC[C@H]1CNC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001576155144 949385223 /nfs/dbraw/zinc/38/52/23/949385223.db2.gz GTBUVHQHZPJZAG-BDAKNGLRSA-N -1 1 333.352 -0.319 20 0 EBADMM C[C@@H](CNC(=O)Cc1cc[nH]n1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574943890 949385780 /nfs/dbraw/zinc/38/57/80/949385780.db2.gz ZTBKVFIHZWYCSE-QMMMGPOBSA-N -1 1 328.340 -0.461 20 0 EBADMM C[C@@H](CNC(=O)Cc1cc[nH]n1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574943890 949385802 /nfs/dbraw/zinc/38/58/02/949385802.db2.gz ZTBKVFIHZWYCSE-QMMMGPOBSA-N -1 1 328.340 -0.461 20 0 EBADMM C[C@@H](Nc1ccnc(-c2nn[n-]n2)n1)[C@H](C)NC(=O)CC(C)(C)O ZINC001575263126 949387848 /nfs/dbraw/zinc/38/78/48/949387848.db2.gz DYDMMNFCTKMAQT-BDAKNGLRSA-N -1 1 334.384 -0.455 20 0 EBADMM C[C@@H](NC(=O)CC(C)(C)O)[C@H](C)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575263128 949390008 /nfs/dbraw/zinc/39/00/08/949390008.db2.gz DYDMMNFCTKMAQT-DTWKUNHWSA-N -1 1 334.384 -0.455 20 0 EBADMM CC(C)(C)C(=O)N[C@@H]1CCN(c2ccnc(-c3nn[n-]n3)n2)C[C@@H]1O ZINC001573800605 949399058 /nfs/dbraw/zinc/39/90/58/949399058.db2.gz ZJDFFMUBCMWGQS-ZJUUUORDSA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@@H](CNC(=O)Cc1cnn(C)c1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574946337 949435621 /nfs/dbraw/zinc/43/56/21/949435621.db2.gz VDLHXGQPQNPMLC-VIFPVBQESA-N -1 1 342.367 -0.451 20 0 EBADMM C[C@@H](CNC(=O)Cc1cnn(C)c1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574946337 949435643 /nfs/dbraw/zinc/43/56/43/949435643.db2.gz VDLHXGQPQNPMLC-VIFPVBQESA-N -1 1 342.367 -0.451 20 0 EBADMM CC(C)(C)[N@H+](CC(N)=O)Cc1cc(=O)n2[n-]cc(-c3nnn[n-]3)c2n1 ZINC001570944706 949436626 /nfs/dbraw/zinc/43/66/26/949436626.db2.gz DINCABJLCRNYBL-UHFFFAOYSA-N -1 1 345.367 -0.299 20 0 EBADMM CC(C)(C)[N@@H+](CC(N)=O)Cc1cc(=O)n2[n-]cc(-c3nnn[n-]3)c2n1 ZINC001570944706 949436635 /nfs/dbraw/zinc/43/66/35/949436635.db2.gz DINCABJLCRNYBL-UHFFFAOYSA-N -1 1 345.367 -0.299 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1CCCOC1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574705930 949442750 /nfs/dbraw/zinc/44/27/50/949442750.db2.gz KYKSYNJTZADHNA-MNOVXSKESA-N -1 1 346.395 -0.236 20 0 EBADMM C[C@@H](CNC(=O)Cn1ccnc1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001574948439 949460639 /nfs/dbraw/zinc/46/06/39/949460639.db2.gz IZNNYAZIRBZURN-SNVBAGLBSA-N -1 1 342.367 -0.282 20 0 EBADMM C[C@@H](CNC(=O)Cn1cncn1)CNc1nccnc1-c1nnn[n-]1 ZINC001574948488 949463431 /nfs/dbraw/zinc/46/34/31/949463431.db2.gz JRZBCUTTWUZHLN-VIFPVBQESA-N -1 1 343.355 -0.887 20 0 EBADMM C[C@@H](CNC(=O)Cn1cncn1)CNc1nccnc1-c1nn[n-]n1 ZINC001574948488 949463440 /nfs/dbraw/zinc/46/34/40/949463440.db2.gz JRZBCUTTWUZHLN-VIFPVBQESA-N -1 1 343.355 -0.887 20 0 EBADMM CC(C)(C)C(=O)NC[C@]1(O)CCN(c2nccnc2-c2nnn[n-]2)C1 ZINC001573804233 949465635 /nfs/dbraw/zinc/46/56/35/949465635.db2.gz TYODAMDZQSPABR-OAHLLOKOSA-N -1 1 346.395 -0.240 20 0 EBADMM CC(C)(C)C(=O)NC[C@]1(O)CCN(c2nccnc2-c2nn[n-]n2)C1 ZINC001573804233 949465657 /nfs/dbraw/zinc/46/56/57/949465657.db2.gz TYODAMDZQSPABR-OAHLLOKOSA-N -1 1 346.395 -0.240 20 0 EBADMM CN(c1ccc(-c2nnn[n-]2)nn1)[C@H]1CCN(C(=O)[C@H]2CCCO2)C1 ZINC001570945785 949504690 /nfs/dbraw/zinc/50/46/90/949504690.db2.gz INESKBMMLBKSLN-CMPLNLGQSA-N -1 1 344.379 -0.127 20 0 EBADMM CN(c1ccc(-c2nn[n-]n2)nn1)[C@H]1CCN(C(=O)[C@H]2CCCO2)C1 ZINC001570945785 949504710 /nfs/dbraw/zinc/50/47/10/949504710.db2.gz INESKBMMLBKSLN-CMPLNLGQSA-N -1 1 344.379 -0.127 20 0 EBADMM CCCC(=O)N1CCO[C@H]([C@H](C)Nc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570946339 949530613 /nfs/dbraw/zinc/53/06/13/949530613.db2.gz LVKMVRRTMFOEBZ-QWRGUYRKSA-N -1 1 346.395 -0.094 20 0 EBADMM O=C(N[C@H]1C[C@@H](Nc2nccnc2-c2nnn[n-]2)C1)c1cnns1 ZINC001570946530 949532585 /nfs/dbraw/zinc/53/25/85/949532585.db2.gz PVGUZYFHOCYWFW-KNVOCYPGSA-N -1 1 344.364 -0.119 20 0 EBADMM O=C(N[C@H]1C[C@@H](Nc2nccnc2-c2nn[n-]n2)C1)c1cnns1 ZINC001570946530 949532597 /nfs/dbraw/zinc/53/25/97/949532597.db2.gz PVGUZYFHOCYWFW-KNVOCYPGSA-N -1 1 344.364 -0.119 20 0 EBADMM C[C@H](CNC(=O)NCc1ccc(-c2nn[n-]n2)s1)S(N)(=O)=O ZINC001574950717 949542091 /nfs/dbraw/zinc/54/20/91/949542091.db2.gz SQEHIHOCULRGNK-ZCFIWIBFSA-N -1 1 345.410 -0.596 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1COCCO1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574711784 949555003 /nfs/dbraw/zinc/55/50/03/949555003.db2.gz MCDFUWYKJWJENT-ONGXEEELSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1COCCO1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574711784 949555017 /nfs/dbraw/zinc/55/50/17/949555017.db2.gz MCDFUWYKJWJENT-ONGXEEELSA-N -1 1 348.367 -0.669 20 0 EBADMM CC(C)c1nnc(CNC(=O)NCCn2cnc(-c3nn[n-]n3)n2)[nH]1 ZINC001570947076 949557227 /nfs/dbraw/zinc/55/72/27/949557227.db2.gz SBVABPCLSBABED-UHFFFAOYSA-N -1 1 346.359 -0.801 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1COC(=O)N1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574711837 949558826 /nfs/dbraw/zinc/55/88/26/949558826.db2.gz MYVHHOHUOHNGIK-APPZFPTMSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1COC(=O)N1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574711837 949558843 /nfs/dbraw/zinc/55/88/43/949558843.db2.gz MYVHHOHUOHNGIK-APPZFPTMSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@H](CNC(=O)[C@@H]1CCC(=O)N1C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574957493 949619207 /nfs/dbraw/zinc/61/92/07/949619207.db2.gz ZGJZOCOAHCHZHX-SCZZXKLOSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](CNC(=O)[C@@H]1CCC(=O)N1C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574957493 949619219 /nfs/dbraw/zinc/61/92/19/949619219.db2.gz ZGJZOCOAHCHZHX-SCZZXKLOSA-N -1 1 345.367 -0.806 20 0 EBADMM Cc1nc(CNC(=O)Cn2cc(-c3nn[n-]n3)c(=O)[nH]c2=O)cs1 ZINC001570948814 949620874 /nfs/dbraw/zinc/62/08/74/949620874.db2.gz IXKSIFAPRMMWDM-UHFFFAOYSA-N -1 1 348.348 -0.790 20 0 EBADMM O=C(Nc1[nH]nc(N2CCOCC2)c1-c1nnn[n-]1)[C@H]1CCC(=O)O1 ZINC001570948841 949621129 /nfs/dbraw/zinc/62/11/29/949621129.db2.gz JNFCLKIAXJPVCG-SSDOTTSWSA-N -1 1 348.323 -0.930 20 0 EBADMM O=C(Nc1[nH]nc(N2CCOCC2)c1-c1nn[n-]n1)[C@H]1CCC(=O)O1 ZINC001570948841 949621139 /nfs/dbraw/zinc/62/11/39/949621139.db2.gz JNFCLKIAXJPVCG-SSDOTTSWSA-N -1 1 348.323 -0.930 20 0 EBADMM COC(=O)[C@H]1CN(c2cc(-c3nnn[n-]3)nc(C)n2)C[C@@H]1C(=O)OC ZINC001570949522 949646590 /nfs/dbraw/zinc/64/65/90/949646590.db2.gz VYEJOXPFHOODBK-IUCAKERBSA-N -1 1 347.335 -0.636 20 0 EBADMM COC(=O)[C@H]1CN(c2cc(-c3nn[n-]n3)nc(C)n2)C[C@@H]1C(=O)OC ZINC001570949522 949646595 /nfs/dbraw/zinc/64/65/95/949646595.db2.gz VYEJOXPFHOODBK-IUCAKERBSA-N -1 1 347.335 -0.636 20 0 EBADMM C[C@@H](NC(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O)c1cn[nH]c1 ZINC001575275046 949695256 /nfs/dbraw/zinc/69/52/56/949695256.db2.gz BRIOTZZPPJWSOT-SSDOTTSWSA-N -1 1 345.323 -0.880 20 0 EBADMM CC(C)[C@@H](O)C(=O)NC[C@@H](C)CNc1nccnc1-c1nnn[n-]1 ZINC001574962894 949743430 /nfs/dbraw/zinc/74/34/30/949743430.db2.gz DPUGCUUYOPFMSB-GXSJLCMTSA-N -1 1 334.384 -0.162 20 0 EBADMM CC(C)[C@@H](O)C(=O)NC[C@@H](C)CNc1nccnc1-c1nn[n-]n1 ZINC001574962894 949743449 /nfs/dbraw/zinc/74/34/49/949743449.db2.gz DPUGCUUYOPFMSB-GXSJLCMTSA-N -1 1 334.384 -0.162 20 0 EBADMM CC(C)[C@@H](O)C(=O)NC[C@@H](C)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001574963227 949744316 /nfs/dbraw/zinc/74/43/16/949744316.db2.gz HETVTIWRTHSNKL-JOYOIKCWSA-N -1 1 334.384 -0.162 20 0 EBADMM CC(C)[C@@H](O)C(=O)NC[C@@H](C)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001574963227 949744328 /nfs/dbraw/zinc/74/43/28/949744328.db2.gz HETVTIWRTHSNKL-JOYOIKCWSA-N -1 1 334.384 -0.162 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CCCC(=O)N1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574964547 949779607 /nfs/dbraw/zinc/77/96/07/949779607.db2.gz XXUYGYYZEONAIR-PSASIEDQSA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CCCC(=O)N1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574964547 949779623 /nfs/dbraw/zinc/77/96/23/949779623.db2.gz XXUYGYYZEONAIR-PSASIEDQSA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CCC(=O)N1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001574964562 949780309 /nfs/dbraw/zinc/78/03/09/949780309.db2.gz YBTHGVAKPKMOOY-RKDXNWHRSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CCC(=O)N1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001574964562 949780325 /nfs/dbraw/zinc/78/03/25/949780325.db2.gz YBTHGVAKPKMOOY-RKDXNWHRSA-N -1 1 345.367 -0.901 20 0 EBADMM C[C@@H]1CCCN(c2[nH]c(=O)n(C)c(=O)c2-c2nn[n-]n2)[C@@H]1CCO ZINC001576177941 949870909 /nfs/dbraw/zinc/87/09/09/949870909.db2.gz DYIXZPIOOBVWGM-RKDXNWHRSA-N -1 1 335.368 -0.759 20 0 EBADMM CC(C)(C)/C=C\C(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001573825736 949892659 /nfs/dbraw/zinc/89/26/59/949892659.db2.gz BYCFCKOGQVRFOG-PLNGDYQASA-N -1 1 333.352 -0.153 20 0 EBADMM CC1(C(=O)N[C@H]2CCN(c3cncc(-c4nnn[n-]4)n3)C[C@@H]2O)CC1 ZINC001575569711 949929738 /nfs/dbraw/zinc/92/97/38/949929738.db2.gz NIONIUWBZFUVHF-ONGXEEELSA-N -1 1 344.379 -0.487 20 0 EBADMM CC1(C(=O)N[C@H]2CCN(c3cncc(-c4nn[n-]n4)n3)C[C@@H]2O)CC1 ZINC001575569711 949929756 /nfs/dbraw/zinc/92/97/56/949929756.db2.gz NIONIUWBZFUVHF-ONGXEEELSA-N -1 1 344.379 -0.487 20 0 EBADMM CC1(C(=O)N[C@H]2CCN(c3ccnc(-c4nn[n-]n4)n3)C[C@@H]2O)CC1 ZINC001575571345 949957505 /nfs/dbraw/zinc/95/75/05/949957505.db2.gz ZRJGZUHINJXLBP-UWVGGRQHSA-N -1 1 344.379 -0.487 20 0 EBADMM CC1(C(=O)NC[C@@H](CO)Nc2nccnc2-c2nnn[n-]2)CCC1 ZINC001575571792 949959192 /nfs/dbraw/zinc/95/91/92/949959192.db2.gz ANJHVZMHRYBDTD-VIFPVBQESA-N -1 1 332.368 -0.264 20 0 EBADMM CC1(C(=O)NC[C@@H](CO)Nc2nccnc2-c2nn[n-]n2)CCC1 ZINC001575571792 949959210 /nfs/dbraw/zinc/95/92/10/949959210.db2.gz ANJHVZMHRYBDTD-VIFPVBQESA-N -1 1 332.368 -0.264 20 0 EBADMM O=C([O-])C1=NO[C@H](C(=O)N2CCN([C@@H]3CCNC(=O)CC3)CC2)C1 ZINC001595285255 950010967 /nfs/dbraw/zinc/01/09/67/950010967.db2.gz IUGUINKOAXZDSC-JQWIXIFHSA-N -1 1 338.364 -0.971 20 0 EBADMM C[C@@H](CN(C)C(=O)Cn1cccn1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574730787 950038342 /nfs/dbraw/zinc/03/83/42/950038342.db2.gz BLEHSJSSTLHTMU-JTQLQIEISA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@@H](CN(C)C(=O)Cn1cccn1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574730787 950038357 /nfs/dbraw/zinc/03/83/57/950038357.db2.gz BLEHSJSSTLHTMU-JTQLQIEISA-N -1 1 342.367 -0.188 20 0 EBADMM CC(C)(C)CC(=O)NC[C@H](CO)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001573835804 950062279 /nfs/dbraw/zinc/06/22/79/950062279.db2.gz CFHRVKADEXXONL-SECBINFHSA-N -1 1 334.384 -0.018 20 0 EBADMM CC(C)(C)CC(=O)NC[C@H](CO)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001573835804 950062303 /nfs/dbraw/zinc/06/23/03/950062303.db2.gz CFHRVKADEXXONL-SECBINFHSA-N -1 1 334.384 -0.018 20 0 EBADMM CC(C)(C)CC(=O)NC[C@H](CO)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001573836215 950071277 /nfs/dbraw/zinc/07/12/77/950071277.db2.gz HAFATIOATKEQOC-SECBINFHSA-N -1 1 334.384 -0.018 20 0 EBADMM CC(C)(C)CC(=O)NC[C@H](CO)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001573836215 950071292 /nfs/dbraw/zinc/07/12/92/950071292.db2.gz HAFATIOATKEQOC-SECBINFHSA-N -1 1 334.384 -0.018 20 0 EBADMM CC(C)(C)CC(=O)N[C@@H]1CN(c2cncc(-c3nnn[n-]3)n2)C[C@@H]1O ZINC001573838406 950112706 /nfs/dbraw/zinc/11/27/06/950112706.db2.gz BZKJUBACBZKKKI-MNOVXSKESA-N -1 1 346.395 -0.241 20 0 EBADMM CC(C)(C)CC(=O)N[C@@H]1CN(c2cncc(-c3nn[n-]n3)n2)C[C@@H]1O ZINC001573838406 950112728 /nfs/dbraw/zinc/11/27/28/950112728.db2.gz BZKJUBACBZKKKI-MNOVXSKESA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@H](CN(C)C(=O)[C@]12C[C@H]1COC2)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574734745 950140849 /nfs/dbraw/zinc/14/08/49/950140849.db2.gz POZKLAHEGWPEDH-FTGAXOIBSA-N -1 1 344.379 -0.626 20 0 EBADMM CC(C)[C@@H](O)C(=O)N(C)C[C@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574734952 950155661 /nfs/dbraw/zinc/15/56/61/950155661.db2.gz VSUSXGQYXKDUJW-JOYOIKCWSA-N -1 1 334.384 -0.068 20 0 EBADMM CC(C)[C@@H](O)C(=O)N(C)C[C@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574734952 950155673 /nfs/dbraw/zinc/15/56/73/950155673.db2.gz VSUSXGQYXKDUJW-JOYOIKCWSA-N -1 1 334.384 -0.068 20 0 EBADMM C[C@H](CNC(=O)c1cnc[nH]c1=O)Nc1nccnc1-c1nnn[n-]1 ZINC001574983273 950209480 /nfs/dbraw/zinc/20/94/80/950209480.db2.gz LWVUHERFCIYTKQ-SSDOTTSWSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@H](CNC(=O)c1cnc[nH]c1=O)Nc1nccnc1-c1nn[n-]n1 ZINC001574983273 950209490 /nfs/dbraw/zinc/20/94/90/950209490.db2.gz LWVUHERFCIYTKQ-SSDOTTSWSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@@H](CNC(=O)c1c[nH]c(=O)n1C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574983938 950245560 /nfs/dbraw/zinc/24/55/60/950245560.db2.gz SRSWOEVKWNPYSB-ZETCQYMHSA-N -1 1 344.339 -0.674 20 0 EBADMM C[C@@H](CNC(=O)c1c[nH]c(=O)n1C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574983938 950245578 /nfs/dbraw/zinc/24/55/78/950245578.db2.gz SRSWOEVKWNPYSB-ZETCQYMHSA-N -1 1 344.339 -0.674 20 0 EBADMM C[C@@H](CC(=O)NC[C@H](O)CNc1ccnc(-c2nn[n-]n2)n1)C1CC1 ZINC001574498357 950269954 /nfs/dbraw/zinc/26/99/54/950269954.db2.gz MSOABJZHAZLOFU-GXSJLCMTSA-N -1 1 346.395 -0.596 20 0 EBADMM C[C@@H](CC(=O)NC[C@@H](O)CNc1cnc(-c2nnn[n-]2)cn1)C1CC1 ZINC001574498495 950278197 /nfs/dbraw/zinc/27/81/97/950278197.db2.gz OGHFNNVUTLKOQO-ONGXEEELSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](CC(=O)NC[C@@H](O)CNc1cnc(-c2nn[n-]n2)cn1)C1CC1 ZINC001574498495 950278211 /nfs/dbraw/zinc/27/82/11/950278211.db2.gz OGHFNNVUTLKOQO-ONGXEEELSA-N -1 1 346.395 -0.018 20 0 EBADMM CC1(C(=O)NC[C@@H]2COCCN2c2nccnc2-c2nnn[n-]2)CC1 ZINC001575592841 950296592 /nfs/dbraw/zinc/29/65/92/950296592.db2.gz COPXWZBSZRZPMB-SNVBAGLBSA-N -1 1 344.379 -0.222 20 0 EBADMM CC1(C(=O)NC[C@@H]2COCCN2c2nccnc2-c2nn[n-]n2)CC1 ZINC001575592841 950296609 /nfs/dbraw/zinc/29/66/09/950296609.db2.gz COPXWZBSZRZPMB-SNVBAGLBSA-N -1 1 344.379 -0.222 20 0 EBADMM CC(C)(C(=O)[O-])n1ccc(NC(=O)C(=O)NCCN2CCCC2)n1 ZINC001602838389 971666435 /nfs/dbraw/zinc/66/64/35/971666435.db2.gz RTJOTVWGCRMXCK-UHFFFAOYSA-N -1 1 337.380 -0.147 20 0 EBADMM C[C@H](CN(C)C(=O)c1ccn(C)n1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574758754 950615411 /nfs/dbraw/zinc/61/54/11/950615411.db2.gz GHRXMDOIEAEVOZ-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CN(C)C(=O)c1ccn(C)n1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574758754 950615442 /nfs/dbraw/zinc/61/54/42/950615442.db2.gz GHRXMDOIEAEVOZ-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM CCN1CC[C@H](CNC(=O)C2(C(=O)[O-])CCS(=O)(=O)CC2)C1 ZINC001589742277 950620738 /nfs/dbraw/zinc/62/07/38/950620738.db2.gz MZBKNPKFOZCWIW-LLVKDONJSA-N -1 1 332.422 -0.276 20 0 EBADMM CCN1CCN(C2CN(S(=O)(=O)N3CCC[C@H]3C(=O)[O-])C2)CC1 ZINC001589744477 950656273 /nfs/dbraw/zinc/65/62/73/950656273.db2.gz SFYNWPMVLNLXFT-ZDUSSCGKSA-N -1 1 346.453 -0.898 20 0 EBADMM C[C@@H](CNC(=O)c1ccn[nH]1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001575005378 950681124 /nfs/dbraw/zinc/68/11/24/950681124.db2.gz CMSGPTHOVCPBNT-MRVPVSSYSA-N -1 1 328.340 -0.142 20 0 EBADMM CC(C)CCC(=O)NC[C@@H](CO)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574179101 950695792 /nfs/dbraw/zinc/69/57/92/950695792.db2.gz AXRWVXGVOXNGLO-JTQLQIEISA-N -1 1 334.384 -0.596 20 0 EBADMM C[C@H](CN(C)C(=O)c1ccnn1C)Nc1nccnc1-c1nnn[n-]1 ZINC001574762231 950706495 /nfs/dbraw/zinc/70/64/95/950706495.db2.gz XRMNBHYBSQRHEH-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CN(C)C(=O)c1ccnn1C)Nc1nccnc1-c1nn[n-]n1 ZINC001574762231 950706514 /nfs/dbraw/zinc/70/65/14/950706514.db2.gz XRMNBHYBSQRHEH-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM CC1(C)CC(C(=O)NC[C@@H](O)CNc2nccnc2-c2nnn[n-]2)C1 ZINC001575629316 950735479 /nfs/dbraw/zinc/73/54/79/950735479.db2.gz LYARHFHJNLUCNS-JTQLQIEISA-N -1 1 346.395 -0.018 20 0 EBADMM CC1(C)CC(C(=O)NC[C@@H](O)CNc2nccnc2-c2nn[n-]n2)C1 ZINC001575629316 950735486 /nfs/dbraw/zinc/73/54/86/950735486.db2.gz LYARHFHJNLUCNS-JTQLQIEISA-N -1 1 346.395 -0.018 20 0 EBADMM CC1(C)CC(C(=O)NC[C@@H](O)CNc2cncc(-c3nnn[n-]3)n2)C1 ZINC001575629367 950736807 /nfs/dbraw/zinc/73/68/07/950736807.db2.gz NGWDEHWIWJYANH-JTQLQIEISA-N -1 1 346.395 -0.018 20 0 EBADMM CC1(C)CC(C(=O)NC[C@@H](O)CNc2cncc(-c3nn[n-]n3)n2)C1 ZINC001575629367 950736821 /nfs/dbraw/zinc/73/68/21/950736821.db2.gz NGWDEHWIWJYANH-JTQLQIEISA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@H](CN(C)C(=O)c1cnon1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574765712 950795578 /nfs/dbraw/zinc/79/55/78/950795578.db2.gz JUBKELMZAJXBJS-SSDOTTSWSA-N -1 1 330.312 -0.388 20 0 EBADMM C[C@H](CN(C)C(=O)c1cnon1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574765712 950795592 /nfs/dbraw/zinc/79/55/92/950795592.db2.gz JUBKELMZAJXBJS-SSDOTTSWSA-N -1 1 330.312 -0.388 20 0 EBADMM CC1(C)C[C@@H](O)CCN(C(=O)NCCn2cnc(-c3nn[n-]n3)n2)C1 ZINC001575640672 950901581 /nfs/dbraw/zinc/90/15/81/950901581.db2.gz JOYYMLDJJXPERH-JTQLQIEISA-N -1 1 349.399 -0.349 20 0 EBADMM CCOC(=O)C[C@@H](O)CN1CCC(N2C[C@@H](C(=O)[O-])CC2=O)CC1 ZINC001590377725 950935702 /nfs/dbraw/zinc/93/57/02/950935702.db2.gz ODUHBSIKWOKNAR-WCQYABFASA-N -1 1 342.392 -0.302 20 0 EBADMM CCOC(=O)C[C@H](O)CN1CCC(N2C[C@H](C(=O)[O-])CC2=O)CC1 ZINC001590377726 950936371 /nfs/dbraw/zinc/93/63/71/950936371.db2.gz ODUHBSIKWOKNAR-YPMHNXCESA-N -1 1 342.392 -0.302 20 0 EBADMM C[C@@H](CNC(=O)c1ccc(=O)[nH]n1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001575017837 951011855 /nfs/dbraw/zinc/01/18/55/951011855.db2.gz KHZXKMJIZKCDTR-ZETCQYMHSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@@H](CNC(=O)c1ccc(=O)[nH]n1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575017837 951011866 /nfs/dbraw/zinc/01/18/66/951011866.db2.gz KHZXKMJIZKCDTR-ZETCQYMHSA-N -1 1 342.323 -0.617 20 0 EBADMM C[C@@H](CNC(=O)c1cccc(=O)[nH]1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575028443 951175699 /nfs/dbraw/zinc/17/56/99/951175699.db2.gz XEXPIIZCUPXKJU-QMMMGPOBSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@@H](CNC(=O)c1cccc(=O)[nH]1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575028443 951175704 /nfs/dbraw/zinc/17/57/04/951175704.db2.gz XEXPIIZCUPXKJU-QMMMGPOBSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@H](CNC(=O)c1cccnn1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575031709 951201356 /nfs/dbraw/zinc/20/13/56/951201356.db2.gz HIZRDSCTAQYZNE-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@H](CNC(=O)c1cccnn1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575031709 951201367 /nfs/dbraw/zinc/20/13/67/951201367.db2.gz HIZRDSCTAQYZNE-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@H](CNC(=O)c1ccnn1C)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575033826 951229312 /nfs/dbraw/zinc/22/93/12/951229312.db2.gz CCFNPKKSLDEZIY-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1ccnn1C)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575033826 951229316 /nfs/dbraw/zinc/22/93/16/951229316.db2.gz CCFNPKKSLDEZIY-SECBINFHSA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@@H](CNC(=O)c1ccnn1C)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575034045 951238600 /nfs/dbraw/zinc/23/86/00/951238600.db2.gz HWPCJWICYGYNLD-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@@H](CNC(=O)c1ccnn1C)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575034045 951238614 /nfs/dbraw/zinc/23/86/14/951238614.db2.gz HWPCJWICYGYNLD-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@H](CNC(=O)c1ccncn1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575034230 951240230 /nfs/dbraw/zinc/24/02/30/951240230.db2.gz JWVJQTNMNHSYQG-SECBINFHSA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1ccn(C)n1)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001575034827 951256042 /nfs/dbraw/zinc/25/60/42/951256042.db2.gz FDGIIYNMWZALPC-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@@H](CNC(=O)c1ccn(C)n1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575034827 951256048 /nfs/dbraw/zinc/25/60/48/951256048.db2.gz FDGIIYNMWZALPC-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@@H](CNC(=O)c1ccnnc1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001575035299 951274697 /nfs/dbraw/zinc/27/46/97/951274697.db2.gz VWKXOFWDMXAPDK-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@@H](CNC(=O)c1ccnnc1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001575035299 951274708 /nfs/dbraw/zinc/27/47/08/951274708.db2.gz VWKXOFWDMXAPDK-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@H](CNC(=O)c1ccncn1)CNc1nccnc1-c1nnn[n-]1 ZINC001575035603 951279571 /nfs/dbraw/zinc/27/95/71/951279571.db2.gz YWXWGWFPSDHQNE-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@H](CNC(=O)c1ccncn1)CNc1nccnc1-c1nn[n-]n1 ZINC001575035603 951279579 /nfs/dbraw/zinc/27/95/79/951279579.db2.gz YWXWGWFPSDHQNE-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1ccn(C)n1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575035984 951284825 /nfs/dbraw/zinc/28/48/25/951284825.db2.gz NZBLJEITYHTXMC-SECBINFHSA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@@H](CNC(=O)c1ccn(C)n1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575035984 951284835 /nfs/dbraw/zinc/28/48/35/951284835.db2.gz NZBLJEITYHTXMC-SECBINFHSA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)nn1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575041933 951352007 /nfs/dbraw/zinc/35/20/07/951352007.db2.gz CZQFVZGPXYXMHH-SSDOTTSWSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)nn1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575041933 951352016 /nfs/dbraw/zinc/35/20/16/951352016.db2.gz CZQFVZGPXYXMHH-SSDOTTSWSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@H](CNC(=O)c1cnccn1)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001575045578 951431125 /nfs/dbraw/zinc/43/11/25/951431125.db2.gz DREWFTFBTAZSBH-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@H](CNC(=O)c1cnccn1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001575045578 951431132 /nfs/dbraw/zinc/43/11/32/951431132.db2.gz DREWFTFBTAZSBH-VIFPVBQESA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1cncn1C)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575047010 951453425 /nfs/dbraw/zinc/45/34/25/951453425.db2.gz XDQPHYCUTYMVMZ-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@@H](CNC(=O)c1cncn1C)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575047010 951453429 /nfs/dbraw/zinc/45/34/29/951453429.db2.gz XDQPHYCUTYMVMZ-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)c1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575051934 951456329 /nfs/dbraw/zinc/45/63/29/951456329.db2.gz BHXSGCYRMZBAKZ-QMMMGPOBSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)c1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575051934 951456338 /nfs/dbraw/zinc/45/63/38/951456338.db2.gz BHXSGCYRMZBAKZ-QMMMGPOBSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)c1N)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575052838 951471619 /nfs/dbraw/zinc/47/16/19/951471619.db2.gz PAHXABQEIKZVTR-SSDOTTSWSA-N -1 1 343.355 -0.797 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)c1N)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575052838 951471627 /nfs/dbraw/zinc/47/16/27/951471627.db2.gz PAHXABQEIKZVTR-SSDOTTSWSA-N -1 1 343.355 -0.797 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)c1)Nc1nccnc1-c1nnn[n-]1 ZINC001575052792 951472269 /nfs/dbraw/zinc/47/22/69/951472269.db2.gz OIMWVHWPHLBYLN-MRVPVSSYSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@H](CNC(=O)c1cnn(C)c1)Nc1nccnc1-c1nn[n-]n1 ZINC001575052792 951472277 /nfs/dbraw/zinc/47/22/77/951472277.db2.gz OIMWVHWPHLBYLN-MRVPVSSYSA-N -1 1 328.340 -0.379 20 0 EBADMM C[C@H](CNC(=O)c1cnsn1)Nc1nccnc1-c1nnn[n-]1 ZINC001575053255 951475695 /nfs/dbraw/zinc/47/56/95/951475695.db2.gz AQACZUJMMFIBCP-ZCFIWIBFSA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@H](CNC(=O)c1cnsn1)Nc1nccnc1-c1nn[n-]n1 ZINC001575053255 951475701 /nfs/dbraw/zinc/47/57/01/951475701.db2.gz AQACZUJMMFIBCP-ZCFIWIBFSA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)c1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001575053642 951481142 /nfs/dbraw/zinc/48/11/42/951481142.db2.gz SQSZQGJXEXEJCZ-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)c1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575053642 951481147 /nfs/dbraw/zinc/48/11/47/951481147.db2.gz SQSZQGJXEXEJCZ-VIFPVBQESA-N -1 1 342.367 -0.355 20 0 EBADMM C[C@@H](CNC(=O)c1cnnn1C)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001575054738 951495486 /nfs/dbraw/zinc/49/54/86/951495486.db2.gz KKVHNBLXEOFVOU-MRVPVSSYSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@@H](CNC(=O)c1cnnn1C)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001575054738 951495492 /nfs/dbraw/zinc/49/54/92/951495492.db2.gz KKVHNBLXEOFVOU-MRVPVSSYSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@H](CNC(=O)c1cnon1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575055060 951499728 /nfs/dbraw/zinc/49/97/28/951499728.db2.gz MWTRFZQIHKVKNN-SSDOTTSWSA-N -1 1 330.312 -0.706 20 0 EBADMM C[C@H](CNC(=O)c1cnon1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575055060 951499733 /nfs/dbraw/zinc/49/97/33/951499733.db2.gz MWTRFZQIHKVKNN-SSDOTTSWSA-N -1 1 330.312 -0.706 20 0 EBADMM C[C@@H](CNC(=O)c1cnnn1C)CNc1nccnc1-c1nnn[n-]1 ZINC001575055596 951510214 /nfs/dbraw/zinc/51/02/14/951510214.db2.gz XZGZLAVUZYZUJE-MRVPVSSYSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@@H](CNC(=O)c1cnnn1C)CNc1nccnc1-c1nn[n-]n1 ZINC001575055596 951510218 /nfs/dbraw/zinc/51/02/18/951510218.db2.gz XZGZLAVUZYZUJE-MRVPVSSYSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@H](CNC(=O)c1cocn1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575055913 951514350 /nfs/dbraw/zinc/51/43/50/951514350.db2.gz BYSYRCDDLDPBNT-MRVPVSSYSA-N -1 1 329.324 -0.101 20 0 EBADMM C[C@H](CNC(=O)c1cocn1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575055913 951514358 /nfs/dbraw/zinc/51/43/58/951514358.db2.gz BYSYRCDDLDPBNT-MRVPVSSYSA-N -1 1 329.324 -0.101 20 0 EBADMM C[C@@H](CNC(=O)c1n[nH]cc1F)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575060872 951549165 /nfs/dbraw/zinc/54/91/65/951549165.db2.gz INQLYYHXHGMAKP-LURJTMIESA-N -1 1 332.303 -0.829 20 0 EBADMM C[C@@H](CNC(=O)c1ncccn1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575067360 951609486 /nfs/dbraw/zinc/60/94/86/951609486.db2.gz LDBDWVVDKFUELO-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@@H](CNC(=O)c1ncccn1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575067360 951609490 /nfs/dbraw/zinc/60/94/90/951609490.db2.gz LDBDWVVDKFUELO-QMMMGPOBSA-N -1 1 326.324 -0.323 20 0 EBADMM C[C@@H](CNC(=O)c1ncn(C)n1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575067850 951623526 /nfs/dbraw/zinc/62/35/26/951623526.db2.gz PNZSIZIMPOJJLC-MRVPVSSYSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@@H](CNC(=O)c1ncn(C)n1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575067850 951623531 /nfs/dbraw/zinc/62/35/31/951623531.db2.gz PNZSIZIMPOJJLC-MRVPVSSYSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@H](CNC(=O)c1ncccn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575067920 951625139 /nfs/dbraw/zinc/62/51/39/951625139.db2.gz RXAJXEWBIGLQNT-MRVPVSSYSA-N -1 1 326.324 -0.901 20 0 EBADMM C[C@H](CNC(=O)c1ncn(C)n1)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001575068291 951634964 /nfs/dbraw/zinc/63/49/64/951634964.db2.gz ZLVUQWNZUMOKHK-QMMMGPOBSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@H](CNC(=O)c1ncn(C)n1)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001575068291 951634968 /nfs/dbraw/zinc/63/49/68/951634968.db2.gz ZLVUQWNZUMOKHK-QMMMGPOBSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)c1ccn(C)n1 ZINC001575132810 952097512 /nfs/dbraw/zinc/09/75/12/952097512.db2.gz BJFGEGLDQSKACR-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)c1ccn(C)n1 ZINC001575132810 952097521 /nfs/dbraw/zinc/09/75/21/952097521.db2.gz BJFGEGLDQSKACR-SECBINFHSA-N -1 1 342.367 -0.037 20 0 EBADMM C[C@@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)c1cnon1 ZINC001575134366 952111397 /nfs/dbraw/zinc/11/13/97/952111397.db2.gz HFNOQJRMYRTZOT-ZETCQYMHSA-N -1 1 330.312 -0.388 20 0 EBADMM C[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)c1cnon1 ZINC001575134366 952111400 /nfs/dbraw/zinc/11/14/00/952111400.db2.gz HFNOQJRMYRTZOT-ZETCQYMHSA-N -1 1 330.312 -0.388 20 0 EBADMM C[C@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001575136376 952124848 /nfs/dbraw/zinc/12/48/48/952124848.db2.gz VDKMXAXIYAIBPD-DNJQJEMRSA-N -1 1 344.379 -0.192 20 0 EBADMM C[C@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001575136376 952124849 /nfs/dbraw/zinc/12/48/49/952124849.db2.gz VDKMXAXIYAIBPD-DNJQJEMRSA-N -1 1 344.379 -0.192 20 0 EBADMM C[C@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)[C@]12C[C@H]1COC2 ZINC001575136476 952125743 /nfs/dbraw/zinc/12/57/43/952125743.db2.gz VLTPBAJWAGTYDQ-FTGAXOIBSA-N -1 1 344.379 -0.048 20 0 EBADMM C[C@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)[C@]12C[C@H]1COC2 ZINC001575136476 952125746 /nfs/dbraw/zinc/12/57/46/952125746.db2.gz VLTPBAJWAGTYDQ-FTGAXOIBSA-N -1 1 344.379 -0.048 20 0 EBADMM C[C@@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)c1ncn(C)n1 ZINC001575136474 952126512 /nfs/dbraw/zinc/12/65/12/952126512.db2.gz PHNCNFPAOKBBHV-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)c1ncn(C)n1 ZINC001575136474 952126515 /nfs/dbraw/zinc/12/65/15/952126515.db2.gz PHNCNFPAOKBBHV-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)c1cn(C)nn1 ZINC001575137412 952138651 /nfs/dbraw/zinc/13/86/51/952138651.db2.gz YDIKAKFAANBYET-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)c1cn(C)nn1 ZINC001575137412 952138654 /nfs/dbraw/zinc/13/86/54/952138654.db2.gz YDIKAKFAANBYET-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)Cc1cc[nH]n1 ZINC001575142137 952147078 /nfs/dbraw/zinc/14/70/78/952147078.db2.gz JDOCDCCWQPFRER-SECBINFHSA-N -1 1 342.367 -0.697 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)Cc1cnoc1 ZINC001575144471 952167844 /nfs/dbraw/zinc/16/78/44/952167844.db2.gz VVUWPKQYVMAMAJ-VIFPVBQESA-N -1 1 343.351 -0.432 20 0 EBADMM C[C@@H](CNc1cnc(-c2nnn[n-]2)cn1)N(C)C(=O)c1cnn(C)n1 ZINC001575154378 952221416 /nfs/dbraw/zinc/22/14/16/952221416.db2.gz BWSSJNPWCIJYNZ-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CNc1cnc(-c2nn[n-]n2)cn1)N(C)C(=O)c1cnn(C)n1 ZINC001575154378 952221427 /nfs/dbraw/zinc/22/14/27/952221427.db2.gz BWSSJNPWCIJYNZ-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)c1cnon1 ZINC001575158657 952273481 /nfs/dbraw/zinc/27/34/81/952273481.db2.gz WXJQLENNNQFGCA-SSDOTTSWSA-N -1 1 330.312 -0.388 20 0 EBADMM C[C@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)c1cnon1 ZINC001575158657 952273488 /nfs/dbraw/zinc/27/34/88/952273488.db2.gz WXJQLENNNQFGCA-SSDOTTSWSA-N -1 1 330.312 -0.388 20 0 EBADMM C[C@H](CNc1nc(-c2nn[n-]n2)c(Cl)s1)N(C)C(=O)C(N)=O ZINC001575165559 952309747 /nfs/dbraw/zinc/30/97/47/952309747.db2.gz OXVNKHGKJQMQGI-SCSAIBSYSA-N -1 1 344.788 -0.279 20 0 EBADMM C[C@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)[C@@H]1COCCO1 ZINC001575174503 952373361 /nfs/dbraw/zinc/37/33/61/952373361.db2.gz PPSTVXXWQKYTAK-ZJUUUORDSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)[C@@H]1COCCO1 ZINC001575174503 952373367 /nfs/dbraw/zinc/37/33/67/952373367.db2.gz PPSTVXXWQKYTAK-ZJUUUORDSA-N -1 1 348.367 -0.669 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)[C@]12C[C@H]1COC2 ZINC001575177570 952401175 /nfs/dbraw/zinc/40/11/75/952401175.db2.gz IIOIKVHMIJEEJI-YHAQOWFVSA-N -1 1 344.379 -0.048 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)[C@]12C[C@H]1COC2 ZINC001575177570 952401176 /nfs/dbraw/zinc/40/11/76/952401176.db2.gz IIOIKVHMIJEEJI-YHAQOWFVSA-N -1 1 344.379 -0.048 20 0 EBADMM C[C@@](CO)(NC(=O)Cn1cnc(-c2nn[n-]n2)n1)c1ccc(O)cc1 ZINC001575178949 952410171 /nfs/dbraw/zinc/41/01/71/952410171.db2.gz RAIIRIAPACNUIC-AWEZNQCLSA-N -1 1 344.335 -0.812 20 0 EBADMM O=C([O-])[C@@H]1CC(C(=O)NCCN2CC[C@H](O)C2)=NN1c1ccccc1 ZINC001594757920 953478835 /nfs/dbraw/zinc/47/88/35/953478835.db2.gz CXNDMRYVNHDKFG-ZFWWWQNUSA-N -1 1 346.387 -0.111 20 0 EBADMM CN(C)CCN(CC(=O)[O-])S(=O)(=O)C[C@@]12CC[N@H+](C1)CCC2 ZINC001593698733 954088856 /nfs/dbraw/zinc/08/88/56/954088856.db2.gz DTZZPAUOAODFEY-AWEZNQCLSA-N -1 1 333.454 -0.250 20 0 EBADMM C[C@@H]1CN2CCN1C[C@H]2C(=O)Nc1nc2n(c1C(=O)[O-])CCOC2 ZINC001589406091 954463554 /nfs/dbraw/zinc/46/35/54/954463554.db2.gz GGPFLDKZLKIWMT-ZJUUUORDSA-N -1 1 335.364 -0.562 20 0 EBADMM O=C([O-])C1=NO[C@H](C(=O)N2CCN(CC3CCOCC3)CC2)C1 ZINC001594888943 954495973 /nfs/dbraw/zinc/49/59/73/954495973.db2.gz DZVOUMAWWWRBIA-ZDUSSCGKSA-N -1 1 325.365 -0.213 20 0 EBADMM CN1CCN(CCCS(=O)(=O)N2CCC[C@H](C(=O)[O-])C2)CC1 ZINC001593784496 954684581 /nfs/dbraw/zinc/68/45/81/954684581.db2.gz IVOKNVUINMAJJP-ZDUSSCGKSA-N -1 1 333.454 -0.250 20 0 EBADMM CC(C)[C@@H]1CN(C(=O)C2(C(=O)[O-])CCS(=O)(=O)CC2)CCN1 ZINC001602999930 972038532 /nfs/dbraw/zinc/03/85/32/972038532.db2.gz KSNUCEDUUOCUPC-NSHDSACASA-N -1 1 332.422 -0.278 20 0 EBADMM CNC(=O)CC[N@H+]1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC001593793600 954784527 /nfs/dbraw/zinc/78/45/27/954784527.db2.gz QMMBJKCUCZWHRU-APPZFPTMSA-N -1 1 325.287 -0.281 20 0 EBADMM O=C([O-])[C@H]1CS(=O)(=O)CCN1C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC001594960092 955006893 /nfs/dbraw/zinc/00/68/93/955006893.db2.gz ORISPSKLXPGDCS-ZCFIWIBFSA-N -1 1 341.267 -0.248 20 0 EBADMM COC(=O)C1CC2(C1)CN(C(=O)NC[C@H]1CCN1C)C[C@H]2C(=O)[O-] ZINC001593820186 955027371 /nfs/dbraw/zinc/02/73/71/955027371.db2.gz AAUUGSWHEZFIEG-QNGPIJNLSA-N -1 1 339.392 -0.014 20 0 EBADMM COC(=O)[C@@H](Cc1cnc[nH]1)NC(=O)[C@H]1CO[C@@H](CCC(=O)[O-])C1 ZINC001593860248 955528074 /nfs/dbraw/zinc/52/80/74/955528074.db2.gz JOFOPUGGCLWRDL-ADEWGFFLSA-N -1 1 339.348 -0.120 20 0 EBADMM COC(=O)[C@@H](Cc1cnc[nH]1)NC(=O)C1(CC(=O)[O-])CCOCC1 ZINC001593860482 955532529 /nfs/dbraw/zinc/53/25/29/955532529.db2.gz SQGTVIVSJWIVMM-LLVKDONJSA-N -1 1 339.348 -0.119 20 0 EBADMM NC(=O)[C@@H]1CCCN1CCCNC(=O)[C@H]1CO[C@H](CCC(=O)[O-])C1 ZINC001594647594 956445498 /nfs/dbraw/zinc/44/54/98/956445498.db2.gz WOUZNMQPTFYJHE-UPJWGTAASA-N -1 1 341.408 -0.288 20 0 EBADMM COC[C@]1(C)NC(=O)N(CN2CC[C@](COC)(C(=O)[O-])C2)C1=O ZINC001593966848 956557758 /nfs/dbraw/zinc/55/77/58/956557758.db2.gz OFBLRRUBVNUOSW-KBPBESRZSA-N -1 1 329.353 -0.676 20 0 EBADMM C[C@]1(C(=O)[O-])CCN(C(=O)C(=O)NCCCCN2CCOCC2)C1 ZINC001589187951 956573969 /nfs/dbraw/zinc/57/39/69/956573969.db2.gz OQGNOQMCQWMMPC-INIZCTEOSA-N -1 1 341.408 -0.462 20 0 EBADMM COCCN1CC[C@@H](NC(=O)N2CC[C@@](COC)(C(=O)[O-])C2)C1 ZINC001594014772 957021859 /nfs/dbraw/zinc/02/18/59/957021859.db2.gz MIHKZTDNYRMXFZ-IUODEOHRSA-N -1 1 329.397 -0.160 20 0 EBADMM O=C([O-])c1sccc1S(=O)(=O)NCC(=O)N1CCNCC1 ZINC000048839471 957465616 /nfs/dbraw/zinc/46/56/16/957465616.db2.gz HGFVQEZNVNASEN-UHFFFAOYSA-N -1 1 333.391 -0.844 20 0 EBADMM O=C([O-])COCCOCCNC(=O)N1CCN(C2CCC2)CC1 ZINC001521234265 958230843 /nfs/dbraw/zinc/23/08/43/958230843.db2.gz OCAZAPVKEQOGQV-UHFFFAOYSA-N -1 1 329.397 -0.016 20 0 EBADMM C[C@@H]([C@H](C)S(C)(=O)=O)N(C)C(=O)NC1CCN(CC(=O)[O-])CC1 ZINC001588607121 959482921 /nfs/dbraw/zinc/48/29/21/959482921.db2.gz PSGLXMQEVLSFJD-QWRGUYRKSA-N -1 1 349.453 -0.002 20 0 EBADMM C[C@@]1(C(=O)[O-])CCN(C(=O)NCc2nc(CS(C)(=O)=O)n[nH]2)C1 ZINC001573652744 959649374 /nfs/dbraw/zinc/64/93/74/959649374.db2.gz AAANLSBBWOIWTK-GFCCVEGCSA-N -1 1 345.381 -0.645 20 0 EBADMM O=C([O-])C1CC2(C1)CC[C@H](CNC(=O)NCCN1CC[C@@H](O)C1)O2 ZINC001605780539 972491623 /nfs/dbraw/zinc/49/16/23/972491623.db2.gz MKBHMUQLLVYJSK-LGESCRJUSA-N -1 1 341.408 -0.235 20 0 EBADMM CCOC(=O)c1c[nH]c(NC(=O)[C@@H]2CCCN(CC(=O)[O-])C2=O)n1 ZINC001573905759 961452778 /nfs/dbraw/zinc/45/27/78/961452778.db2.gz OEPASKRBSROIKD-QMMMGPOBSA-N -1 1 338.320 -0.152 20 0 EBADMM CC(C)(C)OC(=O)N[C@@H](CC(=O)[O-])C(=O)NCCc1nc[nH]n1 ZINC001571175796 962101544 /nfs/dbraw/zinc/10/15/44/962101544.db2.gz LERUTVSQLAXEFD-QMMMGPOBSA-N -1 1 327.341 -0.169 20 0 EBADMM O=C([O-])C1(NCC(=O)N2CCC3(CC2)OCCO3)CCOCC1 ZINC001571180074 962146877 /nfs/dbraw/zinc/14/68/77/962146877.db2.gz FIGZMWRYAISXDL-UHFFFAOYSA-N -1 1 328.365 -0.425 20 0 EBADMM C[C@@H]1CN(C(=O)c2cc(S(N)(=O)=O)ccc2O)C[C@H]1C(=O)[O-] ZINC001571180390 962150767 /nfs/dbraw/zinc/15/07/67/962150767.db2.gz XUWFEQDXQKAFDJ-GMSGAONNSA-N -1 1 328.346 -0.168 20 0 EBADMM COC[C@@](C)(CC(=O)[O-])NS(=O)(=O)N=S1(=O)CCCC1 ZINC001571180737 962153975 /nfs/dbraw/zinc/15/39/75/962153975.db2.gz DHFCIQQWFSZBPT-SNVBAGLBSA-N -1 1 328.412 -0.038 20 0 EBADMM C[C@H](O)CN1CCN(C(=O)NC[C@H]2CCO[C@H]2C(=O)[O-])[C@H](C)C1 ZINC001571186779 962217655 /nfs/dbraw/zinc/21/76/55/962217655.db2.gz WBSCGWIVGRUZIW-YVECIDJPSA-N -1 1 329.397 -0.427 20 0 EBADMM CC(C)N1CCC(C(=O)N2CCS(=O)(=O)C[C@H]2C(=O)[O-])CC1 ZINC001588921955 962386239 /nfs/dbraw/zinc/38/62/39/962386239.db2.gz UGGNZRYHTCOWLS-LBPRGKRZSA-N -1 1 332.422 -0.183 20 0 EBADMM C[C@@H]1CN(C(=O)CN2CCCC2)C[C@H]1Nc1ccnc(C(=O)[O-])n1 ZINC001571212921 962469601 /nfs/dbraw/zinc/46/96/01/962469601.db2.gz HGPDBABOJUVUFI-VXGBXAGGSA-N -1 1 333.392 -0.049 20 0 EBADMM C[C@H](C(=O)NC(=O)NCc1ccccc1)N1CC[C@@](O)(C(=O)[O-])C1 ZINC001571223647 962563828 /nfs/dbraw/zinc/56/38/28/962563828.db2.gz JASAASBGLCXXEC-BZNIZROVSA-N -1 1 335.360 -0.078 20 0 EBADMM O=C([O-])CNC(=O)CN1C[C@H](O)C[C@H]1c1n[nH]c(-c2ccccc2)n1 ZINC001574727378 962820953 /nfs/dbraw/zinc/82/09/53/962820953.db2.gz YHTPWDPPEDZBNW-NEPJUHHUSA-N -1 1 345.359 -0.220 20 0 EBADMM C[C@@H]1CN(CCCOC(=O)[C@H]2CCCN(CC(=O)[O-])C2=O)CCO1 ZINC001603461192 973298336 /nfs/dbraw/zinc/29/83/36/973298336.db2.gz OOHSUVBDBCXTQO-OLZOCXBDSA-N -1 1 342.392 -0.036 20 0 EBADMM C[C@@H]1CN(CCNS(=O)(=O)c2cnn(C)c2)CC[C@@H]1C(=O)[O-] ZINC001603461529 973301759 /nfs/dbraw/zinc/30/17/59/973301759.db2.gz PUJNNRYXGCETOA-PWSUYJOCSA-N -1 1 330.410 -0.259 20 0 EBADMM O=C([O-])CN1CC[C@@H](N2CCCC[C@H]2CN2CCOCC2)C1=O ZINC001606231285 973492281 /nfs/dbraw/zinc/49/22/81/973492281.db2.gz HNILBWYCKUTDEM-UONOGXRCSA-N -1 1 325.409 -0.141 20 0 EBADMM O=C([O-])CN1CC[C@H](N2CCCC[C@@H]2CN2CCOCC2)C1=O ZINC001606231284 973492586 /nfs/dbraw/zinc/49/25/86/973492586.db2.gz HNILBWYCKUTDEM-KGLIPLIRSA-N -1 1 325.409 -0.141 20 0 EBADMM O=C([O-])CN1CC[C@H](N2CC[C@H](C(=O)NCC(F)(F)F)C2)C1=O ZINC001606232371 973498518 /nfs/dbraw/zinc/49/85/18/973498518.db2.gz SUEXOCYYOOFLIG-IUCAKERBSA-N -1 1 337.298 -0.328 20 0 EBADMM O=C([O-])CN1CCC[C@@H](C(=O)NCCN2CCCOCC2)C1=O ZINC001606249018 973546434 /nfs/dbraw/zinc/54/64/34/973546434.db2.gz DGLMVUDYODRZJQ-LBPRGKRZSA-N -1 1 327.381 -0.852 20 0 EBADMM O=C([O-])COCCOCCNS(=O)(=O)N=S1(=O)CCCC1 ZINC001606286358 973683761 /nfs/dbraw/zinc/68/37/61/973683761.db2.gz SXQNCHKEPNCCTK-UHFFFAOYSA-N -1 1 344.411 -0.800 20 0 EBADMM COCCN(CCC(=O)[O-])C(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001604599836 973700270 /nfs/dbraw/zinc/70/02/70/973700270.db2.gz FHNIFVJLTKDSAL-ZDUSSCGKSA-N -1 1 330.429 -0.245 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)N2C[C@@H](C)C[C@H](C(=O)[O-])C2)C1 ZINC001604612359 973728686 /nfs/dbraw/zinc/72/86/86/973728686.db2.gz DKMMJAIEXZWZPL-AVGNSLFASA-N -1 1 341.408 -0.608 20 0 EBADMM O=C([O-])Cc1ccccc1NC(=O)C(=O)NCCN1CC[C@@H](O)C1 ZINC001606313230 973730244 /nfs/dbraw/zinc/73/02/44/973730244.db2.gz UWSFHDFAIJRFDF-GFCCVEGCSA-N -1 1 335.360 -0.565 20 0 EBADMM CCOC(=O)c1c(C)[nH]nc1CN1CCS(=O)(=O)C[C@@H]1C(=O)[O-] ZINC001603811066 974284821 /nfs/dbraw/zinc/28/48/21/974284821.db2.gz TYEQJTQHCWAWQY-SNVBAGLBSA-N -1 1 345.377 -0.422 20 0 EBADMM O=C([O-])[C@@H](Cc1cnc[nH]1)NC(=O)c1cccc(-n2cnnn2)c1 ZINC000585868716 974961734 /nfs/dbraw/zinc/96/17/34/974961734.db2.gz VMTTXBULGGDBNX-GFCCVEGCSA-N -1 1 327.304 -0.189 20 0 EBADMM CC(C)[C@H](NC(=O)C(F)(F)F)C(=O)NCC(=O)NCC(=O)[O-] ZINC001591859138 976154138 /nfs/dbraw/zinc/15/41/38/976154138.db2.gz OGAXROURGMFLSQ-QMMMGPOBSA-N -1 1 327.259 -0.994 20 0 EBADMM C[C@@H](Cc1cnc[nH]1)C(=O)N1CCN([C@]2(C(=O)[O-])CCOC2)CC1 ZINC001592907977 979860057 /nfs/dbraw/zinc/86/00/57/979860057.db2.gz VKWGHIBGJQBZJP-BLLLJJGKSA-N -1 1 336.392 -0.024 20 0 EBADMM C[C@H](NC(=O)CN[C@](C)(CC(=O)[O-])c1ccncc1)C(=O)N(C)C ZINC001593029607 980301258 /nfs/dbraw/zinc/30/12/58/980301258.db2.gz VIXKXZNUTRZHEE-MEDUHNTESA-N -1 1 336.392 -0.046 20 0 EBADMM CC[C@@H](C)[C@@H](NC(=O)CN1C[C@@H]2C(=O)N(C)C(=O)[C@@H]2C1)C(=O)[O-] ZINC001594894789 982326873 /nfs/dbraw/zinc/32/68/73/982326873.db2.gz ZJVWZNXPOJZEQN-MWGHHZFTSA-N -1 1 325.365 -0.852 20 0 EBADMM CC(C)(C)OC(=O)N[C@@H](CC(=O)[O-])C(=O)NCCN1CC[C@H](O)C1 ZINC001573778735 983111108 /nfs/dbraw/zinc/11/11/08/983111108.db2.gz HOFITZYHJWTBRN-QWRGUYRKSA-N -1 1 345.396 -0.463 20 0 EBADMM CCCS(=O)(=O)N1CCC(NCc2cn(CC(=O)[O-])nn2)CC1 ZINC001595979741 983215661 /nfs/dbraw/zinc/21/56/61/983215661.db2.gz DBFVQZAKDLNEDK-UHFFFAOYSA-N -1 1 345.425 -0.344 20 0 EBADMM CCN(CC)CCS(=O)(=O)N1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001596270514 983688151 /nfs/dbraw/zinc/68/81/51/983688151.db2.gz BZGVESZMEPOPAT-NSHDSACASA-N -1 1 345.425 -0.105 20 0 EBADMM CCOC(=O)[C@H](CC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-])C(C)=O ZINC001596527294 984341902 /nfs/dbraw/zinc/34/19/02/984341902.db2.gz XWTWGORABQLYND-MNOVXSKESA-N -1 1 325.321 -0.320 20 0 EBADMM C[C@H](NC(=O)[C@H](C)NC(=O)NCc1cc(N(C)C)ccn1)C(=O)[O-] ZINC001599897694 984773768 /nfs/dbraw/zinc/77/37/68/984773768.db2.gz KISVXPAVLJJYRW-UWVGGRQHSA-N -1 1 337.380 -0.075 20 0 EBADMM C[C@H]1CNCCN1C(=O)C(=O)N(C)Cc1ccc(OCC(=O)[O-])cc1 ZINC001599932912 985348274 /nfs/dbraw/zinc/34/82/74/985348274.db2.gz YQEHOFSTUPAYJS-LBPRGKRZSA-N -1 1 349.387 -0.071 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NCC[C@]1(O)CCOC1 ZINC001594516666 985911373 /nfs/dbraw/zinc/91/13/73/985911373.db2.gz QHUADUGKPAURBM-DOMZBBRYSA-N -1 1 329.397 -0.282 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)NCc1nnc2n1CCC2 ZINC001594519456 985956071 /nfs/dbraw/zinc/95/60/71/985956071.db2.gz VQILRNHYPZFSHE-NSHDSACASA-N -1 1 336.396 -0.085 20 0 EBADMM C[C@@H]1CN(CCNS(=O)(=O)c2cc(C(=O)[O-])no2)[C@H](C)CO1 ZINC001594531994 986128271 /nfs/dbraw/zinc/12/82/71/986128271.db2.gz RPGSYMAJDWPMIK-RKDXNWHRSA-N -1 1 333.366 -0.240 20 0 EBADMM C[C@@H](C(=O)NCc1ccc(C(=O)[O-])cc1)N1C[C@@H](CO)[C@H](CO)C1 ZINC001589414318 986630070 /nfs/dbraw/zinc/63/00/70/986630070.db2.gz FXECUXUFXBCZJH-CQDKDKBSSA-N -1 1 336.388 -0.078 20 0 EBADMM C[C@@H]1OCC[C@@H]1S(=O)(=O)N(CCN1CCN(C)CC1)CC(=O)[O-] ZINC001594649218 986861918 /nfs/dbraw/zinc/86/19/18/986861918.db2.gz TUSZXFFAQKCLMZ-STQMWFEESA-N -1 1 349.453 -0.872 20 0 EBADMM CC(C)(C(=O)[O-])n1cc(NC(=O)NCC(=O)N2CCNCC2)cn1 ZINC001589665944 987647892 /nfs/dbraw/zinc/64/78/92/987647892.db2.gz LHTGTJQAQZHPQJ-UHFFFAOYSA-N -1 1 338.368 -0.744 20 0 EBADMM CCn1cc(NC(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)cn1 ZINC001597895148 989754395 /nfs/dbraw/zinc/75/43/95/989754395.db2.gz AIGVAFZMJOZQGE-UHFFFAOYSA-N -1 1 337.380 -0.151 20 0 EBADMM CC(C)C(=O)N[C@@H](CO)C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC001590939496 990975411 /nfs/dbraw/zinc/97/54/11/990975411.db2.gz XCSLIUQCFONTBQ-NEPJUHHUSA-N -1 1 329.397 -0.873 20 0 EBADMM CN(C)S(=O)(=O)c1ccc(O)c(C(=O)N[C@H](CF)C(=O)[O-])c1 ZINC001598277427 991160424 /nfs/dbraw/zinc/16/04/24/991160424.db2.gz ZJQZFTMXOKVSGI-SECBINFHSA-N -1 1 334.325 -0.205 20 0 EBADMM CN(C)S(=O)(=O)n1ccnc1CN[C@H]1C[C@@H]2C[C@]2(C(=O)[O-])C1 ZINC001598282893 991168007 /nfs/dbraw/zinc/16/80/07/991168007.db2.gz OZDPACWVNAFNOK-NRUUGDAUSA-N -1 1 328.394 -0.120 20 0 EBADMM CN(CC(=O)N[C@H](C[C@H]1CCCO1)C(=O)[O-])c1ncnc2[nH]cnc21 ZINC001598387846 991624982 /nfs/dbraw/zinc/62/49/82/991624982.db2.gz XEENROMYGXNBKM-NXEZZACHSA-N -1 1 348.363 -0.072 20 0 EBADMM CC(C)[C@@H]1CNCCN1C(=O)C(=O)N1CCO[C@H](CC(=O)[O-])C1 ZINC001591096813 991694285 /nfs/dbraw/zinc/69/42/85/991694285.db2.gz UIQRPVKJFGOISB-NEPJUHHUSA-N -1 1 327.381 -0.855 20 0 EBADMM CN(CC(=O)[O-])C(=O)CCN1CCN(c2cc(F)ncn2)CC1 ZINC001598409671 991730151 /nfs/dbraw/zinc/73/01/51/991730151.db2.gz PWNYQSFDQVYQKS-UHFFFAOYSA-N -1 1 325.344 -0.329 20 0 EBADMM COc1ncccc1C(=O)N(CCN1CCN(C)CC1)CC(=O)[O-] ZINC001599736870 991787330 /nfs/dbraw/zinc/78/73/30/991787330.db2.gz QEGNWVVNDCSADK-UHFFFAOYSA-N -1 1 336.392 -0.136 20 0 EBADMM CS(=O)(=O)Nc1ccccc1C(=O)OCC(=O)NCCC(=O)[O-] ZINC001599762078 992135680 /nfs/dbraw/zinc/13/56/80/992135680.db2.gz IRVNJHSZWIJMLS-UHFFFAOYSA-N -1 1 344.345 -0.194 20 0 EBADMM CS(=O)(=O)[C@H]1C[C@]1(CNC(=O)[C@H]1CCCc2[nH]ncc21)C(=O)[O-] ZINC001599763012 992148261 /nfs/dbraw/zinc/14/82/61/992148261.db2.gz RQWBBCJTFWKYHR-YLGCSUCGSA-N -1 1 341.389 -0.166 20 0 EBADMM CC(C)C[C@H](NC(=O)CNC(=O)[C@@H]1CN2CCN1C[C@H]2C)C(=O)[O-] ZINC001591457467 992563684 /nfs/dbraw/zinc/56/36/84/992563684.db2.gz IIOHBPNZPBLDTJ-AGIUHOORSA-N -1 1 340.424 -0.894 20 0 EBADMM CC(C)NC(=O)N1CCC[C@H]1C(=O)N1CCN(CC(=O)[O-])CC1 ZINC001591647349 992967985 /nfs/dbraw/zinc/96/79/85/992967985.db2.gz HXKFLVODIHXUIO-LBPRGKRZSA-N -1 1 326.397 -0.202 20 0 EBADMM CN1C(=O)[C@H]2CN(CC(=O)NC3(C(=O)[O-])CCCCC3)C[C@H]2C1=O ZINC001598556051 993058129 /nfs/dbraw/zinc/05/81/29/993058129.db2.gz ABVYQRPUPZQMSD-PHIMTYICSA-N -1 1 337.376 -0.563 20 0 EBADMM CN1CCC[C@H]1C(=O)N[C@@H]1[C@H]2CN(c3ccnc(C(=O)[O-])n3)C[C@H]21 ZINC001598595388 993497370 /nfs/dbraw/zinc/49/73/70/993497370.db2.gz VEZHXVUCCNHSMP-SRRSOLGSSA-N -1 1 331.376 -0.180 20 0 EBADMM CN1CCN(C(=O)C(=O)Nc2ccc3n[nH]cc3c2)C[C@H](C(=O)[O-])C1 ZINC001598603933 993611973 /nfs/dbraw/zinc/61/19/73/993611973.db2.gz MZQKIZFUPUQHBC-LLVKDONJSA-N -1 1 345.359 -0.024 20 0 EBADMM CN1CCN(C(=O)C(=O)Nc2cc3n(n2)CCCC3)C[C@@H](C(=O)[O-])C1 ZINC001598604682 993627316 /nfs/dbraw/zinc/62/73/16/993627316.db2.gz WKSZOWWYMZJKLR-NSHDSACASA-N -1 1 349.391 -0.367 20 0 EBADMM C[N@H+]1CCN(C(=O)c2c[nH]c3nc(=O)[n-]c(=O)c-3c2)C[C@H](C(=O)[O-])C1 ZINC001598613259 993824335 /nfs/dbraw/zinc/82/43/35/993824335.db2.gz OCRIVCBHKOSQIL-SECBINFHSA-N -1 1 347.331 -0.476 20 0 EBADMM Cn1cncc1[C@@H]1C[C@H]1C(=O)N(CCN1CCN(C)CC1)CC(=O)[O-] ZINC001598615272 993866652 /nfs/dbraw/zinc/86/66/52/993866652.db2.gz ANGUSMURYHACKM-ZIAGYGMSSA-N -1 1 349.435 -0.316 20 0 EBADMM CN1CCN(CCCN2C(=O)CS/C2=C\C(=O)[O-])C[C@H]1CO ZINC001598617869 993926858 /nfs/dbraw/zinc/92/68/58/993926858.db2.gz JOYZYHPPULPFST-HKMIMPICSA-N -1 1 329.422 -0.514 20 0 EBADMM CN1CCN(S(=O)(=O)Cc2noc(C3CC3)n2)C[C@H](C(=O)[O-])C1 ZINC001598620629 993999411 /nfs/dbraw/zinc/99/94/11/993999411.db2.gz GEFBJDMRHWERIR-SNVBAGLBSA-N -1 1 344.393 -0.275 20 0 EBADMM CNS(=O)(=O)c1cc(C(=O)N2CCN(C)C[C@@H](C(=O)[O-])C2)co1 ZINC001598673291 994796055 /nfs/dbraw/zinc/79/60/55/994796055.db2.gz LBXMFWOBWQXYIN-SNVBAGLBSA-N -1 1 345.377 -0.724 20 0 EBADMM C[C@@](O)(Cn1ccccc1=O)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001593428082 995242105 /nfs/dbraw/zinc/24/21/05/995242105.db2.gz RQOLRUHDNXSCLN-XHDPSFHLSA-N -1 1 334.332 -0.866 20 0 EBADMM COC(=O)[C@@H]1CN(C(=O)NCc2n[nH]c(C3CC3)n2)C[C@H]1C(=O)[O-] ZINC001598818291 996295650 /nfs/dbraw/zinc/29/56/50/996295650.db2.gz XOTJSIKJFIGPAU-RKDXNWHRSA-N -1 1 337.336 -0.303 20 0 EBADMM COC(=O)[C@@H]1CN(C)CCN(C(=O)CCc2ocnc2C(=O)[O-])C1 ZINC001598819397 996307481 /nfs/dbraw/zinc/30/74/81/996307481.db2.gz OHRKFVSPBURUSS-SNVBAGLBSA-N -1 1 339.348 -0.131 20 0 EBADMM COC[C@]1(C)NC(=O)N(CN2C[C@@H](C(=O)[O-])[C@H](C3CC3)C2)C1=O ZINC001599194719 997193334 /nfs/dbraw/zinc/19/33/34/997193334.db2.gz ILBPRMFLGYPURP-RWSFTLGLSA-N -1 1 325.365 -0.057 20 0 EBADMM COCc1nc(CNC(=O)C(=O)Nc2cc(C(=O)[O-])cs2)n[nH]1 ZINC001599306368 997323043 /nfs/dbraw/zinc/32/30/43/997323043.db2.gz QHXGNWWEXUNIRE-UHFFFAOYSA-N -1 1 339.333 -0.034 20 0 EBADMM COC[C@H]1CNCCN1C(=O)C(=O)Nc1ccccc1CC(=O)[O-] ZINC001599206510 997417800 /nfs/dbraw/zinc/41/78/00/997417800.db2.gz AAXGLUMFMRRMGB-GFCCVEGCSA-N -1 1 335.360 -0.301 20 0 EBADMM COC[C@H]1CNCCN1C(=O)C(=O)Nc1cccc(F)c1C(=O)[O-] ZINC001599208578 997456337 /nfs/dbraw/zinc/45/63/37/997456337.db2.gz VUVNSSIUPDWMFB-SECBINFHSA-N -1 1 339.323 -0.091 20 0 EBADMM COC[C@@H]1CNCCN1C(=O)C(=O)Nc1cccc(CC(=O)[O-])c1 ZINC001599208668 997458233 /nfs/dbraw/zinc/45/82/33/997458233.db2.gz XVMFUVUFBVCGIO-ZDUSSCGKSA-N -1 1 335.360 -0.301 20 0 EBADMM CO[C@@H]1CN(c2ncc(C(=O)[O-])cn2)C[C@H]1NC(=O)CN1CCCC1 ZINC001599323546 997623982 /nfs/dbraw/zinc/62/39/82/997623982.db2.gz YWKCWQDQLRRHCL-CHWSQXEVSA-N -1 1 349.391 -0.410 20 0 EBADMM COCC[C@H]1CNCCN1C(=O)C(=O)N1C[C@@H](C)[C@H](CC(=O)[O-])C1 ZINC001599221373 997674897 /nfs/dbraw/zinc/67/48/97/997674897.db2.gz PFTSRTFAPMLUNE-UPJWGTAASA-N -1 1 341.408 -0.608 20 0 EBADMM COC1CCN(S(=O)(=O)N2CCN([C@@H](C)CC(=O)[O-])CC2)CC1 ZINC001599125310 997833243 /nfs/dbraw/zinc/83/32/43/997833243.db2.gz XSYBXEZFHCLQJH-LBPRGKRZSA-N -1 1 349.453 -0.177 20 0 EBADMM COCCN1CC[C@@H](NC(=O)N2C[C@H]3COCC[C@@]3(C(=O)[O-])C2)C1 ZINC001599243772 998099672 /nfs/dbraw/zinc/09/96/72/998099672.db2.gz MXDLCSQBBUPUSN-WOSRLPQWSA-N -1 1 341.408 -0.160 20 0 EBADMM COCCN1CCC[C@H]1CNC(=O)C(=O)N1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC001599244174 998110284 /nfs/dbraw/zinc/11/02/84/998110284.db2.gz XSPSWOFPMGWFSP-FRRDWIJNSA-N -1 1 341.408 -0.608 20 0 EBADMM C[C@H]1CN(C(=O)C(=O)Nc2cc3c(cc2C(=O)[O-])OCO3)CCN1 ZINC001594458007 999663859 /nfs/dbraw/zinc/66/38/59/999663859.db2.gz LLMWJIJAZQJFCV-QMMMGPOBSA-N -1 1 335.316 -0.128 20 0 EBADMM C[C@@H]1CN(CCO)[C@@H](C)CN1C(=O)Cn1cccc(C(=O)[O-])c1=O ZINC001594470135 999700577 /nfs/dbraw/zinc/70/05/77/999700577.db2.gz CLDNZPMJSKNYGC-NWDGAFQWSA-N -1 1 337.376 -0.540 20 0 EBADMM CCN(CCNC(=O)c1ccc2nonc2c1)Cc1nc(=O)n(C)[n-]1 ZINC001691038764 1125809294 /nfs/dbraw/zinc/80/92/94/1125809294.db2.gz MYSBDBZCVHTFJB-UHFFFAOYSA-N -1 1 345.363 -0.104 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1c[nH]nc1[C@H]1CCCO1 ZINC001691444399 1125880508 /nfs/dbraw/zinc/88/05/08/1125880508.db2.gz NALLXCBHRNQEMO-SNVBAGLBSA-N -1 1 335.368 -0.053 20 0 EBADMM CCN(CCNC(=O)c1cnn2cc[nH]c12)Cc1nc(=O)n(C)[n-]1 ZINC001481014038 1125907230 /nfs/dbraw/zinc/90/72/30/1125907230.db2.gz XJEWOLVTUPYXPF-UHFFFAOYSA-N -1 1 332.368 -0.664 20 0 EBADMM COCC(C)(C)C(=O)NC[C@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001481048247 1125913303 /nfs/dbraw/zinc/91/33/03/1125913303.db2.gz SOTRNPQNKWRJPZ-LLVKDONJSA-N -1 1 325.413 -0.138 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1cc(-c2ccn(C)n2)on1 ZINC001481234814 1125948915 /nfs/dbraw/zinc/94/89/15/1125948915.db2.gz QCFOOKOFXZIASY-UHFFFAOYSA-N -1 1 346.351 -0.239 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)C[C@H]1NC(=O)c2ccccc21 ZINC001481294487 1125968225 /nfs/dbraw/zinc/96/82/25/1125968225.db2.gz MGOXSJPMYQYOKT-GFCCVEGCSA-N -1 1 344.375 -0.067 20 0 EBADMM CCC(=O)N[C@@H](C)C(=O)N1CC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001481484597 1126001593 /nfs/dbraw/zinc/00/15/93/1126001593.db2.gz AOXVKMOKQMFGGZ-QWRGUYRKSA-N -1 1 338.412 -0.295 20 0 EBADMM C[C@H](Oc1ccccn1)C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001481595254 1126020350 /nfs/dbraw/zinc/02/03/50/1126020350.db2.gz VFIDEQRRXDABHU-JTQLQIEISA-N -1 1 332.364 -0.729 20 0 EBADMM CO[C@](C)(C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1)c1ccccc1 ZINC001481595600 1126020361 /nfs/dbraw/zinc/02/03/61/1126020361.db2.gz NJQKIVUQHUIKFU-KRWDZBQOSA-N -1 1 345.403 -0.029 20 0 EBADMM C[C@H](CC(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)n1ccnc1 ZINC001481745410 1126049853 /nfs/dbraw/zinc/04/98/53/1126049853.db2.gz RYJGOEFFKPCNIC-CHWSQXEVSA-N -1 1 347.423 -0.011 20 0 EBADMM C[C@@H](CC(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)n1ccnc1 ZINC001481745413 1126049918 /nfs/dbraw/zinc/04/99/18/1126049918.db2.gz RYJGOEFFKPCNIC-STQMWFEESA-N -1 1 347.423 -0.011 20 0 EBADMM CCC(=O)N[C@@H](C)C(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001481898210 1126065478 /nfs/dbraw/zinc/06/54/78/1126065478.db2.gz LEDRFCXUNSIQPJ-JTQLQIEISA-N -1 1 338.412 -0.056 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@](C)(CNCc2n[nH]c(=O)[n-]2)C2CC2)C1 ZINC001481926288 1126067077 /nfs/dbraw/zinc/06/70/77/1126067077.db2.gz HPOOYXPOPPXPNH-OAHLLOKOSA-N -1 1 336.396 -0.637 20 0 EBADMM C[C@@](CNCc1n[nH]c(=O)[n-]1)(NC(=O)[C@@H]1CCC(=O)NC1)C1CC1 ZINC001481933451 1126067562 /nfs/dbraw/zinc/06/75/62/1126067562.db2.gz JRYIWIZDGKZJGY-PSLIRLAXSA-N -1 1 336.396 -0.589 20 0 EBADMM C[C@@](CNCc1n[nH]c(=O)[n-]1)(NC(=O)C[C@H]1CCC(=O)N1)C1CC1 ZINC001481944674 1126068630 /nfs/dbraw/zinc/06/86/30/1126068630.db2.gz OIKXZPXAGDXPKU-BMIGLBTASA-N -1 1 336.396 -0.447 20 0 EBADMM O=C(Cc1nc[nH]n1)NCCC1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001482050285 1126073763 /nfs/dbraw/zinc/07/37/63/1126073763.db2.gz VICUGJZMIXDRAC-UHFFFAOYSA-N -1 1 334.384 -0.411 20 0 EBADMM COc1cc(S(=O)(=O)[N-][C@@H]2CS(=O)(=O)C[C@H]2OC)sn1 ZINC001364007480 1126134007 /nfs/dbraw/zinc/13/40/07/1126134007.db2.gz YZXFLKVNMXFVAO-RNFRBKRXSA-N -1 1 342.420 -0.758 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)c1cnc2cccnn21 ZINC001482339436 1126134257 /nfs/dbraw/zinc/13/42/57/1126134257.db2.gz QWLAFZVTGVJMAY-SECBINFHSA-N -1 1 330.352 -0.197 20 0 EBADMM CN(CCCNC(=O)CS(C)(=O)=O)C(=O)c1ncccc1[O-] ZINC001687401491 1126183586 /nfs/dbraw/zinc/18/35/86/1126183586.db2.gz XBECTCPQDLPDGX-UHFFFAOYSA-N -1 1 329.378 -0.590 20 0 EBADMM Cc1[nH]nc(C(=O)N(C)CCN(C)CCN2C(=O)CCC2=O)c1[O-] ZINC001482590501 1126208093 /nfs/dbraw/zinc/20/80/93/1126208093.db2.gz VMNHGFVXAFFQEA-UHFFFAOYSA-N -1 1 337.380 -0.423 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2C[C@H](S(C)(=O)=O)C2)[n-]n1 ZINC001414327152 1126223842 /nfs/dbraw/zinc/22/38/42/1126223842.db2.gz UOXPZSNGIPEVQF-LJGSYFOKSA-N -1 1 337.379 -0.950 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2C[C@H](S(C)(=O)=O)C2)n[n-]1 ZINC001414327152 1126223843 /nfs/dbraw/zinc/22/38/43/1126223843.db2.gz UOXPZSNGIPEVQF-LJGSYFOKSA-N -1 1 337.379 -0.950 20 0 EBADMM CN(CCN(C)C(=O)[C@@H]1CCn2ccnc2C1)Cc1nc(=O)n(C)[n-]1 ZINC001482609557 1126236147 /nfs/dbraw/zinc/23/61/47/1126236147.db2.gz YKSDNLARWSCMEB-GFCCVEGCSA-N -1 1 347.423 -0.542 20 0 EBADMM CN(C)[S@](C)(=O)=NS(=O)(=O)[N-]Cc1noc2c1COCC2 ZINC001414342515 1126239219 /nfs/dbraw/zinc/23/92/19/1126239219.db2.gz CULDOGGQALQODN-HXUWFJFHSA-N -1 1 338.411 -0.344 20 0 EBADMM CCNC(=O)CC(=O)N1CC[C@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001482662907 1126248475 /nfs/dbraw/zinc/24/84/75/1126248475.db2.gz UBZCNRGLVHPRTL-MNOVXSKESA-N -1 1 336.396 -0.541 20 0 EBADMM CC[C@H](CNC(=O)c1ncccc1[O-])NC(=O)C1CS(=O)(=O)C1 ZINC001409261472 1126320090 /nfs/dbraw/zinc/32/00/90/1126320090.db2.gz LTDXPNCUEALJPW-SNVBAGLBSA-N -1 1 341.389 -0.544 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC/C=C/CNCc1ccon1 ZINC001483069741 1126328899 /nfs/dbraw/zinc/32/88/99/1126328899.db2.gz AIDJMWWZULOKQZ-OWOJBTEDSA-N -1 1 333.348 -0.623 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)NCC=CCNCc1ccc(F)cn1 ZINC001483085781 1126330537 /nfs/dbraw/zinc/33/05/37/1126330537.db2.gz BAURKDHEITUFCV-OWOJBTEDSA-N -1 1 336.327 -0.314 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H](C)NC(=O)COCc1nccn1C ZINC001409343804 1126356211 /nfs/dbraw/zinc/35/62/11/1126356211.db2.gz DNMJKNJFQGAOME-NXEZZACHSA-N -1 1 337.384 -0.557 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CCC(=O)N1)N(C)Cc1cc(=O)n2[n-]ccc2n1 ZINC001409500768 1126371598 /nfs/dbraw/zinc/37/15/98/1126371598.db2.gz HHCHIVDOJOEPJJ-CMPLNLGQSA-N -1 1 346.391 -0.762 20 0 EBADMM C[C@H](CNC(=O)c1cn2c(n1)COCC2)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001409579155 1126378944 /nfs/dbraw/zinc/37/89/44/1126378944.db2.gz FLYLFKOQAIUCMS-SNVBAGLBSA-N -1 1 349.395 -0.915 20 0 EBADMM CNC(=O)NCC(=O)N1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001409589250 1126380482 /nfs/dbraw/zinc/38/04/82/1126380482.db2.gz LWSXRDTWPRSZPL-SNVBAGLBSA-N -1 1 335.364 -0.563 20 0 EBADMM C[C@@H](CNC(=O)c1ccc2oc(=O)nc-2[n-]1)N(C)[C@H]1CCNC1=O ZINC001409634691 1126386147 /nfs/dbraw/zinc/38/61/47/1126386147.db2.gz AFWGYISALHXESN-WPRPVWTQSA-N -1 1 333.348 -0.133 20 0 EBADMM C[C@@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)CNC(=O)C1CC(C)C1 ZINC001409673759 1126390652 /nfs/dbraw/zinc/39/06/52/1126390652.db2.gz FYDJATULDBCAAQ-HSOILSAZSA-N -1 1 346.391 -0.054 20 0 EBADMM O=C(CN1CC(CCO)(NC(=O)c2ncccc2[O-])C1)NCC1CC1 ZINC001409702376 1126394366 /nfs/dbraw/zinc/39/43/66/1126394366.db2.gz CZFBUWQOYLZYSJ-UHFFFAOYSA-N -1 1 348.403 -0.520 20 0 EBADMM C[C@@H](CNCc1nccn1C)NC(=O)CCCn1c(=O)[n-][nH]c1=O ZINC001483242494 1126409107 /nfs/dbraw/zinc/40/91/07/1126409107.db2.gz LKCUJSNFOUSZKF-JTQLQIEISA-N -1 1 337.384 -0.503 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)c1ccc(-n2ccnc2)nc1 ZINC001483253442 1126410916 /nfs/dbraw/zinc/41/09/16/1126410916.db2.gz BCPOGVCCXGGEBJ-JTQLQIEISA-N -1 1 342.363 -0.001 20 0 EBADMM C[C@@H](CN(C)CC(=O)NC(=O)NC1CC1)NC(=O)c1ncccc1[O-] ZINC001483329469 1126416615 /nfs/dbraw/zinc/41/66/15/1126416615.db2.gz DYHSSCGQVCYNEY-JTQLQIEISA-N -1 1 349.391 -0.175 20 0 EBADMM CCc1nnsc1C(=O)N[C@@H](C)CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001483358956 1126420215 /nfs/dbraw/zinc/42/02/15/1126420215.db2.gz NWDHWAQDRPAWQA-QMMMGPOBSA-N -1 1 339.425 -0.227 20 0 EBADMM O=C(CCc1ncccn1)N[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001483595611 1126438774 /nfs/dbraw/zinc/43/87/74/1126438774.db2.gz YAVHDGUCHOPSHA-LLVKDONJSA-N -1 1 331.380 -0.083 20 0 EBADMM Cc1cnccc1CC(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001483651721 1126450330 /nfs/dbraw/zinc/45/03/30/1126450330.db2.gz XUJJCCHMOJEVMV-ZDUSSCGKSA-N -1 1 330.392 -0.255 20 0 EBADMM C[C@@H](CN1CCOCC1)C(=O)NC[C@H]1CCN1Cc1n[nH]c(=O)[n-]1 ZINC001483703094 1126461347 /nfs/dbraw/zinc/46/13/47/1126461347.db2.gz TZKLECXMPIYVCZ-NWDGAFQWSA-N -1 1 338.412 -0.831 20 0 EBADMM COC(=O)c1oc(S(=O)(=O)[N-][C@](C)(CO)C(=O)OC)cc1C ZINC001365416442 1126465442 /nfs/dbraw/zinc/46/54/42/1126465442.db2.gz GAGCIBHEVATDKF-GFCCVEGCSA-N -1 1 335.334 -0.423 20 0 EBADMM Cn1cc(CCC(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)cn1 ZINC001484071878 1126505007 /nfs/dbraw/zinc/50/50/07/1126505007.db2.gz SYIAHOLOGKIIMJ-MRXNPFEDSA-N -1 1 347.423 -0.445 20 0 EBADMM CO[C@H](C(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCOCC1)C1CC1 ZINC001484182239 1126512363 /nfs/dbraw/zinc/51/23/63/1126512363.db2.gz YAOMXCOFYBKGRP-LBPRGKRZSA-N -1 1 339.396 -0.310 20 0 EBADMM Cc1ccnn1CC(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCOCC1 ZINC001484189830 1126514521 /nfs/dbraw/zinc/51/45/21/1126514521.db2.gz SPARDQZEQIOHQH-UHFFFAOYSA-N -1 1 349.395 -0.530 20 0 EBADMM O=C(C[C@@H]1CCNC1=O)N1CC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001484523890 1126601523 /nfs/dbraw/zinc/60/15/23/1126601523.db2.gz WPXWSXJVXRQEJE-MNOVXSKESA-N -1 1 336.396 -0.635 20 0 EBADMM O=C(C[C@@H]1CCNC1=O)N1CC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001484523891 1126601674 /nfs/dbraw/zinc/60/16/74/1126601674.db2.gz WPXWSXJVXRQEJE-QWRGUYRKSA-N -1 1 336.396 -0.635 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1CC[C@H](CCNCc2ccon2)C1 ZINC001484529522 1126601743 /nfs/dbraw/zinc/60/17/43/1126601743.db2.gz OOOJZZZLIJMDEU-VIFPVBQESA-N -1 1 334.336 -0.087 20 0 EBADMM O=C(NC[C@H]1COCCN1CCNC(=O)C1CC1)c1ncccc1[O-] ZINC001484590276 1126606590 /nfs/dbraw/zinc/60/65/90/1126606590.db2.gz KMMGIYOZAATCKN-ZDUSSCGKSA-N -1 1 348.403 -0.256 20 0 EBADMM CCn1ccc(CNC2(CNC(=O)Cn3c(=O)[n-][nH]c3=O)CCC2)n1 ZINC001484659645 1126614777 /nfs/dbraw/zinc/61/47/77/1126614777.db2.gz JERHCBFSIUALRP-UHFFFAOYSA-N -1 1 349.395 -0.266 20 0 EBADMM O=C(c1cccnn1)N1CCC(O)(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001484962492 1126656607 /nfs/dbraw/zinc/65/66/07/1126656607.db2.gz XZPYRSCATNWJPC-UHFFFAOYSA-N -1 1 333.352 -0.943 20 0 EBADMM C[C@@H](NCc1nnn(C)n1)[C@@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001485197058 1126679742 /nfs/dbraw/zinc/67/97/42/1126679742.db2.gz CHGKZORSRBPCIR-RKDXNWHRSA-N -1 1 346.395 -0.120 20 0 EBADMM C[C@@H](CNC(=O)c1c2c(nn1C)CCC2)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001485372873 1126695847 /nfs/dbraw/zinc/69/58/47/1126695847.db2.gz FLPNWNIUBMOOKB-JTQLQIEISA-N -1 1 347.423 -0.419 20 0 EBADMM C[C@H](C(=O)NC(N)=O)N1CC([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC001485501357 1126733693 /nfs/dbraw/zinc/73/36/93/1126733693.db2.gz XXJGMAPNDGVIOW-DTWKUNHWSA-N -1 1 335.364 -0.579 20 0 EBADMM CN(C(=O)Cn1ccccc1=O)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001485833501 1126888743 /nfs/dbraw/zinc/88/87/43/1126888743.db2.gz JGFZUITYOJUJHA-LBPRGKRZSA-N -1 1 346.391 -0.301 20 0 EBADMM C[C@@H](N[C@@H](C)CN(C)C(=O)c1n[nH]c(=O)[n-]c1=O)c1cnccn1 ZINC001485895642 1126911367 /nfs/dbraw/zinc/91/13/67/1126911367.db2.gz NETJYZYYBQHHLQ-DTWKUNHWSA-N -1 1 333.352 -0.116 20 0 EBADMM CC(=O)N[C@@H](C(=O)N(C)C[C@@H](C)NCc1n[nH]c(=O)[n-]1)C(C)C ZINC001485948459 1126918612 /nfs/dbraw/zinc/91/86/12/1126918612.db2.gz XLLITZYRCWUOLE-BXKDBHETSA-N -1 1 326.401 -0.393 20 0 EBADMM CC[C@H](C(=O)N(C)C[C@@H](C)NCc1n[nH]c(=O)[n-]1)N1CCCC1=O ZINC001485958375 1126938740 /nfs/dbraw/zinc/93/87/40/1126938740.db2.gz IQGWXKAOSBXULJ-GHMZBOCLSA-N -1 1 338.412 -0.152 20 0 EBADMM O=C(NC[C@@H](CO)NCc1cc(=O)n2[n-]ccc2n1)[C@H]1C[C@H]2C[C@H]2C1 ZINC001486024784 1126975874 /nfs/dbraw/zinc/97/58/74/1126975874.db2.gz CBPHXOCUUJKALW-SFTQSGBHSA-N -1 1 345.403 -0.365 20 0 EBADMM Cc1cncc(CC(=O)N(C)C[C@H](O)CNCc2n[nH]c(=O)[n-]2)c1 ZINC001486291666 1127100578 /nfs/dbraw/zinc/10/05/78/1127100578.db2.gz KCPNVVOVRMPYDD-GFCCVEGCSA-N -1 1 334.380 -0.635 20 0 EBADMM CN(C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)Cc1cscn1 ZINC001486303500 1127107690 /nfs/dbraw/zinc/10/76/90/1127107690.db2.gz QPNPUGQGSDTZKL-SECBINFHSA-N -1 1 326.382 -0.882 20 0 EBADMM CC(C)CCC(=O)N(C)C[C@@H](O)CN(C)Cc1nc(=O)n(C)[n-]1 ZINC001486325651 1127127585 /nfs/dbraw/zinc/12/75/85/1127127585.db2.gz PSVVOKGOSWVINY-LBPRGKRZSA-N -1 1 327.429 -0.204 20 0 EBADMM C[C@H](C(=O)N(C)C[C@@H](O)CN(C)Cc1nc(=O)n(C)[n-]1)C1CCC1 ZINC001486340840 1127143062 /nfs/dbraw/zinc/14/30/62/1127143062.db2.gz LMNQELKDNFRCAR-AAEUAGOBSA-N -1 1 339.440 -0.204 20 0 EBADMM C[C@@H](NC(=O)c1ccoc1)[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001486654552 1127228930 /nfs/dbraw/zinc/22/89/30/1127228930.db2.gz WZPUOPFJRCJAOH-WDEREUQCSA-N -1 1 348.359 -0.157 20 0 EBADMM CN(C(=O)CCn1cncn1)C1CCC(NC(=O)c2cnn[nH]2)CC1 ZINC001486736812 1127249671 /nfs/dbraw/zinc/24/96/71/1127249671.db2.gz MKKYTTNGLFFGTJ-UHFFFAOYSA-N -1 1 346.395 -0.014 20 0 EBADMM Cc1nccc(N(C)CCNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001487401689 1127490534 /nfs/dbraw/zinc/49/05/34/1127490534.db2.gz VSLJDPXTTRADCK-UHFFFAOYSA-N -1 1 332.364 -0.722 20 0 EBADMM C[C@@H](CN(C)C(=O)CS(=O)(=O)C(C)(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001410492260 1127728635 /nfs/dbraw/zinc/72/86/35/1127728635.db2.gz UWPGTWSVQLQWIA-VIFPVBQESA-N -1 1 347.441 -0.340 20 0 EBADMM C[C@H](C(=O)NC[C@H](CO)NCc1cc(=O)n2[n-]ccc2n1)C1CC1 ZINC001410586863 1127761562 /nfs/dbraw/zinc/76/15/62/1127761562.db2.gz UCDXACPMWDHOHC-GXFFZTMASA-N -1 1 333.392 -0.365 20 0 EBADMM Cc1ccc(C(=O)N(C)C[C@H](O)CNCc2n[nH]c(=O)[n-]2)nc1C ZINC001411055674 1127991822 /nfs/dbraw/zinc/99/18/22/1127991822.db2.gz UUEPGRANVKNKFG-LLVKDONJSA-N -1 1 334.380 -0.255 20 0 EBADMM Cc1c[nH]nc1C(=O)N1CC[C@](O)(CNC(=O)c2ncccc2[O-])C1 ZINC001411164411 1128062238 /nfs/dbraw/zinc/06/22/38/1128062238.db2.gz YSHILAJMAKJQTP-INIZCTEOSA-N -1 1 345.359 -0.174 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4cnon4)C[C@]3(C)C2)nc1=O ZINC001092115470 1128182448 /nfs/dbraw/zinc/18/24/48/1128182448.db2.gz HNDBIZVNJSGUMZ-OTYXRUKQSA-N -1 1 333.352 -0.915 20 0 EBADMM CCn1nncc1C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001092588451 1128255204 /nfs/dbraw/zinc/25/52/04/1128255204.db2.gz GYICGKCKCQZHMI-NXEZZACHSA-N -1 1 334.384 -0.380 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001092703632 1128262032 /nfs/dbraw/zinc/26/20/32/1128262032.db2.gz KJTGFQQFCQEDFU-VXNVDRBHSA-N -1 1 335.368 -0.255 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)c1cn[nH]c(=O)c1 ZINC001092702510 1128262042 /nfs/dbraw/zinc/26/20/42/1128262042.db2.gz CKDISJMAKIWLNU-PSASIEDQSA-N -1 1 333.352 -0.496 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)[C@@H]1CCN(C)C1=O ZINC001092897136 1128285866 /nfs/dbraw/zinc/28/58/66/1128285866.db2.gz FCLUARMAMMFFSF-MXWKQRLJSA-N -1 1 336.396 -0.827 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@H]1CN(C)CCO1)NC(=O)c1ncccc1[O-] ZINC001487739981 1128409662 /nfs/dbraw/zinc/40/96/62/1128409662.db2.gz SZTSCTFDHUWMPG-WCQYABFASA-N -1 1 336.392 -0.306 20 0 EBADMM C[C@@H](CN(C)C(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)C(C)(C)F ZINC001487838749 1128430500 /nfs/dbraw/zinc/43/05/00/1128430500.db2.gz OGUVDYNJUXZLOT-JTQLQIEISA-N -1 1 342.371 -0.362 20 0 EBADMM O=C(C[C@H]1CCCCO1)N[C@@H](CO)CNC(=O)c1ncccc1[O-] ZINC001487975032 1128470595 /nfs/dbraw/zinc/47/05/95/1128470595.db2.gz BSFMSIQPQQIYOK-VXGBXAGGSA-N -1 1 337.376 -0.047 20 0 EBADMM COCC[C@@H](C)C(=O)N[C@@H](CO)CNC(=O)c1ncccc1[O-] ZINC001487978366 1128474574 /nfs/dbraw/zinc/47/45/74/1128474574.db2.gz RXAABDZMUAXPKG-GHMZBOCLSA-N -1 1 325.365 -0.333 20 0 EBADMM CC(C)=CC(=O)N1C[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C[C@@H]1C ZINC001488164004 1128639408 /nfs/dbraw/zinc/63/94/08/1128639408.db2.gz CPTBHVSLXIKOAI-QWHCGFSZSA-N -1 1 348.403 -0.002 20 0 EBADMM CC[C@H](OC)C(=O)NC[C@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001488351861 1128689596 /nfs/dbraw/zinc/68/95/96/1128689596.db2.gz VYLDIFHYYAFIGJ-JQWIXIFHSA-N -1 1 325.365 -0.239 20 0 EBADMM Cc1ccc(C(=O)N(C)C[C@H](O)CNC(=O)c2ncccc2[O-])nn1 ZINC001488358548 1128696687 /nfs/dbraw/zinc/69/66/87/1128696687.db2.gz OKIWIOQZFFJJSS-LLVKDONJSA-N -1 1 345.359 -0.251 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)CCNC(N)=O)CN1C(=O)c1ncccc1[O-] ZINC001488680796 1128823880 /nfs/dbraw/zinc/82/38/80/1128823880.db2.gz TYUUIAACQKGJRD-WDEREUQCSA-N -1 1 349.391 -0.045 20 0 EBADMM CCO[C@@H](C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1)C1CC1 ZINC001489575638 1129011430 /nfs/dbraw/zinc/01/14/30/1129011430.db2.gz APHBIWJEEUTHDN-OSAQELSMSA-N -1 1 349.387 -0.096 20 0 EBADMM C[C@@H](CC(N)=O)C(=O)N1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001489735084 1129056516 /nfs/dbraw/zinc/05/65/16/1129056516.db2.gz PUEGBPUMIXDMNJ-QWRGUYRKSA-N -1 1 334.376 -0.028 20 0 EBADMM CN(CCOCCN(C)C(=O)c1ncccc1[O-])C(=O)c1cn[nH]n1 ZINC001490052534 1129106980 /nfs/dbraw/zinc/10/69/80/1129106980.db2.gz GABLMSOAYVPBHS-UHFFFAOYSA-N -1 1 348.363 -0.234 20 0 EBADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@]1(C)CNC(=O)C1 ZINC001416210646 1131310332 /nfs/dbraw/zinc/31/03/32/1131310332.db2.gz SUHWORYANODUBC-MGPLVRAMSA-N -1 1 334.376 -0.062 20 0 EBADMM O=C(Cn1ccnc1)NCCCN1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC001490477445 1129186324 /nfs/dbraw/zinc/18/63/24/1129186324.db2.gz YERGLFIUIGWCBO-UHFFFAOYSA-N -1 1 348.411 -0.969 20 0 EBADMM C[C@@]1(CNC(=O)CC(N)=O)CN(C(=O)c2ncccc2[O-])CCO1 ZINC001490522237 1129222364 /nfs/dbraw/zinc/22/23/64/1129222364.db2.gz WBCCMFYFPAVRNG-OAHLLOKOSA-N -1 1 336.348 -0.990 20 0 EBADMM COCCn1cc(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)c(=O)cc1C ZINC001411768225 1129375330 /nfs/dbraw/zinc/37/53/30/1129375330.db2.gz AKXOMWONZXXXPY-CYBMUJFWSA-N -1 1 348.363 -0.470 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)[C@@H](C)N1CCOCC1 ZINC001411776322 1129379935 /nfs/dbraw/zinc/37/99/35/1129379935.db2.gz MJUXVFHZQWVWKX-RKDXNWHRSA-N -1 1 326.353 -0.265 20 0 EBADMM NC(=O)c1ccccc1N1CC[C@H](NC(=O)CCc2nn[n-]n2)C1 ZINC001411791230 1129386170 /nfs/dbraw/zinc/38/61/70/1129386170.db2.gz ZSXCXDBGNCNDCO-JTQLQIEISA-N -1 1 329.364 -0.374 20 0 EBADMM O=C(CCCc1nn[n-]n1)NC[C@@H](O)COc1ccc2c(c1)OCO2 ZINC001411898402 1129398775 /nfs/dbraw/zinc/39/87/75/1129398775.db2.gz MLXFBRGXVQOMBF-SNVBAGLBSA-N -1 1 349.347 -0.193 20 0 EBADMM C[C@@]1(CC(=O)N2CCC[C@@H](c3nn[n-]n3)C2)CCS(=O)(=O)N1 ZINC001411938942 1129407532 /nfs/dbraw/zinc/40/75/32/1129407532.db2.gz QJOAYWHOIBKETF-SKDRFNHKSA-N -1 1 328.398 -0.622 20 0 EBADMM C[C@@H](NC(=O)[C@H](C)NC(N)=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001411956989 1129412677 /nfs/dbraw/zinc/41/26/77/1129412677.db2.gz YPZMNXRQOCLPSL-BDAKNGLRSA-N -1 1 335.364 -0.579 20 0 EBADMM CCOC(=O)[C@@H](NC(=O)CCCc1nn[n-]n1)C1CCOCC1 ZINC001411979822 1129419031 /nfs/dbraw/zinc/41/90/31/1129419031.db2.gz UWXUUBOIWHFPGX-ZDUSSCGKSA-N -1 1 325.369 -0.003 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](CCO)C1CCOCC1 ZINC001412003959 1129424451 /nfs/dbraw/zinc/42/44/51/1129424451.db2.gz MXBNJCIMVXWPRQ-VIFPVBQESA-N -1 1 327.337 -0.196 20 0 EBADMM O=C(CCc1nn[n-]n1)NCc1cnc(N2CCOCC2)c(F)c1 ZINC001412010473 1129426221 /nfs/dbraw/zinc/42/62/21/1129426221.db2.gz YNPHTMQVMCXQMG-UHFFFAOYSA-N -1 1 335.343 -0.181 20 0 EBADMM CSCC[C@H](NC(=O)CNC(=O)c1ccncc1)c1nn[n-]n1 ZINC001412370130 1129511791 /nfs/dbraw/zinc/51/17/91/1129511791.db2.gz RMUCPVIABVFOMJ-JTQLQIEISA-N -1 1 335.393 -0.065 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)C1(N2CCOCC2)CC1 ZINC001412490279 1129540540 /nfs/dbraw/zinc/54/05/40/1129540540.db2.gz SMBBOVGJEXUGQL-UHFFFAOYSA-N -1 1 334.376 -0.264 20 0 EBADMM C[C@@H](C(=O)NCc1nn[n-]n1)[C@@H](O)CN(C)C(=O)OC(C)(C)C ZINC001412505580 1129545183 /nfs/dbraw/zinc/54/51/83/1129545183.db2.gz NVALMUHWTKKVBX-BDAKNGLRSA-N -1 1 328.373 -0.320 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H](CO)CC2OCCO2)co1 ZINC001412539222 1129554356 /nfs/dbraw/zinc/55/43/56/1129554356.db2.gz UNQVFGPEZOPIJU-SECBINFHSA-N -1 1 334.350 -0.959 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1CC(=O)N(C)C1)NC(=O)c1ncccc1[O-] ZINC001412568327 1129564482 /nfs/dbraw/zinc/56/44/82/1129564482.db2.gz YJRYZVBDCPUPAK-WDEREUQCSA-N -1 1 334.376 -0.158 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1CC(=O)N(C)C1)NC(=O)c1ncccc1[O-] ZINC001412568319 1129564693 /nfs/dbraw/zinc/56/46/93/1129564693.db2.gz YJRYZVBDCPUPAK-GHMZBOCLSA-N -1 1 334.376 -0.158 20 0 EBADMM COc1ccnc(C(=O)N[C@@H](CO)CNC(=O)c2ncccc2[O-])c1 ZINC001412803941 1129661298 /nfs/dbraw/zinc/66/12/98/1129661298.db2.gz DFWOLKPDPKNJHB-SNVBAGLBSA-N -1 1 346.343 -0.289 20 0 EBADMM O=C(CC[C@H]1CCOC1)N[C@H](CO)CNC(=O)c1ncccc1[O-] ZINC001412816268 1129669263 /nfs/dbraw/zinc/66/92/63/1129669263.db2.gz VUGBJWPSZYXERM-RYUDHWBXSA-N -1 1 337.376 -0.189 20 0 EBADMM O=C(CC[C@H]1CCOC1)N[C@@H](CO)CNC(=O)c1ncccc1[O-] ZINC001412816265 1129669297 /nfs/dbraw/zinc/66/92/97/1129669297.db2.gz VUGBJWPSZYXERM-NWDGAFQWSA-N -1 1 337.376 -0.189 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)c2cccc(C(=O)NC)n2)n[n-]1 ZINC001412834489 1129701211 /nfs/dbraw/zinc/70/12/11/1129701211.db2.gz CKJPLCCBVFOGFC-UHFFFAOYSA-N -1 1 332.320 -0.334 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)c2cccc(C(=O)NC)n2)n1 ZINC001412834489 1129701214 /nfs/dbraw/zinc/70/12/14/1129701214.db2.gz CKJPLCCBVFOGFC-UHFFFAOYSA-N -1 1 332.320 -0.334 20 0 EBADMM CCn1ccnc1C(=O)NC[C@H](CO)NC(=O)c1ncccc1[O-] ZINC001412836510 1129709896 /nfs/dbraw/zinc/70/98/96/1129709896.db2.gz VKNXQMSTKJWICX-SNVBAGLBSA-N -1 1 333.348 -0.476 20 0 EBADMM CCO[C@H](C)C(=O)NC[C@@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001413251894 1129972147 /nfs/dbraw/zinc/97/21/47/1129972147.db2.gz SFXJRDBRJUWORP-GHMZBOCLSA-N -1 1 325.365 -0.239 20 0 EBADMM CN(C[C@@H](O)CNC(=O)CCc1ccon1)C(=O)c1ncccc1[O-] ZINC001413254873 1129975008 /nfs/dbraw/zinc/97/50/08/1129975008.db2.gz WBVNJYOKPLRNQR-LBPRGKRZSA-N -1 1 348.359 -0.043 20 0 EBADMM COc1cc(C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)ccn1 ZINC001413268360 1129980731 /nfs/dbraw/zinc/98/07/31/1129980731.db2.gz OEWHEJDJEMDNGU-UHFFFAOYSA-N -1 1 326.334 -0.632 20 0 EBADMM CCOC(=O)c1nc([C@H](C)NC(=O)[C@H]2CCS(=O)(=O)C2)n[n-]1 ZINC001413275750 1129984140 /nfs/dbraw/zinc/98/41/40/1129984140.db2.gz YJNDGYJQONBOGQ-YUMQZZPRSA-N -1 1 330.366 -0.407 20 0 EBADMM CCOC(=O)c1nnc([C@H](C)NC(=O)[C@H]2CCS(=O)(=O)C2)[n-]1 ZINC001413275750 1129984142 /nfs/dbraw/zinc/98/41/42/1129984142.db2.gz YJNDGYJQONBOGQ-YUMQZZPRSA-N -1 1 330.366 -0.407 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)[C@H]2CCS(=O)(=O)C2)n1 ZINC001413275750 1129984144 /nfs/dbraw/zinc/98/41/44/1129984144.db2.gz YJNDGYJQONBOGQ-YUMQZZPRSA-N -1 1 330.366 -0.407 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCN(c2nccnn2)CC1 ZINC001413308096 1130004435 /nfs/dbraw/zinc/00/44/35/1130004435.db2.gz BPRHPQZZVINQKH-UHFFFAOYSA-N -1 1 343.347 -0.949 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)C[C@H]2CCN(C(C)=O)C2)[n-]n1 ZINC001413312524 1130007015 /nfs/dbraw/zinc/00/70/15/1130007015.db2.gz UWBUIZMDDLFSCH-SNVBAGLBSA-N -1 1 344.393 -0.315 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)C[C@H]2CCN(C(C)=O)C2)n[n-]1 ZINC001413312524 1130007021 /nfs/dbraw/zinc/00/70/21/1130007021.db2.gz UWBUIZMDDLFSCH-SNVBAGLBSA-N -1 1 344.393 -0.315 20 0 EBADMM COC(=O)[C@@H](CC(F)(F)F)[N-]S(=O)(=O)N1CCN(C)CC1 ZINC001413321813 1130014112 /nfs/dbraw/zinc/01/41/12/1130014112.db2.gz AKEJTEDWKLIGJX-MRVPVSSYSA-N -1 1 333.332 -0.438 20 0 EBADMM CC1(C)COC(=O)[C@@H]1[N-]S(=O)(=O)C[C@H]1CCCS(=O)(=O)C1 ZINC001413366805 1130052946 /nfs/dbraw/zinc/05/29/46/1130052946.db2.gz SICJWMZEZAEZMM-UWVGGRQHSA-N -1 1 339.435 -0.318 20 0 EBADMM COc1ccc(C(=O)N2CCOC[C@H]2c2nn[n-]n2)cc1OCCO ZINC001413387570 1130068051 /nfs/dbraw/zinc/06/80/51/1130068051.db2.gz NVXUGOLTOQRAGP-NSHDSACASA-N -1 1 349.347 -0.207 20 0 EBADMM O=C(C[C@@H]1NC(=O)c2ccccc21)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001413390260 1130068874 /nfs/dbraw/zinc/06/88/74/1130068874.db2.gz WNGSUXNYEDYHSL-NWDGAFQWSA-N -1 1 328.332 -0.026 20 0 EBADMM COC(=O)C[C@H](O)C[N-]S(=O)(=O)c1c[nH]nc1C(F)(F)F ZINC001413407042 1130075631 /nfs/dbraw/zinc/07/56/31/1130075631.db2.gz WKZJWAYIZLQHOQ-YFKPBYRVSA-N -1 1 331.272 -0.369 20 0 EBADMM CC(C)[C@H]([N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)c1cnn(C)c1 ZINC001413458023 1130095339 /nfs/dbraw/zinc/09/53/39/1130095339.db2.gz HXVIIJVSKUWWMD-NSHDSACASA-N -1 1 341.393 -0.105 20 0 EBADMM CC(C)(C)[C@H](CNC(=O)c1ncccc1[O-])NC(=O)Cn1ncnn1 ZINC001413463695 1130096967 /nfs/dbraw/zinc/09/69/67/1130096967.db2.gz PZHKSGLJKJUPNY-NSHDSACASA-N -1 1 347.379 -0.265 20 0 EBADMM CC(C)(C)[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)Cn1ncnn1 ZINC001413463663 1130097167 /nfs/dbraw/zinc/09/71/67/1130097167.db2.gz PZHKSGLJKJUPNY-LLVKDONJSA-N -1 1 347.379 -0.265 20 0 EBADMM O=C(CSCC(=O)N1CCOCC1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001413468884 1130099259 /nfs/dbraw/zinc/09/92/59/1130099259.db2.gz MCQLUTGGTGKZIH-SNVBAGLBSA-N -1 1 340.409 -0.892 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)CCn2cnnn2)C1 ZINC001413564748 1130135861 /nfs/dbraw/zinc/13/58/61/1130135861.db2.gz XALQUCWPBGWFSL-UHFFFAOYSA-N -1 1 345.363 -0.417 20 0 EBADMM Cc1csc(C(=O)NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)c1 ZINC001491272186 1130381216 /nfs/dbraw/zinc/38/12/16/1130381216.db2.gz MDSTWHVMZSWLMU-UHFFFAOYSA-N -1 1 346.372 -0.053 20 0 EBADMM C[C@H]1CC[C@@H](C(=O)NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001491298678 1130390948 /nfs/dbraw/zinc/39/09/48/1130390948.db2.gz WGGCBTMTIQCEPI-VHSXEESVSA-N -1 1 332.364 -0.300 20 0 EBADMM O=C(C[C@@H]1CC[C@@H]2C[C@@H]21)NCCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001491405914 1130430583 /nfs/dbraw/zinc/43/05/83/1130430583.db2.gz NSEPIVRCNZIIKS-VWYCJHECSA-N -1 1 344.375 -0.300 20 0 EBADMM Cc1cc(C)c(C(=O)NCCNC(=O)c2cc(=O)n3[n-]cnc3n2)[nH]1 ZINC001491439246 1130444394 /nfs/dbraw/zinc/44/43/94/1130444394.db2.gz ICAHFFCSPCYONU-UHFFFAOYSA-N -1 1 343.347 -0.478 20 0 EBADMM CC[C@@]1(C)C[C@@H]1C(=O)NCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001491459161 1130453386 /nfs/dbraw/zinc/45/33/86/1130453386.db2.gz CNZXRISZFURTJR-BZNIZROVSA-N -1 1 336.392 -0.405 20 0 EBADMM CC(=O)Nc1nc(C)c(S(=O)(=O)NCC[P@](=O)([O-])O)s1 ZINC001250832095 1130535852 /nfs/dbraw/zinc/53/58/52/1130535852.db2.gz UESHHGWHOAYLTC-UHFFFAOYSA-N -1 1 343.323 -0.134 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)[C@]1(F)CCOC1 ZINC001479240529 1130732696 /nfs/dbraw/zinc/73/26/96/1130732696.db2.gz GXFXGPYCHDPDOY-HZMBPMFUSA-N -1 1 327.360 -0.730 20 0 EBADMM CO[C@H](C)CC(=O)NC[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001480247396 1130764947 /nfs/dbraw/zinc/76/49/47/1130764947.db2.gz UCPHDDKPSXOYJH-NEPJUHHUSA-N -1 1 325.413 -0.138 20 0 EBADMM CN(CC(=O)N1CC(CNC(=O)c2ncccc2[O-])C1)C(=O)C1CC1 ZINC001416081783 1130848535 /nfs/dbraw/zinc/84/85/35/1130848535.db2.gz KBYMJNUWIXGSDJ-UHFFFAOYSA-N -1 1 346.387 -0.156 20 0 EBADMM CN(C[C@H]1CCN(C(=O)c2ncccc2[O-])C1)C(=O)C1(C(N)=O)CC1 ZINC001356708607 1130905912 /nfs/dbraw/zinc/90/59/12/1130905912.db2.gz DKSZJQGAHFBRLW-LLVKDONJSA-N -1 1 346.387 -0.027 20 0 EBADMM CC(C)C[C@H](C(N)=O)C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC001356755108 1130919945 /nfs/dbraw/zinc/91/99/45/1130919945.db2.gz PIWHUWJMINDATQ-LLVKDONJSA-N -1 1 334.376 -0.125 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC1CN(C(=O)c2cc(F)c[nH]2)C1 ZINC001356777187 1130934872 /nfs/dbraw/zinc/93/48/72/1130934872.db2.gz QOZKVUBQNNBGAL-UHFFFAOYSA-N -1 1 349.322 -0.965 20 0 EBADMM CC[C@H](CNC(=O)C(C)(C)C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001356922181 1131001184 /nfs/dbraw/zinc/00/11/84/1131001184.db2.gz BQUCLLMTXCRUNJ-LLVKDONJSA-N -1 1 338.408 -0.016 20 0 EBADMM CN(CCN(C)C(=O)c1cc(=O)n2[n-]cnc2n1)C(=O)c1ccon1 ZINC001357171380 1131056921 /nfs/dbraw/zinc/05/69/21/1131056921.db2.gz QYYLOJQTGXSHTG-UHFFFAOYSA-N -1 1 345.319 -0.750 20 0 EBADMM Cc1cnc(N2CCN(C(=O)[C@]3(C(=O)[O-])CNCCO3)CC2)s1 ZINC001357413905 1131082190 /nfs/dbraw/zinc/08/21/90/1131082190.db2.gz KIASQVZJASMHKE-AWEZNQCLSA-N -1 1 340.405 -0.457 20 0 EBADMM CC(C)CC(=O)NC/C=C/CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001357520191 1131097867 /nfs/dbraw/zinc/09/78/67/1131097867.db2.gz BORFFRNUXVLCSK-ONEGZZNKSA-N -1 1 332.364 -0.134 20 0 EBADMM C[C@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)CCC1CC1 ZINC001358103007 1131166090 /nfs/dbraw/zinc/16/60/90/1131166090.db2.gz TUXIMZXCVIHUSL-LLVKDONJSA-N -1 1 336.392 -0.262 20 0 EBADMM CNS(=O)(=O)c1ccc([C@H](C)NC(=O)CCc2nn[n-]n2)cc1 ZINC001361751794 1131449488 /nfs/dbraw/zinc/44/94/88/1131449488.db2.gz DNIJYRBLOCRNRM-VIFPVBQESA-N -1 1 338.393 -0.082 20 0 EBADMM CC(=O)Nc1ccc(N2C[C@@H](C(=O)NCc3nn[n-]n3)CC2=O)cc1 ZINC001361764935 1131451843 /nfs/dbraw/zinc/45/18/43/1131451843.db2.gz QLRLRAAZGGKYCT-JTQLQIEISA-N -1 1 343.347 -0.173 20 0 EBADMM CCCc1cc(=O)n2[n-]c(NC(=O)[C@H]3CCS(=O)(=O)C3)nc2n1 ZINC001361916374 1131478386 /nfs/dbraw/zinc/47/83/86/1131478386.db2.gz GZGSEFWUBIXNJD-QMMMGPOBSA-N -1 1 339.377 -0.257 20 0 EBADMM O=C(c1cc2c([nH]c1=O)CCC[C@@H]2O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001361921584 1131479424 /nfs/dbraw/zinc/47/94/24/1131479424.db2.gz FTGDOVGIKWHKFY-NWDGAFQWSA-N -1 1 346.347 -0.116 20 0 EBADMM CN1CCN(c2ncccc2CNC(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001361981571 1131491910 /nfs/dbraw/zinc/49/19/10/1131491910.db2.gz OQZXKJDWRMXQCE-UHFFFAOYSA-N -1 1 345.363 -0.640 20 0 EBADMM COCCN1CC[C@H](NC(=O)c2cccc(-n3[n-]nnc3=N)c2)C1 ZINC001361985600 1131492345 /nfs/dbraw/zinc/49/23/45/1131492345.db2.gz KAUHAQWVFWSMEC-LBPRGKRZSA-N -1 1 331.380 -0.475 20 0 EBADMM CN(C)c1ncc(Br)c(C(=O)NCc2nn[n-]n2)n1 ZINC001362037453 1131501964 /nfs/dbraw/zinc/50/19/64/1131501964.db2.gz AYLHDYVRZRIJMN-UHFFFAOYSA-N -1 1 327.146 -0.252 20 0 EBADMM CN1CCN(C(=O)N2CCN(C(=O)c3ccc([O-])cn3)CC2)CC1 ZINC001362095956 1131514624 /nfs/dbraw/zinc/51/46/24/1131514624.db2.gz JJRODJCCFYCCNX-UHFFFAOYSA-N -1 1 333.392 -0.088 20 0 EBADMM CS(=O)(=O)C1CCC(C(=O)N2CCO[C@@H](c3nn[n-]n3)C2)CC1 ZINC001362126073 1131520622 /nfs/dbraw/zinc/52/06/22/1131520622.db2.gz QJEWVIHWTBQACP-VQXHTEKXSA-N -1 1 343.409 -0.297 20 0 EBADMM CSc1ncc(C(=O)N2CCN(C(C)(C)C(N)=O)CC2)c(=O)[n-]1 ZINC001362134574 1131522430 /nfs/dbraw/zinc/52/24/30/1131522430.db2.gz BJUOUNMRDZGJPJ-UHFFFAOYSA-N -1 1 339.421 -0.074 20 0 EBADMM O=C(c1cccc2c1NC(=O)CO2)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001362246484 1131544225 /nfs/dbraw/zinc/54/42/25/1131544225.db2.gz OWGPUHMQEDWZJY-SNVBAGLBSA-N -1 1 330.304 -0.256 20 0 EBADMM O=C(Cn1ccc(NC(=O)c2cnncc2[O-])n1)N1CCOCC1 ZINC001362282000 1131551316 /nfs/dbraw/zinc/55/13/16/1131551316.db2.gz CIXPROWUYWHUNC-UHFFFAOYSA-N -1 1 332.320 -0.510 20 0 EBADMM COC(=O)C1CCC(NC(=O)CCc2nn[n-]n2)(C(=O)OC)CC1 ZINC001362289226 1131553270 /nfs/dbraw/zinc/55/32/70/1131553270.db2.gz AAWBOGWZKXRLKK-UHFFFAOYSA-N -1 1 339.352 -0.477 20 0 EBADMM C[C@H](NC(=O)c1c[nH]c2nc(=O)[n-]c(=O)c-2c1)[C@@H]1CN(C)CCN1C ZINC001362324304 1131561000 /nfs/dbraw/zinc/56/10/00/1131561000.db2.gz ZCDDHFLWUQCOHI-CABZTGNLSA-N -1 1 346.391 -0.200 20 0 EBADMM CS(=O)(=O)N1CCC(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)CC1 ZINC001362377585 1131571645 /nfs/dbraw/zinc/57/16/45/1131571645.db2.gz SUECPUIDSURRPO-NSHDSACASA-N -1 1 342.425 -0.423 20 0 EBADMM CC(=O)NCC(=O)N1CCC[C@@H]1C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362405274 1131576555 /nfs/dbraw/zinc/57/65/55/1131576555.db2.gz FFFZOQWXIXZPRL-NWDGAFQWSA-N -1 1 349.395 -0.967 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H](C)O[C@]2(CCOC2)C1 ZINC001362440327 1131584137 /nfs/dbraw/zinc/58/41/37/1131584137.db2.gz STVQDLJCOXRBJO-RMLUDKJBSA-N -1 1 325.321 -0.084 20 0 EBADMM Cc1nn(C)cc1C1=NO[C@H](C(=O)N(C)C[C@H](C)c2nn[n-]n2)C1 ZINC001362505982 1131597830 /nfs/dbraw/zinc/59/78/30/1131597830.db2.gz YPNVJZJZPGOVEL-UFBFGSQYSA-N -1 1 332.368 -0.003 20 0 EBADMM Cc1nn(C)cc1C1=NO[C@H](C(=O)N(C)C[C@@H](C)c2nn[n-]n2)C1 ZINC001362505972 1131597908 /nfs/dbraw/zinc/59/79/08/1131597908.db2.gz YPNVJZJZPGOVEL-PELKAZGASA-N -1 1 332.368 -0.003 20 0 EBADMM C[C@H](NC(=O)C1CCCC1)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362558443 1131610053 /nfs/dbraw/zinc/61/00/53/1131610053.db2.gz CYXOLYFPYVIONU-JTQLQIEISA-N -1 1 336.396 -0.295 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H](NC(=O)NC2CC2)C1 ZINC001362615028 1131624976 /nfs/dbraw/zinc/62/49/76/1131624976.db2.gz BBEKQALQZAGUJM-QMMMGPOBSA-N -1 1 337.336 -0.428 20 0 EBADMM CS(=O)(=O)NC[C@H]1CCC[C@@H]1NC(=O)CCCc1nn[n-]n1 ZINC001362703006 1131646200 /nfs/dbraw/zinc/64/62/00/1131646200.db2.gz DNIZUNKBRYTVNM-ZJUUUORDSA-N -1 1 330.414 -0.644 20 0 EBADMM O=C(CCn1cc(Cl)cn1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362723118 1131654265 /nfs/dbraw/zinc/65/42/65/1131654265.db2.gz IPHAJXMTHDAUKD-UHFFFAOYSA-N -1 1 325.760 -0.050 20 0 EBADMM CCOC(=O)C(C)(C)CC(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362728875 1131655836 /nfs/dbraw/zinc/65/58/36/1131655836.db2.gz HAHMFAWEKGPAKA-UHFFFAOYSA-N -1 1 325.369 -0.011 20 0 EBADMM O=C(CN1CCc2ccccc2C1=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC001362757091 1131664536 /nfs/dbraw/zinc/66/45/36/1131664536.db2.gz ADSGEPOLDVQFTD-ZDUSSCGKSA-N -1 1 342.359 -0.202 20 0 EBADMM O=C([C@@H]1C[C@H]2CC[C@@H](C1)S2(=O)=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001362808596 1131681771 /nfs/dbraw/zinc/68/17/71/1131681771.db2.gz WNBJTWHXNUHOJS-XWLWVQCSSA-N -1 1 325.394 -0.129 20 0 EBADMM CC[C@@](COC)(NC(=O)c1coc(S(=O)(=O)[N-]C)c1)C(=O)OC ZINC001362815564 1131684248 /nfs/dbraw/zinc/68/42/48/1131684248.db2.gz HFBGETIIISNDMT-ZDUSSCGKSA-N -1 1 348.377 -0.114 20 0 EBADMM CNS(=O)(=O)c1csc(C(=O)N2CC[C@@H](c3nn[n-]n3)C2)c1 ZINC001362821175 1131685805 /nfs/dbraw/zinc/68/58/05/1131685805.db2.gz ISZWKEFDXCNHGY-SSDOTTSWSA-N -1 1 342.406 -0.201 20 0 EBADMM O=C([C@H]1CC12CCS(=O)(=O)CC2)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001362824758 1131686752 /nfs/dbraw/zinc/68/67/52/1131686752.db2.gz YZKCRBRCCMUPDG-NXEZZACHSA-N -1 1 325.394 -0.270 20 0 EBADMM COC[C@H](NC(=O)[C@]1(c2ccccc2)CCC(=O)NC1)c1nn[n-]n1 ZINC001362904313 1131709146 /nfs/dbraw/zinc/70/91/46/1131709146.db2.gz APPQUOAKLINSPS-BLLLJJGKSA-N -1 1 344.375 -0.149 20 0 EBADMM CCO[C@H]1C[C@](NC(=O)CCc2nn[n-]n2)(C(=O)OC)C1(C)C ZINC001362936850 1131717941 /nfs/dbraw/zinc/71/79/41/1131717941.db2.gz HVLKSGUJJPMLQI-XPTSAGLGSA-N -1 1 325.369 -0.005 20 0 EBADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N1C[C@@H](O)C[C@H]1CO ZINC001362944023 1131718912 /nfs/dbraw/zinc/71/89/12/1131718912.db2.gz VUVCFQRQOOYFCH-STQMWFEESA-N -1 1 344.371 -0.363 20 0 EBADMM CC(C)(CNC(=O)CCc1nn[n-]n1)[C@H]1COCC12OCCO2 ZINC001363022555 1131750246 /nfs/dbraw/zinc/75/02/46/1131750246.db2.gz UTPXEWMHQOCZTJ-SNVBAGLBSA-N -1 1 325.369 -0.336 20 0 EBADMM CSc1ncc(C(=O)N2CC[C@@H](CS(N)(=O)=O)C2)c(=O)[n-]1 ZINC001363024332 1131751465 /nfs/dbraw/zinc/75/14/65/1131751465.db2.gz WMJPUNUADRVDSP-SSDOTTSWSA-N -1 1 332.407 -0.345 20 0 EBADMM O=C(c1cc2n(n1)CCCC2=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001363031044 1131754853 /nfs/dbraw/zinc/75/48/53/1131754853.db2.gz WBOXQWWVOHRKBU-UHFFFAOYSA-N -1 1 331.336 -0.504 20 0 EBADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CN(C)C(=O)COC)n[n-]1 ZINC001363045791 1131760640 /nfs/dbraw/zinc/76/06/40/1131760640.db2.gz DVBVBMJKCUKTNJ-MRVPVSSYSA-N -1 1 327.341 -0.737 20 0 EBADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CN(C)C(=O)COC)[n-]1 ZINC001363045791 1131760644 /nfs/dbraw/zinc/76/06/44/1131760644.db2.gz DVBVBMJKCUKTNJ-MRVPVSSYSA-N -1 1 327.341 -0.737 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CN(C)C(=O)COC)n1 ZINC001363045791 1131760648 /nfs/dbraw/zinc/76/06/48/1131760648.db2.gz DVBVBMJKCUKTNJ-MRVPVSSYSA-N -1 1 327.341 -0.737 20 0 EBADMM O=C(CCc1nn[n-]n1)N1CCC(S(=O)(=O)N2CCCC2)CC1 ZINC001363053718 1131764914 /nfs/dbraw/zinc/76/49/14/1131764914.db2.gz JUIGXIJKISYIQT-UHFFFAOYSA-N -1 1 342.425 -0.451 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@@H]1CS(=O)(=O)C[C@H]1O ZINC001363090840 1131780236 /nfs/dbraw/zinc/78/02/36/1131780236.db2.gz XWSDYTAHSHJCMI-RKDXNWHRSA-N -1 1 329.378 -0.498 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])Nc1ccc(=O)n(CCO)c1 ZINC001363112686 1131789157 /nfs/dbraw/zinc/78/91/57/1131789157.db2.gz XLGCIPIOCZFBHZ-UHFFFAOYSA-N -1 1 332.316 -0.690 20 0 EBADMM CC(C)(CNC(=O)CNC(=O)c1ncccc1[O-])[C@]1(O)CCOC1 ZINC001363123037 1131793076 /nfs/dbraw/zinc/79/30/76/1131793076.db2.gz BFSDPEVXLMGSIU-INIZCTEOSA-N -1 1 337.376 -0.189 20 0 EBADMM CSc1nc(CNC(=O)C2(CS(C)(=O)=O)COC2)cc(=O)[n-]1 ZINC001363140398 1131799334 /nfs/dbraw/zinc/79/93/34/1131799334.db2.gz KMHBETVPYYNHSK-UHFFFAOYSA-N -1 1 347.418 -0.419 20 0 EBADMM CCS(=O)(=O)N[C@H](C)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC001363164954 1131810618 /nfs/dbraw/zinc/81/06/18/1131810618.db2.gz LGWCYIWVUZSLFD-KOLCDFICSA-N -1 1 338.393 -0.267 20 0 EBADMM Cn1[nH]c(=O)c2c1CN(C(=O)CNC(=O)c1ncccc1[O-])CC2 ZINC001363172582 1131813245 /nfs/dbraw/zinc/81/32/45/1131813245.db2.gz LFCLFZOMTDIUMU-UHFFFAOYSA-N -1 1 331.332 -0.459 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N[C@@H]1CCN(C2CCC2)C1=O ZINC001363196210 1131825852 /nfs/dbraw/zinc/82/58/52/1131825852.db2.gz MXSPFLFQLABQDL-LLVKDONJSA-N -1 1 332.360 -0.213 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H](OC(=O)N(C)C)C1 ZINC001363299097 1131882529 /nfs/dbraw/zinc/88/25/29/1131882529.db2.gz SKVDPNUHJUTFOI-ZETCQYMHSA-N -1 1 326.309 -0.191 20 0 EBADMM Cc1cc(C(=O)N2CC[C@H](c3nn[n-]n3)C2)ccc1S(N)(=O)=O ZINC001363304930 1131887276 /nfs/dbraw/zinc/88/72/76/1131887276.db2.gz CNGDSJUCGYZBRV-JTQLQIEISA-N -1 1 336.377 -0.215 20 0 EBADMM CC(=O)c1ccc(S(=O)(=O)NCC(=O)N(C)c2nn[n-]n2)cc1 ZINC001363329125 1131902155 /nfs/dbraw/zinc/90/21/55/1131902155.db2.gz SYPUTNNXNBMEQZ-UHFFFAOYSA-N -1 1 338.349 -0.656 20 0 EBADMM CSc1ncc(C(=O)N[C@H]2C(=O)NCC23CCOCC3)c(=O)[n-]1 ZINC001363399376 1131932309 /nfs/dbraw/zinc/93/23/09/1131932309.db2.gz IUZOKJOUMDTYJY-VIFPVBQESA-N -1 1 338.389 -0.071 20 0 EBADMM O=C(C[C@@H]1Oc2ccccc2NC1=O)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001363412775 1131939845 /nfs/dbraw/zinc/93/98/45/1131939845.db2.gz VZPAWFPRBBGPKL-PWSUYJOCSA-N -1 1 344.331 -0.111 20 0 EBADMM COCc1nnc2n1CCN(C(=O)CNC(=O)c1ncccc1[O-])C2 ZINC001363474797 1131963390 /nfs/dbraw/zinc/96/33/90/1131963390.db2.gz ZYTRZLSLLAULKO-UHFFFAOYSA-N -1 1 346.347 -0.703 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H](C)O[C@H](C(=O)OC)C2)o1 ZINC001363474602 1131963636 /nfs/dbraw/zinc/96/36/36/1131963636.db2.gz IKFVLASBRYCNBR-WPRPVWTQSA-N -1 1 346.361 -0.410 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@H](CN2CCCC2=O)C1 ZINC001363490020 1131971176 /nfs/dbraw/zinc/97/11/76/1131971176.db2.gz CMXBLSPFUTWKHO-SECBINFHSA-N -1 1 336.348 -0.019 20 0 EBADMM NC(=O)N[C@H](CC(=O)N1CCOC[C@H]1c1nn[n-]n1)c1ccccc1 ZINC001363512278 1131984875 /nfs/dbraw/zinc/98/48/75/1131984875.db2.gz LXAFGYQSCOMPCQ-NEPJUHHUSA-N -1 1 345.363 -0.101 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)[C@@H]1CCCCS1(=O)=O ZINC001363533203 1131999674 /nfs/dbraw/zinc/99/96/74/1131999674.db2.gz UXGMRTQRRZTUGO-NSHDSACASA-N -1 1 341.389 -0.162 20 0 EBADMM NC(=O)C1(NC(=O)c2cc(F)ccc2[O-])CCS(=O)(=O)CC1 ZINC001363537726 1132001669 /nfs/dbraw/zinc/00/16/69/1132001669.db2.gz RWPGNKISSRVJBY-UHFFFAOYSA-N -1 1 330.337 -0.306 20 0 EBADMM CC(C)CN(Cc1nn[n-]n1)C(=O)[C@H](NS(C)(=O)=O)C(C)C ZINC001363548959 1132008415 /nfs/dbraw/zinc/00/84/15/1132008415.db2.gz WORAGKIQIYYVMU-LLVKDONJSA-N -1 1 332.430 -0.242 20 0 EBADMM Cc1nc(-c2ccncc2)[n-]c(=O)c1CC(=O)N[C@@H]1CCOC[C@H]1O ZINC001363552552 1132010118 /nfs/dbraw/zinc/01/01/18/1132010118.db2.gz JMJCGQIGWIPSNB-ZIAGYGMSSA-N -1 1 344.371 -0.051 20 0 EBADMM Cc1c[nH]c(CC(N)=O)c1C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001363582790 1132022356 /nfs/dbraw/zinc/02/23/56/1132022356.db2.gz LCDISJVYRDWQAR-JTQLQIEISA-N -1 1 331.380 -0.041 20 0 EBADMM COCC(=O)N(C)CC(=O)N(C)CCc1nc([O-])c(C)c(=O)[nH]1 ZINC001363617756 1132033996 /nfs/dbraw/zinc/03/39/96/1132033996.db2.gz AAJINVXGIDQWGY-UHFFFAOYSA-N -1 1 326.353 -0.698 20 0 EBADMM COC[C@@H]1CC[C@@H]([C@H]2COCCN2C(=O)CCc2nn[n-]n2)O1 ZINC001363626144 1132036422 /nfs/dbraw/zinc/03/64/22/1132036422.db2.gz UIDYLGHHAFTGCO-TUAOUCFPSA-N -1 1 325.369 -0.446 20 0 EBADMM COC[C@@H]1CC[C@H]([C@H]2COCCN2C(=O)CCc2nn[n-]n2)O1 ZINC001363626131 1132037039 /nfs/dbraw/zinc/03/70/39/1132037039.db2.gz UIDYLGHHAFTGCO-QJPTWQEYSA-N -1 1 325.369 -0.446 20 0 EBADMM COCC(=O)N(C)CC(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC001363672196 1132052266 /nfs/dbraw/zinc/05/22/66/1132052266.db2.gz IPOROWOBJOTJSC-SNVBAGLBSA-N -1 1 338.364 -0.041 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@@H](C3OCCO3)C2)[n-]n1 ZINC001363768367 1132081625 /nfs/dbraw/zinc/08/16/25/1132081625.db2.gz FZWXYNOCROGXTF-SECBINFHSA-N -1 1 345.377 -0.030 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@@H](C3OCCO3)C2)n[n-]1 ZINC001363768367 1132081632 /nfs/dbraw/zinc/08/16/32/1132081632.db2.gz FZWXYNOCROGXTF-SECBINFHSA-N -1 1 345.377 -0.030 20 0 EBADMM NS(=O)(=O)[C@@H]1CCCN(Cc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC001363779134 1132085001 /nfs/dbraw/zinc/08/50/01/1132085001.db2.gz HNJCMUFPOHUQCZ-SECBINFHSA-N -1 1 328.394 -0.492 20 0 EBADMM O=C(CCCc1nn[n-]n1)NCC[C@@]1(O)C(=O)Nc2ccccc21 ZINC001363805389 1132094700 /nfs/dbraw/zinc/09/47/00/1132094700.db2.gz DBBPDSLUMQNQMG-HNNXBMFYSA-N -1 1 330.348 -0.131 20 0 EBADMM Cc1nc(CS(=O)(=O)[N-]C[C@@](C)(O)C(=O)OC(C)(C)C)no1 ZINC001363855899 1132112529 /nfs/dbraw/zinc/11/25/29/1132112529.db2.gz VKZVXJRYEFOVPD-GFCCVEGCSA-N -1 1 335.382 -0.110 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2Cc3ccccc3O2)c(=O)[nH]c1=O ZINC001363866860 1132115298 /nfs/dbraw/zinc/11/52/98/1132115298.db2.gz YNEAUJVXXANWMF-JTQLQIEISA-N -1 1 337.357 -0.232 20 0 EBADMM CCOC(=O)c1nc([C@@H](C)NC(=O)CCS(=O)(=O)N(C)C)n[n-]1 ZINC001363887617 1132120031 /nfs/dbraw/zinc/12/00/31/1132120031.db2.gz QHNAIZYRFKKDRW-MRVPVSSYSA-N -1 1 347.397 -0.560 20 0 EBADMM CCOC(=O)c1nnc([C@@H](C)NC(=O)CCS(=O)(=O)N(C)C)[n-]1 ZINC001363887617 1132120034 /nfs/dbraw/zinc/12/00/34/1132120034.db2.gz QHNAIZYRFKKDRW-MRVPVSSYSA-N -1 1 347.397 -0.560 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](C)NC(=O)CCS(=O)(=O)N(C)C)n1 ZINC001363887617 1132120039 /nfs/dbraw/zinc/12/00/39/1132120039.db2.gz QHNAIZYRFKKDRW-MRVPVSSYSA-N -1 1 347.397 -0.560 20 0 EBADMM Cc1ccccc1[C@H](CO)[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001363949346 1132139366 /nfs/dbraw/zinc/13/93/66/1132139366.db2.gz CJCAIOSZUOSZBH-NSHDSACASA-N -1 1 339.373 -0.194 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@]23CCOC3=O)[n-]n1 ZINC001363985389 1132153991 /nfs/dbraw/zinc/15/39/91/1132153991.db2.gz AXOQMRCLYNWPAC-GFCCVEGCSA-N -1 1 329.334 -0.334 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@]23CCOC3=O)n[n-]1 ZINC001363985389 1132153994 /nfs/dbraw/zinc/15/39/94/1132153994.db2.gz AXOQMRCLYNWPAC-GFCCVEGCSA-N -1 1 329.334 -0.334 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@H]2CCCN(C(C)=O)C2)[n-]n1 ZINC001363986769 1132155124 /nfs/dbraw/zinc/15/51/24/1132155124.db2.gz PSFWZMUWAGAJAE-SNVBAGLBSA-N -1 1 344.393 -0.267 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@H]2CCCN(C(C)=O)C2)n[n-]1 ZINC001363986769 1132155137 /nfs/dbraw/zinc/15/51/37/1132155137.db2.gz PSFWZMUWAGAJAE-SNVBAGLBSA-N -1 1 344.393 -0.267 20 0 EBADMM CCOC(=O)c1nc([C@H](C)NC(=O)N2CCS(=O)(=O)CC2)n[n-]1 ZINC001363994174 1132158139 /nfs/dbraw/zinc/15/81/39/1132158139.db2.gz AOZAFJKWEMQESR-QMMMGPOBSA-N -1 1 345.381 -0.518 20 0 EBADMM CCOC(=O)c1nnc([C@H](C)NC(=O)N2CCS(=O)(=O)CC2)[n-]1 ZINC001363994174 1132158144 /nfs/dbraw/zinc/15/81/44/1132158144.db2.gz AOZAFJKWEMQESR-QMMMGPOBSA-N -1 1 345.381 -0.518 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)N2CCS(=O)(=O)CC2)n1 ZINC001363994174 1132158148 /nfs/dbraw/zinc/15/81/48/1132158148.db2.gz AOZAFJKWEMQESR-QMMMGPOBSA-N -1 1 345.381 -0.518 20 0 EBADMM CN1CCN(S(=O)(=O)[N-][C@@]2(C(=O)OC(C)(C)C)CCOC2)CC1 ZINC001364004817 1132162636 /nfs/dbraw/zinc/16/26/36/1132162636.db2.gz AJJKFVNBKHYSPG-AWEZNQCLSA-N -1 1 349.453 -0.431 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H]3C[C@H](O)CC[C@@H]3C2)[n-]n1 ZINC001364008337 1132163361 /nfs/dbraw/zinc/16/33/61/1132163361.db2.gz PQWYOSKDLNWIJA-KXUCPTDWSA-N -1 1 329.378 -0.022 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H]3C[C@H](O)CC[C@@H]3C2)n[n-]1 ZINC001364008337 1132163367 /nfs/dbraw/zinc/16/33/67/1132163367.db2.gz PQWYOSKDLNWIJA-KXUCPTDWSA-N -1 1 329.378 -0.022 20 0 EBADMM COc1ccnc(C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)c1F ZINC001364018447 1132167566 /nfs/dbraw/zinc/16/75/66/1132167566.db2.gz CGWGRGLKDXEUNY-UHFFFAOYSA-N -1 1 344.324 -0.493 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCN(C3CCC3)C2=O)[n-]n1 ZINC001364020256 1132168529 /nfs/dbraw/zinc/16/85/29/1132168529.db2.gz JBTOAHHWHRORST-SECBINFHSA-N -1 1 342.377 -0.372 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CCN(C3CCC3)C2=O)n[n-]1 ZINC001364020256 1132168533 /nfs/dbraw/zinc/16/85/33/1132168533.db2.gz JBTOAHHWHRORST-SECBINFHSA-N -1 1 342.377 -0.372 20 0 EBADMM COC[C@@H](NC(=O)C1CN(C(=O)OC(C)(C)C)C1)c1nn[n-]n1 ZINC001364028244 1132172756 /nfs/dbraw/zinc/17/27/56/1132172756.db2.gz KTZCJXMQHJCTRT-SECBINFHSA-N -1 1 326.357 -0.130 20 0 EBADMM COC[C@H](NC(=O)C1CN(C(=O)OC(C)(C)C)C1)c1nn[n-]n1 ZINC001364028258 1132173191 /nfs/dbraw/zinc/17/31/91/1132173191.db2.gz KTZCJXMQHJCTRT-VIFPVBQESA-N -1 1 326.357 -0.130 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[S@@](=O)C[C@H](C)C2)[n-]n1 ZINC001364043686 1132179446 /nfs/dbraw/zinc/17/94/46/1132179446.db2.gz CSXGPTSSHDASLU-ZPWHCFADSA-N -1 1 335.407 -0.415 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CC[S@@](=O)C[C@H](C)C2)n[n-]1 ZINC001364043686 1132179451 /nfs/dbraw/zinc/17/94/51/1132179451.db2.gz CSXGPTSSHDASLU-ZPWHCFADSA-N -1 1 335.407 -0.415 20 0 EBADMM O=C1NCC2(CCOCC2)[C@@H]1[N-]S(=O)(=O)c1c[nH]nc1Cl ZINC001364049590 1132183941 /nfs/dbraw/zinc/18/39/41/1132183941.db2.gz MSBDRJPLJAIBFY-MRVPVSSYSA-N -1 1 334.785 -0.363 20 0 EBADMM COC(=O)[C@H](CC1OCCO1)[N-]S(=O)(=O)CC[C@@H]1CCOC1 ZINC001364066739 1132193418 /nfs/dbraw/zinc/19/34/18/1132193418.db2.gz AAEZHWHOLMFCOP-QWRGUYRKSA-N -1 1 337.394 -0.363 20 0 EBADMM COC(=O)c1c(NC(=O)[C@@H]2CCc3nccn3C2)n[n-]c1OCCO ZINC001364100452 1132206085 /nfs/dbraw/zinc/20/60/85/1132206085.db2.gz DNLOLFKWYOCZPM-SECBINFHSA-N -1 1 349.347 -0.035 20 0 EBADMM COC(=O)c1c(NC(=O)[C@@H]2CCc3nccn3C2)[n-]nc1OCCO ZINC001364100452 1132206093 /nfs/dbraw/zinc/20/60/93/1132206093.db2.gz DNLOLFKWYOCZPM-SECBINFHSA-N -1 1 349.347 -0.035 20 0 EBADMM CC[C@H](CN1CCOCC1)NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001364150715 1132220912 /nfs/dbraw/zinc/22/09/12/1132220912.db2.gz FRJIZIDIWFMQPU-SECBINFHSA-N -1 1 326.353 -0.263 20 0 EBADMM CN(C(=O)CNS(=O)(=O)c1ccc2c(c1)COC2)c1nn[nH]n1 ZINC001364195796 1132232298 /nfs/dbraw/zinc/23/22/98/1132232298.db2.gz MHMNXNKVWLPTQK-UHFFFAOYSA-N -1 1 338.349 -0.829 20 0 EBADMM CN(C(=O)CNS(=O)(=O)c1ccc2c(c1)COC2)c1nn[n-]n1 ZINC001364195796 1132232303 /nfs/dbraw/zinc/23/23/03/1132232303.db2.gz MHMNXNKVWLPTQK-UHFFFAOYSA-N -1 1 338.349 -0.829 20 0 EBADMM O=C(NC[C@]12COCCN1C(=O)COC2)c1cnc(C2CC2)[n-]c1=O ZINC001364479742 1132333374 /nfs/dbraw/zinc/33/33/74/1132333374.db2.gz ZHGROFOYDWDPFY-MRXNPFEDSA-N -1 1 348.359 -0.583 20 0 EBADMM NC(=O)c1ccc(CC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)cn1 ZINC001364557005 1132361001 /nfs/dbraw/zinc/36/10/01/1132361001.db2.gz KJHRPKLSCKTWLX-UHFFFAOYSA-N -1 1 344.293 -0.140 20 0 EBADMM O=C(C1=CC=CN2CCS(=O)(=O)N=C12)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001364567766 1132363665 /nfs/dbraw/zinc/36/36/65/1132363665.db2.gz MHGFZGVNSPLLNC-SECBINFHSA-N -1 1 349.376 -0.987 20 0 EBADMM O=C(NC1(c2nn[n-]n2)CCC1)[C@@H]1CC12CCS(=O)(=O)CC2 ZINC001364661655 1132387173 /nfs/dbraw/zinc/38/71/73/1132387173.db2.gz FOJRMWGUWUHYMS-VIFPVBQESA-N -1 1 325.394 -0.090 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCC(=O)NCC(F)(F)F)[n-]n1 ZINC001364759841 1132417350 /nfs/dbraw/zinc/41/73/50/1132417350.db2.gz SKCNWHZDCJWSRH-UHFFFAOYSA-N -1 1 344.271 -0.847 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCC(=O)NCC(F)(F)F)n[n-]1 ZINC001364759841 1132417354 /nfs/dbraw/zinc/41/73/54/1132417354.db2.gz SKCNWHZDCJWSRH-UHFFFAOYSA-N -1 1 344.271 -0.847 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H](O)COCC1CC1 ZINC001364780623 1132424429 /nfs/dbraw/zinc/42/44/29/1132424429.db2.gz ABYNWBXZUOZVCO-SECBINFHSA-N -1 1 333.366 -0.738 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]C[C@@H](O)C1CCOCC1 ZINC001364805419 1132433293 /nfs/dbraw/zinc/43/32/93/1132433293.db2.gz QJHJGXHKAOHTJZ-SNVBAGLBSA-N -1 1 333.366 -0.738 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCc2ccnc(N(C)C)n2)[n-]n1 ZINC001364809075 1132434154 /nfs/dbraw/zinc/43/41/54/1132434154.db2.gz ISDWZQRWCJSBNX-UHFFFAOYSA-N -1 1 340.365 -0.469 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCc2ccnc(N(C)C)n2)n[n-]1 ZINC001364809075 1132434157 /nfs/dbraw/zinc/43/41/57/1132434157.db2.gz ISDWZQRWCJSBNX-UHFFFAOYSA-N -1 1 340.365 -0.469 20 0 EBADMM COC[C@H](NC(=O)CCCS(=O)(=O)N1CCCC1)c1nn[n-]n1 ZINC001364814035 1132436163 /nfs/dbraw/zinc/43/61/63/1132436163.db2.gz KWZXXZIWFPBCDS-JTQLQIEISA-N -1 1 346.413 -0.791 20 0 EBADMM COC[C@@H](NC(=O)c1ccc2c(c1)C(=O)NCCO2)c1nn[n-]n1 ZINC001364816590 1132437438 /nfs/dbraw/zinc/43/74/38/1132437438.db2.gz WTTRMPXCDVHNOT-SNVBAGLBSA-N -1 1 332.320 -0.561 20 0 EBADMM O=C([C@@H]1CCCCS1(=O)=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001364817496 1132438604 /nfs/dbraw/zinc/43/86/04/1132438604.db2.gz BQXUXCSLNTXKQJ-MNOVXSKESA-N -1 1 327.410 -0.052 20 0 EBADMM C[C@@]1(CC(=O)N2CCC[C@@H](Cc3nn[n-]n3)C2)CCS(=O)(=O)N1 ZINC001364819577 1132439629 /nfs/dbraw/zinc/43/96/29/1132439629.db2.gz SDYMUEJCKABQNA-GWCFXTLKSA-N -1 1 342.425 -0.547 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2Cc3cccc(O)c3C2)c(=O)[nH]c1=O ZINC001364819756 1132439683 /nfs/dbraw/zinc/43/96/83/1132439683.db2.gz ICUDBARZNHWPNG-SECBINFHSA-N -1 1 337.357 -0.363 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1C[C@@H]2CCCCN2C1=O ZINC001364857676 1132455708 /nfs/dbraw/zinc/45/57/08/1132455708.db2.gz GKDQQYGIVFOIQZ-WPRPVWTQSA-N -1 1 342.377 -0.372 20 0 EBADMM COC(=O)[C@](C)(CO)[N-]S(=O)(=O)CCOC1CCOCC1 ZINC001364862510 1132458597 /nfs/dbraw/zinc/45/85/97/1132458597.db2.gz RLRPSIHUZQZACY-LBPRGKRZSA-N -1 1 325.383 -0.975 20 0 EBADMM NC(=O)[C@@]1([N-]S(=O)(=O)Cc2noc3c2CCCC3)CCOC1 ZINC001364868250 1132460427 /nfs/dbraw/zinc/46/04/27/1132460427.db2.gz MEEDMDWFOCITEO-CYBMUJFWSA-N -1 1 329.378 -0.383 20 0 EBADMM Cn1nnc2c1CC[C@H]([N-]S(=O)(=O)N=S1(=O)CCCC1)C2 ZINC001364870438 1132461156 /nfs/dbraw/zinc/46/11/56/1132461156.db2.gz SIKMHOSXQGGLCN-VIFPVBQESA-N -1 1 333.439 -0.231 20 0 EBADMM COC(=O)[C@@H]([N-]S(=O)(=O)c1cnc(N)c(C)c1)c1ccnn1C ZINC001364874056 1132463143 /nfs/dbraw/zinc/46/31/43/1132463143.db2.gz PLGHTFDZWLVEAW-NSHDSACASA-N -1 1 339.377 -0.102 20 0 EBADMM CO[C@@]1(CNC(=O)c2cnc(SC)[n-]c2=O)CCS(=O)(=O)C1 ZINC001364878954 1132465576 /nfs/dbraw/zinc/46/55/76/1132465576.db2.gz ISRKDUMCKGCOOV-GFCCVEGCSA-N -1 1 347.418 -0.162 20 0 EBADMM CO[C@@H](CS(=O)(=O)[N-]Cc1nc(C(N)=O)co1)[C@@H]1CCOC1 ZINC001364879761 1132466376 /nfs/dbraw/zinc/46/63/76/1132466376.db2.gz DUSKKFBOPZNLDH-SCZZXKLOSA-N -1 1 333.366 -0.756 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@H](OC)[C@H]2CCOC2)[n-]n1 ZINC001364882319 1132468188 /nfs/dbraw/zinc/46/81/88/1132468188.db2.gz YRZWYAOHPNSMMK-WPRPVWTQSA-N -1 1 333.366 -0.474 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@H](OC)[C@H]2CCOC2)n[n-]1 ZINC001364882319 1132468193 /nfs/dbraw/zinc/46/81/93/1132468193.db2.gz YRZWYAOHPNSMMK-WPRPVWTQSA-N -1 1 333.366 -0.474 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@H](OC)[C@@H]2CCOC2)[n-]n1 ZINC001364882317 1132468375 /nfs/dbraw/zinc/46/83/75/1132468375.db2.gz YRZWYAOHPNSMMK-SCZZXKLOSA-N -1 1 333.366 -0.474 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@H](OC)[C@@H]2CCOC2)n[n-]1 ZINC001364882317 1132468379 /nfs/dbraw/zinc/46/83/79/1132468379.db2.gz YRZWYAOHPNSMMK-SCZZXKLOSA-N -1 1 333.366 -0.474 20 0 EBADMM COC(=O)c1ccc(CS(=O)(=O)[N-][C@](C)(CO)C(=O)OC)cc1 ZINC001364886101 1132470529 /nfs/dbraw/zinc/47/05/29/1132470529.db2.gz YCVSDBBPEMNOMT-CQSZACIVSA-N -1 1 345.373 -0.183 20 0 EBADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@@H](CCF)C(=O)OC ZINC001364901101 1132475219 /nfs/dbraw/zinc/47/52/19/1132475219.db2.gz UENZPAONVWJQGZ-ZETCQYMHSA-N -1 1 337.329 -0.316 20 0 EBADMM O=c1[n-]c(CNS(=O)(=O)C[C@@H]2CCOC2)nc2c1COCC2 ZINC001364908081 1132479649 /nfs/dbraw/zinc/47/96/49/1132479649.db2.gz SELMILVQXVFOSC-SECBINFHSA-N -1 1 329.378 -0.289 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC[C@@H]1CC(=O)N(C)C1 ZINC001364935145 1132492766 /nfs/dbraw/zinc/49/27/66/1132492766.db2.gz PXKVOKRPTLZDEN-SECBINFHSA-N -1 1 344.393 -0.267 20 0 EBADMM Cc1cn(C(C)(C)C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)cn1 ZINC001364952821 1132500171 /nfs/dbraw/zinc/50/01/71/1132500171.db2.gz JPGBQHVMGCLBGZ-UHFFFAOYSA-N -1 1 347.423 -0.307 20 0 EBADMM CN(C)Cc1nc(C[N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C)co1 ZINC001364980620 1132510728 /nfs/dbraw/zinc/51/07/28/1132510728.db2.gz PXBNXYCHFZIFAZ-HXUWFJFHSA-N -1 1 339.443 -0.355 20 0 EBADMM COC(=O)C[C@@H](NS(=O)(=O)c1cc(C(=O)OC)n[n-]1)C1CC1 ZINC001365132683 1132554516 /nfs/dbraw/zinc/55/45/16/1132554516.db2.gz DNSHUGNCXNWEDF-MRVPVSSYSA-N -1 1 331.350 -0.184 20 0 EBADMM COC(=O)C[C@@H](NS(=O)(=O)c1cc(C(=O)OC)[n-]n1)C1CC1 ZINC001365132683 1132554525 /nfs/dbraw/zinc/55/45/25/1132554525.db2.gz DNSHUGNCXNWEDF-MRVPVSSYSA-N -1 1 331.350 -0.184 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CCOC3(CCC3)C2)c(=O)[nH]c1=O ZINC001365134795 1132555591 /nfs/dbraw/zinc/55/55/91/1132555591.db2.gz MMJDILATSSKNJH-SECBINFHSA-N -1 1 329.378 -0.134 20 0 EBADMM Cn1cnc(NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)cc1=O ZINC001365231986 1132585870 /nfs/dbraw/zinc/58/58/70/1132585870.db2.gz AKKCSZPLVLCFCG-UHFFFAOYSA-N -1 1 332.320 -0.330 20 0 EBADMM C[C@H]1CCNC(=O)[C@@H]1[N-]S(=O)(=O)c1nc[nH]c1Br ZINC001365385299 1132646065 /nfs/dbraw/zinc/64/60/65/1132646065.db2.gz MKXAFWMOSSHDHO-NTSWFWBYSA-N -1 1 337.199 -0.025 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC(F)(F)[C@H](CO)C2)[n-]n1 ZINC001365406191 1132655026 /nfs/dbraw/zinc/65/50/26/1132655026.db2.gz FNIHGMZAHPXKJZ-ZETCQYMHSA-N -1 1 339.320 -0.166 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC(F)(F)[C@H](CO)C2)n[n-]1 ZINC001365406191 1132655027 /nfs/dbraw/zinc/65/50/27/1132655027.db2.gz FNIHGMZAHPXKJZ-ZETCQYMHSA-N -1 1 339.320 -0.166 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@]2(O)CCCC2(C)C)c(=O)[nH]c1=O ZINC001365406973 1132656366 /nfs/dbraw/zinc/65/63/66/1132656366.db2.gz MRNGSXLICMFGSQ-CYBMUJFWSA-N -1 1 331.394 -0.295 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@@H]2CCOC[C@@H]2OC)[n-]n1 ZINC001365456867 1132688028 /nfs/dbraw/zinc/68/80/28/1132688028.db2.gz ZFRLYKJTVJROLN-ZJUUUORDSA-N -1 1 333.366 -0.379 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@@H]2CCOC[C@@H]2OC)n[n-]1 ZINC001365456867 1132688033 /nfs/dbraw/zinc/68/80/33/1132688033.db2.gz ZFRLYKJTVJROLN-ZJUUUORDSA-N -1 1 333.366 -0.379 20 0 EBADMM CCOC(=O)N1C[C@@H](CO)[C@H]([N-]S(=O)(=O)c2ccns2)C1 ZINC001365471582 1132700263 /nfs/dbraw/zinc/70/02/63/1132700263.db2.gz ZZZPCDIYTBJHJX-DTWKUNHWSA-N -1 1 335.407 -0.129 20 0 EBADMM COCCN1CCN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)CC1 ZINC001365632580 1132740141 /nfs/dbraw/zinc/74/01/41/1132740141.db2.gz WGXMPOZOANBYCR-UHFFFAOYSA-N -1 1 332.382 -0.851 20 0 EBADMM COCCN1CCN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)CC1 ZINC001365632580 1132740143 /nfs/dbraw/zinc/74/01/43/1132740143.db2.gz WGXMPOZOANBYCR-UHFFFAOYSA-N -1 1 332.382 -0.851 20 0 EBADMM COc1cc(S(=O)(=O)[N-]CC(C)(C)NS(C)(=O)=O)sn1 ZINC001365645307 1132744795 /nfs/dbraw/zinc/74/47/95/1132744795.db2.gz ROPUWLXVULRKLL-UHFFFAOYSA-N -1 1 343.452 -0.242 20 0 EBADMM CN(C)c1noc(C[N-]S(=O)(=O)[C@H]2CC(=O)N(C3CC3)C2)n1 ZINC001365701619 1132767793 /nfs/dbraw/zinc/76/77/93/1132767793.db2.gz UTJSTXVXNKIBMO-VIFPVBQESA-N -1 1 329.382 -0.682 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCc2ccc(C)n(C)c2=O)n[n-]1 ZINC001365704069 1132768356 /nfs/dbraw/zinc/76/83/56/1132768356.db2.gz ANJKFHRXPVXXRX-UHFFFAOYSA-N -1 1 340.361 -0.318 20 0 EBADMM Cc1ccc([C@@H](O)C[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)cc1 ZINC001365703079 1132768371 /nfs/dbraw/zinc/76/83/71/1132768371.db2.gz OICCNAMYWUERFL-NSHDSACASA-N -1 1 339.373 -0.194 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-]CC(C)(C)CS(N)(=O)=O)c1Cl ZINC001365726429 1132778407 /nfs/dbraw/zinc/77/84/07/1132778407.db2.gz CCMBCAGDIMQMDG-UHFFFAOYSA-N -1 1 344.846 -0.334 20 0 EBADMM CC[C@@H](NC(C)=O)C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001365792841 1132801068 /nfs/dbraw/zinc/80/10/68/1132801068.db2.gz HJCHDWWYNCIMRK-NWDGAFQWSA-N -1 1 338.412 -0.944 20 0 EBADMM CCO[C@@H](C)C(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001365925269 1132887833 /nfs/dbraw/zinc/88/78/33/1132887833.db2.gz MMALBBIQYXNUED-NSHDSACASA-N -1 1 325.413 -0.044 20 0 EBADMM Cc1c(CC(=O)NC[C@H](NCc2n[nH]c(=O)[n-]2)C2CC2)cnn1C ZINC001366040587 1132976748 /nfs/dbraw/zinc/97/67/48/1132976748.db2.gz WALSEUTXGWYQQM-LBPRGKRZSA-N -1 1 333.396 -0.221 20 0 EBADMM CC(=O)N[C@@H](CC(C)C)C(=O)NC[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001366344380 1133105659 /nfs/dbraw/zinc/10/56/59/1133105659.db2.gz GPSLCCZBBHGPBZ-ONGXEEELSA-N -1 1 326.401 -0.345 20 0 EBADMM CC(C)S(=O)(=O)CC(=O)N1CC[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001366411459 1133136055 /nfs/dbraw/zinc/13/60/55/1133136055.db2.gz PKDQUHWXVMLSMN-SNVBAGLBSA-N -1 1 345.425 -0.728 20 0 EBADMM Cc1ccc(F)c(OCC(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001366918203 1133362376 /nfs/dbraw/zinc/36/23/76/1133362376.db2.gz FWAGTJOGWMXFIX-UHFFFAOYSA-N -1 1 349.366 -0.065 20 0 EBADMM C[C@@H](CC(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1)[C@H]1CCCO1 ZINC001366993712 1133408406 /nfs/dbraw/zinc/40/84/06/1133408406.db2.gz VTHNKYWSTRMCLH-WCQYABFASA-N -1 1 337.424 -0.138 20 0 EBADMM C[C@@H](Oc1ccccn1)C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001367020680 1133431028 /nfs/dbraw/zinc/43/10/28/1133431028.db2.gz RZDOQQIBKNUDDJ-NEPJUHHUSA-N -1 1 346.391 -0.339 20 0 EBADMM CC[C@@H](CNC(=O)[C@@H](OC)c1cnn(C)c1)NCc1n[nH]c(=O)[n-]1 ZINC001367121999 1133480795 /nfs/dbraw/zinc/48/07/95/1133480795.db2.gz QUGCVHVHABSUCL-JQWIXIFHSA-N -1 1 337.384 -0.384 20 0 EBADMM CN1C[C@@H](C(=O)NC2(CNCc3n[nH]c(=O)[n-]3)CCCC2)NC1=O ZINC001367159518 1133490897 /nfs/dbraw/zinc/49/08/97/1133490897.db2.gz SAFVAAWVNCIYIA-VIFPVBQESA-N -1 1 337.384 -0.948 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)C(C)(C)N1CCOCC1 ZINC001367395792 1133577591 /nfs/dbraw/zinc/57/75/91/1133577591.db2.gz XNDZSMXQKUIHGG-NSHDSACASA-N -1 1 340.428 -0.442 20 0 EBADMM CC(=O)N[C@](C)(C(=O)N(C)[C@@H](C)CNCc1n[nH]c(=O)[n-]1)C(C)C ZINC001367410172 1133582987 /nfs/dbraw/zinc/58/29/87/1133582987.db2.gz HJHWAOORINCTOE-BONVTDFDSA-N -1 1 340.428 -0.002 20 0 EBADMM CN(CCN(C)C(=O)c1cnc2n1CCC2)Cc1nc(=O)n(C)[n-]1 ZINC001367523096 1133614054 /nfs/dbraw/zinc/61/40/54/1133614054.db2.gz OQVOPFPTERHROP-UHFFFAOYSA-N -1 1 333.396 -0.545 20 0 EBADMM CCn1cc(CC(=O)N(C)CCN(C)Cc2nc(=O)n(C)[n-]2)cn1 ZINC001367540472 1133620140 /nfs/dbraw/zinc/62/01/40/1133620140.db2.gz HZPJOIFBUITJGK-UHFFFAOYSA-N -1 1 335.412 -0.542 20 0 EBADMM COc1cccc(F)c1C(=O)NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001367587987 1133658696 /nfs/dbraw/zinc/65/86/96/1133658696.db2.gz JELGAFLFUGXVNG-QMMMGPOBSA-N -1 1 339.327 -0.462 20 0 EBADMM CCOc1ccc(C(=O)NC[C@H](O)CNCc2n[nH]c(=O)[n-]2)cc1 ZINC001367616293 1133698111 /nfs/dbraw/zinc/69/81/11/1133698111.db2.gz JIVDFGLJMUIDLL-LLVKDONJSA-N -1 1 335.364 -0.211 20 0 EBADMM O=C(Cn1c(=O)[n-][nH]c1=O)NC[C@H](O)CNC/C(Cl)=C\Cl ZINC001367629630 1133717827 /nfs/dbraw/zinc/71/78/27/1133717827.db2.gz WSARMFDTVUKYIA-WPGKYZOKSA-N -1 1 340.167 -0.925 20 0 EBADMM CCO[C@@H](C(=O)N[C@H](C)CN(C)Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001367809559 1133793492 /nfs/dbraw/zinc/79/34/92/1133793492.db2.gz JLONHIBOFWZOAB-ZWNOBZJWSA-N -1 1 325.413 -0.140 20 0 EBADMM Cn1ccc(=O)c(C(=O)N[C@@H](CNCc2n[nH]c(=O)[n-]2)C2CC2)c1 ZINC001367897742 1133829424 /nfs/dbraw/zinc/82/94/24/1133829424.db2.gz RJLGXWAPYBEIAQ-NSHDSACASA-N -1 1 332.364 -0.493 20 0 EBADMM CCS(=O)(=O)NCCN1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC001368063275 1133918992 /nfs/dbraw/zinc/91/89/92/1133918992.db2.gz BWWKPOSOUJFOFR-UHFFFAOYSA-N -1 1 342.421 -0.517 20 0 EBADMM Cn1[n-]c(CN2CC[C@](C)(NC(=O)Cc3cscn3)C2)nc1=O ZINC001368179213 1133981337 /nfs/dbraw/zinc/98/13/37/1133981337.db2.gz STFCVZSQUYXBMF-AWEZNQCLSA-N -1 1 336.421 -0.112 20 0 EBADMM CCOCC(=O)NC[C@]1(O)CCN(Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC001368236089 1134003801 /nfs/dbraw/zinc/00/38/01/1134003801.db2.gz CGWDNVWJRWHSIO-MRXNPFEDSA-N -1 1 349.391 -0.888 20 0 EBADMM C[C@@H](CS(C)(=O)=O)NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001368266066 1134065266 /nfs/dbraw/zinc/06/52/66/1134065266.db2.gz FTPLLGUWRHRZOJ-QMMMGPOBSA-N -1 1 344.393 -0.513 20 0 EBADMM Cc1cc(C(=O)NCC2(O)CN(Cc3nc(=O)n(C)[n-]3)C2)cc(C)n1 ZINC001368296641 1134116886 /nfs/dbraw/zinc/11/68/86/1134116886.db2.gz YORILDMPNGMKAA-UHFFFAOYSA-N -1 1 346.391 -0.903 20 0 EBADMM CC(C)c1[nH]ccc1C(=O)NCC1(O)CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001368304934 1134127984 /nfs/dbraw/zinc/12/79/84/1134127984.db2.gz FFZBLYZYMHAFPN-UHFFFAOYSA-N -1 1 348.407 -0.463 20 0 EBADMM O=C(CNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)N1CCCC1 ZINC001368424903 1134217404 /nfs/dbraw/zinc/21/74/04/1134217404.db2.gz GUUAPICTUJMKCH-UHFFFAOYSA-N -1 1 335.364 -0.324 20 0 EBADMM O=C([C@@H]1CCCOC1)N1CCC(O)(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001368679888 1134377057 /nfs/dbraw/zinc/37/70/57/1134377057.db2.gz YZFCEEMPLAIZHO-LLVKDONJSA-N -1 1 339.396 -0.620 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)CCn1cnccc1=O ZINC001368885348 1134474894 /nfs/dbraw/zinc/47/48/94/1134474894.db2.gz FBHUKNHHXGIKSU-ZJUUUORDSA-N -1 1 335.368 -0.860 20 0 EBADMM C[C@@H](CNC(=O)c1cn2c(n1)CCCC2)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001368947855 1134492715 /nfs/dbraw/zinc/49/27/15/1134492715.db2.gz CHBYDZLCNCBVMV-NSHDSACASA-N -1 1 347.423 -0.109 20 0 EBADMM C[C@H](CNC(=O)CCn1ccccc1=O)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001368956658 1134497113 /nfs/dbraw/zinc/49/71/13/1134497113.db2.gz UKFRRBOXAKTLHE-GFCCVEGCSA-N -1 1 348.407 -0.703 20 0 EBADMM C[C@@H](Oc1cccnc1)C(=O)NC[C@H](C)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001368982841 1134508257 /nfs/dbraw/zinc/50/82/57/1134508257.db2.gz YYODWFSHCUGKOD-NWDGAFQWSA-N -1 1 348.407 -0.093 20 0 EBADMM Cn1[n-]c(CN2CC(CCO)(NC(=O)C[C@@H]3CC[C@@H]4C[C@@H]43)C2)nc1=O ZINC001369020735 1134526556 /nfs/dbraw/zinc/52/65/56/1134526556.db2.gz KWGCQBMYLXSJLZ-AGIUHOORSA-N -1 1 349.435 -0.402 20 0 EBADMM NC(=O)NC(=O)CN1CCCCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001369066968 1134548627 /nfs/dbraw/zinc/54/86/27/1134548627.db2.gz SLULGCXLWNZAGS-LLVKDONJSA-N -1 1 349.391 -0.044 20 0 EBADMM C[C@@H](C(=O)N(C)[C@H](CNCc1n[nH]c(=O)[n-]1)C1CC1)S(C)(=O)=O ZINC001369206733 1134616923 /nfs/dbraw/zinc/61/69/23/1134616923.db2.gz LXWFRDFCCGLVAF-WCBMZHEXSA-N -1 1 345.425 -0.730 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1CCc2nccn2C1)NCc1n[nH]c(=O)[n-]1 ZINC001369265700 1134634919 /nfs/dbraw/zinc/63/49/19/1134634919.db2.gz WTSKLINVJQOMLC-MNOVXSKESA-N -1 1 333.396 -0.094 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1CCc2nccn2C1)NCc1n[nH]c(=O)[n-]1 ZINC001369265692 1134635248 /nfs/dbraw/zinc/63/52/48/1134635248.db2.gz WTSKLINVJQOMLC-GHMZBOCLSA-N -1 1 333.396 -0.094 20 0 EBADMM C[C@H](CN(C)C(=O)CCNC(=O)C(C)(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001369274948 1134637289 /nfs/dbraw/zinc/63/72/89/1134637289.db2.gz UFJZVJWIXBWXHF-SNVBAGLBSA-N -1 1 340.428 -0.001 20 0 EBADMM Cc1cc(C(=O)NC[C@@H](CO)NCc2nc(=O)n(C)[n-]2)sc1C ZINC001369373468 1134729841 /nfs/dbraw/zinc/72/98/41/1134729841.db2.gz RKGRGIKJRRQLEH-JTQLQIEISA-N -1 1 339.421 -0.333 20 0 EBADMM C[C@@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CCS(C)(=O)=O ZINC001369391975 1134753392 /nfs/dbraw/zinc/75/33/92/1134753392.db2.gz YQDXQNUTDZXEMD-RKDXNWHRSA-N -1 1 331.398 -0.976 20 0 EBADMM CCc1ncc(C(=O)N(C)C[C@H](O)CNCc2n[nH]c(=O)[n-]2)s1 ZINC001369486661 1134856711 /nfs/dbraw/zinc/85/67/11/1134856711.db2.gz GNJBZFMNFIAHQP-MRVPVSSYSA-N -1 1 340.409 -0.248 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H](O)CN(C)C(=O)C1(C2CC2)CC1 ZINC001369508708 1134898077 /nfs/dbraw/zinc/89/80/77/1134898077.db2.gz MLPXEHJIJCNELZ-LBPRGKRZSA-N -1 1 337.424 -0.450 20 0 EBADMM C[C@@H](CNC(=O)C1CCC1)N(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001369763169 1135010485 /nfs/dbraw/zinc/01/04/85/1135010485.db2.gz CTHIQRUOHQJIRI-VIFPVBQESA-N -1 1 332.364 -0.206 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CCCO1)N(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001369773387 1135012841 /nfs/dbraw/zinc/01/28/41/1135012841.db2.gz WBDSTQGTYYDNGY-MWLCHTKSSA-N -1 1 348.363 -0.827 20 0 EBADMM CN(C(=O)CCc1cn[nH]c1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001369779496 1135015307 /nfs/dbraw/zinc/01/53/07/1135015307.db2.gz TZPRNKNUGPDCQH-UHFFFAOYSA-N -1 1 347.423 -0.113 20 0 EBADMM COCC(C)(C)C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001369830433 1135038066 /nfs/dbraw/zinc/03/80/66/1135038066.db2.gz JEZAKBWMMGLVIN-LLVKDONJSA-N -1 1 325.413 -0.138 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H](CNC(=O)[C@H]1COC(=O)N1)C1CC1 ZINC001370398538 1135333927 /nfs/dbraw/zinc/33/39/27/1135333927.db2.gz NWAFSGOBFDZKPJ-GHMZBOCLSA-N -1 1 348.359 -0.138 20 0 EBADMM CCOCC(=O)N1CCC[C@H]2C[N@H+](Cc3nc(=O)n(C)[nH]3)CC[C@H]21 ZINC001370600310 1135425897 /nfs/dbraw/zinc/42/58/97/1135425897.db2.gz XRYLHMKTLDHNLL-QWHCGFSZSA-N -1 1 337.424 -0.042 20 0 EBADMM O=C(NC[C@@H](CO)NC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21)c1ncccc1[O-] ZINC001370674804 1135481482 /nfs/dbraw/zinc/48/14/82/1135481482.db2.gz IUZRWPHONDPRKG-JUFZMCDQSA-N -1 1 349.387 -0.191 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](CNC(=O)CCCC(N)=O)C2)nc1=O ZINC001370793963 1135637602 /nfs/dbraw/zinc/63/76/02/1135637602.db2.gz PFPHKXAFLLPWMV-LLVKDONJSA-N -1 1 338.412 -0.908 20 0 EBADMM COC[C@@H](OC)C(=O)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001370930661 1135698326 /nfs/dbraw/zinc/69/83/26/1135698326.db2.gz OYURMLNRQZEGIE-GHMZBOCLSA-N -1 1 327.385 -0.358 20 0 EBADMM CC(C)N(CCN(C)C(=O)c1ncccc1[O-])C(=O)Cn1cnnn1 ZINC001371055618 1135827699 /nfs/dbraw/zinc/82/76/99/1135827699.db2.gz NXHMVYVUPPDPFU-UHFFFAOYSA-N -1 1 347.379 -0.217 20 0 EBADMM COCCN(CCNC(=O)Cn1ccnc1)C(=O)c1ncccc1[O-] ZINC001371134785 1135845195 /nfs/dbraw/zinc/84/51/95/1135845195.db2.gz ULALLLLRXQMXAV-UHFFFAOYSA-N -1 1 347.375 -0.111 20 0 EBADMM CCC(=O)NCCC1(CNC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001371381963 1135899459 /nfs/dbraw/zinc/89/94/59/1135899459.db2.gz PESIBHWNXMBDGE-UHFFFAOYSA-N -1 1 332.364 -0.156 20 0 EBADMM Cn1cnc(C(=O)N[C@@H](CNC(=O)c2ncccc2[O-])C2CC2)n1 ZINC001372378240 1136185294 /nfs/dbraw/zinc/18/52/94/1136185294.db2.gz IXOLLERVSFBOAQ-JTQLQIEISA-N -1 1 330.348 -0.146 20 0 EBADMM CNC(=O)NC(C)(C)C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001372543129 1136227276 /nfs/dbraw/zinc/22/72/76/1136227276.db2.gz DNKFRJWJJMRZRC-MGCOHNPYSA-N -1 1 349.391 -0.128 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](CNC(=O)CC3CCOCC3)C2)nc1=O ZINC001372592964 1136240090 /nfs/dbraw/zinc/24/00/90/1136240090.db2.gz GDXDAWITWYQBGG-CYBMUJFWSA-N -1 1 337.424 -0.137 20 0 EBADMM Cn1[n-]c(CN(CCNC(=O)c2cn3c(n2)CCC3)C2CC2)nc1=O ZINC001372810430 1136295017 /nfs/dbraw/zinc/29/50/17/1136295017.db2.gz SZPIBZINFQDHBE-UHFFFAOYSA-N -1 1 345.407 -0.355 20 0 EBADMM Cc1noc(CCC(=O)NCCN(Cc2nc(=O)n(C)[n-]2)C2CC2)n1 ZINC001372850893 1136304445 /nfs/dbraw/zinc/30/44/45/1136304445.db2.gz WSMDAQVFNXQGLU-UHFFFAOYSA-N -1 1 349.395 -0.487 20 0 EBADMM C[C@@H](CNC(=O)CN1CCCC1)NC(=O)c1c[n-]n2c1nccc2=O ZINC001372867774 1136308867 /nfs/dbraw/zinc/30/88/67/1136308867.db2.gz OBGDEUBJJGKLJF-NSHDSACASA-N -1 1 346.391 -0.647 20 0 EBADMM CCCN(C(=O)Cc1ncc[nH]1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001373132615 1136377489 /nfs/dbraw/zinc/37/74/89/1136377489.db2.gz NWMBHYZMIPFWNT-LBPRGKRZSA-N -1 1 347.423 -0.113 20 0 EBADMM CCO[C@@H]1C[C@H]1C(=O)NCCN(C)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001373363127 1136435438 /nfs/dbraw/zinc/43/54/38/1136435438.db2.gz QOTJUSBIHAEKCP-MWLCHTKSSA-N -1 1 348.363 -0.969 20 0 EBADMM CCCNC(=O)NC(=O)CN1CC(NC(=O)c2ncccc2[O-])C1 ZINC001373423287 1136451470 /nfs/dbraw/zinc/45/14/70/1136451470.db2.gz NFRJRWDBRGJKSH-UHFFFAOYSA-N -1 1 335.364 -0.563 20 0 EBADMM Cc1ccn(C[C@@H](C)C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001373517266 1136481898 /nfs/dbraw/zinc/48/18/98/1136481898.db2.gz XBAGCDCKLMKUDI-LLVKDONJSA-N -1 1 347.423 -0.502 20 0 EBADMM CCn1cc(CC(=O)NC[C@H](C)NC(=O)c2ncccc2[O-])nn1 ZINC001416887052 1136513568 /nfs/dbraw/zinc/51/35/68/1136513568.db2.gz CBSIQDAEFXKONX-JTQLQIEISA-N -1 1 332.364 -0.124 20 0 EBADMM CN(CCNC(=O)C1CC2(CC2)C1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001373670443 1136532377 /nfs/dbraw/zinc/53/23/77/1136532377.db2.gz RPMHAMJCTZYCAQ-UHFFFAOYSA-N -1 1 344.375 -0.204 20 0 EBADMM CCNC(=O)CCC(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001373672698 1136532732 /nfs/dbraw/zinc/53/27/32/1136532732.db2.gz ZCIIWOFEKYSPNO-LLVKDONJSA-N -1 1 338.412 -0.943 20 0 EBADMM O=C(C[C@H]1CCNC1=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001373846684 1136572276 /nfs/dbraw/zinc/57/22/76/1136572276.db2.gz CLMHLWRADMODRH-SNVBAGLBSA-N -1 1 336.396 -0.445 20 0 EBADMM Cn1ccc(CNCC2(NC(=O)c3n[nH]c(=O)[n-]c3=O)CCCC2)n1 ZINC001373849584 1136572774 /nfs/dbraw/zinc/57/27/74/1136572774.db2.gz MTJVUHDFALCIBF-UHFFFAOYSA-N -1 1 347.379 -0.151 20 0 EBADMM Cn1ncc(C(=O)NCCC2CCN(Cc3n[nH]c(=O)[n-]3)CC2)n1 ZINC001373948387 1136591227 /nfs/dbraw/zinc/59/12/27/1136591227.db2.gz BRJAEWUJPFOSPI-UHFFFAOYSA-N -1 1 334.384 -0.329 20 0 EBADMM CS[C@H](C)C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001374120873 1136627143 /nfs/dbraw/zinc/62/71/43/1136627143.db2.gz FOOBMTXVZOQDHG-NXEZZACHSA-N -1 1 329.426 -0.823 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@@H]1CCc2nccn2C1 ZINC001374241575 1136655892 /nfs/dbraw/zinc/65/58/92/1136655892.db2.gz FDNBWVDHGNHNFR-WDEREUQCSA-N -1 1 333.396 -0.094 20 0 EBADMM CC(C)C[C@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@H]1COCCO1 ZINC001374341567 1136676936 /nfs/dbraw/zinc/67/69/36/1136676936.db2.gz WJCQTIAOKYMJRB-GHMZBOCLSA-N -1 1 327.385 -0.454 20 0 EBADMM CC(C)C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C[C@H]1CCC(=O)N1 ZINC001374359345 1136678373 /nfs/dbraw/zinc/67/83/73/1136678373.db2.gz PDTIAUXJEWKCHV-MNOVXSKESA-N -1 1 338.412 -0.201 20 0 EBADMM CN(CCN(C)C(=O)[C@@H]1C[C@H]1c1cncn1C)Cc1nc(=O)n(C)[n-]1 ZINC001374534620 1136712603 /nfs/dbraw/zinc/71/26/03/1136712603.db2.gz QLHHLMKJSZJXGE-VXGBXAGGSA-N -1 1 347.423 -0.464 20 0 EBADMM COc1cccc(CNC[C@H](O)CNC(=O)c2[nH]nc(C)c2[O-])n1 ZINC001374593410 1136734237 /nfs/dbraw/zinc/73/42/37/1136734237.db2.gz NFRXWUIQQQIBRQ-NSHDSACASA-N -1 1 335.364 -0.292 20 0 EBADMM O=C(COC1CCCCC1)NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001374621213 1136754526 /nfs/dbraw/zinc/75/45/26/1136754526.db2.gz BADTXJBLNUSKAA-JTQLQIEISA-N -1 1 327.385 -0.574 20 0 EBADMM O=C(C[C@@H]1CCCC1(F)F)NC[C@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001374634589 1136764745 /nfs/dbraw/zinc/76/47/45/1136764745.db2.gz DZXYYIKPSRRNRV-DTWKUNHWSA-N -1 1 333.339 -0.098 20 0 EBADMM Cc1cc(NC(=O)CNC[C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])n(C)n1 ZINC001374745243 1136820687 /nfs/dbraw/zinc/82/06/87/1136820687.db2.gz QTBAQNNJSJZJID-SECBINFHSA-N -1 1 349.395 -0.188 20 0 EBADMM CC(C)[C@@H](C)C(=O)NCC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001374918308 1136874085 /nfs/dbraw/zinc/87/40/85/1136874085.db2.gz YVCBWDKMBUPJIU-SNVBAGLBSA-N -1 1 346.391 -0.102 20 0 EBADMM CC[C@@H](CNC(=O)c1ncccc1[O-])NC(=O)[C@@H]1CCNC(=O)C1 ZINC001375055985 1136902567 /nfs/dbraw/zinc/90/25/67/1136902567.db2.gz AOKZKASWMRMVNX-MNOVXSKESA-N -1 1 334.376 -0.062 20 0 EBADMM CCOC(=O)[C@H]1CCCN(S(=O)(=O)[N-]CC(F)(F)CO)C1 ZINC000231780658 375873120 /nfs/dbraw/zinc/87/31/20/375873120.db2.gz MFHVKKQBAPWPEE-VIFPVBQESA-N -1 1 330.353 -0.277 20 0 EBADMM CCC[C@@H](C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)OCC ZINC000625329694 376046580 /nfs/dbraw/zinc/04/65/80/376046580.db2.gz AQHNXUBLQGIXKR-JTQLQIEISA-N -1 1 333.410 -0.433 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C)CS(C)(=O)=O ZINC000081902700 376095773 /nfs/dbraw/zinc/09/57/73/376095773.db2.gz YODDEPZQDZQWQU-SSDOTTSWSA-N -1 1 339.395 -0.702 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CCc2ccsc2)c(=O)n(C)c1=O ZINC000046801872 376129118 /nfs/dbraw/zinc/12/91/18/376129118.db2.gz MLFNRRPPRLVUCC-UHFFFAOYSA-N -1 1 329.403 -0.334 20 0 EBADMM CO[C@@H]1CS(=O)(=O)[C@H]2CN(C(=O)C(=O)c3ccc([O-])cc3)C[C@H]21 ZINC000619220071 376151059 /nfs/dbraw/zinc/15/10/59/376151059.db2.gz SLJJKJRYGRIOSJ-XQQFMLRXSA-N -1 1 339.369 -0.155 20 0 EBADMM Cc1cc(C(=O)NCc2nn[n-]n2)cc(S(=O)(=O)N(C)C)c1C ZINC000600495419 376419137 /nfs/dbraw/zinc/41/91/37/376419137.db2.gz MWLVQYWVMBISAB-UHFFFAOYSA-N -1 1 338.393 -0.003 20 0 EBADMM CN(C)CC(=O)N1CCN(CC(=O)[N-]OCc2ccccc2)CC1 ZINC000093158941 376455169 /nfs/dbraw/zinc/45/51/69/376455169.db2.gz YXPVYPLSUWZQBQ-UHFFFAOYSA-N -1 1 334.420 -0.060 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCS(=O)(=O)CC1 ZINC000104895768 376559738 /nfs/dbraw/zinc/55/97/38/376559738.db2.gz TXZRKDHZZCDJQD-UHFFFAOYSA-N -1 1 338.389 -0.561 20 0 EBADMM O=S1(=O)C[C@H](O)[C@@H](N2CCN(Cc3ncccc3[O-])CC2)C1 ZINC000566414574 376788498 /nfs/dbraw/zinc/78/84/98/376788498.db2.gz PTFCWMZPXIVUDA-JSGCOSHPSA-N -1 1 327.406 -0.937 20 0 EBADMM CCOC(=O)N[C@@H](C(=O)N1CCO[C@H](c2nn[n-]n2)C1)C(C)C ZINC000363518422 376994508 /nfs/dbraw/zinc/99/45/08/376994508.db2.gz VRZRZZSHPYNVSL-VHSXEESVSA-N -1 1 326.357 -0.130 20 0 EBADMM O=C([O-])[C@H]1Cn2c(=O)[nH]nc2CN1Cc1cnn(CC(F)F)c1 ZINC000580314564 377457427 /nfs/dbraw/zinc/45/74/27/377457427.db2.gz ZNPXCLMHJIYSPF-MRVPVSSYSA-N -1 1 328.279 -0.086 20 0 EBADMM CCOC(=O)C[C@H](CO)NC(=O)c1ccc(S(=O)(=O)[N-]C)o1 ZINC000590385758 377484825 /nfs/dbraw/zinc/48/48/25/377484825.db2.gz LFIQDZFQHUFQNX-MRVPVSSYSA-N -1 1 334.350 -0.768 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CCO[C@@H](C3CC3)C2)c(=O)n(C)c1=O ZINC000364027209 377530614 /nfs/dbraw/zinc/53/06/14/377530614.db2.gz DKRNWNJLJVKRBF-GHMZBOCLSA-N -1 1 343.405 -0.680 20 0 EBADMM COC(=O)[C@](C)(CCF)[N-]S(=O)(=O)[C@@H]1CC(=O)N(C2CC2)C1 ZINC000601467267 377590152 /nfs/dbraw/zinc/59/01/52/377590152.db2.gz SOXNGKLBUSQUCD-MFKMUULPSA-N -1 1 336.385 -0.040 20 0 EBADMM C[C@]12CCC(=O)N1[C@H](C(=O)N1CCO[C@H](c3nn[n-]n3)C1)CS2 ZINC000364527887 377619998 /nfs/dbraw/zinc/61/99/98/377619998.db2.gz BYMWTYJKGCMCRC-RVBZMBCESA-N -1 1 338.393 -0.446 20 0 EBADMM NC(=O)NC(=O)CC[N-]S(=O)(=O)c1ncccc1C(F)(F)F ZINC000592035711 377787291 /nfs/dbraw/zinc/78/72/91/377787291.db2.gz ATOQJQDVKXDKDJ-UHFFFAOYSA-N -1 1 340.283 -0.036 20 0 EBADMM COC(=O)[C@H]([N-]S(=O)(=O)c1cnc2n1CCC2)c1ccnn1C ZINC000592093653 377798064 /nfs/dbraw/zinc/79/80/64/377798064.db2.gz UJMUZNWFCGEZMK-GFCCVEGCSA-N -1 1 339.377 -0.245 20 0 EBADMM CCOCCS(=O)(=O)NCC(=O)Nc1nc(C(=O)OCC)c[n-]1 ZINC000593902045 377920413 /nfs/dbraw/zinc/92/04/13/377920413.db2.gz YYLLKBCBBSQNBR-UHFFFAOYSA-N -1 1 348.381 -0.519 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC[C@@H](COC)OC ZINC000594796441 377954115 /nfs/dbraw/zinc/95/41/15/377954115.db2.gz ZVGKSBPJFANNLD-VIFPVBQESA-N -1 1 335.382 -0.084 20 0 EBADMM COC(=O)c1cc(F)cc(S(=O)(=O)[N-][C@@H]2COC[C@H]2O)c1F ZINC000599322330 378229235 /nfs/dbraw/zinc/22/92/35/378229235.db2.gz RRGYYNHJLYAYSI-RKDXNWHRSA-N -1 1 337.300 -0.211 20 0 EBADMM COC[C@@H](CS(=O)(=O)[N-][C@]1(C(=O)OC)CCSC1)OC ZINC000603303230 378399562 /nfs/dbraw/zinc/39/95/62/378399562.db2.gz IBCBGLFRWVEEDS-GXSJLCMTSA-N -1 1 327.424 -0.384 20 0 EBADMM COc1ccc(S(=O)(=O)C[C@@H](C)C(=O)NCc2nn[n-]n2)cc1 ZINC000604868150 378482379 /nfs/dbraw/zinc/48/23/79/378482379.db2.gz JGLGSVRVLVGWEQ-SECBINFHSA-N -1 1 339.377 -0.066 20 0 EBADMM COC(=O)[C@@H]([N-]S(=O)(=O)CCS(C)(=O)=O)c1ccccc1 ZINC000063143901 378631125 /nfs/dbraw/zinc/63/11/25/378631125.db2.gz HKQPUCHKWFAXOI-NSHDSACASA-N -1 1 335.403 -0.135 20 0 EBADMM O=C(NN1CC(=O)[N-]C1=O)[C@@H]1CCN(c2ccc(F)c(F)c2)C1=O ZINC000365677313 378632398 /nfs/dbraw/zinc/63/23/98/378632398.db2.gz AZKIATCDGSEDHR-QMMMGPOBSA-N -1 1 338.270 -0.099 20 0 EBADMM C[C@H](C(=O)N(C)C)S(=O)(=O)[N-][C@@H]1CN(c2ccc(F)cc2)C1=O ZINC000365775212 378685102 /nfs/dbraw/zinc/68/51/02/378685102.db2.gz HXEOHXGQIGZAQV-BXKDBHETSA-N -1 1 343.380 -0.063 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NCC(=O)Nc2cccnc2)o1 ZINC000337726452 378688801 /nfs/dbraw/zinc/68/88/01/378688801.db2.gz UEKSTPTVMLOGRK-UHFFFAOYSA-N -1 1 338.345 -0.049 20 0 EBADMM CC(=O)c1ccc(S(=O)(=O)N[C@@H](C)C(=O)Nc2nnn[n-]2)cc1 ZINC000338570544 378792623 /nfs/dbraw/zinc/79/26/23/378792623.db2.gz DJVPLALVRMYAHP-ZETCQYMHSA-N -1 1 338.349 -0.292 20 0 EBADMM CC(=O)c1ccc(S(=O)(=O)N[C@@H](C)C(=O)Nc2nn[n-]n2)cc1 ZINC000338570544 378792627 /nfs/dbraw/zinc/79/26/27/378792627.db2.gz DJVPLALVRMYAHP-ZETCQYMHSA-N -1 1 338.349 -0.292 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@]2(C(=O)OC)CCOC2)co1 ZINC000339441458 378920120 /nfs/dbraw/zinc/92/01/20/378920120.db2.gz OSYGOKKZSZSDAQ-LBPRGKRZSA-N -1 1 332.334 -0.750 20 0 EBADMM O=C(CS(=O)(=O)c1ccc([O-])cc1)NCC(=O)N1CCCC1 ZINC000063927101 379035732 /nfs/dbraw/zinc/03/57/32/379035732.db2.gz LYCPLVCYBSZSLB-UHFFFAOYSA-N -1 1 326.374 -0.096 20 0 EBADMM C[C@@H](CNS(=O)(=O)c1c[nH]c2nc(=O)[n-]c(=O)c-2c1)N(C)C ZINC000451930788 379073910 /nfs/dbraw/zinc/07/39/10/379073910.db2.gz NWMUWHWYIRJTMF-ZETCQYMHSA-N -1 1 327.366 -0.336 20 0 EBADMM Cc1cc(C)c(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)cn1 ZINC000452049149 379077529 /nfs/dbraw/zinc/07/75/29/379077529.db2.gz RNXFZIADXHSMEZ-UHFFFAOYSA-N -1 1 338.389 -0.426 20 0 EBADMM CCC[C@H](NC(=O)c1cnc2c(c1)c(=O)[nH]c(=O)n2C)c1nn[n-]n1 ZINC000268180138 379282953 /nfs/dbraw/zinc/28/29/53/379282953.db2.gz LFHMPNFKSLHHRK-VIFPVBQESA-N -1 1 344.335 -0.182 20 0 EBADMM O=C(Nc1n[n-]c(C(F)(F)F)n1)C1(n2cnnn2)CCOCC1 ZINC000621443702 379317799 /nfs/dbraw/zinc/31/77/99/379317799.db2.gz QNSUWPFVTRGIFD-UHFFFAOYSA-N -1 1 332.246 -0.046 20 0 EBADMM Cc1noc(C)c1[C@@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000113946844 379348784 /nfs/dbraw/zinc/34/87/84/379348784.db2.gz XWSVLVKXCVRIIJ-MRVPVSSYSA-N -1 1 342.377 -0.272 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCC[C@H](CC(N)=O)C2)co1 ZINC000270156042 379436915 /nfs/dbraw/zinc/43/69/15/379436915.db2.gz IOIWCQNXCFWOBC-SECBINFHSA-N -1 1 329.378 -0.085 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@]2(C)CCCC[C@H]2O)c(=O)n(C)c1=O ZINC000269784483 379407777 /nfs/dbraw/zinc/40/77/77/379407777.db2.gz ITLKPRDUKKSITQ-BXUZGUMPSA-N -1 1 345.421 -0.697 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](C(=O)OC)C1CC1 ZINC000269856779 379412215 /nfs/dbraw/zinc/41/22/15/379412215.db2.gz ROMRYNLOYCWYLY-VIFPVBQESA-N -1 1 331.350 -0.184 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@]1(C(=O)OC)CCOC1 ZINC000269886242 379415327 /nfs/dbraw/zinc/41/53/27/379415327.db2.gz XAXBNZWTZRZJDW-GFCCVEGCSA-N -1 1 347.349 -0.803 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@]2(C(=O)OC)CCSC2)co1 ZINC000270674433 379466515 /nfs/dbraw/zinc/46/65/15/379466515.db2.gz QLXKKYLGGIGYNZ-GFCCVEGCSA-N -1 1 348.402 -0.034 20 0 EBADMM CC(C)[C@H](NC(=O)OC(C)(C)C)C(=O)NCC(=O)Nc1nnn[n-]1 ZINC000271076664 379500451 /nfs/dbraw/zinc/50/04/51/379500451.db2.gz ONOQVGGZQFLKHV-VIFPVBQESA-N -1 1 341.372 -0.196 20 0 EBADMM CC(C)[C@H](NC(=O)OC(C)(C)C)C(=O)NCC(=O)Nc1nn[n-]n1 ZINC000271076664 379500458 /nfs/dbraw/zinc/50/04/58/379500458.db2.gz ONOQVGGZQFLKHV-VIFPVBQESA-N -1 1 341.372 -0.196 20 0 EBADMM CC(C)[C@H]1C[C@@H]([N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)CCO1 ZINC000271542003 379532133 /nfs/dbraw/zinc/53/21/33/379532133.db2.gz DBQPGOUOHTUHHV-WDEREUQCSA-N -1 1 345.421 -0.434 20 0 EBADMM CCOC(=O)[C@@H](CO)[N-]S(=O)(=O)N1CCc2ccccc2C1 ZINC000351974021 379546924 /nfs/dbraw/zinc/54/69/24/379546924.db2.gz FYMXQXHXOJCLBF-CYBMUJFWSA-N -1 1 328.390 -0.197 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@](C)(COC)CC(=O)OC)o1 ZINC000487976579 379509680 /nfs/dbraw/zinc/50/96/80/379509680.db2.gz UYRCYMDMJZJHCA-CYBMUJFWSA-N -1 1 348.377 -0.114 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CCN(C(C)C)C(=O)C2)o1 ZINC000272467968 379581594 /nfs/dbraw/zinc/58/15/94/379581594.db2.gz DFFGUIAAQVGUCX-UHFFFAOYSA-N -1 1 329.378 -0.120 20 0 EBADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)CN2CCCCC2=O)c1 ZINC000272128505 379561569 /nfs/dbraw/zinc/56/15/69/379561569.db2.gz LQIWTTWOENVSIE-UHFFFAOYSA-N -1 1 327.362 -0.009 20 0 EBADMM C[C@@H](CN(C)C(=O)CCn1nnc2ccccc2c1=O)c1nn[n-]n1 ZINC000273436699 379645882 /nfs/dbraw/zinc/64/58/82/379645882.db2.gz AEXDGIWQUYCUSK-JTQLQIEISA-N -1 1 342.363 -0.043 20 0 EBADMM O=C(N[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)c1ncccc1[O-] ZINC000275379892 379753767 /nfs/dbraw/zinc/75/37/67/379753767.db2.gz WRFXWNOXZBDOBO-QWRGUYRKSA-N -1 1 341.389 -0.985 20 0 EBADMM C[C@@H](CN(C)C(=O)CN(C)c1ncnc2nc[nH]c21)c1nn[n-]n1 ZINC000274753373 379710591 /nfs/dbraw/zinc/71/05/91/379710591.db2.gz IADJLXZCDXZCND-QMMMGPOBSA-N -1 1 330.356 -0.436 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CCC(=O)NCc1nnnn1C ZINC000276490939 379842024 /nfs/dbraw/zinc/84/20/24/379842024.db2.gz GKGSAIXGXOJGIM-UHFFFAOYSA-N -1 1 330.352 -0.176 20 0 EBADMM CCCCS(=O)(=O)NCC(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000539324541 379995418 /nfs/dbraw/zinc/99/54/18/379995418.db2.gz PBAKAKAUVKFPKU-SNVBAGLBSA-N -1 1 330.414 -0.375 20 0 EBADMM COC(=O)c1coc(S(=O)(=O)[N-]C[C@@H](CO)[C@@H]2CCOC2)c1 ZINC000281109030 380007627 /nfs/dbraw/zinc/00/76/27/380007627.db2.gz XJZXAALPHFCFJX-KOLCDFICSA-N -1 1 333.362 -0.011 20 0 EBADMM COC(=O)[C@H](C)CS(=O)(=O)[N-][C@]1(C(=O)OC)CCSC1 ZINC000340071385 380007815 /nfs/dbraw/zinc/00/78/15/380007815.db2.gz RVZPZQRDWGEHJD-LDYMZIIASA-N -1 1 325.408 -0.236 20 0 EBADMM NS(=O)(=O)c1cc(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)cs1 ZINC000540422996 380010140 /nfs/dbraw/zinc/01/01/40/380010140.db2.gz AXSHCLJUHLSKCI-SSDOTTSWSA-N -1 1 342.406 -0.072 20 0 EBADMM CC(C)[C@@H](C(=O)N(C)C[C@H](C)c1nn[n-]n1)N1CCCS1(=O)=O ZINC000542772813 380052723 /nfs/dbraw/zinc/05/27/23/380052723.db2.gz GQTLBWZJHBZZKB-QWRGUYRKSA-N -1 1 344.441 -0.178 20 0 EBADMM C[C@H]1C[C@@H](C(=O)Nc2cc(S(N)(=O)=O)ccc2[O-])CC(=O)N1 ZINC000543570415 380064557 /nfs/dbraw/zinc/06/45/57/380064557.db2.gz ZNYUHUBMFBNLJR-JGVFFNPUSA-N -1 1 327.362 -0.107 20 0 EBADMM CN(C)CCN(CC(=O)[O-])S(=O)(=O)[C@@H]1CC(=O)N(C2CC2)C1 ZINC000630442223 380069427 /nfs/dbraw/zinc/06/94/27/380069427.db2.gz WVNWSIMVDDBVTD-LLVKDONJSA-N -1 1 333.410 -0.972 20 0 EBADMM C[C@@H]1CCCCN1C(=O)CN1CCN(C(=O)CCc2nn[n-]n2)CC1 ZINC000630683979 380181315 /nfs/dbraw/zinc/18/13/15/380181315.db2.gz GZFXTWFKUVWFQT-CYBMUJFWSA-N -1 1 349.439 -0.323 20 0 EBADMM C[C@@H]1CN(C(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)[C@@H](C)CO1 ZINC000355367077 380188675 /nfs/dbraw/zinc/18/86/75/380188675.db2.gz IMIXYHBRIONQDN-DTWKUNHWSA-N -1 1 345.377 -0.707 20 0 EBADMM CCCCOCCNC(=O)CS(=O)(=O)c1nc(C(=O)OC)c[n-]1 ZINC000285106830 380222845 /nfs/dbraw/zinc/22/28/45/380222845.db2.gz MKEKEJGADIYVLR-UHFFFAOYSA-N -1 1 347.393 -0.097 20 0 EBADMM CC[C@H](C)[C@@H](NS(C)(=O)=O)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000357992310 380225897 /nfs/dbraw/zinc/22/58/97/380225897.db2.gz ICOAUYCZKPQNIO-LPEHRKFASA-N -1 1 332.430 -0.275 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])NCc1nc(C(F)(F)F)n[nH]1 ZINC000361024562 380281856 /nfs/dbraw/zinc/28/18/56/380281856.db2.gz ZPEGPFJFYWEAIC-UHFFFAOYSA-N -1 1 344.253 -0.030 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@]2(O)C[NH+]3CCC2CC3)o1 ZINC000552209474 380293134 /nfs/dbraw/zinc/29/31/34/380293134.db2.gz FJHDOSHBCXBOGH-AWEZNQCLSA-N -1 1 343.405 -0.626 20 0 EBADMM COCC(=O)N1CCN(C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)CC1 ZINC000287718969 380370095 /nfs/dbraw/zinc/37/00/95/380370095.db2.gz SLWDVYFYQYXNIL-PDGQHHTCSA-N -1 1 332.364 -0.336 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]CCS(=O)(=O)N(C)C)o1 ZINC000289411839 380436837 /nfs/dbraw/zinc/43/68/37/380436837.db2.gz AJBWQZCXDROXBZ-UHFFFAOYSA-N -1 1 340.379 -0.764 20 0 EBADMM CCN(CCC[N-]S(=O)(=O)c1c(C)onc1N)S(C)(=O)=O ZINC000289585221 380443515 /nfs/dbraw/zinc/44/35/15/380443515.db2.gz VKQCLYAYZYKVEV-UHFFFAOYSA-N -1 1 340.427 -0.485 20 0 EBADMM COCC[C@@H]([N-]S(=O)(=O)c1ccc(C(=O)OC)o1)C(=O)OC ZINC000289744630 380447788 /nfs/dbraw/zinc/44/77/88/380447788.db2.gz FBEGCFHBFLZECI-MRVPVSSYSA-N -1 1 335.334 -0.077 20 0 EBADMM CN(CC(=O)Nc1nn[nH]c1C(N)=O)S(=O)(=O)c1ccccc1 ZINC000362421969 380402240 /nfs/dbraw/zinc/40/22/40/380402240.db2.gz WBYLUIKNMYFJKT-UHFFFAOYSA-N -1 1 338.349 -0.837 20 0 EBADMM Cn1[n-]cc2/c(=N\C(=O)C(=O)NCCN3CC=CCC3)ccnc1-2 ZINC000288459679 380408845 /nfs/dbraw/zinc/40/88/45/380408845.db2.gz QCYFDVUGFVFQPU-MOSHPQCFSA-N -1 1 328.376 -0.342 20 0 EBADMM COC[C@H](NC(=O)C(=O)/N=c1/ccnc2n(C)[n-]cc1-2)[C@H]1CCCO1 ZINC000288490470 380409806 /nfs/dbraw/zinc/40/98/06/380409806.db2.gz SCUABJLHGQWINY-PADXFRPZSA-N -1 1 347.375 -0.410 20 0 EBADMM Cn1[n-]cc2/c(=N\C(=O)C(=O)N[C@H]3CC(=O)N(C4CC4)C3)ccnc1-2 ZINC000288720513 380418004 /nfs/dbraw/zinc/41/80/04/380418004.db2.gz IWZFDYXRBHVCAM-ZLAABENQSA-N -1 1 342.359 -0.840 20 0 EBADMM COC(=O)[C@@]1(C)CCN(C(=O)C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)C1 ZINC000288879237 380422581 /nfs/dbraw/zinc/42/25/81/380422581.db2.gz RJBZWDUPOBEQRI-YLRSXTQRSA-N -1 1 345.359 -0.308 20 0 EBADMM O=C([O-])[C@H](c1ccccc1)N1CC[C@H](NC(=O)c2nc[nH]n2)C1=O ZINC000567863332 380552461 /nfs/dbraw/zinc/55/24/61/380552461.db2.gz KUHFCNCDNUFNRU-QWRGUYRKSA-N -1 1 329.316 -0.039 20 0 EBADMM O=C([O-])[C@H](c1ccccc1)N1CC[C@H](NC(=O)c2ncn[nH]2)C1=O ZINC000567863332 380552468 /nfs/dbraw/zinc/55/24/68/380552468.db2.gz KUHFCNCDNUFNRU-QWRGUYRKSA-N -1 1 329.316 -0.039 20 0 EBADMM O=C([O-])[C@@H](c1ccccc1)N1CC[C@H](NC(=O)c2nc[nH]n2)C1=O ZINC000567863333 380552927 /nfs/dbraw/zinc/55/29/27/380552927.db2.gz KUHFCNCDNUFNRU-WDEREUQCSA-N -1 1 329.316 -0.039 20 0 EBADMM O=C([O-])[C@@H](c1ccccc1)N1CC[C@H](NC(=O)c2ncn[nH]2)C1=O ZINC000567863333 380552933 /nfs/dbraw/zinc/55/29/33/380552933.db2.gz KUHFCNCDNUFNRU-WDEREUQCSA-N -1 1 329.316 -0.039 20 0 EBADMM C[C@@H]1CN(S(=O)(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])C[C@@H](C)O1 ZINC000314261679 380564382 /nfs/dbraw/zinc/56/43/82/380564382.db2.gz HPXXUXSYMUDJRN-KKZNHRDASA-N -1 1 332.382 -0.651 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCOC[C@H]1[C@H]1CCCO1 ZINC000341875719 380741258 /nfs/dbraw/zinc/74/12/58/380741258.db2.gz CUABQOZMEXELDM-WCQYABFASA-N -1 1 335.360 -0.077 20 0 EBADMM CC[C@](COC)([N-]S(=O)(=O)N1C[C@@H](C)OC[C@@H]1C)C(=O)OC ZINC000416518859 380783756 /nfs/dbraw/zinc/78/37/56/380783756.db2.gz URIDCZIEYZCVPC-DMDPSCGWSA-N -1 1 338.426 -0.102 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@@H]2CC(=O)N([C@@H]3C[C@H]3C)C2)co1 ZINC000374334955 380788625 /nfs/dbraw/zinc/78/86/25/380788625.db2.gz YFTPWIIQAUCZNQ-FBIMIBRVSA-N -1 1 341.389 -0.073 20 0 EBADMM C[C@@H](O)CNS(=O)(=O)CCCS(=O)(=O)[N-]c1ccccn1 ZINC000349574027 380890864 /nfs/dbraw/zinc/89/08/64/380890864.db2.gz YXEDTAKGZPDKSM-SNVBAGLBSA-N -1 1 337.423 -0.486 20 0 EBADMM Cc1[nH]c(=O)sc1S(=O)(=O)[N-]CCNC(=O)C1(C)COC1 ZINC000582879650 381084925 /nfs/dbraw/zinc/08/49/25/381084925.db2.gz CDUXIKVAMRZCFH-UHFFFAOYSA-N -1 1 335.407 -0.412 20 0 EBADMM CC[C@H](COC)NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000346667280 381096637 /nfs/dbraw/zinc/09/66/37/381096637.db2.gz QLMHEJDWJZNSPE-MRVPVSSYSA-N -1 1 333.366 -0.802 20 0 EBADMM COC(=O)[C@@H](NC(=O)CNC(=O)c1ncccc1[O-])c1ccn(C)n1 ZINC000348008797 381309638 /nfs/dbraw/zinc/30/96/38/381309638.db2.gz ITZICEDKBHWJSX-LBPRGKRZSA-N -1 1 347.331 -0.719 20 0 EBADMM CC(C)[C@@H](C(=O)NC1(c2nn[n-]n2)CC1)N1CCCS1(=O)=O ZINC000348299526 381359251 /nfs/dbraw/zinc/35/92/51/381359251.db2.gz YAPUUWMVSVBTOT-VIFPVBQESA-N -1 1 328.398 -0.635 20 0 EBADMM CSc1nc(C)c(CCC(=O)N[C@H]2CN(C)NC2=O)c(=O)[n-]1 ZINC000349671801 381476220 /nfs/dbraw/zinc/47/62/20/381476220.db2.gz UNKCGHUUOUBNEJ-VIFPVBQESA-N -1 1 325.394 -0.393 20 0 EBADMM COc1ccccc1N1C[C@H](C(=O)NN2CC(=O)[N-]C2=O)CC1=O ZINC000029023365 381515481 /nfs/dbraw/zinc/51/54/81/381515481.db2.gz PLZHIOCJOMWHSD-SECBINFHSA-N -1 1 332.316 -0.369 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCc2nnnn2C)o1 ZINC000611314307 381639044 /nfs/dbraw/zinc/63/90/44/381639044.db2.gz NHHJKXQFCKSWKI-UHFFFAOYSA-N -1 1 329.338 -0.499 20 0 EBADMM COC(=O)c1ccc(OC)cc1NC(=O)C(=O)NCc1nn[n-]n1 ZINC000611603623 381679461 /nfs/dbraw/zinc/67/94/61/381679461.db2.gz KLYLNFUIQCVKHB-UHFFFAOYSA-N -1 1 334.292 -0.750 20 0 EBADMM COC[C@H](CO)[N-]S(=O)(=O)c1nc(C)n(C)c1Br ZINC000287194811 381702930 /nfs/dbraw/zinc/70/29/30/381702930.db2.gz DQXHYBGUROAASI-ZETCQYMHSA-N -1 1 342.215 -0.223 20 0 EBADMM CCOC(=O)c1cc(S(=O)(=O)[N-]c2cc(C(N)=O)[nH]n2)cn1C ZINC000612799176 381862964 /nfs/dbraw/zinc/86/29/64/381862964.db2.gz ZHDDMZXECDBMOG-UHFFFAOYSA-N -1 1 341.349 -0.175 20 0 EBADMM C[C@@H](NC(=O)CNC(=O)c1ncccc1[O-])c1nnc2n1CCC2 ZINC000352534626 381996842 /nfs/dbraw/zinc/99/68/42/381996842.db2.gz XXKBECPQSUAPST-SECBINFHSA-N -1 1 330.348 -0.068 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2cnn3ccccc23)c(=O)n(C)c1=O ZINC000352604188 382010971 /nfs/dbraw/zinc/01/09/71/382010971.db2.gz UERZGUVNJASYOW-UHFFFAOYSA-N -1 1 349.372 -0.790 20 0 EBADMM C[C@@H](CN(C)C(=O)CSCC(=O)N1CCOCC1)c1nn[n-]n1 ZINC000352467158 381990015 /nfs/dbraw/zinc/99/00/15/381990015.db2.gz XDGPGRGBIKUSHI-JTQLQIEISA-N -1 1 342.425 -0.646 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1CC(=O)N(c2cnn(C)c2)C1)c1nn[n-]n1 ZINC000626443491 382120597 /nfs/dbraw/zinc/12/05/97/382120597.db2.gz HFECALNOXQBXAB-VHSXEESVSA-N -1 1 332.368 -0.452 20 0 EBADMM Cc1[nH]c(=O)sc1S(=O)(=O)[N-]CCNC(=O)[C@@H](O)C(C)C ZINC000354377666 382238836 /nfs/dbraw/zinc/23/88/36/382238836.db2.gz FEUBYGQVWISJLL-QMMMGPOBSA-N -1 1 337.423 -0.431 20 0 EBADMM COCCNC(=O)CNC(=O)CCc1c(C)nc2cc(=O)[n-]n2c1C ZINC000299654757 155164983 /nfs/dbraw/zinc/16/49/83/155164983.db2.gz YCICYUGYFBRBIA-UHFFFAOYSA-N -1 1 349.391 -0.137 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC(C)(C)OCCO ZINC000319109028 155179480 /nfs/dbraw/zinc/17/94/80/155179480.db2.gz RJFUPENADRWBPH-UHFFFAOYSA-N -1 1 335.382 -0.348 20 0 EBADMM Cc1cc(CS(=O)(=O)[N-][C@H]2CCN(c3cnn(C)c3)C2=O)no1 ZINC000328855300 155191382 /nfs/dbraw/zinc/19/13/82/155191382.db2.gz CPCXNYOTRBASAX-LBPRGKRZSA-N -1 1 339.377 -0.059 20 0 EBADMM CC[C@@H]([N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C1CCOCC1 ZINC000331016655 155331105 /nfs/dbraw/zinc/33/11/05/155331105.db2.gz YHIBVYGKYXXQLR-LLVKDONJSA-N -1 1 345.421 -0.433 20 0 EBADMM CC[C@H](C)[C@](C)(O)C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000331270569 155355126 /nfs/dbraw/zinc/35/51/26/155355126.db2.gz AOYYQNDBJLJWHA-TVQRCGJNSA-N -1 1 333.410 -0.841 20 0 EBADMM Cc1ccc(C[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)s1 ZINC000056079091 154088081 /nfs/dbraw/zinc/08/80/81/154088081.db2.gz SSAHPJZESMAQQH-UHFFFAOYSA-N -1 1 329.403 -0.068 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2nccn2C(F)F)c(=O)n(C)c1=O ZINC000173964402 154103571 /nfs/dbraw/zinc/10/35/71/154103571.db2.gz MIIMHZUQSQJZHG-UHFFFAOYSA-N -1 1 349.319 -0.846 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)CN(C)CCS(C)(=O)=O)cc1 ZINC000263963991 154269156 /nfs/dbraw/zinc/26/91/56/154269156.db2.gz QKKZCIFHGUPALE-UHFFFAOYSA-N -1 1 328.390 -0.072 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-]CCOCCS(C)(=O)=O)c1Cl ZINC000266260155 154341702 /nfs/dbraw/zinc/34/17/02/154341702.db2.gz ZBZLXWDYWCVKKK-UHFFFAOYSA-N -1 1 345.830 -0.587 20 0 EBADMM CC(C)(C)CC(=O)N1CSC[C@@H]1C(=O)NN1CC(=O)[N-]C1=O ZINC000266606625 154349900 /nfs/dbraw/zinc/34/99/00/154349900.db2.gz QJQWSMWNMIOUOF-MRVPVSSYSA-N -1 1 328.394 -0.093 20 0 EBADMM COCCN1CCN(CC(=O)[N-]C(=O)c2ccc(OC)cc2)CC1=O ZINC000271106070 154512146 /nfs/dbraw/zinc/51/21/46/154512146.db2.gz WBSFXAAPDIYNPL-UHFFFAOYSA-N -1 1 349.387 -0.258 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)CN2CSCC2=O)c1 ZINC000292209514 155031103 /nfs/dbraw/zinc/03/11/03/155031103.db2.gz LKIHTNCZMZDTKB-UHFFFAOYSA-N -1 1 345.402 -0.228 20 0 EBADMM COC(=O)[C@H](C[N-]S(=O)(=O)c1cn(C)nc1C(F)(F)F)OC ZINC000292693798 155036674 /nfs/dbraw/zinc/03/66/74/155036674.db2.gz AACKZHXAGFRAFN-LURJTMIESA-N -1 1 345.299 -0.095 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@]2(O)CCCC2(C)C)c(=O)n(C)c1=O ZINC000292897160 155037784 /nfs/dbraw/zinc/03/77/84/155037784.db2.gz VSQGBBJMMDRZMR-AWEZNQCLSA-N -1 1 345.421 -0.697 20 0 EBADMM COCCN1C[C@H](C(=O)N2CCC[C@H](c3nc(=O)[n-][nH]3)C2)CC1=O ZINC000294296954 155058436 /nfs/dbraw/zinc/05/84/36/155058436.db2.gz PSNFMXXLQVFPJM-WDEREUQCSA-N -1 1 337.380 -0.701 20 0 EBADMM CCOC(=O)[C@@](C)(O)C[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000342500899 156038313 /nfs/dbraw/zinc/03/83/13/156038313.db2.gz DIZAVYZAWNZBTJ-LBPRGKRZSA-N -1 1 335.334 -0.341 20 0 EBADMM CCOC(=O)[C@](C)(O)C[N-]S(=O)(=O)c1ccc(C(=O)OC)o1 ZINC000342500889 156039340 /nfs/dbraw/zinc/03/93/40/156039340.db2.gz DIZAVYZAWNZBTJ-GFCCVEGCSA-N -1 1 335.334 -0.341 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N(C)CCNC(=O)N(C)C)co1 ZINC000343066856 156091996 /nfs/dbraw/zinc/09/19/96/156091996.db2.gz WWPJTHQLLOPULB-UHFFFAOYSA-N -1 1 332.382 -0.469 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CCN(Cc2ncc[nH]2)CC1 ZINC000343414853 156134000 /nfs/dbraw/zinc/13/40/00/156134000.db2.gz XSBCRAFJYPNOLG-UHFFFAOYSA-N -1 1 344.375 -0.416 20 0 EBADMM COCCN(CC(=O)N(C)C)C(=O)CNC(=O)c1ncccc1[O-] ZINC000343854585 156173141 /nfs/dbraw/zinc/17/31/41/156173141.db2.gz UTVVLSMRVMIHIX-UHFFFAOYSA-N -1 1 338.364 -0.920 20 0 EBADMM CCNC(=O)N1CC[C@H](NC(=O)CNC(=O)c2ncccc2[O-])C1 ZINC000343877838 156175037 /nfs/dbraw/zinc/17/50/37/156175037.db2.gz WYPBVZSUSXPJOK-JTQLQIEISA-N -1 1 335.364 -0.563 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H]2CCSC2)o1 ZINC000344650576 156251009 /nfs/dbraw/zinc/25/10/09/156251009.db2.gz XCSKHAKCGVCZHK-MRVPVSSYSA-N -1 1 347.418 -0.474 20 0 EBADMM CCC[C@H](NC(=O)c1ccc(S(N)(=O)=O)cn1)c1nn[n-]n1 ZINC000344878423 156276990 /nfs/dbraw/zinc/27/69/90/156276990.db2.gz IIFBVNBRKDGYNZ-QMMMGPOBSA-N -1 1 325.354 -0.487 20 0 EBADMM COCCCCCNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000344996066 156292044 /nfs/dbraw/zinc/29/20/44/156292044.db2.gz ZIMNNQWDNUORCB-UHFFFAOYSA-N -1 1 347.393 -0.410 20 0 EBADMM CCN(CCOC)C(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000345427877 156330322 /nfs/dbraw/zinc/33/03/22/156330322.db2.gz FFUUGMSXCPPLCB-UHFFFAOYSA-N -1 1 333.366 -0.848 20 0 EBADMM COCCS(=O)(=O)[N-]c1cnn(CC(=O)N2CCOCC2)c1 ZINC000356719601 157087287 /nfs/dbraw/zinc/08/72/87/157087287.db2.gz DGMLANDIQADZCJ-UHFFFAOYSA-N -1 1 332.382 -0.870 20 0 EBADMM C[C@H](C(=O)NC1(c2nn[n-]n2)CC1)N1C(=O)[C@@H]2CCCC[C@H]2C1=O ZINC000357068233 157116255 /nfs/dbraw/zinc/11/62/55/157116255.db2.gz GJXQQMMTLHRMPQ-OPRDCNLKSA-N -1 1 332.364 -0.131 20 0 EBADMM CN(C)S(=O)(=O)c1ccc(C(=O)NC2(c3nn[n-]n3)CC2)cn1 ZINC000357065578 157117377 /nfs/dbraw/zinc/11/73/77/157117377.db2.gz GBDDSLGKJWHXBJ-UHFFFAOYSA-N -1 1 337.365 -0.736 20 0 EBADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCCCS2(=O)=O)c1 ZINC000357596294 157159542 /nfs/dbraw/zinc/15/95/42/157159542.db2.gz IGYDSFVGVNOLJE-NSHDSACASA-N -1 1 348.402 -0.055 20 0 EBADMM C[C@H](C(=O)N(C)CC(=O)N(C)C[C@H](C)c1nn[n-]n1)n1cccn1 ZINC000357986227 157195876 /nfs/dbraw/zinc/19/58/76/157195876.db2.gz HPLWLVFLXVONEZ-WDEREUQCSA-N -1 1 334.384 -0.322 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCN2CCNC(=O)C2)o1 ZINC000358517586 157253395 /nfs/dbraw/zinc/25/33/95/157253395.db2.gz CLAZRCVZZBOROZ-UHFFFAOYSA-N -1 1 345.377 -0.834 20 0 EBADMM CCOC(=O)c1ccc(S(=O)(=O)[N-]CCC(=O)NC(N)=O)o1 ZINC000360101281 157357263 /nfs/dbraw/zinc/35/72/63/157357263.db2.gz JUPZHTSQRJCAKU-UHFFFAOYSA-N -1 1 333.322 -0.680 20 0 EBADMM O=C(N=c1nc2n([n-]1)CCCC2)N1CCN(Cc2nnc[nH]2)CC1 ZINC000650240461 418974288 /nfs/dbraw/zinc/97/42/88/418974288.db2.gz GOAWYGVGEIIZHT-UHFFFAOYSA-N -1 1 331.384 -0.496 20 0 EBADMM CC(C)(C)OCCNC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000531287479 419222529 /nfs/dbraw/zinc/22/25/29/419222529.db2.gz BYQZYPGLDLZGIM-UHFFFAOYSA-N -1 1 347.393 -0.412 20 0 EBADMM Cc1nc2cc(=O)[n-]n2c(C)c1CC(=O)N1CCN(C)C(=O)[C@H]1C ZINC000188406647 419242213 /nfs/dbraw/zinc/24/22/13/419242213.db2.gz GGERHQIOACGZFR-LLVKDONJSA-N -1 1 331.376 -0.129 20 0 EBADMM CCN1CCN(C(=O)C(=O)/N=c2/ccnc3n(C)[n-]cc2-3)[C@@H](C)C1 ZINC000287684843 419339565 /nfs/dbraw/zinc/33/95/65/419339565.db2.gz CEXCIGJAHASREO-UQGHUHRHSA-N -1 1 330.392 -0.167 20 0 EBADMM O=S(=O)(CCCS(=O)(=O)N[C@@H]1CCOC1)[N-]c1ccccn1 ZINC000349548282 545935933 /nfs/dbraw/zinc/93/59/33/545935933.db2.gz FLGHGBHTXTVIPN-LLVKDONJSA-N -1 1 349.434 -0.078 20 0 EBADMM C[C@@H]1CN(S(=O)(=O)[N-]c2ccn(CC(=O)N(C)C)n2)[C@H](C)CO1 ZINC000340835755 419384801 /nfs/dbraw/zinc/38/48/01/419384801.db2.gz YFPFWXYNENMMAR-GHMZBOCLSA-N -1 1 345.425 -0.263 20 0 EBADMM COC[C@@H](NC(=O)OC(C)(C)C)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000496653251 420643346 /nfs/dbraw/zinc/64/33/46/420643346.db2.gz BHXCXDGZDBDCGH-MRVPVSSYSA-N -1 1 326.357 -0.155 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@]1(CO)COc2ccccc2C1 ZINC000529817880 420774703 /nfs/dbraw/zinc/77/47/03/420774703.db2.gz APQWHBYLEDBCML-INIZCTEOSA-N -1 1 347.327 -0.008 20 0 EBADMM CS(=O)(=O)N1CCC[C@H](C(=O)N2CCC[C@H](c3nn[n-]n3)C2)C1 ZINC000528884011 420756943 /nfs/dbraw/zinc/75/69/43/420756943.db2.gz XXFBZMUOQNRRTJ-QWRGUYRKSA-N -1 1 342.425 -0.423 20 0 EBADMM Cc1nc(S(=O)(=O)NCC(=O)NCCN2CC=CCC2)cn1C ZINC000530305656 420782082 /nfs/dbraw/zinc/78/20/82/420782082.db2.gz GSNFBQSJXJLUMD-UHFFFAOYSA-N -1 1 341.437 -0.615 20 0 EBADMM CN1CC[C@H](C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)S1(=O)=O ZINC000649210041 420790654 /nfs/dbraw/zinc/79/06/54/420790654.db2.gz GNZNVNYSUCMJHX-MNOVXSKESA-N -1 1 336.377 -0.561 20 0 EBADMM CO[C@H](CS(=O)(=O)[N-]c1ccc(C(N)=O)nc1)[C@H]1CCOC1 ZINC000656626545 420899052 /nfs/dbraw/zinc/89/90/52/420899052.db2.gz LTUNZBJWTLWIDA-JOYOIKCWSA-N -1 1 329.378 -0.026 20 0 EBADMM CC(C)O[C@@]1(CS(=O)(=O)[N-][C@H]2CC(=O)N(C)C2=O)CCOC1 ZINC000659961014 421035352 /nfs/dbraw/zinc/03/53/52/421035352.db2.gz AHQOCEKTYRKPAX-GWCFXTLKSA-N -1 1 334.394 -0.753 20 0 EBADMM CO[C@@H](C[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O)C1CCCC1 ZINC000660053568 421039052 /nfs/dbraw/zinc/03/90/52/421039052.db2.gz JFOZUUYUBPYUER-NSHDSACASA-N -1 1 345.421 -0.433 20 0 EBADMM CC[N@H+]1CCCN(C(=O)C(=O)N2C[C@@H]3COC[C@]3(C(=O)[O-])C2)CC1 ZINC000662902191 421105496 /nfs/dbraw/zinc/10/54/96/421105496.db2.gz FPMDEJJNBBCNTM-MLGOLLRUSA-N -1 1 339.392 -0.900 20 0 EBADMM CCN1CCCN(C(=O)C(=O)N2C[C@@H]3COC[C@]3(C(=O)[O-])C2)CC1 ZINC000662902191 421105500 /nfs/dbraw/zinc/10/55/00/421105500.db2.gz FPMDEJJNBBCNTM-MLGOLLRUSA-N -1 1 339.392 -0.900 20 0 EBADMM Cn1ccnc(NC[C@@H]2CN(Cc3ncccc3[O-])CCO2)c1=O ZINC000564302519 421182660 /nfs/dbraw/zinc/18/26/60/421182660.db2.gz HANFUIVYFHVUPL-GFCCVEGCSA-N -1 1 331.376 0.194 20 0 EBADMM COCCCn1ccc(NC(=O)CNC(=O)c2ncccc2[O-])n1 ZINC000343406101 421262321 /nfs/dbraw/zinc/26/23/21/421262321.db2.gz QAKKCAZLMPJFOC-UHFFFAOYSA-N -1 1 333.348 0.389 20 0 EBADMM COc1cc(C(=O)Nc2nnn[n-]2)cc(Cl)c1OCC(N)=O ZINC000342851893 264656395 /nfs/dbraw/zinc/65/63/95/264656395.db2.gz KJGDARACUYDJDA-UHFFFAOYSA-N -1 1 326.700 -0.022 20 0 EBADMM COc1cc(C(=O)Nc2nn[n-]n2)cc(Cl)c1OCC(N)=O ZINC000342851893 264656399 /nfs/dbraw/zinc/65/63/99/264656399.db2.gz KJGDARACUYDJDA-UHFFFAOYSA-N -1 1 326.700 -0.022 20 0 EBADMM CS(=O)(=O)CCCCNC(=O)CNC(=O)c1ncccc1[O-] ZINC000424914586 265079667 /nfs/dbraw/zinc/07/96/67/265079667.db2.gz FIJKHXGXZMZCNL-UHFFFAOYSA-N -1 1 329.378 -0.542 20 0 EBADMM C[C@@H](NC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)C(F)(F)F ZINC000424951406 265080104 /nfs/dbraw/zinc/08/01/04/265080104.db2.gz RZBPFCOWXBPEEE-RXMQYKEDSA-N -1 1 343.283 -0.276 20 0 EBADMM Cn1ncc(C(=O)Nc2nc(S(C)(=O)=O)n[n-]2)c1-n1cccc1 ZINC000427992784 265114727 /nfs/dbraw/zinc/11/47/27/265114727.db2.gz CCKVQNFVRQWLDN-UHFFFAOYSA-N -1 1 335.349 -0.015 20 0 EBADMM Cn1ncc(C(=O)Nc2nnc(S(C)(=O)=O)[n-]2)c1-n1cccc1 ZINC000427992784 265114732 /nfs/dbraw/zinc/11/47/32/265114732.db2.gz CCKVQNFVRQWLDN-UHFFFAOYSA-N -1 1 335.349 -0.015 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N2CC[C@@H](C)C[C@@H]2C(N)=O)o1 ZINC000428142936 265119321 /nfs/dbraw/zinc/11/93/21/265119321.db2.gz UMNFOMKMQGXFDH-RKDXNWHRSA-N -1 1 329.378 -0.086 20 0 EBADMM CC(C)CN1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H](C)C1=O ZINC000428572286 265126523 /nfs/dbraw/zinc/12/65/23/265126523.db2.gz JNJWVNYUPWNBBL-JTQLQIEISA-N -1 1 332.364 -0.254 20 0 EBADMM C[C@H]1CN(C(=O)CNC(=O)c2ncccc2[O-])CCS(=O)(=O)C1 ZINC000432563748 265177159 /nfs/dbraw/zinc/17/71/59/265177159.db2.gz VUNVTOWDNNRUFT-JTQLQIEISA-N -1 1 341.389 -0.590 20 0 EBADMM CCC[C@H](NC(=O)Cn1cnc2c(cnn2C)c1=O)c1nn[n-]n1 ZINC000434786235 265214941 /nfs/dbraw/zinc/21/49/41/265214941.db2.gz HKVRQTVDSQJOJQ-VIFPVBQESA-N -1 1 331.340 -0.699 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H]2C[C@H]2C2CC2)o1 ZINC000435960350 265234675 /nfs/dbraw/zinc/23/46/75/265234675.db2.gz ASYSSWZYQVKYRN-DTWKUNHWSA-N -1 1 327.362 -0.429 20 0 EBADMM CN(CCO)S(=O)(=O)c1ccc([N-]S(C)(=O)=O)cc1F ZINC000443125051 265339696 /nfs/dbraw/zinc/33/96/96/265339696.db2.gz CTBYCIVVZRJYQX-UHFFFAOYSA-N -1 1 326.371 -0.190 20 0 EBADMM CN1C(=O)C[C@H](C(=O)NN2CC(=O)[N-]C2=O)[C@H]1c1ccc(F)cc1 ZINC000270499961 273864262 /nfs/dbraw/zinc/86/42/62/273864262.db2.gz NMVSRALBGHFVFS-GXFFZTMASA-N -1 1 334.307 -0.072 20 0 EBADMM Cc1cccc(CC[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)n1 ZINC000359760761 545996666 /nfs/dbraw/zinc/99/66/66/545996666.db2.gz YSUSWKYWQCWDTH-UHFFFAOYSA-N -1 1 338.389 -0.692 20 0 EBADMM C[C@H](C[C@H]1CCOC1)[N-]S(=O)(=O)c1cn(C)c(=O)n(C)c1=O ZINC000675330969 546182260 /nfs/dbraw/zinc/18/22/60/546182260.db2.gz YUVXBTHDZKXDNZ-NXEZZACHSA-N -1 1 331.394 -0.823 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)N[C@@H](C)[C@H]2CN(C)CCO2)o1 ZINC000676257660 546202415 /nfs/dbraw/zinc/20/24/15/546202415.db2.gz GIVQJXDFFRWMOQ-GXSJLCMTSA-N -1 1 331.394 -0.363 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N[C@H](C)[C@H]2CN(C)CCO2)co1 ZINC000677318433 546228757 /nfs/dbraw/zinc/22/87/57/546228757.db2.gz FPFUPPGLEKFIAP-MWLCHTKSSA-N -1 1 331.394 -0.363 20 0 EBADMM C[C@@H]1C[C@@H](C)CC2(C1)NC(=O)N(CC(=O)NCc1nn[n-]n1)C2=O ZINC000677424995 546229367 /nfs/dbraw/zinc/22/93/67/546229367.db2.gz RXSODHUPAIWQHN-RKDXNWHRSA-N -1 1 335.368 -0.437 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1ccnn1Cc1ccc(F)cc1 ZINC000683238880 546345746 /nfs/dbraw/zinc/34/57/46/546345746.db2.gz YDIOTEOKVCCPBH-UHFFFAOYSA-N -1 1 344.310 -0.162 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@@H]1COc2ccccc2O1 ZINC000726866414 582623117 /nfs/dbraw/zinc/62/31/17/582623117.db2.gz STAOHPOTNCPERT-LLVKDONJSA-N -1 1 331.328 -0.117 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(C[C@H]2CCCO2)CC1 ZINC000726866411 582623211 /nfs/dbraw/zinc/62/32/11/582623211.db2.gz SQOVIBRKDJBUQA-CYBMUJFWSA-N -1 1 336.392 -0.750 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCN(c2cnccn2)CC1 ZINC000727754725 582656290 /nfs/dbraw/zinc/65/62/90/582656290.db2.gz XTXVTBDRGRXKHI-UHFFFAOYSA-N -1 1 330.348 -0.935 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCc1cn2ccccc2n1 ZINC000727848621 582660317 /nfs/dbraw/zinc/66/03/17/582660317.db2.gz OBWWCFYVWBSWNN-UHFFFAOYSA-N -1 1 327.344 -0.067 20 0 EBADMM CN(Cc1cccc(C(N)=O)c1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000727852313 582661331 /nfs/dbraw/zinc/66/13/31/582661331.db2.gz RTLIAKPOUYBSMU-UHFFFAOYSA-N -1 1 330.344 -0.316 20 0 EBADMM NC(=O)[C@@H](Cc1ccccc1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC000727853897 582661498 /nfs/dbraw/zinc/66/14/98/582661498.db2.gz YMQXFHYPRMJAHD-GFCCVEGCSA-N -1 1 330.344 -0.861 20 0 EBADMM CC(C)C(=O)N[C@@H]1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000727854153 582661727 /nfs/dbraw/zinc/66/17/27/582661727.db2.gz ZOBBJLDEGGELJJ-GFCCVEGCSA-N -1 1 336.392 -0.310 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCCOC[C@@H]1CCCO1 ZINC000728203948 582671853 /nfs/dbraw/zinc/67/18/53/582671853.db2.gz QBYUPGXJPZTVGY-LBPRGKRZSA-N -1 1 325.365 -0.371 20 0 EBADMM COC(=O)[C@H]1CN(CC(=O)[N-]C(=O)c2ccc(OC)cc2)CCO1 ZINC000728574782 582674695 /nfs/dbraw/zinc/67/46/95/582674695.db2.gz GWHBRUDDLVIUOZ-CYBMUJFWSA-N -1 1 336.344 -0.175 20 0 EBADMM O=C([C@H]1CCCO1)N1CCN(c2cnc(-c3nnn[n-]3)cn2)CC1 ZINC000737793541 582684085 /nfs/dbraw/zinc/68/40/85/582684085.db2.gz ZLJGUVKDIOIGRF-LLVKDONJSA-N -1 1 330.352 -0.516 20 0 EBADMM O=C([C@H]1CCCO1)N1CCN(c2cnc(-c3nn[n-]n3)cn2)CC1 ZINC000737793541 582684088 /nfs/dbraw/zinc/68/40/88/582684088.db2.gz ZLJGUVKDIOIGRF-LLVKDONJSA-N -1 1 330.352 -0.516 20 0 EBADMM O=C([N-]S(=O)(=O)[C@@H]1CCCOC1)c1ccc2c(n1)CNCC2 ZINC000901043152 582789283 /nfs/dbraw/zinc/78/92/83/582789283.db2.gz UCPFGDXOYAKJKH-LLVKDONJSA-N -1 1 325.390 -0.034 20 0 EBADMM COC[C@H]1C[NH2+]CCN1S(=O)(=O)c1nc[nH]c1Br ZINC000903599221 582813054 /nfs/dbraw/zinc/81/30/54/582813054.db2.gz XUIJUOMQSPXGBW-SSDOTTSWSA-N -1 1 339.215 -0.219 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-]C2CCN(CC(N)=O)CC2)o1 ZINC000730808937 582832218 /nfs/dbraw/zinc/83/22/18/582832218.db2.gz CWMIZNJNLVUAET-UHFFFAOYSA-N -1 1 345.377 -0.706 20 0 EBADMM CCN(C(=O)Cc1c(C)nc2cc(=O)[n-]n2c1C)[C@@H]1CC(=O)NC1=O ZINC000731435373 582836997 /nfs/dbraw/zinc/83/69/97/582836997.db2.gz BIVJUDQXZGWHSR-LLVKDONJSA-N -1 1 345.359 -0.142 20 0 EBADMM O=C(C1CC1)N1CCC[C@@H](C(=O)N2CCO[C@H](c3nn[n-]n3)C2)C1 ZINC000731853603 582840927 /nfs/dbraw/zinc/84/09/27/582840927.db2.gz MKYCMUZAMANUTB-NEPJUHHUSA-N -1 1 334.380 -0.252 20 0 EBADMM O=C(CCS(=O)(=O)C1CCCC1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000731855043 582841449 /nfs/dbraw/zinc/84/14/49/582841449.db2.gz BHCFGNFHKYAACC-NSHDSACASA-N -1 1 343.409 -0.153 20 0 EBADMM NC(=O)[C@@H]1CC(C(=O)NCc2nn[n-]n2)=NN1c1ccc(F)cc1 ZINC000731872560 582841575 /nfs/dbraw/zinc/84/15/75/582841575.db2.gz BIHJYIPNJJSYKG-JTQLQIEISA-N -1 1 332.299 -0.925 20 0 EBADMM NC(=O)c1ccc(OCC(=O)N2CCO[C@@H](c3nn[n-]n3)C2)cc1 ZINC000731880681 582841929 /nfs/dbraw/zinc/84/19/29/582841929.db2.gz VTMZQWWASOYZHW-LLVKDONJSA-N -1 1 332.320 -0.723 20 0 EBADMM O=C(CS(=O)(=O)C1CCCC1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000732091751 582844638 /nfs/dbraw/zinc/84/46/38/582844638.db2.gz PGRPCGSSOYMPNH-JTQLQIEISA-N -1 1 329.382 -0.543 20 0 EBADMM NC(=O)[C@H]1c2ccccc2CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000733152306 582856413 /nfs/dbraw/zinc/85/64/13/582856413.db2.gz JWDNOARZRPMYNN-CQSZACIVSA-N -1 1 342.355 -0.462 20 0 EBADMM C[NH+](C)CCN(C[C@H]1CCCO1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC000733150229 582856426 /nfs/dbraw/zinc/85/64/26/582856426.db2.gz FMZZNNTUNVWXSC-CYBMUJFWSA-N -1 1 338.408 -0.504 20 0 EBADMM C[C@H]([C@H](C)N(C)C(=O)CCn1cc[n-]c(=O)c1=O)S(C)(=O)=O ZINC000733275128 582859101 /nfs/dbraw/zinc/85/91/01/582859101.db2.gz GFEIABDEHBRORP-VHSXEESVSA-N -1 1 331.394 -0.793 20 0 EBADMM COC(=O)[C@H]1CC12CCN(C(=O)CCn1cc[n-]c(=O)c1=O)CC2 ZINC000733788449 582867565 /nfs/dbraw/zinc/86/75/65/582867565.db2.gz PHSCTTRDPZJFPA-LLVKDONJSA-N -1 1 335.360 -0.272 20 0 EBADMM CC(C)(C)[N-]S(=O)(=O)c1ccc(C(=O)NCCNC(N)=O)o1 ZINC000735812342 582906888 /nfs/dbraw/zinc/90/68/88/582906888.db2.gz LNDYQPHILLFTGQ-UHFFFAOYSA-N -1 1 332.382 -0.246 20 0 EBADMM O=C(c1cc(-n2cccn2)ccn1)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000736075802 582915891 /nfs/dbraw/zinc/91/58/91/582915891.db2.gz ABFBBZQRVRJUDN-LBPRGKRZSA-N -1 1 326.320 -0.006 20 0 EBADMM CC(=O)N1CCN([C@@H]2CN([C@H](C)C(=O)NCC(=O)[O-])C[C@H]2C)CC1 ZINC000736133722 582919535 /nfs/dbraw/zinc/91/95/35/582919535.db2.gz CFBSWFZQRMEROZ-YRGRVCCFSA-N -1 1 340.424 -0.940 20 0 EBADMM CC(C)CN1CCO[C@H](CNC(=O)COC(=O)[C@H]2C[C@H]2C(=O)[O-])C1 ZINC000736444601 582934397 /nfs/dbraw/zinc/93/43/97/582934397.db2.gz YUYWTGBDKXGHOA-UPJWGTAASA-N -1 1 342.392 -0.277 20 0 EBADMM CCN(CC(=O)N(C)C)S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000736512579 582938695 /nfs/dbraw/zinc/93/86/95/582938695.db2.gz RAMUSDOPJAXWDY-UHFFFAOYSA-N -1 1 339.381 -0.640 20 0 EBADMM CCN(CC(=O)N(C)C)S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000736512579 582938698 /nfs/dbraw/zinc/93/86/98/582938698.db2.gz RAMUSDOPJAXWDY-UHFFFAOYSA-N -1 1 339.381 -0.640 20 0 EBADMM CCn1cc(-c2nnn[n-]2)c(=O)n(CCCn2ccnc2C)c1=O ZINC000736713232 582951095 /nfs/dbraw/zinc/95/10/95/582951095.db2.gz JHZZFAYTHKSKER-UHFFFAOYSA-N -1 1 330.352 -0.195 20 0 EBADMM CCn1cc(CN(C)c2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)cn1 ZINC000736721121 582951631 /nfs/dbraw/zinc/95/16/31/582951631.db2.gz COFRRUOFJZLVJA-UHFFFAOYSA-N -1 1 345.367 -0.883 20 0 EBADMM C[C@@H]1CCCCN1C(=O)CN1CCN(C[C@@](C)(O)C(=O)[O-])CC1 ZINC000736783321 582956422 /nfs/dbraw/zinc/95/64/22/582956422.db2.gz GXLUEHNQPTVZIY-CZUORRHYSA-N -1 1 327.425 -0.159 20 0 EBADMM CN(CC(N)=O)S(=O)(=O)c1cccc(Cl)c1-c1nnn[n-]1 ZINC000736789335 582957022 /nfs/dbraw/zinc/95/70/22/582957022.db2.gz XOYOREFYTPRXKS-UHFFFAOYSA-N -1 1 330.757 -0.374 20 0 EBADMM CN(CC(N)=O)S(=O)(=O)c1cccc(Cl)c1-c1nn[n-]n1 ZINC000736789335 582957023 /nfs/dbraw/zinc/95/70/23/582957023.db2.gz XOYOREFYTPRXKS-UHFFFAOYSA-N -1 1 330.757 -0.374 20 0 EBADMM CN1CC[N@@H+](C)C[C@@H]1CNC(=O)COc1ccc(-c2nn[nH]n2)cc1 ZINC000736855420 582963076 /nfs/dbraw/zinc/96/30/76/582963076.db2.gz BUOAXLBPVYCPSV-ZDUSSCGKSA-N -1 1 345.407 -0.393 20 0 EBADMM CN1CCN(C)[C@@H](CNC(=O)COc2ccc(-c3nn[nH]n3)cc2)C1 ZINC000736855420 582963079 /nfs/dbraw/zinc/96/30/79/582963079.db2.gz BUOAXLBPVYCPSV-ZDUSSCGKSA-N -1 1 345.407 -0.393 20 0 EBADMM COCCNC(=O)CNc1c2ccccc2nnc1-c1nnn[n-]1 ZINC000737006204 582983193 /nfs/dbraw/zinc/98/31/93/582983193.db2.gz HUHQQWHHFNSIJY-UHFFFAOYSA-N -1 1 328.336 -0.016 20 0 EBADMM COCCNC(=O)CNc1c2ccccc2nnc1-c1nn[n-]n1 ZINC000737006204 582983196 /nfs/dbraw/zinc/98/31/96/582983196.db2.gz HUHQQWHHFNSIJY-UHFFFAOYSA-N -1 1 328.336 -0.016 20 0 EBADMM CCCc1nnc([C@H]2CN(CCC(=O)NCC(=O)[O-])CCO2)[nH]1 ZINC000737049744 582985829 /nfs/dbraw/zinc/98/58/29/582985829.db2.gz CDDADJKZDAIWDC-SNVBAGLBSA-N -1 1 325.369 -0.279 20 0 EBADMM CCCc1n[nH]c([C@H]2CN(CCC(=O)NCC(=O)[O-])CCO2)n1 ZINC000737049744 582985831 /nfs/dbraw/zinc/98/58/31/582985831.db2.gz CDDADJKZDAIWDC-SNVBAGLBSA-N -1 1 325.369 -0.279 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)O[C@H](C)C(=O)NCCCOC)co1 ZINC000756401243 582986185 /nfs/dbraw/zinc/98/61/85/582986185.db2.gz LEYXEYHIDIWUDH-SECBINFHSA-N -1 1 348.377 -0.114 20 0 EBADMM CSc1[nH]c(=O)c(C(=O)N2CCNC(=O)[C@@H]2C)cc1-c1nn[n-]n1 ZINC000737186085 582995842 /nfs/dbraw/zinc/99/58/42/582995842.db2.gz GISMCBGWAMDUMI-LURJTMIESA-N -1 1 349.376 -0.350 20 0 EBADMM CSCCCCNc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000737181895 582995899 /nfs/dbraw/zinc/99/58/99/582995899.db2.gz KYECVJKFGHZYOV-UHFFFAOYSA-N -1 1 325.398 -0.181 20 0 EBADMM C[C@H](Nc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C)c1cccnc1 ZINC000737196580 582996241 /nfs/dbraw/zinc/99/62/41/582996241.db2.gz RNNMENGOQSRGBZ-QMMMGPOBSA-N -1 1 328.336 -0.168 20 0 EBADMM CN(CCCNC(=O)CN1CCC[C@@H](C(=O)[O-])C1)S(C)(=O)=O ZINC000737439523 583007830 /nfs/dbraw/zinc/00/78/30/583007830.db2.gz UPDFGLFXVUUGFR-LLVKDONJSA-N -1 1 335.426 -0.819 20 0 EBADMM CN(CCCNC(=O)CN1CCC[C@H](C(=O)[O-])C1)S(C)(=O)=O ZINC000737439524 583007841 /nfs/dbraw/zinc/00/78/41/583007841.db2.gz UPDFGLFXVUUGFR-NSHDSACASA-N -1 1 335.426 -0.819 20 0 EBADMM CN(CCC(=O)NCC(=O)[O-])[C@H]1CCN(CC(F)(F)F)C1=O ZINC000737412686 583008045 /nfs/dbraw/zinc/00/80/45/583008045.db2.gz VCYPARGGDFZLCD-QMMMGPOBSA-N -1 1 325.287 -0.328 20 0 EBADMM COC(=O)[C@@H]1CN(C[C@@H](C)CN2CCO[C@H](C(=O)[O-])C2)CCO1 ZINC000737587839 583017991 /nfs/dbraw/zinc/01/79/91/583017991.db2.gz VEOYVAYTBFORLN-AVGNSLFASA-N -1 1 330.381 -0.718 20 0 EBADMM Cn1cc(CCNS(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)cn1 ZINC000737601854 583019553 /nfs/dbraw/zinc/01/95/53/583019553.db2.gz LUPIDCMATMYZHG-UHFFFAOYSA-N -1 1 334.365 -0.484 20 0 EBADMM Cn1cc(CCNS(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)cn1 ZINC000737601854 583019555 /nfs/dbraw/zinc/01/95/55/583019555.db2.gz LUPIDCMATMYZHG-UHFFFAOYSA-N -1 1 334.365 -0.484 20 0 EBADMM Cn1cccc(NS(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)c1=O ZINC000737612038 583021358 /nfs/dbraw/zinc/02/13/58/583021358.db2.gz HAQHDFROPSLDHN-UHFFFAOYSA-N -1 1 333.333 -0.239 20 0 EBADMM Cn1cccc1C(=O)N1CCN(c2nccnc2-c2nnn[n-]2)CC1 ZINC000737611972 583021604 /nfs/dbraw/zinc/02/16/04/583021604.db2.gz GBJABAQZVGTEHS-UHFFFAOYSA-N -1 1 339.363 -0.042 20 0 EBADMM Cn1cccc1C(=O)N1CCN(c2nccnc2-c2nn[n-]n2)CC1 ZINC000737611972 583021606 /nfs/dbraw/zinc/02/16/06/583021606.db2.gz GBJABAQZVGTEHS-UHFFFAOYSA-N -1 1 339.363 -0.042 20 0 EBADMM O=C([C@H]1CCCO1)N1CCN(c2nccnc2-c2nnn[n-]2)CC1 ZINC000737790811 583027791 /nfs/dbraw/zinc/02/77/91/583027791.db2.gz HPBULVIRRWCCMS-SNVBAGLBSA-N -1 1 330.352 -0.516 20 0 EBADMM O=C([C@H]1CCCO1)N1CCN(c2nccnc2-c2nn[n-]n2)CC1 ZINC000737790811 583027793 /nfs/dbraw/zinc/02/77/93/583027793.db2.gz HPBULVIRRWCCMS-SNVBAGLBSA-N -1 1 330.352 -0.516 20 0 EBADMM O=C(NCc1nn[n-]n1)[C@@H]1C[C@@H](O)CN1C(=O)OCc1ccccc1 ZINC000738123486 583042894 /nfs/dbraw/zinc/04/28/94/583042894.db2.gz RXTKVVFJYKMHBN-NEPJUHHUSA-N -1 1 346.347 -0.412 20 0 EBADMM Cc1c(NC(=O)C(=O)NC[C@@H]2CN(C)CCN2C)cccc1C(=O)[O-] ZINC000738301420 583048307 /nfs/dbraw/zinc/04/83/07/583048307.db2.gz ILKFOQQARJFFBM-GFCCVEGCSA-N -1 1 348.403 -0.006 20 0 EBADMM Cc1nc([C@H]2CN(CC(=O)N3CCC(C(=O)[O-])CC3)CCO2)n[nH]1 ZINC000738338286 583051203 /nfs/dbraw/zinc/05/12/03/583051203.db2.gz QVGZTMLPLGWONE-GFCCVEGCSA-N -1 1 337.380 -0.190 20 0 EBADMM O=C([C@@H]1CC(=O)N(c2cn[nH]c2)C1)N1CCSC[C@H]1c1nn[n-]n1 ZINC000788147876 583067187 /nfs/dbraw/zinc/06/71/87/583067187.db2.gz JXJLVVTZBCCOOU-SCZZXKLOSA-N -1 1 348.392 -0.408 20 0 EBADMM COC(=O)[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)c1ccccc1 ZINC000742119429 583076010 /nfs/dbraw/zinc/07/60/10/583076010.db2.gz RKHXFCBLEPXJTQ-ZDUSSCGKSA-N -1 1 345.355 0.000 20 0 EBADMM O=C([N-][C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1)C(F)(F)C(F)F ZINC000749366316 583128453 /nfs/dbraw/zinc/12/84/53/583128453.db2.gz XHLUSANEGDFFKD-YUMQZZPRSA-N -1 1 348.318 -0.499 20 0 EBADMM CCO[C@@H]1C[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)[C@@]12CCCO2 ZINC000759204889 583211273 /nfs/dbraw/zinc/21/12/73/583211273.db2.gz LSZFRDSLFUCYNU-OZVIIMIRSA-N -1 1 337.376 -0.231 20 0 EBADMM Cn1[n-]c(COC(=O)CCN2C(=O)[C@H]3CCCC[C@@H]3C2=O)nc1=O ZINC000765392490 583280944 /nfs/dbraw/zinc/28/09/44/583280944.db2.gz SGKYETDOYVYDDK-UWVGGRQHSA-N -1 1 336.348 -0.283 20 0 EBADMM CNS(=O)(=O)c1ccc(F)c(C(=O)OCc2nc(=O)n(C)[n-]2)c1 ZINC000765411319 583281344 /nfs/dbraw/zinc/28/13/44/583281344.db2.gz YLVOTAZFXBLQCR-UHFFFAOYSA-N -1 1 344.324 -0.487 20 0 EBADMM Cn1[n-]c(COC(=O)CCN2C(=O)COc3ccccc32)nc1=O ZINC000765421537 583281977 /nfs/dbraw/zinc/28/19/77/583281977.db2.gz OGMZTWOIVYXPKC-UHFFFAOYSA-N -1 1 332.316 -0.033 20 0 EBADMM Cc1nc2ccccc2c(=O)n1CC(=O)OCc1nc(=O)n(C)[n-]1 ZINC000765438842 583282467 /nfs/dbraw/zinc/28/24/67/583282467.db2.gz PIARDXCQFCBHOJ-UHFFFAOYSA-N -1 1 329.316 -0.130 20 0 EBADMM COc1ccc(C(=O)OCc2nc(=O)n(C)[n-]2)cc1-n1cnnn1 ZINC000765514373 583284091 /nfs/dbraw/zinc/28/40/91/583284091.db2.gz MBXMZXCGVZTYDU-UHFFFAOYSA-N -1 1 331.292 -0.550 20 0 EBADMM Cc1n[nH]c(C)c1[C@H]1COCCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000766055273 583289791 /nfs/dbraw/zinc/28/97/91/583289791.db2.gz JUWNFVKDODXFOX-GFCCVEGCSA-N -1 1 347.375 -0.133 20 0 EBADMM CC(C)CN1N=C(C(=O)N2CCO[C@H](c3nn[n-]n3)C2)CCC1=O ZINC000768031157 583312008 /nfs/dbraw/zinc/31/20/08/583312008.db2.gz PLRFKNKNYNSIIY-NSHDSACASA-N -1 1 335.368 -0.266 20 0 EBADMM COC(=O)c1n[nH]c2ccc(NC(=O)NN3CC(=O)[N-]C3=O)cc21 ZINC000770473929 583338175 /nfs/dbraw/zinc/33/81/75/583338175.db2.gz KFUDVRFKFPSHSD-UHFFFAOYSA-N -1 1 332.276 -0.062 20 0 EBADMM O=C([N-]OC1CCOCC1)[C@@H]1CC(=O)N(CCN2CCOCC2)C1 ZINC000772703951 583364451 /nfs/dbraw/zinc/36/44/51/583364451.db2.gz AWWIFGUFDVMXRH-CYBMUJFWSA-N -1 1 341.408 -0.606 20 0 EBADMM CCN1CCOC[C@@H]1C(=O)OCCc1c(C)nc2nc[n-]n2c1=O ZINC000777355830 583412823 /nfs/dbraw/zinc/41/28/23/583412823.db2.gz QPGXDFHMURJAFG-GFCCVEGCSA-N -1 1 335.364 -0.468 20 0 EBADMM C[C@@H]1CCN(S(=O)(=O)NNc2nc(=O)c3cnn(C)c3[n-]2)C1 ZINC000777931999 583416522 /nfs/dbraw/zinc/41/65/22/583416522.db2.gz DQEAPWSWPVOJNI-SSDOTTSWSA-N -1 1 327.370 -0.428 20 0 EBADMM C[C@@H](OC(=O)c1cn[n-]n1)C(=O)N1CCN(c2ncccn2)CC1 ZINC000805604955 583420762 /nfs/dbraw/zinc/42/07/62/583420762.db2.gz SFNMQRHRRUHLEX-SNVBAGLBSA-N -1 1 331.336 -0.511 20 0 EBADMM Cc1cnc(C(=O)NCCS(=O)(=O)N2CCOCC2)c([O-])c1 ZINC000778629773 583423816 /nfs/dbraw/zinc/42/38/16/583423816.db2.gz KARYWRBWICZEPW-UHFFFAOYSA-N -1 1 329.378 -0.513 20 0 EBADMM O=C(N[C@]1(C(=O)NCc2nn[n-]n2)CCOC1)OCc1ccccc1 ZINC000780940882 583453069 /nfs/dbraw/zinc/45/30/69/583453069.db2.gz RFPYOARKSAHHHW-OAHLLOKOSA-N -1 1 346.347 -0.099 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@H]1CN(c2ccc(F)cc2)C1=O ZINC000782867898 583474441 /nfs/dbraw/zinc/47/44/41/583474441.db2.gz CKKPTUFFBGKENU-LBPRGKRZSA-N -1 1 346.318 -0.403 20 0 EBADMM CNC(=O)[C@@H]([N-]S(=O)(=O)c1n[nH]c(C)c1C(=O)OC)C(C)C ZINC000785379225 583496164 /nfs/dbraw/zinc/49/61/64/583496164.db2.gz QYHARQAYOZXGQX-VIFPVBQESA-N -1 1 332.382 -0.446 20 0 EBADMM COC(=O)c1c(F)ccc(S(=O)(=O)[N-]Cc2nnn(C)n2)c1F ZINC000785405285 583497205 /nfs/dbraw/zinc/49/72/05/583497205.db2.gz ONTYUYNKFGTDMV-UHFFFAOYSA-N -1 1 347.303 -0.247 20 0 EBADMM CC(C)CN1CCO[C@@H](CNC(=O)C(=O)[N-]OC2CCOCC2)C1 ZINC000785415892 583497426 /nfs/dbraw/zinc/49/74/26/583497426.db2.gz RIRKNONOFSQYAJ-AWEZNQCLSA-N -1 1 343.424 -0.314 20 0 EBADMM C[C@@H]1CC[C@@H](COC(=O)c2ccc(S(=O)(=O)[N-]CC(N)=O)o2)O1 ZINC000786195777 583506677 /nfs/dbraw/zinc/50/66/77/583506677.db2.gz BCRVHHMWCVBPLH-BDAKNGLRSA-N -1 1 346.361 -0.233 20 0 EBADMM COC(=O)CCCOC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1 ZINC000786200812 583507029 /nfs/dbraw/zinc/50/70/29/583507029.db2.gz PJSRNFUWWSGAKG-UHFFFAOYSA-N -1 1 348.333 -0.847 20 0 EBADMM CC[C@H](OC(=O)c1ccc(S(=O)(=O)[N-]CC(N)=O)o1)C(=O)OC ZINC000786196247 583507093 /nfs/dbraw/zinc/50/70/93/583507093.db2.gz FGBRHLBDQDCBRO-ZETCQYMHSA-N -1 1 348.333 -0.848 20 0 EBADMM O=C(Nc1ccc(C(=O)N2CCOCC2)cc1)NN1CC(=O)[N-]C1=O ZINC000789837387 583539392 /nfs/dbraw/zinc/53/93/92/583539392.db2.gz YSLNPEHRQBZZBD-UHFFFAOYSA-N -1 1 347.331 -0.253 20 0 EBADMM C[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)CC2(CCOCC2)O1 ZINC000791075922 583549480 /nfs/dbraw/zinc/54/94/80/583549480.db2.gz ZZAFRSWYSQPEEO-LBPRGKRZSA-N -1 1 337.376 -0.277 20 0 EBADMM Cn1nncc1S(=O)(=O)[N-]C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000793275530 583565359 /nfs/dbraw/zinc/56/53/59/583565359.db2.gz AEDUKUNDEXKCSO-UHFFFAOYSA-N -1 1 333.333 -0.281 20 0 EBADMM CSCCO[N-]C(=O)[C@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC000794190262 583577769 /nfs/dbraw/zinc/57/77/69/583577769.db2.gz SSQNYDXGJUGNGD-VHSXEESVSA-N -1 1 336.435 -0.567 20 0 EBADMM C[C@H](NC(=O)OC(C)(C)C)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC000798269417 583603686 /nfs/dbraw/zinc/60/36/86/583603686.db2.gz BAQKSZHOVVPFDD-VIFPVBQESA-N -1 1 340.384 -0.077 20 0 EBADMM C[C@@H]1C[C@H](N2CCOCC2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000804439940 583644828 /nfs/dbraw/zinc/64/48/28/583644828.db2.gz NZYZMZIMDSRFKH-OLZOCXBDSA-N -1 1 336.392 -0.752 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)N3CC(n4cc(C(N)=O)nn4)C3)ccnc1-2 ZINC000806482734 583650293 /nfs/dbraw/zinc/65/02/93/583650293.db2.gz PPQLHQXLMKTKGL-UHFFFAOYSA-N -1 1 341.335 -0.879 20 0 EBADMM COC[C@H]1CN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)CCO1 ZINC000806918048 583655820 /nfs/dbraw/zinc/65/58/20/583655820.db2.gz YYSNDPFSDARKQA-SNVBAGLBSA-N -1 1 333.348 -0.846 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N3CC[C@H](N4CC=CC4)C3)ccnc1-2 ZINC000806919587 583655899 /nfs/dbraw/zinc/65/58/99/583655899.db2.gz OGROSQJEYGQUQC-LBPRGKRZSA-N -1 1 340.387 -0.247 20 0 EBADMM CCOC(=O)C[C@H](C)NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000806959067 583656194 /nfs/dbraw/zinc/65/61/94/583656194.db2.gz NXUQNISAPDVIFH-VIFPVBQESA-N -1 1 333.348 -0.262 20 0 EBADMM COC(=O)[C@H]1CCN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)[C@H]1C ZINC000807029315 583657081 /nfs/dbraw/zinc/65/70/81/583657081.db2.gz CVVWKCLLARUICE-UWVGGRQHSA-N -1 1 345.359 -0.310 20 0 EBADMM COCCn1c(=O)cc([O-])nc1SCC(=O)N1CCO[C@@H](C)C1 ZINC000809826278 583680596 /nfs/dbraw/zinc/68/05/96/583680596.db2.gz BFGHBSMBWHUWTA-JTQLQIEISA-N -1 1 343.405 -0.065 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]CC(=O)NC2CC2)c(C(F)(F)F)n1 ZINC000814980393 583707161 /nfs/dbraw/zinc/70/71/61/583707161.db2.gz LTVKUXDUSLQDDW-UHFFFAOYSA-N -1 1 326.300 -0.004 20 0 EBADMM COC(=O)[C@H]1[C@@H]2CN(C(=O)C(=O)N=c3ccnc4n(C)[n-]cc3-4)C[C@@H]21 ZINC000815707462 583712155 /nfs/dbraw/zinc/71/21/55/583712155.db2.gz ZYDUUOZGDOBPAC-IAZYJMLFSA-N -1 1 343.343 -0.842 20 0 EBADMM C[C@H](c1ccccc1)[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)C(N)=O ZINC000816800390 583721741 /nfs/dbraw/zinc/72/17/41/583721741.db2.gz YABBMGMNHFAHEP-BXUZGUMPSA-N -1 1 344.371 -0.300 20 0 EBADMM COc1ccccc1[C@H](N)CNC(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC000818559072 583745584 /nfs/dbraw/zinc/74/55/84/583745584.db2.gz YAPQRHJHQUXLKW-GFCCVEGCSA-N -1 1 342.359 -0.104 20 0 EBADMM NS(=O)(=O)c1ccc(NC(=O)CN2CC[C@H](C(=O)[O-])C2)cc1 ZINC000819130958 583755536 /nfs/dbraw/zinc/75/55/36/583755536.db2.gz SMBNNBXVUYIRFO-VIFPVBQESA-N -1 1 327.362 -0.321 20 0 EBADMM CC(C)(C)C(=O)Cn1cc(C(=O)n2ncc(-c3nn[n-]n3)c2N)nn1 ZINC000819891927 583762951 /nfs/dbraw/zinc/76/29/51/583762951.db2.gz MDHWYQWQLXYVEU-UHFFFAOYSA-N -1 1 344.339 -0.459 20 0 EBADMM CC(C)Oc1ccc(CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)cn1 ZINC000820155431 583767451 /nfs/dbraw/zinc/76/74/51/583767451.db2.gz PXVCAMVLYFEZTB-UHFFFAOYSA-N -1 1 343.351 -0.043 20 0 EBADMM C[C@@H](NC(=O)Nc1nn(C)cc1-c1nn[n-]n1)[C@H](C)N1CCOCC1 ZINC000820379320 583772146 /nfs/dbraw/zinc/77/21/46/583772146.db2.gz AGOVMYULTFNKTP-ZJUUUORDSA-N -1 1 349.399 -0.169 20 0 EBADMM C[C@](O)(CNC(=O)Cn1cnc(-c2nn[n-]n2)n1)c1cccs1 ZINC000820467533 583773613 /nfs/dbraw/zinc/77/36/13/583773613.db2.gz JEQXEZVYFFNJSW-LBPRGKRZSA-N -1 1 334.365 -0.456 20 0 EBADMM C[C@](O)(CNC(=O)Cn1cnc(-c2nn[n-]n2)n1)c1ccccc1 ZINC000820467912 583773640 /nfs/dbraw/zinc/77/36/40/583773640.db2.gz OOORJMMVJFSEIS-AWEZNQCLSA-N -1 1 328.336 -0.518 20 0 EBADMM CC1(C)CN(C(=O)c2ccc(-c3nnn[n-]3)nc2)CCS1(=O)=O ZINC000820602045 583775872 /nfs/dbraw/zinc/77/58/72/583775872.db2.gz ZZKDUURMSPFWMB-UHFFFAOYSA-N -1 1 336.377 -0.089 20 0 EBADMM CC1(C)CN(C(=O)c2ccc(-c3nn[n-]n3)nc2)CCS1(=O)=O ZINC000820602045 583775874 /nfs/dbraw/zinc/77/58/74/583775874.db2.gz ZZKDUURMSPFWMB-UHFFFAOYSA-N -1 1 336.377 -0.089 20 0 EBADMM C[C@@H]1CC(=O)NCCN1S(=O)(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000820643019 583776867 /nfs/dbraw/zinc/77/68/67/583776867.db2.gz OVTDRHVHDHMMBT-MRVPVSSYSA-N -1 1 337.365 -0.839 20 0 EBADMM C[C@@H]1CC(=O)NCCN1S(=O)(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000820643019 583776870 /nfs/dbraw/zinc/77/68/70/583776870.db2.gz OVTDRHVHDHMMBT-MRVPVSSYSA-N -1 1 337.365 -0.839 20 0 EBADMM C[C@H]1CN(S(C)(=O)=O)CC[C@H]1Nc1nccnc1-c1nnn[n-]1 ZINC000820769828 583779538 /nfs/dbraw/zinc/77/95/38/583779538.db2.gz XATBWYKDLDYBNW-DTWKUNHWSA-N -1 1 338.397 -0.261 20 0 EBADMM C[C@H]1CN(S(C)(=O)=O)CC[C@H]1Nc1nccnc1-c1nn[n-]n1 ZINC000820769828 583779540 /nfs/dbraw/zinc/77/95/40/583779540.db2.gz XATBWYKDLDYBNW-DTWKUNHWSA-N -1 1 338.397 -0.261 20 0 EBADMM CCC[C@@H](CCO)CNc1c(-c2nn[n-]n2)c(=O)n(C)c(=O)n1C ZINC000821020565 583784143 /nfs/dbraw/zinc/78/41/43/583784143.db2.gz FDYHQPSCUXSNPG-VIFPVBQESA-N -1 1 337.384 -0.525 20 0 EBADMM CCNC(=O)C(C)(C)CNC(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000821276046 583787753 /nfs/dbraw/zinc/78/77/53/583787753.db2.gz GTPDMJDPWWATIT-UHFFFAOYSA-N -1 1 335.372 -0.116 20 0 EBADMM CCNC(=O)C(C)(C)CNC(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000821276046 583787754 /nfs/dbraw/zinc/78/77/54/583787754.db2.gz GTPDMJDPWWATIT-UHFFFAOYSA-N -1 1 335.372 -0.116 20 0 EBADMM CCOC(=O)N1CCN(C(=O)Nc2nn(C)cc2-c2nnn[n-]2)CC1 ZINC000821334602 583789095 /nfs/dbraw/zinc/78/90/95/583789095.db2.gz OZHKTOLYFHJJDW-UHFFFAOYSA-N -1 1 349.355 -0.094 20 0 EBADMM CCOC(=O)N1CCN(C(=O)Nc2nn(C)cc2-c2nn[n-]n2)CC1 ZINC000821334602 583789098 /nfs/dbraw/zinc/78/90/98/583789098.db2.gz OZHKTOLYFHJJDW-UHFFFAOYSA-N -1 1 349.355 -0.094 20 0 EBADMM CCOC[C@@H](C(=O)OC)N(C)C(=O)c1ccc(-c2nnn[n-]2)nc1 ZINC000821369357 583790038 /nfs/dbraw/zinc/79/00/38/583790038.db2.gz ZMWRKGSPZSVUDD-NSHDSACASA-N -1 1 334.336 -0.088 20 0 EBADMM CCOC[C@@H](C(=O)OC)N(C)C(=O)c1ccc(-c2nn[n-]n2)nc1 ZINC000821369357 583790039 /nfs/dbraw/zinc/79/00/39/583790039.db2.gz ZMWRKGSPZSVUDD-NSHDSACASA-N -1 1 334.336 -0.088 20 0 EBADMM CN(C)C(=O)[C@H]1CCC[C@@H](NC(=O)Cn2cnc(-c3nn[n-]n3)n2)C1 ZINC000821575542 583793386 /nfs/dbraw/zinc/79/33/86/583793386.db2.gz GLXMHAQWXUSLED-VHSXEESVSA-N -1 1 347.383 -0.779 20 0 EBADMM CN(CC(=O)OCc1ccnc(-c2nnn[n-]2)c1)S(C)(=O)=O ZINC000821593858 583794521 /nfs/dbraw/zinc/79/45/21/583794521.db2.gz NEVBMGNVZFSMOG-UHFFFAOYSA-N -1 1 326.338 -0.804 20 0 EBADMM CN(CC(=O)OCc1ccnc(-c2nn[n-]n2)c1)S(C)(=O)=O ZINC000821593858 583794523 /nfs/dbraw/zinc/79/45/23/583794523.db2.gz NEVBMGNVZFSMOG-UHFFFAOYSA-N -1 1 326.338 -0.804 20 0 EBADMM CN(CCN1CCOCC1)C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000821602762 583795134 /nfs/dbraw/zinc/79/51/34/583795134.db2.gz SPZWOXYLEQIFNM-UHFFFAOYSA-N -1 1 335.372 -0.604 20 0 EBADMM CN(CCN1CCOCC1)C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000821602762 583795135 /nfs/dbraw/zinc/79/51/35/583795135.db2.gz SPZWOXYLEQIFNM-UHFFFAOYSA-N -1 1 335.372 -0.604 20 0 EBADMM CN1C(=O)N[C@]2(CCC[C@@H]2CNc2nccnc2-c2nnn[n-]2)C1=O ZINC000821620548 583795360 /nfs/dbraw/zinc/79/53/60/583795360.db2.gz OOYVVUDTDFVQPU-CLAHSXSESA-N -1 1 343.351 -0.211 20 0 EBADMM CN1C(=O)N[C@]2(CCC[C@@H]2CNc2nccnc2-c2nn[n-]n2)C1=O ZINC000821620548 583795362 /nfs/dbraw/zinc/79/53/62/583795362.db2.gz OOYVVUDTDFVQPU-CLAHSXSESA-N -1 1 343.351 -0.211 20 0 EBADMM CNC(=O)[C@@H](NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)C(C)C ZINC000821631553 583797734 /nfs/dbraw/zinc/79/77/34/583797734.db2.gz LNFLMXSFWMMBLM-JTQLQIEISA-N -1 1 339.381 -0.689 20 0 EBADMM CNC(=O)[C@@H](NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)C(C)C ZINC000821631553 583797735 /nfs/dbraw/zinc/79/77/35/583797735.db2.gz LNFLMXSFWMMBLM-JTQLQIEISA-N -1 1 339.381 -0.689 20 0 EBADMM COC[C@@H](C)C(=O)N1CCN(c2nccnc2-c2nnn[n-]2)C[C@@H]1C ZINC000821682073 583801217 /nfs/dbraw/zinc/80/12/17/583801217.db2.gz ZERRDEWMZFETIF-MNOVXSKESA-N -1 1 346.395 -0.024 20 0 EBADMM COC[C@@H](C)C(=O)N1CCN(c2nccnc2-c2nn[n-]n2)C[C@@H]1C ZINC000821682073 583801219 /nfs/dbraw/zinc/80/12/19/583801219.db2.gz ZERRDEWMZFETIF-MNOVXSKESA-N -1 1 346.395 -0.024 20 0 EBADMM Cc1oc(NC(=O)CN2CCNC(=O)[C@@H]2C)c(-c2nn[n-]n2)c1C ZINC000822548017 583812432 /nfs/dbraw/zinc/81/24/32/583812432.db2.gz DMRBCCCDTYVYJJ-QMMMGPOBSA-N -1 1 333.352 -0.165 20 0 EBADMM Cn1c(=O)c(-c2nn[n-]n2)c(N[C@@H]2CCC[C@H]3OCC[C@H]32)n(C)c1=O ZINC000822600050 583813270 /nfs/dbraw/zinc/81/32/70/583813270.db2.gz JBAZESMHYDAGPS-IVZWLZJFSA-N -1 1 347.379 -0.366 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)N[C@H]2CCCS(=O)(=O)C2)n1 ZINC000822613148 583814085 /nfs/dbraw/zinc/81/40/85/583814085.db2.gz BJUWEERIGGQUTG-ZETCQYMHSA-N -1 1 340.369 -0.701 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)N[C@H]2CCCS(=O)(=O)C2)n1 ZINC000822613148 583814086 /nfs/dbraw/zinc/81/40/86/583814086.db2.gz BJUWEERIGGQUTG-ZETCQYMHSA-N -1 1 340.369 -0.701 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCCCC(=O)NC2CC2)n1 ZINC000822613678 583814232 /nfs/dbraw/zinc/81/42/32/583814232.db2.gz FSSRXPZJFKNHLZ-UHFFFAOYSA-N -1 1 333.356 -0.220 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCCCC(=O)NC2CC2)n1 ZINC000822613678 583814234 /nfs/dbraw/zinc/81/42/34/583814234.db2.gz FSSRXPZJFKNHLZ-UHFFFAOYSA-N -1 1 333.356 -0.220 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCC(=O)NCC(F)(F)F)n1 ZINC000822614611 583814239 /nfs/dbraw/zinc/81/42/39/583814239.db2.gz OOZPDAGCVKJOPB-UHFFFAOYSA-N -1 1 347.261 -0.600 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCC(=O)NCC(F)(F)F)n1 ZINC000822614611 583814242 /nfs/dbraw/zinc/81/42/42/583814242.db2.gz OOZPDAGCVKJOPB-UHFFFAOYSA-N -1 1 347.261 -0.600 20 0 EBADMM Cn1cc(-c2nnn[n-]2)c(NC(=O)NCCCN2CCCC2=O)n1 ZINC000822614894 583814373 /nfs/dbraw/zinc/81/43/73/583814373.db2.gz WIWKAAFKKKOXMI-UHFFFAOYSA-N -1 1 333.356 -0.266 20 0 EBADMM Cn1cc(-c2nn[n-]n2)c(NC(=O)NCCCN2CCCC2=O)n1 ZINC000822614894 583814376 /nfs/dbraw/zinc/81/43/76/583814376.db2.gz WIWKAAFKKKOXMI-UHFFFAOYSA-N -1 1 333.356 -0.266 20 0 EBADMM NC(=O)C[C@@H](NC(=O)c1ccc(-c2nnn[n-]2)nc1)C1CCOCC1 ZINC000822864912 583819826 /nfs/dbraw/zinc/81/98/26/583819826.db2.gz OTLDFAQWKBBHQZ-GFCCVEGCSA-N -1 1 345.363 -0.338 20 0 EBADMM NC(=O)C[C@@H](NC(=O)c1ccc(-c2nn[n-]n2)nc1)C1CCOCC1 ZINC000822864912 583819828 /nfs/dbraw/zinc/81/98/28/583819828.db2.gz OTLDFAQWKBBHQZ-GFCCVEGCSA-N -1 1 345.363 -0.338 20 0 EBADMM NC(=O)c1ccc(CNS(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)o1 ZINC000822885726 583820544 /nfs/dbraw/zinc/82/05/44/583820544.db2.gz UUFAPXUECCZPKL-UHFFFAOYSA-N -1 1 349.332 -0.568 20 0 EBADMM Nc1c(-c2nn[n-]n2)cnn1C(=O)[C@@H]1CC(=O)N(c2cn[nH]c2)C1 ZINC000822903457 583821664 /nfs/dbraw/zinc/82/16/64/583821664.db2.gz LJQNDOLQMMAGPY-ZCFIWIBFSA-N -1 1 328.296 -0.938 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)NC[C@@H](O)c1cccc(F)c1 ZINC000823127240 583825262 /nfs/dbraw/zinc/82/52/62/583825262.db2.gz XNDPXUIAHKREIN-SNVBAGLBSA-N -1 1 332.299 -0.553 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)Nc1cccc(-c2nn[nH]n2)c1 ZINC000823136338 583825931 /nfs/dbraw/zinc/82/59/31/583825931.db2.gz WLAAUHQIUCPDAO-UHFFFAOYSA-N -1 1 338.295 -0.723 20 0 EBADMM O=C(c1ccc(-c2nnn[n-]2)s1)N(C1CC1)[C@H]1CC(=O)NC1=O ZINC000823519191 583830917 /nfs/dbraw/zinc/83/09/17/583830917.db2.gz DIUZARJXXAYTJW-ZETCQYMHSA-N -1 1 332.345 -0.052 20 0 EBADMM O=C(c1ccc(-c2nn[n-]n2)s1)N(C1CC1)[C@H]1CC(=O)NC1=O ZINC000823519191 583830918 /nfs/dbraw/zinc/83/09/18/583830918.db2.gz DIUZARJXXAYTJW-ZETCQYMHSA-N -1 1 332.345 -0.052 20 0 EBADMM CC(=O)Nc1ccc(OC[C@H](O)Cn2cnc(-c3nn[n-]n3)n2)cc1 ZINC000824000113 583839784 /nfs/dbraw/zinc/83/97/84/583839784.db2.gz VAEXOWGUGAENBU-LLVKDONJSA-N -1 1 344.335 -0.144 20 0 EBADMM C[C@H](C(=O)NC1CC1)N1CCN(c2ccc(-c3nnn[n-]3)nn2)CC1 ZINC000824039768 583840396 /nfs/dbraw/zinc/84/03/96/583840396.db2.gz HGXHDFVFAOQINO-SNVBAGLBSA-N -1 1 343.395 -0.554 20 0 EBADMM C[C@H](C(=O)NC1CC1)N1CCN(c2ccc(-c3nn[n-]n3)nn2)CC1 ZINC000824039768 583840399 /nfs/dbraw/zinc/84/03/99/583840399.db2.gz HGXHDFVFAOQINO-SNVBAGLBSA-N -1 1 343.395 -0.554 20 0 EBADMM CC(C)(C)OC(=O)N1CCCN1C(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000824104645 583841456 /nfs/dbraw/zinc/84/14/56/583841456.db2.gz WCQXPZPPZCWLHD-UHFFFAOYSA-N -1 1 349.355 -0.157 20 0 EBADMM CC(C)CCc1noc(Cn2c(=O)c(-c3nn[n-]n3)cn(C)c2=O)n1 ZINC000824249172 583843005 /nfs/dbraw/zinc/84/30/05/583843005.db2.gz JKPVSWGFGXLKCA-UHFFFAOYSA-N -1 1 346.351 -0.253 20 0 EBADMM C[C@H](NC(=O)Cn1cnc(-c2nn[n-]n2)n1)[C@H](O)Cc1ccccc1 ZINC000824491629 583846890 /nfs/dbraw/zinc/84/68/90/583846890.db2.gz WAWRMMWPMHIYAQ-CMPLNLGQSA-N -1 1 342.363 -0.434 20 0 EBADMM C[C@H]1C(=O)NCCN1S(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000824860326 583851272 /nfs/dbraw/zinc/85/12/72/583851272.db2.gz UAEXLODYKGWYNH-ZETCQYMHSA-N -1 1 340.340 -0.485 20 0 EBADMM C[C@H]1C(=O)NCCN1S(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000824860326 583851274 /nfs/dbraw/zinc/85/12/74/583851274.db2.gz UAEXLODYKGWYNH-ZETCQYMHSA-N -1 1 340.340 -0.485 20 0 EBADMM C[C@H]1C[C@H](CCNc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)CCO1 ZINC000824886327 583851792 /nfs/dbraw/zinc/85/17/92/583851792.db2.gz XCTHBBYHRYPLOS-VHSXEESVSA-N -1 1 349.395 -0.119 20 0 EBADMM C[C@H]1C[C@@H](CNc2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)CCO1 ZINC000824883132 583851847 /nfs/dbraw/zinc/85/18/47/583851847.db2.gz FRMGCVKHKJZOAG-IUCAKERBSA-N -1 1 335.368 -0.509 20 0 EBADMM CC[C@H](C)[C@@H](O)C(=O)N(C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000825059513 583854459 /nfs/dbraw/zinc/85/44/59/583854459.db2.gz GERGNURHLHDLKG-GZMMTYOYSA-N -1 1 336.400 -0.030 20 0 EBADMM CC[C@H](C)[C@@H](O)C(=O)N(C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000825059513 583854462 /nfs/dbraw/zinc/85/44/62/583854462.db2.gz GERGNURHLHDLKG-GZMMTYOYSA-N -1 1 336.400 -0.030 20 0 EBADMM CCC1(CO)CCN(c2c(-c3nn[n-]n3)c(=O)n(C)c(=O)n2C)CC1 ZINC000825170918 583856018 /nfs/dbraw/zinc/85/60/18/583856018.db2.gz JWBKSIRDBRSTQF-UHFFFAOYSA-N -1 1 349.395 -0.747 20 0 EBADMM CCC[C@@H](NS(=O)(=O)c1ccc(-c2nnn[n-]2)nc1)C(=O)OC ZINC000825242164 583856724 /nfs/dbraw/zinc/85/67/24/583856724.db2.gz JUTWKAYNYBFBJQ-SNVBAGLBSA-N -1 1 340.365 -0.118 20 0 EBADMM CCC[C@@H](NS(=O)(=O)c1ccc(-c2nn[n-]n2)nc1)C(=O)OC ZINC000825242164 583856725 /nfs/dbraw/zinc/85/67/25/583856725.db2.gz JUTWKAYNYBFBJQ-SNVBAGLBSA-N -1 1 340.365 -0.118 20 0 EBADMM CCCNC(=O)CNS(=O)(=O)c1ccc(F)cc1-c1nn[n-]n1 ZINC000825326361 583858712 /nfs/dbraw/zinc/85/87/12/583858712.db2.gz BXHZHQIOJYUSJC-UHFFFAOYSA-N -1 1 342.356 -0.190 20 0 EBADMM CCN(CCS(C)(=O)=O)C(=O)Nc1nn(C)cc1-c1nnn[n-]1 ZINC000825424404 583860374 /nfs/dbraw/zinc/86/03/74/583860374.db2.gz FUPURRORVJIAEU-UHFFFAOYSA-N -1 1 342.385 -0.501 20 0 EBADMM CCN(CCS(C)(=O)=O)C(=O)Nc1nn(C)cc1-c1nn[n-]n1 ZINC000825424404 583860375 /nfs/dbraw/zinc/86/03/75/583860375.db2.gz FUPURRORVJIAEU-UHFFFAOYSA-N -1 1 342.385 -0.501 20 0 EBADMM CCN1CCN(S(=O)(=O)c2ccc(-c3nnn[n-]3)nc2)C[C@@H]1C ZINC000825464535 583861377 /nfs/dbraw/zinc/86/13/77/583861377.db2.gz DHQMIACAYCVXOX-JTQLQIEISA-N -1 1 337.409 -0.024 20 0 EBADMM CCN1CCN(S(=O)(=O)c2ccc(-c3nn[n-]n3)nc2)C[C@@H]1C ZINC000825464535 583861378 /nfs/dbraw/zinc/86/13/78/583861378.db2.gz DHQMIACAYCVXOX-JTQLQIEISA-N -1 1 337.409 -0.024 20 0 EBADMM C[C@H](O)CN1CCN(C(=O)C[C@@H]2CN(C(=O)[O-])CCO2)C[C@H]1C ZINC000825527749 583862669 /nfs/dbraw/zinc/86/26/69/583862669.db2.gz GKHGFZUUMCPTMP-FRRDWIJNSA-N -1 1 329.397 -0.331 20 0 EBADMM C[C@H](O)CN1CCN(C(=O)C[C@H]2CN(C(=O)[O-])CCO2)C[C@H]1C ZINC000825527747 583862688 /nfs/dbraw/zinc/86/26/88/583862688.db2.gz GKHGFZUUMCPTMP-AGIUHOORSA-N -1 1 329.397 -0.331 20 0 EBADMM CCc1nn(C)c(OC)c1CNC(=O)Cn1cnc(-c2nn[n-]n2)n1 ZINC000825759260 583866530 /nfs/dbraw/zinc/86/65/30/583866530.db2.gz ANBLOBXLELMPIX-UHFFFAOYSA-N -1 1 346.355 -0.921 20 0 EBADMM CCn1cc(-c2nn[n-]n2)c(=O)n(CC(=O)C2CCOCC2)c1=O ZINC000825796590 583867064 /nfs/dbraw/zinc/86/70/64/583867064.db2.gz IVYHUIZDMULPEQ-UHFFFAOYSA-N -1 1 334.336 -0.794 20 0 EBADMM CN(C)S(=O)(=O)N1CCN(Cc2ccc(-c3nnn[n-]3)o2)CC1 ZINC000825875193 583869475 /nfs/dbraw/zinc/86/94/75/583869475.db2.gz DGFCRMYQUOFISK-UHFFFAOYSA-N -1 1 341.397 -0.616 20 0 EBADMM CN(C)S(=O)(=O)N1CCN(Cc2ccc(-c3nn[n-]n3)o2)CC1 ZINC000825875193 583869479 /nfs/dbraw/zinc/86/94/79/583869479.db2.gz DGFCRMYQUOFISK-UHFFFAOYSA-N -1 1 341.397 -0.616 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nnn[n-]1)C(=O)COCC1CC1 ZINC000825930036 583870967 /nfs/dbraw/zinc/87/09/67/583870967.db2.gz OOUMMWMNOKWKSM-UHFFFAOYSA-N -1 1 334.384 -0.010 20 0 EBADMM CN(CCCc1[nH]nc(N)c1-c1nn[n-]n1)C(=O)COCC1CC1 ZINC000825930036 583870970 /nfs/dbraw/zinc/87/09/70/583870970.db2.gz OOUMMWMNOKWKSM-UHFFFAOYSA-N -1 1 334.384 -0.010 20 0 EBADMM CNC(=O)c1ccc(Cn2c(=O)c(-c3nn[n-]n3)cn(C)c2=O)cc1 ZINC000826068325 583876840 /nfs/dbraw/zinc/87/68/40/583876840.db2.gz QYTJOZZJEGVLLM-UHFFFAOYSA-N -1 1 341.331 -0.865 20 0 EBADMM COC[C@](C)(O)CNS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000826148898 583883398 /nfs/dbraw/zinc/88/33/98/583883398.db2.gz TYZKRHXQHQFSBG-GFCCVEGCSA-N -1 1 345.356 -0.319 20 0 EBADMM COC[C@](C)(O)CNS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000826148898 583883399 /nfs/dbraw/zinc/88/33/99/583883399.db2.gz TYZKRHXQHQFSBG-GFCCVEGCSA-N -1 1 345.356 -0.319 20 0 EBADMM COC[C@H](O)CCNS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000826151992 583884613 /nfs/dbraw/zinc/88/46/13/583884613.db2.gz NUGNHIQTFZSRAD-MRVPVSSYSA-N -1 1 345.356 -0.319 20 0 EBADMM COC[C@H](O)CCNS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000826151992 583884616 /nfs/dbraw/zinc/88/46/16/583884616.db2.gz NUGNHIQTFZSRAD-MRVPVSSYSA-N -1 1 345.356 -0.319 20 0 EBADMM COc1cccc([C@@H](CO)NC(=O)Cn2cnc(-c3nn[n-]n3)n2)c1 ZINC000826214642 583888267 /nfs/dbraw/zinc/88/82/67/583888267.db2.gz SCMMCYZXDPARTQ-LLVKDONJSA-N -1 1 344.335 -0.683 20 0 EBADMM C[S@](=O)CCNS(=O)(=O)c1cccc(F)c1-c1nnn[n-]1 ZINC000826224537 583888522 /nfs/dbraw/zinc/88/85/22/583888522.db2.gz HKVZVABIBWCHNG-FQEVSTJZSA-N -1 1 333.370 -0.337 20 0 EBADMM C[S@](=O)CCNS(=O)(=O)c1cccc(F)c1-c1nn[n-]n1 ZINC000826224537 583888523 /nfs/dbraw/zinc/88/85/23/583888523.db2.gz HKVZVABIBWCHNG-FQEVSTJZSA-N -1 1 333.370 -0.337 20 0 EBADMM Cc1nc(C(=O)N(C)CCCc2[nH]nc(N)c2-c2nn[n-]n2)c[nH]1 ZINC000826323079 583891241 /nfs/dbraw/zinc/89/12/41/583891241.db2.gz OWKZXONIANJFLM-UHFFFAOYSA-N -1 1 330.356 -0.092 20 0 EBADMM Cc1ccccc1C(=O)Cn1c(=O)c(-c2nn[n-]n2)cn(C)c1=O ZINC000826305622 583891426 /nfs/dbraw/zinc/89/14/26/583891426.db2.gz DENJJPQESSVXLZ-UHFFFAOYSA-N -1 1 326.316 -0.082 20 0 EBADMM Cc1onc(CC(=O)NCCCNC(=O)C(C)C)c1-c1nnn[n-]1 ZINC000826346985 583892980 /nfs/dbraw/zinc/89/29/80/583892980.db2.gz AHTVUQWXDAGEPI-UHFFFAOYSA-N -1 1 335.368 -0.016 20 0 EBADMM Cc1onc(CC(=O)NCCCNC(=O)C(C)C)c1-c1nn[n-]n1 ZINC000826346985 583892981 /nfs/dbraw/zinc/89/29/81/583892981.db2.gz AHTVUQWXDAGEPI-UHFFFAOYSA-N -1 1 335.368 -0.016 20 0 EBADMM Cn1[n-]c(CN2CCN(c3ncccc3-c3nn[nH]n3)CC2)nc1=O ZINC000826366221 583895390 /nfs/dbraw/zinc/89/53/90/583895390.db2.gz YANMFQLEWFSACA-UHFFFAOYSA-N -1 1 342.367 -0.994 20 0 EBADMM NC(=O)CN1CCCN(c2snc(Cl)c2-c2nnn[n-]2)CC1 ZINC000826391237 583897089 /nfs/dbraw/zinc/89/70/89/583897089.db2.gz BOJQZQNGQMPTQO-UHFFFAOYSA-N -1 1 342.816 -0.026 20 0 EBADMM NC(=O)CN1CCCN(c2snc(Cl)c2-c2nn[n-]n2)CC1 ZINC000826391237 583897091 /nfs/dbraw/zinc/89/70/91/583897091.db2.gz BOJQZQNGQMPTQO-UHFFFAOYSA-N -1 1 342.816 -0.026 20 0 EBADMM NC(=O)c1cc([C@H]2CCCN(c3nccnc3-c3nnn[n-]3)C2)n[nH]1 ZINC000826392527 583898000 /nfs/dbraw/zinc/89/80/00/583898000.db2.gz BNHHPYAZTIEXAV-QMMMGPOBSA-N -1 1 340.351 -0.137 20 0 EBADMM NC(=O)c1cc([C@H]2CCCN(c3nccnc3-c3nn[n-]n3)C2)n[nH]1 ZINC000826392527 583898003 /nfs/dbraw/zinc/89/80/03/583898003.db2.gz BNHHPYAZTIEXAV-QMMMGPOBSA-N -1 1 340.351 -0.137 20 0 EBADMM O=C([C@H]1CCCN(c2ccc(-c3nnn[n-]3)nn2)C1)N1CCOCC1 ZINC000826399139 583899043 /nfs/dbraw/zinc/89/90/43/583899043.db2.gz NSHXGYVBSDXSEX-NSHDSACASA-N -1 1 344.379 -0.268 20 0 EBADMM O=C([C@H]1CCCN(c2ccc(-c3nn[n-]n3)nn2)C1)N1CCOCC1 ZINC000826399139 583899047 /nfs/dbraw/zinc/89/90/47/583899047.db2.gz NSHXGYVBSDXSEX-NSHDSACASA-N -1 1 344.379 -0.268 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N1CC[C@H](Cc2ccncc2)C1 ZINC000826425076 583900685 /nfs/dbraw/zinc/90/06/85/583900685.db2.gz OTRWWLYWYQQSPX-GFCCVEGCSA-N -1 1 339.363 -0.056 20 0 EBADMM O=C(Cn1cnc(-c2nn[n-]n2)n1)N[C@@H](CO)Cc1ccccc1 ZINC000826425290 583901253 /nfs/dbraw/zinc/90/12/53/583901253.db2.gz XDZDGJIRQKHWJN-LLVKDONJSA-N -1 1 328.336 -0.822 20 0 EBADMM O=C(NCCCN1CCNC(=O)C1)c1ccc(-c2nn[n-]n2)s1 ZINC000826481406 583903844 /nfs/dbraw/zinc/90/38/44/583903844.db2.gz STHFDRIDXGSHKB-UHFFFAOYSA-N -1 1 335.393 -0.520 20 0 EBADMM O=S(=O)(NC[C@@H]1COCCO1)c1ccc(F)cc1-c1nn[n-]n1 ZINC000826490914 583905688 /nfs/dbraw/zinc/90/56/88/583905688.db2.gz MOMGSLIPHZRHSI-SECBINFHSA-N -1 1 343.340 -0.301 20 0 EBADMM O=S(=O)(c1cccc(F)c1-c1nnn[n-]1)N1CCO[C@@H](CO)C1 ZINC000826496201 583906291 /nfs/dbraw/zinc/90/62/91/583906291.db2.gz OHWDPSNGUCJLLI-MRVPVSSYSA-N -1 1 343.340 -0.612 20 0 EBADMM O=S(=O)(c1cccc(F)c1-c1nn[n-]n1)N1CCO[C@@H](CO)C1 ZINC000826496201 583906292 /nfs/dbraw/zinc/90/62/92/583906292.db2.gz OHWDPSNGUCJLLI-MRVPVSSYSA-N -1 1 343.340 -0.612 20 0 EBADMM CCOC(=O)[C@H](CC(=O)N1CCO[C@H](c2nn[n-]n2)C1)C(C)=O ZINC000826834612 583911114 /nfs/dbraw/zinc/91/11/14/583911114.db2.gz UWQQJYWGTVQELD-ZJUUUORDSA-N -1 1 325.325 -0.742 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)[C@H]2CCCN2S(C)(=O)=O)CC1 ZINC000827356181 583919586 /nfs/dbraw/zinc/91/95/86/583919586.db2.gz QNOIFNXMMNGTDJ-NWDGAFQWSA-N -1 1 347.437 -0.582 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)[C@@H]2CCCN(C(N)=O)C2)CC1 ZINC000827357356 583919927 /nfs/dbraw/zinc/91/99/27/583919927.db2.gz WYIPDBWDUFPOGB-VXGBXAGGSA-N -1 1 326.397 -0.216 20 0 EBADMM C[C@H](CC(=O)[O-])N1CCN(C(=O)CN2CCCS2(=O)=O)CC1 ZINC000827362667 583919947 /nfs/dbraw/zinc/91/99/47/583919947.db2.gz RKZAKBBSDYOUEQ-LLVKDONJSA-N -1 1 333.410 -0.971 20 0 EBADMM C[C@H](CNS(=O)(=O)c1c[nH]c(C(=O)[O-])c1)N1CCN(C)CC1 ZINC000827503142 583922464 /nfs/dbraw/zinc/92/24/64/583922464.db2.gz SLMJVBCCUSNNAT-SNVBAGLBSA-N -1 1 330.410 -0.373 20 0 EBADMM CC[C@@H](C)C[C@H]([N-]S(=O)(=O)CCS(C)(=O)=O)C(=O)OC ZINC000827815486 583928237 /nfs/dbraw/zinc/92/82/37/583928237.db2.gz WCENAGSUENIYMP-ZJUUUORDSA-N -1 1 329.440 -0.072 20 0 EBADMM CN(C)CC(=O)N1CCN(C(=O)[C@@H](NC(=O)[O-])C(C)(C)C)CC1 ZINC000828007851 583931317 /nfs/dbraw/zinc/93/13/17/583931317.db2.gz BHNHVVXTGKYAID-GFCCVEGCSA-N -1 1 328.413 -0.099 20 0 EBADMM CC[C@@H]1CN(C(=O)Cn2cc(C(=O)[O-])nn2)CCN1C[C@H](C)O ZINC000829134458 583950745 /nfs/dbraw/zinc/95/07/45/583950745.db2.gz YACPZCHCIHVGMS-WDEREUQCSA-N -1 1 325.369 -0.720 20 0 EBADMM CN(C)CCCN(C(=O)[C@@H]1C[C@H]1C(=O)[O-])[C@@H]1CCS(=O)(=O)C1 ZINC000830601550 583968351 /nfs/dbraw/zinc/96/83/51/583968351.db2.gz ZBRIECADEVQWCI-IJLUTSLNSA-N -1 1 332.422 -0.326 20 0 EBADMM Cc1nc(C(=O)NCCNC(=O)[O-])nn1-c1nnc(C(F)(F)F)[nH]1 ZINC000830704768 583969728 /nfs/dbraw/zinc/96/97/28/583969728.db2.gz RITPLDHJHKEABX-UHFFFAOYSA-N -1 1 348.245 -0.290 20 0 EBADMM CN1CCN(C)[C@@H](CNC(=O)C(=O)Nc2sccc2C(=O)[O-])C1 ZINC000830949290 583972715 /nfs/dbraw/zinc/97/27/15/583972715.db2.gz CVWCJZLZVQJQNX-VIFPVBQESA-N -1 1 340.405 -0.253 20 0 EBADMM CN(CCC(=O)[O-])S(=O)(=O)NCCCCN1CCN(C)CC1 ZINC000830950778 583972888 /nfs/dbraw/zinc/97/28/88/583972888.db2.gz QBDZEFBDVSTBBQ-UHFFFAOYSA-N -1 1 336.458 -0.745 20 0 EBADMM O=C(CN1CSCC1=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831158453 583977391 /nfs/dbraw/zinc/97/73/91/583977391.db2.gz KGRMHVIIJDELKW-UHFFFAOYSA-N -1 1 325.312 -0.344 20 0 EBADMM O=C([O-])N1CC(OCC(=O)N2CC[C@@H](CN3CCOCC3)C2)C1 ZINC000831852205 583992820 /nfs/dbraw/zinc/99/28/20/583992820.db2.gz MSAJCPPRDKLIBS-LBPRGKRZSA-N -1 1 327.381 -0.454 20 0 EBADMM COc1cc(C(=O)[O-])ccc1OC[C@@H](O)CN1C[C@@H](O)[C@@H](O)C1 ZINC000831917617 583993282 /nfs/dbraw/zinc/99/32/82/583993282.db2.gz IEVSZYDBUOWWSZ-SDDRHHMPSA-N -1 1 327.333 -0.830 20 0 EBADMM O=C([O-])N1CCO[C@H](C(=O)N2CC[C@H](CN3CCOCC3)C2)C1 ZINC000832048950 583995079 /nfs/dbraw/zinc/99/50/79/583995079.db2.gz BHFDKDIBUFIOJE-OLZOCXBDSA-N -1 1 327.381 -0.454 20 0 EBADMM O=C([O-])NCCCNC(=O)C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000832612091 583999386 /nfs/dbraw/zinc/99/93/86/583999386.db2.gz AZXHGONBBHYTEO-UHFFFAOYSA-N -1 1 332.320 -0.020 20 0 EBADMM O=C([O-])C1CCN(S(=O)(=O)N2CC[C@H](N3CC=CC3)C2)CC1 ZINC000833191534 584006649 /nfs/dbraw/zinc/00/66/49/584006649.db2.gz JFIRYIIPVACITE-ZDUSSCGKSA-N -1 1 329.422 -0.026 20 0 EBADMM O=C([O-])C[C@@H]1C(=O)NCCN1C(=O)CSc1n[nH]c(=S)s1 ZINC000833206053 584007026 /nfs/dbraw/zinc/00/70/26/584007026.db2.gz NFXDXZCBHGHMAD-RXMQYKEDSA-N -1 1 348.431 -0.279 20 0 EBADMM O=C([O-])c1cc(CN[C@H]2CS(=O)(=O)C[C@@H]2N2CCOCC2)c[nH]1 ZINC000833296699 584008383 /nfs/dbraw/zinc/00/83/83/584008383.db2.gz QJUUSJIKRXQZHM-STQMWFEESA-N -1 1 343.405 -0.700 20 0 EBADMM CC(=O)N1CCN(C(=O)[C@H](C)N2CCC([C@H](O)C(=O)[O-])CC2)CC1 ZINC000833394457 584009719 /nfs/dbraw/zinc/00/97/19/584009719.db2.gz ZPMMOZBPZHSQFE-FZMZJTMJSA-N -1 1 341.408 -0.777 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@@H]1CCCN1S(C)(=O)=O ZINC000833619539 584012236 /nfs/dbraw/zinc/01/22/36/584012236.db2.gz IYHAQOAJCQGEDU-NEPJUHHUSA-N -1 1 347.437 -0.582 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NC[C@H]1CC[C@@H](C(N)=O)O1 ZINC000833621997 584012591 /nfs/dbraw/zinc/01/25/91/584012591.db2.gz DCUSHCXACCBFOW-UTUOFQBUSA-N -1 1 342.396 -0.790 20 0 EBADMM C[C@@H]1CN(CCC(=O)[O-])CCN1C(=O)NCCNC(=O)C1CC1 ZINC000833627702 584012879 /nfs/dbraw/zinc/01/28/79/584012879.db2.gz ZJYHIYIKFRDQAQ-LLVKDONJSA-N -1 1 326.397 -0.297 20 0 EBADMM CN(C)C(=O)c1ccc(CN(CCN2CCOCC2)CC(=O)[O-])[nH]1 ZINC000833687221 584013776 /nfs/dbraw/zinc/01/37/76/584013776.db2.gz MLXIPQRGTRTRBR-UHFFFAOYSA-N -1 1 338.408 -0.065 20 0 EBADMM CN1C(=O)C(=O)N(CC(=O)Nc2nc3cccc(C(=O)[O-])c3[nH]2)C1=O ZINC000833698649 584013986 /nfs/dbraw/zinc/01/39/86/584013986.db2.gz YVHBLCUEKDKVDO-UHFFFAOYSA-N -1 1 345.271 -0.380 20 0 EBADMM COCC[N@H+]1CCC[C@H]1CNS(=O)(=O)c1n[n-]c(C)c1C(=O)[O-] ZINC000833724176 584015274 /nfs/dbraw/zinc/01/52/74/584015274.db2.gz IPGLYQBODBLVIQ-JTQLQIEISA-N -1 1 346.409 -0.195 20 0 EBADMM COCCN1CCC[C@H]1CNS(=O)(=O)c1n[nH]c(C)c1C(=O)[O-] ZINC000833724176 584015276 /nfs/dbraw/zinc/01/52/76/584015276.db2.gz IPGLYQBODBLVIQ-JTQLQIEISA-N -1 1 346.409 -0.195 20 0 EBADMM COCCN1CC[C@@H](NC(=O)N2CCC([C@@H](O)C(=O)[O-])CC2)C1 ZINC000833724384 584015433 /nfs/dbraw/zinc/01/54/33/584015433.db2.gz LBYROCNIVVPCQC-CHWSQXEVSA-N -1 1 329.397 -0.426 20 0 EBADMM O=C(CN(C(=O)[O-])C1CC1)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC000833998118 584023435 /nfs/dbraw/zinc/02/34/35/584023435.db2.gz GGRSRTFRAJYNRN-ZDUSSCGKSA-N -1 1 340.424 -0.349 20 0 EBADMM O=C(CN(C(=O)[O-])C1CC1)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC000833998116 584023493 /nfs/dbraw/zinc/02/34/93/584023493.db2.gz GGRSRTFRAJYNRN-CYBMUJFWSA-N -1 1 340.424 -0.349 20 0 EBADMM CN(C)C1(CS(=O)(=O)[N-]C(=O)c2cn(C)c(=O)[nH]c2=O)CCC1 ZINC000843019502 584070160 /nfs/dbraw/zinc/07/01/60/584070160.db2.gz RTSLCEPXIIVUML-UHFFFAOYSA-N -1 1 344.393 -0.970 20 0 EBADMM Cc1ccc(S(=O)(=O)NCC(=O)NN2CC(=O)[N-]C2=O)cc1C ZINC000843385917 584077438 /nfs/dbraw/zinc/07/74/38/584077438.db2.gz AUMORZOCQCBNKE-UHFFFAOYSA-N -1 1 340.361 -0.835 20 0 EBADMM O=C(N1CCO[C@H](c2nn[n-]n2)C1)C1(n2cnnn2)CCCCC1 ZINC000845462065 584107996 /nfs/dbraw/zinc/10/79/96/584107996.db2.gz GOODVRKTAQTQDT-JTQLQIEISA-N -1 1 333.356 -0.554 20 0 EBADMM CC1=C(C(=O)N2CCC[C@@H](c3nn[n-]n3)C2)[C@H](C)n2nnnc2N1C ZINC000847605314 584143339 /nfs/dbraw/zinc/14/33/39/584143339.db2.gz YMMJJCYXOQTBEQ-VHSXEESVSA-N -1 1 344.383 -0.123 20 0 EBADMM CC1=C(C(=O)N2CCC[C@H](c3nn[n-]n3)C2)[C@H](C)n2nnnc2N1C ZINC000847605313 584143363 /nfs/dbraw/zinc/14/33/63/584143363.db2.gz YMMJJCYXOQTBEQ-UWVGGRQHSA-N -1 1 344.383 -0.123 20 0 EBADMM C[C@@H]1CCN(C(=S)NCC(N)=O)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000853051442 584222520 /nfs/dbraw/zinc/22/25/20/584222520.db2.gz FOTUIRUEJBRLOV-RQJHMYQMSA-N -1 1 326.344 -0.265 20 0 EBADMM CC(C)[C@H](NC(=O)CCn1cc[n-]c(=O)c1=O)[C@H](O)C(F)(F)F ZINC000854338810 584237686 /nfs/dbraw/zinc/23/76/86/584237686.db2.gz CSAUOXBUZKEFFZ-UWVGGRQHSA-N -1 1 337.298 -0.009 20 0 EBADMM CNS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CCCN2C=O)c1 ZINC000854530679 584242261 /nfs/dbraw/zinc/24/22/61/584242261.db2.gz DLTPVKLVMXCAKD-NSHDSACASA-N -1 1 327.362 -0.140 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NOCC2CCC2)o1 ZINC000856349117 584268225 /nfs/dbraw/zinc/26/82/25/584268225.db2.gz GUTCFHXPSYHIOY-UHFFFAOYSA-N -1 1 331.350 -0.495 20 0 EBADMM C[C@H]1CCN(C(=O)C(=O)N=c2ccnc3n(C)[n-]cc2-3)C[C@H]1CO ZINC000857003286 584273208 /nfs/dbraw/zinc/27/32/08/584273208.db2.gz UXHJAYFULWHZHJ-QWRGUYRKSA-N -1 1 331.376 -0.243 20 0 EBADMM CCOC(=O)c1cc(CS(=O)(=O)[N-]CC(=O)N(CC)OC)on1 ZINC000859203520 584297417 /nfs/dbraw/zinc/29/74/17/584297417.db2.gz XOHVSWGRQIDLRY-UHFFFAOYSA-N -1 1 349.365 -0.319 20 0 EBADMM CCOC(=O)C[C@@H](O)COC(=O)c1coc(S(=O)(=O)[N-]C)c1 ZINC000859608614 584305305 /nfs/dbraw/zinc/30/53/05/584305305.db2.gz LGYPEYTZZZDSMF-SECBINFHSA-N -1 1 335.334 -0.341 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)N3CCN(c4nccnn4)CC3)ccnc1-2 ZINC000861026708 584325030 /nfs/dbraw/zinc/32/50/30/584325030.db2.gz ORGBXDKDTHQOJD-UHFFFAOYSA-N -1 1 339.363 -0.119 20 0 EBADMM COC(=O)CCNC(=O)CCNC(=O)N=c1ccnc2n(C)[n-]cc1-2 ZINC000861026169 584325137 /nfs/dbraw/zinc/32/51/37/584325137.db2.gz XZYLDLLHDRBPAI-UHFFFAOYSA-N -1 1 348.363 -0.467 20 0 EBADMM O=C(NN1CCS(=O)(=O)CC1)c1ccc2n[n-]c(=S)n2c1 ZINC000862732395 584357075 /nfs/dbraw/zinc/35/70/75/584357075.db2.gz VCWRSEJQLPVIBZ-UHFFFAOYSA-N -1 1 327.391 -0.607 20 0 EBADMM CN(CCC[N-]S(=O)(=O)c1ccc(F)nc1F)S(C)(=O)=O ZINC000866486357 584401643 /nfs/dbraw/zinc/40/16/43/584401643.db2.gz FFBVLTMATLDYAZ-UHFFFAOYSA-N -1 1 343.377 -0.080 20 0 EBADMM COC(=O)c1ccoc1S(=O)(=O)[N-][C@@H](C)CN1CCN(C)CC1 ZINC000866747776 584405181 /nfs/dbraw/zinc/40/51/81/584405181.db2.gz UIDQGEHOPPPNQW-NSHDSACASA-N -1 1 345.421 -0.020 20 0 EBADMM CCOC1CC2(C[C@H]2[N-]S(=O)(=O)c2cn(C)c(=O)n(C)c2=O)C1 ZINC000872653782 584464653 /nfs/dbraw/zinc/46/46/53/584464653.db2.gz XPARSKDWCNKOJH-GOSTWBGLSA-N -1 1 343.405 -0.680 20 0 EBADMM CNC(=O)CN(CC(=O)NC)C(=O)Cc1ccc([O-])c(Cl)c1 ZINC000874902710 584486816 /nfs/dbraw/zinc/48/68/16/584486816.db2.gz SXWYUGCSMQOMAT-UHFFFAOYSA-N -1 1 327.768 -0.091 20 0 EBADMM CC(C)N1CC[C@H]([N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C)C1=O ZINC000881897303 584578935 /nfs/dbraw/zinc/57/89/35/584578935.db2.gz BTMWGRODLOTBGC-ZRNGKTOUSA-N -1 1 326.444 -0.596 20 0 EBADMM CCN(C)C(=O)[C@@H]([N-]S(=O)(=O)N=[S@@](C)(=O)N(C)C)C(C)C ZINC000882229408 584585948 /nfs/dbraw/zinc/58/59/48/584585948.db2.gz KJLSOXFXFSQZHV-WVDJIFEKSA-N -1 1 342.487 -0.102 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CCCN(CCN3CCOCC3)C2)c1[O-] ZINC000882634030 584592807 /nfs/dbraw/zinc/59/28/07/584592807.db2.gz ICGUGZGDCFHUJL-CYBMUJFWSA-N -1 1 337.424 -0.050 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2CCC3(CCC3)O2)c(=O)n(C)c1=O ZINC000882780069 584595444 /nfs/dbraw/zinc/59/54/44/584595444.db2.gz RMINBKBOFFMWBL-JTQLQIEISA-N -1 1 343.405 -0.536 20 0 EBADMM NC(=O)COc1ccc(CNC(=O)CN2CCC(C(=O)[O-])CC2)cc1 ZINC000740331518 596917578 /nfs/dbraw/zinc/91/75/78/596917578.db2.gz PBLZQJHLVPFCBP-UHFFFAOYSA-N -1 1 349.387 -0.037 20 0 EBADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)N(CCN(C)C)CC(=O)[O-] ZINC000739777795 597005468 /nfs/dbraw/zinc/00/54/68/597005468.db2.gz ICUBPDGPHKUGIP-UHFFFAOYSA-N -1 1 348.381 -0.858 20 0 EBADMM c1nc(-c2nnn[n-]2)cnc1Sc1nnnn1C[C@H]1CCCO1 ZINC000738451131 598385844 /nfs/dbraw/zinc/38/58/44/598385844.db2.gz CSURTPASKGTEJS-SSDOTTSWSA-N -1 1 332.353 -0.027 20 0 EBADMM c1nc(-c2nn[n-]n2)cnc1Sc1nnnn1C[C@H]1CCCO1 ZINC000738451131 598385845 /nfs/dbraw/zinc/38/58/45/598385845.db2.gz CSURTPASKGTEJS-SSDOTTSWSA-N -1 1 332.353 -0.027 20 0 EBADMM O=C([O-])COc1cccc(C(=O)N2CCO[C@H](c3nn[nH]n3)C2)c1 ZINC000739825587 600261647 /nfs/dbraw/zinc/26/16/47/600261647.db2.gz KDLNSPQGTSELII-NSHDSACASA-N -1 1 333.304 -0.123 20 0 EBADMM O=C([O-])[C@H]1CN(C(=O)C(=O)Nc2ccn(-c3ccncc3)n2)CCO1 ZINC000739488430 600354977 /nfs/dbraw/zinc/35/49/77/600354977.db2.gz PICDZSWTZXSAFH-LLVKDONJSA-N -1 1 345.315 -0.482 20 0 EBADMM Cc1cc(NC(=O)C(=O)NC[C@@H]2CN(C)CCN2C)ccc1C(=O)[O-] ZINC000738410143 600419730 /nfs/dbraw/zinc/41/97/30/600419730.db2.gz DNFNCCWFUQOXRK-CYBMUJFWSA-N -1 1 348.403 -0.006 20 0 EBADMM CC(C)N(CCC(=O)N1CCN(C(=O)CN(C)C)CC1)C(=O)[O-] ZINC000738838879 602062481 /nfs/dbraw/zinc/06/24/81/602062481.db2.gz ACNMIKIEAAPDBU-UHFFFAOYSA-N -1 1 328.413 -0.003 20 0 EBADMM O=C([O-])N[C@@H](Cc1ccccc1)C(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000740538790 602500986 /nfs/dbraw/zinc/50/09/86/602500986.db2.gz YXQUMEDVBCHLJM-NWDGAFQWSA-N -1 1 346.347 -0.022 20 0 EBADMM CN1CCN(C)[C@@H](CNC(=O)NCC[C@@H]2CCN(C(=O)[O-])C2)C1 ZINC000739735435 602574875 /nfs/dbraw/zinc/57/48/75/602574875.db2.gz QMCLWXIBVDJAQW-OLZOCXBDSA-N -1 1 327.429 -0.079 20 0 EBADMM CN(CC(=O)N1CCN(C(=O)[O-])CC1)C(=O)[C@H]1CCCCN1C ZINC000739619605 602589035 /nfs/dbraw/zinc/58/90/35/602589035.db2.gz BBJVMLDUPWRLGE-GFCCVEGCSA-N -1 1 326.397 -0.249 20 0 EBADMM CN(CC(=O)N1CCN(C(=O)[O-])CC1)C(=O)[C@@H]1CCCCN1C ZINC000739619609 602589314 /nfs/dbraw/zinc/58/93/14/602589314.db2.gz BBJVMLDUPWRLGE-LBPRGKRZSA-N -1 1 326.397 -0.249 20 0 EBADMM COc1ccc(NC(=O)C(=O)NCc2nn[nH]n2)cc1NC(=O)[O-] ZINC000739917950 602762842 /nfs/dbraw/zinc/76/28/42/602762842.db2.gz YZDWRNNKKAJLIU-UHFFFAOYSA-N -1 1 335.280 -0.447 20 0 EBADMM CC(=O)N1CCN(C(=O)CN2CC[C@@H](NC(=O)[O-])[C@H](C)C2)CC1 ZINC000738525505 602770445 /nfs/dbraw/zinc/77/04/45/602770445.db2.gz XELHHTRANZWHTJ-DGCLKSJQSA-N -1 1 326.397 -0.345 20 0 EBADMM CN(CCNC(=O)N[C@H]1CCN(CCN2CCOCC2)C1)C(=O)[O-] ZINC000739694086 602836223 /nfs/dbraw/zinc/83/62/23/602836223.db2.gz JCWUVOVNZNQABX-ZDUSSCGKSA-N -1 1 343.428 -0.698 20 0 EBADMM C[C@H]1CN2CCCC[C@H]2CN1C(=O)CN1CCN(C(=O)[O-])CC1=O ZINC000739200243 603006984 /nfs/dbraw/zinc/00/69/84/603006984.db2.gz TUOBSNYVRWGMFE-STQMWFEESA-N -1 1 338.408 -0.106 20 0 EBADMM Cc1nc(-c2ncccn2)[nH]c(=O)c1CC(=O)NC1CN(C(=O)[O-])C1 ZINC000740028440 603203217 /nfs/dbraw/zinc/20/32/17/603203217.db2.gz RCSVBULKAUTHDY-UHFFFAOYSA-N -1 1 344.331 -0.031 20 0 EBADMM CCN(CC(=O)N1CCO[C@H](CN2CCN(C)CC2)C1)C(=O)[O-] ZINC000739393122 603431644 /nfs/dbraw/zinc/43/16/44/603431644.db2.gz GDUZKTQHJUGIGT-CYBMUJFWSA-N -1 1 328.413 -0.539 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@H]2CC2(Cl)Cl)c(=O)n(C)c1=O ZINC000028049404 649935738 /nfs/dbraw/zinc/93/57/38/649935738.db2.gz BMDNIZASTQXIIC-ZCFIWIBFSA-N -1 1 342.204 -0.444 20 0 EBADMM COc1ccc(C(=O)[N-]C(=O)COC(=O)[C@H]2CC(=O)N(C)C2)cc1 ZINC000047734843 649947931 /nfs/dbraw/zinc/94/79/31/649947931.db2.gz FNQHPJKXIWCMHK-NSHDSACASA-N -1 1 334.328 -0.027 20 0 EBADMM CS(=O)(=O)[N-]C(=O)CCNC(=O)c1cc(F)cc2nc[nH]c21 ZINC000277670815 649990672 /nfs/dbraw/zinc/99/06/72/649990672.db2.gz PRGZLTSDRSUSHD-UHFFFAOYSA-N -1 1 328.325 -0.102 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]C[C@@H]2CC[C@H](C3CC3)O2)c(=O)n(C)c1=O ZINC000907467509 650083457 /nfs/dbraw/zinc/08/34/57/650083457.db2.gz HKOXXYISCBMKGQ-WDEREUQCSA-N -1 1 343.405 -0.680 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@H](CO)c1cccnc1 ZINC000912553971 650208385 /nfs/dbraw/zinc/20/83/85/650208385.db2.gz PVEJPBQSNJLCBI-JTQLQIEISA-N -1 1 326.334 -0.397 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CC[C@@]1(O)CCCOC1 ZINC000912557176 650208986 /nfs/dbraw/zinc/20/89/86/650208986.db2.gz VBJFSSOKWNZYAN-LBPRGKRZSA-N -1 1 333.366 -0.594 20 0 EBADMM CSCC[C@H](NC(=O)C1(N2CCOCC2)CC1)c1nn[n-]n1 ZINC000912863338 650215341 /nfs/dbraw/zinc/21/53/41/650215341.db2.gz UJLJUVLXFPPWIV-JTQLQIEISA-N -1 1 326.426 -0.025 20 0 EBADMM CC[C@@H](C(=O)N1CCOC[C@@H]1c1nn[n-]n1)N1CCO[C@H](CC)C1 ZINC000913497910 650235600 /nfs/dbraw/zinc/23/56/00/650235600.db2.gz MMIFGYPEGGZWRQ-FRRDWIJNSA-N -1 1 338.412 -0.011 20 0 EBADMM Cc1ccc(N2CC[C@H]([N-]S(=O)(=O)[C@@H]3COC[C@H]3O)C2=O)cc1 ZINC000914184008 650255060 /nfs/dbraw/zinc/25/50/60/650255060.db2.gz MMMPPDJMLBDINT-BFHYXJOUSA-N -1 1 340.401 -0.221 20 0 EBADMM Cn1cnc(S(=O)(=O)[N-][C@@H](CO)CN2CCOCC2)c1Cl ZINC000918989263 650294480 /nfs/dbraw/zinc/29/44/80/650294480.db2.gz QHWMPHFNIOLFIU-SECBINFHSA-N -1 1 338.817 -0.955 20 0 EBADMM CC[S@@](C)(=O)=NS(=O)(=O)[N-]CCN1CCC2(C1)OCCO2 ZINC000920093508 650308960 /nfs/dbraw/zinc/30/89/60/650308960.db2.gz QVIDMECEVRACSZ-HXUWFJFHSA-N -1 1 341.455 -0.613 20 0 EBADMM COC[C@@H](O)C[N@H+]1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@H]1C(=O)[O-] ZINC000923255474 650337100 /nfs/dbraw/zinc/33/71/00/650337100.db2.gz NAQUQVWPOXNNNU-YIZRAAEISA-N -1 1 328.287 -0.410 20 0 EBADMM COc1cccc(S([O-])=CC(=O)NC[C@H]2C[C@@H](O)CN2C)c1 ZINC000924976609 650369808 /nfs/dbraw/zinc/36/98/08/650369808.db2.gz MXOUWIWFOTXTIF-ZRUHOGSISA-N -1 1 326.418 -0.016 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)[C@@H]2CCNC(=O)C2)C1 ZINC000937135889 651648703 /nfs/dbraw/zinc/64/87/03/651648703.db2.gz DRHUCLZGKWIQQR-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)[C@H]1COCCO1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937211199 651680058 /nfs/dbraw/zinc/68/00/58/651680058.db2.gz ITGLUMRHWRTNQE-WCQYABFASA-N -1 1 335.360 -0.125 20 0 EBADMM CN(C(=O)c1cnnn1C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000937220463 651686764 /nfs/dbraw/zinc/68/67/64/651686764.db2.gz RCLWFBCIAOOBNH-JTQLQIEISA-N -1 1 330.348 -0.098 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)[C@H]1CCN(C(=O)C2CCC2)C1 ZINC000936330292 651767517 /nfs/dbraw/zinc/76/75/17/651767517.db2.gz PUYDTOCAUYUVFN-NSHDSACASA-N -1 1 344.375 -0.109 20 0 EBADMM C[C@@H](C(=O)N1CC[C@H](NC(=O)c2ncccc2[O-])C1)n1cncn1 ZINC000937862159 651957866 /nfs/dbraw/zinc/95/78/66/651957866.db2.gz ZUMYMARHFNAOIH-QWRGUYRKSA-N -1 1 330.348 -0.029 20 0 EBADMM CN1CC[C@H](C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])C2)CC1=O ZINC000937863399 651958722 /nfs/dbraw/zinc/95/87/22/651958722.db2.gz GRZWBQIAZFXNAA-RYUDHWBXSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@@H]2COCCO2)C1 ZINC000936711605 652042036 /nfs/dbraw/zinc/04/20/36/652042036.db2.gz KSACQWYLAIRXKZ-AAEUAGOBSA-N -1 1 335.360 -0.125 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1cc[nH]c1 ZINC000938082750 652070419 /nfs/dbraw/zinc/07/04/19/652070419.db2.gz ZGEAFEILEFSZQQ-JTQLQIEISA-N -1 1 341.331 -0.610 20 0 EBADMM CN1C[C@@H](C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC000938087573 652071855 /nfs/dbraw/zinc/07/18/55/652071855.db2.gz CSDHGOUYFHZGFS-QWRGUYRKSA-N -1 1 332.360 -0.404 20 0 EBADMM CN1CC[C@@H](C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC000938232052 652145752 /nfs/dbraw/zinc/14/57/52/652145752.db2.gz LVWNEFPEYGFMEH-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCN(C(=O)c2cc[nH]n2)C1 ZINC000938311365 652189643 /nfs/dbraw/zinc/18/96/43/652189643.db2.gz JXIKEHCDKSLADH-ZETCQYMHSA-N -1 1 348.319 -0.736 20 0 EBADMM C/C=C(\C)C(=O)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000938315018 652192605 /nfs/dbraw/zinc/19/26/05/652192605.db2.gz WUNFVYYASLEUGO-RZCNENHTSA-N -1 1 330.348 -0.286 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2cc[nH]c2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000938314714 652192668 /nfs/dbraw/zinc/19/26/68/652192668.db2.gz PDUPXZCBVVWBCT-JTQLQIEISA-N -1 1 341.331 -0.610 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)[C@H]2CC23CC3)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000938315073 652192692 /nfs/dbraw/zinc/19/26/92/652192692.db2.gz ZCGNGGZTMGCODC-VHSXEESVSA-N -1 1 342.359 -0.452 20 0 EBADMM CC[C@@H](OC)C(=O)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000938314697 652192776 /nfs/dbraw/zinc/19/27/76/652192776.db2.gz OJBIFSDPMXPORW-GXSJLCMTSA-N -1 1 348.363 -0.827 20 0 EBADMM C[C@H](C(=O)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1)C1CC1 ZINC000938314893 652192819 /nfs/dbraw/zinc/19/28/19/652192819.db2.gz UBWQFBGXSFPOBQ-ONGXEEELSA-N -1 1 344.375 -0.206 20 0 EBADMM CC1(C)C[C@H]1C(=O)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000938314881 652193236 /nfs/dbraw/zinc/19/32/36/652193236.db2.gz SXEIURRROPOFEK-UWVGGRQHSA-N -1 1 344.375 -0.206 20 0 EBADMM CN1C(=O)CC[C@@H]1C(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000938489055 652285189 /nfs/dbraw/zinc/28/51/89/652285189.db2.gz KRHLMEDBYNIZPZ-WDEREUQCSA-N -1 1 332.360 -0.261 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)C(C)(C)C(N)=O)C1 ZINC000938689726 652670261 /nfs/dbraw/zinc/67/02/61/652670261.db2.gz OLSBDDLFQBQLHW-SNVBAGLBSA-N -1 1 334.376 -0.028 20 0 EBADMM CC(C)(C)C(=O)N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000938718796 652685742 /nfs/dbraw/zinc/68/57/42/652685742.db2.gz XTLQAODEPVRPEQ-VIFPVBQESA-N -1 1 332.364 -0.206 20 0 EBADMM O=C(NC[C@H]1CCCN1C(=O)[C@@H]1CCNC1=O)c1ncccc1[O-] ZINC000944183876 652708275 /nfs/dbraw/zinc/70/82/75/652708275.db2.gz SXAVBSDZTKCEJC-GHMZBOCLSA-N -1 1 332.360 -0.356 20 0 EBADMM Cn1nnc(C(=O)N2CCC[C@@H]2CNC(=O)c2ncccc2[O-])n1 ZINC000944210912 652715427 /nfs/dbraw/zinc/71/54/27/652715427.db2.gz VKYCEPFTRKQXRR-SECBINFHSA-N -1 1 331.336 -0.655 20 0 EBADMM O=C(CN1CCCNC1=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000941467202 652754749 /nfs/dbraw/zinc/75/47/49/652754749.db2.gz YBGBYCSTPFEJJR-LLVKDONJSA-N -1 1 347.375 -0.467 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)C(F)F ZINC000939012229 652809287 /nfs/dbraw/zinc/80/92/87/652809287.db2.gz GWCGYOKUPFBREI-ZCFIWIBFSA-N -1 1 326.263 -0.987 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H](NC(=O)C2CCC2)C1 ZINC000939030359 652810685 /nfs/dbraw/zinc/81/06/85/652810685.db2.gz GOXWATHYTLHIHZ-GFCCVEGCSA-N -1 1 334.376 -0.556 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1cncnc1 ZINC000941594827 652822010 /nfs/dbraw/zinc/82/20/10/652822010.db2.gz NAMOWGKCWDIKQE-DGCLKSJQSA-N -1 1 343.343 -0.153 20 0 EBADMM CO[C@@H]1CN(C(=O)c2cccnn2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000941603278 652827710 /nfs/dbraw/zinc/82/77/10/652827710.db2.gz QFROKDVCFJZBNS-DGCLKSJQSA-N -1 1 343.343 -0.153 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)N1CC[C@@H](N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000939121455 652835143 /nfs/dbraw/zinc/83/51/43/652835143.db2.gz OLIQAQDJLHJBPR-AXFHLTTASA-N -1 1 344.375 -0.254 20 0 EBADMM Cc1cc(C(=O)N2CCO[C@@H](CNC(=O)c3[nH]nc(C)c3[O-])C2)n[nH]1 ZINC000944551482 652851946 /nfs/dbraw/zinc/85/19/46/652851946.db2.gz WYTRVLWCBHIEJR-JTQLQIEISA-N -1 1 348.363 -0.274 20 0 EBADMM Cn1[n-]c(CN2CC(N3CCN(C(=O)C(C)(C)C)CC3)C2)nc1=O ZINC000941646932 652854630 /nfs/dbraw/zinc/85/46/30/652854630.db2.gz TVIOEHCAEJWWRD-UHFFFAOYSA-N -1 1 336.440 -0.517 20 0 EBADMM Cn1ncc(C(=O)N2CCO[C@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC000944559658 652859237 /nfs/dbraw/zinc/85/92/37/652859237.db2.gz DHHALCPELPWQBY-SNVBAGLBSA-N -1 1 346.347 -0.813 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2cn[nH]c2)CCO1)c1ncccc1[O-] ZINC000944560434 652859904 /nfs/dbraw/zinc/85/99/04/652859904.db2.gz KLJAUWUHLALBKP-NSHDSACASA-N -1 1 331.332 -0.219 20 0 EBADMM O=C(Cn1cccn1)N1CCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944561317 652860506 /nfs/dbraw/zinc/86/05/06/652860506.db2.gz QQWOUJOJYOEUMC-GFCCVEGCSA-N -1 1 345.359 -0.359 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)C2=COCCO2)CCO1)c1ncccc1[O-] ZINC000944560759 652860514 /nfs/dbraw/zinc/86/05/14/652860514.db2.gz MQOOCTYVTZEQKB-NSHDSACASA-N -1 1 349.343 -0.367 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944561872 652861343 /nfs/dbraw/zinc/86/13/43/652861343.db2.gz VWYROBYOKUHBOD-SNVBAGLBSA-N -1 1 346.347 -0.515 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000944561872 652861348 /nfs/dbraw/zinc/86/13/48/652861348.db2.gz VWYROBYOKUHBOD-SNVBAGLBSA-N -1 1 346.347 -0.515 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCN(C(=O)c2ccon2)C1 ZINC000941662707 652863231 /nfs/dbraw/zinc/86/32/31/652863231.db2.gz FBQPXTSNXCUTBC-SSDOTTSWSA-N -1 1 349.303 -0.471 20 0 EBADMM C[C@@H]1CN(C(=O)C(C)(C)S(C)(=O)=O)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000939241275 652865004 /nfs/dbraw/zinc/86/50/04/652865004.db2.gz RAEMQONDYPGXRB-RKDXNWHRSA-N -1 1 345.425 -0.730 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)C2=CCCC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000941663767 652866100 /nfs/dbraw/zinc/86/61/00/652866100.db2.gz CMHLHQGICXAIJW-LLVKDONJSA-N -1 1 342.359 -0.141 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@@H]2CNC(=O)N2)C1 ZINC000939349237 652906857 /nfs/dbraw/zinc/90/68/57/652906857.db2.gz KKTNMVOVYMVIAD-UWVGGRQHSA-N -1 1 333.348 -0.859 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1NC(=O)c1cc[n+]([O-])cc1 ZINC000941782993 652907042 /nfs/dbraw/zinc/90/70/42/652907042.db2.gz WZHHSKYLCRCMOP-WCQYABFASA-N -1 1 346.391 -0.618 20 0 EBADMM Cc1ncncc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1C ZINC000941857004 652932019 /nfs/dbraw/zinc/93/20/19/652932019.db2.gz FTSQSLCSLCSWMU-GWCFXTLKSA-N -1 1 345.407 -0.153 20 0 EBADMM CCn1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)cn1 ZINC000941900433 652946057 /nfs/dbraw/zinc/94/60/57/652946057.db2.gz SVMOWZKUWKXOKE-AAEUAGOBSA-N -1 1 347.423 -0.035 20 0 EBADMM C[C@@H]1CN(C(=O)Cn2c(=O)[n-][nH]c2=O)C[C@H]1NCc1cnns1 ZINC000939626899 653013210 /nfs/dbraw/zinc/01/32/10/653013210.db2.gz NUMAEOQVGXNDQP-VXNVDRBHSA-N -1 1 339.381 -0.823 20 0 EBADMM CCn1ncc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2C)n1 ZINC000942038433 653025832 /nfs/dbraw/zinc/02/58/32/653025832.db2.gz ZLGMMHWSACZYLQ-QWRGUYRKSA-N -1 1 348.411 -0.640 20 0 EBADMM CC(C)(C)C(=O)N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000942377116 653089932 /nfs/dbraw/zinc/08/99/32/653089932.db2.gz XTLQAODEPVRPEQ-SECBINFHSA-N -1 1 332.364 -0.206 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1)[C@@H]1CC12CC2 ZINC000942506321 653128663 /nfs/dbraw/zinc/12/86/63/653128663.db2.gz BXQMRULRNILPTB-ZJUUUORDSA-N -1 1 342.359 -0.452 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1nonc1C ZINC000942554685 653143050 /nfs/dbraw/zinc/14/30/50/653143050.db2.gz RGEZKKAMXAKNOD-MWLCHTKSSA-N -1 1 347.331 -0.252 20 0 EBADMM Cn1nncc1C(=O)NC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000946533577 653144538 /nfs/dbraw/zinc/14/45/38/653144538.db2.gz ZAXBMVBIMNMNCS-UHFFFAOYSA-N -1 1 330.348 -0.050 20 0 EBADMM CN1C[C@@H](C(=O)NC2CCN(C(=O)c3ncccc3[O-])CC2)CC1=O ZINC000946538838 653146435 /nfs/dbraw/zinc/14/64/35/653146435.db2.gz RRCZOMRMTUXWPA-NSHDSACASA-N -1 1 346.387 -0.014 20 0 EBADMM O=C(Cn1ccccc1=O)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000940373378 653147503 /nfs/dbraw/zinc/14/75/03/653147503.db2.gz ZAWQYOCJKRSSRC-GFCCVEGCSA-N -1 1 342.355 -0.020 20 0 EBADMM O=C(NC[C@H]1CN(C(=O)c2ncccc2[O-])CCO1)c1csnn1 ZINC000944680964 653150400 /nfs/dbraw/zinc/15/04/00/653150400.db2.gz HSLAKGJCTMPYNE-VIFPVBQESA-N -1 1 349.372 -0.090 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1cncn1C ZINC000942578491 653151912 /nfs/dbraw/zinc/15/19/12/653151912.db2.gz CUTKELBYQUVCGM-ZWNOBZJWSA-N -1 1 345.359 -0.210 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@@H]2CCNC2=O)C1 ZINC000942753306 653197012 /nfs/dbraw/zinc/19/70/12/653197012.db2.gz METAKLUFUAYOKH-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])[C@H]1CCN(C(=O)[C@H]2COC(=O)N2)C1 ZINC000942758003 653197371 /nfs/dbraw/zinc/19/73/71/653197371.db2.gz KRVXPXOJHFXZAJ-WDEREUQCSA-N -1 1 348.359 -0.041 20 0 EBADMM CCN(C(=O)[C@H]1COC(=O)N1)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000942766608 653200495 /nfs/dbraw/zinc/20/04/95/653200495.db2.gz BVSIPUGJNBOITG-WDEREUQCSA-N -1 1 348.359 -0.041 20 0 EBADMM CCN(C(=O)c1cnc(C2CC2)[n-]c1=O)[C@@H]1CCN(C(=O)C(N)=O)C1 ZINC000942797782 653216049 /nfs/dbraw/zinc/21/60/49/653216049.db2.gz LWUGNRBUFCFNFE-SNVBAGLBSA-N -1 1 347.375 -0.392 20 0 EBADMM C/C=C(\C)C(=O)N1CCCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC000940701517 653262105 /nfs/dbraw/zinc/26/21/05/653262105.db2.gz LXJFBMGLMGPPRJ-QLKAYGNNSA-N -1 1 348.403 -0.046 20 0 EBADMM Cn1nncc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000940721047 653268287 /nfs/dbraw/zinc/26/82/87/653268287.db2.gz LRTFZLVSIPWCTM-NSHDSACASA-N -1 1 348.411 -0.733 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1ccn(C)n1 ZINC000944916693 653289018 /nfs/dbraw/zinc/28/90/18/653289018.db2.gz BHJLNZOYPPNOGM-MNOVXSKESA-N -1 1 333.396 -0.518 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2cnccn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947010020 653290577 /nfs/dbraw/zinc/29/05/77/653290577.db2.gz QRMXNDXOSBGFBR-GHMZBOCLSA-N -1 1 331.380 -0.319 20 0 EBADMM Cc1cnc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H](C)C2)cn1 ZINC000947017269 653291304 /nfs/dbraw/zinc/29/13/04/653291304.db2.gz GFJANPLXQLGDRR-NWDGAFQWSA-N -1 1 345.407 -0.010 20 0 EBADMM CN1CCOC[C@H]1C(=O)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940806505 653291369 /nfs/dbraw/zinc/29/13/69/653291369.db2.gz SWRDRQNGOPCLGG-ZDUSSCGKSA-N -1 1 348.403 -0.208 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2ccc(=O)[nH]n2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947020921 653292235 /nfs/dbraw/zinc/29/22/35/653292235.db2.gz HYPFBSPJQQQXAD-UWVGGRQHSA-N -1 1 347.379 -0.613 20 0 EBADMM O=C(Cn1cncn1)N1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000940832248 653298523 /nfs/dbraw/zinc/29/85/23/653298523.db2.gz AQLOXNZCOPIIRT-UHFFFAOYSA-N -1 1 330.348 -0.247 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)Cn2cccn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947053507 653301870 /nfs/dbraw/zinc/30/18/70/653301870.db2.gz ZWOMUEPRHJCGJP-VXGBXAGGSA-N -1 1 333.396 -0.526 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)c2cnsn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947080387 653306794 /nfs/dbraw/zinc/30/67/94/653306794.db2.gz JPYNADYKYBKWKC-RKDXNWHRSA-N -1 1 337.409 -0.257 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@H](C)C2)n(C)n1 ZINC000947103020 653313950 /nfs/dbraw/zinc/31/39/50/653313950.db2.gz GXVQHCXYBDRDPK-NEPJUHHUSA-N -1 1 347.423 -0.067 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)Cc2ccon2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947134105 653323344 /nfs/dbraw/zinc/32/33/44/653323344.db2.gz DWANSABZZKEVQZ-MNOVXSKESA-N -1 1 334.380 -0.192 20 0 EBADMM CCC(=O)N[C@@H]1CN(C(=O)c2[nH]c(=O)[n-]c(=O)c2OC)C[C@H]1OC ZINC000940902983 653323778 /nfs/dbraw/zinc/32/37/78/653323778.db2.gz SCVPLVLROUBANV-HTQZYQBOSA-N -1 1 340.336 -0.738 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2cccc(=O)[nH]2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947165334 653329365 /nfs/dbraw/zinc/32/93/65/653329365.db2.gz FGCWIMLNOLBVIY-QWRGUYRKSA-N -1 1 346.391 -0.008 20 0 EBADMM O=C(NC1CCN(C(=O)C2CCC2)CC1)c1cc(=O)n2[n-]cnc2n1 ZINC000943277147 653340150 /nfs/dbraw/zinc/34/01/50/653340150.db2.gz LMUUFYNMQLWELW-UHFFFAOYSA-N -1 1 344.375 -0.062 20 0 EBADMM CCN1C[C@H](C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)CC1=O ZINC000940942834 653341147 /nfs/dbraw/zinc/34/11/47/653341147.db2.gz NUCMDAIIYNLURK-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2cnnn2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947265110 653351774 /nfs/dbraw/zinc/35/17/74/653351774.db2.gz DDZAJBWPJMZDDQ-UWVGGRQHSA-N -1 1 334.384 -0.980 20 0 EBADMM Cc1c[nH]nc1C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@H](C)C1 ZINC000947291541 653358161 /nfs/dbraw/zinc/35/81/61/653358161.db2.gz RNUYSOQSXQMICM-MNOVXSKESA-N -1 1 333.396 -0.077 20 0 EBADMM CC1(C)CN(Cc2cc(=O)n3[n-]ccc3n2)CC[C@H]1NC(=O)C(N)=O ZINC000940997074 653371127 /nfs/dbraw/zinc/37/11/27/653371127.db2.gz NMLLXJUUMGAQJK-LLVKDONJSA-N -1 1 346.391 -0.775 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC(NC(=O)C2CC2)CC1 ZINC000947443066 653404612 /nfs/dbraw/zinc/40/46/12/653404612.db2.gz QGKTUVIJZNKBTM-UHFFFAOYSA-N -1 1 334.376 -0.556 20 0 EBADMM O=C(N[C@@H]1CCCCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CNC(=O)N1 ZINC000943485132 653404992 /nfs/dbraw/zinc/40/49/92/653404992.db2.gz JEDXEJKSDFCRTD-MNOVXSKESA-N -1 1 347.375 -0.421 20 0 EBADMM Cc1ncc(C(=O)N2CCC(NC(=O)Cn3c(=O)[n-][nH]c3=O)CC2)[nH]1 ZINC000945350455 653420911 /nfs/dbraw/zinc/42/09/11/653420911.db2.gz GZCMDDRZICIPNZ-UHFFFAOYSA-N -1 1 349.351 -0.858 20 0 EBADMM CO[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@@H]1CCCO1 ZINC000941121549 653422328 /nfs/dbraw/zinc/42/23/28/653422328.db2.gz JQEKRXBBJXQVAZ-KGYLQXTDSA-N -1 1 335.360 -0.078 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2csnn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947571224 653430682 /nfs/dbraw/zinc/43/06/82/653430682.db2.gz CUHPQCUFEGQNMI-BDAKNGLRSA-N -1 1 337.409 -0.257 20 0 EBADMM C[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1ccncn1 ZINC000943716914 653457707 /nfs/dbraw/zinc/45/77/07/653457707.db2.gz GFGSEMTUMOMALL-QWRGUYRKSA-N -1 1 331.380 -0.461 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2n[nH]cc2F)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000947697963 653463016 /nfs/dbraw/zinc/46/30/16/653463016.db2.gz JSSXFGZYPYIHRW-IUCAKERBSA-N -1 1 337.359 -0.247 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1NC(=O)c1ncccn1 ZINC000943738848 653464202 /nfs/dbraw/zinc/46/42/02/653464202.db2.gz SVPAJALVWWUHKR-MNOVXSKESA-N -1 1 331.380 -0.461 20 0 EBADMM C[C@@H]1CN(C)C[C@H](C(=O)N[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)O1 ZINC000941273368 653478260 /nfs/dbraw/zinc/47/82/60/653478260.db2.gz BRLFKWJZKLBVSH-YRGRVCCFSA-N -1 1 348.403 -0.163 20 0 EBADMM CC(=O)N[C@@H](C)[C@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000945653741 653494569 /nfs/dbraw/zinc/49/45/69/653494569.db2.gz YITYHQFQCWFOMG-ONGXEEELSA-N -1 1 332.364 -0.206 20 0 EBADMM CC(=O)NC[C@H]1[C@H](C)CCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000945786061 653505385 /nfs/dbraw/zinc/50/53/85/653505385.db2.gz NJZLLOVOSLBRLK-SKDRFNHKSA-N -1 1 332.364 -0.206 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2ccn(C)n2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC000948035519 653514838 /nfs/dbraw/zinc/51/48/38/653514838.db2.gz GSSRRUSDKRSPHV-QWRGUYRKSA-N -1 1 333.396 -0.375 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CN(C(=O)Cc3ccn[nH]3)C[C@H]2C)c1[O-] ZINC000948074422 653518789 /nfs/dbraw/zinc/51/87/89/653518789.db2.gz SSXUIYOOSNOBKR-LDYMZIIASA-N -1 1 332.364 -0.034 20 0 EBADMM Cc1nc[nH]c1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H](C)C1 ZINC000948099923 653522729 /nfs/dbraw/zinc/52/27/29/653522729.db2.gz MPNZPKOPJPUQLJ-GXSJLCMTSA-N -1 1 333.396 -0.077 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC[C@@H]2[C@@H](CCN2C(C)=O)C1 ZINC000945994009 653529351 /nfs/dbraw/zinc/52/93/51/653529351.db2.gz SXSPNVCWXPSETJ-VHSXEESVSA-N -1 1 336.348 -0.021 20 0 EBADMM CC[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC[C@@H]1NC(C)=O ZINC000948488228 653549377 /nfs/dbraw/zinc/54/93/77/653549377.db2.gz FXUQCQOUXULGLI-QWRGUYRKSA-N -1 1 332.364 -0.206 20 0 EBADMM CC[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC[C@H]1NC(C)=O ZINC000948488229 653549488 /nfs/dbraw/zinc/54/94/88/653549488.db2.gz FXUQCQOUXULGLI-WDEREUQCSA-N -1 1 332.364 -0.206 20 0 EBADMM C[C@H](C(N)=O)N1CCC[C@@H](N(C)C(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC000948590620 653561211 /nfs/dbraw/zinc/56/12/11/653561211.db2.gz MTVAZVPVRLNXAN-RKDXNWHRSA-N -1 1 338.368 -0.917 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cn4c(n3)CCCC4)CC2)nc1=O ZINC000948610885 653562366 /nfs/dbraw/zinc/56/23/66/653562366.db2.gz PEJZUWUSMJBRFE-UHFFFAOYSA-N -1 1 345.407 -0.401 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)CN1CCCC1=O ZINC000948619211 653563150 /nfs/dbraw/zinc/56/31/50/653563150.db2.gz VYHVEZNOZWEXBU-VXGBXAGGSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)C[C@@H]1CCNC1=O ZINC000948626578 653563790 /nfs/dbraw/zinc/56/37/90/653563790.db2.gz OECAHLZJWLPLQP-GRYCIOLGSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@H]1CCCNC1=O ZINC000948626407 653563815 /nfs/dbraw/zinc/56/38/15/653563815.db2.gz CDNWLXOHJAGWEH-GRYCIOLGSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@@]1(C)CNC(=O)C1 ZINC000948626614 653563876 /nfs/dbraw/zinc/56/38/76/653563876.db2.gz PWQPSWIQTPHDRS-CZIZLABSSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)[C@@H]1CN(C)C(=O)N1 ZINC000948630203 653564203 /nfs/dbraw/zinc/56/42/03/653564203.db2.gz RCTSFLZQRKUYBA-MXWKQRLJSA-N -1 1 347.375 -0.613 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cc4n(n3)CCC4)CC2)nc1=O ZINC000948653713 653565567 /nfs/dbraw/zinc/56/55/67/653565567.db2.gz UDVBJUZJQJEPJY-UHFFFAOYSA-N -1 1 331.380 -0.791 20 0 EBADMM CC(C)[C@@H]1OCC[C@@H]1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000948693440 653567095 /nfs/dbraw/zinc/56/70/95/653567095.db2.gz HEZOAAPLETUFOD-JSGCOSHPSA-N -1 1 337.424 -0.186 20 0 EBADMM Cn1ncc(C2CC2)c1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000948707047 653567587 /nfs/dbraw/zinc/56/75/87/653567587.db2.gz ODSQVYOMLDFVHS-UHFFFAOYSA-N -1 1 345.407 -0.323 20 0 EBADMM O=C(NCC1CCN(C(=O)[C@H]2COC(=O)N2)CC1)c1ncccc1[O-] ZINC000948888782 653579669 /nfs/dbraw/zinc/57/96/69/653579669.db2.gz OFHQAGZURRJWRP-LLVKDONJSA-N -1 1 348.359 -0.136 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3n[nH]c4c3CCC4)CC2)nc1=O ZINC000949066395 653592551 /nfs/dbraw/zinc/59/25/51/653592551.db2.gz FXZUXEDTHFANLV-UHFFFAOYSA-N -1 1 331.380 -0.722 20 0 EBADMM Cc1c(C(=O)NC[C@@H]2CCN2C(=O)c2ncccc2[O-])nnn1C ZINC000949215257 653832562 /nfs/dbraw/zinc/83/25/62/653832562.db2.gz QGSYLSBPTLUAOU-JTQLQIEISA-N -1 1 330.348 -0.131 20 0 EBADMM CC1CC(C(=O)NC[C@H]2CCN2C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000949340916 653866265 /nfs/dbraw/zinc/86/62/65/653866265.db2.gz FCTOPRDGBNGDKG-VQXHTEKXSA-N -1 1 344.375 -0.206 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3cc(C4CC4)on3)CC2)nc1=O ZINC000949529264 653920556 /nfs/dbraw/zinc/92/05/56/653920556.db2.gz QZEGWDHLWUABHO-UHFFFAOYSA-N -1 1 332.364 -0.068 20 0 EBADMM CN1CCOC[C@H]1C(=O)N1CC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000949534697 653922310 /nfs/dbraw/zinc/92/23/10/653922310.db2.gz CXFIEHPIDBZIIL-RYUDHWBXSA-N -1 1 334.376 -0.552 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)C1CC(=O)NC(=O)C1)c1ncccc1[O-] ZINC000949535672 653922865 /nfs/dbraw/zinc/92/28/65/653922865.db2.gz YBTVVRDEUGDKGI-SNVBAGLBSA-N -1 1 346.343 -0.829 20 0 EBADMM CN1C[C@@H](C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])NC1=O ZINC000949541653 653924048 /nfs/dbraw/zinc/92/40/48/653924048.db2.gz MQGWYNUKZZSUHR-ZJUUUORDSA-N -1 1 333.348 -0.859 20 0 EBADMM CCN1C[C@@H](C(=O)N2CC[C@@H]2CNC(=O)c2ncccc2[O-])CC1=O ZINC000949542033 653924798 /nfs/dbraw/zinc/92/47/98/653924798.db2.gz UWEXEOCONZPMKB-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1CCCN(C(=O)C(F)F)C1 ZINC000949597521 653930867 /nfs/dbraw/zinc/93/08/67/653930867.db2.gz SSSSACIMOBBWLZ-ZCFIWIBFSA-N -1 1 346.290 -0.118 20 0 EBADMM COc1nc(C)ccc1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000951368269 654105752 /nfs/dbraw/zinc/10/57/52/654105752.db2.gz GDRVOSLQNIWKDG-UHFFFAOYSA-N -1 1 346.391 -0.222 20 0 EBADMM CC1(C(=O)N[C@H]2CCCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)CC1 ZINC000951408874 654118788 /nfs/dbraw/zinc/11/87/88/654118788.db2.gz UYSCOWZQOSAIHZ-JTQLQIEISA-N -1 1 344.375 -0.062 20 0 EBADMM O=C(NC[C@H]1CCN1C(=O)c1ncccc1[O-])c1cn[nH]c(=O)c1 ZINC000950526841 654164636 /nfs/dbraw/zinc/16/46/36/654164636.db2.gz HMELSOKKOZYHQC-SNVBAGLBSA-N -1 1 329.316 -0.073 20 0 EBADMM CN1CCOC[C@H]1C(=O)N[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000950542817 654173274 /nfs/dbraw/zinc/17/32/74/654173274.db2.gz KEQXBEMOMVWTOQ-OLZOCXBDSA-N -1 1 348.403 -0.161 20 0 EBADMM Cn1cnc(C(=O)N[C@@H]2CCCN(C(=O)c3ncccc3[O-])C2)n1 ZINC000950542696 654173696 /nfs/dbraw/zinc/17/36/96/654173696.db2.gz HDCVMLPQXQWXDC-SNVBAGLBSA-N -1 1 330.348 -0.050 20 0 EBADMM Cn1cncc1[C@@H]1C[C@H]1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000950581094 654183599 /nfs/dbraw/zinc/18/35/99/654183599.db2.gz DGDRHHASGFZZLY-VXGBXAGGSA-N -1 1 345.407 -0.710 20 0 EBADMM CCN(C(=O)c1n[nH]c(=O)[n-]c1=O)C1CN(C[C@H]2C[C@]23CCOC3)C1 ZINC000950766515 654255446 /nfs/dbraw/zinc/25/54/46/654255446.db2.gz KJAICYJIJODFRE-HWPZZCPQSA-N -1 1 349.391 -0.144 20 0 EBADMM NC(=O)c1c[nH]c(C(=O)NC[C@H]2CCN2C(=O)c2ncccc2[O-])c1 ZINC000951833859 654301102 /nfs/dbraw/zinc/30/11/02/654301102.db2.gz JUJUNNROVXOZRJ-SNVBAGLBSA-N -1 1 343.343 -0.141 20 0 EBADMM CCN(C(=O)c1cn(C)nc1OC)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000951130329 654396556 /nfs/dbraw/zinc/39/65/56/654396556.db2.gz ROLWWLZDSWVZOH-UHFFFAOYSA-N -1 1 349.395 -0.803 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1CCN1C(=O)C(C)(C)F ZINC000951222979 654424892 /nfs/dbraw/zinc/42/48/92/654424892.db2.gz WKOBIQFZKYQHMQ-SSDOTTSWSA-N -1 1 342.327 -0.025 20 0 EBADMM CC1(C(=O)N2CC[C@@H]2CNC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000951225149 654426159 /nfs/dbraw/zinc/42/61/59/654426159.db2.gz WEIOIHBIXRSJBG-SECBINFHSA-N -1 1 330.348 -0.452 20 0 EBADMM CCC(=O)N1CC[C@]2(CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)C1 ZINC000953012798 654515264 /nfs/dbraw/zinc/51/52/64/654515264.db2.gz IGYZCPIXHYWNDC-KRWDZBQOSA-N -1 1 348.403 -0.212 20 0 EBADMM CC(=O)N1CC[C@]2(CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)C1 ZINC000953020098 654515825 /nfs/dbraw/zinc/51/58/25/654515825.db2.gz CZMGMFWGDFXPMR-HNNXBMFYSA-N -1 1 330.348 -0.498 20 0 EBADMM CCC(=O)N1CC[C@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)[C@@H]2C1 ZINC000953222680 654545286 /nfs/dbraw/zinc/54/52/86/654545286.db2.gz DCRLMWYLZYAPBD-CMPLNLGQSA-N -1 1 344.375 -0.109 20 0 EBADMM CCC(=O)N1CC[C@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C[C@H]21 ZINC000953378738 654569458 /nfs/dbraw/zinc/56/94/58/654569458.db2.gz MKOWAKOFIAUJDP-CHWSQXEVSA-N -1 1 348.403 -0.214 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(N(C)C(=O)[C@@H]2C[C@H]2C)C1 ZINC000953625612 654599657 /nfs/dbraw/zinc/59/96/57/654599657.db2.gz ZIMZSRNVXCMKFL-VXNVDRBHSA-N -1 1 336.348 -0.165 20 0 EBADMM CN(C(=O)c1ccoc1)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000953727088 654615981 /nfs/dbraw/zinc/61/59/81/654615981.db2.gz OLCMJHGYHKSUEK-UHFFFAOYSA-N -1 1 346.343 -0.497 20 0 EBADMM CN(C(=O)c1ccn[nH]1)C1CN(C(=O)c2c[n-]n3c2nccc3=O)C1 ZINC000953781500 654626275 /nfs/dbraw/zinc/62/62/75/654626275.db2.gz SLAWLROXPQDDNR-UHFFFAOYSA-N -1 1 341.331 -0.658 20 0 EBADMM CN(C(=O)[C@@H]1CCNC(=O)C1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954032808 654672055 /nfs/dbraw/zinc/67/20/55/654672055.db2.gz JAOIYVVSVZGNFI-SNVBAGLBSA-N -1 1 332.360 -0.404 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1cccc(=O)[nH]1 ZINC000965845630 724531026 /nfs/dbraw/zinc/53/10/26/724531026.db2.gz NNMRMYHKRVSZCG-PWSUYJOCSA-N -1 1 346.391 -0.151 20 0 EBADMM CCn1nccc1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1C ZINC000965946740 724545072 /nfs/dbraw/zinc/54/50/72/724545072.db2.gz READEKNGWMZBPP-NEPJUHHUSA-N -1 1 347.423 -0.035 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CC[C@@H]2C)cnn1 ZINC000965957488 724547979 /nfs/dbraw/zinc/54/79/79/724547979.db2.gz DBFYPILIIOUKMC-GWCFXTLKSA-N -1 1 345.407 -0.153 20 0 EBADMM CCn1ccnc1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1C ZINC000965969037 724551311 /nfs/dbraw/zinc/55/13/11/724551311.db2.gz GFOXENIQUIVLCQ-NEPJUHHUSA-N -1 1 347.423 -0.035 20 0 EBADMM CCn1nncc1C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@H]1C ZINC000965981070 724554266 /nfs/dbraw/zinc/55/42/66/724554266.db2.gz SXNIIQCNLQAPRU-MNOVXSKESA-N -1 1 348.411 -0.640 20 0 EBADMM Cc1c[nH]nc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC[C@@H]1C ZINC000965986370 724555618 /nfs/dbraw/zinc/55/56/18/724555618.db2.gz YKEWTVPJFQDVEM-GXSJLCMTSA-N -1 1 333.396 -0.220 20 0 EBADMM CCCC(=O)N1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H](C)C1 ZINC000966067134 724563817 /nfs/dbraw/zinc/56/38/17/724563817.db2.gz MRNLOVCOYWWKIT-ONGXEEELSA-N -1 1 332.364 -0.206 20 0 EBADMM CC(=O)N[C@H]1CCCN(C(=O)CN2CC[C@@H](CNC(=O)[O-])C2)C1 ZINC000738544224 719419054 /nfs/dbraw/zinc/41/90/54/719419054.db2.gz XGWZUZZOVOKJAU-STQMWFEESA-N -1 1 326.397 -0.297 20 0 EBADMM Cc1[nH]nc(Cl)c1C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC000948682807 719537961 /nfs/dbraw/zinc/53/79/61/719537961.db2.gz GOEMEKCQVFAPMF-UHFFFAOYSA-N -1 1 339.787 -0.249 20 0 EBADMM CN(C(=O)CN1CCOCC1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954095285 719557168 /nfs/dbraw/zinc/55/71/68/719557168.db2.gz SBOSXWBRLYSTFC-UHFFFAOYSA-N -1 1 334.376 -0.598 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)C2CC(=O)NC(=O)C2)C1 ZINC000954123903 719568843 /nfs/dbraw/zinc/56/88/43/719568843.db2.gz CWRNSNUQAFDPIF-UHFFFAOYSA-N -1 1 346.343 -0.877 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)[C@@H]2CCN(C)C2=O)C1 ZINC000954128203 719571521 /nfs/dbraw/zinc/57/15/21/719571521.db2.gz XAQOBTCAPHBRKO-LLVKDONJSA-N -1 1 332.360 -0.452 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CN(C(=O)CN2C(=O)CCC2=O)C1 ZINC000954128265 719571790 /nfs/dbraw/zinc/57/17/90/719571790.db2.gz ZLDYKXWHCPIQGE-UHFFFAOYSA-N -1 1 346.343 -0.781 20 0 EBADMM C[C@H]1CN(C(=O)CN2CCOCC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC000966323977 724612786 /nfs/dbraw/zinc/61/27/86/724612786.db2.gz LQDFQODOKLUUPB-QWHCGFSZSA-N -1 1 348.403 -0.304 20 0 EBADMM CN(C(=O)C[C@H]1CCNC1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954463478 719730345 /nfs/dbraw/zinc/73/03/45/719730345.db2.gz IOBOCOYEQIZLAK-SNVBAGLBSA-N -1 1 332.360 -0.404 20 0 EBADMM C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)c1c[nH]c(=O)cn1 ZINC000966341624 724618229 /nfs/dbraw/zinc/61/82/29/724618229.db2.gz AJAMEMOVDGCHFW-KOLCDFICSA-N -1 1 343.343 -0.239 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1NC(=O)c1cc(=O)n(C)o1 ZINC000966343142 724618715 /nfs/dbraw/zinc/61/87/15/724618715.db2.gz CTLMSUPTIIYMGP-VHSXEESVSA-N -1 1 346.343 -0.031 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)CN1CN=NC1=O ZINC000966354705 724621646 /nfs/dbraw/zinc/62/16/46/724621646.db2.gz ZGCUNEWLPHVZQM-UWVGGRQHSA-N -1 1 346.347 -0.639 20 0 EBADMM Cc1cc(C(=O)N2CC(N(C)C(=O)c3cnc([O-])n(C)c3=O)C2)n[nH]1 ZINC000954951425 719978180 /nfs/dbraw/zinc/97/81/80/719978180.db2.gz VGBHDYFDDQRARR-UHFFFAOYSA-N -1 1 346.347 -0.886 20 0 EBADMM CN(C(=O)c1c[nH]c(=O)n1C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000954956020 719983475 /nfs/dbraw/zinc/98/34/75/719983475.db2.gz QJVOVLXPCYUDKJ-UHFFFAOYSA-N -1 1 331.332 -0.177 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)CCN1C(=O)C1CC1 ZINC000955464874 720179245 /nfs/dbraw/zinc/17/92/45/720179245.db2.gz LFFJJAIDXOYMQR-KOLCDFICSA-N -1 1 344.375 -0.063 20 0 EBADMM CCC(=O)N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)[C@H](C)C1 ZINC000955744719 720243250 /nfs/dbraw/zinc/24/32/50/720243250.db2.gz DKEKFMLVWBMEJV-ZJUUUORDSA-N -1 1 332.364 -0.063 20 0 EBADMM O=C(CN1CN=NC1=O)N1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000955975143 720312906 /nfs/dbraw/zinc/31/29/06/720312906.db2.gz AWONOSLUPLFGTL-JTQLQIEISA-N -1 1 346.347 -0.495 20 0 EBADMM Cn1ncc(C(=O)NC[C@@H]2CCCN2C(=O)c2ncccc2[O-])n1 ZINC000955979604 720315489 /nfs/dbraw/zinc/31/54/89/720315489.db2.gz QKVJVBPNGOJFKO-JTQLQIEISA-N -1 1 330.348 -0.050 20 0 EBADMM CN1CC[C@H](C(=O)NC[C@@H]2CCCN2C(=O)c2ncccc2[O-])C1=O ZINC000955979455 720315502 /nfs/dbraw/zinc/31/55/02/720315502.db2.gz KDVFFPNLXXHTJK-NWDGAFQWSA-N -1 1 346.387 -0.014 20 0 EBADMM Cn1cc(C(=O)NC[C@@H]2CCCN2C(=O)c2ncccc2[O-])nn1 ZINC000955979276 720315798 /nfs/dbraw/zinc/31/57/98/720315798.db2.gz DAYVKXIDSNGTEI-JTQLQIEISA-N -1 1 330.348 -0.050 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CCC[C@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC000956133416 720372359 /nfs/dbraw/zinc/37/23/59/720372359.db2.gz SNHZNITXZZMCKM-VIFPVBQESA-N -1 1 333.352 -0.115 20 0 EBADMM Cc1nc(C(=O)N[C@@]2(C)CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)C2)c[nH]1 ZINC000956770399 720487809 /nfs/dbraw/zinc/48/78/09/720487809.db2.gz RAJOOKQPHRTWQE-AWEZNQCLSA-N -1 1 349.351 -0.858 20 0 EBADMM C[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1NC(=O)C1(C)CC1 ZINC000966606610 724696451 /nfs/dbraw/zinc/69/64/51/724696451.db2.gz CKBDZASAHVCVHX-GXSJLCMTSA-N -1 1 344.375 -0.206 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CC[C@](C)(NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000956830938 722114757 /nfs/dbraw/zinc/11/47/57/722114757.db2.gz ZNUMUAKWMJQCEF-AWEZNQCLSA-N -1 1 333.352 -0.115 20 0 EBADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)CN2CCOCC2)C1 ZINC000956848706 722118982 /nfs/dbraw/zinc/11/89/82/722118982.db2.gz DWWSIRAPSCKUEK-QGZVFWFLSA-N -1 1 348.403 -0.160 20 0 EBADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)CN2CCOCC2)C1 ZINC000956848705 722119061 /nfs/dbraw/zinc/11/90/61/722119061.db2.gz DWWSIRAPSCKUEK-KRWDZBQOSA-N -1 1 348.403 -0.160 20 0 EBADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)Cn2cnnn2)C1 ZINC000956848689 722119066 /nfs/dbraw/zinc/11/90/66/722119066.db2.gz DPPKJFGZLJLVBG-AWEZNQCLSA-N -1 1 331.336 -0.805 20 0 EBADMM C[C@@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)Cn2nccn2)C1 ZINC000956849985 722119379 /nfs/dbraw/zinc/11/93/79/722119379.db2.gz CCPWDVKGGCFAOK-OAHLLOKOSA-N -1 1 330.348 -0.200 20 0 EBADMM CN1CCO[C@@H](C(=O)N2CC[C@](C)(NC(=O)c3ncccc3[O-])C2)C1 ZINC000956849565 722119437 /nfs/dbraw/zinc/11/94/37/722119437.db2.gz UNWWPNQOHPMHKS-DYVFJYSZSA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)CS(C)(=O)=O)C1 ZINC000956849738 722119457 /nfs/dbraw/zinc/11/94/57/722119457.db2.gz XQEWJRHMNNWCMH-AWEZNQCLSA-N -1 1 341.389 -0.447 20 0 EBADMM CC(=O)N1CC(C(=O)N2CC[C@@](C)(NC(=O)c3ncccc3[O-])C2)C1 ZINC000956849063 722119546 /nfs/dbraw/zinc/11/95/46/722119546.db2.gz KHUZWMFAPMHIEQ-QGZVFWFLSA-N -1 1 346.387 -0.014 20 0 EBADMM C[C@]1(NC(=O)c2ncccc2[O-])CCN(C(=O)Cn2ncnn2)C1 ZINC000956850337 722119554 /nfs/dbraw/zinc/11/95/54/722119554.db2.gz KOMVCTWKJFTYTD-AWEZNQCLSA-N -1 1 331.336 -0.805 20 0 EBADMM CCN(C(=O)c1cnc(C)nc1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000956971898 722140856 /nfs/dbraw/zinc/14/08/56/722140856.db2.gz BKNQJRRLQHDJIT-UHFFFAOYSA-N -1 1 345.407 -0.138 20 0 EBADMM CCc1cc(C(=O)N(CC)C2CN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC000957036352 722146674 /nfs/dbraw/zinc/14/66/74/722146674.db2.gz ASIIKAFRFFDDMF-UHFFFAOYSA-N -1 1 347.423 -0.249 20 0 EBADMM CC(C)c1cc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n(C)n1 ZINC000957037509 722146737 /nfs/dbraw/zinc/14/67/37/722146737.db2.gz ZJMMNZXOIPWGRR-UHFFFAOYSA-N -1 1 347.423 -0.077 20 0 EBADMM CC(C)C(=O)N[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@H]1C ZINC000957053247 722147632 /nfs/dbraw/zinc/14/76/32/722147632.db2.gz AOQSSEMHDFRXKI-MWLCHTKSSA-N -1 1 332.364 -0.350 20 0 EBADMM O=C([C@H]1CCc2[nH]cnc2C1)N1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC000957104597 722157651 /nfs/dbraw/zinc/15/76/51/722157651.db2.gz CJGZJVLDUDMNBA-JTQLQIEISA-N -1 1 331.380 -0.317 20 0 EBADMM C[C@H](CC(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1)n1cccn1 ZINC000957115916 722158877 /nfs/dbraw/zinc/15/88/77/722158877.db2.gz XXSZYIRWEWMRFP-GFCCVEGCSA-N -1 1 333.396 -0.400 20 0 EBADMM C[C@@]1(NC(=O)[C@H]2CCNC2=O)CCN(C(=O)c2ncccc2[O-])C1 ZINC000957269601 722182028 /nfs/dbraw/zinc/18/20/28/722182028.db2.gz JKFRTFATZLKIOP-MGPLVRAMSA-N -1 1 332.360 -0.356 20 0 EBADMM Cn1ccnc1CN1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000957314596 722193247 /nfs/dbraw/zinc/19/32/47/722193247.db2.gz KZKRWQWPEXFVHN-UHFFFAOYSA-N -1 1 342.363 -0.891 20 0 EBADMM Cc1ncc(CN2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC2)o1 ZINC000957319453 722195317 /nfs/dbraw/zinc/19/53/17/722195317.db2.gz OASNQSVQAPUUHK-UHFFFAOYSA-N -1 1 343.347 -0.328 20 0 EBADMM Cc1nc([C@@H](C)N2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)CC2)n[nH]1 ZINC000957473651 722215624 /nfs/dbraw/zinc/21/56/24/722215624.db2.gz CDDAXUVAAUVSIJ-MRVPVSSYSA-N -1 1 336.356 -0.979 20 0 EBADMM CO[C@@H](C(=O)N1CCN(Cc2nc(=O)n(C)[n-]2)CC1)C1CCCC1 ZINC000957485283 722216684 /nfs/dbraw/zinc/21/66/84/722216684.db2.gz NEAIRRKYIARAQH-CQSZACIVSA-N -1 1 337.424 -0.042 20 0 EBADMM O=C(c1ccc2[nH]nnc2c1)N1CCN(Cc2n[nH]c(=O)[n-]2)CC1 ZINC000957619829 722228624 /nfs/dbraw/zinc/22/86/24/722228624.db2.gz LFXFTXOHZGBXBK-UHFFFAOYSA-N -1 1 328.336 -0.260 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3ncc4n3CCCC4)CC2)nc1=O ZINC000957708879 722234676 /nfs/dbraw/zinc/23/46/76/722234676.db2.gz OBCRQGJMEIPNJA-UHFFFAOYSA-N -1 1 345.407 -0.401 20 0 EBADMM CCN(Cc1n[nH]c(=O)[n-]1)CC1CCN(C(=O)Cn2cncn2)CC1 ZINC000957774514 722240198 /nfs/dbraw/zinc/24/01/98/722240198.db2.gz INKQYIIZBVYGAZ-UHFFFAOYSA-N -1 1 348.411 -0.138 20 0 EBADMM O=C(NC[C@@H]1CN(Cc2cc(=O)n3[n-]ccc3n2)C[C@H]1O)C1CC1 ZINC000957783182 722241129 /nfs/dbraw/zinc/24/11/29/722241129.db2.gz DAFDWVIVURJELB-DGCLKSJQSA-N -1 1 331.376 -0.659 20 0 EBADMM Cc1ccccc1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC000957833479 722246547 /nfs/dbraw/zinc/24/65/47/722246547.db2.gz BQPZINQYSMEMEE-TZMCWYRMSA-N -1 1 345.403 -0.360 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@@H]2CN(Cc3cnn(C)c3)C[C@@H]2O)c1[O-] ZINC000957974324 722279833 /nfs/dbraw/zinc/27/98/33/722279833.db2.gz BCDBHQDDDGPZEX-NEPJUHHUSA-N -1 1 334.380 -0.620 20 0 EBADMM CCc1nc(CN2C[C@@H](CNC(=O)c3ncccc3[O-])[C@H](O)C2)no1 ZINC000957989392 722283333 /nfs/dbraw/zinc/28/33/33/722283333.db2.gz CLEQQOVXSZFFRV-ZYHUDNBSSA-N -1 1 347.375 -0.045 20 0 EBADMM O=C(NC[C@H]1CN(Cc2csnn2)C[C@@H]1O)c1ncccc1[O-] ZINC000957989690 722283472 /nfs/dbraw/zinc/28/34/72/722283472.db2.gz HKZJULPQRFMATG-CABZTGNLSA-N -1 1 335.389 -0.139 20 0 EBADMM O=C(NC[C@@H]1C[N@@H+](Cc2cncc(F)c2)C[C@@H]1O)c1cnc[nH]c1=O ZINC000958167830 722317237 /nfs/dbraw/zinc/31/72/37/722317237.db2.gz QMZBDYGOXUJVMM-RISCZKNCSA-N -1 1 347.350 -0.061 20 0 EBADMM CCc1ccc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)o1 ZINC000958186655 722321067 /nfs/dbraw/zinc/32/10/67/722321067.db2.gz FHMSUUQKUQKQLL-CMPLNLGQSA-N -1 1 349.391 -0.514 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ccccc2O)nc1=O ZINC000958240967 722332588 /nfs/dbraw/zinc/33/25/88/722332588.db2.gz JWBMAYACXWGRPB-YABSGUDNSA-N -1 1 329.360 -0.326 20 0 EBADMM Cn1[n-]c(CN2C[C@H](O)[C@@H](CNC(=O)C3CC4(CC4)C3)C2)nc1=O ZINC000958400476 722360592 /nfs/dbraw/zinc/36/05/92/722360592.db2.gz VGKKMMANJSHAFA-RYUDHWBXSA-N -1 1 335.408 -0.793 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2cc[nH]c(=O)c2)nc1=O ZINC000958517675 722385191 /nfs/dbraw/zinc/38/51/91/722385191.db2.gz CIEPRWBGDBEUGY-IWIIMEHWSA-N -1 1 330.348 -0.931 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C[C@@H]2CCCCO2)nc1=O ZINC000958555621 722390339 /nfs/dbraw/zinc/39/03/39/722390339.db2.gz LJGQSIDNTXARHM-UUIJZJDISA-N -1 1 335.408 -0.386 20 0 EBADMM CN(C(=O)[C@H]1CCCCO1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958825070 722600149 /nfs/dbraw/zinc/60/01/49/722600149.db2.gz SQHHZHYRUSNDOI-FPMFFAJLSA-N -1 1 349.387 0.000 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)c2cnsn2)C[C@@H]1O ZINC000958830052 722603903 /nfs/dbraw/zinc/60/39/03/722603903.db2.gz CCQLNOZVKQGGNI-ONGXEEELSA-N -1 1 349.372 -0.404 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)C[C@@H]2CCOC2)C[C@@H]1O ZINC000958830355 722604256 /nfs/dbraw/zinc/60/42/56/722604256.db2.gz QWZROHVRWVQHEY-OBJOEFQTSA-N -1 1 349.387 -0.143 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)c2cnco2)C[C@@H]1O ZINC000958830217 722604269 /nfs/dbraw/zinc/60/42/69/722604269.db2.gz KRDCPZTYOIRJBH-ONGXEEELSA-N -1 1 332.316 -0.267 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(C(=O)[C@@]2(C)CCOC2)C[C@@H]1O ZINC000958830544 722604448 /nfs/dbraw/zinc/60/44/48/722604448.db2.gz YWOLASQSFIQWTG-BNLOLNQZSA-N -1 1 349.387 -0.143 20 0 EBADMM CN(C(=O)c1ncc[nH]1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958841629 722612037 /nfs/dbraw/zinc/61/20/37/722612037.db2.gz QCPGJMODICPWGJ-ONGXEEELSA-N -1 1 331.332 -0.532 20 0 EBADMM CN(C(=O)c1ccn(C)n1)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958850672 722618048 /nfs/dbraw/zinc/61/80/48/722618048.db2.gz KPHDFBGSJYVQKU-AAEUAGOBSA-N -1 1 345.359 -0.522 20 0 EBADMM O=C(c1cc2c([nH]1)CCOC2)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001018955182 728753942 /nfs/dbraw/zinc/75/39/42/728753942.db2.gz BTMMTMSHYXWYPP-JTQLQIEISA-N -1 1 332.364 -0.085 20 0 EBADMM Cc1n[nH]cc1C(=O)N(C)[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000958908108 722649418 /nfs/dbraw/zinc/64/94/18/722649418.db2.gz CBLQJHUMTHPHDO-AAEUAGOBSA-N -1 1 345.359 -0.224 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])[C@@H]1CCN(C(=O)c2nnn(C)n2)C1 ZINC000959233614 722701663 /nfs/dbraw/zinc/70/16/63/722701663.db2.gz LUUPTXZSEDPYQZ-VHSXEESVSA-N -1 1 345.363 -0.409 20 0 EBADMM C[C@@H]1CN(C(=O)C2CC2)C[C@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000959306915 722710595 /nfs/dbraw/zinc/71/05/95/722710595.db2.gz VFAOGESWNUJEFG-MWLCHTKSSA-N -1 1 344.375 -0.348 20 0 EBADMM C[C@@H]1CN(C(=O)Cn2cnnn2)C[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000959369768 722713686 /nfs/dbraw/zinc/71/36/86/722713686.db2.gz OLDPKDIJEFEVLY-MNOVXSKESA-N -1 1 345.363 -0.702 20 0 EBADMM O=C([C@H]1COCCO1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959890972 722771578 /nfs/dbraw/zinc/77/15/78/722771578.db2.gz OCTBUCNYRICBCL-WDEREUQCSA-N -1 1 325.369 -0.604 20 0 EBADMM O=C(CN1CCOCC1)N1CCCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC000959966245 722778555 /nfs/dbraw/zinc/77/85/55/722778555.db2.gz KJHCDWJIELVZAT-LBPRGKRZSA-N -1 1 338.412 -0.687 20 0 EBADMM O=C(c1ncccc1O)N1C[C@H]2OCCN(C(=O)c3ccn[nH]3)[C@H]2C1 ZINC000960062104 722786753 /nfs/dbraw/zinc/78/67/53/722786753.db2.gz RIJVYVBOXDBLMS-WCQYABFASA-N -1 1 343.343 -0.124 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@H]2OCCN(C(=O)c3cc[nH]n3)[C@H]2C1 ZINC000960062104 722786755 /nfs/dbraw/zinc/78/67/55/722786755.db2.gz RIJVYVBOXDBLMS-WCQYABFASA-N -1 1 343.343 -0.124 20 0 EBADMM O=C(c1ncccc1[O-])N1C[C@H]2OCCN(C(=O)c3ccn[nH]3)[C@H]2C1 ZINC000960062104 722786757 /nfs/dbraw/zinc/78/67/57/722786757.db2.gz RIJVYVBOXDBLMS-WCQYABFASA-N -1 1 343.343 -0.124 20 0 EBADMM Cn1cc(C(=O)N2CC3(C2)CCN(C(=O)c2ncccc2[O-])C3)nn1 ZINC001018993694 728767555 /nfs/dbraw/zinc/76/75/55/728767555.db2.gz MWEXZEWWDWCCQP-UHFFFAOYSA-N -1 1 342.359 -0.096 20 0 EBADMM CO[C@@H](C)C(=O)N1C[C@H]2OCCN(C(=O)c3ncccc3[O-])[C@H]2C1 ZINC000960276881 722813178 /nfs/dbraw/zinc/81/31/78/722813178.db2.gz KNEKLBJTCOUYHM-GMXVVIOVSA-N -1 1 335.360 -0.126 20 0 EBADMM Cc1nn(C)cc1C(=O)N1CCC[C@@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC000960497660 722834202 /nfs/dbraw/zinc/83/42/02/722834202.db2.gz ZUOAQVJHZNJVQS-GFCCVEGCSA-N -1 1 347.423 -0.113 20 0 EBADMM CN(Cc1nc(=O)n(C)[nH]1)C[C@@H]1CCCN1C(=O)Cc1ccn[nH]1 ZINC000960515897 722835660 /nfs/dbraw/zinc/83/56/60/722835660.db2.gz SMQPKBBQZGWWGS-LBPRGKRZSA-N -1 1 333.396 -0.503 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN1C(=O)CCc1nc[nH]n1 ZINC000960536105 722837322 /nfs/dbraw/zinc/83/73/22/722837322.db2.gz WLVPNWTUOQNEFN-SNVBAGLBSA-N -1 1 334.384 -0.316 20 0 EBADMM Cc1[nH]nc(C(=O)N2CCC[C@@H]2CN(C)[C@@H]2CCC(=O)NC2=O)c1[O-] ZINC000960551098 722838241 /nfs/dbraw/zinc/83/82/41/722838241.db2.gz AQBYXRHQKZUBOX-GHMZBOCLSA-N -1 1 349.391 -0.235 20 0 EBADMM Cc1[nH]nc(C(=O)N2CCC[C@H]2CN(C)[C@@H]2CCC(=O)NC2=O)c1[O-] ZINC000960551112 722838292 /nfs/dbraw/zinc/83/82/92/722838292.db2.gz AQBYXRHQKZUBOX-WDEREUQCSA-N -1 1 349.391 -0.235 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)CCc1ncc[nH]1 ZINC000960592699 722842340 /nfs/dbraw/zinc/84/23/40/722842340.db2.gz ANKGOPKPHXIQGN-GFCCVEGCSA-N -1 1 347.423 -0.113 20 0 EBADMM Cc1cn(C)nc1C(=O)N1CCC[C@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC000960631119 722846591 /nfs/dbraw/zinc/84/65/91/722846591.db2.gz ZZVDRVHIYZBRFM-LBPRGKRZSA-N -1 1 347.423 -0.113 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)[C@]12C[C@H]1COC2 ZINC000960642043 722847718 /nfs/dbraw/zinc/84/77/18/722847718.db2.gz GSEGNWPUJSKHEK-MKBNYLNASA-N -1 1 335.408 -0.432 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)c1cn[nH]c(=O)c1 ZINC000960692781 722853838 /nfs/dbraw/zinc/85/38/38/722853838.db2.gz BQFMPRJGIIJPQM-LLVKDONJSA-N -1 1 347.379 -0.659 20 0 EBADMM Cc1[nH]c(=O)[nH]c1C(=O)N1CCC[C@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC000960695779 722853975 /nfs/dbraw/zinc/85/39/75/722853975.db2.gz PIEBTFYSXJGOKX-JTQLQIEISA-N -1 1 349.395 -0.418 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCN1C(=O)c1cncn1C ZINC000960741191 722859161 /nfs/dbraw/zinc/85/91/61/722859161.db2.gz UNPVUTAUOWFPGQ-NSHDSACASA-N -1 1 333.396 -0.422 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H]1CCCN1C(=O)c1c[nH]c(=O)n1C ZINC000960801469 722866541 /nfs/dbraw/zinc/86/65/41/722866541.db2.gz LUSOELAHAAQNCI-SNVBAGLBSA-N -1 1 349.395 -0.716 20 0 EBADMM Cc1ncoc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC000960805780 722867024 /nfs/dbraw/zinc/86/70/24/722867024.db2.gz CZCQQPBKTJKBEY-MBTKJCJQSA-N -1 1 332.320 -0.561 20 0 EBADMM Cc1nc[nH]c1CC(=O)N1CCC[C@@H]1CN(C)Cc1nc(=O)n(C)[n-]1 ZINC000960993600 722885989 /nfs/dbraw/zinc/88/59/89/722885989.db2.gz XLUOLUYEIIPGPZ-GFCCVEGCSA-N -1 1 347.423 -0.195 20 0 EBADMM Cc1nc(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)c(=O)[nH]c1C ZINC001019024753 728776062 /nfs/dbraw/zinc/77/60/62/728776062.db2.gz PEJRRZPSDVMMLA-VIFPVBQESA-N -1 1 333.352 -0.785 20 0 EBADMM NC(=O)C1(C(=O)N2C[C@@H]3CN(C(=O)c4ncccc4[O-])C[C@@H]3C2)CC1 ZINC000961427258 723017558 /nfs/dbraw/zinc/01/75/58/723017558.db2.gz NHTFPYSWVUHODJ-PHIMTYICSA-N -1 1 344.371 -0.417 20 0 EBADMM Cc1ncc(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2cnc([O-])n(C)c2=O)o1 ZINC000961465191 723023224 /nfs/dbraw/zinc/02/32/24/723023224.db2.gz FMRIHIIOPSTCAT-ITGUQSILSA-N -1 1 345.359 -0.357 20 0 EBADMM Cn1cncc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc([O-])n(C)c1=O ZINC000961473453 723024963 /nfs/dbraw/zinc/02/49/63/723024963.db2.gz YNEOCMRGNALJJW-ITGUQSILSA-N -1 1 344.375 -0.920 20 0 EBADMM Cc1cnn(CC(=O)N[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2O)c1 ZINC000961787340 723082540 /nfs/dbraw/zinc/08/25/40/723082540.db2.gz JFJUDDIQNKHJOY-YPMHNXCESA-N -1 1 345.359 -0.706 20 0 EBADMM O=C([C@H]1CCc2nccn2C1)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001019061112 728786822 /nfs/dbraw/zinc/78/68/22/728786822.db2.gz RJNCPKVAHSXRMA-QWRGUYRKSA-N -1 1 331.380 -0.340 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)[C@@H]1CCCOC1 ZINC000961861150 723102172 /nfs/dbraw/zinc/10/21/72/723102172.db2.gz BVRGCCBHECGUFI-WZRBSPASSA-N -1 1 335.360 -0.485 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2cc(C3CC3)n[nH]2)nc1=O ZINC000961885853 723107387 /nfs/dbraw/zinc/10/73/87/723107387.db2.gz VRKOQMDDYAIWTG-MSRIBSCDSA-N -1 1 343.391 -0.431 20 0 EBADMM O=C(Cc1ccon1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000961980168 723138496 /nfs/dbraw/zinc/13/84/96/723138496.db2.gz OIBDQQBPQPKIFB-PWSUYJOCSA-N -1 1 332.316 -0.681 20 0 EBADMM CCc1nc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)c(C)o1 ZINC000962016614 723148225 /nfs/dbraw/zinc/14/82/25/723148225.db2.gz LGJIXSRMQBGQTO-MSRIBSCDSA-N -1 1 346.391 -0.173 20 0 EBADMM CC[C@@H](F)CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cn1[n-]cc2c(=O)ncnc1-2 ZINC000962066999 723164226 /nfs/dbraw/zinc/16/42/26/723164226.db2.gz LHRYIYSVLIKLAO-WUWHUORYSA-N -1 1 348.382 -0.134 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2cnoc2C2CC2)nc1=O ZINC000962208255 723195333 /nfs/dbraw/zinc/19/53/33/723195333.db2.gz UJOQUENKWNGGJT-PJXYFTJBSA-N -1 1 344.375 -0.166 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CN(C(=O)CCc3cnc[nH]3)C[C@@H]2O)c1[O-] ZINC000962318325 723225454 /nfs/dbraw/zinc/22/54/54/723225454.db2.gz HUPGUZGFLOROFN-MNOVXSKESA-N -1 1 348.363 -0.919 20 0 EBADMM Cc1cc(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)ncn1 ZINC000962434128 723251274 /nfs/dbraw/zinc/25/12/74/723251274.db2.gz DRVWVSIDNOKMNW-YPMHNXCESA-N -1 1 343.343 -0.499 20 0 EBADMM Cc1cc(C(=O)N2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)cnn1 ZINC000962435342 723252272 /nfs/dbraw/zinc/25/22/72/723252272.db2.gz QAHRBCJVDKPTBT-YPMHNXCESA-N -1 1 343.343 -0.499 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)C2CCC(O)CC2)C[C@@H]1O)c1ncccc1[O-] ZINC000962435903 723252576 /nfs/dbraw/zinc/25/25/76/723252576.db2.gz WXYBZKGWMMRZJV-YZVRNYIASA-N -1 1 349.387 -0.360 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ccnnc2)C[C@@H]1O)c1ncccc1[O-] ZINC000962436964 723252975 /nfs/dbraw/zinc/25/29/75/723252975.db2.gz MQJRURLNAHQAQB-PWSUYJOCSA-N -1 1 329.316 -0.808 20 0 EBADMM O=C(Cc1cncs1)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962437128 723253044 /nfs/dbraw/zinc/25/30/44/723253044.db2.gz NLIBIJOKKATDOS-PWSUYJOCSA-N -1 1 348.384 -0.212 20 0 EBADMM Cc1conc1C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962437690 723253541 /nfs/dbraw/zinc/25/35/41/723253541.db2.gz XSQIGTZIYNKFSU-KOLCDFICSA-N -1 1 332.316 -0.301 20 0 EBADMM Cc1nonc1C(=O)N1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000962439857 723254112 /nfs/dbraw/zinc/25/41/12/723254112.db2.gz FJQFVXRMGRCBFK-SCZZXKLOSA-N -1 1 333.304 -0.906 20 0 EBADMM CCc1noc(C)c1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000962547819 723281647 /nfs/dbraw/zinc/28/16/47/723281647.db2.gz QLVCLEKOOPIOHF-MSRIBSCDSA-N -1 1 346.391 -0.173 20 0 EBADMM CCc1ncncc1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC000962598151 723292709 /nfs/dbraw/zinc/29/27/09/723292709.db2.gz NXPAPHYMKINCDQ-YABSGUDNSA-N -1 1 343.391 -0.679 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O)[C@H]1C[C@@H]1C(F)F ZINC000962624427 723297999 /nfs/dbraw/zinc/29/79/99/723297999.db2.gz BUSWCVSTKXTXSF-CKEKPRIKSA-N -1 1 341.314 -0.010 20 0 EBADMM CCc1cc(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)nn1C ZINC000963076214 723372953 /nfs/dbraw/zinc/37/29/53/723372953.db2.gz AFJNFTHPLLWNRZ-YABSGUDNSA-N -1 1 345.407 -0.736 20 0 EBADMM Cc1nc2n(n1)C[C@H](C(=O)N1CC[C@H](NCc3n[nH]c(=O)[n-]3)C1)CC2 ZINC001019178848 728818138 /nfs/dbraw/zinc/81/81/38/728818138.db2.gz DQHNBBZRIOTRQV-MNOVXSKESA-N -1 1 346.395 -0.637 20 0 EBADMM CC(=O)N1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000963395538 723417307 /nfs/dbraw/zinc/41/73/07/723417307.db2.gz IJYVZSOIDLYQNJ-NWDGAFQWSA-N -1 1 330.348 -0.357 20 0 EBADMM Cc1oncc1CN[C@H]1CCN(C(=O)c2cnc([O-])n(C)c2=O)C1 ZINC001019227847 728831307 /nfs/dbraw/zinc/83/13/07/728831307.db2.gz WTMPTYSIVWQQKW-NSHDSACASA-N -1 1 333.348 -0.213 20 0 EBADMM Cn1cnc(C(=O)NC[C@H]2COCCN2C(=O)c2ncccc2[O-])c1 ZINC000964151418 723838273 /nfs/dbraw/zinc/83/82/73/723838273.db2.gz UGABGZKNYIXJMT-NSHDSACASA-N -1 1 345.359 -0.208 20 0 EBADMM O=C(Cc1cnc[nH]1)N1CCOC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000964152139 723838944 /nfs/dbraw/zinc/83/89/44/723838944.db2.gz CSRHWRUHXHWXPZ-GFCCVEGCSA-N -1 1 345.359 -0.290 20 0 EBADMM O=C(Cn1cncn1)N1CCOC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000964152222 723838982 /nfs/dbraw/zinc/83/89/82/723838982.db2.gz DJNSWXAZIFKAHQ-NSHDSACASA-N -1 1 346.347 -0.964 20 0 EBADMM CC(C)[C@@H](O)C(=O)N1CCOC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC000964152845 723839501 /nfs/dbraw/zinc/83/95/01/723839501.db2.gz FJRHOMKYERAJEI-SMDDNHRTSA-N -1 1 337.376 -0.239 20 0 EBADMM O=C(Cn1nccn1)N1CCOC[C@H]1CNC(=O)c1ncccc1[O-] ZINC000964157238 723843009 /nfs/dbraw/zinc/84/30/09/723843009.db2.gz ZFPHQWGHQRHRJS-LLVKDONJSA-N -1 1 346.347 -0.964 20 0 EBADMM Cn1nnc(C(=O)N2CC3(C2)CCN(C(=O)c2ncccc2[O-])C3)n1 ZINC001019295298 728850937 /nfs/dbraw/zinc/85/09/37/728850937.db2.gz ANXLZTMMALLLRT-UHFFFAOYSA-N -1 1 343.347 -0.701 20 0 EBADMM CCCC(=O)N1CCOC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC000964263225 723925030 /nfs/dbraw/zinc/92/50/30/723925030.db2.gz FYFUPPSYVIPCHV-JTQLQIEISA-N -1 1 348.363 -0.825 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)C1CCOCC1 ZINC000964627559 724044367 /nfs/dbraw/zinc/04/43/67/724044367.db2.gz DJADFUGNWOREEN-YPMHNXCESA-N -1 1 337.424 -0.138 20 0 EBADMM Cn1nnc(C(=O)N2CCC[C@@H](NC(=O)c3ncccc3[O-])CC2)n1 ZINC000964850107 724066229 /nfs/dbraw/zinc/06/62/29/724066229.db2.gz QCZAWANTYLAPMT-SNVBAGLBSA-N -1 1 345.363 -0.265 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1NC(=O)c1cc[n+]([O-])cc1 ZINC000964867813 724068510 /nfs/dbraw/zinc/06/85/10/724068510.db2.gz BGYBBPBNJNFBKG-YPMHNXCESA-N -1 1 346.391 -0.618 20 0 EBADMM NC(=O)C(=O)N1CCC[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC000964908586 724077253 /nfs/dbraw/zinc/07/72/53/724077253.db2.gz ZEBBGQGXLFEKNH-SNVBAGLBSA-N -1 1 347.375 -0.344 20 0 EBADMM Cn1cc([C@@H]2C[C@H]2C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001019415377 728881209 /nfs/dbraw/zinc/88/12/09/728881209.db2.gz CWWULQLMKNXEQT-SDDRHHMPSA-N -1 1 331.380 -0.262 20 0 EBADMM O=C(Cn1cncn1)N1CC2(C1)CCN(C(=O)c1ncccc1[O-])C2 ZINC001019461675 728892237 /nfs/dbraw/zinc/89/22/37/728892237.db2.gz CPEDYJRDYAXFJP-UHFFFAOYSA-N -1 1 342.359 -0.247 20 0 EBADMM C[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1nccnc1N ZINC000965685811 724365759 /nfs/dbraw/zinc/36/57/59/724365759.db2.gz CBNLZNUIBOORCB-NXEZZACHSA-N -1 1 346.395 -0.879 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)CN2CN=NC2=O)C1 ZINC000967902208 724928025 /nfs/dbraw/zinc/92/80/25/724928025.db2.gz VMZBOVMDGULZJW-UHFFFAOYSA-N -1 1 346.347 -0.543 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)C[C@H]2CCNC2=O)C1 ZINC000967906383 724928647 /nfs/dbraw/zinc/92/86/47/724928647.db2.gz GJZOEVUOXCHDSI-LLVKDONJSA-N -1 1 346.387 -0.014 20 0 EBADMM CCCC(=O)N1CC(N(CC)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000968155629 724970894 /nfs/dbraw/zinc/97/08/94/724970894.db2.gz YECMPXUMPXRTQE-UHFFFAOYSA-N -1 1 332.364 -0.109 20 0 EBADMM CCN(C(=O)[C@H]1CC[C@@H]1C(N)=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC000968204877 724978292 /nfs/dbraw/zinc/97/82/92/724978292.db2.gz IALOXPVXCXVHDR-RYUDHWBXSA-N -1 1 346.387 -0.028 20 0 EBADMM COc1cc(C)cnc1C(=O)N1C[C@@H](NC(=O)c2cnn[nH]2)[C@@H](O)C1 ZINC000968707262 725106312 /nfs/dbraw/zinc/10/63/12/725106312.db2.gz ILLCIBAKYHAUAB-MNOVXSKESA-N -1 1 346.347 -0.868 20 0 EBADMM Cn1ncc2cc(C(=O)N3CC[C@H](NCc4n[nH]c(=O)[n-]4)C3)cnc21 ZINC001019569783 728923935 /nfs/dbraw/zinc/92/39/35/728923935.db2.gz WEYQIWAWZGGFIK-NSHDSACASA-N -1 1 342.363 -0.204 20 0 EBADMM CC(C)(C)n1nnc(CC(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000968777218 725113984 /nfs/dbraw/zinc/11/39/84/725113984.db2.gz ZGIGLWMQYOHWNN-SECBINFHSA-N -1 1 349.399 -0.815 20 0 EBADMM NC(=O)C1(C(=O)N2C[C@H]3CC[C@@H](C2)N3C(=O)c2ncccc2[O-])CC1 ZINC000968886569 725125632 /nfs/dbraw/zinc/12/56/32/725125632.db2.gz RGPLOPFRDBXOCR-PHIMTYICSA-N -1 1 344.371 -0.132 20 0 EBADMM Cn1ccc(CN[C@@H]2CCN(C(=O)CCc3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC000969184684 725159401 /nfs/dbraw/zinc/15/94/01/725159401.db2.gz SJMDNKOFKUJWRZ-LLVKDONJSA-N -1 1 347.379 -0.660 20 0 EBADMM O=C(c1ncnc2[nH]ccc21)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969207583 725161633 /nfs/dbraw/zinc/16/16/33/725161633.db2.gz IBAZFBNZARSDGT-MRVPVSSYSA-N -1 1 328.336 -0.263 20 0 EBADMM COc1cc(C(=O)N2CC[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cc(OC)n1 ZINC000969210491 725161960 /nfs/dbraw/zinc/16/19/60/725161960.db2.gz YCLJTUYZHRXFHE-SNVBAGLBSA-N -1 1 348.363 -0.073 20 0 EBADMM Cc1nnccc1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000969223357 725163034 /nfs/dbraw/zinc/16/30/34/725163034.db2.gz GCNCLEXFAIENQA-YPMHNXCESA-N -1 1 343.343 -0.499 20 0 EBADMM Cc1noc(C)c1C(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969272712 725167478 /nfs/dbraw/zinc/16/74/78/725167478.db2.gz NZHFRCOBVJDBBB-MRVPVSSYSA-N -1 1 334.380 -0.036 20 0 EBADMM COc1ccnc(OC)c1C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969308864 725169372 /nfs/dbraw/zinc/16/93/72/725169372.db2.gz KZXTVCVRGUUFBP-SECBINFHSA-N -1 1 348.363 -0.073 20 0 EBADMM CCc1cc(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)[nH]n1 ZINC000969325106 725170683 /nfs/dbraw/zinc/17/06/83/725170683.db2.gz YOJBKXAOWMYVIS-VIFPVBQESA-N -1 1 333.396 -0.356 20 0 EBADMM Cn1nnc2cc(C(=O)N3CC[C@@H](NCc4n[nH]c(=O)[n-]4)C3)cnc21 ZINC000969367877 725174742 /nfs/dbraw/zinc/17/47/42/725174742.db2.gz LAKWVTUVTLTXQY-SECBINFHSA-N -1 1 343.351 -0.809 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(CCS(C)(=O)=O)C1 ZINC000969545845 725191437 /nfs/dbraw/zinc/19/14/37/725191437.db2.gz FQCSQCZVFQZOLF-JTQLQIEISA-N -1 1 327.406 -0.118 20 0 EBADMM CCn1ccc(CN[C@@H]2CCN(C(=O)c3cnc([O-])n(C)c3=O)C2)n1 ZINC000969553393 725192406 /nfs/dbraw/zinc/19/24/06/725192406.db2.gz SZWKMURNWNOPHS-GFCCVEGCSA-N -1 1 346.391 -0.293 20 0 EBADMM Cc1nccnc1CN[C@@H]1CCN(C(=O)c2cnc([O-])n(C)c2=O)C1 ZINC000969553320 725192442 /nfs/dbraw/zinc/19/24/42/725192442.db2.gz PBEUVUCKEBSUBZ-LLVKDONJSA-N -1 1 344.375 -0.411 20 0 EBADMM CC[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000969611147 725197238 /nfs/dbraw/zinc/19/72/38/725197238.db2.gz YUFOOFQFQXTBKF-RFHZTLPTSA-N -1 1 349.387 -0.096 20 0 EBADMM Cc1cn(C)nc1C(=O)N[C@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000969654621 725200461 /nfs/dbraw/zinc/20/04/61/725200461.db2.gz SBXVIBREUYOGBZ-SNVBAGLBSA-N -1 1 333.396 -0.599 20 0 EBADMM O=C([C@H]1CCCc2nn[nH]c21)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000969740401 725208687 /nfs/dbraw/zinc/20/86/87/725208687.db2.gz LAPIDPFQKRDYQS-BDAKNGLRSA-N -1 1 332.368 -0.561 20 0 EBADMM C[C@H](NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)C1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC000969887736 725225661 /nfs/dbraw/zinc/22/56/61/725225661.db2.gz LGQFDEWUGGHVGS-JMJZKYOTSA-N -1 1 331.380 -0.026 20 0 EBADMM O=C(Cc1cnoc1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000969905137 725226893 /nfs/dbraw/zinc/22/68/93/725226893.db2.gz MVHXMNRGRLAYRL-PWSUYJOCSA-N -1 1 332.316 -0.681 20 0 EBADMM CCc1c(C(=O)N[C@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC000970044082 725242847 /nfs/dbraw/zinc/24/28/47/725242847.db2.gz JNEVGYQGYHFELD-SNVBAGLBSA-N -1 1 347.423 -0.345 20 0 EBADMM Cc1nc([C@H](C)N2CC([C@H](C)NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC000970059355 725244768 /nfs/dbraw/zinc/24/47/68/725244768.db2.gz NNNZZHJORIFWKI-BQBZGAKWSA-N -1 1 348.367 -0.479 20 0 EBADMM Cc1nonc1CC(=O)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000970259360 725269526 /nfs/dbraw/zinc/26/95/26/725269526.db2.gz VVZSPFHMXHCDOU-PWSUYJOCSA-N -1 1 347.331 -0.977 20 0 EBADMM CC(C)(C(=O)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)N1CCOCC1 ZINC000970272946 725271838 /nfs/dbraw/zinc/27/18/38/725271838.db2.gz MJYQRGOPPXNQAX-LLVKDONJSA-N -1 1 338.412 -0.688 20 0 EBADMM Cc1noc(CN[C@@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)n1 ZINC000970277305 725272651 /nfs/dbraw/zinc/27/26/51/725272651.db2.gz CCNRZVFEEZNCTE-LLVKDONJSA-N -1 1 348.363 -0.991 20 0 EBADMM C[C@H](NC(=O)c1csc(=O)[nH]1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970308217 725276431 /nfs/dbraw/zinc/27/64/31/725276431.db2.gz BYVLARSPJJRJOJ-ZETCQYMHSA-N -1 1 338.393 -0.479 20 0 EBADMM O=C(c1cn(CC2CC2)nn1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000970354677 725281940 /nfs/dbraw/zinc/28/19/40/725281940.db2.gz DZVKPKRJBYYDLC-SNVBAGLBSA-N -1 1 332.368 -0.484 20 0 EBADMM C[C@@H](NC(=O)c1cccc(=O)n1C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC000970404590 725286798 /nfs/dbraw/zinc/28/67/98/725286798.db2.gz QOEMCWOELYMPHK-SNVBAGLBSA-N -1 1 346.391 -0.943 20 0 EBADMM Cc1nc(C)c(C(=O)N[C@@H](C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)[nH]1 ZINC000970438302 725291493 /nfs/dbraw/zinc/29/14/93/725291493.db2.gz XQWYVMIMWRDJBH-QMMMGPOBSA-N -1 1 333.396 -0.301 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)c2cc[n+]([O-])cc2)C1 ZINC000971022271 725348318 /nfs/dbraw/zinc/34/83/18/725348318.db2.gz XMGCGKXYLYRYRG-LBPRGKRZSA-N -1 1 332.364 -0.912 20 0 EBADMM CCCC(=O)N1C[C@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]21 ZINC000971095403 725350969 /nfs/dbraw/zinc/35/09/69/725350969.db2.gz MGWQQGDKEVPHOH-ZYHUDNBSSA-N -1 1 344.375 -0.109 20 0 EBADMM C[C@H](C(=O)N1CC[C@@H](N(C)Cc2nc(=O)n(C)[n-]2)C1)n1cccn1 ZINC000971120729 725351806 /nfs/dbraw/zinc/35/18/06/725351806.db2.gz NEWYKVCCRLSYKQ-VXGBXAGGSA-N -1 1 333.396 -0.401 20 0 EBADMM Cc1cc(CC(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)no1 ZINC000971228703 725354924 /nfs/dbraw/zinc/35/49/24/725354924.db2.gz GALUXHVCQIBZCX-GFCCVEGCSA-N -1 1 334.380 -0.320 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)CC2CCOCC2)C1 ZINC000971233586 725355373 /nfs/dbraw/zinc/35/53/73/725355373.db2.gz ARTUWKMCSOIZRE-ZDUSSCGKSA-N -1 1 337.424 -0.042 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)[C@H]2CCCOCC2)C1 ZINC000971433932 725365754 /nfs/dbraw/zinc/36/57/54/725365754.db2.gz VVWLVAUUDPQISJ-STQMWFEESA-N -1 1 337.424 -0.042 20 0 EBADMM Cc1ncc(CC(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)o1 ZINC000971672375 725429742 /nfs/dbraw/zinc/42/97/42/725429742.db2.gz VSQBHMKPWZKNCT-NSHDSACASA-N -1 1 334.380 -0.320 20 0 EBADMM Cc1nc([C@H](C)N(C)[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC000971870970 725444652 /nfs/dbraw/zinc/44/46/52/725444652.db2.gz WTDPIDZIKQVTBL-CBAPKCEASA-N -1 1 348.367 -0.383 20 0 EBADMM Cn1[n-]c(CN2CCO[C@]3(CCN(C(=O)CC4CC4)C3)C2)nc1=O ZINC000972212428 725479548 /nfs/dbraw/zinc/47/95/48/725479548.db2.gz VLXVYFKXHBFJOJ-MRXNPFEDSA-N -1 1 335.408 -0.288 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)c1csnn1 ZINC000991629630 725495488 /nfs/dbraw/zinc/49/54/88/725495488.db2.gz UDRYGZYTRNRPHR-GZMMTYOYSA-N -1 1 349.372 -0.356 20 0 EBADMM O=C(Cc1ccn[nH]1)N1CC[C@]2(C1)CN(Cc1n[nH]c(=O)[n-]1)CCO2 ZINC000972312948 725497884 /nfs/dbraw/zinc/49/78/84/725497884.db2.gz UVXCBJDSAWIMMJ-OAHLLOKOSA-N -1 1 347.379 -0.721 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@]3(CCN(C(=O)/C=C/C4CC4)C3)C2)nc1=O ZINC000972317234 725498787 /nfs/dbraw/zinc/49/87/87/725498787.db2.gz SPPZUXLPQLWLPD-BDUNBXCCSA-N -1 1 347.419 -0.122 20 0 EBADMM Cc1ccn(CC(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000972361250 725506763 /nfs/dbraw/zinc/50/67/63/725506763.db2.gz DHKMQBSMKYOUCY-GFCCVEGCSA-N -1 1 333.396 -0.654 20 0 EBADMM Cc1ccnn1CC(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC000972448498 725525414 /nfs/dbraw/zinc/52/54/14/725525414.db2.gz ZPJIEZDJQOQGAR-LBPRGKRZSA-N -1 1 333.396 -0.654 20 0 EBADMM COc1cncc(C(=O)N2CC[C@@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC000972487704 725533771 /nfs/dbraw/zinc/53/37/71/725533771.db2.gz SVQYMHCZAGFURG-SNVBAGLBSA-N -1 1 347.379 -0.747 20 0 EBADMM C[C@@H](C(N)=O)N(C)[C@@H]1CCN(C(=O)c2c[n-]n3c2nccc3=O)C1 ZINC000972494905 725535772 /nfs/dbraw/zinc/53/57/72/725535772.db2.gz IHLXUHMCGHFESI-VHSXEESVSA-N -1 1 332.364 -0.957 20 0 EBADMM CN([C@H]1CCN(C(=O)c2c[n-]n3c2nccc3=O)C1)[C@H]1CCNC1=O ZINC000972495309 725536236 /nfs/dbraw/zinc/53/62/36/725536236.db2.gz MCOMCYIUXNTQPE-JQWIXIFHSA-N -1 1 344.375 -0.943 20 0 EBADMM CCN1CCO[C@@]2(CCN(C(=O)c3c[n-]n4c3nccc4=O)C2)C1 ZINC000972583414 725554595 /nfs/dbraw/zinc/55/45/95/725554595.db2.gz TXJQWFBVCFCNMF-INIZCTEOSA-N -1 1 331.376 -0.041 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)[C@H]1CCN(C(=O)[C@@]2(C)CCCOC2)C1 ZINC000972627383 725564765 /nfs/dbraw/zinc/56/47/65/725564765.db2.gz GIDMBZHOTSMKFJ-LRDDRELGSA-N -1 1 337.424 -0.042 20 0 EBADMM CCn1cnc(C(=O)N2CC[C@H](N(C)Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC000972744006 725589926 /nfs/dbraw/zinc/58/99/26/725589926.db2.gz VZTDJVJQAZCQRC-NSHDSACASA-N -1 1 333.396 -0.329 20 0 EBADMM Cn1[n-]c(CN2C[C@H](NC(=O)c3cnns3)C(C)(C)C2)nc1=O ZINC000972833921 725596862 /nfs/dbraw/zinc/59/68/62/725596862.db2.gz DHPHACFCIUIDCO-VIFPVBQESA-N -1 1 337.409 -0.400 20 0 EBADMM O=C(N[C@H]1C[C@H](NC(=O)C2CCCC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000972882576 725599591 /nfs/dbraw/zinc/59/95/91/725599591.db2.gz ZBKICKUOUGGFON-XYPYZODXSA-N -1 1 344.375 -0.015 20 0 EBADMM Cc1ccncc1CC(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051660784 735301005 /nfs/dbraw/zinc/30/10/05/735301005.db2.gz DQCFSKPQDGWPSN-CYBMUJFWSA-N -1 1 346.391 -0.227 20 0 EBADMM O=C(Cc1c[nH]cn1)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC000991801939 725632352 /nfs/dbraw/zinc/63/23/52/725632352.db2.gz DLINTFWYGRNCGL-WCQYABFASA-N -1 1 345.359 -0.555 20 0 EBADMM CC1(C)C[C@@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000973179931 725659483 /nfs/dbraw/zinc/65/94/83/725659483.db2.gz BKIVEXAPCNYAQS-OPRDCNLKSA-N -1 1 344.375 -0.159 20 0 EBADMM CN1CCOC[C@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973188095 725662351 /nfs/dbraw/zinc/66/23/51/725662351.db2.gz IOEXXBUGOHDXCN-SRVKXCTJSA-N -1 1 334.376 -0.505 20 0 EBADMM NC(=O)c1ccc(C(=O)N[C@H]2C[C@H](NC(=O)c3ncccc3[O-])C2)[nH]1 ZINC000973196095 725666679 /nfs/dbraw/zinc/66/66/79/725666679.db2.gz AVQFEFJKCQNEBQ-KYZUINATSA-N -1 1 343.343 -0.095 20 0 EBADMM CN(C)C(=O)[C@@H]1C[C@@H]1C(=O)N[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000973197624 725667304 /nfs/dbraw/zinc/66/73/04/725667304.db2.gz NJXXINPJVCCGKC-FIQHERPVSA-N -1 1 346.387 -0.112 20 0 EBADMM Cc1ncn(C)c1C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051667811 735308107 /nfs/dbraw/zinc/30/81/07/735308107.db2.gz WPBVHFHBAXGBML-SNVBAGLBSA-N -1 1 335.368 -0.817 20 0 EBADMM O=C(CN1CN=NC1=O)NC1CC(CNC(=O)c2ncccc2[O-])C1 ZINC000992050422 725709627 /nfs/dbraw/zinc/70/96/27/725709627.db2.gz WAHHSBDMJCFKEB-UHFFFAOYSA-N -1 1 346.347 -0.591 20 0 EBADMM O=C(NCC1CC(NC(=O)[C@H]2CCC(=O)NC2)C1)c1ncccc1[O-] ZINC000992052911 725710366 /nfs/dbraw/zinc/71/03/66/725710366.db2.gz LSKBHPMUBWWYSG-CXQJBGSLSA-N -1 1 346.387 -0.062 20 0 EBADMM Cc1noc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)c1C ZINC001051673508 735312918 /nfs/dbraw/zinc/31/29/18/735312918.db2.gz RHJKLWJPLZFLMY-SNVBAGLBSA-N -1 1 336.352 -0.254 20 0 EBADMM Cc1noc(C(=O)N2CCO[C@@H](CNCc3n[nH]c(=O)[n-]3)C2)c1C ZINC001051673507 735313273 /nfs/dbraw/zinc/31/32/73/735313273.db2.gz RHJKLWJPLZFLMY-JTQLQIEISA-N -1 1 336.352 -0.254 20 0 EBADMM O=C(CC1CC1)NC1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000992242333 725759152 /nfs/dbraw/zinc/75/91/52/725759152.db2.gz SPIJTQAVIMYIHR-UHFFFAOYSA-N -1 1 344.375 -0.158 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N[C@H]1C[C@H](NC(=O)C(N)=O)C1 ZINC000973493430 725763673 /nfs/dbraw/zinc/76/36/73/725763673.db2.gz WMWHNQLLNQVXSV-KYZUINATSA-N -1 1 335.364 -0.524 20 0 EBADMM CC(=O)N1CCC[C@H]2CN(C(=O)CCn3cc[n-]c(=O)c3=O)CC[C@H]21 ZINC000973705644 725791405 /nfs/dbraw/zinc/79/14/05/725791405.db2.gz ZDXNNJYCWYBJKR-UONOGXRCSA-N -1 1 348.403 -0.214 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@@H]2CN(C(C)=O)C[C@]2(C)C1 ZINC000992456384 725799544 /nfs/dbraw/zinc/79/95/44/725799544.db2.gz MEKBFNIJZDNEFX-BJOHPYRUSA-N -1 1 336.348 -0.163 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)Cn4cncn4)CCC[C@H]23)nc1=O ZINC000992475664 725801853 /nfs/dbraw/zinc/80/18/53/725801853.db2.gz OUZBXERITRJCHW-NHYWBVRUSA-N -1 1 346.395 -0.987 20 0 EBADMM O=C(N[C@H]1C[C@@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1)c1cocn1 ZINC000973947337 725830299 /nfs/dbraw/zinc/83/02/99/725830299.db2.gz WDELDIDRAQUHJL-OCAPTIKFSA-N -1 1 343.303 -0.904 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2ccc(=O)[nH]n2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993004325 725849795 /nfs/dbraw/zinc/84/97/95/725849795.db2.gz MYSXNEHGHVALAM-VHSXEESVSA-N -1 1 347.379 -0.613 20 0 EBADMM COc1ccnc(N2CC[C@H](NC(=O)c3ncccc3[O-])[C@H](O)C2)n1 ZINC001051683200 735321192 /nfs/dbraw/zinc/32/11/92/735321192.db2.gz YWDBMAFFFONZMN-CMPLNLGQSA-N -1 1 345.359 -0.045 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2nccnc2N)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993167927 725859382 /nfs/dbraw/zinc/85/93/82/725859382.db2.gz FRVSETKESZAXGX-VHSXEESVSA-N -1 1 346.395 -0.737 20 0 EBADMM C[C@@]1(C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)CCNC1=O ZINC000974057306 725859586 /nfs/dbraw/zinc/85/95/86/725859586.db2.gz OFVWFBWRMXLVSF-KCWFYHRYSA-N -1 1 332.360 -0.310 20 0 EBADMM C[C@@]1(C(=O)N[C@H]2C[C@@H](NC(=O)c3ncccc3[O-])C2)CCC(=O)N1 ZINC000974058734 725859969 /nfs/dbraw/zinc/85/99/69/725859969.db2.gz WBVHKMFYNUAOCG-IEVXNVSRSA-N -1 1 332.360 -0.167 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)ncn1 ZINC000993212261 725862826 /nfs/dbraw/zinc/86/28/26/725862826.db2.gz YOGMAWHTQWTHDU-VXGBXAGGSA-N -1 1 345.407 -0.010 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)cnn1 ZINC000993264028 725866922 /nfs/dbraw/zinc/86/69/22/725866922.db2.gz WLRXUMKXBKOGEJ-YPMHNXCESA-N -1 1 345.407 -0.010 20 0 EBADMM CCn1ncc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)[C@H]2C)n1 ZINC000993287339 725869371 /nfs/dbraw/zinc/86/93/71/725869371.db2.gz XKOWCMLJYOLFMH-QWRGUYRKSA-N -1 1 348.411 -0.497 20 0 EBADMM O=C(C[C@@H]1CCC(=O)NC1)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000993380950 725881972 /nfs/dbraw/zinc/88/19/72/725881972.db2.gz LAUIGIFNFBNJAJ-JTQLQIEISA-N -1 1 332.360 -0.356 20 0 EBADMM Cc1nnccc1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC000993549399 725901973 /nfs/dbraw/zinc/90/19/73/725901973.db2.gz OHKSBFUMPASBQA-DGCLKSJQSA-N -1 1 345.407 -0.010 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2csnn2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000993637013 725919137 /nfs/dbraw/zinc/91/91/37/725919137.db2.gz RHIZKKSWAHXPPF-BDAKNGLRSA-N -1 1 337.409 -0.257 20 0 EBADMM O=C(CCc1ccncc1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051692048 735328081 /nfs/dbraw/zinc/32/80/81/735328081.db2.gz GJRLQVVAGDACHA-CYBMUJFWSA-N -1 1 346.391 -0.145 20 0 EBADMM C[C@@H]1[C@H](NC(=O)Cc2ncc[nH]2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000994105105 725979416 /nfs/dbraw/zinc/97/94/16/725979416.db2.gz WJMKIFQJFBLQGT-GHMZBOCLSA-N -1 1 333.396 -0.457 20 0 EBADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)c1ccc2n[nH]nc2n1 ZINC000994117893 725981098 /nfs/dbraw/zinc/98/10/98/725981098.db2.gz SUPMXUHVHFTCFW-UHFFFAOYSA-N -1 1 339.315 -0.292 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2ccn(C)n2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000994431095 726002063 /nfs/dbraw/zinc/00/20/63/726002063.db2.gz OENXHUBEUBRYIG-WDEREUQCSA-N -1 1 333.396 -0.375 20 0 EBADMM C[C@H]1[C@@H](NC(=O)Cn2ccnc2)CCCN1Cc1nc(=O)n(C)[n-]1 ZINC000994509085 726027625 /nfs/dbraw/zinc/02/76/25/726027625.db2.gz QOPOUYFKSMDKJS-RYUDHWBXSA-N -1 1 333.396 -0.526 20 0 EBADMM C[C@H](C(=O)N[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C)n1cncn1 ZINC000974481914 726029877 /nfs/dbraw/zinc/02/98/77/726029877.db2.gz COHBOPJQPLQAER-MNOVXSKESA-N -1 1 348.411 -0.712 20 0 EBADMM Cc1nonc1C(=O)N[C@@H]1CCCN(Cc2nc(=O)n(C)[n-]2)[C@H]1C ZINC000994537701 726030711 /nfs/dbraw/zinc/03/07/11/726030711.db2.gz SWWJCCJTDALVTH-VHSXEESVSA-N -1 1 335.368 -0.417 20 0 EBADMM Cc1nc(CC(=O)N[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)CC2(C)C)n[nH]1 ZINC000974595094 726042348 /nfs/dbraw/zinc/04/23/48/726042348.db2.gz HMAUZFVPIJTJDD-SECBINFHSA-N -1 1 334.384 -0.494 20 0 EBADMM Cc1nn[nH]c1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CC1(C)C ZINC000974932623 726083837 /nfs/dbraw/zinc/08/38/37/726083837.db2.gz VGNYGWRLFPMWEO-SECBINFHSA-N -1 1 334.384 -0.825 20 0 EBADMM C[C@@H]1CN(C)C[C@H](C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)O1 ZINC000994841496 726097965 /nfs/dbraw/zinc/09/79/65/726097965.db2.gz XKLXCXQJCQKCNT-ZWNOBZJWSA-N -1 1 334.376 -0.553 20 0 EBADMM COc1ccc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)cn1 ZINC001051708896 735342590 /nfs/dbraw/zinc/34/25/90/735342590.db2.gz QIYGSBLLTCTVHT-LLVKDONJSA-N -1 1 348.363 -0.455 20 0 EBADMM O=C(NC[C@H]1CCCN(C(=O)[C@H]2CCNC2=O)C1)c1ncccc1[O-] ZINC000975262940 726125084 /nfs/dbraw/zinc/12/50/84/726125084.db2.gz YQGKSCCPAZOVMA-NEPJUHHUSA-N -1 1 346.387 -0.108 20 0 EBADMM Cn1ncc(CNC[C@@H]2CC[C@@H](NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC000995006081 726141640 /nfs/dbraw/zinc/14/16/40/726141640.db2.gz KPDYOGIPCCMZBP-RKDXNWHRSA-N -1 1 348.367 -0.900 20 0 EBADMM O=C(NC[C@@H]1CCCN(C(=O)c2ccn[nH]2)C1)c1n[nH]c(=O)[n-]c1=O ZINC000975412640 726147646 /nfs/dbraw/zinc/14/76/46/726147646.db2.gz GXJZYIJRBFSBKU-QMMMGPOBSA-N -1 1 347.335 -0.712 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1C[C@H]1C ZINC000976091274 726200457 /nfs/dbraw/zinc/20/04/57/726200457.db2.gz AIUNBISOWZSSDE-KGDYZURWSA-N -1 1 348.359 -0.261 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)C1=COCCO1 ZINC000976106817 726202466 /nfs/dbraw/zinc/20/24/66/726202466.db2.gz WLXWBWHRZQUYQW-IWIIMEHWSA-N -1 1 331.328 -0.138 20 0 EBADMM COC(=O)[C@@H]1C[C@H]1C(=O)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000976250121 726239891 /nfs/dbraw/zinc/23/98/91/726239891.db2.gz HYEFRUNSYVWSON-YFVNTRNASA-N -1 1 345.355 -0.217 20 0 EBADMM C[C@@H](C(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1)n1cncn1 ZINC000995686614 726287499 /nfs/dbraw/zinc/28/74/99/726287499.db2.gz AMCOLFFXHNVARB-VHSXEESVSA-N -1 1 334.384 -0.310 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)c3cn[nH]c(=O)c3)C[C@H]21)c1ncccc1[O-] ZINC000976394173 726290404 /nfs/dbraw/zinc/29/04/04/726290404.db2.gz LJSPHOVCCFRDTP-IWIIMEHWSA-N -1 1 341.327 -0.217 20 0 EBADMM O=C(Cn1ccnc1)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976395124 726291299 /nfs/dbraw/zinc/29/12/99/726291299.db2.gz RELLWUBVKVQWFZ-YABSGUDNSA-N -1 1 327.344 -0.130 20 0 EBADMM C[C@@H]1OCCO[C@@H]1C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000976395113 726291320 /nfs/dbraw/zinc/29/13/20/726291320.db2.gz RBZMWLWJKZVLGY-JUFVYRIHSA-N -1 1 347.371 -0.222 20 0 EBADMM Cn1cc(CC(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2ncccc2[O-])cn1 ZINC000976396843 726291940 /nfs/dbraw/zinc/29/19/40/726291940.db2.gz IQLYFDCFCXZOQU-JYAVWHMHSA-N -1 1 341.371 -0.050 20 0 EBADMM O=C(CN1CCOCC1)N[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC000976397446 726292311 /nfs/dbraw/zinc/29/23/11/726292311.db2.gz YJKYBALYGCITLO-JYAVWHMHSA-N -1 1 346.387 -0.694 20 0 EBADMM CC1(C)CN(C(=O)CC2OCCCO2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995714408 726293364 /nfs/dbraw/zinc/29/33/64/726293364.db2.gz XTCKEWYBRKHJLJ-JTQLQIEISA-N -1 1 339.396 -0.010 20 0 EBADMM O=C(N[C@@H]1[C@H]2CN(C(=O)[C@@H]3CCNC(=O)C3)C[C@H]21)c1ncccc1[O-] ZINC000976406776 726294901 /nfs/dbraw/zinc/29/49/01/726294901.db2.gz YDCOCRNGSKJIIZ-PUHVVEEASA-N -1 1 344.371 -0.500 20 0 EBADMM CC1(C)CN(C(=O)CO[C@@H]2CCOC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000995813380 726303432 /nfs/dbraw/zinc/30/34/32/726303432.db2.gz OCOIWYFZCPYJOR-GHMZBOCLSA-N -1 1 339.396 -0.358 20 0 EBADMM COc1nc(C(=O)N2CCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)co1 ZINC001051736788 735361544 /nfs/dbraw/zinc/36/15/44/735361544.db2.gz CHXRFLGSHSMGTB-MRVPVSSYSA-N -1 1 338.324 -0.862 20 0 EBADMM CC1(C)CN(C(=O)[C@@]2(C)CNC(=O)C2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC000996073253 726330110 /nfs/dbraw/zinc/33/01/10/726330110.db2.gz IUEZDKHSVZVXBI-RFAUZJTJSA-N -1 1 336.396 -0.637 20 0 EBADMM CN1C(=O)CC[C@@H]1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC000996189804 726343174 /nfs/dbraw/zinc/34/31/74/726343174.db2.gz KHJJSBHRADGFFY-ZJUUUORDSA-N -1 1 336.396 -0.542 20 0 EBADMM O=C(N[C@@H]1CCCC[C@H]1NC(=O)[C@H]1CNC(=O)N1)c1ncccc1[O-] ZINC000976570293 726352863 /nfs/dbraw/zinc/35/28/63/726352863.db2.gz ZETKAXRTZJNOBJ-GMTAPVOTSA-N -1 1 347.375 -0.374 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C(=O)c2ccccn2)C1 ZINC000996396729 726385446 /nfs/dbraw/zinc/38/54/46/726385446.db2.gz KQNDXZCQATXRIY-UHFFFAOYSA-N -1 1 345.315 -0.454 20 0 EBADMM O=C(NC1CN(C(=O)C2CC=CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000996403824 726388072 /nfs/dbraw/zinc/38/80/72/726388072.db2.gz BDNUIVZRNZFIAK-UHFFFAOYSA-N -1 1 328.332 -0.676 20 0 EBADMM CC(C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1)=C1CCC1 ZINC000996409004 726389164 /nfs/dbraw/zinc/38/91/64/726389164.db2.gz RJWTVJXPNOCRJQ-UHFFFAOYSA-N -1 1 342.359 -0.141 20 0 EBADMM CC[C@@]1(C)C[C@@H]1C(=O)N1CC(NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000996410092 726389537 /nfs/dbraw/zinc/38/95/37/726389537.db2.gz YYLQLWBQUMFMHI-HWPZZCPQSA-N -1 1 344.375 -0.206 20 0 EBADMM Cc1nc(CNC2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)CC2)co1 ZINC000996761208 726425785 /nfs/dbraw/zinc/42/57/85/726425785.db2.gz DSPIFJIUPVPCAN-UHFFFAOYSA-N -1 1 334.336 -0.026 20 0 EBADMM C[C@H](NC1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC1)c1nncn1C ZINC000996762794 726425834 /nfs/dbraw/zinc/42/58/34/726425834.db2.gz NWPPZQSOWBQHMJ-QMMMGPOBSA-N -1 1 348.367 -0.633 20 0 EBADMM COc1cc(C(=O)NC2CN(C(=O)c3ncccc3[O-])C2)nn1C ZINC000996839858 726429518 /nfs/dbraw/zinc/42/95/18/726429518.db2.gz ORNPXJDTKROUPY-UHFFFAOYSA-N -1 1 331.332 -0.216 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NC1CN(C(=O)CC(N)=O)C1 ZINC000997322336 726446215 /nfs/dbraw/zinc/44/62/15/726446215.db2.gz INLSQQWQKOFSCX-UHFFFAOYSA-N -1 1 335.364 -0.570 20 0 EBADMM Cc1cocc1C(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000997832544 726466895 /nfs/dbraw/zinc/46/68/95/726466895.db2.gz DZWGUIOVZSGPAA-UHFFFAOYSA-N -1 1 346.343 -0.531 20 0 EBADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCCc2nn[nH]c21 ZINC000998569872 726491590 /nfs/dbraw/zinc/49/15/90/726491590.db2.gz WWIUMQSUVLRFSU-SNVBAGLBSA-N -1 1 342.359 -0.034 20 0 EBADMM O=C(NC1CN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCCc2n[nH]nc21 ZINC000998569872 726491592 /nfs/dbraw/zinc/49/15/92/726491592.db2.gz WWIUMQSUVLRFSU-SNVBAGLBSA-N -1 1 342.359 -0.034 20 0 EBADMM CC(C)C(=O)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(=O)n3[n-]cnc3n1)C2 ZINC000998612557 726493045 /nfs/dbraw/zinc/49/30/45/726493045.db2.gz CRRGQZPCFAJCCF-SCVCMEIPSA-N -1 1 344.375 -0.065 20 0 EBADMM CC[C@@]1(C)C[C@H]1C(=O)NC1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000998865498 726499813 /nfs/dbraw/zinc/49/98/13/726499813.db2.gz NGDZNAYPMNYGIX-QFYYESIMSA-N -1 1 344.375 -0.206 20 0 EBADMM CC[C@]1(C)C[C@H]1C(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000998863679 726499849 /nfs/dbraw/zinc/49/98/49/726499849.db2.gz JWMZQYNBNIONSI-YVEFUNNKSA-N -1 1 348.403 -0.310 20 0 EBADMM CN(C(=O)[C@H]1CCS(=O)(=O)C1)C1CC(NCc2n[nH]c(=O)[n-]2)C1 ZINC000999079680 726507044 /nfs/dbraw/zinc/50/70/44/726507044.db2.gz FQUZBUVTXROJOT-IDKOKCKLSA-N -1 1 343.409 -0.976 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(NC(=O)C2CC3(CC3)C2)C1 ZINC000999328444 726514553 /nfs/dbraw/zinc/51/45/53/726514553.db2.gz BYQCZJWVNYOSKR-UHFFFAOYSA-N -1 1 346.387 -0.556 20 0 EBADMM Cn1c(=O)cccc1C(=O)NC1CN(C(=O)c2ncccc2[O-])C1 ZINC000999331271 726514699 /nfs/dbraw/zinc/51/46/99/726514699.db2.gz NDECWOGHTUBBRS-UHFFFAOYSA-N -1 1 328.328 -0.260 20 0 EBADMM C[C@@H](C(=O)N1CCC[C@@H](NCc2n[nH]c(=O)[n-]2)C1)S(C)(=O)=O ZINC000999516760 726521293 /nfs/dbraw/zinc/52/12/93/726521293.db2.gz SGFHODIBTYFKDG-DTWKUNHWSA-N -1 1 331.398 -0.976 20 0 EBADMM Cn1ccnc1CN[C@H]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000999611774 726524819 /nfs/dbraw/zinc/52/48/19/726524819.db2.gz XPXIWVHKJVRGOL-VIFPVBQESA-N -1 1 333.352 -0.589 20 0 EBADMM Cc1ncoc1CN[C@H]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000999618979 726525014 /nfs/dbraw/zinc/52/50/14/726525014.db2.gz JRLROCZNNBWWDZ-VIFPVBQESA-N -1 1 334.336 -0.026 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC000999968409 726545459 /nfs/dbraw/zinc/54/54/59/726545459.db2.gz IADZSTBIXCIEKI-VIFPVBQESA-N -1 1 333.352 -0.291 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)C3=COCCO3)CC2)nc1=O ZINC001000399394 726566187 /nfs/dbraw/zinc/56/61/87/726566187.db2.gz YVRZIGHMWZYQIN-UHFFFAOYSA-N -1 1 335.364 -0.755 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CCC[C@H](NCc2cnon2)C1 ZINC001000473198 726567972 /nfs/dbraw/zinc/56/79/72/726567972.db2.gz MRJSCYQYYHXITG-NSHDSACASA-N -1 1 348.363 -0.910 20 0 EBADMM Cc1cc(C(=O)NCC2=CCN(Cc3nc(=O)n(C)[n-]3)CC2)nn1C ZINC001000487610 726568654 /nfs/dbraw/zinc/56/86/54/726568654.db2.gz AYEFBGRJRRGUSM-UHFFFAOYSA-N -1 1 345.407 -0.288 20 0 EBADMM O=C(c1cc2ncccn2n1)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000497321 726568838 /nfs/dbraw/zinc/56/88/38/726568838.db2.gz IUVRUMMVDXOKHL-JTQLQIEISA-N -1 1 342.363 -0.053 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)[C@H]3CCCOC3)CC2)nc1=O ZINC001000507913 726569121 /nfs/dbraw/zinc/56/91/21/726569121.db2.gz SUHAKNNNNDZFHK-ZDUSSCGKSA-N -1 1 335.408 -0.217 20 0 EBADMM COC(=O)[C@H]1C[C@H]1C(=O)NCC1=CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001000518047 726569350 /nfs/dbraw/zinc/56/93/50/726569350.db2.gz UTHIRUKCBSDNNU-NEPJUHHUSA-N -1 1 349.391 -0.834 20 0 EBADMM Cc1n[nH]c(C(=O)NCC2=CCN(CCN3CCNC3=O)CC2)c1[O-] ZINC001000588399 726572157 /nfs/dbraw/zinc/57/21/57/726572157.db2.gz PMSNWUZWGRGGSR-UHFFFAOYSA-N -1 1 348.407 -0.189 20 0 EBADMM Cn1nnnc1CN1CC=C(CNC(=O)c2ncccc2[O-])CC1 ZINC001000608206 726572400 /nfs/dbraw/zinc/57/24/00/726572400.db2.gz BIQSBQWSTUPZIR-UHFFFAOYSA-N -1 1 329.364 -0.127 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCC[C@@H](NCc2ccon2)C1 ZINC001000694996 726575501 /nfs/dbraw/zinc/57/55/01/726575501.db2.gz PDNJXRJKBZMHHE-LLVKDONJSA-N -1 1 343.347 -0.200 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCC[C@H](NCc2cnon2)C1 ZINC001000695347 726575532 /nfs/dbraw/zinc/57/55/32/726575532.db2.gz QLBMGSMZGCEXFV-VIFPVBQESA-N -1 1 344.335 -0.805 20 0 EBADMM O=C(Cn1ccccc1=O)N1CCC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001000878559 726582179 /nfs/dbraw/zinc/58/21/79/726582179.db2.gz AZJFMOMNUZOEMP-NSHDSACASA-N -1 1 332.364 -0.547 20 0 EBADMM COC(=O)[C@@H]1C[C@@H]1C(=O)NCC1CN(C(=O)c2ncccc2[O-])C1 ZINC001001168518 726595103 /nfs/dbraw/zinc/59/51/03/726595103.db2.gz KFWCFRCGDKZVBK-WDEREUQCSA-N -1 1 333.344 -0.216 20 0 EBADMM COC(=O)[C@@H]1C[C@H]1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001535708 726611074 /nfs/dbraw/zinc/61/10/74/726611074.db2.gz BXPMMLVKTZGYEB-GHMZBOCLSA-N -1 1 333.344 -0.216 20 0 EBADMM Cn1c(=O)cccc1C(=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001540380 726611099 /nfs/dbraw/zinc/61/10/99/726611099.db2.gz AYGIQJGBYLBIEJ-UHFFFAOYSA-N -1 1 342.355 -0.012 20 0 EBADMM Cn1cc(OCC(=O)N2CC(CNC(=O)c3ncccc3[O-])C2)cn1 ZINC001001542079 726611278 /nfs/dbraw/zinc/61/12/78/726611278.db2.gz QPYJIDMDBBDAPJ-UHFFFAOYSA-N -1 1 345.359 -0.212 20 0 EBADMM O=C(CCN1CCCC1=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001547042 726611498 /nfs/dbraw/zinc/61/14/98/726611498.db2.gz YBGDPANNZLBIPQ-UHFFFAOYSA-N -1 1 346.387 -0.012 20 0 EBADMM O=C(C[C@@H]1CC(=O)NC1=O)N1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001001551113 726611561 /nfs/dbraw/zinc/61/15/61/726611561.db2.gz CTZNXVVKFXVHOJ-JTQLQIEISA-N -1 1 346.343 -0.972 20 0 EBADMM O=C(N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)[C@H]1COC(=O)N1)c1ncccc1[O-] ZINC001002190065 726635170 /nfs/dbraw/zinc/63/51/70/726635170.db2.gz XWLRVPNXEDFELC-DBIOUOCHSA-N -1 1 346.343 -0.243 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)c3cnc4n3CCC4)CC2)nc1=O ZINC001003045363 726660092 /nfs/dbraw/zinc/66/00/92/726660092.db2.gz MNOGHCQQNQXYEX-UHFFFAOYSA-N -1 1 345.407 -0.355 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CN(C(=O)[C@@H]2CC23CC3)C1 ZINC001003315707 726669478 /nfs/dbraw/zinc/66/94/78/726669478.db2.gz YNWLUGJUTYIKBT-VIFPVBQESA-N -1 1 348.359 -0.115 20 0 EBADMM CC1(C(=O)N2CC(CNC(=O)c3cc(=O)n4[n-]cnc4n3)C2)CCC1 ZINC001003319141 726669511 /nfs/dbraw/zinc/66/95/11/726669511.db2.gz KMTGRQXHMFDSLV-UHFFFAOYSA-N -1 1 344.375 -0.204 20 0 EBADMM O=C(NCC1CN(C(=O)C2(CF)CC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC001003319160 726669539 /nfs/dbraw/zinc/66/95/39/726669539.db2.gz LGOUOYDINXATKB-UHFFFAOYSA-N -1 1 348.338 -0.645 20 0 EBADMM O=C(C=C1CCC1)N1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001003318705 726669580 /nfs/dbraw/zinc/66/95/80/726669580.db2.gz HCPIUCRXKRZRBC-UHFFFAOYSA-N -1 1 342.359 -0.284 20 0 EBADMM CC(F)(F)C(=O)N1CC(CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001003320111 726669715 /nfs/dbraw/zinc/66/97/15/726669715.db2.gz ULMAMOWPHWLAAH-UHFFFAOYSA-N -1 1 340.290 -0.739 20 0 EBADMM Cc1ncc(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1C ZINC001003506896 726677372 /nfs/dbraw/zinc/67/73/72/726677372.db2.gz IYFOSTAKTYLMOG-UHFFFAOYSA-N -1 1 333.396 -0.455 20 0 EBADMM O=C(NCC1CN(C(=O)c2ccc3oc(=O)nc-3[n-]2)C1)c1ncn[nH]1 ZINC001003692008 726685397 /nfs/dbraw/zinc/68/53/97/726685397.db2.gz GTXUAGXXJCTFLY-UHFFFAOYSA-N -1 1 343.303 -0.452 20 0 EBADMM O=C(NCC1CN(C(=O)c2ccc3oc(=O)nc-3[n-]2)C1)c1nc[nH]n1 ZINC001003692008 726685398 /nfs/dbraw/zinc/68/53/98/726685398.db2.gz GTXUAGXXJCTFLY-UHFFFAOYSA-N -1 1 343.303 -0.452 20 0 EBADMM CCO[C@@H]1C[C@H]1C(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005144972 726727284 /nfs/dbraw/zinc/72/72/84/726727284.db2.gz GJJBUIHSCVRHQP-CHWSQXEVSA-N -1 1 337.424 -0.044 20 0 EBADMM C[C@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C[C@H]1NC(=O)C(N)=O ZINC001005218404 726729746 /nfs/dbraw/zinc/72/97/46/726729746.db2.gz QXXWBWKSJMQLBA-GZMMTYOYSA-N -1 1 347.375 -0.488 20 0 EBADMM CN(C(=O)c1cnn(C)c1N)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005301616 726731788 /nfs/dbraw/zinc/73/17/88/726731788.db2.gz DXTABNJQLCGOQX-UHFFFAOYSA-N -1 1 348.411 -0.839 20 0 EBADMM Cc1cn(C)nc1C(=O)N(C)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001005383162 726732567 /nfs/dbraw/zinc/73/25/67/726732567.db2.gz UNPGKPQZZCOWNC-UHFFFAOYSA-N -1 1 347.423 -0.113 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CC([C@H](C)NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001005911902 726747799 /nfs/dbraw/zinc/74/77/99/726747799.db2.gz LEKQZSQIXGASHX-LURJTMIESA-N -1 1 333.352 -0.259 20 0 EBADMM C[C@@H](NC(=O)[C@H]1COCCN1C)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001005930328 726748605 /nfs/dbraw/zinc/74/86/05/726748605.db2.gz WTBLSFOWHBODCT-DGCLKSJQSA-N -1 1 348.403 -0.306 20 0 EBADMM CC(=O)N1CC(C(=O)N2CC([C@@H](C)NC(=O)c3ncccc3[O-])C2)C1 ZINC001005949427 726749452 /nfs/dbraw/zinc/74/94/52/726749452.db2.gz FZTFOPOMEOWGEU-SNVBAGLBSA-N -1 1 346.387 -0.158 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@]2(C)CCNC2=O)C1 ZINC001005951623 726749670 /nfs/dbraw/zinc/74/96/70/726749670.db2.gz ONFIHHBBANHYOS-BMLIUANNSA-N -1 1 346.387 -0.110 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2CNC(=O)N2)C1 ZINC001005954172 726749908 /nfs/dbraw/zinc/74/99/08/726749908.db2.gz WJPBOHFGGPVAAO-WCBMZHEXSA-N -1 1 333.348 -0.955 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])C1CN(C(=O)[C@H]2COC(=O)N2)C1 ZINC001005953633 726749922 /nfs/dbraw/zinc/74/99/22/726749922.db2.gz UPIGKPSOOVPFNL-WCBMZHEXSA-N -1 1 334.332 -0.528 20 0 EBADMM CN(C(=O)c1ccn(C)n1)C1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001006411106 726768153 /nfs/dbraw/zinc/76/81/53/726768153.db2.gz OMINQYHJPDPHQS-UHFFFAOYSA-N -1 1 333.396 -0.422 20 0 EBADMM C[C@H](NC(=O)[C@H]1CNC(=O)N1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001006745460 726782381 /nfs/dbraw/zinc/78/23/81/726782381.db2.gz IBWWARKIJVRBQJ-WCBMZHEXSA-N -1 1 333.348 -0.955 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)c3cc[nH]c(=O)c3)C2)nc1=O ZINC001007079737 726789109 /nfs/dbraw/zinc/78/91/09/726789109.db2.gz SXOVWXOYSKJZEJ-LLVKDONJSA-N -1 1 332.364 -0.397 20 0 EBADMM O=C(N[C@@H]1CCCN(CCN2C(=O)CNC2=O)C1)c1ncccc1[O-] ZINC001007178257 726790087 /nfs/dbraw/zinc/79/00/87/726790087.db2.gz SVQJPESPBMMWLK-LLVKDONJSA-N -1 1 347.375 -0.467 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)CC3OCCCO3)C2)nc1=O ZINC001007201957 726790342 /nfs/dbraw/zinc/79/03/42/726790342.db2.gz XIXPHSUPRQQPRZ-NSHDSACASA-N -1 1 339.396 -0.658 20 0 EBADMM Cc1ccnc(C(=O)N[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001007211582 726790461 /nfs/dbraw/zinc/79/04/61/726790461.db2.gz UJQUSNRRJBDFQD-NSHDSACASA-N -1 1 331.380 -0.399 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)Cc3cncs3)C2)nc1=O ZINC001007538186 726796243 /nfs/dbraw/zinc/79/62/43/726796243.db2.gz FMDKCWFDNBEZPP-SNVBAGLBSA-N -1 1 336.421 -0.112 20 0 EBADMM COCC(=O)N1CC[C@]2(C1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC001007568699 726797543 /nfs/dbraw/zinc/79/75/43/726797543.db2.gz MVBAEGSGRLAPFW-INIZCTEOSA-N -1 1 335.360 -0.123 20 0 EBADMM O=C(NC[C@@H]1[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21)[C@@H]1COCCO1 ZINC001008804499 726806262 /nfs/dbraw/zinc/80/62/62/726806262.db2.gz AXYOPMWCJCLWRQ-FMSGJZPZSA-N -1 1 347.371 -0.363 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1[C@H]2CN(C(=O)C3CC3)C[C@H]21 ZINC001009271378 726884129 /nfs/dbraw/zinc/88/41/29/726884129.db2.gz AZWVCKPOWQYLRU-OWUUHHOZSA-N -1 1 348.359 -0.259 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H](C)[C@@H]2CN(C(=O)c3ccn[nH]3)CCO2)c1[O-] ZINC001009654332 726949627 /nfs/dbraw/zinc/94/96/27/726949627.db2.gz SHQCIDDAKNULRX-KCJUWKMLSA-N -1 1 348.363 -0.194 20 0 EBADMM C[C@H](NC(=O)c1ncccc1[O-])[C@@H]1CN(C(=O)c2cn[nH]n2)CCO1 ZINC001009704242 726971789 /nfs/dbraw/zinc/97/17/89/726971789.db2.gz FMFXPOIVHOVOHU-CABZTGNLSA-N -1 1 346.347 -0.435 20 0 EBADMM CO[C@H](C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1)C(C)C ZINC001051803469 735404619 /nfs/dbraw/zinc/40/46/19/735404619.db2.gz OOKGYNQYTZQCAR-JQWIXIFHSA-N -1 1 327.385 -0.502 20 0 EBADMM C[C@@H]1C[C@H](CNC(=O)c2cnc(C3CC3)[n-]c2=O)CN1C(=O)C(N)=O ZINC001010304871 727068535 /nfs/dbraw/zinc/06/85/35/727068535.db2.gz WCTCTKBUOPLZRP-RKDXNWHRSA-N -1 1 347.375 -0.488 20 0 EBADMM O=C(CCC1CC1)N1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001010769040 727140903 /nfs/dbraw/zinc/14/09/03/727140903.db2.gz HIMOBQWGFUGJMV-UHFFFAOYSA-N -1 1 348.403 -0.212 20 0 EBADMM O=C(c1n[nH]cc1F)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051812451 735410673 /nfs/dbraw/zinc/41/06/73/735410673.db2.gz WOQLNRLBUZECGG-SSDOTTSWSA-N -1 1 325.304 -0.997 20 0 EBADMM CC1CC(C(=O)N2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC2)C1 ZINC001010964301 727169908 /nfs/dbraw/zinc/16/99/08/727169908.db2.gz GBEQEGNRHNFMKB-UHFFFAOYSA-N -1 1 344.375 -0.252 20 0 EBADMM O=C(C1=CCCC1)N1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001010989351 727172409 /nfs/dbraw/zinc/17/24/09/727172409.db2.gz XJZIMAQJLMDNMY-UHFFFAOYSA-N -1 1 342.359 -0.188 20 0 EBADMM O=C(CN1CCCNC1=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011035408 727185929 /nfs/dbraw/zinc/18/59/29/727185929.db2.gz HBPWEKPPBMJRSM-UHFFFAOYSA-N -1 1 347.375 -0.513 20 0 EBADMM Cn1cc(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)n(C)c1=O ZINC001011035496 727186176 /nfs/dbraw/zinc/18/61/76/727186176.db2.gz BATPPNWPRJJSKG-UHFFFAOYSA-N -1 1 345.359 -0.577 20 0 EBADMM O=C(C[C@@H]1CCC(=O)N1)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011035630 727186235 /nfs/dbraw/zinc/18/62/35/727186235.db2.gz KNIVSAXABWKTDN-NSHDSACASA-N -1 1 332.360 -0.260 20 0 EBADMM CN1CC[C@H](C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)C1=O ZINC001011036310 727186315 /nfs/dbraw/zinc/18/63/15/727186315.db2.gz HXMQIYSZYXGYGB-NSHDSACASA-N -1 1 332.360 -0.450 20 0 EBADMM Cn1cnc(C(=O)N2CCN(C(=O)c3ncccc3[O-])CC2)cc1=O ZINC001011036674 727186723 /nfs/dbraw/zinc/18/67/23/727186723.db2.gz SWVNMIZPLMJYIL-UHFFFAOYSA-N -1 1 343.343 -0.521 20 0 EBADMM O=C(c1cnc[nH]c1=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001011037820 727187142 /nfs/dbraw/zinc/18/71/42/727187142.db2.gz OHJTZFFGKVGEEM-UHFFFAOYSA-N -1 1 329.316 -0.119 20 0 EBADMM O=C(c1nc[nH]n1)N1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)CC1 ZINC001011152799 727476605 /nfs/dbraw/zinc/47/66/05/727476605.db2.gz MDJJYKXMWFNBNF-UHFFFAOYSA-N -1 1 343.347 -0.224 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CCN1C(=O)c1ccn[nH]1 ZINC001011523749 727536668 /nfs/dbraw/zinc/53/66/68/727536668.db2.gz XCGBKNYKNYHYGB-NKWVEPMBSA-N -1 1 333.308 -0.961 20 0 EBADMM CCC1(C(=O)N2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)CC2)CC1 ZINC001011637156 727551952 /nfs/dbraw/zinc/55/19/52/727551952.db2.gz CQIRYUUKJTWBDC-UHFFFAOYSA-N -1 1 344.375 -0.108 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2c[nH]c(=O)cn2)CCN1C(=O)c1ncccc1[O-] ZINC001011676783 727557480 /nfs/dbraw/zinc/55/74/80/727557480.db2.gz HPPHNHBEPHLQBE-NXEZZACHSA-N -1 1 343.343 -0.097 20 0 EBADMM Cc1nn[nH]c1C(=O)N1CC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])[C@H]1C ZINC001011952418 727609048 /nfs/dbraw/zinc/60/90/48/727609048.db2.gz RIATYRBYNXLEBE-RKDXNWHRSA-N -1 1 333.352 -0.117 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCN1C(=O)CCn1cnnn1 ZINC001012009476 727620972 /nfs/dbraw/zinc/62/09/72/727620972.db2.gz NJZZJSOAAAJLHV-WDEREUQCSA-N -1 1 345.363 -0.417 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CN1C(=O)c1ccn[nH]1 ZINC001012330004 727654615 /nfs/dbraw/zinc/65/46/15/727654615.db2.gz XRZVBPLRAMNJFK-BQBZGAKWSA-N -1 1 333.308 -0.961 20 0 EBADMM Cc1ncc(C(=O)N2C[C@H](NC(=O)Cn3c(=O)[n-][nH]c3=O)C[C@H]2C)[nH]1 ZINC001012392104 727660425 /nfs/dbraw/zinc/66/04/25/727660425.db2.gz NILNCQORXVSQNO-VXNVDRBHSA-N -1 1 349.351 -0.860 20 0 EBADMM C[C@H]1C[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CN1C(=O)CC(N)=O ZINC001012414376 727664303 /nfs/dbraw/zinc/66/43/03/727664303.db2.gz VYNLNFKXXPIMBF-WPRPVWTQSA-N -1 1 347.375 -0.346 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2nnn(C)n2)CN1C(=O)c1ncccc1[O-] ZINC001012506343 727673322 /nfs/dbraw/zinc/67/33/22/727673322.db2.gz NUKSPDOYBVNLFL-DTWKUNHWSA-N -1 1 331.336 -0.656 20 0 EBADMM C[C@H]1C[C@H](NC(=O)CN2CCOCC2)CN1C(=O)c1ncccc1[O-] ZINC001012508922 727673648 /nfs/dbraw/zinc/67/36/48/727673648.db2.gz GKBHHQCNXZJTLQ-STQMWFEESA-N -1 1 348.403 -0.161 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@H]2C[C@H](C)N(C(=O)c3ncccc3[O-])C2)C1 ZINC001012509643 727673751 /nfs/dbraw/zinc/67/37/51/727673751.db2.gz IYTVEVQLZDTVRM-GWCFXTLKSA-N -1 1 346.387 -0.015 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)c2cn(C)nn2)CN1C(=O)c1ncccc1[O-] ZINC001012510068 727673756 /nfs/dbraw/zinc/67/37/56/727673756.db2.gz KDPGFRHKRIPCEL-VHSXEESVSA-N -1 1 330.348 -0.051 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)Cn2ncnn2)CN1C(=O)c1ncccc1[O-] ZINC001012511471 727673953 /nfs/dbraw/zinc/67/39/53/727673953.db2.gz OCMNWTHGRHGYGJ-VHSXEESVSA-N -1 1 331.336 -0.807 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)C(N)=O)CN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001012616118 727691084 /nfs/dbraw/zinc/69/10/84/727691084.db2.gz FFYNVUQIRYSXMD-APPZFPTMSA-N -1 1 333.348 -0.736 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)C2CC2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001013241066 727786759 /nfs/dbraw/zinc/78/67/59/727786759.db2.gz QJUIRPGOBQYWMC-ZYHUDNBSSA-N -1 1 334.376 -0.558 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)C(F)F)CN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001013325151 727793803 /nfs/dbraw/zinc/79/38/03/727793803.db2.gz IWSJKVYZBMUVQK-NKWVEPMBSA-N -1 1 340.290 -0.598 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C3(c4ccccn4)CC3)C2)nc1=O ZINC001014428158 727949569 /nfs/dbraw/zinc/94/95/69/727949569.db2.gz RSXDTPOSKHYOOB-LBPRGKRZSA-N -1 1 342.403 -0.074 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cn4c(n3)CCC4)C2)nc1=O ZINC001014478574 727959749 /nfs/dbraw/zinc/95/97/49/727959749.db2.gz HSLDQIBNWJZYKI-SNVBAGLBSA-N -1 1 331.380 -0.745 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cnn4c3CCC4)C2)nc1=O ZINC001014658081 727988594 /nfs/dbraw/zinc/98/85/94/727988594.db2.gz HQQFINISTHINCT-SNVBAGLBSA-N -1 1 331.380 -0.745 20 0 EBADMM Cn1[n-]c(CN2CCN([C@H]3CCN(C(=O)C4CCC4)C3)CC2)nc1=O ZINC001051964732 735485587 /nfs/dbraw/zinc/48/55/87/735485587.db2.gz MOBRSBINWHFCSS-AWEZNQCLSA-N -1 1 348.451 -0.373 20 0 EBADMM Cc1ccncc1CC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001014777501 728007560 /nfs/dbraw/zinc/00/75/60/728007560.db2.gz XLVYWKLKYJSQRQ-CYBMUJFWSA-N -1 1 330.392 -0.255 20 0 EBADMM C[C@@H]1[C@H](NC(=O)c2ncccc2[O-])CCCN1C(=O)Cn1cnnn1 ZINC001014894915 728027476 /nfs/dbraw/zinc/02/74/76/728027476.db2.gz RBQOPFVQGRYNJP-GHMZBOCLSA-N -1 1 345.363 -0.417 20 0 EBADMM C[C@H]1[C@H](NC(=O)c2ncccc2[O-])CCCN1C(=O)Cn1cnnn1 ZINC001014894923 728027727 /nfs/dbraw/zinc/02/77/27/728027727.db2.gz RBQOPFVQGRYNJP-WDEREUQCSA-N -1 1 345.363 -0.417 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cc4c[nH]ccc-4n3)C2)nc1=O ZINC001014942939 728038058 /nfs/dbraw/zinc/03/80/58/728038058.db2.gz WEROCBMXBJYDID-LLVKDONJSA-N -1 1 341.375 -0.011 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cn2ncnn2)CCCN1C(=O)c1ncccc1[O-] ZINC001014962230 728042647 /nfs/dbraw/zinc/04/26/47/728042647.db2.gz LSDBGTCLBYGENQ-MNOVXSKESA-N -1 1 345.363 -0.417 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)[C@@H]3CCc4cncn4C3)C2)nc1=O ZINC001015000475 728052621 /nfs/dbraw/zinc/05/26/21/728052621.db2.gz QCWDMKYYKIRLID-VXGBXAGGSA-N -1 1 345.407 -0.742 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@H]3CCc4cncn4C3)C2)nc1=O ZINC001015000474 728053004 /nfs/dbraw/zinc/05/30/04/728053004.db2.gz QCWDMKYYKIRLID-RYUDHWBXSA-N -1 1 345.407 -0.742 20 0 EBADMM CCc1c(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nnn1CC ZINC001015692943 728427004 /nfs/dbraw/zinc/42/70/04/728427004.db2.gz ORZZCLYQOPEVAY-JTQLQIEISA-N -1 1 348.411 -0.714 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ncn(C(C)(C)C)n3)C2)nc1=O ZINC001015703355 728427750 /nfs/dbraw/zinc/42/77/50/728427750.db2.gz FWBDDZLBUGQJEO-SNVBAGLBSA-N -1 1 348.411 -0.541 20 0 EBADMM COC[C@H](C)N1CC[C@@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001015864841 728436411 /nfs/dbraw/zinc/43/64/11/728436411.db2.gz JJCNEMUKCPVXFZ-WDEREUQCSA-N -1 1 334.380 -0.703 20 0 EBADMM CCn1ncc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC001015891789 728437517 /nfs/dbraw/zinc/43/75/17/728437517.db2.gz RQERPKZQPFSLPH-NSHDSACASA-N -1 1 333.396 -0.362 20 0 EBADMM COCc1nc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)co1 ZINC001015917726 728439081 /nfs/dbraw/zinc/43/90/81/728439081.db2.gz IHLYUXZJXKEVKX-VIFPVBQESA-N -1 1 336.352 -0.753 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@@H]3CCc4n[nH]cc4C3)C2)nc1=O ZINC001015988422 728440602 /nfs/dbraw/zinc/44/06/02/728440602.db2.gz XMNBRHUEKJKJNU-PWSUYJOCSA-N -1 1 345.407 -0.673 20 0 EBADMM Cc1n[nH]c(C)c1CC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001016239940 728445110 /nfs/dbraw/zinc/44/51/10/728445110.db2.gz VZBFPWQPIVAOSX-LLVKDONJSA-N -1 1 333.396 -0.618 20 0 EBADMM Cc1cc(C(=O)N(C)C2CC(NC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001016292566 728451095 /nfs/dbraw/zinc/45/10/95/728451095.db2.gz NFWIEWBBFSHPOX-UHFFFAOYSA-N -1 1 347.335 -0.653 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3ncc4n3CCCC4)C2)nc1=O ZINC001016297098 728451975 /nfs/dbraw/zinc/45/19/75/728451975.db2.gz KBVIATUGRWRGOB-NSHDSACASA-N -1 1 345.407 -0.355 20 0 EBADMM CCc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC001016414606 728469220 /nfs/dbraw/zinc/46/92/20/728469220.db2.gz ONQUEVPTUHJOKR-JTQLQIEISA-N -1 1 333.396 -0.591 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)Cn2cncn2)C1 ZINC001016427815 728471762 /nfs/dbraw/zinc/47/17/62/728471762.db2.gz LXGFOTSCNSICBO-UHFFFAOYSA-N -1 1 330.348 -0.202 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N1CC[C@@H](N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)C1 ZINC001052062241 735528604 /nfs/dbraw/zinc/52/86/04/735528604.db2.gz HBAXBGMVLFLYSO-MGPQQGTHSA-N -1 1 348.451 -0.517 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)[C@H]2CCC(=O)N2)C1 ZINC001017079504 728545170 /nfs/dbraw/zinc/54/51/70/728545170.db2.gz WQUFAUYNXNDFAD-WDEREUQCSA-N -1 1 336.396 -0.541 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)c2cnn(C)c2N)C1 ZINC001017106632 728547252 /nfs/dbraw/zinc/54/72/52/728547252.db2.gz IINUQOJMQYKQCY-SNVBAGLBSA-N -1 1 348.411 -0.190 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)c2ncn(C)n2)C1 ZINC001017115915 728548167 /nfs/dbraw/zinc/54/81/67/728548167.db2.gz QXRQRZDCADLEEK-SNVBAGLBSA-N -1 1 334.384 -0.377 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCCN(C(=O)C(C)(C)C(N)=O)C1 ZINC001017147567 728550814 /nfs/dbraw/zinc/55/08/14/728550814.db2.gz ZNBFTLIBZWGHPC-SNVBAGLBSA-N -1 1 338.412 -0.308 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001017199109 728555190 /nfs/dbraw/zinc/55/51/90/728555190.db2.gz YVCJJFHKQPTEAM-JTQLQIEISA-N -1 1 349.395 -0.066 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCCN(C(=O)C2(C(N)=O)CC2)C1 ZINC001017201078 728555512 /nfs/dbraw/zinc/55/55/12/728555512.db2.gz YCJYEGZSWHKKIW-JTQLQIEISA-N -1 1 336.396 -0.554 20 0 EBADMM O=C(NCC1=CCN(C(=O)c2ncccc2[O-])CC1)[C@H]1CCC(=O)N1 ZINC001017341737 728565961 /nfs/dbraw/zinc/56/59/61/728565961.db2.gz WBMUBSZMLPNSPO-GFCCVEGCSA-N -1 1 344.371 -0.046 20 0 EBADMM O=C(NCC1=CCN(C(=O)[C@@H]2COC(=O)N2)CC1)c1ncccc1[O-] ZINC001017400204 728571549 /nfs/dbraw/zinc/57/15/49/728571549.db2.gz KGIVOSLSBWTLNR-NSHDSACASA-N -1 1 346.343 -0.216 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3CC[C@@H](C2)N3C(=O)c2cccc(=O)[nH]2)nc1=O ZINC001017447508 728577632 /nfs/dbraw/zinc/57/76/32/728577632.db2.gz YOKZCTIVQWNHQU-PHIMTYICSA-N -1 1 344.375 -0.302 20 0 EBADMM O=C(NCC1=CCN(C(=O)C2CC2)CC1)c1cc(=O)n2[n-]cnc2n1 ZINC001017584349 728590824 /nfs/dbraw/zinc/59/08/24/728590824.db2.gz RDOZKOASLLXOEC-UHFFFAOYSA-N -1 1 342.359 -0.284 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)Cn1cnnn1 ZINC001018098744 728633457 /nfs/dbraw/zinc/63/34/57/728633457.db2.gz JRFOVLZLIGYXIX-QWRGUYRKSA-N -1 1 345.363 -0.417 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2ncccc2[O-])CN1C(=O)c1nnn(C)n1 ZINC001018104780 728633633 /nfs/dbraw/zinc/63/36/33/728633633.db2.gz OALMXSDALQNTJA-UWVGGRQHSA-N -1 1 345.363 -0.266 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)CN1C(=O)C(N)=O ZINC001018160575 728639784 /nfs/dbraw/zinc/63/97/84/728639784.db2.gz JHHZAAWAWACULE-WPRPVWTQSA-N -1 1 347.375 -0.346 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)Cn2ncnn2)CN1C(=O)c1ncccc1[O-] ZINC001018176138 728643308 /nfs/dbraw/zinc/64/33/08/728643308.db2.gz ZOWREWQKTPYMSB-QWRGUYRKSA-N -1 1 345.363 -0.417 20 0 EBADMM CCn1nncc1C(=O)N1C[C@H](NC(=O)c2cnn[nH]2)CC[C@H]1C ZINC001018251806 728650250 /nfs/dbraw/zinc/65/02/50/728650250.db2.gz SHKUNDWWDZQBQG-NXEZZACHSA-N -1 1 332.368 -0.161 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)C(N)=O)CN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001018379919 728665549 /nfs/dbraw/zinc/66/55/49/728665549.db2.gz PMHXGFHSIWCQJP-WPRPVWTQSA-N -1 1 347.375 -0.346 20 0 EBADMM Cc1noc(CN[C@H]2CCN(C(=O)c3cc(=O)n4[n-]cnc4n3)C2)n1 ZINC001019650183 728961986 /nfs/dbraw/zinc/96/19/86/728961986.db2.gz CBYPMJHXRUURNR-VIFPVBQESA-N -1 1 344.335 -0.887 20 0 EBADMM CN(C)[C@@H](C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1)c1cccnc1 ZINC001019832924 729003967 /nfs/dbraw/zinc/00/39/67/729003967.db2.gz BGXMQQCDXGLJJR-GXTWGEPZSA-N -1 1 345.407 -0.101 20 0 EBADMM C[C@H]1[C@@H](NC(=O)[C@@H]2CN(C)CCO2)CCN1C(=O)c1ncccc1[O-] ZINC001020205364 729099704 /nfs/dbraw/zinc/09/97/04/729099704.db2.gz URTOPDUUHIVZMG-OBJOEFQTSA-N -1 1 348.403 -0.163 20 0 EBADMM C[C@H]1[C@@H](NC(=O)[C@@H]2CCC(=O)N2)CCN1C(=O)c1ncccc1[O-] ZINC001020205393 729099861 /nfs/dbraw/zinc/09/98/61/729099861.db2.gz WTKLQUZZLVGGOH-DCAQKATOSA-N -1 1 332.360 -0.215 20 0 EBADMM CCCn1ncnc1CN[C@H]1C[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001020352909 729144237 /nfs/dbraw/zinc/14/42/37/729144237.db2.gz GEJJOIKBFROEOB-KYZUINATSA-N -1 1 348.367 -0.665 20 0 EBADMM CCC(=O)N1CC[C@H]2CN(C(=O)c3cc(=O)n4[n-]cnc4n3)C[C@H]21 ZINC001020869185 729249483 /nfs/dbraw/zinc/24/94/83/729249483.db2.gz ITCZDHKENQBWPR-GXSJLCMTSA-N -1 1 330.348 -0.500 20 0 EBADMM O=C(NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1)[C@H]1CCC(=O)N1 ZINC001021213558 729294466 /nfs/dbraw/zinc/29/44/66/729294466.db2.gz CVHCAEZSBMBDST-HUAZRZQGSA-N -1 1 334.380 -0.600 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@@H](CCCN3C(=O)c3ncc[nH]3)C2)nc1=O ZINC001021580075 729324144 /nfs/dbraw/zinc/32/41/44/729324144.db2.gz BVJCQZZHCSSPMW-RYUDHWBXSA-N -1 1 345.407 -0.042 20 0 EBADMM O=C(N[C@H]1C[C@@H](NCc2nnc(C3CC3)[nH]2)C1)c1n[nH]c(=O)[n-]c1=O ZINC001022369717 729485972 /nfs/dbraw/zinc/48/59/72/729485972.db2.gz NTPMYFFEJCPLRE-OCAPTIKFSA-N -1 1 346.351 -0.671 20 0 EBADMM O=C(N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C1)[C@@H]1CCCS(=O)(=O)C1 ZINC001022798340 729533668 /nfs/dbraw/zinc/53/36/68/729533668.db2.gz BAQHRNWRPHJPPG-BBBLOLIVSA-N -1 1 343.409 -0.928 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](CNC(=O)c3cc[n+]([O-])cc3)C2)nc1=O ZINC001023217330 729641557 /nfs/dbraw/zinc/64/15/57/729641557.db2.gz BUWRNVUPDGSIPU-LBPRGKRZSA-N -1 1 346.391 -0.616 20 0 EBADMM Cc1c(C(=O)NC[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001023236602 729646876 /nfs/dbraw/zinc/64/68/76/729646876.db2.gz DQCCDMNYZLEVMQ-LBPRGKRZSA-N -1 1 347.423 -0.208 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC001023259149 729651040 /nfs/dbraw/zinc/65/10/40/729651040.db2.gz KGFLMIZDNXOOKC-GFCCVEGCSA-N -1 1 347.423 -0.208 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](CNC(=O)[C@H]3CCCOC3)C2)nc1=O ZINC001023268507 729653566 /nfs/dbraw/zinc/65/35/66/729653566.db2.gz JGXXJEBVWKDCCD-OLZOCXBDSA-N -1 1 337.424 -0.137 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](CNC(=O)c3nccnc3N)C2)nc1=O ZINC001023281457 729656712 /nfs/dbraw/zinc/65/67/12/729656712.db2.gz VTQIEKRDMVCIKE-JTQLQIEISA-N -1 1 346.395 -0.877 20 0 EBADMM O=C(CC[C@@H]1CCOC1)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023488528 729702372 /nfs/dbraw/zinc/70/23/72/729702372.db2.gz MFKLHZIAGWZCNC-YRGRVCCFSA-N -1 1 349.387 -0.095 20 0 EBADMM CCOC1CC(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)C1 ZINC001023488665 729702386 /nfs/dbraw/zinc/70/23/86/729702386.db2.gz QZGXRLRWLYVCLI-UAKHECDHSA-N -1 1 349.387 -0.096 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2cccc(=O)[nH]2)C[C@H]1O)c1ncccc1[O-] ZINC001023488631 729702590 /nfs/dbraw/zinc/70/25/90/729702590.db2.gz QEABMXSOFSORLT-ZYHUDNBSSA-N -1 1 344.327 -0.497 20 0 EBADMM CCc1nc[nH]c1C(=O)N1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001023491190 729703602 /nfs/dbraw/zinc/70/36/02/729703602.db2.gz YOTRUMJYDWSJIL-ZYHUDNBSSA-N -1 1 345.359 -0.312 20 0 EBADMM C[C@]1(C(=O)N2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)CCOC1 ZINC001023490977 729703768 /nfs/dbraw/zinc/70/37/68/729703768.db2.gz UTINAYODBJBQMS-SIVJFFJCSA-N -1 1 335.360 -0.485 20 0 EBADMM O=C(N[C@@H]1CN(C(=O)c2csnn2)C[C@H]1O)c1ncccc1[O-] ZINC001023492534 729704077 /nfs/dbraw/zinc/70/40/77/729704077.db2.gz UQPZGQSDLDXSQS-GMSGAONNSA-N -1 1 335.345 -0.746 20 0 EBADMM Cc1cc(C(=O)NC[C@@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)cnn1 ZINC001024413224 729883464 /nfs/dbraw/zinc/88/34/64/729883464.db2.gz YCCRBQKICIRNFG-ZDUSSCGKSA-N -1 1 345.407 -0.009 20 0 EBADMM Cc1ccc(C(=O)NC[C@@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)nn1 ZINC001024434946 729887642 /nfs/dbraw/zinc/88/76/42/729887642.db2.gz LUYRMOVZFKTQQQ-LBPRGKRZSA-N -1 1 345.407 -0.009 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H]2CNC(=O)[C@H]2[C@@H]3COC[C@@H]32)nc1=O ZINC001024604946 729910809 /nfs/dbraw/zinc/91/08/09/729910809.db2.gz SADQIJSQQKIMLG-CIQGVGRVSA-N -1 1 335.408 -0.529 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@H]2CNC(=O)c2ccncn2)nc1=O ZINC001024717637 729921389 /nfs/dbraw/zinc/92/13/89/729921389.db2.gz GEEUIRHXAXGZGT-NSHDSACASA-N -1 1 331.380 -0.317 20 0 EBADMM Cn1cc(CC(=O)N2CCCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)cn1 ZINC001024896236 729940350 /nfs/dbraw/zinc/94/03/50/729940350.db2.gz QJOHTUPSSZJFQM-GFCCVEGCSA-N -1 1 333.396 -0.043 20 0 EBADMM O=C([C@@H]1CCC(=O)NC1)N1CCCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001025007130 729985274 /nfs/dbraw/zinc/98/52/74/729985274.db2.gz KXBNWBDITACKKL-GHMZBOCLSA-N -1 1 336.396 -0.493 20 0 EBADMM O=C(Cc1cnoc1)N[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001025180807 730011920 /nfs/dbraw/zinc/01/19/20/730011920.db2.gz MVHXMNRGRLAYRL-ZYHUDNBSSA-N -1 1 332.316 -0.681 20 0 EBADMM CCOC1CC(C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)C1 ZINC001025763314 730085625 /nfs/dbraw/zinc/08/56/25/730085625.db2.gz YECGKDCYBTXDOQ-SGQZKWBFSA-N -1 1 335.408 -0.530 20 0 EBADMM CC[C@H]1CC[C@H](C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)O1 ZINC001025807030 730093088 /nfs/dbraw/zinc/09/30/88/730093088.db2.gz BCBYSKHAMXJEJH-YCGPCKTQSA-N -1 1 335.408 -0.388 20 0 EBADMM CC[C@@H]1OCCC[C@H]1C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001025810460 730094470 /nfs/dbraw/zinc/09/44/70/730094470.db2.gz HNPBWMSWTACSKI-BIGJJFBESA-N -1 1 349.435 -0.140 20 0 EBADMM C[C@H]1OCC[C@]1(C)C(=O)N[C@@H]1[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001025924326 730108273 /nfs/dbraw/zinc/10/82/73/730108273.db2.gz PTEZYHMIHSJVHH-ANBXVWAOSA-N -1 1 335.408 -0.530 20 0 EBADMM CO[C@H]1CCC[C@@H](C(=O)N[C@@H]2[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)C1 ZINC001025989269 730114891 /nfs/dbraw/zinc/11/48/91/730114891.db2.gz RVHQCTGTZUFKIP-JYKNGBAOSA-N -1 1 349.435 -0.140 20 0 EBADMM Cc1nnc(CNC[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)o1 ZINC001026941592 730173801 /nfs/dbraw/zinc/17/38/01/730173801.db2.gz MHGQVAGZIIGOBG-QMMMGPOBSA-N -1 1 335.324 -0.774 20 0 EBADMM Cc1noc([C@@H](C)NC[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001026940954 730173835 /nfs/dbraw/zinc/17/38/35/730173835.db2.gz WACOIRSIHPXVRM-VXNVDRBHSA-N -1 1 349.351 -0.213 20 0 EBADMM Cc1nnc(CNC[C@@H]2CCN(C(=O)c3cnc([O-])n(C)c3=O)C2)[nH]1 ZINC001026986083 730178933 /nfs/dbraw/zinc/17/89/33/730178933.db2.gz HMSCGYZYOVOJID-JTQLQIEISA-N -1 1 347.379 -0.836 20 0 EBADMM Cc1nn(C)cc1C(=O)NC[C@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001027796537 730239448 /nfs/dbraw/zinc/23/94/48/730239448.db2.gz HODGSQVWMOJHFH-LLVKDONJSA-N -1 1 333.396 -0.455 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)NC[C@H]1CCCN1Cc1nc(=O)n(C)[n-]1 ZINC001028090850 730265811 /nfs/dbraw/zinc/26/58/11/730265811.db2.gz GYWLJUNZAYKSPA-SNVBAGLBSA-N -1 1 347.379 -0.693 20 0 EBADMM Cc1cnc(CN2CC[C@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)cn1 ZINC001028662500 730334406 /nfs/dbraw/zinc/33/44/06/730334406.db2.gz YHJGVZCWCXUUHX-SNVBAGLBSA-N -1 1 345.363 -0.367 20 0 EBADMM O=C(NC[C@@H]1CCN(Cc2ccns2)C1)c1n[nH]c(=O)[n-]c1=O ZINC001028662223 730334762 /nfs/dbraw/zinc/33/47/62/730334762.db2.gz VDZUAYBGBIHUKR-QMMMGPOBSA-N -1 1 336.377 -0.009 20 0 EBADMM CNC(=O)[C@H](C)N1CC[C@H](CNC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001028853800 730363308 /nfs/dbraw/zinc/36/33/08/730363308.db2.gz PTMUZNQAMKJXBF-WDEREUQCSA-N -1 1 346.391 -0.791 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](CNC(=O)c3ccc(=O)[nH]c3)C2)nc1=O ZINC001028969030 730375761 /nfs/dbraw/zinc/37/57/61/730375761.db2.gz JTHWALGIKSVQAU-JTQLQIEISA-N -1 1 332.364 -0.539 20 0 EBADMM Cc1cnc(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)cn1 ZINC001029198084 730392875 /nfs/dbraw/zinc/39/28/75/730392875.db2.gz MFAUZIVGGNMTIK-TXEJJXNPSA-N -1 1 343.391 -0.304 20 0 EBADMM Cc1cc(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)ncn1 ZINC001029277007 730396145 /nfs/dbraw/zinc/39/61/45/730396145.db2.gz YHHVJRUGSCXMGT-TXEJJXNPSA-N -1 1 343.391 -0.304 20 0 EBADMM CCn1nncc1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029297441 730397594 /nfs/dbraw/zinc/39/75/94/730397594.db2.gz SHYQUFJOAIXZLP-PHIMTYICSA-N -1 1 346.395 -0.791 20 0 EBADMM COC1CC(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)C1 ZINC001029350720 730400798 /nfs/dbraw/zinc/40/07/98/730400798.db2.gz YSMHWUCYVSVONF-UNTZMWQOSA-N -1 1 335.408 -0.291 20 0 EBADMM C[C@H]1CO[C@H](C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)C1 ZINC001029399639 730403142 /nfs/dbraw/zinc/40/31/42/730403142.db2.gz UATYDYHGINISMA-NDBYEHHHSA-N -1 1 335.408 -0.291 20 0 EBADMM Cc1nocc1C(=O)N1C[C@H]2CC[C@@H](C1)N2Cc1nc(=O)n(C)[n-]1 ZINC001029451595 730406095 /nfs/dbraw/zinc/40/60/95/730406095.db2.gz GXXPDOWRYGLBBK-PHIMTYICSA-N -1 1 332.364 -0.106 20 0 EBADMM Cn1cc(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)[nH]c1=O ZINC001029454255 730406207 /nfs/dbraw/zinc/40/62/07/730406207.db2.gz XCVVZNJDDBYHQB-AOOOYVTPSA-N -1 1 347.379 -0.964 20 0 EBADMM Cc1nc(C)c(C(=O)N2C[C@H]3CC[C@@H](C2)N3Cc2nc(=O)n(C)[n-]2)[nH]1 ZINC001029478615 730407282 /nfs/dbraw/zinc/40/72/82/730407282.db2.gz UZPMUTARSUYIOY-TXEJJXNPSA-N -1 1 345.407 -0.063 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)c2cc[n+]([O-])cc2)C1 ZINC001029637709 730478178 /nfs/dbraw/zinc/47/81/78/730478178.db2.gz FKHCKPBISWBGFX-NSHDSACASA-N -1 1 332.364 -0.262 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)c2cnn(C)c2N)C1 ZINC001029715220 730487411 /nfs/dbraw/zinc/48/74/11/730487411.db2.gz JFFRDELPQREVFE-SECBINFHSA-N -1 1 334.384 -0.580 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)c2cc3n(n2)CCO3)C1 ZINC001029755509 730495022 /nfs/dbraw/zinc/49/50/22/730495022.db2.gz OOXGBVHJLWLAGV-SNVBAGLBSA-N -1 1 347.379 -0.307 20 0 EBADMM COc1nccc(C(=O)N2CC[C@@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)n1 ZINC001029782061 730500883 /nfs/dbraw/zinc/50/08/83/730500883.db2.gz WSITYWUAUJHNMK-JTQLQIEISA-N -1 1 347.379 -0.097 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)[C@H]2CCC(=O)N2C)C1 ZINC001029816368 730506672 /nfs/dbraw/zinc/50/66/72/730506672.db2.gz DGDHBIHGFHOCCG-WDEREUQCSA-N -1 1 336.396 -0.589 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@@H]1CCN(C(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001029877881 730517309 /nfs/dbraw/zinc/51/73/09/730517309.db2.gz XWEFRQXZFFNIPX-VIFPVBQESA-N -1 1 347.379 -0.469 20 0 EBADMM COc1ccc(C(=O)N2CC[C@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)nn1 ZINC001029881822 730518314 /nfs/dbraw/zinc/51/83/14/730518314.db2.gz LHGRLPQPZBGWHE-SNVBAGLBSA-N -1 1 347.379 -0.097 20 0 EBADMM COc1c(C(=O)N2CC[C@@H](CN(C)Cc3n[nH]c(=O)[n-]3)C2)cnn1C ZINC001029898218 730520610 /nfs/dbraw/zinc/52/06/10/730520610.db2.gz YEZXHFRCPJDEQF-JTQLQIEISA-N -1 1 349.395 -0.154 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001029905962 730523156 /nfs/dbraw/zinc/52/31/56/730523156.db2.gz YGTPKMTXNZQVLH-SECBINFHSA-N -1 1 347.379 -0.469 20 0 EBADMM C[C@H]1CN(C(=O)CN2CC(NC(=O)c3ncccc3[O-])C2)C[C@H](C)O1 ZINC001030243296 730558280 /nfs/dbraw/zinc/55/82/80/730558280.db2.gz AREHBOIUEZSJBV-RYUDHWBXSA-N -1 1 348.403 -0.163 20 0 EBADMM COc1ccc(CC(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c(C)c1 ZINC001030371353 730574999 /nfs/dbraw/zinc/57/49/99/730574999.db2.gz MRGABEKCHLULOI-UHFFFAOYSA-N -1 1 345.403 -0.031 20 0 EBADMM CC(=O)Nc1ccc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)nc1 ZINC001030411199 730582163 /nfs/dbraw/zinc/58/21/63/730582163.db2.gz HHEVUAKMHUVXHY-UHFFFAOYSA-N -1 1 345.363 -0.924 20 0 EBADMM Cc1c(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)sc2nccn12 ZINC001030457231 730589342 /nfs/dbraw/zinc/58/93/42/730589342.db2.gz CDXAURREMVEOIW-UHFFFAOYSA-N -1 1 347.404 -0.260 20 0 EBADMM Cc1nc2sccn2c1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030524940 730599430 /nfs/dbraw/zinc/59/94/30/730599430.db2.gz XWNPDMDCDQPFCF-UHFFFAOYSA-N -1 1 347.404 -0.260 20 0 EBADMM Cn1cc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c(C2CCC2)n1 ZINC001030549938 730603911 /nfs/dbraw/zinc/60/39/11/730603911.db2.gz CMRDRCPOGVPZPK-UHFFFAOYSA-N -1 1 345.407 -0.276 20 0 EBADMM Cc1nc(CN2CC(NC(=O)Cc3cc(=O)n(CC(C)C)[n-]3)C2)n[nH]1 ZINC001030624650 730615804 /nfs/dbraw/zinc/61/58/04/730615804.db2.gz PLAQRQHVIOLDOS-UHFFFAOYSA-N -1 1 347.423 -0.198 20 0 EBADMM C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)NC1CN(Cc2n[nH]c(=O)[n-]2)C1 ZINC001030701140 730625753 /nfs/dbraw/zinc/62/57/53/730625753.db2.gz LRXNIWQXYMCJAZ-OQPBUACISA-N -1 1 331.380 -0.100 20 0 EBADMM CCOc1nccc(C)c1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030737159 730630621 /nfs/dbraw/zinc/63/06/21/730630621.db2.gz OJNOWTRFQRSJSZ-UHFFFAOYSA-N -1 1 346.391 -0.175 20 0 EBADMM Cc1nn(C)c(C)c1CC(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030754737 730633860 /nfs/dbraw/zinc/63/38/60/730633860.db2.gz GFTYOBGQFKDRRL-UHFFFAOYSA-N -1 1 333.396 -0.998 20 0 EBADMM Cc1noc(C)c1C(C)(C)C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030821716 730644103 /nfs/dbraw/zinc/64/41/03/730644103.db2.gz ZCBCZEFBFKSAHG-UHFFFAOYSA-N -1 1 348.407 -0.009 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@H]3CCCc4cccnc43)C2)nc1=O ZINC001030827198 730644836 /nfs/dbraw/zinc/64/48/36/730644836.db2.gz SRTMAFRKMXJYBS-ZDUSSCGKSA-N -1 1 342.403 -0.076 20 0 EBADMM Cn1nc(C(C)(C)C)cc1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001030893914 730652795 /nfs/dbraw/zinc/65/27/95/730652795.db2.gz VQNWPQYJJVVGQU-UHFFFAOYSA-N -1 1 347.423 -0.246 20 0 EBADMM Cc1cn2ccc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)cc2n1 ZINC001030955216 730657718 /nfs/dbraw/zinc/65/77/18/730657718.db2.gz USWVZLXYIKJDBV-UHFFFAOYSA-N -1 1 341.375 -0.321 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3csc4cncn43)C2)nc1=O ZINC001031040405 730667723 /nfs/dbraw/zinc/66/77/23/730667723.db2.gz GAUNOUKWLSKQGN-UHFFFAOYSA-N -1 1 333.377 -0.568 20 0 EBADMM O=C(NC1CN(CC2CCOCC2)C1)c1c[n-]n2c1nccc2=O ZINC001031069283 730670818 /nfs/dbraw/zinc/67/08/18/730670818.db2.gz WWTZUYNNBOQPMW-UHFFFAOYSA-N -1 1 331.376 -0.137 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@@H]3CCOc4ccccc43)C2)nc1=O ZINC001031110518 730676640 /nfs/dbraw/zinc/67/66/40/730676640.db2.gz PCPGPTSBNGMSIS-CYBMUJFWSA-N -1 1 343.387 -0.025 20 0 EBADMM CCCn1ncc(C(=O)NC2CN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC001031143602 730680833 /nfs/dbraw/zinc/68/08/33/730680833.db2.gz UWJOCQXALVXTOF-UHFFFAOYSA-N -1 1 333.396 -0.362 20 0 EBADMM CCOc1nc(C)ccc1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031181927 730683930 /nfs/dbraw/zinc/68/39/30/730683930.db2.gz HHBYUGYCTOETSB-UHFFFAOYSA-N -1 1 346.391 -0.175 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(C[C@@H]2CC[C@@H](C)O2)C1 ZINC001031249776 730693370 /nfs/dbraw/zinc/69/33/70/730693370.db2.gz HNGMLQTXTUKHDX-SCZZXKLOSA-N -1 1 338.364 -0.122 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC1CN(Cc2ccns2)C1 ZINC001031250171 730693659 /nfs/dbraw/zinc/69/36/59/730693659.db2.gz WMYHKVJCCXUYKQ-UHFFFAOYSA-N -1 1 337.361 -0.033 20 0 EBADMM Cc1nc(CN2CC(NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)oc1C ZINC001031249938 730693750 /nfs/dbraw/zinc/69/37/50/730693750.db2.gz MEEGRBYHIILCNA-UHFFFAOYSA-N -1 1 343.347 -0.363 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3cc4c(cn3)OCCC4)C2)nc1=O ZINC001031320305 730701991 /nfs/dbraw/zinc/70/19/91/730701991.db2.gz GKOSCZWBRCQMJY-UHFFFAOYSA-N -1 1 344.375 -0.557 20 0 EBADMM C[C@@H]1CCn2ncc(C(=O)NC3CN(Cc4nc(=O)n(C)[n-]4)C3)c2C1 ZINC001031323163 730703108 /nfs/dbraw/zinc/70/31/08/730703108.db2.gz VRJLFJNSJCJDTM-SNVBAGLBSA-N -1 1 345.407 -0.499 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3n[nH]c4c3CCCCC4)C2)nc1=O ZINC001031389907 730713994 /nfs/dbraw/zinc/71/39/94/730713994.db2.gz CCPRFKDDWRMHFE-UHFFFAOYSA-N -1 1 345.407 -0.285 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)c3c[nH]nc3-c3ccco3)C2)nc1=O ZINC001031411660 730718620 /nfs/dbraw/zinc/71/86/20/730718620.db2.gz XQGBGPOEITXCJE-UHFFFAOYSA-N -1 1 343.347 -0.294 20 0 EBADMM Cn1[n-]c(CN2CC(NC(=O)[C@H]3Cc4cccc(F)c4O3)C2)nc1=O ZINC001031412421 730718646 /nfs/dbraw/zinc/71/86/46/730718646.db2.gz DZUKWLDGEOTUDM-GFCCVEGCSA-N -1 1 347.350 -0.448 20 0 EBADMM C[C@@H]1c2ccccc2O[C@H]1C(=O)NC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031485159 730728580 /nfs/dbraw/zinc/72/85/80/730728580.db2.gz DRJAAXUWMARHON-MEBBXXQBSA-N -1 1 343.387 -0.027 20 0 EBADMM C[C@H]1CN(C(=O)CN2CC(CNC(=O)c3ncccc3[O-])C2)CCO1 ZINC001031628696 730742825 /nfs/dbraw/zinc/74/28/25/730742825.db2.gz UZPDVLIPMRBISZ-LBPRGKRZSA-N -1 1 348.403 -0.304 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cc4n(n3)CCC4)C2)nc1=O ZINC001031716601 730755804 /nfs/dbraw/zinc/75/58/04/730755804.db2.gz JOWCXFOJFXTJOX-UHFFFAOYSA-N -1 1 331.380 -0.887 20 0 EBADMM CC(C)[C@H]1OCC[C@@H]1C(=O)NCC1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001031723253 730757260 /nfs/dbraw/zinc/75/72/60/730757260.db2.gz MWJHQJLWPXOXGE-GXTWGEPZSA-N -1 1 337.424 -0.283 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cc(C(C)(C)C)n[nH]3)C2)nc1=O ZINC001031807676 730772319 /nfs/dbraw/zinc/77/23/19/730772319.db2.gz ZIOPPIUVNLVRTJ-UHFFFAOYSA-N -1 1 347.423 -0.009 20 0 EBADMM COC1CCC(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)CC1 ZINC001031940937 730795205 /nfs/dbraw/zinc/79/52/05/730795205.db2.gz GKYQYKIPLNUKFK-UHFFFAOYSA-N -1 1 337.424 -0.138 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3nccn4ccnc34)C2)nc1=O ZINC001031984270 730800887 /nfs/dbraw/zinc/80/08/87/730800887.db2.gz DSHGJQFCDWYLJH-UHFFFAOYSA-N -1 1 342.363 -0.987 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)c3cnoc3C3CC3)C2)nc1=O ZINC001032096865 730811891 /nfs/dbraw/zinc/81/18/91/730811891.db2.gz GIBPCHXBIIJVAX-UHFFFAOYSA-N -1 1 332.364 -0.164 20 0 EBADMM COc1cccc(C(=O)NCC2CN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001032096819 730812040 /nfs/dbraw/zinc/81/20/40/730812040.db2.gz VCPHSJITFGQVME-UHFFFAOYSA-N -1 1 332.364 -0.626 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC1CN(Cc2ncccn2)C1 ZINC001032127396 730816418 /nfs/dbraw/zinc/81/64/18/730816418.db2.gz RPJGPUPFWGKPGZ-UHFFFAOYSA-N -1 1 346.347 -0.452 20 0 EBADMM Cn1[n-]c(CN2CC(CNC(=O)[C@H]3Cc4cccnc4C3)C2)nc1=O ZINC001032154716 730818948 /nfs/dbraw/zinc/81/89/48/730818948.db2.gz GMSHATVMMPQWMK-ZDUSSCGKSA-N -1 1 342.403 -0.534 20 0 EBADMM CCCn1nccc1C(=O)N1C[C@@H]2C[C@H]1CN2Cc1nc(=O)n(C)[n-]1 ZINC001032425859 730857260 /nfs/dbraw/zinc/85/72/60/730857260.db2.gz NUGUWADEZMDENO-RYUDHWBXSA-N -1 1 345.407 -0.186 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1C[C@@H]2C[C@H]1CN2C[C@H]1CCCCO1 ZINC001032499174 730876809 /nfs/dbraw/zinc/87/68/09/730876809.db2.gz SYBBIVRJZLRUJH-GARJFASQSA-N -1 1 335.364 -0.249 20 0 EBADMM CCCn1cc(C(=O)N2C[C@@H]3C[C@H]2CN3Cc2nc(=O)n(C)[n-]2)cn1 ZINC001032656229 730905703 /nfs/dbraw/zinc/90/57/03/730905703.db2.gz RUZKEQRMAMUPSF-STQMWFEESA-N -1 1 345.407 -0.186 20 0 EBADMM Cc1cc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001032938212 730950775 /nfs/dbraw/zinc/95/07/75/730950775.db2.gz PCIZNKKAOCMXKS-LLVKDONJSA-N -1 1 333.396 -0.503 20 0 EBADMM Cc1[nH]nc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC001032955216 730951694 /nfs/dbraw/zinc/95/16/94/730951694.db2.gz VDNVMCFHOYYMJE-LLVKDONJSA-N -1 1 333.396 -0.205 20 0 EBADMM Cc1cc(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)ncn1 ZINC001033016293 730957319 /nfs/dbraw/zinc/95/73/19/730957319.db2.gz ZXIUIUIVHOYRCJ-NSHDSACASA-N -1 1 331.380 -0.447 20 0 EBADMM COc1cc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)on1 ZINC001033022283 730958248 /nfs/dbraw/zinc/95/82/48/730958248.db2.gz BKRNJUIVRQPOIF-SECBINFHSA-N -1 1 336.352 -0.549 20 0 EBADMM CCn1ccnc1C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033045557 730962538 /nfs/dbraw/zinc/96/25/38/730962538.db2.gz FRJVINSXQINXTE-NSHDSACASA-N -1 1 333.396 -0.329 20 0 EBADMM CCc1c(C(=O)N(C)[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cnn1C ZINC001033227570 730991118 /nfs/dbraw/zinc/99/11/18/730991118.db2.gz GCFBBCSTNWNBNX-NSHDSACASA-N -1 1 347.423 -0.249 20 0 EBADMM Cc1nc(CN2CC[C@@H](N(C)C(=O)c3n[nH]c(=O)[n-]c3=O)C2)n[nH]1 ZINC001033237969 730993248 /nfs/dbraw/zinc/99/32/48/730993248.db2.gz MWXHQNNCRLAYON-MRVPVSSYSA-N -1 1 334.340 -0.944 20 0 EBADMM O=C(COCC(F)F)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001058143751 737670353 /nfs/dbraw/zinc/67/03/53/737670353.db2.gz JJIPVQLLHCMJSM-UHFFFAOYSA-N -1 1 347.318 -0.380 20 0 EBADMM CN(C(=O)[C@]1(F)CCOC1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033362561 731013929 /nfs/dbraw/zinc/01/39/29/731013929.db2.gz SHLLTLSMJHUGFP-HZMBPMFUSA-N -1 1 327.360 -0.730 20 0 EBADMM CCn1ccc(C(=O)N(C)[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001033472086 731026420 /nfs/dbraw/zinc/02/64/20/731026420.db2.gz RTFMGZXAHZITAP-LLVKDONJSA-N -1 1 333.396 -0.329 20 0 EBADMM CC[C@H](C(N)=O)N1CC[C@H](N(C)C(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001033486410 731028295 /nfs/dbraw/zinc/02/82/95/731028295.db2.gz VUJGORDVQUPLTO-CMPLNLGQSA-N -1 1 346.391 -0.567 20 0 EBADMM C[C@@H](C(=O)N(C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)c1cnn(C)c1 ZINC001033723538 731070201 /nfs/dbraw/zinc/07/02/01/731070201.db2.gz PULREBUNTIESKX-YPMHNXCESA-N -1 1 347.423 -0.322 20 0 EBADMM CCN(C(=O)[C@@H]1CCCOC1)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033773172 731072423 /nfs/dbraw/zinc/07/24/23/731072423.db2.gz OLEGXLXWDUCSAA-CHWSQXEVSA-N -1 1 337.424 -0.042 20 0 EBADMM CCN(C(=O)c1ccnnc1C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033883234 731083467 /nfs/dbraw/zinc/08/34/67/731083467.db2.gz KYSVBNKDDHQPMC-LBPRGKRZSA-N -1 1 345.407 -0.057 20 0 EBADMM CCN(C(=O)[C@H]1OCC[C@H]1C)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001033953936 731094233 /nfs/dbraw/zinc/09/42/33/731094233.db2.gz IYQCKTWGTRNPGY-DYEKYZERSA-N -1 1 337.424 -0.044 20 0 EBADMM Cn1[n-]c(CN2CCCC[C@@H](NC(=O)[C@H]3[C@@H]4COC[C@@H]43)C2)nc1=O ZINC001034356883 731125358 /nfs/dbraw/zinc/12/53/58/731125358.db2.gz AWQPOAWXGROFHQ-NMKXLXIOSA-N -1 1 335.408 -0.529 20 0 EBADMM CC[C@@]1(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)CCNC1=O ZINC001034963106 731169444 /nfs/dbraw/zinc/16/94/44/731169444.db2.gz CGFCTSSUAHDIQP-MEBBXXQBSA-N -1 1 336.396 -0.493 20 0 EBADMM NC(=O)c1cncc(C(=O)N2CCC[C@@H]2CNCc2n[nH]c(=O)[n-]2)c1 ZINC001035128894 731189756 /nfs/dbraw/zinc/18/97/56/731189756.db2.gz SSBIPIFQYWSCJA-LLVKDONJSA-N -1 1 345.363 -0.601 20 0 EBADMM Cn1nnc(C(=O)N2CCC3(CCN(Cc4n[nH]c(=O)[n-]4)C3)CC2)n1 ZINC001035214880 731197710 /nfs/dbraw/zinc/19/77/10/731197710.db2.gz HYQLBWDHEUIKHY-UHFFFAOYSA-N -1 1 347.383 -0.838 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)c3ccc(F)cc3)C2)nc1=O ZINC001035267997 731202524 /nfs/dbraw/zinc/20/25/24/731202524.db2.gz UXWJBMYCVRAXDP-ZDUSSCGKSA-N -1 1 349.366 -0.122 20 0 EBADMM Cc1cc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)c(C)o1 ZINC001035287791 731205707 /nfs/dbraw/zinc/20/57/07/731205707.db2.gz QPKRADIBHBJMAF-LBPRGKRZSA-N -1 1 349.391 -0.051 20 0 EBADMM CCc1cc(C(=O)NC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)CCO2)[nH]n1 ZINC001035296268 731207072 /nfs/dbraw/zinc/20/70/72/731207072.db2.gz DGPFACSJJZGUKZ-NSHDSACASA-N -1 1 349.395 -0.975 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)c3cscn3)C2)nc1=O ZINC001035308933 731211010 /nfs/dbraw/zinc/21/10/10/731211010.db2.gz BBTJISXDUBEBTR-VIFPVBQESA-N -1 1 338.393 -0.804 20 0 EBADMM Cn1ncc(CN2CCO[C@H](CNC(=O)c3ncccc3[O-])C2)n1 ZINC001035343656 731221985 /nfs/dbraw/zinc/22/19/85/731221985.db2.gz KEXYCXGJYZYWJQ-GFCCVEGCSA-N -1 1 332.364 -0.454 20 0 EBADMM CN1CC[C@@H](N2CCO[C@H](CNC(=O)c3ncccc3[O-])C2)C1=O ZINC001035345068 731222335 /nfs/dbraw/zinc/22/23/35/731222335.db2.gz VLPAXJMERXOJOY-VXGBXAGGSA-N -1 1 334.376 -0.552 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)[C@H]3C[C@@H]3C(F)F)C2)nc1=O ZINC001035357830 731228417 /nfs/dbraw/zinc/22/84/17/731228417.db2.gz GRQBRBTUYLXLEG-GUBZILKMSA-N -1 1 345.350 -0.673 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)[C@@H]3CC3(F)F)C2)nc1=O ZINC001035366793 731231614 /nfs/dbraw/zinc/23/16/14/731231614.db2.gz HMHGKGBMAWHGBX-IUCAKERBSA-N -1 1 331.323 -0.919 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H](CNC(=O)c3ccsn3)C2)nc1=O ZINC001035409579 731245908 /nfs/dbraw/zinc/24/59/08/731245908.db2.gz QKOPZRWZCFQNRQ-SECBINFHSA-N -1 1 338.393 -0.804 20 0 EBADMM C[C@H]1CCCN(C(=O)CCn2ccnn2)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036542597 731261911 /nfs/dbraw/zinc/26/19/11/731261911.db2.gz DBQPTLPVUXQLRS-RYUDHWBXSA-N -1 1 348.411 -0.091 20 0 EBADMM C[C@@H]1CCCN(C(=O)c2cnn(C)c2N)[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036540132 731261923 /nfs/dbraw/zinc/26/19/23/731261923.db2.gz QVACUEJSAANSGJ-KOLCDFICSA-N -1 1 348.411 -0.144 20 0 EBADMM Cc1n[nH]c(C(=O)NC[C@H]2CCN2C[C@H](O)Cn2cccn2)c1[O-] ZINC001038162431 731289192 /nfs/dbraw/zinc/28/91/92/731289192.db2.gz VOVUUTSXYVZLMW-NEPJUHHUSA-N -1 1 334.380 -0.515 20 0 EBADMM C[C@H]1CN(C(=O)CN2CC[C@H]2CNC(=O)c2ncccc2[O-])CCO1 ZINC001038183603 731291263 /nfs/dbraw/zinc/29/12/63/731291263.db2.gz KUZCFQWYUNQZNS-STQMWFEESA-N -1 1 348.403 -0.161 20 0 EBADMM C[C@@H]1CN(C(=O)CN2CC[C@@H]2CNC(=O)c2ncccc2[O-])CCO1 ZINC001038183599 731291297 /nfs/dbraw/zinc/29/12/97/731291297.db2.gz KUZCFQWYUNQZNS-CHWSQXEVSA-N -1 1 348.403 -0.161 20 0 EBADMM O=C(NC[C@@H]1CCN1Cc1nnc2n1CCOC2)c1ncccc1[O-] ZINC001038190765 731292030 /nfs/dbraw/zinc/29/20/30/731292030.db2.gz FDHHRRAFGRWFBG-NSHDSACASA-N -1 1 344.375 -0.087 20 0 EBADMM COc1nc(C)ncc1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038317792 731306588 /nfs/dbraw/zinc/30/65/88/731306588.db2.gz FYNUCWAMYQIRIX-JTQLQIEISA-N -1 1 347.379 -0.780 20 0 EBADMM Cc1[nH]nc(Cl)c1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038336224 731308891 /nfs/dbraw/zinc/30/88/91/731308891.db2.gz RAKJBUVYEIZOSI-MRVPVSSYSA-N -1 1 339.787 -0.202 20 0 EBADMM CCOc1cc(C(=O)NC[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)[nH]n1 ZINC001038397431 731318852 /nfs/dbraw/zinc/31/88/52/731318852.db2.gz HKMNXUCHLIQUEC-SECBINFHSA-N -1 1 335.368 -0.766 20 0 EBADMM CCCc1n[nH]cc1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038441109 731325699 /nfs/dbraw/zinc/32/56/99/731325699.db2.gz NKPVZYQKDLAGHF-JTQLQIEISA-N -1 1 333.396 -0.212 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@@H]2CCO[C@H]2C2CC2)nc1=O ZINC001038537520 731341266 /nfs/dbraw/zinc/34/12/66/731341266.db2.gz PEDWKFWUAJHWSI-SCRDCRAPSA-N -1 1 335.408 -0.386 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)[C@@H]2CCCc3nc[nH]c32)nc1=O ZINC001038683232 731363749 /nfs/dbraw/zinc/36/37/49/731363749.db2.gz GZHDVSCIOAKPAJ-WDEREUQCSA-N -1 1 345.407 -0.358 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]2CNC(=O)c2nccn3ccnc23)nc1=O ZINC001038712401 731368211 /nfs/dbraw/zinc/36/82/11/731368211.db2.gz KVJARCQWJCIPBS-JTQLQIEISA-N -1 1 342.363 -0.845 20 0 EBADMM CCn1nc(C)cc1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001038729353 731370502 /nfs/dbraw/zinc/37/05/02/731370502.db2.gz HPZPECKTILJTFJ-NSHDSACASA-N -1 1 333.396 -0.362 20 0 EBADMM COc1c(C(=O)NC[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)c(C)nn1C ZINC001038761897 731373078 /nfs/dbraw/zinc/37/30/78/731373078.db2.gz OYDNZOAVCRWBNF-JTQLQIEISA-N -1 1 349.395 -0.837 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]2CNC(=O)c2coc(C3CC3)n2)nc1=O ZINC001038780311 731375693 /nfs/dbraw/zinc/37/56/93/731375693.db2.gz ILDIHFLQEHFLPT-SNVBAGLBSA-N -1 1 332.364 -0.022 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@@H]1CCN1Cc1cnon1 ZINC001038917937 731392115 /nfs/dbraw/zinc/39/21/15/731392115.db2.gz UKISSPNOJUOYSP-QMMMGPOBSA-N -1 1 336.308 -0.716 20 0 EBADMM Cc1nonc1CN1CC[C@@H]1CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001038923317 731393707 /nfs/dbraw/zinc/39/37/07/731393707.db2.gz RPUIFRIIHIODHD-SECBINFHSA-N -1 1 344.335 -0.887 20 0 EBADMM CC(C)c1nn(C)cc1C(=O)NC[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001039025556 731406639 /nfs/dbraw/zinc/40/66/39/731406639.db2.gz AHRWNVVRJWKNIH-NSHDSACASA-N -1 1 347.423 -0.030 20 0 EBADMM Cc1cc(=O)[nH]c(C)c1C(=O)NC[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001039034074 731408096 /nfs/dbraw/zinc/40/80/96/731408096.db2.gz GMPXKWKBESVUNZ-LLVKDONJSA-N -1 1 346.391 -0.170 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CC[C@@H](C2)N3C(=O)Cc2cnoc2)nc1=O ZINC001039422328 731433874 /nfs/dbraw/zinc/43/38/74/731433874.db2.gz DAZFUUQDAAUZAA-OLZOCXBDSA-N -1 1 346.391 -0.096 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CC[C@@H](C2)N3C(=O)Cn2ccnc2)nc1=O ZINC001039478857 731437909 /nfs/dbraw/zinc/43/79/09/731437909.db2.gz TXQMYMWSGOCLPO-OLZOCXBDSA-N -1 1 345.407 -0.430 20 0 EBADMM CC(C)(O)CC(=O)NC[C@@]1(O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001039589510 731454718 /nfs/dbraw/zinc/45/47/18/731454718.db2.gz ZHBURNNESRLSNY-INIZCTEOSA-N -1 1 337.376 -0.359 20 0 EBADMM CO[C@H](C(=O)NC[C@]1(O)CCN(C(=O)c2ncccc2[O-])C1)C1CC1 ZINC001039597034 731458396 /nfs/dbraw/zinc/45/83/96/731458396.db2.gz OPTTWUBSSJZBIW-WMLDXEAASA-N -1 1 349.387 -0.095 20 0 EBADMM O=C(CC1(O)CCC1)NC[C@]1(O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001039670349 731484700 /nfs/dbraw/zinc/48/47/00/731484700.db2.gz SGRUHSOVVJIRHN-QGZVFWFLSA-N -1 1 349.387 -0.215 20 0 EBADMM O=C(NC[C@]1(O)CCN(C(=O)c2ncccc2[O-])C1)[C@H]1CCCO1 ZINC001040104362 731601217 /nfs/dbraw/zinc/60/12/17/731601217.db2.gz NSXNWOQMMDZQKJ-MLGOLLRUSA-N -1 1 335.360 -0.341 20 0 EBADMM COCC1(C(=O)NC[C@]2(O)CCN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001040297912 731688997 /nfs/dbraw/zinc/68/89/97/731688997.db2.gz RUXYCDKRINGZMX-QGZVFWFLSA-N -1 1 349.387 -0.093 20 0 EBADMM O=C(NC[C@]1(O)CCN(C(=O)c2ncccc2[O-])C1)c1cnco1 ZINC001040389339 731722635 /nfs/dbraw/zinc/72/26/35/731722635.db2.gz XEVZVSDSFJAVRT-OAHLLOKOSA-N -1 1 332.316 -0.218 20 0 EBADMM O=C(Cc1ncc[nH]1)NC[C@@]1(O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001040693668 731833055 /nfs/dbraw/zinc/83/30/55/731833055.db2.gz HOHDQGHFMFMWCQ-INIZCTEOSA-N -1 1 345.359 -0.554 20 0 EBADMM C[C@@]1(C(=O)NC[C@@]2(O)CCN(C(=O)c3ncccc3[O-])C2)CCOC1 ZINC001040697113 731836315 /nfs/dbraw/zinc/83/63/15/731836315.db2.gz PGCRGUBHWNIWQD-SJORKVTESA-N -1 1 349.387 -0.093 20 0 EBADMM O=C(C[C@H]1CCOC1)NC[C@]1(O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001040814702 731886181 /nfs/dbraw/zinc/88/61/81/731886181.db2.gz KASGGLFYFRVEET-SJKOYZFVSA-N -1 1 349.387 -0.093 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(CCN(C(=O)C4=CCOCC4)C3)C2)nc1=O ZINC001041232763 732043365 /nfs/dbraw/zinc/04/33/65/732043365.db2.gz BFUZXKHFSZPPLX-QGZVFWFLSA-N -1 1 347.419 -0.121 20 0 EBADMM CC(C)OCCC(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001041583498 732161440 /nfs/dbraw/zinc/16/14/40/732161440.db2.gz TYVXBTURBWFRQM-UHFFFAOYSA-N -1 1 337.376 -0.095 20 0 EBADMM NC(=O)CN1CC[C@@]2(CCN(C(=O)c3c[n-]n4c3nccc4=O)C2)C1 ZINC001041728773 732207161 /nfs/dbraw/zinc/20/71/61/732207161.db2.gz PATDFQMWEPZKDS-MRXNPFEDSA-N -1 1 344.375 -0.954 20 0 EBADMM Cc1nonc1C(=O)N1CC[C@]2(CCN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001041856344 732240037 /nfs/dbraw/zinc/24/00/37/732240037.db2.gz DWPUUUJDVKZQHN-HNNXBMFYSA-N -1 1 347.379 -0.462 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)Cc4ccon4)C[C@@H]32)nc1=O ZINC001041975203 732265906 /nfs/dbraw/zinc/26/59/06/732265906.db2.gz KVJBWBQINKSRIK-YPMHNXCESA-N -1 1 346.391 -0.238 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3CCN(C(=O)CC(C)(C)O)C[C@@H]32)nc1=O ZINC001042035209 732284033 /nfs/dbraw/zinc/28/40/33/732284033.db2.gz OPLUKEFCIZTFKI-RYUDHWBXSA-N -1 1 337.424 -0.308 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)[C@H]4[C@@H]5COC[C@@H]54)C[C@@H]32)nc1=O ZINC001042194010 732351210 /nfs/dbraw/zinc/35/12/10/732351210.db2.gz RLOSYGFOXXGVJK-BIGJJFBESA-N -1 1 347.419 -0.576 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CCN(C(=O)c4n[nH]cc4F)C[C@H]32)nc1=O ZINC001042217815 732364290 /nfs/dbraw/zinc/36/42/90/732364290.db2.gz CCVUXOUKBOJEHG-MWLCHTKSSA-N -1 1 349.370 -0.293 20 0 EBADMM O=C(CCc1c[nH]nn1)N1CC[C@H]2CCN(Cc3n[nH]c(=O)[n-]3)[C@@H]2C1 ZINC001042240878 732372547 /nfs/dbraw/zinc/37/25/47/732372547.db2.gz OPMVITDHSILIKO-ZYHUDNBSSA-N -1 1 346.395 -0.316 20 0 EBADMM CC(C)c1cc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC001042706097 732542639 /nfs/dbraw/zinc/54/26/39/732542639.db2.gz VWRUHVKTWCVIAT-UHFFFAOYSA-N -1 1 347.423 -0.078 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2cscn2)C1)c1ncccc1[O-] ZINC001042712668 732546050 /nfs/dbraw/zinc/54/60/50/732546050.db2.gz FPYFHQNYNIYINF-UHFFFAOYSA-N -1 1 334.357 -0.139 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2cncnc2)C1)c1ncccc1[O-] ZINC001042713371 732547303 /nfs/dbraw/zinc/54/73/03/732547303.db2.gz VGZXPSWOLNHCCB-UHFFFAOYSA-N -1 1 329.316 -0.806 20 0 EBADMM C[C@H]1CO[C@@H](C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)C1 ZINC001042715781 732547645 /nfs/dbraw/zinc/54/76/45/732547645.db2.gz AEJODURYCQGIGU-ZYHUDNBSSA-N -1 1 335.360 -0.485 20 0 EBADMM C[C@]1(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)CCCOC1 ZINC001042715980 732547847 /nfs/dbraw/zinc/54/78/47/732547847.db2.gz FFVDGQDIHIRLMQ-INIZCTEOSA-N -1 1 349.387 -0.093 20 0 EBADMM CCn1cnc(C(=O)N2CC(O)(CNC(=O)c3ncccc3[O-])C2)c1 ZINC001042716444 732548289 /nfs/dbraw/zinc/54/82/89/732548289.db2.gz NGNQFYOAVULTNN-UHFFFAOYSA-N -1 1 345.359 -0.380 20 0 EBADMM Cc1nonc1CC(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042716245 732548401 /nfs/dbraw/zinc/54/84/01/732548401.db2.gz JHHXTZHDFIVTIX-UHFFFAOYSA-N -1 1 347.331 -0.976 20 0 EBADMM O=C(NCC1(O)CN(C(=O)[C@@H]2C[C@]23CCOC3)C1)c1ncccc1[O-] ZINC001042716647 732548823 /nfs/dbraw/zinc/54/88/23/732548823.db2.gz WDDZWWYJQQUIAG-ZBEGNZNMSA-N -1 1 347.371 -0.483 20 0 EBADMM CO[C@@H](C(=O)N1CC(O)(CNC(=O)c2ncccc2[O-])C1)C1CCC1 ZINC001042719385 732549842 /nfs/dbraw/zinc/54/98/42/732549842.db2.gz BURXMJWSVCIUNE-CQSZACIVSA-N -1 1 349.387 -0.095 20 0 EBADMM O=C(Cc1cnoc1)N1CC(O)(CNC(=O)c2ncccc2[O-])C1 ZINC001042720130 732551363 /nfs/dbraw/zinc/55/13/63/732551363.db2.gz RITGFARDKMAMNU-UHFFFAOYSA-N -1 1 332.316 -0.679 20 0 EBADMM CN(C(=O)[C@H]1CCCc2n[nH]cc21)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042725540 732552852 /nfs/dbraw/zinc/55/28/52/732552852.db2.gz VIQYANUZSIXYDE-NSHDSACASA-N -1 1 345.407 -0.406 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)[C@H]1CCCOCC1 ZINC001042823155 732607448 /nfs/dbraw/zinc/60/74/48/732607448.db2.gz VVODOKPLWRTVJG-LBPRGKRZSA-N -1 1 349.387 -0.093 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)C1CCC(O)CC1 ZINC001042830511 732613189 /nfs/dbraw/zinc/61/31/89/732613189.db2.gz UZUQEDWUKGSMMD-UHFFFAOYSA-N -1 1 349.387 -0.359 20 0 EBADMM Cc1[nH]nc(Cl)c1C(=O)N(C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001042876847 732638694 /nfs/dbraw/zinc/63/86/94/732638694.db2.gz HMWXRNQTDHPCBA-UHFFFAOYSA-N -1 1 339.787 -0.250 20 0 EBADMM O=C(NC[C@H]1CCN(c2ccc3nnnn3n2)C1)c1ncccc1[O-] ZINC001061590075 738632328 /nfs/dbraw/zinc/63/23/28/738632328.db2.gz QHSRHVFVLWYQPH-SNVBAGLBSA-N -1 1 340.347 -0.124 20 0 EBADMM CN(C(=O)c1cnc2cc[nH]c2c1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001043041319 732726570 /nfs/dbraw/zinc/72/65/70/732726570.db2.gz PPSWYGCQWGWBHZ-UHFFFAOYSA-N -1 1 341.375 -0.059 20 0 EBADMM C[C@H]1C[C@H](CN2CC(N(C)C(=O)c3n[nH]c(=O)[n-]c3=O)C2)CCO1 ZINC001043231218 732837496 /nfs/dbraw/zinc/83/74/96/732837496.db2.gz WXACILCLCGGVRG-VHSXEESVSA-N -1 1 337.380 -0.146 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)c1ccns1 ZINC001043325058 732872464 /nfs/dbraw/zinc/87/24/64/732872464.db2.gz ZRKAVYNQDBNRBL-UHFFFAOYSA-N -1 1 334.357 -0.139 20 0 EBADMM CCn1ncc(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c1C ZINC001044080481 733279080 /nfs/dbraw/zinc/27/90/80/733279080.db2.gz OYMQHSMVAURACU-UHFFFAOYSA-N -1 1 333.396 -0.410 20 0 EBADMM CN(C(=O)c1cn(CC2CC2)nn1)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001044100605 733286641 /nfs/dbraw/zinc/28/66/41/733286641.db2.gz SJURZVWNASUUPU-UHFFFAOYSA-N -1 1 346.395 -0.934 20 0 EBADMM CCc1c[nH]c(=O)c(C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001044120648 733291416 /nfs/dbraw/zinc/29/14/16/733291416.db2.gz FZHNUSUMEPZLPN-UHFFFAOYSA-N -1 1 346.391 -0.272 20 0 EBADMM CC[C@H]1C[C@H](C(=O)N(C)C2CN(Cc3nc(=O)n(C)[n-]3)C2)CCO1 ZINC001044154677 733305835 /nfs/dbraw/zinc/30/58/35/733305835.db2.gz YNOPHPXBNQSVDM-YPMHNXCESA-N -1 1 337.424 -0.044 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N(C)C1CN(Cc2ncccn2)C1 ZINC001044181203 733320770 /nfs/dbraw/zinc/32/07/70/733320770.db2.gz RCKMSUCRVGWBCQ-UHFFFAOYSA-N -1 1 346.347 -0.357 20 0 EBADMM Cc1nonc1CN1CC(N(C)C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001044181572 733321167 /nfs/dbraw/zinc/32/11/67/733321167.db2.gz BPOGCORYWHKLDO-UHFFFAOYSA-N -1 1 344.335 -0.935 20 0 EBADMM CN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CN(Cc2ncccn2)C1 ZINC001044182553 733322164 /nfs/dbraw/zinc/32/21/64/733322164.db2.gz UKOLQHPWKJTFAJ-UHFFFAOYSA-N -1 1 340.347 -0.836 20 0 EBADMM C[C@@H]1CO[C@H](C(=O)NCC2(O)CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001044564810 733453104 /nfs/dbraw/zinc/45/31/04/733453104.db2.gz QBMPABDDNCOOIN-JQWIXIFHSA-N -1 1 335.360 -0.485 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)C1CS(=O)(=O)C1 ZINC001044609593 733463557 /nfs/dbraw/zinc/46/35/57/733463557.db2.gz HRTULRSDJKFXTF-WPRPVWTQSA-N -1 1 343.409 -0.976 20 0 EBADMM Cc1nnc(CN[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)[C@H](C)C2)o1 ZINC001044663842 733474974 /nfs/dbraw/zinc/47/49/74/733474974.db2.gz NWSZAZSRDKVHRC-APPZFPTMSA-N -1 1 349.351 -0.243 20 0 EBADMM CCc1nc[nH]c1C(=O)NCC1(O)CN(C(=O)c2ncccc2[O-])C1 ZINC001044700701 733483400 /nfs/dbraw/zinc/48/34/00/733483400.db2.gz SJAACBVDWVVACI-UHFFFAOYSA-N -1 1 345.359 -0.310 20 0 EBADMM Cc1nc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)c(=O)[nH]c1C ZINC001045087259 733576511 /nfs/dbraw/zinc/57/65/11/733576511.db2.gz XCRGMQGRCFFEAV-JTQLQIEISA-N -1 1 347.379 -0.395 20 0 EBADMM Cn1ccnc1CNC[C@@H]1CCCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001045106402 733583449 /nfs/dbraw/zinc/58/34/49/733583449.db2.gz MNQMPNJZDQPQDQ-VIFPVBQESA-N -1 1 333.352 -0.589 20 0 EBADMM O=C(NCC1(O)CN(C(=O)c2ncccc2[O-])C1)[C@@]1(F)CCOC1 ZINC001045138741 733598576 /nfs/dbraw/zinc/59/85/76/733598576.db2.gz VFHWFMOIVWMCGU-OAHLLOKOSA-N -1 1 339.323 -0.781 20 0 EBADMM O=C(c1cn(CC2CC2)nn1)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045258251 733638077 /nfs/dbraw/zinc/63/80/77/733638077.db2.gz SADPPIYEBGTZGU-NSHDSACASA-N -1 1 346.395 -0.094 20 0 EBADMM O=C(Cn1ccccc1=O)N1CCC[C@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001045303605 733661985 /nfs/dbraw/zinc/66/19/85/733661985.db2.gz ALBUNRUVMRCLAQ-NSHDSACASA-N -1 1 332.364 -0.547 20 0 EBADMM NC(=O)c1cncc(C(=O)N2CCC[C@H]2CNCc2n[nH]c(=O)[n-]2)c1 ZINC001045315143 733667140 /nfs/dbraw/zinc/66/71/40/733667140.db2.gz SSBIPIFQYWSCJA-NSHDSACASA-N -1 1 345.363 -0.601 20 0 EBADMM Cc1n[nH]c(C(=O)NC2(C)CCN([C@@H]3CCC(=O)NC3=O)CC2)c1[O-] ZINC001045407697 733688512 /nfs/dbraw/zinc/68/85/12/733688512.db2.gz BRRDPAYRFKKPGE-SNVBAGLBSA-N -1 1 349.391 -0.187 20 0 EBADMM Cn1[n-]c(CN2CCC(C)(NC(=O)c3n[nH]cc3F)CC2)nc1=O ZINC001045547665 733720545 /nfs/dbraw/zinc/72/05/45/733720545.db2.gz WCJGXHYFDYXJPP-UHFFFAOYSA-N -1 1 337.359 -0.245 20 0 EBADMM Cn1[n-]c(CN2CCC(C)(NC(=O)c3cccnn3)CC2)nc1=O ZINC001045596759 733735600 /nfs/dbraw/zinc/73/56/00/733735600.db2.gz BPBGREHRVAROET-UHFFFAOYSA-N -1 1 331.380 -0.317 20 0 EBADMM CCn1nncc1C(=O)N[C@]1(C)CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001046290323 733903510 /nfs/dbraw/zinc/90/35/10/733903510.db2.gz AKXKOHDLUXULSS-CQSZACIVSA-N -1 1 334.384 -0.886 20 0 EBADMM Cc1cc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)c(C)nn1 ZINC001046346559 733914519 /nfs/dbraw/zinc/91/45/19/733914519.db2.gz IPQYQWLNBCZKSY-MRXNPFEDSA-N -1 1 345.407 -0.090 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](C)(NC(=O)c3ccn(C)c(=O)c3)C2)nc1=O ZINC001046430243 733933588 /nfs/dbraw/zinc/93/35/88/733933588.db2.gz YZDKVWSUSSATAP-MRXNPFEDSA-N -1 1 346.391 -0.799 20 0 EBADMM COc1cnc(C(=O)N[C@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)nc1 ZINC001046470271 733942372 /nfs/dbraw/zinc/94/23/72/733942372.db2.gz HLRYZUBCAQUSFA-OAHLLOKOSA-N -1 1 347.379 -0.699 20 0 EBADMM CCCn1cc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001046735669 734016450 /nfs/dbraw/zinc/01/64/50/734016450.db2.gz SECKDVNVXZHGBE-HNNXBMFYSA-N -1 1 348.411 -0.496 20 0 EBADMM CCc1cc(C(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)nn1C ZINC001046873526 734047680 /nfs/dbraw/zinc/04/76/80/734047680.db2.gz GQDCSBNEECEKSY-INIZCTEOSA-N -1 1 347.423 -0.201 20 0 EBADMM O=C(NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)CCC1(F)F)c1ncn[nH]1 ZINC001046983539 734077648 /nfs/dbraw/zinc/07/76/48/734077648.db2.gz GLPATMOTMJIVPR-SSDOTTSWSA-N -1 1 342.310 -0.484 20 0 EBADMM O=C(NC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)CCC1(F)F)c1nc[nH]n1 ZINC001046983539 734077649 /nfs/dbraw/zinc/07/76/49/734077649.db2.gz GLPATMOTMJIVPR-SSDOTTSWSA-N -1 1 342.310 -0.484 20 0 EBADMM CCn1ncc(C(=O)N[C@H]2C[C@H]3C[C@@H](C2)N(Cc2n[nH]c(=O)[n-]2)C3)n1 ZINC001047067007 734088556 /nfs/dbraw/zinc/08/85/56/734088556.db2.gz JSVVGHPSPFULHT-DCAQKATOSA-N -1 1 346.395 -0.095 20 0 EBADMM CN(C(=O)c1cccc(F)c1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047284372 734116249 /nfs/dbraw/zinc/11/62/49/734116249.db2.gz GCSWLEWSJSMBRA-STQMWFEESA-N -1 1 349.366 -0.435 20 0 EBADMM CN(C(=O)c1ccccc1F)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047285645 734116695 /nfs/dbraw/zinc/11/66/95/734116695.db2.gz KMOUXWPWJNWCRT-STQMWFEESA-N -1 1 349.366 -0.435 20 0 EBADMM Cc1[nH]ccc1C(=O)N(C)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047338701 734142340 /nfs/dbraw/zinc/14/23/40/734142340.db2.gz DMASPHSKLQXPEL-RYUDHWBXSA-N -1 1 334.380 -0.938 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN(CCn2cncn2)C[C@@H]1O ZINC001047358876 734151869 /nfs/dbraw/zinc/15/18/69/734151869.db2.gz KTKNOGJGUAGIEI-AAEUAGOBSA-N -1 1 332.364 -0.804 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@H]1CN([C@@H]2CCCNC2=O)C[C@@H]1O ZINC001047358761 734151966 /nfs/dbraw/zinc/15/19/66/734151966.db2.gz JWEWXOYTMBINRM-MDZLAQPJSA-N -1 1 334.376 -0.817 20 0 EBADMM C[C@@H](C(=O)N(C)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O)C1CCC1 ZINC001047424607 734188254 /nfs/dbraw/zinc/18/82/54/734188254.db2.gz QUPQYEWQNALONU-WXHSDQCUSA-N -1 1 337.424 -0.452 20 0 EBADMM CN(C(=O)C1(F)CCCC1)[C@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001047434616 734192940 /nfs/dbraw/zinc/19/29/40/734192940.db2.gz WAEMCPYCLOQDHH-QWRGUYRKSA-N -1 1 341.387 -0.606 20 0 EBADMM Cc1coc(C(=O)N(C)[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)c1 ZINC001047496893 734225472 /nfs/dbraw/zinc/22/54/72/734225472.db2.gz KKAMZIUNEKBFON-QWRGUYRKSA-N -1 1 335.364 -0.673 20 0 EBADMM C[C@@H]1CN(C(=O)c2cnc([O-])n(C)c2=O)C[C@H]1CNCc1ccon1 ZINC001048338755 734394140 /nfs/dbraw/zinc/39/41/40/734394140.db2.gz NRLNIUDLKUPTLD-GHMZBOCLSA-N -1 1 347.375 -0.028 20 0 EBADMM Cn1nnc(C(=O)NC[C@H]2C[C@H]3CC[C@@H](C2)N3Cc2n[nH]c(=O)[n-]2)n1 ZINC001048553871 734442216 /nfs/dbraw/zinc/44/22/16/734442216.db2.gz UVQCKMUDCVUHBH-ILWJIGKKSA-N -1 1 347.383 -0.793 20 0 EBADMM NC(=O)[C@@H]1C[C@@H]1C(=O)NC[C@H]1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001048563937 734446170 /nfs/dbraw/zinc/44/61/70/734446170.db2.gz OOYHYIGARNDZFV-ROHXPCBUSA-N -1 1 348.407 -0.509 20 0 EBADMM CC(C)OCC(=O)N1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001048721880 734482457 /nfs/dbraw/zinc/48/24/57/734482457.db2.gz AYUSZKJYYWXPLU-AAEUAGOBSA-N -1 1 337.376 -0.144 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4ccc(F)nc4)C[C@@H]3C2)nc1=O ZINC001048723771 734484174 /nfs/dbraw/zinc/48/41/74/734484174.db2.gz OYZRVEPPSXOBEE-TXEJJXNPSA-N -1 1 346.366 -0.154 20 0 EBADMM Cn1ccc(CN2C[C@@H]3CN(C(=O)c4n[nH]c(=O)[n-]c4=O)C[C@@H]3C2)n1 ZINC001048919254 734570544 /nfs/dbraw/zinc/57/05/44/734570544.db2.gz RCPWDIFHROSJTB-AOOOYVTPSA-N -1 1 345.363 -0.780 20 0 EBADMM O=C(c1n[nH]c(=O)[n-]c1=O)N1C[C@H]2CN(Cc3ccns3)C[C@H]2C1 ZINC001048919492 734571035 /nfs/dbraw/zinc/57/10/35/734571035.db2.gz VUJKVGFZAXBTGV-DTORHVGOSA-N -1 1 348.388 -0.057 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@@H]2CCCN3C(=O)[C@H]2CCCO2)nc1=O ZINC001049309285 734706558 /nfs/dbraw/zinc/70/65/58/734706558.db2.gz VWWZRKIDGQLECQ-YNEHKIRRSA-N -1 1 335.408 -0.147 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@H]2CCCN3C(=O)Cn2cccn2)nc1=O ZINC001049323688 734709766 /nfs/dbraw/zinc/70/97/66/734709766.db2.gz WDXPJNQKOBFEJS-CHWSQXEVSA-N -1 1 345.407 -0.430 20 0 EBADMM CC(C)[C@@H](O)C(=O)N1CCC[C@H]2[C@H]1CCN2Cc1nc(=O)n(C)[n-]1 ZINC001049452037 734733804 /nfs/dbraw/zinc/73/38/04/734733804.db2.gz CYANUDWPWJFJCZ-OUCADQQQSA-N -1 1 337.424 -0.309 20 0 EBADMM Cn1cc(C(=O)N2CCC[C@H]3[C@H]2CCN3Cc2nc(=O)n(C)[n-]2)nn1 ZINC001049461838 734735481 /nfs/dbraw/zinc/73/54/81/734735481.db2.gz LJXOVCAZXIIXST-NWDGAFQWSA-N -1 1 346.395 -0.884 20 0 EBADMM Cn1cc(C(=O)N2CCC[C@H]3[C@@H]2CCN3Cc2nc(=O)n(C)[n-]2)nn1 ZINC001049461839 734735596 /nfs/dbraw/zinc/73/55/96/734735596.db2.gz LJXOVCAZXIIXST-RYUDHWBXSA-N -1 1 346.395 -0.884 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@@H]2CCCN3C(=O)[C@H]2CCOC2)nc1=O ZINC001049504841 734742481 /nfs/dbraw/zinc/74/24/81/734742481.db2.gz JEQUIOCXDMGMKN-AVGNSLFASA-N -1 1 335.408 -0.290 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@@H]2CCCN3C(=O)c2cn[nH]n2)nc1=O ZINC001049570429 734756652 /nfs/dbraw/zinc/75/66/52/734756652.db2.gz MWXKSDMDHDHRMM-WDEREUQCSA-N -1 1 332.368 -0.894 20 0 EBADMM Cn1cncc1C(=O)N1CCC[C@@H]2[C@@H]1CCN2Cc1nc(=O)n(C)[n-]1 ZINC001049576974 734758439 /nfs/dbraw/zinc/75/84/39/734758439.db2.gz NBRDRIIYHCICQJ-NEPJUHHUSA-N -1 1 345.407 -0.279 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3[C@@H]2CCCN3C(=O)c2n[nH]cc2F)nc1=O ZINC001049701742 734780585 /nfs/dbraw/zinc/78/05/85/734780585.db2.gz DQILPDZGTXPCGL-WDEREUQCSA-N -1 1 349.370 -0.150 20 0 EBADMM Cn1[n-]c(CN2CC[C@H]3[C@H]2CCCN3C(=O)c2ccnnc2)nc1=O ZINC001049746900 734785074 /nfs/dbraw/zinc/78/50/74/734785074.db2.gz HFYVXSQMKLDBHP-OLZOCXBDSA-N -1 1 343.391 -0.223 20 0 EBADMM Cc1n[nH]cc1C(=O)N1CCC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001050108806 734843271 /nfs/dbraw/zinc/84/32/71/734843271.db2.gz JTHRPVZURKNVOL-WCQYABFASA-N -1 1 345.407 -0.123 20 0 EBADMM C[C@@H](NC(=O)CCNC(N)=O)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001050529020 734919521 /nfs/dbraw/zinc/91/95/21/734919521.db2.gz GOBHVKRIHHHGLZ-GHMZBOCLSA-N -1 1 349.391 -0.188 20 0 EBADMM Cc1nnc(CN2CCOC[C@H]2CNC(=O)c2ncccc2[O-])[nH]1 ZINC001050892253 734990890 /nfs/dbraw/zinc/99/08/90/734990890.db2.gz UIYFJNYROUPCMB-LLVKDONJSA-N -1 1 332.364 -0.155 20 0 EBADMM CCc1ccc(C(=O)NC[C@H]2COCCN2Cc2nc(=O)n(C)[n-]2)o1 ZINC001050987410 735025697 /nfs/dbraw/zinc/02/56/97/735025697.db2.gz SEBIGIWLKFKKMN-NSHDSACASA-N -1 1 349.391 -0.105 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@@H]2CNC(=O)C2CC3(CC3)C2)nc1=O ZINC001051104826 735067997 /nfs/dbraw/zinc/06/79/97/735067997.db2.gz BUMPILMMCNCCHU-LBPRGKRZSA-N -1 1 335.408 -0.384 20 0 EBADMM Cn1[n-]c(CN2CCOC[C@H]2CNC(=O)[C@H]2CC23CCC3)nc1=O ZINC001051137557 735075008 /nfs/dbraw/zinc/07/50/08/735075008.db2.gz NQVKSYCUNMFPPZ-VXGBXAGGSA-N -1 1 335.408 -0.384 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1COCCN1CCF ZINC001051208889 735099500 /nfs/dbraw/zinc/09/95/00/735099500.db2.gz FCHNDQKOULYMFW-QMMMGPOBSA-N -1 1 330.316 -0.704 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC[C@H]1COCCN1CCCF ZINC001051209100 735100144 /nfs/dbraw/zinc/10/01/44/735100144.db2.gz HVWCQZXPAGAEOA-VIFPVBQESA-N -1 1 344.343 -0.313 20 0 EBADMM C[C@H](C(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1)n1cccn1 ZINC001051457748 735159461 /nfs/dbraw/zinc/15/94/61/735159461.db2.gz OJJBOTUNYANDMM-GHMZBOCLSA-N -1 1 335.368 -0.715 20 0 EBADMM O=C([C@H]1CCCOC1)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051488949 735172215 /nfs/dbraw/zinc/17/22/15/735172215.db2.gz WVOIOUNRPLNRAH-WDEREUQCSA-N -1 1 325.369 -0.746 20 0 EBADMM Cc1[nH]nc(C(=O)N2CCO[C@@H](CNCc3nccn3C)C2)c1[O-] ZINC001051551172 735228719 /nfs/dbraw/zinc/22/87/19/735228719.db2.gz SJWOBJICXSSPOK-NSHDSACASA-N -1 1 334.380 -0.212 20 0 EBADMM C[C@H](NC[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1)c1nnnn1C ZINC001051566656 735238199 /nfs/dbraw/zinc/23/81/99/735238199.db2.gz PDIHTEMRBNATCX-WDEREUQCSA-N -1 1 347.379 -0.498 20 0 EBADMM CCn1nccc1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051566905 735238385 /nfs/dbraw/zinc/23/83/85/735238385.db2.gz BLZXTVOXDOIBLD-JTQLQIEISA-N -1 1 335.368 -0.643 20 0 EBADMM CCn1ccnc1C(=O)N1CCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001051578247 735245916 /nfs/dbraw/zinc/24/59/16/735245916.db2.gz LRAXGUIEJDUSJX-JTQLQIEISA-N -1 1 335.368 -0.643 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1CNC(=O)C1(C(N)=O)CC1 ZINC001052423125 735611739 /nfs/dbraw/zinc/61/17/39/735611739.db2.gz VAHAJWRBQWTSFK-QWRGUYRKSA-N -1 1 346.387 -0.123 20 0 EBADMM CNC(=O)CC(=O)N1C[C@H](C)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001052426517 735612304 /nfs/dbraw/zinc/61/23/04/735612304.db2.gz CCQSYSQGBRYCPV-QWRGUYRKSA-N -1 1 334.376 -0.252 20 0 EBADMM CNC(=O)NCC(=O)N1C[C@@H](C)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001052768146 735684277 /nfs/dbraw/zinc/68/42/77/735684277.db2.gz ASXJXRLACXZSKX-MNOVXSKESA-N -1 1 349.391 -0.460 20 0 EBADMM CC(C)C(=O)NC[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1C ZINC001053026400 735739606 /nfs/dbraw/zinc/73/96/06/735739606.db2.gz WNXDKFXPETXVSA-WDEREUQCSA-N -1 1 346.391 -0.102 20 0 EBADMM CCC(=O)NC[C@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1C ZINC001053026790 735739706 /nfs/dbraw/zinc/73/97/06/735739706.db2.gz YGCDHFDLTLPOEN-UWVGGRQHSA-N -1 1 332.364 -0.348 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)[C@@H]2CC=CCC2)CCO3)nc1=O ZINC001053151902 735760385 /nfs/dbraw/zinc/76/03/85/735760385.db2.gz LEAFTDJJWWJMAI-CYBMUJFWSA-N -1 1 347.419 -0.122 20 0 EBADMM C/C(=C\C(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1)C1CC1 ZINC001053169742 735766567 /nfs/dbraw/zinc/76/65/67/735766567.db2.gz NKCAJFPSMXRBID-KPKJPENVSA-N -1 1 347.419 -0.122 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)/C=C\C2CC2)CCO3)nc1=O ZINC001053199959 735776575 /nfs/dbraw/zinc/77/65/75/735776575.db2.gz ZNSLDKYSRFJMHA-PLNGDYQASA-N -1 1 333.392 -0.512 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)CC2CCC2)CCO3)nc1=O ZINC001053202937 735777541 /nfs/dbraw/zinc/77/75/41/735777541.db2.gz MQNZCBPDDSWXML-UHFFFAOYSA-N -1 1 335.408 -0.288 20 0 EBADMM CNC(=O)[C@H](C)N1CC2(C1)CN(C(=O)c1ncccc1[O-])CCO2 ZINC001053232670 735786261 /nfs/dbraw/zinc/78/62/61/735786261.db2.gz VZVLEPBJKNFUKF-NSHDSACASA-N -1 1 334.376 -0.552 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)CN(C(=O)c2cc[nH]c2)CCO3)nc1=O ZINC001053269010 735805755 /nfs/dbraw/zinc/80/57/55/735805755.db2.gz VUVYAGKMASXFRC-UHFFFAOYSA-N -1 1 332.364 -0.837 20 0 EBADMM C[C@@H]1C[C@H]1CC(=O)N1CCOC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053410416 735864940 /nfs/dbraw/zinc/86/49/40/735864940.db2.gz OJPLWUBFDNNEJZ-NEPJUHHUSA-N -1 1 335.408 -0.432 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)C(C)(C)F)CO3)nc1=O ZINC001053719717 735978583 /nfs/dbraw/zinc/97/85/83/735978583.db2.gz GQFQKHZAWLJOTJ-VIFPVBQESA-N -1 1 327.360 -0.684 20 0 EBADMM COCCC(=O)NCC1(NC(=O)c2cc(=O)n3nc[n-]c3n2)CCC1 ZINC001062368659 738908698 /nfs/dbraw/zinc/90/86/98/738908698.db2.gz KKQHAROEFJCQRZ-UHFFFAOYSA-N -1 1 348.363 -0.777 20 0 EBADMM Cc1ocnc1C(=O)N[C@@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001053753311 735995034 /nfs/dbraw/zinc/99/50/34/735995034.db2.gz PASLGAYKDHYGCY-JTQLQIEISA-N -1 1 348.363 -0.822 20 0 EBADMM CN1CC[C@@H](N2CC3(C2)C[C@@H](NC(=O)c2ncccc2[O-])CO3)C1=O ZINC001053784360 736013909 /nfs/dbraw/zinc/01/39/09/736013909.db2.gz MFVOZEOMQGBCQG-VXGBXAGGSA-N -1 1 346.387 -0.409 20 0 EBADMM O=C(N[C@H]1COC2(CN(Cc3cnon3)C2)C1)c1ncccc1[O-] ZINC001053784344 736014045 /nfs/dbraw/zinc/01/40/45/736014045.db2.gz LVUDPLOSMNBPHT-SNVBAGLBSA-N -1 1 331.332 -0.057 20 0 EBADMM CC(=O)N1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)C2 ZINC001053850015 736054122 /nfs/dbraw/zinc/05/41/22/736054122.db2.gz BHAQVBBTKAUENU-BTTYYORXSA-N -1 1 348.403 -0.168 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)[C@]24C[C@H]2CCC4)CO3)nc1=O ZINC001053874771 736071717 /nfs/dbraw/zinc/07/17/17/736071717.db2.gz IWQSGEQRJHAGSH-PSTGCABASA-N -1 1 347.419 -0.242 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@@H](NC(=O)[C@H]2CC24CC4)CO3)nc1=O ZINC001053938783 736104274 /nfs/dbraw/zinc/10/42/74/736104274.db2.gz YXAHFSALYXESMN-GHMZBOCLSA-N -1 1 333.392 -0.632 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(C2)CCCN(C(=O)[C@@H]2CCOC2)C3)nc1=O ZINC001054098754 736162571 /nfs/dbraw/zinc/16/25/71/736162571.db2.gz XPWXTFTYGQZVKA-DYVFJYSZSA-N -1 1 349.435 -0.041 20 0 EBADMM C[C@@H]1CN(C(=O)c2cccn3nnnc23)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054715010 736234457 /nfs/dbraw/zinc/23/44/57/736234457.db2.gz KLRDJCFZUYMTPV-SCZZXKLOSA-N -1 1 343.351 -0.802 20 0 EBADMM CCn1nnc(C)c1C(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H](C)C1 ZINC001054752873 736240728 /nfs/dbraw/zinc/24/07/28/736240728.db2.gz LMFBOBJAWOKDNW-WPRPVWTQSA-N -1 1 334.384 -0.320 20 0 EBADMM C[C@H]1CN(C(=O)c2cnc3n2CCC3)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054761557 736242406 /nfs/dbraw/zinc/24/24/06/736242406.db2.gz QFFOYYURYCXCKJ-UWVGGRQHSA-N -1 1 331.380 -0.097 20 0 EBADMM Cc1nc(C(=O)N2C[C@@H](C)[C@@H](NCc3n[nH]c(=O)[n-]3)C2)c(=O)[nH]c1C ZINC001054791083 736246916 /nfs/dbraw/zinc/24/69/16/736246916.db2.gz MZQFQWPQIWOBEQ-XCBNKYQSSA-N -1 1 347.379 -0.539 20 0 EBADMM CCn1ccc(CN[C@@H]2CN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@H]2C)n1 ZINC001054859005 736256842 /nfs/dbraw/zinc/25/68/42/736256842.db2.gz FXOBITZLORZIOB-MWLCHTKSSA-N -1 1 347.379 -0.251 20 0 EBADMM C[C@@H]1CN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1NCc1nccn1C ZINC001054863704 736258955 /nfs/dbraw/zinc/25/89/55/736258955.db2.gz VVLBOQSPZIELKW-RKDXNWHRSA-N -1 1 333.352 -0.733 20 0 EBADMM C[C@H]1CN(C(=O)CCc2cncnc2)C[C@@H]1NCc1n[nH]c(=O)[n-]1 ZINC001054904707 736266119 /nfs/dbraw/zinc/26/61/19/736266119.db2.gz XTRNPZNRWCLFDE-JQWIXIFHSA-N -1 1 331.380 -0.130 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CCN(c2ccc(C)nn2)CC1 ZINC001055857379 736524167 /nfs/dbraw/zinc/52/41/67/736524167.db2.gz AYOCRNSVBWIHNS-UHFFFAOYSA-N -1 1 346.347 -0.043 20 0 EBADMM Cc1ccc(N[C@@H]2CCN(C(=O)CCn3cc[n-]c(=O)c3=O)C2)nn1 ZINC001056665970 736764985 /nfs/dbraw/zinc/76/49/85/736764985.db2.gz YAOJVIVWBHNVFH-GFCCVEGCSA-N -1 1 344.375 -0.262 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccc1[O-])c1cc[nH]c(=O)c1 ZINC001057410082 737230076 /nfs/dbraw/zinc/23/00/76/737230076.db2.gz BUGBLKRZRSTUOG-UHFFFAOYSA-N -1 1 346.343 -0.248 20 0 EBADMM CCc1cc(C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])[nH]n1 ZINC001057410312 737230578 /nfs/dbraw/zinc/23/05/78/737230578.db2.gz LPENADUQKIHHOD-UHFFFAOYSA-N -1 1 347.375 -0.063 20 0 EBADMM Cn1cc(C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])[nH]c1=O ZINC001057411125 737231748 /nfs/dbraw/zinc/23/17/48/737231748.db2.gz DAIOZBFGAGPGOK-UHFFFAOYSA-N -1 1 349.347 -0.909 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1ncccc1[O-])[C@@H]1C[C@]12CCOC2 ZINC001057412032 737232650 /nfs/dbraw/zinc/23/26/50/737232650.db2.gz ULOYLEJJYHBCQI-SJCJKPOMSA-N -1 1 349.387 -0.236 20 0 EBADMM C[C@@H]1CC[C@H](C(=O)NCCN(CCO)C(=O)c2ncccc2[O-])O1 ZINC001057413131 737233270 /nfs/dbraw/zinc/23/32/70/737233270.db2.gz JGOOVWCLKANNJT-DGCLKSJQSA-N -1 1 337.376 -0.095 20 0 EBADMM O=C(CCc1ccon1)NCCN(CCO)C(=O)c1ncccc1[O-] ZINC001057417069 737236775 /nfs/dbraw/zinc/23/67/75/737236775.db2.gz OIOFLFFGMLQHIH-UHFFFAOYSA-N -1 1 348.359 -0.041 20 0 EBADMM CCn1nccc1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001057421984 737239384 /nfs/dbraw/zinc/23/93/84/737239384.db2.gz OOFJJPCQSWSMDP-UHFFFAOYSA-N -1 1 347.375 -0.132 20 0 EBADMM CO[C@H](C(=O)N(CCO)CCNC(=O)c1ncccc1[O-])C1CC1 ZINC001057465839 737274561 /nfs/dbraw/zinc/27/45/61/737274561.db2.gz OCGJYQMIPCUTOH-AWEZNQCLSA-N -1 1 337.376 -0.237 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCC[C@H](Nc2ncccn2)C1 ZINC001057668002 737402093 /nfs/dbraw/zinc/40/20/93/737402093.db2.gz MSRJWCRCWDXOPG-JTQLQIEISA-N -1 1 340.347 -0.076 20 0 EBADMM C[C@@H]1CN(C(=O)C(F)F)C[C@@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001071425157 741135125 /nfs/dbraw/zinc/13/51/25/741135125.db2.gz DPPYNDSAECMDMP-BDAKNGLRSA-N -1 1 344.318 -0.845 20 0 EBADMM O=C(NCCN(CCO)C(=O)[C@]12C[C@H]1COC2)c1ncccc1[O-] ZINC001057830653 737457144 /nfs/dbraw/zinc/45/71/44/737457144.db2.gz OLKWLWMSZAXILR-ZBEGNZNMSA-N -1 1 335.360 -0.626 20 0 EBADMM O=C(NC1CCN(c2ncccn2)CC1)c1cc(=O)n2[n-]cnc2n1 ZINC001057885145 737505636 /nfs/dbraw/zinc/50/56/36/737505636.db2.gz FYKQIGUUZGVJTI-UHFFFAOYSA-N -1 1 340.347 -0.394 20 0 EBADMM O=C(N[C@H]1CCN(c2nccn3nnnc23)C1)c1ncccc1[O-] ZINC001058343518 737785939 /nfs/dbraw/zinc/78/59/39/737785939.db2.gz BDDROEGKBNEJLY-VIFPVBQESA-N -1 1 326.320 -0.371 20 0 EBADMM Cc1nsc(N2CC[C@@H](NC(=O)c3cc(=O)n4[n-]cnc4n3)C2)n1 ZINC001058401884 737814806 /nfs/dbraw/zinc/81/48/06/737814806.db2.gz RLIWFYLVGNBCID-MRVPVSSYSA-N -1 1 346.376 -0.414 20 0 EBADMM C[C@@H]1OCC[C@@H]1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001058553104 737898063 /nfs/dbraw/zinc/89/80/63/737898063.db2.gz VFWHMPMOKYHYSS-RYUDHWBXSA-N -1 1 337.376 -0.237 20 0 EBADMM Cc1nocc1C(=O)N(CCO)CCNC(=O)c1ncccc1[O-] ZINC001058649598 737935709 /nfs/dbraw/zinc/93/57/09/737935709.db2.gz PCISBQFPLJVOSQ-UHFFFAOYSA-N -1 1 334.332 -0.052 20 0 EBADMM O=C(NCCN(CCO)C(=O)c1n[nH]cc1F)c1ncccc1[O-] ZINC001058751880 737978284 /nfs/dbraw/zinc/97/82/84/737978284.db2.gz ZDLPTLYJMGOMPA-UHFFFAOYSA-N -1 1 337.311 -0.486 20 0 EBADMM CNC(=O)CC(=O)NCC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059516576 738187905 /nfs/dbraw/zinc/18/79/05/738187905.db2.gz PLMRTVHZNXBICZ-LLVKDONJSA-N -1 1 334.376 -0.108 20 0 EBADMM C[C@H](NC(N)=O)C(=O)NCC[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001059516434 738188150 /nfs/dbraw/zinc/18/81/50/738188150.db2.gz MDWSBBDJFHGHDF-QWRGUYRKSA-N -1 1 349.391 -0.188 20 0 EBADMM C[C@H](NC(N)=O)C(=O)N1CC[C@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059534719 738192182 /nfs/dbraw/zinc/19/21/82/738192182.db2.gz OGBMTFXFRNIQED-QWRGUYRKSA-N -1 1 349.391 -0.188 20 0 EBADMM O=C(N[C@H]1C[C@@H](Nc2ccc3nnnn3n2)C1)c1ncccc1[O-] ZINC001059613408 738210710 /nfs/dbraw/zinc/21/07/10/738210710.db2.gz FQDKDPMTPGOPDG-DTORHVGOSA-N -1 1 326.320 -0.007 20 0 EBADMM NC(=O)NCCC(=O)N1CC[C@H](CCNC(=O)c2ncccc2[O-])C1 ZINC001059632704 738214397 /nfs/dbraw/zinc/21/43/97/738214397.db2.gz ZVDBIDVMAWKZAB-NSHDSACASA-N -1 1 349.391 -0.186 20 0 EBADMM NC(=O)C(=O)NCC[C@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001059666471 738221113 /nfs/dbraw/zinc/22/11/13/738221113.db2.gz KWMUZTDXBCLNCY-VIFPVBQESA-N -1 1 347.375 -0.487 20 0 EBADMM Cn1nncc1C(=O)NC1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001062839567 739002551 /nfs/dbraw/zinc/00/25/51/739002551.db2.gz VWUIGDDQQGGQKI-UHFFFAOYSA-N -1 1 330.348 -0.002 20 0 EBADMM O=C(NCC1(NC(=O)[C@@H]2CCNC2=O)CCC1)c1ncccc1[O-] ZINC001062840406 739003139 /nfs/dbraw/zinc/00/31/39/739003139.db2.gz ZWRCXHHYZUCGNU-SNVBAGLBSA-N -1 1 332.360 -0.308 20 0 EBADMM Cn1nncc1C(=O)NCC1(NC(=O)c2ncccc2[O-])CCC1 ZINC001062896764 739015663 /nfs/dbraw/zinc/01/56/63/739015663.db2.gz KFHFZJAXDFXPGP-UHFFFAOYSA-N -1 1 330.348 -0.002 20 0 EBADMM O=C(NC1(CNC(=O)[C@H]2COC(=O)N2)CCC1)c1ncccc1[O-] ZINC001062897585 739015908 /nfs/dbraw/zinc/01/59/08/739015908.db2.gz CAQPIFZGDCQTLM-SECBINFHSA-N -1 1 334.332 -0.336 20 0 EBADMM O=C(NC1(CNC(=O)[C@@H]2CCNC2=O)CCC1)c1ncccc1[O-] ZINC001063906759 739211089 /nfs/dbraw/zinc/21/10/89/739211089.db2.gz GGIATNYGRUMUEL-SNVBAGLBSA-N -1 1 332.360 -0.308 20 0 EBADMM COCC(=O)N[C@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC001065751105 739861205 /nfs/dbraw/zinc/86/12/05/739861205.db2.gz ZVDODIHBLKYMSF-JTQLQIEISA-N -1 1 348.363 -0.825 20 0 EBADMM NC(=O)NCCC(=O)N1CCC[C@H](NC(=O)c2ncccc2[O-])CC1 ZINC001066078833 739908263 /nfs/dbraw/zinc/90/82/63/739908263.db2.gz NZLLZICBOFJRCT-NSHDSACASA-N -1 1 349.391 -0.044 20 0 EBADMM C[C@@H]1CN(C(=O)c2cc(=O)n3[n-]cnc3n2)C[C@@H]1Nc1ncccn1 ZINC001067122698 740098095 /nfs/dbraw/zinc/09/80/95/740098095.db2.gz HMZFWQIIEWOSSW-KOLCDFICSA-N -1 1 340.347 -0.220 20 0 EBADMM CN(CCCN(C)C(=O)c1cnc(C2CC2)[n-]c1=O)C(=O)C(N)=O ZINC001067454377 740137698 /nfs/dbraw/zinc/13/76/98/740137698.db2.gz CGOUOPSUMPCBIS-UHFFFAOYSA-N -1 1 335.364 -0.535 20 0 EBADMM COCCC(=O)N1CCOC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001068268929 740272371 /nfs/dbraw/zinc/27/23/71/740272371.db2.gz UKWWOHWLUCITKA-UHFFFAOYSA-N -1 1 335.360 -0.123 20 0 EBADMM CO[C@@H](C)C(=O)N[C@H]1COC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001068731853 740428277 /nfs/dbraw/zinc/42/82/77/740428277.db2.gz GHZRGZWLBKYBSO-WDEREUQCSA-N -1 1 335.360 -0.078 20 0 EBADMM O=C(NCc1n[nH]c([C@H]2COCCN2Cc2n[nH]c(=O)[n-]2)n1)C1CC1 ZINC001070579837 740870940 /nfs/dbraw/zinc/87/09/40/740870940.db2.gz AEOJWCPBTBHREG-SECBINFHSA-N -1 1 348.367 -0.772 20 0 EBADMM O=C(NCc1nnc([C@H]2COCCN2Cc2n[nH]c(=O)[n-]2)[nH]1)C1CC1 ZINC001070579837 740870943 /nfs/dbraw/zinc/87/09/43/740870943.db2.gz AEOJWCPBTBHREG-SECBINFHSA-N -1 1 348.367 -0.772 20 0 EBADMM NC(=O)NCCC(=O)N1CCC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001070714152 740925193 /nfs/dbraw/zinc/92/51/93/740925193.db2.gz CEBKMWZTVZSOLM-SNVBAGLBSA-N -1 1 335.364 -0.434 20 0 EBADMM O=C(NCc1nnc([C@H]2C[C@H](F)C[N@@H+]2CCCO)[n-]1)c1nnc[n-]1 ZINC001070734655 740933480 /nfs/dbraw/zinc/93/34/80/740933480.db2.gz BAYDXEMJIJYVLG-DTWKUNHWSA-N -1 1 338.347 -0.680 20 0 EBADMM O=C(c1ncccc1[O-])N1CCC(=O)NCCN(CCCO)CC1 ZINC001070958468 740996309 /nfs/dbraw/zinc/99/63/09/740996309.db2.gz AYZDYLFCRVOIFC-UHFFFAOYSA-N -1 1 336.392 -0.566 20 0 EBADMM C[C@H]1CN(C(=O)c2ccn[nH]2)C[C@@]2(CCN(Cc3n[nH]c(=O)[n-]3)C2)O1 ZINC001071139665 741065399 /nfs/dbraw/zinc/06/53/99/741065399.db2.gz YYBFQJXGXGRYKD-BONVTDFDSA-N -1 1 347.379 -0.261 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)Cn2cccn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071353666 741126989 /nfs/dbraw/zinc/12/69/89/741126989.db2.gz AOJDNHBAJURSOP-VXGBXAGGSA-N -1 1 333.396 -0.526 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2cnsn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071371532 741128295 /nfs/dbraw/zinc/12/82/95/741128295.db2.gz PDDANGAOVUMNIX-BDAKNGLRSA-N -1 1 337.409 -0.257 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)n(C)n1 ZINC001071373669 741128923 /nfs/dbraw/zinc/12/89/23/741128923.db2.gz MWSFJHOPCGVADM-NEPJUHHUSA-N -1 1 347.423 -0.067 20 0 EBADMM Cc1c(C(=O)N[C@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)nnn1C ZINC001071404924 741133329 /nfs/dbraw/zinc/13/33/29/741133329.db2.gz WNMHJLFDRKVURF-KOLCDFICSA-N -1 1 348.411 -0.672 20 0 EBADMM C[C@@H]1CN(C(=O)C2CCC2)C[C@@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001071436290 741135983 /nfs/dbraw/zinc/13/59/83/741135983.db2.gz JCEGGZBEVDOOGP-YPMHNXCESA-N -1 1 348.403 -0.310 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)C2=CCOCC2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071456878 741140024 /nfs/dbraw/zinc/14/00/24/741140024.db2.gz DIIHBEJABPYWRN-WCQYABFASA-N -1 1 335.408 -0.076 20 0 EBADMM CCCC(=O)N1C[C@H](C)[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001071476940 741143629 /nfs/dbraw/zinc/14/36/29/741143629.db2.gz OJPCYWRPHDPJQE-NWDGAFQWSA-N -1 1 336.392 -0.310 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2cnnn2C)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071481271 741144079 /nfs/dbraw/zinc/14/40/79/741144079.db2.gz GOZSNFFNHOSPKC-NXEZZACHSA-N -1 1 334.384 -0.980 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2ncn(C)n2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071486698 741144559 /nfs/dbraw/zinc/14/45/59/741144559.db2.gz BENIREFLKHRFKB-NXEZZACHSA-N -1 1 334.384 -0.980 20 0 EBADMM Cc1nc(CC(=O)N[C@H]2CC[C@@H](C)N(Cc3n[nH]c(=O)[n-]3)C2)n[nH]1 ZINC001071499265 741147413 /nfs/dbraw/zinc/14/74/13/741147413.db2.gz ZABMKDWSLGVDLJ-SCZZXKLOSA-N -1 1 334.384 -0.351 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2CC[C@@H](C)N(Cc3nc(=O)n(C)[n-]3)C2)nn1 ZINC001071505398 741148750 /nfs/dbraw/zinc/14/87/50/741148750.db2.gz VOVWWYWLLMISDC-VXGBXAGGSA-N -1 1 345.407 -0.010 20 0 EBADMM CCOCC(=O)N1C[C@H](C)[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC001071532483 741157032 /nfs/dbraw/zinc/15/70/32/741157032.db2.gz BSECQCAFWXWPJR-GXSJLCMTSA-N -1 1 348.363 -0.969 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CN1CCn1cncn1 ZINC001071651446 741182093 /nfs/dbraw/zinc/18/20/93/741182093.db2.gz INXGFKJPTLEAMB-ZJUUUORDSA-N -1 1 348.367 -0.843 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CN1CCn1cncn1 ZINC001071651443 741182106 /nfs/dbraw/zinc/18/21/06/741182106.db2.gz INXGFKJPTLEAMB-NXEZZACHSA-N -1 1 348.367 -0.843 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)c2ccnnc2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001071848912 741233168 /nfs/dbraw/zinc/23/31/68/741233168.db2.gz ZPSKACYISDPHDL-JQWIXIFHSA-N -1 1 331.380 -0.319 20 0 EBADMM COCCN1C[C@@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)CC[C@@H]1C ZINC001071907609 741242584 /nfs/dbraw/zinc/24/25/84/741242584.db2.gz CYOAVSBFEABEMH-RYUDHWBXSA-N -1 1 348.407 -0.313 20 0 EBADMM C[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1CNCc1cnon1 ZINC001072316046 741368185 /nfs/dbraw/zinc/36/81/85/741368185.db2.gz ZVQFQAORUTYXLJ-DTWKUNHWSA-N -1 1 349.351 -0.446 20 0 EBADMM Cc1cnc(C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)cn1 ZINC001072427899 741395980 /nfs/dbraw/zinc/39/59/80/741395980.db2.gz AQHRGOVOTNEFMJ-UHFFFAOYSA-N -1 1 343.391 -0.445 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)C[C@H]4COC(=O)C4)C3)C2)nc1=O ZINC001072621222 741445086 /nfs/dbraw/zinc/44/50/86/741445086.db2.gz RBNKXZVBXSPENU-LLVKDONJSA-N -1 1 349.391 -0.904 20 0 EBADMM Cn1[nH]c(CN2CCC3(CN(C(=O)c4ncccc4[O-])C3)C2)nc1=O ZINC001072653551 741453615 /nfs/dbraw/zinc/45/36/15/741453615.db2.gz HELLEUPJTYLWJB-UHFFFAOYSA-N -1 1 344.375 -0.443 20 0 EBADMM Cn1nccc1CC(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072672310 741457911 /nfs/dbraw/zinc/45/79/11/741457911.db2.gz ULYGFVAFVKFJDV-UHFFFAOYSA-N -1 1 345.407 -0.881 20 0 EBADMM Cc1ccnc(C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)n1 ZINC001072681077 741460229 /nfs/dbraw/zinc/46/02/29/741460229.db2.gz LSLHPGPAYKCVMD-UHFFFAOYSA-N -1 1 343.391 -0.445 20 0 EBADMM Cn1[n-]c(CN2CCC3(CN(C(=O)[C@]45C[C@H]4COC5)C3)C2)nc1=O ZINC001072757643 741474804 /nfs/dbraw/zinc/47/48/04/741474804.db2.gz QYWWYEZYKSPVSK-ZBEGNZNMSA-N -1 1 333.392 -0.821 20 0 EBADMM CC1(NC(=O)Cn2c(=O)[n-][nH]c2=O)CCN(C(=O)c2ccn[nH]2)CC1 ZINC001072890611 741513400 /nfs/dbraw/zinc/51/34/00/741513400.db2.gz VJSYTGYFRIDVDE-UHFFFAOYSA-N -1 1 349.351 -0.777 20 0 EBADMM C[C@@H]1COCC[C@@H]1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072959674 741527586 /nfs/dbraw/zinc/52/75/86/741527586.db2.gz DHRZBYNDTYPFCN-OLZOCXBDSA-N -1 1 349.435 -0.185 20 0 EBADMM C[C@@H]1CCO[C@@H]1C(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001072991395 741536962 /nfs/dbraw/zinc/53/69/62/741536962.db2.gz URIMRPHOVXQPPT-YPMHNXCESA-N -1 1 335.408 -0.432 20 0 EBADMM O=C(NC[C@H]1CN([C@@H]2CCCNC2=O)CCCO1)c1ncccc1[O-] ZINC001073584113 741669529 /nfs/dbraw/zinc/66/95/29/741669529.db2.gz NYFGMVSIZFJYFQ-QWHCGFSZSA-N -1 1 348.403 -0.114 20 0 EBADMM CNC(=O)CC(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC001073901338 741782646 /nfs/dbraw/zinc/78/26/46/741782646.db2.gz VNUDGFVTTWLLRK-GHMZBOCLSA-N -1 1 334.376 -0.110 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H]3CCN(C(=O)C(F)F)C[C@@H]32)nc1=O ZINC001074129047 741837412 /nfs/dbraw/zinc/83/74/12/741837412.db2.gz ZGUPTVIYYMKPOO-IUCAKERBSA-N -1 1 331.323 -0.825 20 0 EBADMM CC(C)=CC(=O)N1CC[C@H]2OCCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C1 ZINC001074151824 741842455 /nfs/dbraw/zinc/84/24/55/741842455.db2.gz UIIHKCDHYFPNQJ-CHWSQXEVSA-N -1 1 335.408 -0.124 20 0 EBADMM Cn1[n-]c(CN2CCO[C@H]3CCN(C(=O)c4cocn4)C[C@@H]32)nc1=O ZINC001074190205 741855460 /nfs/dbraw/zinc/85/54/60/741855460.db2.gz NFQISVZTFIYFLW-RYUDHWBXSA-N -1 1 348.363 -0.788 20 0 EBADMM CN(C)C(=O)CN1CCO[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001074219414 741863111 /nfs/dbraw/zinc/86/31/11/741863111.db2.gz OXJJKKWVEMQKIS-TZMCWYRMSA-N -1 1 348.403 -0.209 20 0 EBADMM CO[C@H](C)C(=O)N1CCC(O)(CNC(=O)c2ncccc2[O-])CC1 ZINC001074500072 741967185 /nfs/dbraw/zinc/96/71/85/741967185.db2.gz ITFIFQQHFUJBJW-LLVKDONJSA-N -1 1 337.376 -0.095 20 0 EBADMM C[C@H]1C[C@H](NC(=O)CCNC(N)=O)CCN1C(=O)c1ncccc1[O-] ZINC001074986471 742150837 /nfs/dbraw/zinc/15/08/37/742150837.db2.gz LZFXUOJPJIIUDG-WDEREUQCSA-N -1 1 349.391 -0.045 20 0 EBADMM C[C@@H](CCNC(=O)C1CCC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001075282368 742208049 /nfs/dbraw/zinc/20/80/49/742208049.db2.gz FSSFIYCKUZACEE-NSHDSACASA-N -1 1 336.392 -0.262 20 0 EBADMM CC(=O)NCC(=O)NCC[C@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001075425007 742226618 /nfs/dbraw/zinc/22/66/18/742226618.db2.gz FVHVNHMHIVJUBF-VIFPVBQESA-N -1 1 349.391 -0.180 20 0 EBADMM C[C@@H](CCNC(=O)[C@@H]1CCCO1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001075518078 742240785 /nfs/dbraw/zinc/24/07/85/742240785.db2.gz UNYOOEJKXGYUQK-ONGXEEELSA-N -1 1 348.363 -0.779 20 0 EBADMM Cc1ocnc1C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001075599256 742259616 /nfs/dbraw/zinc/25/96/16/742259616.db2.gz ZWXWKJGRVZFKJF-WDEREUQCSA-N -1 1 332.364 -0.249 20 0 EBADMM Cc1nc(C(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)c[nH]1 ZINC001075620953 742262753 /nfs/dbraw/zinc/26/27/53/742262753.db2.gz JOYHTZPXUGQMLR-CMPLNLGQSA-N -1 1 331.380 -0.514 20 0 EBADMM Cc1cc(C(=O)N2CC[C@H]3CN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)ncn1 ZINC001075696549 742273568 /nfs/dbraw/zinc/27/35/68/742273568.db2.gz IRNVZQRNLYLSJF-WCQYABFASA-N -1 1 343.391 -0.447 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)c4cncnc4)[C@@H]3C2)nc1=O ZINC001075713814 742280253 /nfs/dbraw/zinc/28/02/53/742280253.db2.gz NGZFBLJHWYJNLS-CMPLNLGQSA-N -1 1 329.364 -0.755 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)Cc4ccoc4)[C@@H]3C2)nc1=O ZINC001075723639 742281717 /nfs/dbraw/zinc/28/17/17/742281717.db2.gz YYRKSXNJJPCQGM-QWHCGFSZSA-N -1 1 331.376 -0.023 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CCN(C(=O)[C@H]4CC4(F)F)[C@@H]3C2)nc1=O ZINC001075768480 742295591 /nfs/dbraw/zinc/29/55/91/742295591.db2.gz PEBGKVUIAOCWKU-IVZWLZJFSA-N -1 1 327.335 -0.204 20 0 EBADMM C[C@@H](CCNC(=O)CS(C)(=O)=O)NC(=O)c1ncccc1[O-] ZINC001075817204 742306113 /nfs/dbraw/zinc/30/61/13/742306113.db2.gz LIXCXCDFYMSTMS-VIFPVBQESA-N -1 1 329.378 -0.544 20 0 EBADMM C[C@@H](CCNC(=O)[C@@H]1CCOC1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001075849048 742312170 /nfs/dbraw/zinc/31/21/70/742312170.db2.gz DDINLVPDKSUSRC-VHSXEESVSA-N -1 1 348.363 -0.921 20 0 EBADMM Cc1nnccc1C(=O)N1CC[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]21 ZINC001075993412 742353155 /nfs/dbraw/zinc/35/31/55/742353155.db2.gz UBKDZUIBOZFUGQ-WCQYABFASA-N -1 1 343.391 -0.447 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)C3C(C)(C)C3(C)C)C2)nc1=O ZINC001076844093 742599090 /nfs/dbraw/zinc/59/90/90/742599090.db2.gz RRXZHLPSLKMYGS-NXEZZACHSA-N -1 1 337.424 -0.548 20 0 EBADMM C[C@@H](CCNC(=O)C1(C)CC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001076862787 742606258 /nfs/dbraw/zinc/60/62/58/742606258.db2.gz FOCBCVALWRIUKK-NSHDSACASA-N -1 1 336.392 -0.262 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)oc1C ZINC001076888407 742619666 /nfs/dbraw/zinc/61/96/66/742619666.db2.gz BEIAHLIQQMIMQD-GHMZBOCLSA-N -1 1 335.364 -0.707 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CN(Cc3ccn(C)c(=O)c3)C[C@H]2O)c1[O-] ZINC001076889979 742621201 /nfs/dbraw/zinc/62/12/01/742621201.db2.gz CIBBKPCUNHTYCX-VXGBXAGGSA-N -1 1 347.375 -0.902 20 0 EBADMM COc1ccc(CN2C[C@@H](O)[C@H](NC(=O)c3ncccc3[O-])C2)nn1 ZINC001076916764 742641958 /nfs/dbraw/zinc/64/19/58/742641958.db2.gz ALVOSAYSPRKHPT-DGCLKSJQSA-N -1 1 345.359 -0.439 20 0 EBADMM CCn1c(C)nnc1CN1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001076916520 742642206 /nfs/dbraw/zinc/64/22/06/742642206.db2.gz OYDNXMHXMDKGFR-DGCLKSJQSA-N -1 1 346.391 -0.318 20 0 EBADMM Cc1cccc(C(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c1F ZINC001077040238 742707624 /nfs/dbraw/zinc/70/76/24/742707624.db2.gz KLECRUVAEULURG-VXGBXAGGSA-N -1 1 349.366 -0.469 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)c3[nH]ccc3C3CC3)C2)nc1=O ZINC001077112071 742733923 /nfs/dbraw/zinc/73/39/23/742733923.db2.gz BBBNSZGBCDGGDB-VXGBXAGGSA-N -1 1 346.391 -0.711 20 0 EBADMM C[C@@H](CCNC(=O)c1cc(=O)n(C)cn1)NC(=O)c1ncccc1[O-] ZINC001077129388 742746829 /nfs/dbraw/zinc/74/68/29/742746829.db2.gz HAWJSKNAPBWOOJ-JTQLQIEISA-N -1 1 345.359 -0.181 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)Cc3ccccc3)C2)nc1=O ZINC001077242162 742806973 /nfs/dbraw/zinc/80/69/73/742806973.db2.gz IVMZJOXCONULOW-CHWSQXEVSA-N -1 1 331.376 -0.988 20 0 EBADMM C[C@@H](CCNC(=O)C[C@H]1CC(=O)NC1=O)NC(=O)c1ncccc1[O-] ZINC001077318044 742883962 /nfs/dbraw/zinc/88/39/62/742883962.db2.gz MPGVVZVYZSFOIA-UWVGGRQHSA-N -1 1 348.359 -0.535 20 0 EBADMM C[C@@H](CCNC(=O)[C@]1(C)CNC(=O)C1)NC(=O)c1ncccc1[O-] ZINC001077451559 742985326 /nfs/dbraw/zinc/98/53/26/742985326.db2.gz AHYZUNHUCRBHKE-QFYYESIMSA-N -1 1 334.376 -0.062 20 0 EBADMM C[C@@H](CCNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)c1cc[nH]c1 ZINC001077488634 743014456 /nfs/dbraw/zinc/01/44/56/743014456.db2.gz ZMMPCXUUMNAIGK-VIFPVBQESA-N -1 1 343.347 -0.316 20 0 EBADMM C[C@@H](CCNC(=O)c1n[nH]c(=O)[n-]c1=O)NC(=O)[C@H]1CCCN1C ZINC001077578056 743093042 /nfs/dbraw/zinc/09/30/42/743093042.db2.gz KOQXQOJIOSCZEC-DTWKUNHWSA-N -1 1 338.368 -0.998 20 0 EBADMM CCc1ccoc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001077627817 743127320 /nfs/dbraw/zinc/12/73/20/743127320.db2.gz VTYUEWAFPFLEBU-GHMZBOCLSA-N -1 1 335.364 -0.761 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](O)[C@H](NC(=O)[C@@]34C[C@@H]3CCCC4)C2)nc1=O ZINC001077643562 743138429 /nfs/dbraw/zinc/13/84/29/743138429.db2.gz GGNCSJAATJLQAU-LGSVPQMISA-N -1 1 335.408 -0.650 20 0 EBADMM O=C(Cn1[n-]cc2c(=O)ncnc1-2)N[C@@H]1CN(CC2CCC2)C[C@H]1O ZINC001077854739 743297242 /nfs/dbraw/zinc/29/72/42/743297242.db2.gz YUNZTFXZURDCGE-CHWSQXEVSA-N -1 1 346.391 -0.967 20 0 EBADMM Cc1cc(C(=O)N2CCCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)[nH]n1 ZINC001078349852 743597625 /nfs/dbraw/zinc/59/76/25/743597625.db2.gz UOZQNJMNWUXZFI-SNVBAGLBSA-N -1 1 335.368 -0.437 20 0 EBADMM O=C(c1cscn1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078354824 743601282 /nfs/dbraw/zinc/60/12/82/743601282.db2.gz MXCCKDBOGKIYFP-SECBINFHSA-N -1 1 338.393 -0.012 20 0 EBADMM O=C(c1ncccc1[O-])N1CCCO[C@@H](C[NH2+]Cc2n[nH]c(=O)[n-]2)C1 ZINC001078385816 743619640 /nfs/dbraw/zinc/61/96/40/743619640.db2.gz QOJLECGESXROMK-JTQLQIEISA-N -1 1 348.363 -0.368 20 0 EBADMM O=C([C@@H]1CC1(F)F)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078397308 743629144 /nfs/dbraw/zinc/62/91/44/743629144.db2.gz YSVBKMSRQXBRFL-IUCAKERBSA-N -1 1 331.323 -0.127 20 0 EBADMM O=C(c1cc(F)c[nH]1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078429420 743645706 /nfs/dbraw/zinc/64/57/06/743645706.db2.gz CEIRVAHVUGMESH-SNVBAGLBSA-N -1 1 338.343 -0.002 20 0 EBADMM O=C(c1ccnnc1)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078476558 743666504 /nfs/dbraw/zinc/66/65/04/743666504.db2.gz BMGFETDQCZUZMR-NSHDSACASA-N -1 1 333.352 -0.679 20 0 EBADMM CCn1ccc(C(=O)N2CCCO[C@H](CNCc3n[nH]c(=O)[n-]3)C2)n1 ZINC001078483087 743668395 /nfs/dbraw/zinc/66/83/95/743668395.db2.gz MTRVLYBBKUVVSD-LLVKDONJSA-N -1 1 349.395 -0.252 20 0 EBADMM O=C(c1nccs1)N1CCCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001078492206 743675556 /nfs/dbraw/zinc/67/55/56/743675556.db2.gz JKBNPESSCARVEJ-SECBINFHSA-N -1 1 338.393 -0.012 20 0 EBADMM O=C(Cn1cccn1)N[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078557064 743693501 /nfs/dbraw/zinc/69/35/01/743693501.db2.gz ZQGCZCJUKBTLOH-MNOVXSKESA-N -1 1 331.380 -0.076 20 0 EBADMM O=C(Cn1cccn1)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001078557065 743693826 /nfs/dbraw/zinc/69/38/26/743693826.db2.gz ZQGCZCJUKBTLOH-QWRGUYRKSA-N -1 1 331.380 -0.076 20 0 EBADMM O=C(N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2)c1cn[nH]c(=O)c1 ZINC001078653145 743716205 /nfs/dbraw/zinc/71/62/05/743716205.db2.gz ZROUBAJXVMCHDV-UWVGGRQHSA-N -1 1 345.363 -0.163 20 0 EBADMM O=C(N[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)C12CCC2)c1cn[nH]c(=O)c1 ZINC001078653144 743716455 /nfs/dbraw/zinc/71/64/55/743716455.db2.gz ZROUBAJXVMCHDV-NXEZZACHSA-N -1 1 345.363 -0.163 20 0 EBADMM Cn1c(C(=O)N[C@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)c[nH]c1=O ZINC001078698200 743720776 /nfs/dbraw/zinc/72/07/76/743720776.db2.gz MBFLGINKLVQDJB-ZJUUUORDSA-N -1 1 347.379 -0.220 20 0 EBADMM Cc1n[nH]c(C(=O)NC2CCC(NC(=O)Cc3nnc[nH]3)CC2)c1[O-] ZINC000980127980 805753745 /nfs/dbraw/zinc/75/37/45/805753745.db2.gz GFUQAJDQVDBBST-UHFFFAOYSA-N -1 1 347.379 -0.058 20 0 EBADMM C[C@H](C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1)c1cnn(C)c1 ZINC000980583773 805952884 /nfs/dbraw/zinc/95/28/84/805952884.db2.gz QYVIKZFTVXBBPG-AAEUAGOBSA-N -1 1 347.423 -0.322 20 0 EBADMM Cc1nonc1CNC[C@@H]1C[C@H](C)N(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000980641427 805972197 /nfs/dbraw/zinc/97/21/97/805972197.db2.gz FDDMUMCRYFAHHK-CBAPKCEASA-N -1 1 349.351 -0.385 20 0 EBADMM C[C@@H]1C[C@H](CNCc2nncn2C)CN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000980643079 805973286 /nfs/dbraw/zinc/97/32/86/805973286.db2.gz VGBMPTZQKHMLHO-RKDXNWHRSA-N -1 1 348.367 -0.948 20 0 EBADMM Cc1cc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)nn1C ZINC000980784425 806015642 /nfs/dbraw/zinc/01/56/42/806015642.db2.gz YNRPOHZOOHOPGR-UHFFFAOYSA-N -1 1 333.396 -0.502 20 0 EBADMM O=C(N[C@H]1CCC[C@H](NCc2cnon2)C1)c1n[nH]c(=O)[n-]c1=O ZINC000981201835 806107836 /nfs/dbraw/zinc/10/78/36/806107836.db2.gz PWTXZKOUWWXWTR-YUMQZZPRSA-N -1 1 335.324 -0.503 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)c3cnc4n3CCC4)CC2)nc1=O ZINC000981361324 806150601 /nfs/dbraw/zinc/15/06/01/806150601.db2.gz FKKJEZPBZGLPNJ-UHFFFAOYSA-N -1 1 345.407 -0.401 20 0 EBADMM CO[C@@H]1CC[C@@H](C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)C1 ZINC000981497817 806182402 /nfs/dbraw/zinc/18/24/02/806182402.db2.gz HNGGBSZCILEAFD-CHWSQXEVSA-N -1 1 337.424 -0.042 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)[C@@H]4CCOC4)C3)C2)nc1=O ZINC000981656006 806227167 /nfs/dbraw/zinc/22/71/67/806227167.db2.gz OAAOHFAGIRTIJD-GFCCVEGCSA-N -1 1 335.408 -0.431 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)CC4(O)CCC4)C3)C2)nc1=O ZINC000981758876 806270519 /nfs/dbraw/zinc/27/05/19/806270519.db2.gz JWJYLPNHVCYDNV-UHFFFAOYSA-N -1 1 349.435 -0.162 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)c3csc(=O)[nH]3)CC2)nc1=O ZINC000981794562 806281193 /nfs/dbraw/zinc/28/11/93/806281193.db2.gz MRNGYEVXJPKVBK-UHFFFAOYSA-N -1 1 338.393 -0.381 20 0 EBADMM C[C@@H](C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1)[C@H]1CCCO1 ZINC000981912175 806320589 /nfs/dbraw/zinc/32/05/89/806320589.db2.gz NYNINWLNNXQHMA-CHWSQXEVSA-N -1 1 337.424 -0.042 20 0 EBADMM Cc1n[nH]nc1C(=O)N1CC2(C1)CCCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC000981931257 806330106 /nfs/dbraw/zinc/33/01/06/806330106.db2.gz WAFSLOIAWYQIPN-UHFFFAOYSA-N -1 1 346.395 -0.727 20 0 EBADMM Cn1[n-]c(CN2CCCC3(CN(C(=O)c4ncccn4)C3)C2)nc1=O ZINC000982077998 806394250 /nfs/dbraw/zinc/39/42/50/806394250.db2.gz UQEAJIZQDSDGCN-UHFFFAOYSA-N -1 1 343.391 -0.363 20 0 EBADMM COc1cncc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC000982088332 806395680 /nfs/dbraw/zinc/39/56/80/806395680.db2.gz GYLUHRDAMXXEFO-UHFFFAOYSA-N -1 1 347.379 -0.745 20 0 EBADMM Cc1nonc1C(=O)N1CC2(C1)CCCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC000982172259 806416939 /nfs/dbraw/zinc/41/69/39/806416939.db2.gz ZPHZCDLYMNUYGM-UHFFFAOYSA-N -1 1 347.379 -0.462 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)COc3cccnc3)CC2)nc1=O ZINC000982221187 806426806 /nfs/dbraw/zinc/42/68/06/806426806.db2.gz POTNDMQMCSXBPC-UHFFFAOYSA-N -1 1 346.391 -0.383 20 0 EBADMM Cc1ncc(C(=O)N2CCCN(Cc3nc(=O)n(C)[n-]3)CC2)c(C)n1 ZINC000982246382 806432948 /nfs/dbraw/zinc/43/29/48/806432948.db2.gz INOACMIVOSYPJJ-UHFFFAOYSA-N -1 1 345.407 -0.137 20 0 EBADMM CCCC(=O)N1CC[C@H](CNC(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000982360482 806471560 /nfs/dbraw/zinc/47/15/60/806471560.db2.gz ZOAVJMCMEJPVKM-SNVBAGLBSA-N -1 1 332.364 -0.204 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@H]1CCC(=O)N1 ZINC000982670537 806626358 /nfs/dbraw/zinc/62/63/58/806626358.db2.gz LNWNQWQFTBOTHP-GHMZBOCLSA-N -1 1 332.360 -0.356 20 0 EBADMM CN1CCO[C@@H](C(=O)NC[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC000982671227 806627485 /nfs/dbraw/zinc/62/74/85/806627485.db2.gz OYMUDXIOJRTZPU-GXTWGEPZSA-N -1 1 348.403 -0.304 20 0 EBADMM O=C(NC[C@@H]1CCN(C(=O)c2ncccc2[O-])C1)[C@@H]1CCC(=O)N1 ZINC000982672866 806628706 /nfs/dbraw/zinc/62/87/06/806628706.db2.gz LNWNQWQFTBOTHP-QWRGUYRKSA-N -1 1 332.360 -0.356 20 0 EBADMM Cn1cnc(C(=O)NC[C@H]2CCN(C(=O)c3ncccc3[O-])C2)n1 ZINC000982672187 806628935 /nfs/dbraw/zinc/62/89/35/806628935.db2.gz GXZCHEXDQHZGMG-SNVBAGLBSA-N -1 1 330.348 -0.192 20 0 EBADMM Cn1cc(C(=O)NC[C@@H]2CCN(C(=O)c3ncccc3[O-])C2)nn1 ZINC000982673929 806630057 /nfs/dbraw/zinc/63/00/57/806630057.db2.gz SHYOZHKPEMQYCW-JTQLQIEISA-N -1 1 330.348 -0.192 20 0 EBADMM CN1C[C@@H](C(=O)NC[C@H]2CCN(C(=O)c3ncccc3[O-])C2)NC1=O ZINC000982675700 806631087 /nfs/dbraw/zinc/63/10/87/806631087.db2.gz NHDWHCUSCCKYCJ-MNOVXSKESA-N -1 1 347.375 -0.611 20 0 EBADMM O=C(Cn1nccn1)N1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000982801945 806715035 /nfs/dbraw/zinc/71/50/35/806715035.db2.gz HAIZVFPKXSQAOG-NSHDSACASA-N -1 1 330.348 -0.343 20 0 EBADMM O=C(NC[C@H]1CCN(C(=O)[C@H]2CCCNC2=O)C1)c1ncccc1[O-] ZINC000982884596 806756131 /nfs/dbraw/zinc/75/61/31/806756131.db2.gz QZEDPWWSLCBCQG-NEPJUHHUSA-N -1 1 346.387 -0.108 20 0 EBADMM O=C(CCn1cnnn1)N1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000983176683 806923342 /nfs/dbraw/zinc/92/33/42/806923342.db2.gz QYFHQWOVUNQOCD-LLVKDONJSA-N -1 1 345.363 -0.558 20 0 EBADMM NC(=O)C1(C(=O)N2CC[C@H](CNC(=O)c3ncccc3[O-])C2)CC1 ZINC000983210251 806950627 /nfs/dbraw/zinc/95/06/27/806950627.db2.gz DPXBJMRFCXYYMG-SNVBAGLBSA-N -1 1 332.360 -0.369 20 0 EBADMM CC1(C(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000976858313 803909780 /nfs/dbraw/zinc/90/97/80/803909780.db2.gz FIHYEENHEDMENL-GDGBQDQQSA-N -1 1 342.359 -0.596 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H]1[C@H]2CN(C(=O)C(C)C)C[C@H]21 ZINC000976863750 803915884 /nfs/dbraw/zinc/91/58/84/803915884.db2.gz UYOJEFLZBUKOBT-BRPSZJMVSA-N -1 1 336.348 -0.261 20 0 EBADMM CN(C[C@H]1CCN1C(=O)[C@@H]1COCCN1C)C(=O)c1ncccc1[O-] ZINC000977617801 804416365 /nfs/dbraw/zinc/41/63/65/804416365.db2.gz LZAROSHNLQAKFQ-OLZOCXBDSA-N -1 1 348.403 -0.209 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)[C@@H]1CCC(=O)NC1)C(=O)c1ncccc1[O-] ZINC000977623761 804420288 /nfs/dbraw/zinc/42/02/88/804420288.db2.gz ZQMOWALHFDAPMT-NEPJUHHUSA-N -1 1 346.387 -0.014 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)c1ncn(C)n1 ZINC000977656732 804446404 /nfs/dbraw/zinc/44/64/04/804446404.db2.gz SBTALPULUPIORC-JTQLQIEISA-N -1 1 330.348 -0.098 20 0 EBADMM CN(C[C@H]1CCN1C(=O)CC(N)=O)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC000977722608 804476756 /nfs/dbraw/zinc/47/67/56/804476756.db2.gz FDPYYEFETDIMNG-SNVBAGLBSA-N -1 1 347.375 -0.392 20 0 EBADMM CN(C[C@H]1CCN1C(=O)c1ncccc1[O-])C(=O)c1cnn(C)n1 ZINC000978009911 804616663 /nfs/dbraw/zinc/61/66/63/804616663.db2.gz QSPLMUCKDFMQNB-SNVBAGLBSA-N -1 1 330.348 -0.098 20 0 EBADMM CN(C[C@@H]1CCN1C(=O)c1ncccc1[O-])C(=O)[C@@H]1CCC(=O)N1 ZINC000978103551 804660452 /nfs/dbraw/zinc/66/04/52/804660452.db2.gz IPAZSUXVDUUARU-QWRGUYRKSA-N -1 1 332.360 -0.261 20 0 EBADMM Cn1nccc1CN1C[C@@H]2[C@@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)[C@@H]2C1 ZINC000978410232 804824954 /nfs/dbraw/zinc/82/49/54/804824954.db2.gz HEQFOACXCVJJIL-RTCCRHLQSA-N -1 1 345.363 -0.876 20 0 EBADMM Cc1ncc(CN2C[C@@H]3[C@@H](CNC(=O)c4n[nH]c(=O)[n-]c4=O)[C@@H]3C2)o1 ZINC000978411364 804825282 /nfs/dbraw/zinc/82/52/82/804825282.db2.gz KJKAFRQDBHZMDX-RTCCRHLQSA-N -1 1 346.347 -0.313 20 0 EBADMM O=C(N[C@H]1C[C@@H](CNC(=O)C2CCC2)C1)c1cc(=O)n2[n-]cnc2n1 ZINC000978497347 804847325 /nfs/dbraw/zinc/84/73/25/804847325.db2.gz NZUFQGBLJNTZPM-JGZJWPJOSA-N -1 1 344.375 -0.158 20 0 EBADMM O=C(NC[C@@H]1C[C@H](NC(=O)[C@@H]2CNC(=O)N2)C1)c1ncccc1[O-] ZINC000978743708 804955101 /nfs/dbraw/zinc/95/51/01/804955101.db2.gz RHCOZZYHZBFUQE-AEJSXWLSSA-N -1 1 333.348 -0.907 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)C2=COCCC2)CCO1 ZINC000979211593 805210845 /nfs/dbraw/zinc/21/08/45/805210845.db2.gz FJFLZLJUYUPGAH-PWSUYJOCSA-N -1 1 337.380 -0.090 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H]1CN(C(=O)Cc2cnn(C)c2)CCO1 ZINC000979223747 805218403 /nfs/dbraw/zinc/21/84/03/805218403.db2.gz XWRPXEFDQJNVSZ-CMPLNLGQSA-N -1 1 349.395 -0.808 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2cscn2)CCO1 ZINC000979269335 805251147 /nfs/dbraw/zinc/25/11/47/805251147.db2.gz ZGXDZXGPKVFZBX-SCZZXKLOSA-N -1 1 338.393 -0.014 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)Cc2cccnc2)CCO1 ZINC000979290262 805266494 /nfs/dbraw/zinc/26/64/94/805266494.db2.gz HVULCXAYJBVILH-AAEUAGOBSA-N -1 1 346.391 -0.147 20 0 EBADMM Cc1ccnc(C(=O)N2CCO[C@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000979303823 805279083 /nfs/dbraw/zinc/27/90/83/805279083.db2.gz QDDZUTVETKAXLV-MNOVXSKESA-N -1 1 347.379 -0.372 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2cn[nH]c(=O)c2)CCO1 ZINC000979345063 805309541 /nfs/dbraw/zinc/30/95/41/805309541.db2.gz GUOOERYMSBFFFR-SCZZXKLOSA-N -1 1 349.351 -0.975 20 0 EBADMM Cc1cnn(C)c1C(=O)N1CCO[C@@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979344969 805309931 /nfs/dbraw/zinc/30/99/31/805309931.db2.gz OQEZRKCMBBOUDO-WDEREUQCSA-N -1 1 349.395 -0.428 20 0 EBADMM Cc1ccn(CC(=O)N2CCO[C@H]([C@@H](C)NCc3n[nH]c(=O)[n-]3)C2)n1 ZINC000979395516 805348890 /nfs/dbraw/zinc/34/88/90/805348890.db2.gz WQHMONIYSDGANZ-NEPJUHHUSA-N -1 1 349.395 -0.579 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)c2ncccn2)CCO1 ZINC000979413761 805360040 /nfs/dbraw/zinc/36/00/40/805360040.db2.gz OOFVBQHCEIXBGQ-UWVGGRQHSA-N -1 1 333.352 -0.680 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H]1CN(C(=O)Cc2ccc[nH]2)CCO1 ZINC000979457197 805391515 /nfs/dbraw/zinc/39/15/15/805391515.db2.gz LULOJVSZHWJOAW-PWSUYJOCSA-N -1 1 334.380 -0.213 20 0 EBADMM Cc1nonc1C(=O)N1CCO[C@H]([C@H](C)NCc2n[nH]c(=O)[n-]2)C1 ZINC000979458105 805391641 /nfs/dbraw/zinc/39/16/41/805391641.db2.gz FELVRDIEYYGKPQ-CBAPKCEASA-N -1 1 337.340 -0.779 20 0 EBADMM NC(=O)[C@H]1CC[C@@H]1C(=O)N[C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000979663598 805475267 /nfs/dbraw/zinc/47/52/67/805475267.db2.gz DGTGUCNZXAVYCY-BJDJZHNGSA-N -1 1 346.387 -0.077 20 0 EBADMM CN(C(=O)C(N)=O)[C@H]1CCCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000984204070 807215435 /nfs/dbraw/zinc/21/54/35/807215435.db2.gz GFMDQOTYWJNBSS-JTQLQIEISA-N -1 1 347.375 -0.392 20 0 EBADMM CN(C(=O)C1CC1)[C@@H]1CCCN(C(=O)c2cc(=O)n3[n-]cnc3n2)C1 ZINC000984408824 807282916 /nfs/dbraw/zinc/28/29/16/807282916.db2.gz RDVBOOYTEOLKPG-LLVKDONJSA-N -1 1 344.375 -0.109 20 0 EBADMM CN(C(=O)c1ncccc1[O-])[C@@H]1CCCN(C(=O)[C@H]2CNC(=O)N2)C1 ZINC000984570504 807338505 /nfs/dbraw/zinc/33/85/05/807338505.db2.gz UQAIQQQHJWPNRG-GHMZBOCLSA-N -1 1 347.375 -0.468 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)[C@@H]2CNC(=O)N2)CCN1C(=O)c1ncccc1[O-] ZINC000985371133 807495395 /nfs/dbraw/zinc/49/53/95/807495395.db2.gz DODJJVLQMDGCNN-GARJFASQSA-N -1 1 347.375 -0.422 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)[C@@H]2CCNC(=O)C2)CC1 ZINC000985372899 807496122 /nfs/dbraw/zinc/49/61/22/807496122.db2.gz IOTISYFQGGGQMG-SNVBAGLBSA-N -1 1 336.396 -0.541 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C1CCN(C(=O)CO[C@H]2CCOC2)CC1 ZINC000985429455 807509302 /nfs/dbraw/zinc/50/93/02/807509302.db2.gz QBCNPBNGGHXCPI-LBPRGKRZSA-N -1 1 339.396 -0.261 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cc(CN(C)C)on1 ZINC000986467248 807709521 /nfs/dbraw/zinc/70/95/21/807709521.db2.gz NRSSBQWTAKATNX-KOLCDFICSA-N -1 1 349.395 -0.047 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)CN1CCCCC1=O ZINC000986472207 807711029 /nfs/dbraw/zinc/71/10/29/807711029.db2.gz IWDPFMRHZCQCFE-WDEREUQCSA-N -1 1 336.396 -0.398 20 0 EBADMM C[C@@H]1[C@H](NCc2nccn2C)CCN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000986490796 807719767 /nfs/dbraw/zinc/71/97/67/807719767.db2.gz YBJKNWNMMAJGQV-RKDXNWHRSA-N -1 1 333.352 -0.591 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cc(C(N)=O)cn1C ZINC000986497118 807720045 /nfs/dbraw/zinc/72/00/45/807720045.db2.gz WJUQCFHLDQDTFJ-SCZZXKLOSA-N -1 1 347.379 -0.660 20 0 EBADMM COc1c[nH]c(C(=O)N2CC[C@H](NCc3n[nH]c(=O)[n-]3)[C@@H]2C)cc1=O ZINC000986560001 807736196 /nfs/dbraw/zinc/73/61/96/807736196.db2.gz JFIZLUSVZDCPLS-IUCAKERBSA-N -1 1 348.363 -0.400 20 0 EBADMM C[C@H]1[C@@H](NCc2nccn2C)CCN1C(=O)c1cnc([O-])n(C)c1=O ZINC000986647292 807755852 /nfs/dbraw/zinc/75/58/52/807755852.db2.gz ASQJDNQNGKVTTI-JQWIXIFHSA-N -1 1 346.391 -0.388 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@H]1C ZINC000986690243 807765908 /nfs/dbraw/zinc/76/59/08/807765908.db2.gz PEBKKHKSPLXNEF-BDAKNGLRSA-N -1 1 333.352 -0.293 20 0 EBADMM CC(=O)N1CCC([C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)CC1 ZINC000986706743 807766910 /nfs/dbraw/zinc/76/69/10/807766910.db2.gz XPAQGZXIPLVYAR-VIFPVBQESA-N -1 1 332.364 -0.206 20 0 EBADMM C[C@H]1[C@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1ccoc1CC(N)=O ZINC000986753776 807773311 /nfs/dbraw/zinc/77/33/11/807773311.db2.gz HQFSKLTWYPJIBX-WCBMZHEXSA-N -1 1 348.363 -0.476 20 0 EBADMM CC(=O)N[C@@H]1CCCCCN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC000987078869 807834881 /nfs/dbraw/zinc/83/48/81/807834881.db2.gz JPZBKHFJFHLGRV-CYBMUJFWSA-N -1 1 336.392 -0.166 20 0 EBADMM C[C@@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)c1cnn2cc[nH]c12 ZINC000987418592 807912886 /nfs/dbraw/zinc/91/28/86/807912886.db2.gz YULRERUEUFRMOM-SCZZXKLOSA-N -1 1 330.352 -0.121 20 0 EBADMM CCn1ccc(CN[C@H]2CCN(C(=O)Cn3c(=O)[n-][nH]c3=O)[C@H]2C)n1 ZINC000987421958 807914961 /nfs/dbraw/zinc/91/49/61/807914961.db2.gz OOQAPYGJLOKSDT-JQWIXIFHSA-N -1 1 349.395 -0.315 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2ncccc2[O-])CCN1C(=O)[C@H]1CCC(=O)N1 ZINC000987670620 807986877 /nfs/dbraw/zinc/98/68/77/807986877.db2.gz GTDQPVBHUXXVHU-OUAUKWLOSA-N -1 1 332.360 -0.215 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)C2(C)CC2)CCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000988471414 808222522 /nfs/dbraw/zinc/22/25/22/808222522.db2.gz SZEWKGDPBRUJAF-NEPJUHHUSA-N -1 1 348.403 -0.168 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCn1ccccc1=O ZINC000988926581 808327637 /nfs/dbraw/zinc/32/76/37/808327637.db2.gz HJCPAIZXEXEXBD-NEPJUHHUSA-N -1 1 346.391 -0.159 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccnc2ccnn21 ZINC000988952787 808332666 /nfs/dbraw/zinc/33/26/66/808332666.db2.gz IIAGZIUPSVZCJF-UWVGGRQHSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)Cn1cc(C2CC2)nn1 ZINC000988954780 808333344 /nfs/dbraw/zinc/33/33/44/808333344.db2.gz OHHOKXQDGLERKD-MWLCHTKSSA-N -1 1 346.395 -0.242 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnc2n1CCOC2 ZINC000988982512 808342026 /nfs/dbraw/zinc/34/20/26/808342026.db2.gz WJVVGQXPKIINQG-UWVGGRQHSA-N -1 1 347.379 -0.370 20 0 EBADMM CC(C)C(=O)N1CC[C@H](NC(=O)c2cc(=O)n3[n-]cnc3n2)[C@H]1C ZINC000989041161 808355610 /nfs/dbraw/zinc/35/56/10/808355610.db2.gz UYHOUTRAPJZEOP-ZJUUUORDSA-N -1 1 332.364 -0.207 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cc(CN(C)C)on1 ZINC000989080171 808368828 /nfs/dbraw/zinc/36/88/28/808368828.db2.gz YHAZHAXKQGSMFJ-VHSXEESVSA-N -1 1 349.395 -0.047 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CN1CCCCC1=O ZINC000989098100 808372440 /nfs/dbraw/zinc/37/24/40/808372440.db2.gz IRHWZJSXYSCOCE-QWRGUYRKSA-N -1 1 336.396 -0.398 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cn2cccnc2n1 ZINC000989094370 808373012 /nfs/dbraw/zinc/37/30/12/808373012.db2.gz MUPWWVAVGKQZTR-UWVGGRQHSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@H]1C[C@H](NCc2cnns2)CN1C(=O)c1n[nH]c(=O)[n-]c1=O ZINC000989109778 808376256 /nfs/dbraw/zinc/37/62/56/808376256.db2.gz GWKKVYHUVJPPPO-BQBZGAKWSA-N -1 1 337.365 -0.473 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnc2nccn2c1 ZINC000989180096 808394334 /nfs/dbraw/zinc/39/43/34/808394334.db2.gz MXOFYUGLPQSBEW-MWLCHTKSSA-N -1 1 342.363 -0.054 20 0 EBADMM COc1c[nH]c(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@H]2C)cc1=O ZINC000989184711 808396201 /nfs/dbraw/zinc/39/62/01/808396201.db2.gz BTSRBUBOXCNMOH-RKDXNWHRSA-N -1 1 348.363 -0.400 20 0 EBADMM COc1c[nH]c(C(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)cc1=O ZINC000989184703 808396584 /nfs/dbraw/zinc/39/65/84/808396584.db2.gz BTSRBUBOXCNMOH-DTWKUNHWSA-N -1 1 348.363 -0.400 20 0 EBADMM C[C@@H]1C[C@H]1C(=O)N[C@H]1CCN(C(=O)c2cc(=O)n3[n-]cnc3n2)[C@@H]1C ZINC000989246443 808421137 /nfs/dbraw/zinc/42/11/37/808421137.db2.gz KQKSSBOQCGIXOH-DBIOUOCHSA-N -1 1 344.375 -0.207 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cnn2cccnc12 ZINC000989284785 808427314 /nfs/dbraw/zinc/42/73/14/808427314.db2.gz VHVBSOYILQGUPC-ZJUUUORDSA-N -1 1 342.363 -0.054 20 0 EBADMM C[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc(C(N)=O)[nH]1 ZINC000989457083 808480523 /nfs/dbraw/zinc/48/05/23/808480523.db2.gz FQKZNFOHDXBLTO-YUMQZZPRSA-N -1 1 333.352 -0.670 20 0 EBADMM O=C(c1cc(=O)n2[n-]cnc2n1)N1CCCN(Cc2cnon2)CC1 ZINC000989478259 808486997 /nfs/dbraw/zinc/48/69/97/808486997.db2.gz VDERGZGNLKXPQW-UHFFFAOYSA-N -1 1 344.335 -0.851 20 0 EBADMM Cc1ccn(CC(=O)N2C[C@H](NCc3n[nH]c(=O)[n-]3)C[C@@H]2C)c(=O)c1 ZINC000989501527 808491550 /nfs/dbraw/zinc/49/15/50/808491550.db2.gz FVDGJQYEBMQCJH-NWDGAFQWSA-N -1 1 346.391 -0.240 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)COC1CCOCC1 ZINC000989510459 808492368 /nfs/dbraw/zinc/49/23/68/808492368.db2.gz GRFSYZBLBIWFNL-GHMZBOCLSA-N -1 1 339.396 -0.215 20 0 EBADMM C[C@H]1C[C@@H](NCc2cnon2)CN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC000989562688 808508440 /nfs/dbraw/zinc/50/84/40/808508440.db2.gz RKJNCZDPHREECV-WDEREUQCSA-N -1 1 348.363 -0.911 20 0 EBADMM C[C@@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1cn(CC2CC2)nn1 ZINC000989623395 808536070 /nfs/dbraw/zinc/53/60/70/808536070.db2.gz VRRRCNLUGLQMPI-MWLCHTKSSA-N -1 1 346.395 -0.095 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)CCc1cnn(C)c1 ZINC000989825500 808606190 /nfs/dbraw/zinc/60/61/90/808606190.db2.gz GRWZMJXXFZHYKW-PWSUYJOCSA-N -1 1 333.396 -0.044 20 0 EBADMM C[C@@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc(C(N)=O)cn1 ZINC000989851053 808611955 /nfs/dbraw/zinc/61/19/55/808611955.db2.gz ZGBWJCWXGBFTND-SCZZXKLOSA-N -1 1 345.363 -0.603 20 0 EBADMM C[C@H]1C[C@@H](NCc2n[nH]c(=O)[n-]2)CN1C(=O)c1ccc(C(N)=O)nc1 ZINC000989857912 808613337 /nfs/dbraw/zinc/61/33/37/808613337.db2.gz USTKGCGRVDQBOC-WCBMZHEXSA-N -1 1 345.363 -0.603 20 0 EBADMM Cn1[n-]c(CN2CC[C@]3(NC(=O)[C@@H]4CCCO4)CCC[C@@H]23)nc1=O ZINC000989932678 808620442 /nfs/dbraw/zinc/62/04/42/808620442.db2.gz SJOYQWVWCUXDNK-HWWQOWPSSA-N -1 1 335.408 -0.099 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)c4cnns4)CCC[C@H]23)nc1=O ZINC000989977442 808629829 /nfs/dbraw/zinc/62/98/29/808629829.db2.gz ZQMLVADFTNVNIE-HZMBPMFUSA-N -1 1 349.420 -0.113 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC(NC(=O)c2ccccc2)C1 ZINC000990096300 808653592 /nfs/dbraw/zinc/65/35/92/808653592.db2.gz DRODYSZINXFZEX-UHFFFAOYSA-N -1 1 342.355 -0.433 20 0 EBADMM Cn1[n-]c(CN2CC[C@@]3(NC(=O)Cc4cc[nH]n4)CCC[C@H]23)nc1=O ZINC000990109069 808656490 /nfs/dbraw/zinc/65/64/90/808656490.db2.gz PSPCXVWSEFDJIQ-LRDDRELGSA-N -1 1 345.407 -0.313 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)c2ccon2)C1 ZINC000990363457 808762787 /nfs/dbraw/zinc/76/27/87/808762787.db2.gz DHPIEXUFMDQFPN-UHFFFAOYSA-N -1 1 335.276 -0.861 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)C=C2CCC2)C1 ZINC000990779763 808913527 /nfs/dbraw/zinc/91/35/27/808913527.db2.gz OVZSAVDCXYOIQK-UHFFFAOYSA-N -1 1 334.332 -0.053 20 0 EBADMM CC(=O)N1CCC[C@H]1C(=O)N1CC(NC(=O)c2ncccc2[O-])C1 ZINC000990970987 809018239 /nfs/dbraw/zinc/01/82/39/809018239.db2.gz DKVPCQGEKVAACK-LBPRGKRZSA-N -1 1 332.360 -0.261 20 0 EBADMM COc1cnc(C(=O)N2CC(NC(=O)c3ncccc3[O-])C2)nc1 ZINC000990970612 809018884 /nfs/dbraw/zinc/01/88/84/809018884.db2.gz WQDCXHSTOHLWRQ-UHFFFAOYSA-N -1 1 329.316 -0.160 20 0 EBADMM Cn1cc(C(=O)NC2CN(C(=O)c3cnc(C4CC4)[n-]c3=O)C2)nn1 ZINC000991179767 809128686 /nfs/dbraw/zinc/12/86/86/809128686.db2.gz JOCJWMHONIFHLR-UHFFFAOYSA-N -1 1 343.347 -0.558 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1CC(NC(=O)[C@H]2CC2(F)F)C1 ZINC000991208676 809157411 /nfs/dbraw/zinc/15/74/11/809157411.db2.gz OPYOKUYLRMZLKW-ZCFIWIBFSA-N -1 1 344.274 -0.508 20 0 EBADMM O=C(Cn1cccn1)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000991284558 809237331 /nfs/dbraw/zinc/23/73/31/809237331.db2.gz NLAUORLNNWMZDO-YPMHNXCESA-N -1 1 345.359 -0.625 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2n[nH]cc2F)C[C@@H]1O)c1ncccc1[O-] ZINC000991407367 809425029 /nfs/dbraw/zinc/42/50/29/809425029.db2.gz DUULILWRGXSXGP-ONGXEEELSA-N -1 1 349.322 -0.345 20 0 EBADMM Cc1nonc1C(=O)N1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC000991407522 809426117 /nfs/dbraw/zinc/42/61/17/809426117.db2.gz FHUHEJSGQUGEMQ-KOLCDFICSA-N -1 1 347.331 -0.516 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2csnn2)C[C@@H]1O)c1ncccc1[O-] ZINC000991422371 809443433 /nfs/dbraw/zinc/44/34/33/809443433.db2.gz HEFVIHBXIHWZML-KCJUWKMLSA-N -1 1 349.372 -0.356 20 0 EBADMM O=C(N[C@H]1CCN(C(=O)c2csnn2)C[C@H]1O)c1ncccc1[O-] ZINC000991422367 809443723 /nfs/dbraw/zinc/44/37/23/809443723.db2.gz HEFVIHBXIHWZML-GZMMTYOYSA-N -1 1 349.372 -0.356 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2cncnc2)C[C@H]1O)c1ncccc1[O-] ZINC000991425232 809449930 /nfs/dbraw/zinc/44/99/30/809449930.db2.gz KSBLBSXUEVKCAK-DGCLKSJQSA-N -1 1 343.343 -0.417 20 0 EBADMM Cc1c[nH]c(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])[C@H](O)C2)n1 ZINC000991426609 809452400 /nfs/dbraw/zinc/45/24/00/809452400.db2.gz NGIPTLILVCCYKO-CMPLNLGQSA-N -1 1 345.359 -0.176 20 0 EBADMM Cc1cc(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])[C@H](O)C2)[nH]n1 ZINC000991429758 809458895 /nfs/dbraw/zinc/45/88/95/809458895.db2.gz RTADNIBTVWTURF-GXFFZTMASA-N -1 1 345.359 -0.176 20 0 EBADMM Cc1cc(C(=O)N2CC[C@H](NC(=O)c3ncccc3[O-])[C@H](O)C2)n[nH]1 ZINC000991429758 809458900 /nfs/dbraw/zinc/45/89/00/809458900.db2.gz RTADNIBTVWTURF-GXFFZTMASA-N -1 1 345.359 -0.176 20 0 EBADMM O=C(N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1O)c1ncc[nH]1 ZINC000991459650 809506891 /nfs/dbraw/zinc/50/68/91/809506891.db2.gz RYDAHAILLUMMAO-MWLCHTKSSA-N -1 1 331.332 -0.484 20 0 EBADMM Cn1ccc(C(=O)N[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]2O)n1 ZINC000991512255 809588337 /nfs/dbraw/zinc/58/83/37/809588337.db2.gz ZFMZHSWHBZBBNM-GWCFXTLKSA-N -1 1 345.359 -0.474 20 0 EBADMM O=C(Cn1ccnc1)N[C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC000991574920 809660799 /nfs/dbraw/zinc/66/07/99/809660799.db2.gz QITMTFZLGJQOIY-YPMHNXCESA-N -1 1 345.359 -0.625 20 0 EBADMM CN(C[C@H]1CCCN1C(=O)[C@@H]1COC(=O)N1)C(=O)c1ncccc1[O-] ZINC001002456187 809716404 /nfs/dbraw/zinc/71/64/04/809716404.db2.gz LTNFEEVCQRRSDS-MNOVXSKESA-N -1 1 348.359 -0.041 20 0 EBADMM CCn1nc(C)c(C(=O)NC2CCN(Cc3nc(=O)n(C)[n-]3)CC2)n1 ZINC001004275022 809818843 /nfs/dbraw/zinc/81/88/43/809818843.db2.gz PDSQOOFGAMEBED-UHFFFAOYSA-N -1 1 348.411 -0.577 20 0 EBADMM C[C@@H]1CCN(C(=O)C(N)=O)C[C@H]1NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001004861621 809828538 /nfs/dbraw/zinc/82/85/38/809828538.db2.gz UHMBDFBCTZXRAO-LDYMZIIASA-N -1 1 347.375 -0.488 20 0 EBADMM C[C@H]1CCN(C(=O)C(N)=O)C[C@H]1NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001004861611 809828726 /nfs/dbraw/zinc/82/87/26/809828726.db2.gz UHMBDFBCTZXRAO-GZMMTYOYSA-N -1 1 347.375 -0.488 20 0 EBADMM CC(=O)NC[C@H]1CCCCCN1C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001005316703 809852121 /nfs/dbraw/zinc/85/21/21/809852121.db2.gz PABAMZCINZGSAK-LLVKDONJSA-N -1 1 332.364 -0.062 20 0 EBADMM CN(C(=O)c1cnc[nH]c1=O)C1CC[NH+](CC(=O)N2CCC2)CC1 ZINC001005557155 809858216 /nfs/dbraw/zinc/85/82/16/809858216.db2.gz PDXXHNSQQLESCU-UHFFFAOYSA-N -1 1 333.392 -0.049 20 0 EBADMM CCC(=O)N[C@H]1CN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1(C)C ZINC001007740697 810010262 /nfs/dbraw/zinc/01/02/62/810010262.db2.gz QKMIAFSVLSFONX-NSHDSACASA-N -1 1 336.392 -0.310 20 0 EBADMM COc1nccc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001007900322 810040087 /nfs/dbraw/zinc/04/00/87/810040087.db2.gz GACMEPIKJWACSN-SNVBAGLBSA-N -1 1 347.379 -0.699 20 0 EBADMM COc1ccnc(C(=O)N[C@@H]2CCCN(Cc3nc(=O)n(C)[n-]3)C2)c1 ZINC001008153140 810090950 /nfs/dbraw/zinc/09/09/50/810090950.db2.gz OYOGLOQWALJXAS-LLVKDONJSA-N -1 1 346.391 -0.094 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)[C@]3(F)CCOC3)C2)nc1=O ZINC001008314358 810132826 /nfs/dbraw/zinc/13/28/26/810132826.db2.gz OGHPGKUWYZZVFZ-HZMBPMFUSA-N -1 1 327.360 -0.682 20 0 EBADMM CC1(C)CN(C(=O)c2ccn[nH]2)C[C@H]1NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001008372753 810146778 /nfs/dbraw/zinc/14/67/78/810146778.db2.gz RNSQJOJRVAFWFO-MRVPVSSYSA-N -1 1 347.335 -0.714 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](NC(=O)CC3=CCOCC3)C2)nc1=O ZINC001008419930 810159530 /nfs/dbraw/zinc/15/95/30/810159530.db2.gz SQFKAJYJHXXQGH-ZDUSSCGKSA-N -1 1 335.408 -0.074 20 0 EBADMM Cn1[n-]c(CN2CCC[C@@H](NC(=O)c3cc(C(N)=O)co3)C2)nc1=O ZINC001008484312 810172794 /nfs/dbraw/zinc/17/27/94/810172794.db2.gz CXALJGXCQOWZMT-SNVBAGLBSA-N -1 1 348.363 -0.805 20 0 EBADMM CC1(C)CN(C(=O)c2ncccc2[O-])C[C@@H]1NC(=O)[C@H]1CNC(=O)N1 ZINC001008514232 810181331 /nfs/dbraw/zinc/18/13/31/810181331.db2.gz GCHVCAXENNEUFV-KOLCDFICSA-N -1 1 347.375 -0.565 20 0 EBADMM CO[C@H](C)CN1CCC[C@@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001008894776 810222650 /nfs/dbraw/zinc/22/26/50/810222650.db2.gz ZGHZBJJCNCVTAI-VXGBXAGGSA-N -1 1 348.407 -0.313 20 0 EBADMM Nc1nccnc1C(=O)N1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001010757266 810298139 /nfs/dbraw/zinc/29/81/39/810298139.db2.gz OQPRPKPOPQSBDS-UHFFFAOYSA-N -1 1 328.332 -0.242 20 0 EBADMM O=C(C=C1CCC1)N1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001010976667 810309333 /nfs/dbraw/zinc/30/93/33/810309333.db2.gz VWOKTGQKVMPASZ-UHFFFAOYSA-N -1 1 346.387 -0.292 20 0 EBADMM C[C@H]1[C@@H](NC(=O)c2cn(C)nn2)CCN1C(=O)c1ncccc1[O-] ZINC001011674868 810344620 /nfs/dbraw/zinc/34/46/20/810344620.db2.gz WWNYZWXJVWIKEP-UWVGGRQHSA-N -1 1 330.348 -0.051 20 0 EBADMM COCCCOCC(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001216287653 810366806 /nfs/dbraw/zinc/36/68/06/810366806.db2.gz QZKCPFRBNLXUHR-GHMZBOCLSA-N -1 1 327.385 -0.500 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)c2ncccc2[O-])CN1C(=O)Cn1ncnn1 ZINC001012687618 810379808 /nfs/dbraw/zinc/37/98/08/810379808.db2.gz MKRGCPHUVCJLHN-NXEZZACHSA-N -1 1 331.336 -0.807 20 0 EBADMM CNC(=O)NC(C)(C)C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001216837700 810394110 /nfs/dbraw/zinc/39/41/10/810394110.db2.gz NFIRQJXRFGVWIC-RKDXNWHRSA-N -1 1 339.400 -0.846 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3cc4cccn4cn3)C2)nc1=O ZINC001014891347 810452451 /nfs/dbraw/zinc/45/24/51/810452451.db2.gz UDFWBMUKEOJMBY-LLVKDONJSA-N -1 1 341.375 -0.240 20 0 EBADMM CC/C(C)=C\C(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21 ZINC001217807141 810462471 /nfs/dbraw/zinc/46/24/71/810462471.db2.gz UEIZXINYCPQQGI-HGIZFUKPSA-N -1 1 335.408 -0.124 20 0 EBADMM C[C@@H](C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)c1cncnc1 ZINC001015606735 810538145 /nfs/dbraw/zinc/53/81/45/810538145.db2.gz FOVOFHNZRIMRGT-PWSUYJOCSA-N -1 1 331.380 -0.607 20 0 EBADMM COc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C2)cc(C)n1 ZINC001015621812 810547761 /nfs/dbraw/zinc/54/77/61/810547761.db2.gz LXDPKVUTXARTGY-LBPRGKRZSA-N -1 1 346.391 -0.175 20 0 EBADMM Cn1nnc(CN2CC[C@@H](NC(=O)c3cnc(C4CC4)[n-]c3=O)C2)n1 ZINC001015804419 810586331 /nfs/dbraw/zinc/58/63/31/810586331.db2.gz VWDGLAUTUXMUOZ-SNVBAGLBSA-N -1 1 344.379 -0.413 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cc4ncccn4n3)C2)nc1=O ZINC001015879493 810610902 /nfs/dbraw/zinc/61/09/02/810610902.db2.gz FKLUHKNARXGRRR-JTQLQIEISA-N -1 1 342.363 -0.845 20 0 EBADMM O=C(N[C@@H]1CCN(Cc2ncccn2)C1)c1cc(=O)n2nc[n-]c2n1 ZINC001015992212 810646411 /nfs/dbraw/zinc/64/64/11/810646411.db2.gz MGJWGEGWODVXTD-SNVBAGLBSA-N -1 1 340.347 -0.788 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3c[nH]c4cccnc34)C2)nc1=O ZINC001015998582 810648792 /nfs/dbraw/zinc/64/87/92/810648792.db2.gz CTLVYDZSSDTNEK-SNVBAGLBSA-N -1 1 341.375 -0.011 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)[C@@H]3Cc4cccnc4C3)C2)nc1=O ZINC001016103931 810664637 /nfs/dbraw/zinc/66/46/37/810664637.db2.gz MRBSOOGRINBXON-OLZOCXBDSA-N -1 1 342.403 -0.391 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)c3cncnc3C3CC3)C2)nc1=O ZINC001016145989 810681041 /nfs/dbraw/zinc/68/10/41/810681041.db2.gz WDTJEXQFESASKU-NSHDSACASA-N -1 1 343.391 -0.220 20 0 EBADMM O=C(CN1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1)NCC1CCC1 ZINC001219503960 810724869 /nfs/dbraw/zinc/72/48/69/810724869.db2.gz LKPCWEGFXNBYJR-OCCSQVGLSA-N -1 1 348.403 -0.522 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)Cn2nccn2)C1 ZINC001016432057 810725852 /nfs/dbraw/zinc/72/58/52/810725852.db2.gz URQCGFNFBZPARF-UHFFFAOYSA-N -1 1 330.348 -0.202 20 0 EBADMM CN(C(=O)c1ncccc1[O-])C1CC(NC(=O)c2cn(C)nn2)C1 ZINC001016431420 810725884 /nfs/dbraw/zinc/72/58/84/810725884.db2.gz PKUKFXCKDGLIDX-UHFFFAOYSA-N -1 1 330.348 -0.051 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@@H]2CC23CCN([C@H]2CCNC2=O)CC3)c1[O-] ZINC001016571118 810754037 /nfs/dbraw/zinc/75/40/37/810754037.db2.gz CGOJNTWYIFOGLI-WDEREUQCSA-N -1 1 333.392 -0.103 20 0 EBADMM Cc1cocc1C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035476834 810837700 /nfs/dbraw/zinc/83/77/00/810837700.db2.gz WCEMMLQOZCQCLL-NSHDSACASA-N -1 1 335.364 -0.359 20 0 EBADMM Cc1n[nH]c(C)c1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035551168 810898190 /nfs/dbraw/zinc/89/81/90/810898190.db2.gz SQRBQKTUUFBQBX-LLVKDONJSA-N -1 1 349.395 -0.921 20 0 EBADMM CCc1nc[nH]c1C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001035590379 810940575 /nfs/dbraw/zinc/94/05/75/810940575.db2.gz UUCABJZGPJRCKG-SNVBAGLBSA-N -1 1 349.395 -0.975 20 0 EBADMM Cn1cnc(C(=O)N2CCC3(CN(Cc4nc(=O)n(C)[n-]4)C3)CC2)c1 ZINC001035688615 810987670 /nfs/dbraw/zinc/98/76/70/810987670.db2.gz RKPBERFHSDALIC-UHFFFAOYSA-N -1 1 345.407 -0.420 20 0 EBADMM Cc1nonc1CN[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@H]1C ZINC001036093191 811095798 /nfs/dbraw/zinc/09/57/98/811095798.db2.gz LJLRNRPGZYKBSR-CBAPKCEASA-N -1 1 349.351 -0.385 20 0 EBADMM Cc1noc(CN[C@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@H]2C)n1 ZINC001036096455 811096551 /nfs/dbraw/zinc/09/65/51/811096551.db2.gz RWBGEWPNHROSOW-APPZFPTMSA-N -1 1 349.351 -0.385 20 0 EBADMM Cc1nnc(CN[C@@H]2CCN(C(=O)c3n[nH]c(=O)[n-]c3=O)C[C@@H]2C)o1 ZINC001036097233 811097739 /nfs/dbraw/zinc/09/77/39/811097739.db2.gz VUNAKCFYDPBSQG-IONNQARKSA-N -1 1 349.351 -0.385 20 0 EBADMM C[C@H]1CCCN(C(=O)CCn2cncn2)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036508987 811166606 /nfs/dbraw/zinc/16/66/06/811166606.db2.gz VJCXZYNCVZDCLG-NWDGAFQWSA-N -1 1 348.411 -0.091 20 0 EBADMM C[C@H]1CCCN(C(=O)c2cnnn2C)[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001036545137 811173215 /nfs/dbraw/zinc/17/32/15/811173215.db2.gz POHAPYZPXGFMTN-VHSXEESVSA-N -1 1 334.384 -0.331 20 0 EBADMM O=C(CCn1cncn1)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036644518 811194873 /nfs/dbraw/zinc/19/48/73/811194873.db2.gz XGGJMYHFNSELFQ-VXGBXAGGSA-N -1 1 346.395 -0.385 20 0 EBADMM O=C(Cc1cc[nH]n1)N1CC[C@@H]2[C@@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036676539 811208745 /nfs/dbraw/zinc/20/87/45/811208745.db2.gz NZGPPAULOFZJBG-CMPLNLGQSA-N -1 1 331.380 -0.101 20 0 EBADMM Cc1nnc(CC(=O)N2CC[C@H]3[C@H](CCN3Cc3n[nH]c(=O)[n-]3)C2)[nH]1 ZINC001036715692 811224827 /nfs/dbraw/zinc/22/48/27/811224827.db2.gz RUZJDDMCEFIXRU-MNOVXSKESA-N -1 1 346.395 -0.398 20 0 EBADMM O=C(c1cn[nH]c(=O)c1)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036744721 811239562 /nfs/dbraw/zinc/23/95/62/811239562.db2.gz NWSOMDXIJXPRJD-MWLCHTKSSA-N -1 1 345.363 -0.258 20 0 EBADMM CC[C@@H](CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O)C(C)C ZINC001220802541 811248098 /nfs/dbraw/zinc/24/80/98/811248098.db2.gz ZGHJRCPCRODUJO-XQQFMLRXSA-N -1 1 339.440 -0.158 20 0 EBADMM Cc1nonc1C(=O)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001036909371 811312115 /nfs/dbraw/zinc/31/21/15/811312115.db2.gz CTBIHHPWLMHWFE-NXEZZACHSA-N -1 1 333.352 -0.062 20 0 EBADMM Cn1ncc(CNC[C@H]2CCC[C@@H]2NC(=O)c2n[nH]c(=O)[n-]c2=O)n1 ZINC001037038132 811347775 /nfs/dbraw/zinc/34/77/75/811347775.db2.gz IPCZRZVFSDCBST-SCZZXKLOSA-N -1 1 348.367 -0.900 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)CCCn3cccc3)[C@@H](O)C2)nc1=O ZINC001221050836 811354085 /nfs/dbraw/zinc/35/40/85/811354085.db2.gz QWHMTNRPRUPVOR-OLZOCXBDSA-N -1 1 348.407 -0.948 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ccncc1F ZINC001079518767 811570563 /nfs/dbraw/zinc/57/05/63/811570563.db2.gz MUPUCBFXPPDTOY-BXKDBHETSA-N -1 1 334.355 -0.107 20 0 EBADMM C/C=C(\C)C(=O)N(CC)C1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001079578724 811595599 /nfs/dbraw/zinc/59/55/99/811595599.db2.gz ODJFVYURFNPAHZ-UUILKARUSA-N -1 1 348.403 -0.048 20 0 EBADMM CCN(C(=O)CN1CN=NC1=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079648628 811633611 /nfs/dbraw/zinc/63/36/11/811633611.db2.gz BZGDSZBJQBPJSM-UHFFFAOYSA-N -1 1 346.347 -0.543 20 0 EBADMM CCN(C(=O)c1ncccc1[O-])C1CN(C(=O)CCNC(N)=O)C1 ZINC001079654243 811639144 /nfs/dbraw/zinc/63/91/44/811639144.db2.gz MIFTVVYEPQAQNE-UHFFFAOYSA-N -1 1 335.364 -0.482 20 0 EBADMM Cc1cnccc1CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001079702335 811672961 /nfs/dbraw/zinc/67/29/61/811672961.db2.gz DAOOLCWJSLXJCK-TZMCWYRMSA-N -1 1 344.419 -0.009 20 0 EBADMM CCN(C(=O)CCNC(N)=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079749314 811696304 /nfs/dbraw/zinc/69/63/04/811696304.db2.gz IKJSHHBXAYPOLC-UHFFFAOYSA-N -1 1 335.364 -0.482 20 0 EBADMM CCN(C(=O)C[C@@H](C)NC(N)=O)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001079780722 811716795 /nfs/dbraw/zinc/71/67/95/811716795.db2.gz NWYJJBOURHBAHO-SNVBAGLBSA-N -1 1 349.391 -0.093 20 0 EBADMM O=c1ncc(F)c(O[C@H](CN2CCOCC2)CS(=O)(=O)[O-])[nH]1 ZINC001225880825 811833964 /nfs/dbraw/zinc/83/39/64/811833964.db2.gz BAWLWYLUSCTNMJ-MRVPVSSYSA-N -1 1 337.329 -0.711 20 0 EBADMM C[C@H](CC(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1)NC(N)=O ZINC001226214610 811853141 /nfs/dbraw/zinc/85/31/41/811853141.db2.gz OTJWWMPBPMWLPL-OPRDCNLKSA-N -1 1 339.400 -0.526 20 0 EBADMM CCC(=O)N[C@@H](C)C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001226410374 811864649 /nfs/dbraw/zinc/86/46/49/811864649.db2.gz GNJAUVKAENZKMU-HBNTYKKESA-N -1 1 338.412 -0.058 20 0 EBADMM CCN(C(=O)CCn1cc[n-]c(=O)c1=O)C1CN(C(=O)C(F)F)C1 ZINC001080100415 811898716 /nfs/dbraw/zinc/89/87/16/811898716.db2.gz ZCGNRIDRNKYTSB-UHFFFAOYSA-N -1 1 344.318 -0.749 20 0 EBADMM CC(=O)OC[C@H](COc1nc2c([n-]1)[nH]c(=O)[nH]c2=O)OC(C)=O ZINC001227434319 811922298 /nfs/dbraw/zinc/92/22/98/811922298.db2.gz KOZOUGJIDXMSCB-SSDOTTSWSA-N -1 1 326.265 -0.362 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)[C@@]1(F)CCOC1 ZINC001080327849 811982482 /nfs/dbraw/zinc/98/24/82/811982482.db2.gz ZHWDHEPXOLWYOE-GPCCPHFNSA-N -1 1 327.360 -0.827 20 0 EBADMM COC[C@@H](C)CC(=O)NC1CCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001229839256 812058114 /nfs/dbraw/zinc/05/81/14/812058114.db2.gz FYFVKRVDDPARSA-NSHDSACASA-N -1 1 325.413 -0.138 20 0 EBADMM Cn1[n-]c(CN2CCC(NC(=O)CCCn3cccn3)CC2)nc1=O ZINC001230296636 812101643 /nfs/dbraw/zinc/10/16/43/812101643.db2.gz DFXWSAHHOPOPGS-UHFFFAOYSA-N -1 1 347.423 -0.134 20 0 EBADMM CCn1cc(CC(=O)N[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@H]2C)cn1 ZINC001080758137 812201971 /nfs/dbraw/zinc/20/19/71/812201971.db2.gz MYCBFUHRPOFLRV-DGCLKSJQSA-N -1 1 347.423 -0.496 20 0 EBADMM O=C(NCCCN(CCO)Cc1cccnc1)c1n[nH]c(=O)[n-]c1=O ZINC001230796347 812235910 /nfs/dbraw/zinc/23/59/10/812235910.db2.gz AOBZZAOMHCBXER-UHFFFAOYSA-N -1 1 348.363 -0.708 20 0 EBADMM C[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1ccc(=O)[nH]c1 ZINC001080886501 812318566 /nfs/dbraw/zinc/31/85/66/812318566.db2.gz KTILFWYPSJARDK-MWLCHTKSSA-N -1 1 332.364 -0.541 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)C[C@H]1COC(=O)C1 ZINC001233654125 812326372 /nfs/dbraw/zinc/32/63/72/812326372.db2.gz OTEPPUKOSWEXSN-GHMZBOCLSA-N -1 1 337.380 -0.906 20 0 EBADMM CC[C@H](C)OCC(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001233716389 812331706 /nfs/dbraw/zinc/33/17/06/812331706.db2.gz RPOZUHWOFJNUML-NWDGAFQWSA-N -1 1 325.413 -0.044 20 0 EBADMM CCOC(=O)C[C@H](Oc1c(C(N)=O)nc(C)[n-]c1=O)C(=O)OCC ZINC001234470829 812429595 /nfs/dbraw/zinc/42/95/95/812429595.db2.gz YIYLRFLBJIQJII-QMMMGPOBSA-N -1 1 341.320 -0.147 20 0 EBADMM CCC(=O)N[C@@H](C)C(=O)N(C)C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001234490030 812433487 /nfs/dbraw/zinc/43/34/87/812433487.db2.gz NZAUYIUNBIDDAJ-WDEREUQCSA-N -1 1 338.412 -0.944 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)CCc1ccnn1C ZINC001234644682 812446450 /nfs/dbraw/zinc/44/64/50/812446450.db2.gz WPLMZTGMROGOQK-ZDUSSCGKSA-N -1 1 347.423 -0.493 20 0 EBADMM Cc1nn(C)cc1CC(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001235425104 812488398 /nfs/dbraw/zinc/48/83/98/812488398.db2.gz XWZZWMCNKQZKBC-ZDUSSCGKSA-N -1 1 347.423 -0.574 20 0 EBADMM O=C([O-])c1ccc(S(=O)(=O)NC[C@]2(O)CCNC[C@@H]2F)cc1 ZINC001238064968 812524493 /nfs/dbraw/zinc/52/44/93/812524493.db2.gz TXBOUCNCKRJOGT-WCQYABFASA-N -1 1 332.353 -0.274 20 0 EBADMM O=C(NCCc1c(F)cc([O-])cc1F)N1CC2(C1)NC(=O)NC2=O ZINC001251240624 812625850 /nfs/dbraw/zinc/62/58/50/812625850.db2.gz KVLRIQCKIHOVQE-UHFFFAOYSA-N -1 1 340.286 -0.184 20 0 EBADMM O=C(COCC1CC1)NC[C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001081297123 812681558 /nfs/dbraw/zinc/68/15/58/812681558.db2.gz GZRAYSLVGHBDSM-GXTWGEPZSA-N -1 1 349.387 -0.237 20 0 EBADMM CC(C)OCC(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001081321410 812728294 /nfs/dbraw/zinc/72/82/94/812728294.db2.gz NOGMAHXIICWDKJ-YPMHNXCESA-N -1 1 337.376 -0.239 20 0 EBADMM CCCOCC(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001081326428 812738580 /nfs/dbraw/zinc/73/85/80/812738580.db2.gz CIGJJERSTORKKD-YPMHNXCESA-N -1 1 337.376 -0.237 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@@H](C(F)(F)F)[C@H](CO)C1 ZINC001258040050 812777377 /nfs/dbraw/zinc/77/73/77/812777377.db2.gz FWSKLSMQEAKNQV-VHSXEESVSA-N -1 1 349.309 -0.054 20 0 EBADMM COC(=O)CS(=O)(=O)[N-]c1cncc(N2CCN(C)CC2)c1 ZINC001259020033 812803082 /nfs/dbraw/zinc/80/30/82/812803082.db2.gz BUBGMPNJKZOUQD-UHFFFAOYSA-N -1 1 328.394 -0.252 20 0 EBADMM COC(=O)CS(=O)(=O)[N-][C@@H](c1ccccc1)[C@@H](O)C(=O)OC ZINC001259029070 812804595 /nfs/dbraw/zinc/80/45/95/812804595.db2.gz HNPMAFLBYXVLSI-NWDGAFQWSA-N -1 1 331.346 -0.646 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)c1occc1C ZINC001081363953 812808793 /nfs/dbraw/zinc/80/87/93/812808793.db2.gz KKGLILPRXGBKEO-GHMZBOCLSA-N -1 1 335.364 -0.361 20 0 EBADMM COCCS(=O)(=O)[N-][C@@H](CNC(=O)OC(C)(C)C)C(=O)OC ZINC001259965351 812818637 /nfs/dbraw/zinc/81/86/37/812818637.db2.gz WUQMXZBARAOXKX-VIFPVBQESA-N -1 1 340.398 -0.382 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)C1=COCCC1 ZINC001081429130 812923911 /nfs/dbraw/zinc/92/39/11/812923911.db2.gz BKKJUGPELQRFKA-VXGBXAGGSA-N -1 1 337.380 -0.882 20 0 EBADMM Cn1ncc2c1n(C)cc(C(=O)Nc1nc(SCCO)n[n-]1)c2=O ZINC001261552092 812935700 /nfs/dbraw/zinc/93/57/00/812935700.db2.gz FTEYUSDFJXXPQF-UHFFFAOYSA-N -1 1 349.376 -0.273 20 0 EBADMM CC(C)(O)CC(=O)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1O ZINC001081450664 812975847 /nfs/dbraw/zinc/97/58/47/812975847.db2.gz WZNYNQUARHXRCN-ZYHUDNBSSA-N -1 1 337.376 -0.503 20 0 EBADMM O=C([O-])[C@]1(C(=O)NCCOc2ccc(F)c(F)c2)CNCCO1 ZINC001263083523 813106845 /nfs/dbraw/zinc/10/68/45/813106845.db2.gz QAGTZSZDCOEZTR-CQSZACIVSA-N -1 1 330.287 -0.097 20 0 EBADMM O=C([O-])[C@]1(C(=O)N2C[C@@H]3COC[C@]3(c3ccccc3)C2)CNCCO1 ZINC001263189406 813108980 /nfs/dbraw/zinc/10/89/80/813108980.db2.gz VGCFNJAFUPWDFL-FHLIZLRMSA-N -1 1 346.383 -0.144 20 0 EBADMM COCCOCCN1CC[C@H]1CN(C)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001263802690 813118580 /nfs/dbraw/zinc/11/85/80/813118580.db2.gz RGQZLDDSQKLFAZ-JTQLQIEISA-N -1 1 341.368 -0.908 20 0 EBADMM Cc1[nH]nc(C(=O)N(C)CCOCCN(C)[C@H]2CCNC2=O)c1[O-] ZINC001264662437 813194622 /nfs/dbraw/zinc/19/46/22/813194622.db2.gz GCXTXDSNVNFOPB-NSHDSACASA-N -1 1 339.396 -0.667 20 0 EBADMM CO[C@@H]1CN([C@@H]2CCCNC2=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001081578401 813227176 /nfs/dbraw/zinc/22/71/76/813227176.db2.gz BOPZSJZSZLYNQK-NQBHXWOUSA-N -1 1 334.376 -0.505 20 0 EBADMM O=C(Cn1ccnc1)NC[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001081581120 813234558 /nfs/dbraw/zinc/23/45/58/813234558.db2.gz XMKPIHIAYROOGW-YPMHNXCESA-N -1 1 345.359 -0.767 20 0 EBADMM COc1ccnc(CN(C)CCCNC(=O)c2n[nH]c(=O)[n-]c2=O)c1 ZINC001265884191 813405513 /nfs/dbraw/zinc/40/55/13/813405513.db2.gz OSQZQOPGBKPZPR-UHFFFAOYSA-N -1 1 348.363 -0.062 20 0 EBADMM O=C(NCCC[C@@H]1CCCN1Cc1n[nH]c(=O)[n-]1)[C@@H]1CCC(=O)N1 ZINC001266241518 813487969 /nfs/dbraw/zinc/48/79/69/813487969.db2.gz POQBZKQDJPIXCY-MNOVXSKESA-N -1 1 336.396 -0.350 20 0 EBADMM CN(CCNC(=O)c1cccc2ncn(C)c21)Cc1nc(=O)n(C)[n-]1 ZINC001266291155 813516279 /nfs/dbraw/zinc/51/62/79/813516279.db2.gz XSPDLBXUCOEXPU-UHFFFAOYSA-N -1 1 343.391 -0.143 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@@H]1CCCN1Cc1ccon1 ZINC001267278888 813817819 /nfs/dbraw/zinc/81/78/19/813817819.db2.gz STESJINGHHTOLI-ZDUSSCGKSA-N -1 1 347.375 -0.305 20 0 EBADMM CCCCN1C[C@@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)[C@H](OC)C1 ZINC001082248471 813844496 /nfs/dbraw/zinc/84/44/96/813844496.db2.gz MWJYHVJJTCGSKB-CHWSQXEVSA-N -1 1 348.407 -0.313 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1cc(=O)[nH]c(C2CC2)n1 ZINC001267388003 813871883 /nfs/dbraw/zinc/87/18/83/813871883.db2.gz POLYLSPILLFUFT-UHFFFAOYSA-N -1 1 333.352 -0.255 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)c1cc(Cn2cccn2)on1 ZINC001267454357 813901173 /nfs/dbraw/zinc/90/11/73/813901173.db2.gz CHALEGSKNRCFIC-UHFFFAOYSA-N -1 1 346.351 -0.395 20 0 EBADMM CN(Cc1n[nH]c(=O)[n-]1)C[C@H]1CCN(C(=O)CCCCC(N)=O)C1 ZINC001267593804 813946147 /nfs/dbraw/zinc/94/61/47/813946147.db2.gz SXELRLBGYFTGME-LLVKDONJSA-N -1 1 338.412 -0.164 20 0 EBADMM C[C@@H](C(=O)N1C[C@@H](C)[C@H](NCc2n[nH]c(=O)[n-]2)C1)c1ccnn1C ZINC001082518624 813962066 /nfs/dbraw/zinc/96/20/66/813962066.db2.gz WAVDYNHUBJFMCV-GMTAPVOTSA-N -1 1 333.396 -0.016 20 0 EBADMM Cn1[n-]c(CN2C[C@H]3OCCN(C(=O)c4ccccn4)[C@H]3C2)nc1=O ZINC001082978079 814037139 /nfs/dbraw/zinc/03/71/39/814037139.db2.gz PYWYPMCSYBWINO-QWHCGFSZSA-N -1 1 344.375 -0.771 20 0 EBADMM CS[C@@H](C)C(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21 ZINC001083007567 814052297 /nfs/dbraw/zinc/05/22/97/814052297.db2.gz DBVXIYJQQMEBGW-GARJFASQSA-N -1 1 341.437 -0.729 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)/C=C\c3ccc[nH]3)C2)nc1=O ZINC001268020597 814096027 /nfs/dbraw/zinc/09/60/27/814096027.db2.gz JCQAJOGEOMHRIF-ZFDPJTLLSA-N -1 1 346.391 -0.533 20 0 EBADMM CN(CCN(C)C(=O)c1cnn2cc[nH]c12)Cc1nc(=O)n(C)[n-]1 ZINC001268256431 814162072 /nfs/dbraw/zinc/16/20/72/814162072.db2.gz UICQSBLPLXDRTI-UHFFFAOYSA-N -1 1 332.368 -0.712 20 0 EBADMM CC(C)[C@H](C)C(=O)N1CCO[C@@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@H]21 ZINC001083212603 814205088 /nfs/dbraw/zinc/20/50/88/814205088.db2.gz VZQDMHZJUZTUIK-RWMBFGLXSA-N -1 1 337.424 -0.188 20 0 EBADMM Cc1cc(CNC[C@@H](O)CNC(=O)c2c[n-]n3c2nccc3=O)on1 ZINC001268329516 814208939 /nfs/dbraw/zinc/20/89/39/814208939.db2.gz VICBEXZETSZRPN-SNVBAGLBSA-N -1 1 346.347 -0.800 20 0 EBADMM CCN(CCNCc1n[nH]c(=O)[n-]1)C(=O)[C@@H](OC)c1cnn(C)c1 ZINC001268467910 814254434 /nfs/dbraw/zinc/25/44/34/814254434.db2.gz SPGRBPLHTNNFLO-LBPRGKRZSA-N -1 1 337.384 -0.430 20 0 EBADMM Cc1ccsc1C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001083312738 814276394 /nfs/dbraw/zinc/27/63/94/814276394.db2.gz BTFCWNMKNDAEKZ-ZJUUUORDSA-N -1 1 337.405 -0.547 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)Cc3cccs3)[C@@H](O)C2)nc1=O ZINC001083312124 814276678 /nfs/dbraw/zinc/27/66/78/814276678.db2.gz YIWALSVZQDABQL-MNOVXSKESA-N -1 1 337.405 -0.926 20 0 EBADMM O=C(N[C@@H]1CN(CCO[C@@H]2CC2(F)F)C[C@@H]1O)c1ncccc1[O-] ZINC001083423240 814403487 /nfs/dbraw/zinc/40/34/87/814403487.db2.gz MAKXBCWXODVBAE-ADEWGFFLSA-N -1 1 343.330 -0.014 20 0 EBADMM CCn1ccc(CN2C[C@@H](NC(=O)c3ncccc3[O-])[C@@H](O)C2)n1 ZINC001083423444 814404428 /nfs/dbraw/zinc/40/44/28/814404428.db2.gz WHQPGELJCRMDIE-OCCSQVGLSA-N -1 1 331.376 -0.021 20 0 EBADMM CCn1c(C)nnc1CN1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001083423307 814404904 /nfs/dbraw/zinc/40/49/04/814404904.db2.gz OYDNXMHXMDKGFR-YPMHNXCESA-N -1 1 346.391 -0.318 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)C[C@H]3C=CCCC3)[C@@H](O)C2)nc1=O ZINC001083563068 814566868 /nfs/dbraw/zinc/56/68/68/814566868.db2.gz YVKLGQXVRUHGTR-XQQFMLRXSA-N -1 1 335.408 -0.484 20 0 EBADMM Cc1cc(CN2CC[C@H]2CNC(=O)CCn2cc[n-]c(=O)c2=O)no1 ZINC001269320440 814635435 /nfs/dbraw/zinc/63/54/35/814635435.db2.gz CJUYUNKSBNEFJT-ZDUSSCGKSA-N -1 1 347.375 -0.386 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@@H]3C[C@H]3C(C)(C)C)[C@@H](O)C2)nc1=O ZINC001083633139 814644283 /nfs/dbraw/zinc/64/42/83/814644283.db2.gz IYXSMXKSWMMBDT-KKOKHZNYSA-N -1 1 337.424 -0.548 20 0 EBADMM CCNC(=O)CN1CC[C@@]2(CCN(C(=O)c3ccc([O-])cn3)C2)C1=O ZINC001269354418 814658452 /nfs/dbraw/zinc/65/84/52/814658452.db2.gz ITRBKEBIAJYCLJ-KRWDZBQOSA-N -1 1 346.387 -0.012 20 0 EBADMM CC(=O)[C@@H](C)NC(=O)[C@H]1CC12CCN(C(=O)Cc1nn[n-]n1)CC2 ZINC001269575892 814732405 /nfs/dbraw/zinc/73/24/05/814732405.db2.gz ACAPDAPFIQQNLF-MWLCHTKSSA-N -1 1 334.380 -0.535 20 0 EBADMM CCCCN1C[C@@]2(CC1=O)COCCN(C(=O)Cc1nn[n-]n1)C2 ZINC001269575950 814733186 /nfs/dbraw/zinc/73/31/86/814733186.db2.gz CCXSFADZOCRNJJ-OAHLLOKOSA-N -1 1 336.396 -0.380 20 0 EBADMM CC(=O)[C@@H](C)N1C(=O)C[C@@]2(CCCN(C(=O)Cc3nn[n-]n3)C2)C1=O ZINC001269576587 814733900 /nfs/dbraw/zinc/73/39/00/814733900.db2.gz PNOPGVIMUGHLQC-RFAUZJTJSA-N -1 1 348.363 -0.913 20 0 EBADMM CC(=O)[C@H](C)N1CCC2(CCN(C(=O)Cc3nn[n-]n3)CC2)C1=O ZINC001269576445 814734430 /nfs/dbraw/zinc/73/44/30/814734430.db2.gz MCPRMNFTLKAJEK-JTQLQIEISA-N -1 1 334.380 -0.439 20 0 EBADMM COC(C)(C)CN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)Cc1nn[n-]n1 ZINC001269578093 814735615 /nfs/dbraw/zinc/73/56/15/814735615.db2.gz ROEPETUMYYKPBI-GHMZBOCLSA-N -1 1 336.396 -0.241 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)c3ccoc3C3CC3)[C@@H](O)C2)nc1=O ZINC001083729242 814736491 /nfs/dbraw/zinc/73/64/91/814736491.db2.gz KTDUZMOLXJARJG-NEPJUHHUSA-N -1 1 347.375 -0.446 20 0 EBADMM CC(C)(C(N)=O)C(=O)N1CCC[C@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001269739847 815585801 /nfs/dbraw/zinc/58/58/01/815585801.db2.gz AGCHFVLZLPXPIJ-SNVBAGLBSA-N -1 1 338.412 -0.260 20 0 EBADMM NC(=O)C1(C(=O)N2CCC[C@H](CCNCc3n[nH]c(=O)[n-]3)C2)CC1 ZINC001269760733 815593857 /nfs/dbraw/zinc/59/38/57/815593857.db2.gz RNNWLBQOADJCKS-SNVBAGLBSA-N -1 1 336.396 -0.506 20 0 EBADMM Cn1[n-]c(CN2C[C@@H](NC(=O)[C@H](F)c3ccccc3)[C@@H](O)C2)nc1=O ZINC001083818610 815626705 /nfs/dbraw/zinc/62/67/05/815626705.db2.gz DUMOATTXBNTIND-MBNYWOFBSA-N -1 1 349.366 -0.520 20 0 EBADMM Cn1nnnc1NC(=O)[C@H]1CC12CN(C(=O)c1ccc([O-])cn1)C2 ZINC001270138655 815697777 /nfs/dbraw/zinc/69/77/77/815697777.db2.gz HNQUXSZLNDJWEC-SECBINFHSA-N -1 1 329.320 -0.589 20 0 EBADMM Cc1ncsc1CN1C[C@@H]2CN(C(=O)Cc3nn[n-]n3)C[C@H](C1)O2 ZINC001270182749 815712285 /nfs/dbraw/zinc/71/22/85/815712285.db2.gz MIIRCTYLIDBCFN-PHIMTYICSA-N -1 1 349.420 -0.381 20 0 EBADMM COC1(CC(=O)N2C[C@H]3CC[C@@H](C2)N3C(=O)Cc2nn[n-]n2)CCC1 ZINC001270182297 815712386 /nfs/dbraw/zinc/71/23/86/815712386.db2.gz BXEZXCVDWLWVJO-TXEJJXNPSA-N -1 1 348.407 -0.097 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CCC[C@]2(CN(Cc3ccc[nH]3)CCO2)C1 ZINC001270182486 815713038 /nfs/dbraw/zinc/71/30/38/815713038.db2.gz GLNGVUVNTFJAJH-INIZCTEOSA-N -1 1 345.407 -0.036 20 0 EBADMM CCn1ccnc1CN1C[C@@H]2CN(C(=O)Cc3nn[n-]n3)C[C@H](C1)O2 ZINC001270183163 815714557 /nfs/dbraw/zinc/71/45/57/815714557.db2.gz RHQCPUZQGVZIGX-TXEJJXNPSA-N -1 1 346.395 -0.930 20 0 EBADMM CCn1ccnc1CN1CC[C@H]2[C@@H]1CCN2C(=O)Cc1nn[n-]n1 ZINC001270183454 815714716 /nfs/dbraw/zinc/71/47/16/815714716.db2.gz WVDFLFGABPRDEE-RYUDHWBXSA-N -1 1 330.396 -0.166 20 0 EBADMM CO[C@H](C)CN1C[C@@H](NC(=O)c2ccc3oc(=O)nc-3[n-]2)[C@@H](O)C1 ZINC001083950714 815734194 /nfs/dbraw/zinc/73/41/94/815734194.db2.gz XSZUAUNUFOCWQV-IEBDPFPHSA-N -1 1 336.348 -0.262 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)CCn4cccn4)[C@@H]3C2)nc1=O ZINC001084197554 815863689 /nfs/dbraw/zinc/86/36/89/815863689.db2.gz XQMYFBUJRPAHNU-CHWSQXEVSA-N -1 1 345.407 -0.572 20 0 EBADMM Cc1[nH]nc(C(=O)N2C[C@H]3CCN(Cc4nc(=O)n(C)[n-]4)C[C@H]32)c1C ZINC001084241580 815872942 /nfs/dbraw/zinc/87/29/42/815872942.db2.gz URNPOGJDXHWCHW-VXGBXAGGSA-N -1 1 345.407 -0.205 20 0 EBADMM CC(C)(C)NC(=O)c1[nH]nc2c1CCN(C(=O)Cc1nn[n-]n1)C2 ZINC001270785294 815888844 /nfs/dbraw/zinc/88/88/44/815888844.db2.gz SFLKDUFEDKTPDR-UHFFFAOYSA-N -1 1 332.368 -0.422 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@]23C[C@@H]2CN(C(=O)Cc2nn[n-]n2)C3)C[C@H](C)O1 ZINC001270788351 815890660 /nfs/dbraw/zinc/89/06/60/815890660.db2.gz GEXFDUDGKAGBIK-JNIYBQFBSA-N -1 1 334.380 -0.774 20 0 EBADMM CCN(C(=O)c1n[nH]c2c1CN(C(=O)Cc1nn[n-]n1)CC2)C(C)C ZINC001270787945 815891102 /nfs/dbraw/zinc/89/11/02/815891102.db2.gz BQHYVPSAHPXEKP-UHFFFAOYSA-N -1 1 346.395 -0.079 20 0 EBADMM O=C(Cc1nn[n-]n1)N1CC[C@@]2(CCC[C@H]2C(=O)NCC2COC2)C1 ZINC001270788978 815892154 /nfs/dbraw/zinc/89/21/54/815892154.db2.gz KOVZDSIIZXTEQG-LRDDRELGSA-N -1 1 348.407 -0.476 20 0 EBADMM CN(C(=O)[C@@]12C[C@@H]1CN(C(=O)Cc1nn[n-]n1)C2)C1CC(F)(F)C1 ZINC001270789024 815892300 /nfs/dbraw/zinc/89/23/00/815892300.db2.gz MNIWZJVFFTUDBM-AMIZOPFISA-N -1 1 340.334 -0.153 20 0 EBADMM C[C@@H]1c2nc(C(=O)N3CC=CC3)cn2CCN1C(=O)Cc1nn[n-]n1 ZINC001270789896 815893079 /nfs/dbraw/zinc/89/30/79/815893079.db2.gz WSDRARYSHNTATE-SNVBAGLBSA-N -1 1 342.363 -0.446 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4ccns4)[C@@H]3C2)nc1=O ZINC001084383237 815904291 /nfs/dbraw/zinc/90/42/91/815904291.db2.gz JNGMJNYRJVKGPP-NXEZZACHSA-N -1 1 334.405 -0.089 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H]3CN(C(=O)c4ccc(=O)[nH]c4)[C@@H]3C2)nc1=O ZINC001084810094 815986677 /nfs/dbraw/zinc/98/66/77/815986677.db2.gz WHXNRDAWVLZJQM-VXGBXAGGSA-N -1 1 344.375 -0.445 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](O)(CNC(=O)[C@]3(C)CC=CCC3)C2)nc1=O ZINC001271152167 816006282 /nfs/dbraw/zinc/00/62/82/816006282.db2.gz JYDKQNGRJNUHPK-IAGOWNOFSA-N -1 1 349.435 -0.092 20 0 EBADMM C[C@@H]1OCCO[C@@H]1C(=O)N[C@@H]1CCCC[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001085230798 816031495 /nfs/dbraw/zinc/03/14/95/816031495.db2.gz QKRIOVPWTWVQDY-WGBDABJCSA-N -1 1 339.396 -0.169 20 0 EBADMM Cc1cc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)ncn1 ZINC001085543127 816068277 /nfs/dbraw/zinc/06/82/77/816068277.db2.gz PXYZSWLUQQQHCH-NSHDSACASA-N -1 1 331.380 -0.447 20 0 EBADMM CN(C[C@H]1CCN1CCN1C(=O)CNC1=O)C(=O)c1ncccc1[O-] ZINC001085561626 816072705 /nfs/dbraw/zinc/07/27/05/816072705.db2.gz RDRGPFBJLFUQFW-LLVKDONJSA-N -1 1 347.375 -0.515 20 0 EBADMM CN(C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)[C@@H]1CCCOCC1 ZINC001085571585 816076300 /nfs/dbraw/zinc/07/63/00/816076300.db2.gz HBKSKDAIZJPAHE-OLZOCXBDSA-N -1 1 337.424 -0.042 20 0 EBADMM COc1ccc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)cn1 ZINC001085710655 816118810 /nfs/dbraw/zinc/11/88/10/816118810.db2.gz OALJORHFSVGLFI-GFCCVEGCSA-N -1 1 346.391 -0.142 20 0 EBADMM CC[C@@H]1OCC[C@H]1C(=O)N(C)C[C@@H]1CCN1Cc1nc(=O)n(C)[n-]1 ZINC001085733500 816127769 /nfs/dbraw/zinc/12/77/69/816127769.db2.gz GYTZJABNZYADQN-XQQFMLRXSA-N -1 1 337.424 -0.044 20 0 EBADMM Cc1nc(C)c(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)[nH]1 ZINC001085823537 816158635 /nfs/dbraw/zinc/15/86/35/816158635.db2.gz LTHCONCSDRSYMJ-NSHDSACASA-N -1 1 333.396 -0.205 20 0 EBADMM Cc1ccc(C(=O)N(C)C[C@@H]2CCN2Cc2nc(=O)n(C)[n-]2)c(=O)[nH]1 ZINC001085843209 816159905 /nfs/dbraw/zinc/15/99/05/816159905.db2.gz GUHNRNTVIHLCIS-NSHDSACASA-N -1 1 346.391 -0.136 20 0 EBADMM Cc1ncc(C(=O)N(C)C[C@H]2CCN2Cc2nc(=O)n(C)[n-]2)c(C)n1 ZINC001085879142 816169782 /nfs/dbraw/zinc/16/97/82/816169782.db2.gz YHMALRRJSQCEMC-GFCCVEGCSA-N -1 1 345.407 -0.138 20 0 EBADMM CN(C[C@H]1CCN1Cc1cnon1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001085923544 816179247 /nfs/dbraw/zinc/17/92/47/816179247.db2.gz AHMIZZYUGFAZDL-SNVBAGLBSA-N -1 1 344.335 -0.853 20 0 EBADMM NC(=O)c1[nH]nc2c1CN(C(=O)CCC[N-]C(=O)C(F)(F)F)CC2 ZINC001271839931 816225225 /nfs/dbraw/zinc/22/52/25/816225225.db2.gz YSNHZZKKKIACMP-UHFFFAOYSA-N -1 1 347.297 -0.148 20 0 EBADMM COc1c[nH]c(C(=O)NC[C@H]2C[C@@H](NCc3n[nH]c(=O)[n-]3)C2)cc1=O ZINC001086148558 816248728 /nfs/dbraw/zinc/24/87/28/816248728.db2.gz PXFDGZRVAHMORX-DTORHVGOSA-N -1 1 348.363 -0.495 20 0 EBADMM Cc1nnc([C@@H](C)N[C@H]2C[C@H](CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)[nH]1 ZINC001086418480 816327852 /nfs/dbraw/zinc/32/78/52/816327852.db2.gz UUSSTBNTSJQQKU-FTLITQJKSA-N -1 1 348.367 -0.431 20 0 EBADMM CC[C@@H](NC(C)=O)C(=O)N1CC[C@@H](CCNCc2n[nH]c(=O)[n-]2)C1 ZINC001272573381 816482420 /nfs/dbraw/zinc/48/24/20/816482420.db2.gz FDFMNHYBWCIFFV-VXGBXAGGSA-N -1 1 338.412 -0.247 20 0 EBADMM Cc1[nH]nc(C(=O)N2C[C@H]3[C@@H](C2)[C@H]2CC[C@@H]3N(CC(N)=O)C2)c1[O-] ZINC001087351165 816506883 /nfs/dbraw/zinc/50/68/83/816506883.db2.gz NQHJEQRHRIMGEZ-BJDJZHNGSA-N -1 1 333.392 -0.309 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2cncnc2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087508239 816526477 /nfs/dbraw/zinc/52/64/77/816526477.db2.gz HAJZLNOBMRRTDQ-QWHCGFSZSA-N -1 1 345.407 -0.010 20 0 EBADMM CC[C@@H]1[C@@H](NC(=O)c2ccc(C)nn2)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001087568673 816534217 /nfs/dbraw/zinc/53/42/17/816534217.db2.gz FYJUPVBOLXPTOB-WCQYABFASA-N -1 1 345.407 -0.010 20 0 EBADMM Cn1cc(C(=O)N2CCCCC[C@H](NCc3n[nH]c(=O)[n-]3)C2)nn1 ZINC001088309343 816661564 /nfs/dbraw/zinc/66/15/64/816661564.db2.gz WHFXBFOZOXSJQH-JTQLQIEISA-N -1 1 334.384 -0.187 20 0 EBADMM C[C@@H](C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C)n1cccn1 ZINC001088476752 816688743 /nfs/dbraw/zinc/68/87/43/816688743.db2.gz DEPFQKPHGWWCQU-WOPDTQHZSA-N -1 1 333.396 -0.355 20 0 EBADMM C[C@H](C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)[C@@H]1C)n1cncn1 ZINC001088531118 816696445 /nfs/dbraw/zinc/69/64/45/816696445.db2.gz XCORKQKUXGPJOP-MXWKQRLJSA-N -1 1 334.384 -0.960 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)Cc2ccnn2C)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088544874 816699166 /nfs/dbraw/zinc/69/91/66/816699166.db2.gz BXYGYSWPUDHJLT-PWSUYJOCSA-N -1 1 333.396 -0.836 20 0 EBADMM CN(C)S(=O)(=O)N1C[C@H]2C[C@@H](C1)N2C(=O)c1ccc(F)c(=O)[n-]1 ZINC001273576832 816701118 /nfs/dbraw/zinc/70/11/18/816701118.db2.gz SIQDRTFPTURTHK-DTORHVGOSA-N -1 1 344.368 -0.369 20 0 EBADMM Cn1ncnc1C(=O)N1CCC12CN(C(=O)c1ccc(F)c(=O)[n-]1)C2 ZINC001273577507 816701350 /nfs/dbraw/zinc/70/13/50/816701350.db2.gz PBWXJUDDPASOOL-UHFFFAOYSA-N -1 1 346.322 -0.205 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cnc3n2CCC3)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088631414 816717523 /nfs/dbraw/zinc/71/75/23/816717523.db2.gz KGVWXFGIPVMLLH-MNOVXSKESA-N -1 1 345.407 -0.356 20 0 EBADMM Cc1ncc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)c(C)n1 ZINC001088927828 816765788 /nfs/dbraw/zinc/76/57/88/816765788.db2.gz WRGDQSLFMXTCHQ-MFKMUULPSA-N -1 1 345.407 -0.092 20 0 EBADMM CCCn1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)[C@@H]2C)nn1 ZINC001088946452 816768259 /nfs/dbraw/zinc/76/82/59/816768259.db2.gz YRPCVSSNPDGIEO-MNOVXSKESA-N -1 1 348.411 -0.497 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)c2cccn(C)c2=O)CCN1Cc1nc(=O)n(C)[n-]1 ZINC001088988947 816774440 /nfs/dbraw/zinc/77/44/40/816774440.db2.gz ZBIABMOPMFQNHR-PWSUYJOCSA-N -1 1 346.391 -0.800 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCCN1C(=O)c1ncn(C)n1 ZINC001089171226 816811107 /nfs/dbraw/zinc/81/11/07/816811107.db2.gz JYYFYOLPIJORGC-NSHDSACASA-N -1 1 348.411 -0.636 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H]1CCCCN1C(=O)c1cnon1 ZINC001089241571 816821547 /nfs/dbraw/zinc/82/15/47/816821547.db2.gz IPUFCEYOBQRHHF-JTQLQIEISA-N -1 1 335.368 -0.382 20 0 EBADMM O=C(CNC/C=C/CNC(=O)c1c[n-]n2c1nccc2=O)N1CCC1 ZINC001274421384 816953354 /nfs/dbraw/zinc/95/33/54/816953354.db2.gz JQKOYAKFJGTQLJ-OWOJBTEDSA-N -1 1 344.375 -0.870 20 0 EBADMM Cc1noc(CNC/C=C/CNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001274448102 816964716 /nfs/dbraw/zinc/96/47/16/816964716.db2.gz IPCXDWCEEYNUIP-NSCUHMNNSA-N -1 1 348.363 -0.920 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)c3ccccc3F)[C@H](O)C2)nc1=O ZINC001090018324 816971710 /nfs/dbraw/zinc/97/17/10/816971710.db2.gz ZUTNZNYXSRHIKH-CHWSQXEVSA-N -1 1 349.366 -0.387 20 0 EBADMM CCCOCC(=O)N1CCC(O)(CNCc2n[nH]c(=O)[n-]2)CC1 ZINC001274502634 816986129 /nfs/dbraw/zinc/98/61/29/816986129.db2.gz WATCQXLTJNRNMK-UHFFFAOYSA-N -1 1 327.385 -0.620 20 0 EBADMM Cc1ccc(CC(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)o1 ZINC001090204795 817120926 /nfs/dbraw/zinc/12/09/26/817120926.db2.gz VSLBIYITPZYUFH-OLZOCXBDSA-N -1 1 349.391 -0.696 20 0 EBADMM Cn1ccnc1CN1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001090215542 817131934 /nfs/dbraw/zinc/13/19/34/817131934.db2.gz GKTGCJZJMNAMIW-YPMHNXCESA-N -1 1 331.376 -0.114 20 0 EBADMM Cn1ccnc1CN1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001090215541 817132602 /nfs/dbraw/zinc/13/26/02/817132602.db2.gz GKTGCJZJMNAMIW-WCQYABFASA-N -1 1 331.376 -0.114 20 0 EBADMM Cc1coc(C)c1C(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001090235071 817164848 /nfs/dbraw/zinc/16/48/48/817164848.db2.gz CPAMXLRGQQXPFS-VXGBXAGGSA-N -1 1 349.391 -0.317 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)C3CC(C)(C)C3)[C@@H](O)C2)nc1=O ZINC001090245127 817175652 /nfs/dbraw/zinc/17/56/52/817175652.db2.gz YWJDCYYGOCUABQ-RYUDHWBXSA-N -1 1 337.424 -0.404 20 0 EBADMM C[C@H]1c2nc(C(N)=O)cn2CCN1C(=O)c1c[nH]c(=S)[n-]c1=O ZINC001275247267 817177226 /nfs/dbraw/zinc/17/72/26/817177226.db2.gz LBLQQSKWZNTWBC-LURJTMIESA-N -1 1 334.361 -0.017 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)c1cnn2ncccc12 ZINC001275424627 817215332 /nfs/dbraw/zinc/21/53/32/817215332.db2.gz PWVLDBFSGNUQPZ-IUCAKERBSA-N -1 1 330.352 -0.150 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)c1cnc2nccn2c1 ZINC001275453351 817220977 /nfs/dbraw/zinc/22/09/77/817220977.db2.gz XDZNEIISASVVLG-BDAKNGLRSA-N -1 1 330.352 -0.150 20 0 EBADMM O=C(NCC1COC1)[C@@H]1CCC2(CN(C(=O)c3cncc([O-])c3)C2)O1 ZINC001275624428 817263158 /nfs/dbraw/zinc/26/31/58/817263158.db2.gz YNYDBCCRXSUCQD-AWEZNQCLSA-N -1 1 347.371 -0.077 20 0 EBADMM O=C(NCC1COC1)[C@H]1CCC2(CN(C(=O)c3cncc([O-])c3)C2)O1 ZINC001275624429 817263338 /nfs/dbraw/zinc/26/33/38/817263338.db2.gz YNYDBCCRXSUCQD-CQSZACIVSA-N -1 1 347.371 -0.077 20 0 EBADMM CSc1ncc(C(=O)N2CCn3cc(C(N)=O)nc3C2)c(=O)[n-]1 ZINC001276545744 817422347 /nfs/dbraw/zinc/42/23/47/817422347.db2.gz ZTSGVXWMVVTKMA-UHFFFAOYSA-N -1 1 334.361 -0.145 20 0 EBADMM Cc1coc(C(=O)N[C@@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@H]2O)c1 ZINC001090503456 817487552 /nfs/dbraw/zinc/48/75/52/817487552.db2.gz JRTROWRUDDTAIM-GHMZBOCLSA-N -1 1 335.364 -0.625 20 0 EBADMM Cc1nocc1C(=O)NCCOCCN(C)Cc1nc(=O)n(C)[n-]1 ZINC001277521469 817590659 /nfs/dbraw/zinc/59/06/59/817590659.db2.gz ZCIIYPKANVCYEB-UHFFFAOYSA-N -1 1 338.368 -0.717 20 0 EBADMM CN(C(=O)[C@@H]1CCCNC1=O)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001277661928 817618964 /nfs/dbraw/zinc/61/89/64/817618964.db2.gz CZSCQVIFDVXZTQ-MNOVXSKESA-N -1 1 336.396 -0.637 20 0 EBADMM Cc1cc(C(=O)N[C@H]2CCN(Cc3nc(=O)n(C)[n-]3)C[C@@H]2O)ccn1 ZINC001090654095 817622574 /nfs/dbraw/zinc/62/25/74/817622574.db2.gz FMIQIPAAUWAFPN-STQMWFEESA-N -1 1 346.391 -0.823 20 0 EBADMM Cn1[n-]c(CN2CC[C@@H](NC(=O)C(C3CC3)C3CC3)[C@H](O)C2)nc1=O ZINC001090717358 817691737 /nfs/dbraw/zinc/69/17/37/817691737.db2.gz WLIQZMZVUZKKQN-CHWSQXEVSA-N -1 1 349.435 -0.404 20 0 EBADMM C[C@@H]1CN(c2ncccn2)C[C@H]1NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001090736404 817711292 /nfs/dbraw/zinc/71/12/92/817711292.db2.gz VLISTWHPFNXQOG-VXGBXAGGSA-N -1 1 344.375 -0.642 20 0 EBADMM Cn1[n-]c(CN[C@H](CO)CNC(=O)c2cc3ccccc3o2)nc1=O ZINC001278194911 817723142 /nfs/dbraw/zinc/72/31/42/817723142.db2.gz NATWTAHCXDDZGG-NSHDSACASA-N -1 1 345.359 -0.265 20 0 EBADMM CCC(=CC(=O)NC[C@]1(O)CCN(Cc2nc(=O)n(C)[n-]2)C1)CC ZINC001278771493 817821395 /nfs/dbraw/zinc/82/13/95/817821395.db2.gz PIQNINKJTRJPLF-MRXNPFEDSA-N -1 1 337.424 -0.092 20 0 EBADMM Cc1cc(=O)c(C(=O)NCC2CC(NCc3n[nH]c(=O)[n-]3)C2)cn1C ZINC001091083858 817869134 /nfs/dbraw/zinc/86/91/34/817869134.db2.gz UIMBYINPFQRTQH-UHFFFAOYSA-N -1 1 346.391 -0.184 20 0 EBADMM CCn1ccc(CNC2CC(CNC(=O)c3n[nH]c(=O)[n-]c3=O)C2)n1 ZINC001091121822 817893147 /nfs/dbraw/zinc/89/31/47/817893147.db2.gz UOGOEGGKFZZEAL-UHFFFAOYSA-N -1 1 347.379 -0.203 20 0 EBADMM CCn1ccnc1CNC1CC(CNC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001091123899 817895835 /nfs/dbraw/zinc/89/58/35/817895835.db2.gz NEQIZJHTKYIAFD-UHFFFAOYSA-N -1 1 347.379 -0.203 20 0 EBADMM Cc1nnccc1C(=O)N(C)CCOCCNCc1n[nH]c(=O)[n-]1 ZINC001279423290 817930114 /nfs/dbraw/zinc/93/01/14/817930114.db2.gz SYEDJTOHDNIRTL-UHFFFAOYSA-N -1 1 335.368 -0.513 20 0 EBADMM CN(C[C@@H]1CCCN1C(=O)C1CC1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001279760333 817982385 /nfs/dbraw/zinc/98/23/85/817982385.db2.gz DQIWQGDYRWOQMY-ZDUSSCGKSA-N -1 1 348.403 -0.214 20 0 EBADMM Cn1[n-]c(CN2C[C@@H]3CN(C(=O)c4cocn4)C[C@]3(C)C2)nc1=O ZINC001091529986 818004057 /nfs/dbraw/zinc/00/40/57/818004057.db2.gz ZCSUHVPXBVXUMW-BMIGLBTASA-N -1 1 332.364 -0.310 20 0 EBADMM CC(C)CC(=O)N[C@H](C)[C@@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001280149148 818021570 /nfs/dbraw/zinc/02/15/70/818021570.db2.gz NJCRRIVRZDJIIR-VXGBXAGGSA-N -1 1 338.408 -0.018 20 0 EBADMM C[C@@H](NC(=O)c1ccon1)[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001280203354 818025508 /nfs/dbraw/zinc/02/55/08/818025508.db2.gz QUEQJKUAJHZIHG-VHSXEESVSA-N -1 1 349.347 -0.762 20 0 EBADMM CC(C)C(=O)N1CC[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)[C@H]1C ZINC001281129655 818044348 /nfs/dbraw/zinc/04/43/48/818044348.db2.gz XGHWMXLVMYUPEG-VXGBXAGGSA-N -1 1 336.392 -0.312 20 0 EBADMM Cc1cc(C)nc(NCCNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001093738458 818092130 /nfs/dbraw/zinc/09/21/30/818092130.db2.gz YWKWSVNLBINDCL-UHFFFAOYSA-N -1 1 332.364 -0.438 20 0 EBADMM COc1cc(C)nc(NCCNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001093736910 818092226 /nfs/dbraw/zinc/09/22/26/818092226.db2.gz NIFZIGVOYYZOMG-UHFFFAOYSA-N -1 1 348.363 -0.738 20 0 EBADMM C[C@@H](CCCCNC(=O)c1ncccc1[O-])NC(=O)Cn1ncnn1 ZINC001281565629 818218967 /nfs/dbraw/zinc/21/89/67/818218967.db2.gz MAIFELVVUOLERG-NSHDSACASA-N -1 1 347.379 -0.121 20 0 EBADMM C/C=C(/C)C(=O)N1C[C@@H](C)[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001281782095 818277926 /nfs/dbraw/zinc/27/79/26/818277926.db2.gz WFIHXQGZNBRPCD-ZYFYVMIWSA-N -1 1 348.403 -0.144 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCCNC(=O)c1ccn(C)c1 ZINC001282969909 818554374 /nfs/dbraw/zinc/55/43/74/818554374.db2.gz DXKGNOZVTBIPAH-UHFFFAOYSA-N -1 1 335.320 -0.605 20 0 EBADMM CCc1cc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)[nH]n1 ZINC001095190925 818580987 /nfs/dbraw/zinc/58/09/87/818580987.db2.gz VCQCYFYNYPXVHT-MDZLAQPJSA-N -1 1 345.407 -0.071 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)c2cnsn2)C3)nc1=O ZINC001095207221 818583227 /nfs/dbraw/zinc/58/32/27/818583227.db2.gz CAFAQJJCSGLMIB-WEDXCCLWSA-N -1 1 335.393 -0.505 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)Cc2ccon2)C3)nc1=O ZINC001095250210 818590828 /nfs/dbraw/zinc/59/08/28/818590828.db2.gz DGMSFWBPYJVUID-WOPDTQHZSA-N -1 1 332.364 -0.439 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)C[C@@H]2COC(=O)C2)C3)nc1=O ZINC001095313219 818599669 /nfs/dbraw/zinc/59/96/69/818599669.db2.gz LFCPCUFHMDMHEH-USZNOCQGSA-N -1 1 349.391 -0.717 20 0 EBADMM Cc1ccc(N(C)CCCNC(=O)c2cc(=O)n3[n-]cnc3n2)nn1 ZINC001095592945 818654621 /nfs/dbraw/zinc/65/46/21/818654621.db2.gz VEXGYEPWQUEIAP-UHFFFAOYSA-N -1 1 342.363 -0.228 20 0 EBADMM CC1(CC(=O)NCCCNC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001283300366 818750137 /nfs/dbraw/zinc/75/01/37/818750137.db2.gz QHDAYSRZDQRNIW-UHFFFAOYSA-N -1 1 336.392 -0.261 20 0 EBADMM CC(C)=CC(=O)NCCCN(C)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001283485130 818824537 /nfs/dbraw/zinc/82/45/37/818824537.db2.gz RHHAVZKHEOGADW-UHFFFAOYSA-N -1 1 336.392 -0.142 20 0 EBADMM Cc1cnn(CC(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)c1 ZINC001096851024 818896823 /nfs/dbraw/zinc/89/68/23/818896823.db2.gz FRVXFJKHVOBESR-UPJWGTAASA-N -1 1 345.407 -0.465 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@H]2CCCOC2)C3)nc1=O ZINC001096871196 818897504 /nfs/dbraw/zinc/89/75/04/818897504.db2.gz YXOITWZSQPBGBQ-LOWDOPEQSA-N -1 1 335.408 -0.244 20 0 EBADMM Cc1ncc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)[nH]1 ZINC001096887158 818899731 /nfs/dbraw/zinc/89/97/31/818899731.db2.gz BCLTVQURQBJHNA-FOGDFJRCSA-N -1 1 331.380 -0.325 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)c2cccc(=O)[nH]2)C3)nc1=O ZINC001096919613 818906514 /nfs/dbraw/zinc/90/65/14/818906514.db2.gz ZTDZSVZOUGQDBY-JLLWLGSASA-N -1 1 344.375 -0.256 20 0 EBADMM Cc1ccc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3Cc2nc(=O)n(C)[n-]2)nn1 ZINC001097054965 818934223 /nfs/dbraw/zinc/93/42/23/818934223.db2.gz FJBDRIKXJPTPOZ-RTXFEEFZSA-N -1 1 343.391 -0.258 20 0 EBADMM Cc1cn(C)nc1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2Cc1nc(=O)n(C)[n-]1 ZINC001097057683 818934274 /nfs/dbraw/zinc/93/42/74/818934274.db2.gz YDJYTCPCVAYHAZ-UTUOFQBUSA-N -1 1 345.407 -0.314 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCN(C(=O)[C@@H]2CC23CC3)C1 ZINC001283875602 819011938 /nfs/dbraw/zinc/01/19/38/819011938.db2.gz FSIWVPAIGQPHMV-NEPJUHHUSA-N -1 1 346.387 -0.556 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@H]2[C@@H]4COC[C@@H]42)C3)nc1=O ZINC001097674054 819017640 /nfs/dbraw/zinc/01/76/40/819017640.db2.gz CZZXZFZSNDGVRV-SSLNLQFTSA-N -1 1 333.392 -0.778 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H](CNC(=O)C1CC1)C1CC1 ZINC001283919480 819029233 /nfs/dbraw/zinc/02/92/33/819029233.db2.gz OUNZPYMYLXSXOA-LBPRGKRZSA-N -1 1 334.376 -0.652 20 0 EBADMM Cn1[n-]c(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)Cc2c[nH]cn2)C3)nc1=O ZINC001097936910 819057970 /nfs/dbraw/zinc/05/79/70/819057970.db2.gz OLLISCJUUMPIHA-UTUOFQBUSA-N -1 1 331.380 -0.704 20 0 EBADMM Cc1nccc(N[C@@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)n1 ZINC001097939825 819058077 /nfs/dbraw/zinc/05/80/77/819058077.db2.gz OJEHDMLTOJELMB-JTQLQIEISA-N -1 1 332.364 -0.358 20 0 EBADMM Cc1cnc(C)nc1N[C@H](C)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001097940224 819059054 /nfs/dbraw/zinc/05/90/54/819059054.db2.gz VPAPJGYJORJALJ-LLVKDONJSA-N -1 1 346.391 -0.050 20 0 EBADMM C[C@@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)Nc1ncc(F)cn1 ZINC001097949790 819062273 /nfs/dbraw/zinc/06/22/73/819062273.db2.gz BNRYYVPRMGGZTA-ZETCQYMHSA-N -1 1 332.299 -0.423 20 0 EBADMM COCCOCCC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC001284032084 819072693 /nfs/dbraw/zinc/07/26/93/819072693.db2.gz LRJWRMPJZMFCDL-WDEREUQCSA-N -1 1 327.385 -0.358 20 0 EBADMM Cc1[nH]ccc1C(=O)NC[C@@H](C)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001284218654 819136660 /nfs/dbraw/zinc/13/66/60/819136660.db2.gz MATGQKOULOHQSH-MRVPVSSYSA-N -1 1 343.347 -0.398 20 0 EBADMM NC(=O)C(=O)NCCc1ccc(CNC(=O)c2ncccc2[O-])cc1 ZINC001284386899 819211168 /nfs/dbraw/zinc/21/11/68/819211168.db2.gz BLWHYCTWANQOHT-UHFFFAOYSA-N -1 1 342.355 -0.139 20 0 EBADMM C/C(=C/C(=O)NCCN(C)C(=O)CCn1cc[n-]c(=O)c1=O)C1CC1 ZINC001284501745 819259134 /nfs/dbraw/zinc/25/91/34/819259134.db2.gz RWKZZBNHQBOOPV-QXMHVHEDSA-N -1 1 348.403 -0.142 20 0 EBADMM CC/C=C(\C)C(=O)N(C)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001284555208 819286604 /nfs/dbraw/zinc/28/66/04/819286604.db2.gz WXPOOHCLPLKITK-LFYBBSHMSA-N -1 1 336.392 -0.142 20 0 EBADMM CCN(CCNC(=O)c1cc[nH]c1)C(=O)CCn1cc[n-]c(=O)c1=O ZINC001284620837 819305807 /nfs/dbraw/zinc/30/58/07/819305807.db2.gz ALERPWBXBYUEMH-UHFFFAOYSA-N -1 1 347.375 -0.467 20 0 EBADMM CN(CCOCCNCc1n[nH]c(=O)[n-]1)C(=O)c1n[nH]cc1F ZINC001284876236 819386278 /nfs/dbraw/zinc/38/62/78/819386278.db2.gz QOBNLWHETCKZON-UHFFFAOYSA-N -1 1 327.320 -0.749 20 0 EBADMM Cn1cncc1C(=O)N[C@]12CCC[C@H]1CN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001098925037 819392393 /nfs/dbraw/zinc/39/23/93/819392393.db2.gz RCHRIGMBEWEERH-ZBEGNZNMSA-N -1 1 345.407 -0.374 20 0 EBADMM Cc1nc[nH]c1C(=O)N[C@]12CCC[C@H]1CN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001099189805 819432675 /nfs/dbraw/zinc/43/26/75/819432675.db2.gz UNCCRIKPHLUDRM-ZBEGNZNMSA-N -1 1 345.407 -0.076 20 0 EBADMM CC[C@@H](CNC(=O)C(F)F)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001285029821 819459526 /nfs/dbraw/zinc/45/95/26/819459526.db2.gz VQZNQWQSHAJCMM-QMMMGPOBSA-N -1 1 332.307 -0.797 20 0 EBADMM CC[C@H](CNC(=O)[C@@H]1CCCNC1=O)NC(=O)c1ncccc1[O-] ZINC001285067829 819469831 /nfs/dbraw/zinc/46/98/31/819469831.db2.gz VGQSJIRVCIWUIK-GHMZBOCLSA-N -1 1 334.376 -0.062 20 0 EBADMM CCCSCC(=O)N[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C[C@@H]1O ZINC001099702537 819594109 /nfs/dbraw/zinc/59/41/09/819594109.db2.gz WBEONVAASNPBKB-MNOVXSKESA-N -1 1 343.453 -0.697 20 0 EBADMM Cc1nc[nH]c(=O)c1C(=O)NC/C=C\CNC(=O)[C@H]1C[N@H+](C)CCO1 ZINC001285511410 819635931 /nfs/dbraw/zinc/63/59/31/819635931.db2.gz KWYZMOURECSTDQ-VSQXVHSFSA-N -1 1 349.391 -0.777 20 0 EBADMM Cn1oc(C(=O)NC/C=C\CNC(=O)c2ncccc2[O-])cc1=O ZINC001285534020 819647655 /nfs/dbraw/zinc/64/76/55/819647655.db2.gz WBCXDIBZZCBVBB-IHWYPQMZSA-N -1 1 332.316 -0.205 20 0 EBADMM Cc1n[nH]c(C(=O)N[C@H]2CCN(CCOCC(F)F)C[C@H]2O)c1[O-] ZINC001099772413 819683600 /nfs/dbraw/zinc/68/36/00/819683600.db2.gz LHZPEJMIMWDXRE-VHSXEESVSA-N -1 1 348.350 -0.130 20 0 EBADMM CCC1(C(=O)NC/C=C/CNC(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001285593867 819685372 /nfs/dbraw/zinc/68/53/72/819685372.db2.gz GLQWFNATWHMCSW-ONEGZZNKSA-N -1 1 348.403 -0.095 20 0 EBADMM Cn1[n-]c(CN2CC[C@H](NC(=O)CCc3ccoc3)[C@@H](O)C2)nc1=O ZINC001099779275 819688241 /nfs/dbraw/zinc/68/82/41/819688241.db2.gz ZBEZBYPXKGHYPA-STQMWFEESA-N -1 1 349.391 -0.614 20 0 EBADMM O=C(CN1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1)NCC1CC1 ZINC001099782618 819691493 /nfs/dbraw/zinc/69/14/93/819691493.db2.gz DIKBSDOFVMRFBJ-GXTWGEPZSA-N -1 1 348.403 -0.522 20 0 EBADMM CC1(C(=O)NC[C@@H]2CCN2C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001285861316 819792797 /nfs/dbraw/zinc/79/27/97/819792797.db2.gz FBSKXXVDYJRJDX-NSHDSACASA-N -1 1 334.376 -0.556 20 0 EBADMM CCOCCC(=O)NC[C@]1(O)CCN(C(=O)c2ncccc2[O-])C1 ZINC001286173348 819924403 /nfs/dbraw/zinc/92/44/03/819924403.db2.gz AJNLMKJPOLQYEQ-MRXNPFEDSA-N -1 1 337.376 -0.093 20 0 EBADMM C[C@H](NC(=O)CC(N)=O)[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001286286689 819978405 /nfs/dbraw/zinc/97/84/05/819978405.db2.gz JNZDYLFAMMVXNH-GXSJLCMTSA-N -1 1 336.348 -0.992 20 0 EBADMM C[C@H](NC(=O)CC(N)=O)[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001286286693 819978863 /nfs/dbraw/zinc/97/88/63/819978863.db2.gz JNZDYLFAMMVXNH-ONGXEEELSA-N -1 1 336.348 -0.992 20 0 EBADMM Cc1n[nH]nc1C(=O)N(C)CCOCCNC(=O)c1ncccc1[O-] ZINC001287401387 820040426 /nfs/dbraw/zinc/04/04/26/820040426.db2.gz YDHWABOKXUYCIW-UHFFFAOYSA-N -1 1 348.363 -0.268 20 0 EBADMM Cc1nn[nH]c1C(=O)N(C)CCOCCNC(=O)c1ncccc1[O-] ZINC001287401387 820040444 /nfs/dbraw/zinc/04/04/44/820040444.db2.gz YDHWABOKXUYCIW-UHFFFAOYSA-N -1 1 348.363 -0.268 20 0 EBADMM C[C@@H](CN(C)C(=O)C(C)(C)F)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001287550687 820073794 /nfs/dbraw/zinc/07/37/94/820073794.db2.gz SENLHTFEWGOWQY-JTQLQIEISA-N -1 1 342.371 -0.362 20 0 EBADMM C[C@@H]1[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)CCN1C(=O)CC1CC1 ZINC001287851746 820178090 /nfs/dbraw/zinc/17/80/90/820178090.db2.gz HRWPJXSCADIDON-YPMHNXCESA-N -1 1 348.403 -0.168 20 0 EBADMM C[C@H]1C[C@H](NC(=O)CCn2cc[n-]c(=O)c2=O)CN1C(=O)C1CC1 ZINC001287900770 820192085 /nfs/dbraw/zinc/19/20/85/820192085.db2.gz KGPODQWFZJDCGF-JQWIXIFHSA-N -1 1 334.376 -0.558 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)NCCNC(=O)c1ncn(C)n1 ZINC001292700780 820589284 /nfs/dbraw/zinc/58/92/84/820589284.db2.gz WNWDMZHEVHOURI-UHFFFAOYSA-N -1 1 347.379 -0.098 20 0 EBADMM C[C@@H]1CC[C@H](C(=O)NCCNC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001292736659 820598764 /nfs/dbraw/zinc/59/87/64/820598764.db2.gz CPYKOJJEXWYRAJ-NEPJUHHUSA-N -1 1 336.392 -0.405 20 0 EBADMM O=C(NCCNC(=O)[C@H]1[C@@H]2COC[C@@H]21)c1cnc(C2CC2)[n-]c1=O ZINC001292757682 820604074 /nfs/dbraw/zinc/60/40/74/820604074.db2.gz BUNKNRXBSMIUPV-GDNZZTSVSA-N -1 1 332.360 -0.202 20 0 EBADMM CS(=O)(=O)CCCC(=O)NCCCNC(=O)c1ncccc1[O-] ZINC001293262170 820731479 /nfs/dbraw/zinc/73/14/79/820731479.db2.gz ILLOUYSKOQWTSM-UHFFFAOYSA-N -1 1 343.405 -0.152 20 0 EBADMM CCCC(=O)N[C@@H]1CC2(CN(Cc3nc(=O)n(C)[n-]3)C2)n2ccnc21 ZINC001101603010 820824817 /nfs/dbraw/zinc/82/48/17/820824817.db2.gz WDRVXTLQAKROGF-LLVKDONJSA-N -1 1 345.407 -0.123 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)COCC(F)F ZINC001102187728 821042287 /nfs/dbraw/zinc/04/22/87/821042287.db2.gz HZMBALPERJSGTM-RKDXNWHRSA-N -1 1 333.339 -0.024 20 0 EBADMM CNS(=O)(=O)[C@@H]1CCN(C(=O)c2ccc3n[n-]c(=S)n3c2)C1 ZINC001295352297 821082748 /nfs/dbraw/zinc/08/27/48/821082748.db2.gz HMVQUHRDLXHOIV-SECBINFHSA-N -1 1 341.418 -0.218 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)C1(CF)CC1 ZINC001295567890 821125885 /nfs/dbraw/zinc/12/58/85/821125885.db2.gz DSNKPENJKJFPDN-JTQLQIEISA-N -1 1 340.355 -0.703 20 0 EBADMM CC(C)CCC(=O)N[C@H](C)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001295568578 821125959 /nfs/dbraw/zinc/12/59/59/821125959.db2.gz IEMPTVXKCZENFS-GFCCVEGCSA-N -1 1 338.408 -0.016 20 0 EBADMM Cc1cnoc1C(=O)N[C@H](C)CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001295571006 821126708 /nfs/dbraw/zinc/12/67/08/821126708.db2.gz ZMTXRYLHNUIUGL-SNVBAGLBSA-N -1 1 349.347 -0.842 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NC(=O)/C=C/C1CC1 ZINC001295569490 821127009 /nfs/dbraw/zinc/12/70/09/821127009.db2.gz MYSXEDJRZQXVAO-ZWNMCFTASA-N -1 1 334.376 -0.486 20 0 EBADMM CCC(=O)NCC(=O)NCC[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001102780524 821210866 /nfs/dbraw/zinc/21/08/66/821210866.db2.gz DNIZBRUJBXYRNB-GHMZBOCLSA-N -1 1 338.412 -0.389 20 0 EBADMM CN(CCNC(=O)CCn1cc[n-]c(=O)c1=O)C(=O)[C@H]1CC1(F)F ZINC001296153528 821217757 /nfs/dbraw/zinc/21/77/57/821217757.db2.gz UJFCSOJPHMTPOZ-SECBINFHSA-N -1 1 344.318 -0.844 20 0 EBADMM C/C=C(/C)C(=O)N(CC)CCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001296336611 821240084 /nfs/dbraw/zinc/24/00/84/821240084.db2.gz CMKODTQIGCJPPD-QCDXTXTGSA-N -1 1 336.392 -0.142 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CCNC(=O)CC(=O)N(C)C ZINC001103507808 821317812 /nfs/dbraw/zinc/31/78/12/821317812.db2.gz NCPANIDLPWWJSR-GHMZBOCLSA-N -1 1 338.412 -0.437 20 0 EBADMM CC1(C)C[C@H]1C(=O)N1CC(NC(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001297096183 821319998 /nfs/dbraw/zinc/31/99/98/821319998.db2.gz BPFYTVDRPODXFZ-NSHDSACASA-N -1 1 334.376 -0.700 20 0 EBADMM C[C@@H]1CN(C(=O)c2nccnc2N)C[C@H]1CCNCc1n[nH]c(=O)[n-]1 ZINC001104014487 821387187 /nfs/dbraw/zinc/38/71/87/821387187.db2.gz PVCHFNRMPNVADZ-NXEZZACHSA-N -1 1 346.395 -0.230 20 0 EBADMM C[C@H](CNC(=O)c1n[nH]c(=O)[n-]c1=O)CNc1ncnc2[nH]cnc21 ZINC001104273577 821436307 /nfs/dbraw/zinc/43/63/07/821436307.db2.gz VAAOAYNEOMPJNE-LURJTMIESA-N -1 1 345.323 -0.621 20 0 EBADMM O=C(C=C1CCC1)NC/C=C/CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001298342910 821512442 /nfs/dbraw/zinc/51/24/42/821512442.db2.gz LECRVQMXRYMGDJ-OWOJBTEDSA-N -1 1 346.387 -0.174 20 0 EBADMM Cc1ccoc1C(=O)N[C@@H](C)CNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001298735708 821591605 /nfs/dbraw/zinc/59/16/05/821591605.db2.gz IUPWAIQKVSRFCG-VIFPVBQESA-N -1 1 344.331 -0.133 20 0 EBADMM C[C@@H](CNC(=O)c1cc(=O)n2[n-]cnc2n1)NC(=O)Cc1ccc[nH]1 ZINC001298791636 821597786 /nfs/dbraw/zinc/59/77/86/821597786.db2.gz YDKOKTLTRHAZDL-VIFPVBQESA-N -1 1 343.347 -0.777 20 0 EBADMM C[C@@H](CNC(=O)[C@]1(C)C=CCC1)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001298825255 821604259 /nfs/dbraw/zinc/60/42/59/821604259.db2.gz JJFRZUZCPQGFIO-YVEFUNNKSA-N -1 1 348.403 -0.096 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1(NC(=O)C2CCC2)CC1 ZINC001299198912 821663856 /nfs/dbraw/zinc/66/38/56/821663856.db2.gz UAXRSUGQPCQIQE-UHFFFAOYSA-N -1 1 334.376 -0.508 20 0 EBADMM C[C@@H]1C[C@H]1CC(=O)N1CC(N(C)C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001299381435 821687830 /nfs/dbraw/zinc/68/78/30/821687830.db2.gz YJKXZNFMOGDAAL-NEPJUHHUSA-N -1 1 348.403 -0.358 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@@H]2C=CCC2)o1 ZINC001303084933 821754704 /nfs/dbraw/zinc/75/47/04/821754704.db2.gz YPCCZPMPUSOIBE-SECBINFHSA-N -1 1 327.362 -0.261 20 0 EBADMM C[C@@H](CNC(=O)CCn1cc[n-]c(=O)c1=O)NCc1cnsn1 ZINC001317275797 822057341 /nfs/dbraw/zinc/05/73/41/822057341.db2.gz JQKNHNIDHYHTRU-VIFPVBQESA-N -1 1 338.393 -0.927 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)[C@@H]1CCCN(C(=O)C2CC2)C1 ZINC001128019614 828394917 /nfs/dbraw/zinc/39/49/17/828394917.db2.gz RTDNJHNOTIFBCD-LLVKDONJSA-N -1 1 336.396 -0.635 20 0 EBADMM CN(CCNCc1n[nH]c(=O)[n-]1)C(=O)C1(n2cnnn2)CCCCC1 ZINC001317617404 822180491 /nfs/dbraw/zinc/18/04/91/822180491.db2.gz PFWGVMMZAIHGQA-UHFFFAOYSA-N -1 1 349.399 -0.596 20 0 EBADMM CC(=O)NCCOCCN1CC(CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001318115061 822259000 /nfs/dbraw/zinc/25/90/00/822259000.db2.gz ORGBKHPQXWMXFT-UHFFFAOYSA-N -1 1 339.396 -0.762 20 0 EBADMM Cc1oc2ncn(C)c(=O)c2c1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001318427981 822315279 /nfs/dbraw/zinc/31/52/79/822315279.db2.gz HWDFQQMVHBWCEW-QMMMGPOBSA-N -1 1 345.319 -0.438 20 0 EBADMM O=C(NCc1nn[n-]n1)C(=O)Nc1ccc(N2CCCC2=O)cc1 ZINC001318628376 822345751 /nfs/dbraw/zinc/34/57/51/822345751.db2.gz KXCNDEFSLKXECY-UHFFFAOYSA-N -1 1 329.320 -0.419 20 0 EBADMM Cn1ccc(-c2cc(C(=O)NCCNCc3n[nH]c(=O)[n-]3)no2)n1 ZINC001128179626 828424941 /nfs/dbraw/zinc/42/49/41/828424941.db2.gz QAXZKPVCVVGSTK-UHFFFAOYSA-N -1 1 332.324 -0.582 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC/C=C\CNc1ncc(F)cn1 ZINC001107257698 823830357 /nfs/dbraw/zinc/83/03/57/823830357.db2.gz OOXKOKSDBLFDQK-UPHRSURJSA-N -1 1 348.338 -0.360 20 0 EBADMM CC(=O)NCC(=O)NC[C@]12CCC[C@H]1N(Cc1n[nH]c(=O)[n-]1)CC2 ZINC001107261943 823831224 /nfs/dbraw/zinc/83/12/24/823831224.db2.gz BWOGRMMTXIKKKA-IAQYHMDHSA-N -1 1 336.396 -0.493 20 0 EBADMM Cc1ccnc(NC/C=C/CNC(=O)c2cc(=O)n3[n-]cnc3n2)n1 ZINC001107263108 823831558 /nfs/dbraw/zinc/83/15/58/823831558.db2.gz QUMSWPCCWFXULI-NSCUHMNNSA-N -1 1 340.347 -0.086 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@](C)(CNC(=O)c3cccnc3)C2)nc1=O ZINC001107692385 823907161 /nfs/dbraw/zinc/90/71/61/823907161.db2.gz LXJIKANBKYNSQE-INIZCTEOSA-N -1 1 346.391 -0.476 20 0 EBADMM CC[C@H](C)C(=O)NC[C@@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001107751364 823937964 /nfs/dbraw/zinc/93/79/64/823937964.db2.gz BHAWDVAVJZFKFC-NHYWBVRUSA-N -1 1 325.413 -0.138 20 0 EBADMM CN1CCC[C@@H]1C(=O)NC[C@@]1(C)CN(Cc2n[nH]c(=O)[n-]2)CCO1 ZINC001107816641 823979064 /nfs/dbraw/zinc/97/90/64/823979064.db2.gz GSZZMLSGWFCQSO-ABAIWWIYSA-N -1 1 338.412 -0.688 20 0 EBADMM Cn1[n-]c(CN2CCO[C@](C)(CNC(=O)C3CC=CC3)C2)nc1=O ZINC001107834464 823992443 /nfs/dbraw/zinc/99/24/43/823992443.db2.gz YQQUFZJAKZOOMQ-MRXNPFEDSA-N -1 1 335.408 -0.218 20 0 EBADMM Cc1cnc(C)nc1NC[C@@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001107836910 823993915 /nfs/dbraw/zinc/99/39/15/823993915.db2.gz CJYMXLQHLVBTDN-LLVKDONJSA-N -1 1 346.391 -0.050 20 0 EBADMM Cn1ccc(C(=O)NC[C@]2(C)CN(Cc3nc(=O)n(C)[n-]3)CCO2)c1 ZINC001107867859 824005304 /nfs/dbraw/zinc/00/53/04/824005304.db2.gz NUHLHUVMVVXGRV-MRXNPFEDSA-N -1 1 348.407 -0.532 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@](C)(CNC(=O)[C@H]3CC3(C)C)C2)nc1=O ZINC001107874111 824008531 /nfs/dbraw/zinc/00/85/31/824008531.db2.gz JPGYUMBROILONP-BZNIZROVSA-N -1 1 337.424 -0.138 20 0 EBADMM C[C@@]1(CNC(=O)c2ncccc2[O-])CN(CC(=O)NC2CC2)CCO1 ZINC001107890585 824021442 /nfs/dbraw/zinc/02/14/42/824021442.db2.gz QCGFZDISPYLUTB-QGZVFWFLSA-N -1 1 348.403 -0.114 20 0 EBADMM CC[C@H](F)C(=O)NC[C@]1(C)CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001108121984 824134658 /nfs/dbraw/zinc/13/46/58/824134658.db2.gz KTCCGEHXNMCPSH-IINYFYTJSA-N -1 1 329.376 -0.436 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@](C)(CNC(=O)[C@@H]3CC34CC4)C2)nc1=O ZINC001108240512 824177498 /nfs/dbraw/zinc/17/74/98/824177498.db2.gz JVEMUYXTIXNELS-NHYWBVRUSA-N -1 1 335.408 -0.384 20 0 EBADMM Cc1cc(N(C)C[C@H](C)NC(=O)c2cc(=O)n3[n-]cnc3n2)ncn1 ZINC001109040197 824475688 /nfs/dbraw/zinc/47/56/88/824475688.db2.gz WSBKVUHMEFAPHE-JTQLQIEISA-N -1 1 342.363 -0.229 20 0 EBADMM Cc1cc(NC2(CNC(=O)CCn3cc[n-]c(=O)c3=O)CC2)ncn1 ZINC001110180541 824672892 /nfs/dbraw/zinc/67/28/92/824672892.db2.gz OEUQPMAPRUWAIO-UHFFFAOYSA-N -1 1 344.375 -0.214 20 0 EBADMM Cn1cnc(C(=O)N2CCN(CCC[N-]C(=O)C(F)(F)F)CC2)n1 ZINC001112818714 825650690 /nfs/dbraw/zinc/65/06/90/825650690.db2.gz QPGFOHZXBKMMJU-UHFFFAOYSA-N -1 1 348.329 -0.359 20 0 EBADMM C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)[C@@H](C)Nc1ncccn1 ZINC001113090920 825754994 /nfs/dbraw/zinc/75/49/94/825754994.db2.gz AKQSDGKMIJWFFM-GHMZBOCLSA-N -1 1 332.364 -0.278 20 0 EBADMM C[C@@H](Nc1ncccn1)[C@H](C)NC(=O)CCn1cc[n-]c(=O)c1=O ZINC001113090923 825755146 /nfs/dbraw/zinc/75/51/46/825755146.db2.gz AKQSDGKMIJWFFM-WDEREUQCSA-N -1 1 332.364 -0.278 20 0 EBADMM C[C@@H](NC(=O)c1cc(=O)n2[n-]cnc2n1)[C@H](C)Nc1cnc(F)cn1 ZINC001113118798 825764350 /nfs/dbraw/zinc/76/43/50/825764350.db2.gz MRJZQPRCFULUDR-JGVFFNPUSA-N -1 1 346.326 -0.034 20 0 EBADMM O=C([N-]CCCN1CCN(C(=O)c2nc[nH]n2)CC1)C(F)(F)F ZINC001113443104 825876896 /nfs/dbraw/zinc/87/68/96/825876896.db2.gz YSAOBFBVAJITGY-UHFFFAOYSA-N -1 1 334.302 -0.369 20 0 EBADMM Cc1ccc(N(C)[C@@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)nn1 ZINC001113655243 825967051 /nfs/dbraw/zinc/96/70/51/825967051.db2.gz GRHRZWBUVBFHAM-LBPRGKRZSA-N -1 1 346.391 -0.334 20 0 EBADMM Cc1cc(N(C)[C@@H](C)CNC(=O)CCn2cc[n-]c(=O)c2=O)ncn1 ZINC001113655811 825967223 /nfs/dbraw/zinc/96/72/23/825967223.db2.gz RHBMHGNFEHZENX-LBPRGKRZSA-N -1 1 346.391 -0.334 20 0 EBADMM CO[C@@H](C)C(=O)N[C@@H]1[C@H]2CN(Cc3cc(=O)n4[n-]ccc4n3)C[C@H]21 ZINC001113960596 826096081 /nfs/dbraw/zinc/09/60/81/826096081.db2.gz VQOOKEBQEHOJRX-APAOZMKASA-N -1 1 331.376 -0.396 20 0 EBADMM CCOCCC(=O)N[C@@H]1[C@H]2CN(Cc3cc(=O)n4[n-]ccc4n3)C[C@H]21 ZINC001114031243 826116364 /nfs/dbraw/zinc/11/63/64/826116364.db2.gz JRZBYJYGCYHZHL-LAQFHYBYSA-N -1 1 345.403 -0.004 20 0 EBADMM CCCNC(=O)CCC(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)[C@@H]1C ZINC001179955155 833029484 /nfs/dbraw/zinc/02/94/84/833029484.db2.gz ZBTZDNOOYBCKAZ-QWRGUYRKSA-N -1 1 338.412 -0.104 20 0 EBADMM Cc1ccc(N[C@H](C)CN(C)C(=O)CCn2cc[n-]c(=O)c2=O)nn1 ZINC001115778223 826584091 /nfs/dbraw/zinc/58/40/91/826584091.db2.gz UFXSHCGNGQIFCB-GFCCVEGCSA-N -1 1 346.391 -0.016 20 0 EBADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)CN1C(=O)CNC1=O ZINC001116472868 826621518 /nfs/dbraw/zinc/62/15/18/826621518.db2.gz FOFPFYXUHPBMTC-UHFFFAOYSA-N -1 1 344.324 -0.313 20 0 EBADMM O=C([N-]OC[C@@H]1CCOC1)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001116754108 826640334 /nfs/dbraw/zinc/64/03/34/826640334.db2.gz LGQLQQMKLBNZPK-IJLUTSLNSA-N -1 1 346.405 -0.894 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)N[C@H]3CCC[C@@H]3CCO)ccnc1-2 ZINC001117389941 826738962 /nfs/dbraw/zinc/73/89/62/826738962.db2.gz XQGZQSDZZQZJEV-PWSUYJOCSA-N -1 1 331.376 -0.052 20 0 EBADMM COC(=O)c1cc(F)ccc1NC(=O)C(=O)NN1CC(=O)[N-]C1=O ZINC001117438422 826749486 /nfs/dbraw/zinc/74/94/86/826749486.db2.gz JNMIGEFRYNFMMC-UHFFFAOYSA-N -1 1 338.251 -0.866 20 0 EBADMM C[C@H]1[C@H](NC(=O)Cc2[nH]nc3ccccc32)C(=O)N1S(=O)(=O)[O-] ZINC001182062671 833198538 /nfs/dbraw/zinc/19/85/38/833198538.db2.gz WUDDASYCDNLOJS-MADCSZMMSA-N -1 1 338.345 -0.376 20 0 EBADMM CC(=O)O[C@H]1CO[C@H](C(=O)Nc2[n-]c(=O)nc3nc[nH]c32)S1 ZINC001182207250 833215055 /nfs/dbraw/zinc/21/50/55/833215055.db2.gz AKJMCDCYSQMBSB-FWOIEVBISA-N -1 1 325.306 -0.024 20 0 EBADMM NC(=O)[C@H]1C[C@H]1C(=O)NCC[N-]C(=O)C(F)(F)Br ZINC001182393915 833229921 /nfs/dbraw/zinc/22/99/21/833229921.db2.gz XONHNXMQKKMTBD-CRCLSJGQSA-N -1 1 328.113 -0.672 20 0 EBADMM CN(C(=O)OC(C)(C)C)[C@@H](CO)C(=O)Nc1c(N)[nH]c(=O)[n-]c1=O ZINC001182946413 833269411 /nfs/dbraw/zinc/26/94/11/833269411.db2.gz OSRIWQNJOPZCIP-LURJTMIESA-N -1 1 343.340 -0.364 20 0 EBADMM O=C(CCCNC(=O)C1CC1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001183032663 833276956 /nfs/dbraw/zinc/27/69/56/833276956.db2.gz IDGQQBYOSBJRQR-LLVKDONJSA-N -1 1 336.396 -0.493 20 0 EBADMM CC(=O)N[C@H](Cc1c[nH]c2ncccc12)C(=O)NCc1nn[n-]n1 ZINC001183453401 833291027 /nfs/dbraw/zinc/29/10/27/833291027.db2.gz FMBZFIFRPZAOJP-LLVKDONJSA-N -1 1 328.336 -0.560 20 0 EBADMM O=C(NCCNCc1cn(C[C@H]2CCOC2)nn1)c1ncccc1[O-] ZINC001126125472 828156001 /nfs/dbraw/zinc/15/60/01/828156001.db2.gz YYYXEGLGKDGFKT-GFCCVEGCSA-N -1 1 346.391 -0.065 20 0 EBADMM O=C(C[C@@H]1NC(=O)CNC1=O)Nc1cc(=O)n(-c2ccccc2)[n-]1 ZINC001183719484 833303282 /nfs/dbraw/zinc/30/32/82/833303282.db2.gz XAVRQORBCAZJMG-JTQLQIEISA-N -1 1 329.316 -0.479 20 0 EBADMM COCCOc1cc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)ccn1 ZINC001127077675 828248423 /nfs/dbraw/zinc/24/84/23/828248423.db2.gz HBQPZMXFKSZREB-UHFFFAOYSA-N -1 1 336.352 -0.550 20 0 EBADMM O=C(CCOC[C@H]1CCCO1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001183894353 833311326 /nfs/dbraw/zinc/31/13/26/833311326.db2.gz OEYOCDCCHAXZGK-VXGBXAGGSA-N -1 1 339.396 -0.214 20 0 EBADMM CC(C)C[C@H]1C[C@H](C(=O)NCCNCc2n[nH]c(=O)[n-]2)CC(=O)N1 ZINC001130661609 828909271 /nfs/dbraw/zinc/90/92/71/828909271.db2.gz OVNQCKKLBAJDGK-QWRGUYRKSA-N -1 1 338.412 -0.343 20 0 EBADMM Cn1ncc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)c1C(F)(F)F ZINC001130779278 828936091 /nfs/dbraw/zinc/93/60/91/828936091.db2.gz AEUBDTZQHJXYPO-UHFFFAOYSA-N -1 1 333.274 -0.218 20 0 EBADMM CC(C)(C)c1nc(CNCCNC(=O)c2n[nH]c(=O)[n-]c2=O)n[nH]1 ZINC001130862694 828978936 /nfs/dbraw/zinc/97/89/36/828978936.db2.gz FKAPASZCIDFYKN-UHFFFAOYSA-N -1 1 336.356 -0.782 20 0 EBADMM CCOC(=O)N[C@@H](CC(N)=O)C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001185863573 833372665 /nfs/dbraw/zinc/37/26/65/833372665.db2.gz YRVAKCIMZLDILZ-BYPYZUCNSA-N -1 1 342.268 -0.786 20 0 EBADMM Cn1cc(-c2oncc2C(=O)NCCNCc2n[nH]c(=O)[n-]2)cn1 ZINC001131758838 829203331 /nfs/dbraw/zinc/20/33/31/829203331.db2.gz QUDDZMSDCYIHQG-UHFFFAOYSA-N -1 1 332.324 -0.582 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)CCn2cncn2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001131811866 829225810 /nfs/dbraw/zinc/22/58/10/829225810.db2.gz DPSNVHODSNEFIS-NEPJUHHUSA-N -1 1 348.411 -0.741 20 0 EBADMM C[C@@H]1CC[C@@H](NC(=O)CCc2nc[nH]n2)CN1Cc1n[nH]c(=O)[n-]1 ZINC001131955325 829279320 /nfs/dbraw/zinc/27/93/20/829279320.db2.gz KGAATICEDAJJSF-NXEZZACHSA-N -1 1 334.384 -0.270 20 0 EBADMM CNC(=O)NC(=O)CN1C[C@H](NC(=O)c2ncccc2[O-])CC[C@H]1C ZINC001131972224 829285855 /nfs/dbraw/zinc/28/58/55/829285855.db2.gz QRUZQVWGXGKYNZ-GHMZBOCLSA-N -1 1 349.391 -0.175 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)CC2(O)CCC2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001132017335 829303822 /nfs/dbraw/zinc/30/38/22/829303822.db2.gz NWZUGKWPLWBYHW-NEPJUHHUSA-N -1 1 337.424 -0.117 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)CC2(O)CCC2)CN1Cc1nc(=O)n(C)[n-]1 ZINC001132017336 829305031 /nfs/dbraw/zinc/30/50/31/829305031.db2.gz NWZUGKWPLWBYHW-NWDGAFQWSA-N -1 1 337.424 -0.117 20 0 EBADMM O=C(NCCNCc1n[nH]c(=O)[n-]1)[C@@H]1CC(=O)Nc2ccccc21 ZINC001132089553 829325969 /nfs/dbraw/zinc/32/59/69/829325969.db2.gz HCWXOIWJPCURQG-SNVBAGLBSA-N -1 1 330.348 -0.158 20 0 EBADMM C[C@H]1CC[C@H](NC(=O)COCC(F)F)CN1Cc1nc(=O)n(C)[n-]1 ZINC001132202117 829376561 /nfs/dbraw/zinc/37/65/61/829376561.db2.gz CNSZHWHCHBPICT-UWVGGRQHSA-N -1 1 347.366 -0.141 20 0 EBADMM C[C@H]1CC[C@@H](NC(=O)CC(=O)N(C)C)CN1Cc1nc(=O)n(C)[n-]1 ZINC001132342812 829419260 /nfs/dbraw/zinc/41/92/60/829419260.db2.gz DWQZODULBWPBEN-WDEREUQCSA-N -1 1 338.412 -0.944 20 0 EBADMM CCOC(=O)[C@]1(C(C)=O)CCC(=O)[C@H](C(=O)NCc2nn[n-]n2)C1 ZINC001186803924 833429415 /nfs/dbraw/zinc/42/94/15/833429415.db2.gz GEQYOWNLYBTKSW-YMTOWFKASA-N -1 1 337.336 -0.676 20 0 EBADMM Cc1nonc1CN[C@H](C)C[C@H](C)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001134864053 829860276 /nfs/dbraw/zinc/86/02/76/829860276.db2.gz QMDFEZZQJMUKCA-RQJHMYQMSA-N -1 1 337.340 -0.339 20 0 EBADMM C[C@H](C[C@H](C)NCc1cn(C)nn1)NC(=O)c1cnc([O-])n(C)c1=O ZINC001135166490 829912740 /nfs/dbraw/zinc/91/27/40/829912740.db2.gz MMKGYURJPWDHBA-VHSXEESVSA-N -1 1 349.395 -0.699 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNCc1nc(C2CC2)no1 ZINC001135387344 829958852 /nfs/dbraw/zinc/95/88/52/829958852.db2.gz PWPRJDRRKJIFAR-UHFFFAOYSA-N -1 1 348.363 -0.907 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCCNCc1nnc(C2CC2)o1 ZINC001135387084 829959480 /nfs/dbraw/zinc/95/94/80/829959480.db2.gz LHYLGTMHTZMKDP-UHFFFAOYSA-N -1 1 348.363 -0.907 20 0 EBADMM Cc1cnc([C@@H](C)NCCNC(=O)CCn2cc[n-]c(=O)c2=O)o1 ZINC001135391530 829962128 /nfs/dbraw/zinc/96/21/28/829962128.db2.gz WZWPQBWIAPESHT-LLVKDONJSA-N -1 1 335.364 -0.310 20 0 EBADMM C[C@H](C[C@H](C)NC(=O)CCCS(C)(=O)=O)NCc1n[nH]c(=O)[n-]1 ZINC001135657294 829999428 /nfs/dbraw/zinc/99/94/28/829999428.db2.gz HECYWZPBKJXQOX-ZJUUUORDSA-N -1 1 347.441 -0.292 20 0 EBADMM Cc1[nH]c(=O)[n-]c(=S)c1C(=O)N1CCC2(CC1)NC(=O)NC2=O ZINC001136085975 830075460 /nfs/dbraw/zinc/07/54/60/830075460.db2.gz WLQLDCNCWWBFHQ-UHFFFAOYSA-N -1 1 337.361 -0.406 20 0 EBADMM Cc1ncc(C(=O)NCCC(=O)N[C@@H](Cc2cnc[nH]2)C(=O)[O-])cn1 ZINC001146960684 830251471 /nfs/dbraw/zinc/25/14/71/830251471.db2.gz RMCSIEIGKUEXDX-LBPRGKRZSA-N -1 1 346.347 -0.560 20 0 EBADMM CCCCOCC(=O)N1CC2(C1)CCN(Cc1nc(=O)n(C)[n-]1)C2 ZINC001147287436 830315965 /nfs/dbraw/zinc/31/59/65/830315965.db2.gz FCOMOSWKZDEDQX-UHFFFAOYSA-N -1 1 337.424 -0.041 20 0 EBADMM CCc1cnc(CNCCNC(=O)c2cc(=O)n3[n-]cnc3n2)o1 ZINC001147704868 830398854 /nfs/dbraw/zinc/39/88/54/830398854.db2.gz BKQGZJTXCRKQNX-UHFFFAOYSA-N -1 1 331.336 -0.512 20 0 EBADMM O=C(NCCNCc1nnc(C2CC2)o1)c1cc(=O)n2[n-]cnc2n1 ZINC001147706489 830399164 /nfs/dbraw/zinc/39/91/64/830399164.db2.gz YEVWENZCAIQHBA-UHFFFAOYSA-N -1 1 344.335 -0.802 20 0 EBADMM CCc1nnc(CNCCNC(=O)c2cc(=O)n3[n-]cnc3n2)s1 ZINC001147712873 830400953 /nfs/dbraw/zinc/40/09/53/830400953.db2.gz YELNOMJKFOIWDN-UHFFFAOYSA-N -1 1 348.392 -0.649 20 0 EBADMM Cc1ncc(CO)c(C(=O)N2CCC3(CC2)COCC(=O)N3)c1[O-] ZINC001147837135 830418354 /nfs/dbraw/zinc/41/83/54/830418354.db2.gz UXSYIENQJIEWCP-UHFFFAOYSA-N -1 1 335.360 -0.291 20 0 EBADMM Cc1ncc(CO)c(C(=O)N2CCN(S(C)(=O)=O)CC2)c1[O-] ZINC001147838125 830418782 /nfs/dbraw/zinc/41/87/82/830418782.db2.gz JMKXPRAWKWUFQH-UHFFFAOYSA-N -1 1 329.378 -0.695 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)c1ccncn1 ZINC001148177352 830452338 /nfs/dbraw/zinc/45/23/38/830452338.db2.gz RERLTGGXARRFGS-NSHDSACASA-N -1 1 332.320 -0.868 20 0 EBADMM CNS(=O)(=O)C[C@@H]1CCCN1C(=O)c1c(C)[nH]c(=O)[n-]c1=S ZINC001148342746 830472813 /nfs/dbraw/zinc/47/28/13/830472813.db2.gz DEBKVQCFKZVPBY-QMMMGPOBSA-N -1 1 346.434 -0.067 20 0 EBADMM C[NH+]1CCC(CO)(NC(=O)c2cc(S(=O)(=O)[O-])ccc2[O-])CC1 ZINC001148933117 830558509 /nfs/dbraw/zinc/55/85/09/830558509.db2.gz FKSUEAPGHBXLKP-UHFFFAOYSA-N -1 1 344.389 -0.175 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CCCC(C)=O ZINC001211851959 837479074 /nfs/dbraw/zinc/47/90/74/837479074.db2.gz RNPZFQNYYBZEFS-VXGBXAGGSA-N -1 1 339.396 -0.817 20 0 EBADMM NC(=O)NCc1ccc(C(=O)NCCNCc2n[nH]c(=O)[n-]2)cc1 ZINC001150570885 830934478 /nfs/dbraw/zinc/93/44/78/830934478.db2.gz KCSILYSCIOBMOU-UHFFFAOYSA-N -1 1 333.352 -0.802 20 0 EBADMM O=C(Cn1c(=O)oc2ccccc21)NCCNCc1n[nH]c(=O)[n-]1 ZINC001150685062 830959023 /nfs/dbraw/zinc/95/90/23/830959023.db2.gz FPVRDGBXDRBETJ-UHFFFAOYSA-N -1 1 332.320 -0.676 20 0 EBADMM CCCC(=O)N1CCC[C@@H](C(=O)NCCNCc2n[nH]c(=O)[n-]2)C1 ZINC001152109753 831132468 /nfs/dbraw/zinc/13/24/68/831132468.db2.gz KYGDNFXPBHRCGT-LLVKDONJSA-N -1 1 338.412 -0.245 20 0 EBADMM NS(=O)(=O)c1ccc([O-])c(NC(=O)[C@@H]2CSCC(=O)N2)c1 ZINC001152169081 831137869 /nfs/dbraw/zinc/13/78/69/831137869.db2.gz RSTMMRVNVSEEMS-QMMMGPOBSA-N -1 1 331.375 -0.790 20 0 EBADMM CC(=O)N[C@H](CCC(C)C)C(=O)NCCNCc1n[nH]c(=O)[n-]1 ZINC001153809336 831273701 /nfs/dbraw/zinc/27/37/01/831273701.db2.gz JYADPOPUYHIYRY-LLVKDONJSA-N -1 1 326.401 -0.343 20 0 EBADMM Cc1cc(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)c2cnn(C)c2n1 ZINC001155516385 831411616 /nfs/dbraw/zinc/41/16/16/831411616.db2.gz SILJVPIEHBHYJH-UHFFFAOYSA-N -1 1 342.363 -0.086 20 0 EBADMM CCS(=O)(=O)C1CCN(C(=O)CCn2cc[n-]c(=O)c2=O)CC1 ZINC001156401052 831474043 /nfs/dbraw/zinc/47/40/43/831474043.db2.gz QPTSRJITHRSLET-UHFFFAOYSA-N -1 1 343.405 -0.648 20 0 EBADMM COC(=O)CNC(=O)[C@@H]1CCCN1c1nc(C)cc2c1C(=O)[N-]C2=O ZINC001157420218 831565737 /nfs/dbraw/zinc/56/57/37/831565737.db2.gz FZAQVQVCLHXDND-JTQLQIEISA-N -1 1 346.343 -0.468 20 0 EBADMM CO[C@@H]1CN(CC(=O)NCC2CC2)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001212156119 837560061 /nfs/dbraw/zinc/56/00/61/837560061.db2.gz CBPKMKXODXDFLK-TZMCWYRMSA-N -1 1 348.403 -0.258 20 0 EBADMM Cn1[n-]c(CN2CC=C(CCNC(=O)c3cnsn3)CC2)nc1=O ZINC001159398428 831748735 /nfs/dbraw/zinc/74/87/35/831748735.db2.gz NNMOWBKAAIVXSM-UHFFFAOYSA-N -1 1 349.420 -0.088 20 0 EBADMM CCS(=O)(=O)c1ccnc(Nc2c(O)[nH]c(=O)[n-]c2=S)c1 ZINC001160857911 831896836 /nfs/dbraw/zinc/89/68/36/831896836.db2.gz KURVITMZFUCCHY-QMMMGPOBSA-N -1 1 328.375 -0.178 20 0 EBADMM Cn1[n-]c(CN2CC=C(CCNC(=O)C3(C(N)=O)CC3)CC2)nc1=O ZINC001161071265 831920542 /nfs/dbraw/zinc/92/05/42/831920542.db2.gz YCPPQKWJVLFUAQ-UHFFFAOYSA-N -1 1 348.407 -0.988 20 0 EBADMM CCn1ncc(CNCCCNC(=O)c2cnc([O-])n(C)c2=O)n1 ZINC001163781946 832138366 /nfs/dbraw/zinc/13/83/66/832138366.db2.gz WXTGMWSLRQDVIS-UHFFFAOYSA-N -1 1 335.368 -0.993 20 0 EBADMM C[C@H](NCCCNC(=O)c1cnc([O-])n(C)c1=O)c1ncccn1 ZINC001163781798 832138552 /nfs/dbraw/zinc/13/85/52/832138552.db2.gz RWAGIWKDPKZJLI-JTQLQIEISA-N -1 1 332.364 -0.253 20 0 EBADMM CCc1nnc(CNCCCNC(=O)c2cnc([O-])n(C)c2=O)n1C ZINC001163782183 832138668 /nfs/dbraw/zinc/13/86/68/832138668.db2.gz ZSISWHWSHHTRQQ-UHFFFAOYSA-N -1 1 349.395 -0.914 20 0 EBADMM CCn1ncc(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)n1 ZINC001164433740 832187504 /nfs/dbraw/zinc/18/75/04/832187504.db2.gz QHJAJTXGFCDKOG-UHFFFAOYSA-N -1 1 334.384 -0.188 20 0 EBADMM NC(=O)[C@H]1CN(C(F)(F)c2cc(Cl)nnc2C(=O)[O-])CCN1 ZINC001165071492 832204472 /nfs/dbraw/zinc/20/44/72/832204472.db2.gz HENRYTWRHGZTFS-ZCFIWIBFSA-N -1 1 335.698 -0.363 20 0 EBADMM Cn1cc(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)ccc1=O ZINC001165956009 832243658 /nfs/dbraw/zinc/24/36/58/832243658.db2.gz PNHVAWQIOAPKTI-UHFFFAOYSA-N -1 1 346.391 -0.101 20 0 EBADMM Cc1nc(N2CCOCC2)[n-]c(=O)c1CCC(=O)NC/C=C/CO ZINC001165973665 832244557 /nfs/dbraw/zinc/24/45/57/832244557.db2.gz NRRQXGNQWWTMNP-NSCUHMNNSA-N -1 1 336.392 -0.075 20 0 EBADMM Cn1cnnc1CNCC1(CCNC(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001165992952 832245313 /nfs/dbraw/zinc/24/53/13/832245313.db2.gz MQURTJGTUAGTQI-UHFFFAOYSA-N -1 1 348.367 -0.899 20 0 EBADMM Cn1ncnc1CNCC1(CCNC(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001165992793 832245363 /nfs/dbraw/zinc/24/53/63/832245363.db2.gz IXENPORHERXSJJ-UHFFFAOYSA-N -1 1 348.367 -0.899 20 0 EBADMM C[C@@]1(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)CNC(=O)C1 ZINC001166341530 832257294 /nfs/dbraw/zinc/25/72/94/832257294.db2.gz GCFKSWLXVVSIOU-AWEZNQCLSA-N -1 1 336.396 -0.587 20 0 EBADMM CCN(CCCNC(=O)[C@H]1CN(C)C(=O)N1)C(=O)c1ncccc1[O-] ZINC001352284559 832317954 /nfs/dbraw/zinc/31/79/54/832317954.db2.gz WYRRSZHDOROXTJ-LLVKDONJSA-N -1 1 349.391 -0.221 20 0 EBADMM Cn1cnnc1CNCCCNC(=O)c1c[n-]n2c1nccc2=O ZINC001167783528 832372313 /nfs/dbraw/zinc/37/23/13/832372313.db2.gz HQIJJDFCNCNRJJ-UHFFFAOYSA-N -1 1 330.352 -0.939 20 0 EBADMM CN(C(=O)[C@@H]1CCN(c2ccc3c(c2)OCCO3)C1=O)c1nn[n-]n1 ZINC001168359213 832416936 /nfs/dbraw/zinc/41/69/36/832416936.db2.gz PKFXJQAWZFSJSC-JTQLQIEISA-N -1 1 344.331 -0.013 20 0 EBADMM Cn1cc(C(=O)NCCC2(CNCc3n[nH]c(=O)[n-]3)CC2)n(C)c1=O ZINC001168372430 832417624 /nfs/dbraw/zinc/41/76/24/832417624.db2.gz RDMNNQUBGNKRME-UHFFFAOYSA-N -1 1 349.395 -0.763 20 0 EBADMM COCCCNC(=O)CN(C)C(=O)CN1CCC[C@H](C(=O)[O-])C1 ZINC001354026790 832457998 /nfs/dbraw/zinc/45/79/98/832457998.db2.gz GLERTELCNSNIBI-LBPRGKRZSA-N -1 1 329.397 -0.606 20 0 EBADMM C[C@@H](CNC(=O)c1cscn1)NC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001354297445 832476833 /nfs/dbraw/zinc/47/68/33/832476833.db2.gz DUJQQNXTPJZRLG-ZETCQYMHSA-N -1 1 347.360 -0.578 20 0 EBADMM C[C@H](NCCCNC(=O)c1ccc2oc(=O)nc-2[n-]1)c1nnnn1C ZINC001171063730 832540835 /nfs/dbraw/zinc/54/08/35/832540835.db2.gz DIKSFFXHNZQWNU-QMMMGPOBSA-N -1 1 346.351 -0.077 20 0 EBADMM Cc1ccc(C(=O)NCCN(C)C(=O)CCn2cc[n-]c(=O)c2=O)o1 ZINC001355462708 832560895 /nfs/dbraw/zinc/56/08/95/832560895.db2.gz CEYYJVZYKSTVJO-UHFFFAOYSA-N -1 1 348.359 -0.283 20 0 EBADMM CN(CCNC(=O)c1cncs1)C(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001355497729 832562674 /nfs/dbraw/zinc/56/26/74/832562674.db2.gz CMWFVRIHBTXNOQ-UHFFFAOYSA-N -1 1 347.360 -0.624 20 0 EBADMM NC(=O)CC(=O)NC[C@H]1CCCN1C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001356290386 832613598 /nfs/dbraw/zinc/61/35/98/832613598.db2.gz QHHCQSCVORNRDW-SNVBAGLBSA-N -1 1 347.375 -0.344 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC[C@@H]1CCCS1(=O)=O ZINC001176234985 832662496 /nfs/dbraw/zinc/66/24/96/832662496.db2.gz MDYDDASUPRGWNK-JTQLQIEISA-N -1 1 329.378 -0.990 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC[C@H]1CCCS1(=O)=O ZINC001176234986 832662559 /nfs/dbraw/zinc/66/25/59/832662559.db2.gz MDYDDASUPRGWNK-SNVBAGLBSA-N -1 1 329.378 -0.990 20 0 EBADMM C[C@@]1(C2CC2)NC(=O)N(CC(=O)NC2(c3nn[n-]n3)CCC2)C1=O ZINC001176726171 832687523 /nfs/dbraw/zinc/68/75/23/832687523.db2.gz ONASUKHJBBJEMD-ZDUSSCGKSA-N -1 1 333.352 -0.584 20 0 EBADMM CC(C)(C)OC(=O)NC[C@H]1CN(C(=O)Cc2nn[n-]n2)CCO1 ZINC001176839724 832693558 /nfs/dbraw/zinc/69/35/58/832693558.db2.gz BIAQIIIWXIYQPI-VIFPVBQESA-N -1 1 326.357 -0.506 20 0 EBADMM CCN1C(C)=N[C@@]2(CC[C@@H]3CN(C(=O)Cc4nn[n-]n4)C[C@@H]32)C1=O ZINC001176840658 832694457 /nfs/dbraw/zinc/69/44/57/832694457.db2.gz LZKZHWWVNZJWFC-JRPNMDOOSA-N -1 1 331.380 -0.370 20 0 EBADMM Cc1cccc(CN2C(=O)C[C@@H]3[C@@H]2CCN3C(=O)Cc2nn[n-]n2)n1 ZINC001176840595 832694907 /nfs/dbraw/zinc/69/49/07/832694907.db2.gz SSHDTRMHEXYOLN-QWHCGFSZSA-N -1 1 341.375 -0.152 20 0 EBADMM Nc1[nH]c(=O)[n-]c(=O)c1NC(=O)[C@H]1CC(=O)N(c2nccs2)C1 ZINC001177325442 832774253 /nfs/dbraw/zinc/77/42/53/832774253.db2.gz KNZOVPCPBMNPEF-YFKPBYRVSA-N -1 1 336.333 -0.082 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)Cc1ncccn1 ZINC001177379848 832778698 /nfs/dbraw/zinc/77/86/98/832778698.db2.gz RIULSYZOTJJODN-NSHDSACASA-N -1 1 346.347 -0.939 20 0 EBADMM C[C@H]1[C@@H](NCc2n[nH]c(=O)[n-]2)CCN1C(=O)COCc1ccnn1C ZINC001178973001 832936856 /nfs/dbraw/zinc/93/68/56/832936856.db2.gz CLAQIMJIEAHOBZ-JQWIXIFHSA-N -1 1 349.395 -0.460 20 0 EBADMM COC(=O)[C@@H]1C[C@@H]2C[C@H](NC(=O)CCn3cc[n-]c(=O)c3=O)[C@@H]2C1 ZINC001179499465 832983360 /nfs/dbraw/zinc/98/33/60/832983360.db2.gz PSAXNKWSTCOVLG-KKOKHZNYSA-N -1 1 335.360 -0.369 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CCC(F)F ZINC001213175351 837719135 /nfs/dbraw/zinc/71/91/35/837719135.db2.gz NHWVXMSAYVMUNB-RKDXNWHRSA-N -1 1 333.339 -0.531 20 0 EBADMM O=C(CNC(=O)c1ccccc1)N1CC[C@@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001187328902 833921038 /nfs/dbraw/zinc/92/10/38/833921038.db2.gz NRPMWKPZBUNRRE-GFCCVEGCSA-N -1 1 344.375 -0.369 20 0 EBADMM C[C@H](C(=O)NC(N)=O)N1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001187639222 833949077 /nfs/dbraw/zinc/94/90/77/833949077.db2.gz LJUJDQYTVFIJOU-OUAUKWLOSA-N -1 1 347.375 -0.483 20 0 EBADMM O=C([O-])[C@H](Cc1cnc[nH]1)NC(=O)CCNC(=O)c1ccc(F)nc1 ZINC001188661869 834069973 /nfs/dbraw/zinc/06/99/73/834069973.db2.gz UKRRRWVVYYIIKR-NSHDSACASA-N -1 1 349.322 -0.124 20 0 EBADMM CN(C)c1nc(NC(=O)c2cc(=O)n(C)c(=O)n2C)c(N=O)c(=O)[n-]1 ZINC001189422465 834153412 /nfs/dbraw/zinc/15/34/12/834153412.db2.gz OYYGNAQLXUHOOG-UHFFFAOYSA-N -1 1 349.307 -0.704 20 0 EBADMM CO[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1NC(=O)CC(C)(F)F ZINC001213392368 837762957 /nfs/dbraw/zinc/76/29/57/837762957.db2.gz AGHBHLTZOXNVOE-RKDXNWHRSA-N -1 1 333.339 -0.531 20 0 EBADMM CCCC[C@H](CC)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001191236301 834442506 /nfs/dbraw/zinc/44/25/06/834442506.db2.gz BAVAZKLTCUPYFG-YNEHKIRRSA-N -1 1 339.440 -0.014 20 0 EBADMM COCC(C)(C)C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001191360444 834465141 /nfs/dbraw/zinc/46/51/41/834465141.db2.gz OYGTZRSCCAYFOT-NSHDSACASA-N -1 1 325.413 -0.186 20 0 EBADMM CO[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1NC(=O)[C@@H](C)Cc1cnc[nH]1 ZINC001213559129 837798720 /nfs/dbraw/zinc/79/87/20/837798720.db2.gz AEYMZRANLGTYPD-MVWJERBFSA-N -1 1 349.395 -0.572 20 0 EBADMM COCCO[C@H](C)C(=O)N1CC[C@H](N(C)Cc2nc(=O)n(C)[n-]2)C1 ZINC001192632461 834705952 /nfs/dbraw/zinc/70/59/52/834705952.db2.gz PMEUJEAQJCRJCU-NEPJUHHUSA-N -1 1 341.412 -0.807 20 0 EBADMM Cn1nncc1C(=O)NCC[N-]C(=O)C(F)(F)Br ZINC001193145174 834803324 /nfs/dbraw/zinc/80/33/24/834803324.db2.gz UEFPOOPDCNYDAG-UHFFFAOYSA-N -1 1 326.101 -0.351 20 0 EBADMM CC(C)C(C)(C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001193269285 834824611 /nfs/dbraw/zinc/82/46/11/834824611.db2.gz ZLQUVDSXQCOXLE-GHMZBOCLSA-N -1 1 325.413 -0.548 20 0 EBADMM COC[C@@H](C)CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1OC ZINC001213649894 837830666 /nfs/dbraw/zinc/83/06/66/837830666.db2.gz QUXPHOTZJDXDFW-QJPTWQEYSA-N -1 1 341.412 -0.904 20 0 EBADMM CC(=O)N1CC(=O)NC[C@]12CCN(C(=O)c1nc(C)ccc1[O-])C2 ZINC001195322177 835214875 /nfs/dbraw/zinc/21/48/75/835214875.db2.gz ABOSYJGOKWOJMH-INIZCTEOSA-N -1 1 332.360 -0.341 20 0 EBADMM CNC(=O)CCCC(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001195588580 835250606 /nfs/dbraw/zinc/25/06/06/835250606.db2.gz NAJDSWMZYBWPPQ-UHFFFAOYSA-N -1 1 338.412 -0.941 20 0 EBADMM O=C([N-]CCNC(=O)c1cncc(=O)[nH]1)C(F)(F)Br ZINC001196172441 835360387 /nfs/dbraw/zinc/36/03/87/835360387.db2.gz SMPXLRBOMYYLRD-UHFFFAOYSA-N -1 1 339.096 -0.396 20 0 EBADMM CS(=O)(=O)c1cccc(C(=O)Nc2c(N)[nH]c(=O)[n-]c2=O)n1 ZINC001196405694 835411825 /nfs/dbraw/zinc/41/18/25/835411825.db2.gz TWJNLWCGAGKGIP-UHFFFAOYSA-N -1 1 325.306 -0.479 20 0 EBADMM COCC(C)(C)C(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001196516045 835427433 /nfs/dbraw/zinc/42/74/33/835427433.db2.gz SLTXYSFDNARZLL-UHFFFAOYSA-N -1 1 325.413 -0.185 20 0 EBADMM COC(=O)CCCCS(=O)(=O)[N-][C@@H]1COCCC12OCCO2 ZINC001196975730 835489554 /nfs/dbraw/zinc/48/95/54/835489554.db2.gz NPJNHAOKIHYQDG-LLVKDONJSA-N -1 1 337.394 -0.219 20 0 EBADMM COC(=O)CCCCS(=O)(=O)[N-][C@@H](CC(=O)OC)C(=O)OC ZINC001196966271 835489592 /nfs/dbraw/zinc/48/95/92/835489592.db2.gz KOXNXJADNFTZAN-VIFPVBQESA-N -1 1 339.366 -0.646 20 0 EBADMM CCC(CC)(CC)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O ZINC001197197803 835521707 /nfs/dbraw/zinc/52/17/07/835521707.db2.gz KBSJQYVBQGROBA-VXGBXAGGSA-N -1 1 339.440 -0.014 20 0 EBADMM O=S(=O)(Cc1ccc(Cl)c(F)c1)[N-]C(CO)(CO)CO ZINC001197697728 835597865 /nfs/dbraw/zinc/59/78/65/835597865.db2.gz SFDLBEDPBBNKCQ-UHFFFAOYSA-N -1 1 327.761 -0.386 20 0 EBADMM COCCCOCC(=O)N1CCCN(Cc2nc(=O)n(C)[n-]2)CC1 ZINC001197754553 835604175 /nfs/dbraw/zinc/60/41/75/835604175.db2.gz SWCWEYGDACSCGX-UHFFFAOYSA-N -1 1 341.412 -0.804 20 0 EBADMM C[C@H](CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1O)n1cccc1 ZINC001197967698 835627072 /nfs/dbraw/zinc/62/70/72/835627072.db2.gz MIJDPLJBZSFFBJ-JHJVBQTASA-N -1 1 348.407 -0.778 20 0 EBADMM Cn1[n-]c(CN2CCCN(C(=O)CCCn3cccn3)CC2)nc1=O ZINC001198159492 835648128 /nfs/dbraw/zinc/64/81/28/835648128.db2.gz ROCFSCSVVZUKMM-UHFFFAOYSA-N -1 1 347.423 -0.180 20 0 EBADMM O=Nc1c(=O)[n-]c(=O)[nH]c1NC(=S)NCCN1CCOCC1 ZINC001200119079 835911809 /nfs/dbraw/zinc/91/18/09/835911809.db2.gz UQSFUOWGZLXZSE-UHFFFAOYSA-N -1 1 328.354 -0.096 20 0 EBADMM COC[C@@H](C)CC(=O)N1CCCO[C@@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001201748002 836186488 /nfs/dbraw/zinc/18/64/88/836186488.db2.gz CBSOOUDEBKLTEZ-RYUDHWBXSA-N -1 1 341.412 -0.110 20 0 EBADMM Cc1nc(Cl)sc1S(=O)(=O)[N-][C@@H]1C[C@H](CO)[C@@H](O)[C@H]1O ZINC001201767315 836190680 /nfs/dbraw/zinc/19/06/80/836190680.db2.gz VVIQSYKTWGCJNI-XUTVFYLZSA-N -1 1 342.826 -0.514 20 0 EBADMM CC(C)(C(N)=O)C(=O)N[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C12CCC2 ZINC001203059337 836391307 /nfs/dbraw/zinc/39/13/07/836391307.db2.gz LPULFGYVXCQGNH-IUCAKERBSA-N -1 1 336.396 -0.461 20 0 EBADMM NC(=O)C1(C(=O)N[C@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)CC1 ZINC001203338355 836422575 /nfs/dbraw/zinc/42/25/75/836422575.db2.gz ALXDVFPEASCICN-IUCAKERBSA-N -1 1 334.380 -0.707 20 0 EBADMM CNC(=O)C1(C(=O)N[C@H]2C[C@H](NCc3n[nH]c(=O)[n-]3)C23CCC3)CC1 ZINC001203492066 836436471 /nfs/dbraw/zinc/43/64/71/836436471.db2.gz JARDJCNTIWKJGS-UWVGGRQHSA-N -1 1 348.407 -0.447 20 0 EBADMM CCOCCN1C[C@@H](C)[C@H](NC(=O)Cn2[n-]cc3c(=O)ncnc2-3)C1 ZINC001209561850 837176614 /nfs/dbraw/zinc/17/66/14/837176614.db2.gz MUIVWIGPHMCAHT-DGCLKSJQSA-N -1 1 348.407 -0.456 20 0 EBADMM COC[C@@H](C)CC(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1C ZINC001209895319 837211031 /nfs/dbraw/zinc/21/10/31/837211031.db2.gz CTSJLQNZKORLND-QJPTWQEYSA-N -1 1 325.413 -0.283 20 0 EBADMM COCC[C@H](C)C(=O)N[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)C[C@H]1OC ZINC001213882124 837893386 /nfs/dbraw/zinc/89/33/86/837893386.db2.gz LHHGTFQYZJXDDZ-QJPTWQEYSA-N -1 1 341.412 -0.904 20 0 EBADMM CC(C)C[C@H](C(N)=O)C(=O)N1CCC[C@@H]1CNCc1n[nH]c(=O)[n-]1 ZINC001319134571 838078278 /nfs/dbraw/zinc/07/82/78/838078278.db2.gz NYKZRHNDWSEQPA-GHMZBOCLSA-N -1 1 338.412 -0.262 20 0 EBADMM Cn1[n-]c(CN2CCO[C@@H](CNC(=O)C(=O)C(C)(C)C)C2)nc1=O ZINC001319297058 838101808 /nfs/dbraw/zinc/10/18/08/838101808.db2.gz KPOPFADWQQILEC-JTQLQIEISA-N -1 1 339.396 -0.959 20 0 EBADMM Cc1nc(CNC(=O)CCn2cc[n-]c(=O)c2=O)ccc1[C@H](C)O ZINC001319948934 838198021 /nfs/dbraw/zinc/19/80/21/838198021.db2.gz BLHVRYYBHMINTR-NSHDSACASA-N -1 1 332.360 0.000 20 0 EBADMM CO[C@@H]1CCOc2c(NC(=O)C(=O)NCc3nn[n-]n3)cccc21 ZINC001321035171 838889481 /nfs/dbraw/zinc/88/94/81/838889481.db2.gz RPICISHQMPUGLX-SNVBAGLBSA-N -1 1 332.320 -0.075 20 0 EBADMM C[C@@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)c1ccc2nncn2c1 ZINC001322263244 839164375 /nfs/dbraw/zinc/16/43/75/839164375.db2.gz XHJDRMNKKJOQRK-JTQLQIEISA-N -1 1 344.379 -0.599 20 0 EBADMM Cc1cc(NC(=O)C(=O)NN2CC(=O)[N-]C2=O)n(-c2ccccc2)n1 ZINC001322263839 839165340 /nfs/dbraw/zinc/16/53/40/839165340.db2.gz MZCPBPNIPIKTNG-UHFFFAOYSA-N -1 1 342.315 -0.298 20 0 EBADMM Cc1cn(-c2ccccc2)nc1NC(=O)C(=O)NN1CC(=O)[N-]C1=O ZINC001322982070 839313563 /nfs/dbraw/zinc/31/35/63/839313563.db2.gz VGXMNTKLEOPECZ-UHFFFAOYSA-N -1 1 342.315 -0.298 20 0 EBADMM Cn1nc(CC(C)(C)C)cc1NC(=O)C(=O)NN1CC(=O)[N-]C1=O ZINC001322982490 839314061 /nfs/dbraw/zinc/31/40/61/839314061.db2.gz YCYYPXIDDXCGTC-UHFFFAOYSA-N -1 1 336.352 -0.470 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NC[C@@H](O)C(F)(F)F)ccnc1-2 ZINC001323028248 839326968 /nfs/dbraw/zinc/32/69/68/839326968.db2.gz YTXHUJMUIIYWTR-MRVPVSSYSA-N -1 1 331.254 -0.680 20 0 EBADMM COCCOc1ccc(NC(=O)C(=O)NCc2nn[n-]n2)cc1F ZINC001323050832 839335465 /nfs/dbraw/zinc/33/54/65/839335465.db2.gz DIVOEJAHBYEQNK-UHFFFAOYSA-N -1 1 338.299 -0.381 20 0 EBADMM C[C@@H](CCNC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)[S@@](C)=O ZINC001323224162 839380456 /nfs/dbraw/zinc/38/04/56/839380456.db2.gz AQBQAHIMJJXGMP-YGWIHHTISA-N -1 1 337.405 -0.446 20 0 EBADMM O=C(Nc1cccc(N2CCCC2=O)c1)C(=O)NN1CC(=O)[N-]C1=O ZINC001323272377 839399480 /nfs/dbraw/zinc/39/94/80/839399480.db2.gz BLECJOPCQNZLNG-UHFFFAOYSA-N -1 1 345.315 -0.665 20 0 EBADMM Cn1[n-]cc2c(=NC(=O)C(=O)NCCCn3cccn3)ccnc1-2 ZINC001323637819 839491184 /nfs/dbraw/zinc/49/11/84/839491184.db2.gz XGWPKNLZWUDHOU-UHFFFAOYSA-N -1 1 327.348 -0.317 20 0 EBADMM C[C@H](NC(=O)C(=O)N=c1ccnc2n(C)[n-]cc1-2)[C@@H]1CN(C)CCO1 ZINC001323676344 839498260 /nfs/dbraw/zinc/49/82/60/839498260.db2.gz XNVRCIHOYXQXER-GWCFXTLKSA-N -1 1 346.391 -0.884 20 0 EBADMM CCOCCC(=O)N1CC[C@@]2(CC[N@H+](Cc3nc(=O)n(C)[nH]3)C2)C1 ZINC001323846154 839541835 /nfs/dbraw/zinc/54/18/35/839541835.db2.gz SMVBILULJZTNLZ-MRXNPFEDSA-N -1 1 337.424 -0.041 20 0 EBADMM Cc1cnc(Br)c(NC(=O)C(=O)NCc2nn[n-]n2)c1 ZINC001324010643 839578872 /nfs/dbraw/zinc/57/88/72/839578872.db2.gz NOAOTGUCIKUUAV-UHFFFAOYSA-N -1 1 340.141 -0.079 20 0 EBADMM COc1cc(NC(=O)C(=O)NN2CC(=O)[N-]C2=O)cc(OC)c1C ZINC001324289481 839624804 /nfs/dbraw/zinc/62/48/04/839624804.db2.gz ZYNWETMLHXJTLC-UHFFFAOYSA-N -1 1 336.304 -0.466 20 0 EBADMM CCCCOCC(=O)NC[C@H]1COCCN1Cc1nc(=O)n(C)[n-]1 ZINC001326618279 840152914 /nfs/dbraw/zinc/15/29/14/840152914.db2.gz VYXDOBHGWCFHIB-LBPRGKRZSA-N -1 1 341.412 -0.758 20 0 EBADMM Cc1cc(C(=O)[O-])ccc1N1C(=O)C[C@@H](NCCn2ncnn2)C1=O ZINC001328873833 840774901 /nfs/dbraw/zinc/77/49/01/840774901.db2.gz XVMKYIBAODAEMT-LLVKDONJSA-N -1 1 344.331 -0.399 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N1CC[C@H]2CC[C@@H](C1)[S@]2=O ZINC001329102093 840841906 /nfs/dbraw/zinc/84/19/06/840841906.db2.gz BKEVFYZACCFRLK-AUWXTCHYSA-N -1 1 325.390 -0.561 20 0 EBADMM C[C@H](CNC(=O)c1ccnc2[nH]cnc21)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001329451942 840924010 /nfs/dbraw/zinc/92/40/10/840924010.db2.gz XHJXGCGNAILLRH-SECBINFHSA-N -1 1 344.379 -0.370 20 0 EBADMM Cc1nc(CN(C)[C@H](C)CNC(=O)c2c[n-]n3c2nccc3=O)n[nH]1 ZINC001329572692 840955451 /nfs/dbraw/zinc/95/54/51/840955451.db2.gz KXNGSUFJIAYDID-SECBINFHSA-N -1 1 344.379 -0.301 20 0 EBADMM CSc1nc(=O)[n-]c(C)c1C(=O)N(CCO)CCOCCO ZINC001330090690 841113501 /nfs/dbraw/zinc/11/35/01/841113501.db2.gz CMUGJPNFJANDPG-UHFFFAOYSA-N -1 1 331.394 -0.344 20 0 EBADMM Cc1c(C(=O)N(C)C[C@H](C)c2nn[n-]n2)nnn1-c1ccn(C)n1 ZINC001330408145 841191238 /nfs/dbraw/zinc/19/12/38/841191238.db2.gz GUQDHBMCCDIDHJ-QMMMGPOBSA-N -1 1 330.356 -0.302 20 0 EBADMM CN(CCO)C(=O)C(=O)N1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC001332007595 841548283 /nfs/dbraw/zinc/54/82/83/841548283.db2.gz WDBSEKWJJGQSCM-NSHDSACASA-N -1 1 325.287 -0.893 20 0 EBADMM COCCCOCC(=O)N1C[C@@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001332437491 841641375 /nfs/dbraw/zinc/64/13/75/841641375.db2.gz MYMFWDUPHBLRLU-LLVKDONJSA-N -1 1 341.412 -0.110 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H](O)CN(C)C(=O)[C@@]1(C)C=CCC1 ZINC001332648072 841704024 /nfs/dbraw/zinc/70/40/24/841704024.db2.gz NHRHCMSLUPJHJW-WBMJQRKESA-N -1 1 337.424 -0.284 20 0 EBADMM CO[N-]C(=O)CNC(=O)C(=O)N[C@H]1C[C@@H](C)N(Cc2ccccc2)C1 ZINC001333446454 841881835 /nfs/dbraw/zinc/88/18/35/841881835.db2.gz KFFCZJFKFSQWMO-OCCSQVGLSA-N -1 1 348.403 -0.441 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1CC(NC(=O)CC2CC2)C1 ZINC001333622283 841917965 /nfs/dbraw/zinc/91/79/65/841917965.db2.gz QFPQCTQMQQFAEJ-UHFFFAOYSA-N -1 1 348.403 -0.262 20 0 EBADMM Cc1nonc1C(=O)NCCOCCN(C)C(=O)c1ncccc1[O-] ZINC001336618517 842513154 /nfs/dbraw/zinc/51/31/54/842513154.db2.gz GNOLZYQKSMCPQR-UHFFFAOYSA-N -1 1 349.347 -0.003 20 0 EBADMM C[C@H]1CN(C(=O)c2ncccc2[O-])CC[C@H]1CNC(=O)CC(N)=O ZINC001340861360 843039482 /nfs/dbraw/zinc/03/94/82/843039482.db2.gz WUPQGWMRQSLZMJ-QWRGUYRKSA-N -1 1 334.376 -0.123 20 0 EBADMM O=C([O-])[C@]1(C(=O)NC[C@H]2CC[C@H](C(F)(F)F)O2)CNCCO1 ZINC001340929653 843045403 /nfs/dbraw/zinc/04/54/03/843045403.db2.gz CJNKYJHGFXEFLE-SOCHQFKDSA-N -1 1 326.271 -0.344 20 0 EBADMM O=C([O-])[C@@]1(C(=O)N2CCCN(c3nccs3)CC2)CNCCO1 ZINC001341672290 843105069 /nfs/dbraw/zinc/10/50/69/843105069.db2.gz CPAQQGWMOPNPPE-AWEZNQCLSA-N -1 1 340.405 -0.375 20 0 EBADMM COC(=O)c1scc(C)c1NC(=O)C(=O)NN1CC(=O)[N-]C1=O ZINC001346545349 843529700 /nfs/dbraw/zinc/52/97/00/843529700.db2.gz QLPFJZORZLRQSU-UHFFFAOYSA-N -1 1 340.317 -0.635 20 0 EBADMM Cc1ccoc1C(=O)NCCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001348463381 843700173 /nfs/dbraw/zinc/70/01/73/843700173.db2.gz PZXWZJZASKJWFQ-UHFFFAOYSA-N -1 1 330.304 -0.521 20 0 EBADMM CCCSCC(=O)NCCNC(=O)c1cc(=O)n2[n-]cnc2n1 ZINC001348555963 843708554 /nfs/dbraw/zinc/70/85/54/843708554.db2.gz DSYXAXVRRJNQRH-UHFFFAOYSA-N -1 1 338.393 -0.593 20 0 EBADMM O=C(NCCCNC(=O)C1CC(=O)NC(=O)C1)c1ncccc1[O-] ZINC001349940679 843951524 /nfs/dbraw/zinc/95/15/24/843951524.db2.gz SHZNJVNAHVLVRF-UHFFFAOYSA-N -1 1 334.332 -0.924 20 0 EBADMM O=C(NCCCNC(=O)c1ncccc1[O-])C(=O)NCC(F)(F)F ZINC001349941032 843951664 /nfs/dbraw/zinc/95/16/64/843951664.db2.gz XMFXTWYNEDUUNS-UHFFFAOYSA-N -1 1 348.281 -0.298 20 0 EBADMM CN(Cc1ccc(C(=O)[O-])cc1)C(=O)NCC(=O)N1CCNCC1 ZINC000324563679 971133414 /nfs/dbraw/zinc/13/34/14/971133414.db2.gz ZIQCLTGSLIQAGG-UHFFFAOYSA-N -1 1 334.376 -0.042 20 0 EBADMM CC(=O)N1CCN(C(=O)c2[nH]c(=O)c(-c3nn[n-]n3)cc2C)[C@@H](C)C1 ZINC001573448198 945805172 /nfs/dbraw/zinc/80/51/72/945805172.db2.gz GXAWQLPMDBYMEG-VIFPVBQESA-N -1 1 345.363 -0.031 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)C[C@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001573469235 946003482 /nfs/dbraw/zinc/00/34/82/946003482.db2.gz TZOJHZDRMBEIGR-DTWKUNHWSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)C[C@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001573469235 946003497 /nfs/dbraw/zinc/00/34/97/946003497.db2.gz TZOJHZDRMBEIGR-DTWKUNHWSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)CCN(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001573469225 946003925 /nfs/dbraw/zinc/00/39/25/946003925.db2.gz SZCRDXXMGPJSAR-VIFPVBQESA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N(C)CCN(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001573469225 946003935 /nfs/dbraw/zinc/00/39/35/946003935.db2.gz SZCRDXXMGPJSAR-VIFPVBQESA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)[C@H](C)CNc1cnc(-c2nnn[n-]2)cn1 ZINC001573469395 946006556 /nfs/dbraw/zinc/00/65/56/946006556.db2.gz UZZKOVAZPNJXSI-RKDXNWHRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N(C)[C@H](C)CNc1cnc(-c2nn[n-]n2)cn1 ZINC001573469395 946006566 /nfs/dbraw/zinc/00/65/66/946006566.db2.gz UZZKOVAZPNJXSI-RKDXNWHRSA-N -1 1 347.383 -0.560 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@H](C)N(C)c1nccnc1-c1nnn[n-]1 ZINC001573475982 946110056 /nfs/dbraw/zinc/11/00/56/946110056.db2.gz BUMPKZFMDRZUSE-DTWKUNHWSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@H](C)N(C)c1nccnc1-c1nn[n-]n1 ZINC001573475982 946110061 /nfs/dbraw/zinc/11/00/61/946110061.db2.gz BUMPKZFMDRZUSE-DTWKUNHWSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@H](C)C(=O)N[C@H](C)CN(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001573477508 946139506 /nfs/dbraw/zinc/13/95/06/946139506.db2.gz RKCSTNAPRFYSBR-RKDXNWHRSA-N -1 1 347.383 -0.878 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NCC[C@H](C)Nc1nccnc1-c1nnn[n-]1 ZINC001573479605 946165444 /nfs/dbraw/zinc/16/54/44/946165444.db2.gz FXIKXROLGWWRIX-DTWKUNHWSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NCC[C@H](C)Nc1nccnc1-c1nn[n-]n1 ZINC001573479605 946165448 /nfs/dbraw/zinc/16/54/48/946165448.db2.gz FXIKXROLGWWRIX-DTWKUNHWSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NCC[C@@H](C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001573482021 946189985 /nfs/dbraw/zinc/18/99/85/946189985.db2.gz SYNUFKDCWGNZMG-BDAKNGLRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)NCC[C@@H](C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001573482021 946189992 /nfs/dbraw/zinc/18/99/92/946189992.db2.gz SYNUFKDCWGNZMG-BDAKNGLRSA-N -1 1 347.383 -0.512 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@H](Nc2nccnc2-c2nnn[n-]2)[C@H]1C ZINC001573507231 946444829 /nfs/dbraw/zinc/44/48/29/946444829.db2.gz VXVBEEIKOGPUOB-SCZZXKLOSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N1CC[C@H](Nc2nccnc2-c2nn[n-]n2)[C@H]1C ZINC001573507231 946444838 /nfs/dbraw/zinc/44/48/38/946444838.db2.gz VXVBEEIKOGPUOB-SCZZXKLOSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)NCC(=O)N1CCC[C@@H](Nc2nccnc2-c2nnn[n-]2)C1 ZINC001573507249 946446103 /nfs/dbraw/zinc/44/61/03/946446103.db2.gz WBVUCQIQEPBFEW-SNVBAGLBSA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)NCC(=O)N1CCC[C@@H](Nc2nccnc2-c2nn[n-]n2)C1 ZINC001573507249 946446111 /nfs/dbraw/zinc/44/61/11/946446111.db2.gz WBVUCQIQEPBFEW-SNVBAGLBSA-N -1 1 345.367 -0.804 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](CNc1cnc(-c2nnn[n-]2)cn1)C1CC1 ZINC001573510721 946480166 /nfs/dbraw/zinc/48/01/66/946480166.db2.gz KCPDHROSZFEMIM-JTQLQIEISA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)N[C@@H](CNc1cnc(-c2nn[n-]n2)cn1)C1CC1 ZINC001573510721 946480170 /nfs/dbraw/zinc/48/01/70/946480170.db2.gz KCPDHROSZFEMIM-JTQLQIEISA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)NC1CC(CNc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001573513376 946511048 /nfs/dbraw/zinc/51/10/48/946511048.db2.gz MYXOGEGGBUNWFA-UHFFFAOYSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)NC1CC(CNc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001573513376 946511053 /nfs/dbraw/zinc/51/10/53/946511053.db2.gz MYXOGEGGBUNWFA-UHFFFAOYSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)NCC1CC(Nc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001573517005 946565405 /nfs/dbraw/zinc/56/54/05/946565405.db2.gz CGSMGNRJVBJVRD-UHFFFAOYSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)NCC1CC(Nc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001573517005 946565412 /nfs/dbraw/zinc/56/54/12/946565412.db2.gz CGSMGNRJVBJVRD-UHFFFAOYSA-N -1 1 345.367 -0.901 20 0 EBADMM CC(=O)NCC(=O)NC[C@@H](Nc1cnc(-c2nnn[n-]2)cn1)C(C)C ZINC001573518041 946583857 /nfs/dbraw/zinc/58/38/57/946583857.db2.gz WNIOTGCPTVYXFP-SNVBAGLBSA-N -1 1 347.383 -0.655 20 0 EBADMM CC(=O)NCC(=O)NC[C@@H](Nc1cnc(-c2nn[n-]n2)cn1)C(C)C ZINC001573518041 946583866 /nfs/dbraw/zinc/58/38/66/946583866.db2.gz WNIOTGCPTVYXFP-SNVBAGLBSA-N -1 1 347.383 -0.655 20 0 EBADMM CC(=O)N[C@@H]1CN(c2nc(-c3nn[n-]n3)c(Cl)s2)C[C@@H]1O ZINC001573538239 946766531 /nfs/dbraw/zinc/76/65/31/946766531.db2.gz QQDBXODSASQWAJ-RITPCOANSA-N -1 1 329.773 -0.338 20 0 EBADMM CC(=O)c1cn(C2CN(C(=O)c3cccc(-c4nnn[n-]4)n3)C2)nn1 ZINC001573558847 946942698 /nfs/dbraw/zinc/94/26/98/946942698.db2.gz QNPAOPCHPUHLGL-UHFFFAOYSA-N -1 1 339.319 -0.247 20 0 EBADMM CC(=O)c1cn(C2CN(C(=O)c3cccc(-c4nn[n-]n4)n3)C2)nn1 ZINC001573558847 946942708 /nfs/dbraw/zinc/94/27/08/946942708.db2.gz QNPAOPCHPUHLGL-UHFFFAOYSA-N -1 1 339.319 -0.247 20 0 EBADMM C[C@@H](C(=O)N(C)CCNc1ccnc(-c2nn[n-]n2)n1)[C@@H]1CCCO1 ZINC001573604548 947513158 /nfs/dbraw/zinc/51/31/58/947513158.db2.gz OSDKLRFHCBTLRE-MNOVXSKESA-N -1 1 346.395 -0.236 20 0 EBADMM CC(=O)CCCC(=O)N[C@@H](C)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001573382342 947883106 /nfs/dbraw/zinc/88/31/06/947883106.db2.gz FOAXUCHILYPJEY-VIFPVBQESA-N -1 1 332.368 -0.246 20 0 EBADMM C[C@H](C(=O)N1CCc2ncncc2C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573647216 947887069 /nfs/dbraw/zinc/88/70/69/947887069.db2.gz FAFLPIBJDAKLSZ-MRVPVSSYSA-N -1 1 326.324 -0.606 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)CCNc1nccnc1-c1nnn[n-]1 ZINC001573397594 947973987 /nfs/dbraw/zinc/97/39/87/947973987.db2.gz CLKLQCYDHYNWLL-SECBINFHSA-N -1 1 347.383 -0.558 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)CCNc1nccnc1-c1nn[n-]n1 ZINC001573397594 947973996 /nfs/dbraw/zinc/97/39/96/947973996.db2.gz CLKLQCYDHYNWLL-SECBINFHSA-N -1 1 347.383 -0.558 20 0 EBADMM C[C@@H](C(=O)N[C@@H](CO)c1cccnc1)n1cnc(-c2nn[n-]n2)n1 ZINC001573657024 947996765 /nfs/dbraw/zinc/99/67/65/947996765.db2.gz JSZPNLVNZIMSCG-WPRPVWTQSA-N -1 1 329.324 -0.736 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@@H](C)N(C)c1cnc(-c2nnn[n-]2)cn1 ZINC001573401840 947999832 /nfs/dbraw/zinc/99/98/32/947999832.db2.gz DMVLYTXYHYKHAU-SECBINFHSA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@@H](C)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001573401840 947999842 /nfs/dbraw/zinc/99/98/42/947999842.db2.gz DMVLYTXYHYKHAU-SECBINFHSA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@@H](C)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001573402806 948004241 /nfs/dbraw/zinc/00/42/41/948004241.db2.gz IUFFSLXGRMCEOK-SECBINFHSA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@@H](C)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001573402806 948004246 /nfs/dbraw/zinc/00/42/46/948004246.db2.gz IUFFSLXGRMCEOK-SECBINFHSA-N -1 1 347.383 -0.924 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001573403033 948006374 /nfs/dbraw/zinc/00/63/74/948006374.db2.gz KPFGCHQOPPRWLU-QMMMGPOBSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N(C)CC(=O)NC[C@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001573403033 948006383 /nfs/dbraw/zinc/00/63/83/948006383.db2.gz KPFGCHQOPPRWLU-QMMMGPOBSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N(C)CC(=O)NCC[C@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001573404946 948036342 /nfs/dbraw/zinc/03/63/42/948036342.db2.gz LTEGFIBCHYECPK-VIFPVBQESA-N -1 1 347.383 -0.558 20 0 EBADMM CC(=O)N(C)CC(=O)NCC[C@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001573404946 948036348 /nfs/dbraw/zinc/03/63/48/948036348.db2.gz LTEGFIBCHYECPK-VIFPVBQESA-N -1 1 347.383 -0.558 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)CNc1cncc(-c2nnn[n-]2)n1 ZINC001573406817 948058195 /nfs/dbraw/zinc/05/81/95/948058195.db2.gz VSAGALVSDHLCCI-MRVPVSSYSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)CNc1cncc(-c2nn[n-]n2)n1 ZINC001573406817 948058205 /nfs/dbraw/zinc/05/82/05/948058205.db2.gz VSAGALVSDHLCCI-MRVPVSSYSA-N -1 1 333.356 -0.948 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H]1C[C@@H](Nc2cnc(-c3nnn[n-]3)cn2)C1 ZINC001573408494 948067906 /nfs/dbraw/zinc/06/79/06/948067906.db2.gz ICHOERXGPATSCB-AOOOYVTPSA-N -1 1 345.367 -0.806 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H]1C[C@@H](Nc2cnc(-c3nn[n-]n3)cn2)C1 ZINC001573408494 948067913 /nfs/dbraw/zinc/06/79/13/948067913.db2.gz ICHOERXGPATSCB-AOOOYVTPSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@H](C(=O)N[C@H]1CCC2(C1)OCCO2)n1cnc(-c2nn[n-]n2)n1 ZINC001573667472 948098836 /nfs/dbraw/zinc/09/88/36/948098836.db2.gz ZXQLGLNUBMIXHG-IUCAKERBSA-N -1 1 334.340 -0.569 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@@H](C)CNc2ccc(-c3nnn[n-]3)nn2)C1 ZINC001573423880 948145083 /nfs/dbraw/zinc/14/50/83/948145083.db2.gz QTCIZDDYHCKOAH-QMMMGPOBSA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)N1CC(C(=O)N[C@@H](C)CNc2ccc(-c3nn[n-]n3)nn2)C1 ZINC001573423880 948145088 /nfs/dbraw/zinc/14/50/88/948145088.db2.gz QTCIZDDYHCKOAH-QMMMGPOBSA-N -1 1 345.367 -0.948 20 0 EBADMM CC(=O)N1C[C@H](C)O[C@]2(CCN(c3cnc(-c4nnn[n-]4)cn3)C2)C1 ZINC001573427263 948163682 /nfs/dbraw/zinc/16/36/82/948163682.db2.gz WNJKKPZSXXEBKE-BONVTDFDSA-N -1 1 344.379 -0.127 20 0 EBADMM CC(=O)N1C[C@H](C)O[C@]2(CCN(c3cnc(-c4nn[n-]n4)cn3)C2)C1 ZINC001573427263 948163687 /nfs/dbraw/zinc/16/36/87/948163687.db2.gz WNJKKPZSXXEBKE-BONVTDFDSA-N -1 1 344.379 -0.127 20 0 EBADMM CC(=O)N1CC[C@@]2(C1)CN(c1cnc(-c3nnn[n-]3)cn1)CCO2 ZINC001573439793 948252385 /nfs/dbraw/zinc/25/23/85/948252385.db2.gz SHDQDFGKLQWBCV-CQSZACIVSA-N -1 1 330.352 -0.516 20 0 EBADMM CC(=O)N1CC[C@@]2(C1)CN(c1cnc(-c3nn[n-]n3)cn1)CCO2 ZINC001573439793 948252388 /nfs/dbraw/zinc/25/23/88/948252388.db2.gz SHDQDFGKLQWBCV-CQSZACIVSA-N -1 1 330.352 -0.516 20 0 EBADMM C[C@H](C(=O)NC[C@H]1CC(=O)N(C2CC2)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573693715 948285770 /nfs/dbraw/zinc/28/57/70/948285770.db2.gz AWPBSWCDLYFVOR-RKDXNWHRSA-N -1 1 345.367 -0.854 20 0 EBADMM C[C@@H](C(=O)NC[C@H]1CCN(C)C(=O)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001573708547 948453140 /nfs/dbraw/zinc/45/31/40/948453140.db2.gz VLKONZDLPWHENF-IUCAKERBSA-N -1 1 333.356 -0.996 20 0 EBADMM C[C@H](C(=O)NCc1ccc(CCO)cc1)n1cnc(-c2nn[n-]n2)n1 ZINC001573730073 948575177 /nfs/dbraw/zinc/57/51/77/948575177.db2.gz LUFTUODHUNRTNA-SNVBAGLBSA-N -1 1 342.363 -0.130 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CN(c2ccc(-c3nnn[n-]3)nn2)C[C@H]1O)C1CC1 ZINC001573740083 948627331 /nfs/dbraw/zinc/62/73/31/948627331.db2.gz DGSOAIRMFAIOEC-XXILOJSOSA-N -1 1 344.379 -0.632 20 0 EBADMM C[C@H](C(=O)N[C@@H]1CN(c2ccc(-c3nn[n-]n3)nn2)C[C@H]1O)C1CC1 ZINC001573740083 948627336 /nfs/dbraw/zinc/62/73/36/948627336.db2.gz DGSOAIRMFAIOEC-XXILOJSOSA-N -1 1 344.379 -0.632 20 0 EBADMM C[C@H](CNc1cncc(-c2nnn[n-]2)n1)NC(=O)[C@H](C)n1cncn1 ZINC001573741456 948654537 /nfs/dbraw/zinc/65/45/37/948654537.db2.gz AOPQAIFZDIFCKU-BDAKNGLRSA-N -1 1 343.355 -0.574 20 0 EBADMM C[C@H](CNc1cncc(-c2nn[n-]n2)n1)NC(=O)[C@H](C)n1cncn1 ZINC001573741456 948654539 /nfs/dbraw/zinc/65/45/39/948654539.db2.gz AOPQAIFZDIFCKU-BDAKNGLRSA-N -1 1 343.355 -0.574 20 0 EBADMM O=C(N[C@H]1CCN(c2cnc(-c3nnn[n-]3)cn2)C1)c1cnon1 ZINC001570926539 948692218 /nfs/dbraw/zinc/69/22/18/948692218.db2.gz CVUKOTUGMBETHH-ZETCQYMHSA-N -1 1 328.296 -0.952 20 0 EBADMM O=C(N[C@H]1CCN(c2cnc(-c3nn[n-]n3)cn2)C1)c1cnon1 ZINC001570926539 948692223 /nfs/dbraw/zinc/69/22/23/948692223.db2.gz CVUKOTUGMBETHH-ZETCQYMHSA-N -1 1 328.296 -0.952 20 0 EBADMM O=C(c1[nH]c2ccccc2c1-c1nn[n-]n1)N1C[C@@H](O)[C@H](CO)C1 ZINC001570927002 948701963 /nfs/dbraw/zinc/70/19/63/948701963.db2.gz MPYDEYDMMIMQKX-GZMMTYOYSA-N -1 1 328.332 -0.227 20 0 EBADMM C[C@H](C(=O)N1Cc2c[nH]nc2[C@@H](C)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001570927599 948714851 /nfs/dbraw/zinc/71/48/51/948714851.db2.gz UKPQMQZVVJPVKK-JGVFFNPUSA-N -1 1 328.340 -0.112 20 0 EBADMM O=C(N[C@H]1C[C@H](Nc2ccnc(-c3nn[n-]n3)n2)C1)c1cocn1 ZINC001570927790 948719739 /nfs/dbraw/zinc/71/97/39/948719739.db2.gz RLGWCNZXSTWAHN-ZKCHVHJHSA-N -1 1 327.308 -0.561 20 0 EBADMM C[C@H](C(=O)N[C@@H](C)Cc1cnn(C)c1)n1cnc(-c2nn[n-]n2)n1 ZINC001570928662 948737480 /nfs/dbraw/zinc/73/74/80/948737480.db2.gz UDVQSBQMGMFFFI-DTWKUNHWSA-N -1 1 330.356 -0.500 20 0 EBADMM CCOC(=O)c1cnn(CC(=O)Nc2ncc[nH]2)c1-c1nn[n-]n1 ZINC001570928773 948741748 /nfs/dbraw/zinc/74/17/48/948741748.db2.gz BCBVINQHFXGKTC-UHFFFAOYSA-N -1 1 331.296 -0.398 20 0 EBADMM C[C@H](CCNc1cnc(-c2nnn[n-]2)cn1)NC(=O)[C@H]1CCC(=O)N1 ZINC001574678643 948757418 /nfs/dbraw/zinc/75/74/18/948757418.db2.gz HVIJSNOSSNCXAG-RKDXNWHRSA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@H](CCNc1cnc(-c2nn[n-]n2)cn1)NC(=O)[C@H]1CCC(=O)N1 ZINC001574678643 948757426 /nfs/dbraw/zinc/75/74/26/948757426.db2.gz HVIJSNOSSNCXAG-RKDXNWHRSA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@@H](C(=O)Nc1nc2n(n1)CCCC2)n1cnc(-c2nn[n-]n2)n1 ZINC001573755250 948757775 /nfs/dbraw/zinc/75/77/75/948757775.db2.gz NGTBLRICMQLUIL-ZETCQYMHSA-N -1 1 329.328 -0.414 20 0 EBADMM COC(=O)[C@]1(CNC(=O)c2ccc(-c3nnn[n-]3)nc2)CCOC1 ZINC001570929405 948768006 /nfs/dbraw/zinc/76/80/06/948768006.db2.gz SFXMJSPELWMKJK-AWEZNQCLSA-N -1 1 332.320 -0.429 20 0 EBADMM COC(=O)[C@]1(CNC(=O)c2ccc(-c3nn[n-]n3)nc2)CCOC1 ZINC001570929405 948768016 /nfs/dbraw/zinc/76/80/16/948768016.db2.gz SFXMJSPELWMKJK-AWEZNQCLSA-N -1 1 332.320 -0.429 20 0 EBADMM CC(C)[C@H](O)C1(CNc2[nH]c(=O)n(C)c(=O)c2-c2nn[n-]n2)CC1 ZINC001574079561 948769935 /nfs/dbraw/zinc/76/99/35/948769935.db2.gz KDZSELUWFWMKSU-VIFPVBQESA-N -1 1 335.368 -0.125 20 0 EBADMM COc1ccc(F)c(CNC(=O)Cn2cnc(-c3nn[n-]n3)n2)c1 ZINC001570929682 948779794 /nfs/dbraw/zinc/77/97/94/948779794.db2.gz YSNYSBVYJLAUTA-UHFFFAOYSA-N -1 1 332.299 -0.078 20 0 EBADMM C[C@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)[C@H]1CCOC1 ZINC001574679461 948781639 /nfs/dbraw/zinc/78/16/39/948781639.db2.gz VSPJAARNOMCXJN-ZJUUUORDSA-N -1 1 332.368 0.000 20 0 EBADMM C[C@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)[C@H]1CCOC1 ZINC001574679461 948781654 /nfs/dbraw/zinc/78/16/54/948781654.db2.gz VSPJAARNOMCXJN-ZJUUUORDSA-N -1 1 332.368 0.000 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nnn[n-]2)n1)NC(=O)[C@H]1C[C@H]1C(N)=O ZINC001574681375 948817272 /nfs/dbraw/zinc/81/72/72/948817272.db2.gz MHVTURZRDQPTON-YIZRAAEISA-N -1 1 345.367 -0.915 20 0 EBADMM C[C@@H](CCNc1cncc(-c2nn[n-]n2)n1)NC(=O)[C@H]1C[C@H]1C(N)=O ZINC001574681375 948817286 /nfs/dbraw/zinc/81/72/86/948817286.db2.gz MHVTURZRDQPTON-YIZRAAEISA-N -1 1 345.367 -0.915 20 0 EBADMM COC(=O)[C@]1(O)CCN(C(=O)Nc2cccc(-c3nnn[n-]3)n2)C1 ZINC001570931499 948843507 /nfs/dbraw/zinc/84/35/07/948843507.db2.gz YUFNZEBFLXKNJZ-ZDUSSCGKSA-N -1 1 333.308 -0.597 20 0 EBADMM COC(=O)[C@]1(O)CCN(C(=O)Nc2cccc(-c3nn[n-]n3)n2)C1 ZINC001570931499 948843517 /nfs/dbraw/zinc/84/35/17/948843517.db2.gz YUFNZEBFLXKNJZ-ZDUSSCGKSA-N -1 1 333.308 -0.597 20 0 EBADMM CC(C)[C@H](O)CCNS(=O)(=O)c1cccnc1-c1nn[n-]n1 ZINC001574082627 948861213 /nfs/dbraw/zinc/86/12/13/948861213.db2.gz GCDFPMLUMXJAJT-SECBINFHSA-N -1 1 326.382 -0.053 20 0 EBADMM COCC(=O)NCCC(=O)Nc1cnc(OC)c(-c2nn[n-]n2)c1 ZINC001570933522 948900731 /nfs/dbraw/zinc/90/07/31/948900731.db2.gz PIMLSTTVTHAAIR-UHFFFAOYSA-N -1 1 335.324 -0.639 20 0 EBADMM O=C(N[C@H]1C[C@@H](Nc2cnc(-c3nnn[n-]3)cn2)C1)c1ncccn1 ZINC001570934367 948934195 /nfs/dbraw/zinc/93/41/95/948934195.db2.gz DEZQWXWTOOYAND-DTORHVGOSA-N -1 1 338.335 -0.180 20 0 EBADMM O=C(N[C@H]1C[C@@H](Nc2cnc(-c3nn[n-]n3)cn2)C1)c1ncccn1 ZINC001570934367 948934207 /nfs/dbraw/zinc/93/42/07/948934207.db2.gz DEZQWXWTOOYAND-DTORHVGOSA-N -1 1 338.335 -0.180 20 0 EBADMM CO[C@@H]1COCC[C@@H]1CNC(=O)[C@@H](C)n1cnc(-c2nn[n-]n2)n1 ZINC001570935070 948966871 /nfs/dbraw/zinc/96/68/71/948966871.db2.gz OYIOOKARBUXUSX-OPRDCNLKSA-N -1 1 336.356 -0.813 20 0 EBADMM CS[C@H](C)CNC(=O)CCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570936762 949052953 /nfs/dbraw/zinc/05/29/53/949052953.db2.gz NXKQHRXWFXHVDD-SSDOTTSWSA-N -1 1 339.381 -0.613 20 0 EBADMM C[C@H]1CN(C(=O)c2ccc[nH]2)C[C@@H]1Nc1ccnc(-c2nn[n-]n2)n1 ZINC001570936984 949065462 /nfs/dbraw/zinc/06/54/62/949065462.db2.gz VTCRYAFJQFRLJK-ONGXEEELSA-N -1 1 339.363 -0.021 20 0 EBADMM CCNS(=O)(=O)[C@@H]1CCN(C(=O)c2coc(-c3nnn[n-]3)c2)C1 ZINC001570938696 949113130 /nfs/dbraw/zinc/11/31/30/949113130.db2.gz XLVKMNXPJSHYFF-SECBINFHSA-N -1 1 340.365 -0.387 20 0 EBADMM CCNS(=O)(=O)[C@@H]1CCN(C(=O)c2coc(-c3nn[n-]n3)c2)C1 ZINC001570938696 949113137 /nfs/dbraw/zinc/11/31/37/949113137.db2.gz XLVKMNXPJSHYFF-SECBINFHSA-N -1 1 340.365 -0.387 20 0 EBADMM CO[C@]1(CNC(=O)c2ccc(-c3nnn[n-]3)o2)CCS(=O)(=O)C1 ZINC001570939039 949122116 /nfs/dbraw/zinc/12/21/16/949122116.db2.gz AEKQRGBVBLSUEM-LBPRGKRZSA-N -1 1 341.349 -0.607 20 0 EBADMM CO[C@]1(CNC(=O)c2ccc(-c3nn[n-]n3)o2)CCS(=O)(=O)C1 ZINC001570939039 949122124 /nfs/dbraw/zinc/12/21/24/949122124.db2.gz AEKQRGBVBLSUEM-LBPRGKRZSA-N -1 1 341.349 -0.607 20 0 EBADMM Cc1ncc(NC(=O)[C@@H](C)n2cnc(-c3nn[n-]n3)n2)cc1C(N)=O ZINC001570939356 949138367 /nfs/dbraw/zinc/13/83/67/949138367.db2.gz NRNOJHTXRXEHJG-SSDOTTSWSA-N -1 1 342.323 -0.540 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(c3nccnc3-c3nnn[n-]3)C2)no1 ZINC001570939494 949138481 /nfs/dbraw/zinc/13/84/81/949138481.db2.gz DRDKEXUMPVFAAH-SECBINFHSA-N -1 1 341.335 -0.038 20 0 EBADMM Cc1cc(C(=O)N[C@@H]2CCN(c3nccnc3-c3nn[n-]n3)C2)no1 ZINC001570939494 949138495 /nfs/dbraw/zinc/13/84/95/949138495.db2.gz DRDKEXUMPVFAAH-SECBINFHSA-N -1 1 341.335 -0.038 20 0 EBADMM CC(C)CC(=O)N(C)C[C@@H](O)CN(C)c1nccnc1-c1nnn[n-]1 ZINC001574099937 949191666 /nfs/dbraw/zinc/19/16/66/949191666.db2.gz RMBNGKWNELPIMZ-LLVKDONJSA-N -1 1 348.411 -0.042 20 0 EBADMM CC(C)CC(=O)N(C)C[C@@H](O)CN(C)c1nccnc1-c1nn[n-]n1 ZINC001574099937 949191675 /nfs/dbraw/zinc/19/16/75/949191675.db2.gz RMBNGKWNELPIMZ-LLVKDONJSA-N -1 1 348.411 -0.042 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H](C)CC(N)=O)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574698420 949191709 /nfs/dbraw/zinc/19/17/09/949191709.db2.gz KLWNYJWHLHONHI-RKDXNWHRSA-N -1 1 347.383 -0.573 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H](C)CC(N)=O)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574698420 949191719 /nfs/dbraw/zinc/19/17/19/949191719.db2.gz KLWNYJWHLHONHI-RKDXNWHRSA-N -1 1 347.383 -0.573 20 0 EBADMM Cc1conc1C(=O)N1CCN(c2nccnc2-c2nnn[n-]2)CC1 ZINC001570941397 949221619 /nfs/dbraw/zinc/22/16/19/949221619.db2.gz VHNIJXJKUZQYPF-UHFFFAOYSA-N -1 1 341.335 -0.084 20 0 EBADMM Cc1conc1C(=O)N1CCN(c2nccnc2-c2nn[n-]n2)CC1 ZINC001570941397 949221645 /nfs/dbraw/zinc/22/16/45/949221645.db2.gz VHNIJXJKUZQYPF-UHFFFAOYSA-N -1 1 341.335 -0.084 20 0 EBADMM C[C@H](CC(N)=O)C(=O)N(C)C[C@H](C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574699218 949232205 /nfs/dbraw/zinc/23/22/05/949232205.db2.gz UJICPCVKUPCDKJ-BDAKNGLRSA-N -1 1 347.383 -0.573 20 0 EBADMM C[C@H](CC(N)=O)C(=O)N(C)C[C@H](C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574699218 949232227 /nfs/dbraw/zinc/23/22/27/949232227.db2.gz UJICPCVKUPCDKJ-BDAKNGLRSA-N -1 1 347.383 -0.573 20 0 EBADMM O=C([C@H]1CCOC1)N1C[C@@H]2C[C@H]1CN2c1ccc(-c2nnn[n-]2)nn1 ZINC001570941678 949236280 /nfs/dbraw/zinc/23/62/80/949236280.db2.gz YZUMBYITEBEBJZ-DCAQKATOSA-N -1 1 342.363 -0.517 20 0 EBADMM O=C([C@H]1CCOC1)N1C[C@@H]2C[C@H]1CN2c1ccc(-c2nn[n-]n2)nn1 ZINC001570941678 949236309 /nfs/dbraw/zinc/23/63/09/949236309.db2.gz YZUMBYITEBEBJZ-DCAQKATOSA-N -1 1 342.363 -0.517 20 0 EBADMM O=C(CNC(=O)Cc1ccc(-c2nnn[n-]2)nc1)NCc1ccco1 ZINC001570942207 949272294 /nfs/dbraw/zinc/27/22/94/949272294.db2.gz MUPAOHLKJFPLQN-UHFFFAOYSA-N -1 1 341.331 -0.170 20 0 EBADMM O=C(CNC(=O)Cc1ccc(-c2nn[n-]n2)nc1)NCc1ccco1 ZINC001570942207 949272314 /nfs/dbraw/zinc/27/23/14/949272314.db2.gz MUPAOHLKJFPLQN-UHFFFAOYSA-N -1 1 341.331 -0.170 20 0 EBADMM C[C@H](CN(C)C(=O)[C@]1(C)CCOC1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574702116 949277650 /nfs/dbraw/zinc/27/76/50/949277650.db2.gz HBNWWXSGLVAZAF-MEBBXXQBSA-N -1 1 346.395 -0.236 20 0 EBADMM C[C@@H](CNC(=O)Cc1cc[nH]n1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574941249 949300691 /nfs/dbraw/zinc/30/06/91/949300691.db2.gz CDWSRBUGARQELA-QMMMGPOBSA-N -1 1 328.340 -0.461 20 0 EBADMM C[C@@H](CNC(=O)Cc1cc[nH]n1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574941249 949300717 /nfs/dbraw/zinc/30/07/17/949300717.db2.gz CDWSRBUGARQELA-QMMMGPOBSA-N -1 1 328.340 -0.461 20 0 EBADMM C[C@H](CNC(=O)Cc1ccco1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574941521 949312278 /nfs/dbraw/zinc/31/22/78/949312278.db2.gz RKVBNVIBTGGPGQ-SECBINFHSA-N -1 1 328.336 -0.169 20 0 EBADMM Cc1[nH]nc(NC(=O)C2=C(C)N(C)c3nnnn3C2)c1-c1nn[n-]n1 ZINC001575860954 949314488 /nfs/dbraw/zinc/31/44/88/949314488.db2.gz OHXCDAYIHYYNAE-UHFFFAOYSA-N -1 1 342.327 -0.753 20 0 EBADMM C[C@@H](Nc1cncc(-c2nnn[n-]2)n1)[C@H](C)NC(=O)C1=COCCO1 ZINC001575259126 949319128 /nfs/dbraw/zinc/31/91/28/949319128.db2.gz MEZIEEQPFKAGQH-BDAKNGLRSA-N -1 1 346.351 -0.150 20 0 EBADMM C[C@@H](Nc1cncc(-c2nn[n-]n2)n1)[C@H](C)NC(=O)C1=COCCO1 ZINC001575259126 949319155 /nfs/dbraw/zinc/31/91/55/949319155.db2.gz MEZIEEQPFKAGQH-BDAKNGLRSA-N -1 1 346.351 -0.150 20 0 EBADMM CC(C)(C)C(=O)N1CCO[C@H](C(=O)Nc2c[nH]nc2-c2nn[n-]n2)C1 ZINC001573795268 949323408 /nfs/dbraw/zinc/32/34/08/949323408.db2.gz KMAIUFSDPPPCCQ-VIFPVBQESA-N -1 1 348.367 -0.198 20 0 EBADMM C[C@@H](NC(=O)C1=CCOCC1)[C@H](C)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575259506 949326848 /nfs/dbraw/zinc/32/68/48/949326848.db2.gz PIVFPEHFYYLZGK-VHSXEESVSA-N -1 1 344.379 -0.270 20 0 EBADMM C[C@H](NC(=O)C1=CCOCC1)[C@H](C)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575259505 949330244 /nfs/dbraw/zinc/33/02/44/949330244.db2.gz PIVFPEHFYYLZGK-UWVGGRQHSA-N -1 1 344.379 -0.270 20 0 EBADMM C[C@@]12CCC(=O)N1[C@H](C(=O)Nc1c[nH]nc1-c1nn[n-]n1)CS2 ZINC001575862111 949337345 /nfs/dbraw/zinc/33/73/45/949337345.db2.gz XMEHYGLEQDEBAO-JVXZTZIISA-N -1 1 334.365 -0.018 20 0 EBADMM C[C@H](CNC(=O)Cc1ccn(C)n1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574942457 949357588 /nfs/dbraw/zinc/35/75/88/949357588.db2.gz OVLRCZDNOAQAMA-SECBINFHSA-N -1 1 342.367 -0.451 20 0 EBADMM C[C@H](CNC(=O)Cc1ccn(C)n1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574942457 949357606 /nfs/dbraw/zinc/35/76/06/949357606.db2.gz OVLRCZDNOAQAMA-SECBINFHSA-N -1 1 342.367 -0.451 20 0 EBADMM C[C@H](CNC(=O)Cc1ccoc1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574942606 949361399 /nfs/dbraw/zinc/36/13/99/949361399.db2.gz PSCLNMDBUXRAAN-SECBINFHSA-N -1 1 328.336 -0.169 20 0 EBADMM C[C@@H](CNC(=O)Cc1cscn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574944520 949392913 /nfs/dbraw/zinc/39/29/13/949392913.db2.gz DFZCIQNODKTAQC-QMMMGPOBSA-N -1 1 345.392 -0.306 20 0 EBADMM CC(C)(C)C(=O)N[C@@H]1CCN(c2ccnc(-c3nn[n-]n3)n2)C[C@H]1O ZINC001573800602 949400511 /nfs/dbraw/zinc/40/05/11/949400511.db2.gz ZJDFFMUBCMWGQS-NXEZZACHSA-N -1 1 346.395 -0.241 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@@H]1CC1(F)F)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574705738 949435398 /nfs/dbraw/zinc/43/53/98/949435398.db2.gz UNKYRYAXXNUNGF-YUMQZZPRSA-N -1 1 338.322 -0.007 20 0 EBADMM C[C@@H]1C[C@@H]1[C@@H]1C[C@H]1CNC(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570944770 949442323 /nfs/dbraw/zinc/44/23/23/949442323.db2.gz XOMMSTPFOUKIMB-XFWSIPNHSA-N -1 1 345.363 -0.463 20 0 EBADMM C[C@H](CNC(=O)Cn1cccn1)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574948258 949455872 /nfs/dbraw/zinc/45/58/72/949455872.db2.gz HCJNJJLYEJMPDJ-SECBINFHSA-N -1 1 328.340 -0.530 20 0 EBADMM C[C@H](CNC(=O)Cn1cccn1)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574948258 949455893 /nfs/dbraw/zinc/45/58/93/949455893.db2.gz HCJNJJLYEJMPDJ-SECBINFHSA-N -1 1 328.340 -0.530 20 0 EBADMM C[C@H](C(=O)N1C[C@@H]2CCC[C@]2(C(N)=O)C1)n1cnc(-c2nn[n-]n2)n1 ZINC001570945193 949464488 /nfs/dbraw/zinc/46/44/88/949464488.db2.gz PWZRITUWWKIYBD-ITMYJUKJSA-N -1 1 345.367 -0.867 20 0 EBADMM C[C@@H](CNC(=O)Cn1cncn1)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001574948879 949476009 /nfs/dbraw/zinc/47/60/09/949476009.db2.gz NZKNBHBCFFKBBB-SECBINFHSA-N -1 1 343.355 -0.887 20 0 EBADMM C[C@@H](CNC(=O)Cn1cncn1)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001574948879 949476023 /nfs/dbraw/zinc/47/60/23/949476023.db2.gz NZKNBHBCFFKBBB-SECBINFHSA-N -1 1 343.355 -0.887 20 0 EBADMM CC(C)(C)C(=O)N[C@@H]1CN(c2nccnc2-c2nnn[n-]2)C[C@@H]1O ZINC001573805951 949480032 /nfs/dbraw/zinc/48/00/32/949480032.db2.gz FHWOPDDWSOFBOQ-BDAKNGLRSA-N -1 1 332.368 -0.632 20 0 EBADMM CC(C)(C)C(=O)N[C@@H]1CN(c2nccnc2-c2nn[n-]n2)C[C@@H]1O ZINC001573805951 949480044 /nfs/dbraw/zinc/48/00/44/949480044.db2.gz FHWOPDDWSOFBOQ-BDAKNGLRSA-N -1 1 332.368 -0.632 20 0 EBADMM O=C(NC[C@H]1CCCN1c1cncc(-c2nnn[n-]2)n1)[C@@H]1CCOC1 ZINC001570945695 949493579 /nfs/dbraw/zinc/49/35/79/949493579.db2.gz HUSXJODLWHRIRD-GHMZBOCLSA-N -1 1 344.379 -0.222 20 0 EBADMM O=C(NC[C@H]1CCCN1c1cncc(-c2nn[n-]n2)n1)[C@@H]1CCOC1 ZINC001570945695 949493595 /nfs/dbraw/zinc/49/35/95/949493595.db2.gz HUSXJODLWHRIRD-GHMZBOCLSA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1CCCCO1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574709234 949499917 /nfs/dbraw/zinc/49/99/17/949499917.db2.gz YTFQVYBHSDBYPH-GHMZBOCLSA-N -1 1 346.395 -0.094 20 0 EBADMM O=C(N[C@H]1C[C@@H](Nc2cncc(-c3nnn[n-]3)n2)C1)c1cnsn1 ZINC001570946558 949537388 /nfs/dbraw/zinc/53/73/88/949537388.db2.gz QCWMZGAMUWGMGE-KNVOCYPGSA-N -1 1 344.364 -0.119 20 0 EBADMM O=C(N[C@H]1C[C@@H](Nc2cncc(-c3nn[n-]n3)n2)C1)c1cnsn1 ZINC001570946558 949537417 /nfs/dbraw/zinc/53/74/17/949537417.db2.gz QCWMZGAMUWGMGE-KNVOCYPGSA-N -1 1 344.364 -0.119 20 0 EBADMM CC[C@H](C)C(=O)N1CCO[C@@H](CNc2ccnc(-c3nn[n-]n3)n2)C1 ZINC001570946833 949547994 /nfs/dbraw/zinc/54/79/94/949547994.db2.gz RACWCNSBPMLWCP-QWRGUYRKSA-N -1 1 346.395 -0.236 20 0 EBADMM O=C([C@@H]1CCCO1)N1CCCN(c2ccc(-c3nnn[n-]3)nn2)CC1 ZINC001570946929 949552543 /nfs/dbraw/zinc/55/25/43/949552543.db2.gz TYNKRMLBUWSJHS-LBPRGKRZSA-N -1 1 344.379 -0.126 20 0 EBADMM O=C([C@@H]1CCCO1)N1CCCN(c2ccc(-c3nn[n-]n3)nn2)CC1 ZINC001570946929 949552556 /nfs/dbraw/zinc/55/25/56/949552556.db2.gz TYNKRMLBUWSJHS-LBPRGKRZSA-N -1 1 344.379 -0.126 20 0 EBADMM O=C(N[C@@H]1CCN(c2ccc(-c3nnn[n-]3)nn2)C1)c1cnns1 ZINC001570946930 949554870 /nfs/dbraw/zinc/55/48/70/949554870.db2.gz TZJYVHXKOSAAFW-SSDOTTSWSA-N -1 1 344.364 -0.483 20 0 EBADMM O=C(N[C@@H]1CCN(c2ccc(-c3nn[n-]n3)nn2)C1)c1cnns1 ZINC001570946930 949554887 /nfs/dbraw/zinc/55/48/87/949554887.db2.gz TZJYVHXKOSAAFW-SSDOTTSWSA-N -1 1 344.364 -0.483 20 0 EBADMM CCC(=O)[C@@H]1CCCN1C(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570947518 949578434 /nfs/dbraw/zinc/57/84/34/949578434.db2.gz BRLSRVZGNJOIAV-VIFPVBQESA-N -1 1 347.335 -0.901 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1COC(=O)N1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574712457 949579526 /nfs/dbraw/zinc/57/95/26/949579526.db2.gz SLTXEVLPXRDKMW-APPZFPTMSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1COC(=O)N1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574712457 949579541 /nfs/dbraw/zinc/57/95/41/949579541.db2.gz SLTXEVLPXRDKMW-APPZFPTMSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@H]1CCCCN1C(=O)NCCn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570948081 949591094 /nfs/dbraw/zinc/59/10/94/949591094.db2.gz BOJDOHDDJUVQSV-VIFPVBQESA-N -1 1 348.367 -0.287 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1COC(=O)N1)Nc1nccnc1-c1nnn[n-]1 ZINC001574713579 949605112 /nfs/dbraw/zinc/60/51/12/949605112.db2.gz YDVBHEYIJIECTR-SFYZADRCSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@H](CN(C)C(=O)[C@@H]1COC(=O)N1)Nc1nccnc1-c1nn[n-]n1 ZINC001574713579 949605126 /nfs/dbraw/zinc/60/51/26/949605126.db2.gz YDVBHEYIJIECTR-SFYZADRCSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@H](CNC(=O)[C@@H]1CCC(=O)N1C)Nc1cnc(-c2nnn[n-]2)cn1 ZINC001574957379 949610384 /nfs/dbraw/zinc/61/03/84/949610384.db2.gz WMOMIEJTWMUFBB-SCZZXKLOSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](CNC(=O)[C@@H]1CCC(=O)N1C)Nc1cnc(-c2nn[n-]n2)cn1 ZINC001574957379 949610404 /nfs/dbraw/zinc/61/04/04/949610404.db2.gz WMOMIEJTWMUFBB-SCZZXKLOSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](CNC(=O)[C@]12C[C@H]1COC2)CNc1ccc(-c2nnn[n-]2)nn1 ZINC001574957730 949629792 /nfs/dbraw/zinc/62/97/92/949629792.db2.gz GUTFIAATYLSPPI-YHAQOWFVSA-N -1 1 344.379 -0.143 20 0 EBADMM C[C@H](CNC(=O)[C@]12C[C@H]1COC2)CNc1ccc(-c2nn[n-]n2)nn1 ZINC001574957730 949629804 /nfs/dbraw/zinc/62/98/04/949629804.db2.gz GUTFIAATYLSPPI-YHAQOWFVSA-N -1 1 344.379 -0.143 20 0 EBADMM COC(=O)c1cn(CCNC(=O)c2csc(-c3nn[n-]n3)c2)nn1 ZINC001570949155 949630990 /nfs/dbraw/zinc/63/09/90/949630990.db2.gz MHBXFXBQJWSICI-UHFFFAOYSA-N -1 1 348.348 -0.264 20 0 EBADMM CN(Cc1ccns1)C(=O)Cn1cc(-c2nn[n-]n2)c(=O)[nH]c1=O ZINC001570949510 949646356 /nfs/dbraw/zinc/64/63/56/949646356.db2.gz PLTRLQRCFRXASU-UHFFFAOYSA-N -1 1 348.348 -0.756 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)Nc1nccnc1-c1nnn[n-]1 ZINC001574959158 949708299 /nfs/dbraw/zinc/70/82/99/949708299.db2.gz ZMQXTGLWJHPKTB-AXTSPUMRSA-N -1 1 330.352 -0.534 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1[C@@H]2COC[C@@H]21)Nc1nccnc1-c1nn[n-]n1 ZINC001574959158 949708314 /nfs/dbraw/zinc/70/83/14/949708314.db2.gz ZMQXTGLWJHPKTB-AXTSPUMRSA-N -1 1 330.352 -0.534 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CCC(=O)N1C)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574962826 949740288 /nfs/dbraw/zinc/74/02/88/949740288.db2.gz CQGMREYZPBKWJB-PSASIEDQSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CCC(=O)N1C)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574962826 949740314 /nfs/dbraw/zinc/74/03/14/949740314.db2.gz CQGMREYZPBKWJB-PSASIEDQSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](CN(C)C(=O)CCCC(N)=O)Nc1nccnc1-c1nnn[n-]1 ZINC001574718473 949783763 /nfs/dbraw/zinc/78/37/63/949783763.db2.gz XTLDCNSBULZOOW-SECBINFHSA-N -1 1 347.383 -0.429 20 0 EBADMM C[C@H](CN(C)C(=O)CCCC(N)=O)Nc1nccnc1-c1nn[n-]n1 ZINC001574718473 949783780 /nfs/dbraw/zinc/78/37/80/949783780.db2.gz XTLDCNSBULZOOW-SECBINFHSA-N -1 1 347.383 -0.429 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CCC(=O)N1C)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574964653 949787029 /nfs/dbraw/zinc/78/70/29/949787029.db2.gz ZGJZOCOAHCHZHX-PSASIEDQSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](CNC(=O)[C@H]1CCC(=O)N1C)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574964653 949787041 /nfs/dbraw/zinc/78/70/41/949787041.db2.gz ZGJZOCOAHCHZHX-PSASIEDQSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@H](CNC(=O)[C@]1(C)CCC(=O)N1)Nc1nccnc1-c1nnn[n-]1 ZINC001574965187 949792808 /nfs/dbraw/zinc/79/28/08/949792808.db2.gz CPWJGEVGUHSVJB-RTHLEPHNSA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@@H](CNC(=O)[C@]1(C)CCC(=O)N1)Nc1nccnc1-c1nn[n-]n1 ZINC001574965187 949792827 /nfs/dbraw/zinc/79/28/27/949792827.db2.gz CPWJGEVGUHSVJB-RTHLEPHNSA-N -1 1 345.367 -0.758 20 0 EBADMM C[C@H]1CCN(C(=O)C(N)=O)C[C@@H]1CNc1ccc(-c2nnn[n-]2)nn1 ZINC001576179400 949919041 /nfs/dbraw/zinc/91/90/41/949919041.db2.gz LETOEWZXXHELMQ-IUCAKERBSA-N -1 1 345.367 -0.962 20 0 EBADMM C[C@H]1CCN(C(=O)C(N)=O)C[C@@H]1CNc1ccc(-c2nn[n-]n2)nn1 ZINC001576179400 949919059 /nfs/dbraw/zinc/91/90/59/949919059.db2.gz LETOEWZXXHELMQ-IUCAKERBSA-N -1 1 345.367 -0.962 20 0 EBADMM CC1(C(=O)N[C@H]2CCN(c3cnc(-c4nnn[n-]4)cn3)C[C@@H]2O)CC1 ZINC001575569835 949929913 /nfs/dbraw/zinc/92/99/13/949929913.db2.gz OSAPSVGIGISWOP-ONGXEEELSA-N -1 1 344.379 -0.487 20 0 EBADMM CC1(C(=O)N[C@H]2CCN(c3cnc(-c4nn[n-]n4)cn3)C[C@@H]2O)CC1 ZINC001575569835 949929933 /nfs/dbraw/zinc/92/99/33/949929933.db2.gz OSAPSVGIGISWOP-ONGXEEELSA-N -1 1 344.379 -0.487 20 0 EBADMM C[C@@H](CN(C)C(=O)Cn1ccnc1)Nc1nccnc1-c1nnn[n-]1 ZINC001574732535 950073406 /nfs/dbraw/zinc/07/34/06/950073406.db2.gz JNCRRSUSFZCZIS-JTQLQIEISA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@@H](CN(C)C(=O)Cn1ccnc1)Nc1nccnc1-c1nn[n-]n1 ZINC001574732535 950073427 /nfs/dbraw/zinc/07/34/27/950073427.db2.gz JNCRRSUSFZCZIS-JTQLQIEISA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@@H](CN(C)C(=O)Cc1ncc[nH]1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574732548 950075191 /nfs/dbraw/zinc/07/51/91/950075191.db2.gz JUXZCCLSTGNZKK-VIFPVBQESA-N -1 1 342.367 -0.697 20 0 EBADMM CC(C)(C)CC(=O)NC[C@H](O)CNc1ccnc(-c2nn[n-]n2)n1 ZINC001573836276 950078352 /nfs/dbraw/zinc/07/83/52/950078352.db2.gz IYMMIGOKRKTXRK-SECBINFHSA-N -1 1 334.384 -0.596 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001574733445 950113136 /nfs/dbraw/zinc/11/31/36/950113136.db2.gz BKTNYDNPCHXSGD-DNJQJEMRSA-N -1 1 344.379 -0.192 20 0 EBADMM C[C@H](CN(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001574733445 950113153 /nfs/dbraw/zinc/11/31/53/950113153.db2.gz BKTNYDNPCHXSGD-DNJQJEMRSA-N -1 1 344.379 -0.192 20 0 EBADMM CC1(C(=O)NC[C@@]2(O)CCN(c3cnc(-c4nnn[n-]4)cn3)C2)CC1 ZINC001575582637 950126147 /nfs/dbraw/zinc/12/61/47/950126147.db2.gz LRBCPNHKPHVYET-HNNXBMFYSA-N -1 1 344.379 -0.486 20 0 EBADMM CC1(C(=O)NC[C@@]2(O)CCN(c3cnc(-c4nn[n-]n4)cn3)C2)CC1 ZINC001575582637 950126159 /nfs/dbraw/zinc/12/61/59/950126159.db2.gz LRBCPNHKPHVYET-HNNXBMFYSA-N -1 1 344.379 -0.486 20 0 EBADMM CC(C)[C@@H](O)C(=O)N(C)C[C@@H](C)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574734438 950137237 /nfs/dbraw/zinc/13/72/37/950137237.db2.gz MSGSMWGCLATLKD-MWLCHTKSSA-N -1 1 334.384 -0.646 20 0 EBADMM C[C@@H](CN(C)C(=O)[C@]12C[C@H]1COC2)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574734746 950141768 /nfs/dbraw/zinc/14/17/68/950141768.db2.gz POZKLAHEGWPEDH-YHAQOWFVSA-N -1 1 344.379 -0.626 20 0 EBADMM C[C@@H](CC(=O)NC[C@@H](CO)Nc1ccc(-c2nnn[n-]2)nn1)C1CC1 ZINC001574497323 950227600 /nfs/dbraw/zinc/22/76/00/950227600.db2.gz ZFJPABIXULUFDO-ONGXEEELSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](CC(=O)NC[C@@H](CO)Nc1ccc(-c2nn[n-]n2)nn1)C1CC1 ZINC001574497323 950227615 /nfs/dbraw/zinc/22/76/15/950227615.db2.gz ZFJPABIXULUFDO-ONGXEEELSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](CC(=O)NC[C@@H](O)CNc1cncc(-c2nnn[n-]2)n1)C1CC1 ZINC001574497870 950246210 /nfs/dbraw/zinc/24/62/10/950246210.db2.gz FJXHZDJMCOBBLP-ONGXEEELSA-N -1 1 346.395 -0.018 20 0 EBADMM C[C@@H](CC(=O)NC[C@@H](O)CNc1cncc(-c2nn[n-]n2)n1)C1CC1 ZINC001574497870 950246231 /nfs/dbraw/zinc/24/62/31/950246231.db2.gz FJXHZDJMCOBBLP-ONGXEEELSA-N -1 1 346.395 -0.018 20 0 EBADMM CC(C)(C)NS(=O)(=O)CCNC(=O)c1coc(-c2nnn[n-]2)c1 ZINC001573850057 950263189 /nfs/dbraw/zinc/26/31/89/950263189.db2.gz FGKARQNHINZDIG-UHFFFAOYSA-N -1 1 342.381 -0.093 20 0 EBADMM CC(C)(C)NS(=O)(=O)CCNC(=O)c1coc(-c2nn[n-]n2)c1 ZINC001573850057 950263204 /nfs/dbraw/zinc/26/32/04/950263204.db2.gz FGKARQNHINZDIG-UHFFFAOYSA-N -1 1 342.381 -0.093 20 0 EBADMM CC1(C(=O)NC[C@H]2COCCN2c2nccnc2-c2nnn[n-]2)CC1 ZINC001575592840 950299158 /nfs/dbraw/zinc/29/91/58/950299158.db2.gz COPXWZBSZRZPMB-JTQLQIEISA-N -1 1 344.379 -0.222 20 0 EBADMM CC1(C(=O)NC[C@H]2COCCN2c2nccnc2-c2nn[n-]n2)CC1 ZINC001575592840 950299179 /nfs/dbraw/zinc/29/91/79/950299179.db2.gz COPXWZBSZRZPMB-JTQLQIEISA-N -1 1 344.379 -0.222 20 0 EBADMM CC1(C(=O)NC[C@H]2CN(c3ccnc(-c4nn[n-]n4)n3)CCO2)CC1 ZINC001575594482 950334763 /nfs/dbraw/zinc/33/47/63/950334763.db2.gz KJMILKYYNHEYKR-JTQLQIEISA-N -1 1 344.379 -0.222 20 0 EBADMM C[C@@H](CN(C)C(=O)c1cc[nH]c1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574750772 950444114 /nfs/dbraw/zinc/44/41/14/950444114.db2.gz HOVVAYZDSKDMEM-VIFPVBQESA-N -1 1 327.352 -0.021 20 0 EBADMM CCN1CCN(CC(C)(C)NC(=O)[C@@H]2CC(C(=O)[O-])=NO2)CC1 ZINC001589744093 950642307 /nfs/dbraw/zinc/64/23/07/950642307.db2.gz KIJMXIMFRYUMDK-LBPRGKRZSA-N -1 1 326.397 -0.252 20 0 EBADMM C[C@H](CN(C)C(=O)c1ccnn1C)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574760169 950655979 /nfs/dbraw/zinc/65/59/79/950655979.db2.gz GSUKLEYJUOEFOV-SECBINFHSA-N -1 1 342.367 -0.615 20 0 EBADMM C[C@H](CN(C)C(=O)c1cn(C)cn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574760894 950685158 /nfs/dbraw/zinc/68/51/58/950685158.db2.gz NWIYTQSECVGWID-SECBINFHSA-N -1 1 342.367 -0.615 20 0 EBADMM C[C@@H](CNC(=O)c1ccn[nH]1)N(C)c1cnc(-c2nn[n-]n2)cn1 ZINC001575005445 950685830 /nfs/dbraw/zinc/68/58/30/950685830.db2.gz FHJRZHRKAMLYPB-QMMMGPOBSA-N -1 1 328.340 -0.366 20 0 EBADMM C[C@H](CN(C)C(=O)c1cn[nH]c1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001574761138 950693098 /nfs/dbraw/zinc/69/30/98/950693098.db2.gz RAEDUNWNCBFJDL-MRVPVSSYSA-N -1 1 328.340 -0.048 20 0 EBADMM C[C@H](CN(C)C(=O)c1cn[nH]c1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001574761138 950693112 /nfs/dbraw/zinc/69/31/12/950693112.db2.gz RAEDUNWNCBFJDL-MRVPVSSYSA-N -1 1 328.340 -0.048 20 0 EBADMM CC(C)CCC(=O)NC[C@H](CO)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001574179102 950696061 /nfs/dbraw/zinc/69/60/61/950696061.db2.gz AXRWVXGVOXNGLO-SNVBAGLBSA-N -1 1 334.384 -0.596 20 0 EBADMM C[C@H](CN(C)C(=O)c1nc[nH]n1)Nc1nccnc1-c1nnn[n-]1 ZINC001574765064 950774522 /nfs/dbraw/zinc/77/45/22/950774522.db2.gz CUMLGFLOGGADNR-SSDOTTSWSA-N -1 1 329.328 -0.653 20 0 EBADMM C[C@H](CN(C)C(=O)c1nc[nH]n1)Nc1nccnc1-c1nn[n-]n1 ZINC001574765064 950774538 /nfs/dbraw/zinc/77/45/38/950774538.db2.gz CUMLGFLOGGADNR-SSDOTTSWSA-N -1 1 329.328 -0.653 20 0 EBADMM C[C@@H](CNC(=O)c1ccc(=O)[nH]c1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575017136 950978774 /nfs/dbraw/zinc/97/87/74/950978774.db2.gz AOAAFCUXGWSSIL-QMMMGPOBSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@@H](CNC(=O)c1ccc(=O)[nH]c1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575017136 950978792 /nfs/dbraw/zinc/97/87/92/950978792.db2.gz AOAAFCUXGWSSIL-QMMMGPOBSA-N -1 1 341.335 -0.012 20 0 EBADMM CCOC(=O)N1C[C@H](NCc2cc(C(=O)[O-])nn2C)[C@@H](CO)C1 ZINC001590873388 951005869 /nfs/dbraw/zinc/00/58/69/951005869.db2.gz KUMUBAKGDYFBQV-SKDRFNHKSA-N -1 1 326.353 -0.343 20 0 EBADMM C[C@@H](CNC(=O)c1ccc(=O)[nH]c1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575019042 951031858 /nfs/dbraw/zinc/03/18/58/951031858.db2.gz PVWUJDCCZGNPMT-QMMMGPOBSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@@H](CNC(=O)c1ccc(=O)[nH]c1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575019042 951031866 /nfs/dbraw/zinc/03/18/66/951031866.db2.gz PVWUJDCCZGNPMT-QMMMGPOBSA-N -1 1 341.335 -0.012 20 0 EBADMM C[C@@H](CNC(=O)c1cccnn1)N(C)c1cncc(-c2nnn[n-]2)n1 ZINC001575031854 951206665 /nfs/dbraw/zinc/20/66/65/951206665.db2.gz KLXUQXCEYMBOJP-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1cccnn1)N(C)c1cncc(-c2nn[n-]n2)n1 ZINC001575031854 951206676 /nfs/dbraw/zinc/20/66/76/951206676.db2.gz KLXUQXCEYMBOJP-VIFPVBQESA-N -1 1 340.351 -0.299 20 0 EBADMM C[C@@H](CNC(=O)c1ccccn1)Nc1ccnc(-c2nn[n-]n2)n1 ZINC001575032198 951219316 /nfs/dbraw/zinc/21/93/16/951219316.db2.gz TUGOJKWCLZBLSW-VIFPVBQESA-N -1 1 325.336 -0.296 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)nn1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575042167 951357007 /nfs/dbraw/zinc/35/70/07/951357007.db2.gz GRGJFQOPJHRFQL-QMMMGPOBSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)nn1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575042167 951357022 /nfs/dbraw/zinc/35/70/22/951357022.db2.gz GRGJFQOPJHRFQL-QMMMGPOBSA-N -1 1 343.355 -0.737 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)nn1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575042363 951360547 /nfs/dbraw/zinc/36/05/47/951360547.db2.gz ILYNDWHTVWYQJP-MRVPVSSYSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)nn1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575042363 951360561 /nfs/dbraw/zinc/36/05/61/951360561.db2.gz ILYNDWHTVWYQJP-MRVPVSSYSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)nn1)N(C)c1ccnc(-c2nn[n-]n2)n1 ZINC001575043544 951395903 /nfs/dbraw/zinc/39/59/03/951395903.db2.gz UCFMZKGVKUSFQN-MRVPVSSYSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@@H](CNC(=O)c1cnccn1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575045613 951430967 /nfs/dbraw/zinc/43/09/67/951430967.db2.gz FJYUHFYDUURMGU-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1cnccn1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575045613 951430970 /nfs/dbraw/zinc/43/09/70/951430970.db2.gz FJYUHFYDUURMGU-SECBINFHSA-N -1 1 340.351 -0.075 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)c1)CNc1cncc(-c2nnn[n-]2)n1 ZINC001575053715 951480665 /nfs/dbraw/zinc/48/06/65/951480665.db2.gz UWKMYRMOMLDFOS-SECBINFHSA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)c1)CNc1cncc(-c2nn[n-]n2)n1 ZINC001575053715 951480672 /nfs/dbraw/zinc/48/06/72/951480672.db2.gz UWKMYRMOMLDFOS-SECBINFHSA-N -1 1 342.367 -0.132 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)n1)N(C)c1ccc(-c2nnn[n-]2)nn1 ZINC001575053760 951484069 /nfs/dbraw/zinc/48/40/69/951484069.db2.gz VHBFAXJYKRYNNV-QMMMGPOBSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)n1)N(C)c1ccc(-c2nn[n-]n2)nn1 ZINC001575053760 951484082 /nfs/dbraw/zinc/48/40/82/951484082.db2.gz VHBFAXJYKRYNNV-QMMMGPOBSA-N -1 1 343.355 -0.960 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)n1)Nc1cncc(-c2nnn[n-]2)n1 ZINC001575054145 951487446 /nfs/dbraw/zinc/48/74/46/951487446.db2.gz WLSQCUAKNSYEIM-ZETCQYMHSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@@H](CNC(=O)c1cnn(C)n1)Nc1cncc(-c2nn[n-]n2)n1 ZINC001575054145 951487456 /nfs/dbraw/zinc/48/74/56/951487456.db2.gz WLSQCUAKNSYEIM-ZETCQYMHSA-N -1 1 329.328 -0.984 20 0 EBADMM C[C@@H](CNC(=O)c1cnsn1)Nc1ccc(-c2nnn[n-]2)nn1 ZINC001575054752 951497862 /nfs/dbraw/zinc/49/78/62/951497862.db2.gz KMPUNMZUHPKQTO-LURJTMIESA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@@H](CNC(=O)c1cnsn1)Nc1ccc(-c2nn[n-]n2)nn1 ZINC001575054752 951497869 /nfs/dbraw/zinc/49/78/69/951497869.db2.gz KMPUNMZUHPKQTO-LURJTMIESA-N -1 1 332.353 -0.261 20 0 EBADMM C[C@H](CNC(=O)c1n[nH]cc1F)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575062155 951566806 /nfs/dbraw/zinc/56/68/06/951566806.db2.gz UTEZCGQLBQJDPT-SSDOTTSWSA-N -1 1 346.330 -0.226 20 0 EBADMM C[C@H](CNC(=O)c1n[nH]cc1F)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575062155 951566810 /nfs/dbraw/zinc/56/68/10/951566810.db2.gz UTEZCGQLBQJDPT-SSDOTTSWSA-N -1 1 346.330 -0.226 20 0 EBADMM C[C@@H](CNC(=O)c1csnn1)N(C)c1nccnc1-c1nnn[n-]1 ZINC001575062140 951566975 /nfs/dbraw/zinc/56/69/75/951566975.db2.gz ULTLNBLPEGAESU-ZETCQYMHSA-N -1 1 346.380 -0.237 20 0 EBADMM C[C@@H](CNC(=O)c1csnn1)N(C)c1nccnc1-c1nn[n-]n1 ZINC001575062140 951566980 /nfs/dbraw/zinc/56/69/80/951566980.db2.gz ULTLNBLPEGAESU-ZETCQYMHSA-N -1 1 346.380 -0.237 20 0 EBADMM O=C([O-])COCCNC(=O)N1CCC[C@H](CN2CCOCC2)C1 ZINC001595113618 951927732 /nfs/dbraw/zinc/92/77/32/951927732.db2.gz IIWJEOXOSSBKDD-CYBMUJFWSA-N -1 1 329.397 -0.159 20 0 EBADMM C[C@H](CNc1ccc(-c2nnn[n-]2)nn1)N(C)C(=O)Cn1cncn1 ZINC001575136277 952123785 /nfs/dbraw/zinc/12/37/85/952123785.db2.gz UGUUHHYMTMYOGZ-SECBINFHSA-N -1 1 343.355 -0.793 20 0 EBADMM C[C@H](CNc1ccc(-c2nn[n-]n2)nn1)N(C)C(=O)Cn1cncn1 ZINC001575136277 952123790 /nfs/dbraw/zinc/12/37/90/952123790.db2.gz UGUUHHYMTMYOGZ-SECBINFHSA-N -1 1 343.355 -0.793 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)C1=CCOCC1 ZINC001575140577 952142388 /nfs/dbraw/zinc/14/23/88/952142388.db2.gz DUXNGZMYARUNGU-SNVBAGLBSA-N -1 1 344.379 -0.316 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)[C@@]1(C)CCOC1 ZINC001575141483 952144457 /nfs/dbraw/zinc/14/44/57/952144457.db2.gz HLNUOPCSPPEZMS-BMIGLBTASA-N -1 1 346.395 -0.236 20 0 EBADMM C[C@@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)C[C@@H]1CCCO1 ZINC001575142144 952147141 /nfs/dbraw/zinc/14/71/41/952147141.db2.gz JEPRUFCPFQMXSJ-QWRGUYRKSA-N -1 1 346.395 -0.094 20 0 EBADMM C[C@H](CNc1ccnc(-c2nn[n-]n2)n1)N(C)C(=O)c1cnn(C)c1 ZINC001575144686 952169138 /nfs/dbraw/zinc/16/91/38/952169138.db2.gz KLKVWAPVOYKUTK-SECBINFHSA-N -1 1 342.367 -0.615 20 0 EBADMM C[C@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)[C@@H]1CCC(=O)N1 ZINC001575155963 952247056 /nfs/dbraw/zinc/24/70/56/952247056.db2.gz BDCKELJFTCEAOC-BDAKNGLRSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)[C@@H]1CCC(=O)N1 ZINC001575155963 952247061 /nfs/dbraw/zinc/24/70/61/952247061.db2.gz BDCKELJFTCEAOC-BDAKNGLRSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)[C@@H]1CCC(=O)N1 ZINC001575155968 952247521 /nfs/dbraw/zinc/24/75/21/952247521.db2.gz BDCKELJFTCEAOC-IUCAKERBSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)[C@@H]1CCC(=O)N1 ZINC001575155968 952247526 /nfs/dbraw/zinc/24/75/26/952247526.db2.gz BDCKELJFTCEAOC-IUCAKERBSA-N -1 1 345.367 -0.806 20 0 EBADMM C[C@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)[C@]12C[C@H]1COC2 ZINC001575156495 952253576 /nfs/dbraw/zinc/25/35/76/952253576.db2.gz GFODEGLWJASFEJ-FTGAXOIBSA-N -1 1 344.379 -0.048 20 0 EBADMM C[C@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)[C@]12C[C@H]1COC2 ZINC001575156495 952253583 /nfs/dbraw/zinc/25/35/83/952253583.db2.gz GFODEGLWJASFEJ-FTGAXOIBSA-N -1 1 344.379 -0.048 20 0 EBADMM C[C@@H](CNc1cncc(-c2nnn[n-]2)n1)N(C)C(=O)[C@H]1COC(=O)N1 ZINC001575158079 952270148 /nfs/dbraw/zinc/27/01/48/952270148.db2.gz RUHULUFMRWDSNP-IONNQARKSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@@H](CNc1cncc(-c2nn[n-]n2)n1)N(C)C(=O)[C@H]1COC(=O)N1 ZINC001575158079 952270158 /nfs/dbraw/zinc/27/01/58/952270158.db2.gz RUHULUFMRWDSNP-IONNQARKSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)[C@@H]1COC(=O)N1 ZINC001575174048 952364285 /nfs/dbraw/zinc/36/42/85/952364285.db2.gz FYXRUSKRGHMNNL-SFYZADRCSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)[C@@H]1COC(=O)N1 ZINC001575174048 952364292 /nfs/dbraw/zinc/36/42/92/952364292.db2.gz FYXRUSKRGHMNNL-SFYZADRCSA-N -1 1 347.339 -0.976 20 0 EBADMM C[C@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)Cn1cccn1 ZINC001575174601 952376778 /nfs/dbraw/zinc/37/67/78/952376778.db2.gz AIPODSOILZHCSH-SNVBAGLBSA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)Cn1cccn1 ZINC001575174601 952376784 /nfs/dbraw/zinc/37/67/84/952376784.db2.gz AIPODSOILZHCSH-SNVBAGLBSA-N -1 1 342.367 -0.188 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nnn[n-]1)N(C)C(=O)c1cnn(C)n1 ZINC001575178259 952406670 /nfs/dbraw/zinc/40/66/70/952406670.db2.gz LRZGGOXHZDXAOR-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@@H](CNc1nccnc1-c1nn[n-]n1)N(C)C(=O)c1cnn(C)n1 ZINC001575178259 952406673 /nfs/dbraw/zinc/40/66/73/952406673.db2.gz LRZGGOXHZDXAOR-QMMMGPOBSA-N -1 1 343.355 -0.642 20 0 EBADMM C[C@H](Cc1cnn(C)c1)NC(=O)NCCn1cnc(-c2nn[n-]n2)n1 ZINC001575207262 952533461 /nfs/dbraw/zinc/53/34/61/952533461.db2.gz PYLJTOLUMGYZSQ-SECBINFHSA-N -1 1 345.371 -0.878 20 0 EBADMM C[C@@](Cn1cccn1)(NCc1nc(-c2nnn[n-]2)cs1)C(N)=O ZINC001575207933 952536744 /nfs/dbraw/zinc/53/67/44/952536744.db2.gz WNUNUHRYMXRFDM-LBPRGKRZSA-N -1 1 333.381 -0.447 20 0 EBADMM C[C@@](Cn1cccn1)(NCc1nc(-c2nn[n-]n2)cs1)C(N)=O ZINC001575207933 952536746 /nfs/dbraw/zinc/53/67/46/952536746.db2.gz WNUNUHRYMXRFDM-LBPRGKRZSA-N -1 1 333.381 -0.447 20 0 EBADMM O=C([O-])[C@H]1CCN(C(=O)C(=O)NC[C@@H]2CCN2C2CCOCC2)C1 ZINC001594750476 953420756 /nfs/dbraw/zinc/42/07/56/953420756.db2.gz BWGIVFLAVPUBSG-AAEUAGOBSA-N -1 1 339.392 -0.711 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)C(=O)N2CCO[C@H](C(=O)[O-])C2)CN1C1CC1 ZINC001589288086 953581574 /nfs/dbraw/zinc/58/15/74/953581574.db2.gz AMVWKLNWIUQECS-SCVCMEIPSA-N -1 1 325.365 -0.960 20 0 EBADMM O=C([O-])[C@@H](C(=O)N[C@@H]1CCN(CCN2CCOCC2)C1)C1CC1 ZINC001594833345 954000505 /nfs/dbraw/zinc/00/05/05/954000505.db2.gz ZRYONFMASWCJFQ-ZIAGYGMSSA-N -1 1 325.409 -0.380 20 0 EBADMM C[C@H]1CCN(CCNS(=O)(=O)c2cnn(C)c2)C[C@H]1C(=O)[O-] ZINC001589352952 954095853 /nfs/dbraw/zinc/09/58/53/954095853.db2.gz HPSMHESPRFIGPA-CMPLNLGQSA-N -1 1 330.410 -0.259 20 0 EBADMM O=C([O-])C1=NO[C@H](C(=O)N2CCC[C@@H](CN3CCOCC3)C2)C1 ZINC001594893790 954555287 /nfs/dbraw/zinc/55/52/87/954555287.db2.gz XQMHQFFVNCHWJC-AAEUAGOBSA-N -1 1 325.365 -0.213 20 0 EBADMM O=C([O-])[C@@H]1CC(=O)N(C2CCN(C(=O)CCc3c[nH]nn3)CC2)C1 ZINC001594896049 954567423 /nfs/dbraw/zinc/56/74/23/954567423.db2.gz LBIVBCKTHGDDLU-SNVBAGLBSA-N -1 1 335.364 -0.339 20 0 EBADMM O=C([O-])[C@@H]1CC(=O)N(C2CCN(C(=O)CCc3cnn[nH]3)CC2)C1 ZINC001594896049 954567433 /nfs/dbraw/zinc/56/74/33/954567433.db2.gz LBIVBCKTHGDDLU-SNVBAGLBSA-N -1 1 335.364 -0.339 20 0 EBADMM CNC(=O)CC[N@H+]1CCC[C@@H]([N-]C(=O)C(F)(F)F)[C@@H]1C(=O)[O-] ZINC001593793603 954784979 /nfs/dbraw/zinc/78/49/79/954784979.db2.gz QMMBJKCUCZWHRU-VXNVDRBHSA-N -1 1 325.287 -0.281 20 0 EBADMM COC(=O)[C@@H]1CN(CC(=O)[O-])[C@@H](C)CN1CC(=O)OC(C)(C)C ZINC001593828413 955123596 /nfs/dbraw/zinc/12/35/96/955123596.db2.gz PCTHJZNELANUPW-QWRGUYRKSA-N -1 1 330.381 -0.040 20 0 EBADMM C[C@@H](CNC(=O)N1C[C@H]2COC[C@@]2(C(=O)[O-])C1)N1CCN(C)CC1 ZINC001589051046 955664394 /nfs/dbraw/zinc/66/43/94/955664394.db2.gz YEIQMFOQRSXDNI-XEZPLFJOSA-N -1 1 340.424 -0.635 20 0 EBADMM C[C@@H](CNC(=O)N[C@H]1CCN(CCN2CCOCC2)C1)C(=O)[O-] ZINC001589051733 955668007 /nfs/dbraw/zinc/66/80/07/955668007.db2.gz ICABOMWGYNNCEJ-STQMWFEESA-N -1 1 328.413 -0.587 20 0 EBADMM Cn1ccc(-c2cc(C(=O)N3CCN(C(=O)C(=O)[O-])CC3)n[nH]2)c1 ZINC001594547876 955692073 /nfs/dbraw/zinc/69/20/73/955692073.db2.gz BHSQQWPJYQOBSB-UHFFFAOYSA-N -1 1 331.332 -0.216 20 0 EBADMM CO[C@@](C)(C(=O)[O-])C(=O)NC1CCN([C@H]2CCN(C)C2=O)CC1 ZINC001593925640 956120494 /nfs/dbraw/zinc/12/04/94/956120494.db2.gz ZSZXMCCXEDNAQS-XHDPSFHLSA-N -1 1 327.381 -0.713 20 0 EBADMM CO[C@@](C)(C(=O)[O-])C(=O)NC1CCN([C@@H]2CCN(C)C2=O)CC1 ZINC001593925638 956120736 /nfs/dbraw/zinc/12/07/36/956120736.db2.gz ZSZXMCCXEDNAQS-IAQYHMDHSA-N -1 1 327.381 -0.713 20 0 EBADMM COCCN1CCC[C@@H]1CNC(=O)C(=O)N1CCC[C@H](C(=O)[O-])C1 ZINC001594014681 957019719 /nfs/dbraw/zinc/01/97/19/957019719.db2.gz JHDCITNDSFTBKN-QWHCGFSZSA-N -1 1 341.408 -0.463 20 0 EBADMM C[C@@H](CN1CCN(C)CC1)NC(=O)c1cccn(CC(=O)[O-])c1=O ZINC001603158691 972258692 /nfs/dbraw/zinc/25/86/92/972258692.db2.gz FAANWIGBIRWZBB-LBPRGKRZSA-N -1 1 336.392 -0.701 20 0 EBADMM COc1ccnc(N2CCN(C(=O)[C@@H]3CC(C(=O)[O-])=NO3)CC2)c1 ZINC001594150565 958791988 /nfs/dbraw/zinc/79/19/88/958791988.db2.gz KXTNQOXZVXNDHO-LBPRGKRZSA-N -1 1 334.332 -0.032 20 0 EBADMM O=C([O-])c1ccc(S(=O)(=O)NCC(=O)N2CCNCC2)cc1F ZINC000391903571 972388253 /nfs/dbraw/zinc/38/82/53/972388253.db2.gz LFZIRCFDMXPFFB-UHFFFAOYSA-N -1 1 345.352 -0.766 20 0 EBADMM CC(C)(C)[C@@H](C(=O)[O-])C(=O)NCc1n[nH]c(CS(C)(=O)=O)n1 ZINC001588633967 959634337 /nfs/dbraw/zinc/63/43/37/959634337.db2.gz GIISBJQCBPTBDB-SECBINFHSA-N -1 1 332.382 -0.288 20 0 EBADMM C[C@@H](O)CN1CCN(C(=O)NCCOCCOCC(=O)[O-])[C@H](C)C1 ZINC001603268623 972467921 /nfs/dbraw/zinc/46/79/21/972467921.db2.gz LFPICQQYJQGWLC-CHWSQXEVSA-N -1 1 347.412 -0.799 20 0 EBADMM CS(C)(=O)=NS(=O)(=O)NC[C@H]1CCC2(CC(C(=O)[O-])C2)O1 ZINC001604948112 972517117 /nfs/dbraw/zinc/51/71/17/972517117.db2.gz GAEJYKOJYDEKTA-INWMGODYSA-N -1 1 340.423 -0.039 20 0 EBADMM CN1CC[C@H](N2CCC(NC(=O)c3cc(C(=O)[O-])n[nH]3)CC2)C1=O ZINC001574022174 961971071 /nfs/dbraw/zinc/97/10/71/961971071.db2.gz INVOHJFDQMBQME-LBPRGKRZSA-N -1 1 335.364 -0.467 20 0 EBADMM CN1CCN(CCCS(=O)(=O)N2CCCC[C@H]2C(=O)[O-])CC1 ZINC001574564082 962024626 /nfs/dbraw/zinc/02/46/26/962024626.db2.gz VYFWGOMSAFKTTD-ZDUSSCGKSA-N -1 1 333.454 -0.107 20 0 EBADMM C[C@H]1SC[C@@H](C(=O)NCCC(=O)[O-])N1C(=O)Cc1c[nH]cn1 ZINC001571172725 962061571 /nfs/dbraw/zinc/06/15/71/962061571.db2.gz FROOMWFOVUQDPB-SCZZXKLOSA-N -1 1 326.378 -0.167 20 0 EBADMM CS(=O)(=O)c1ccc(C(=O)N[C@H](Cc2cnc[nH]2)C(=O)[O-])o1 ZINC001571174026 962078967 /nfs/dbraw/zinc/07/89/67/962078967.db2.gz CZMGXATYIVZSAJ-MRVPVSSYSA-N -1 1 327.318 -0.168 20 0 EBADMM C[C@H](CNS(=O)(=O)c1cc(C(=O)[O-])[nH]n1)N1CCN(C)CC1 ZINC001571198197 962331351 /nfs/dbraw/zinc/33/13/51/962331351.db2.gz ABJNFYYOPFFVHJ-SECBINFHSA-N -1 1 331.398 -0.978 20 0 EBADMM Cn1nnnc1CNC1CC2(C1)CC(NC(=O)[C@@H]1C[C@H]1C(=O)[O-])C2 ZINC001571221386 962540713 /nfs/dbraw/zinc/54/07/13/962540713.db2.gz JQXCCUAFDMOJNV-IVMCCYTASA-N -1 1 334.380 -0.552 20 0 EBADMM O=C([O-])[C@H]1[C@H]([N-]C(=O)C(F)(F)F)CCC[N@H+]1C[C@@H]1CNC(=O)C1 ZINC001606555107 970336892 /nfs/dbraw/zinc/33/68/92/970336892.db2.gz FJYJYHNLKGRLHU-QXFUBDJGSA-N -1 1 337.298 -0.281 20 0 EBADMM Cc1oc(C)c(S(=O)(=O)NCC(=O)N2CCNCC2)c1C(=O)[O-] ZINC000321072924 970740920 /nfs/dbraw/zinc/74/09/20/970740920.db2.gz IBOBUJVLYVXYKC-UHFFFAOYSA-N -1 1 345.377 -0.695 20 0 EBADMM CSCc1n[nH]c(CNC(=O)[C@@H]2CCCN(CC(=O)[O-])C2=O)n1 ZINC001604958372 972539033 /nfs/dbraw/zinc/53/90/33/972539033.db2.gz MKFQTOSIIPNCEZ-QMMMGPOBSA-N -1 1 341.393 -0.393 20 0 EBADMM CSCc1nnc(CNC(=O)[C@@H]2CCCN(CC(=O)[O-])C2=O)[nH]1 ZINC001604958372 972539036 /nfs/dbraw/zinc/53/90/36/972539036.db2.gz MKFQTOSIIPNCEZ-QMMMGPOBSA-N -1 1 341.393 -0.393 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)C2(C(=O)[O-])CCS(=O)(=O)CC2)CCN1C ZINC001603375446 972920990 /nfs/dbraw/zinc/92/09/90/972920990.db2.gz PSPUEOLIZZXZMJ-GHMZBOCLSA-N -1 1 332.422 -0.135 20 0 EBADMM COC(=O)CC[C@]1(C)NC(=O)N(CN2CC[C@H](C(=O)[O-])C2)C1=O ZINC001604304723 972957436 /nfs/dbraw/zinc/95/74/36/972957436.db2.gz YKEKZFXIQQJPJA-XPTSAGLGSA-N -1 1 327.337 -0.386 20 0 EBADMM CO[C@H](C(=O)[O-])C(=O)NCC1CCN(CC(=O)NC(C)(C)C)CC1 ZINC001604432564 973222728 /nfs/dbraw/zinc/22/27/28/973222728.db2.gz RZTNMWJOKVYGOH-ZDUSSCGKSA-N -1 1 343.424 -0.171 20 0 EBADMM COC[C@@]1(C(=O)[O-])CN(C(=O)C2CN([C@@H]3CCOC3)C2)CCO1 ZINC001604550437 973556361 /nfs/dbraw/zinc/55/63/61/973556361.db2.gz IDXNSCYSSJWHLQ-IUODEOHRSA-N -1 1 328.365 -0.964 20 0 EBADMM Cn1cc(CN2CCC[C@H](NC(=O)C(F)(F)F)[C@H]2C(=O)[O-])nn1 ZINC001605496373 973659898 /nfs/dbraw/zinc/65/98/98/973659898.db2.gz BGHVJGIGYSDBPJ-IUCAKERBSA-N -1 1 335.286 -0.089 20 0 EBADMM COCc1nc(CNC(=O)NCC2(C(=O)[O-])CCOCC2)n[nH]1 ZINC001604651059 973785289 /nfs/dbraw/zinc/78/52/89/973785289.db2.gz CBURWAGBFZXYQG-UHFFFAOYSA-N -1 1 327.341 -0.368 20 0 EBADMM C[C@@H](CC(=O)[O-])N1CCN(C(=O)COCc2nncn2C)CC1 ZINC001592410237 977999780 /nfs/dbraw/zinc/99/97/80/977999780.db2.gz CJWHQNFLOHPKJW-NSHDSACASA-N -1 1 325.369 -0.661 20 0 EBADMM C[C@@H](C[C@H](C)Nc1ccnc(C(=O)[O-])n1)NC(=O)Cc1nnc[nH]1 ZINC001592454285 978162234 /nfs/dbraw/zinc/16/22/34/978162234.db2.gz VHGAZWNCYFNKJS-IUCAKERBSA-N -1 1 333.352 -0.347 20 0 EBADMM C[C@@H](CCN1CCN(C)CC1)NS(=O)(=O)c1n[nH]cc1C(=O)[O-] ZINC001592513862 978351528 /nfs/dbraw/zinc/35/15/28/978351528.db2.gz LZYXPCINPXTJNM-JTQLQIEISA-N -1 1 345.425 -0.588 20 0 EBADMM C[C@@H](CN1CCN(C)CC1)NC(=O)C(=O)Nc1cccc(C(=O)[O-])n1 ZINC001592615683 978575894 /nfs/dbraw/zinc/57/58/94/978575894.db2.gz LKZCPNODKXLABZ-NSHDSACASA-N -1 1 349.391 -0.530 20 0 EBADMM C[C@H](CN1CCN(C)CC1)NC(=O)C(=O)Nc1cccc(C(=O)[O-])n1 ZINC001592615679 978576074 /nfs/dbraw/zinc/57/60/74/978576074.db2.gz LKZCPNODKXLABZ-LLVKDONJSA-N -1 1 349.391 -0.530 20 0 EBADMM C[C@H](CN1CCN(C)CC1)NS(=O)(=O)c1csnc1C(=O)[O-] ZINC001592616019 978577988 /nfs/dbraw/zinc/57/79/88/978577988.db2.gz PHSGAXYEBNQAFX-SECBINFHSA-N -1 1 348.450 -0.245 20 0 EBADMM C[C@@H](CNS(=O)(=O)c1cncc(C(=O)[O-])c1)N1CCN(C)CC1 ZINC001592722921 979228206 /nfs/dbraw/zinc/22/82/06/979228206.db2.gz RPZRSIUSLHTRDL-NSHDSACASA-N -1 1 342.421 -0.306 20 0 EBADMM CC[C@H](CN1CCOCC1)NS(=O)(=O)c1cc(C(=O)[O-])no1 ZINC001595131055 979547763 /nfs/dbraw/zinc/54/77/63/979547763.db2.gz IVMJBPIMCMIJGQ-SECBINFHSA-N -1 1 333.366 -0.238 20 0 EBADMM C[C@@H](Cc1ccc(NC(=O)C(=O)NCc2nn[nH]n2)cc1)C(=O)[O-] ZINC001592858108 979668929 /nfs/dbraw/zinc/66/89/29/979668929.db2.gz OJJFYJSWKKELSY-QMMMGPOBSA-N -1 1 332.320 -0.282 20 0 EBADMM CC[C@@H](NC(=O)c1cc(C(=O)N[C@@H](CC)C(=O)[O-])[nH]n1)C(=O)OC ZINC001595264104 980269966 /nfs/dbraw/zinc/26/99/66/980269966.db2.gz ULHUFIYNAGYHQF-JGVFFNPUSA-N -1 1 340.336 -0.316 20 0 EBADMM C[C@@H](NC(=O)Cn1cccc(C(=O)[O-])c1=O)[C@@H]1CN(C)CCN1C ZINC001593052046 980351377 /nfs/dbraw/zinc/35/13/77/980351377.db2.gz VAICFDXBXANKOM-YPMHNXCESA-N -1 1 336.392 -0.703 20 0 EBADMM CC[C@@](C)(NCC(=O)N1CCN(CC(=O)N(C)C)CC1)C(=O)[O-] ZINC001594848346 982078259 /nfs/dbraw/zinc/07/82/59/982078259.db2.gz ABSGIMDCGUIANP-OAHLLOKOSA-N -1 1 328.413 -0.938 20 0 EBADMM CCCN(CCNC(=O)Cc1nnc[nH]1)c1ccnc(C(=O)[O-])n1 ZINC001595882647 982896408 /nfs/dbraw/zinc/89/64/08/982896408.db2.gz KHURYSGWNNQPCO-UHFFFAOYSA-N -1 1 333.352 -0.132 20 0 EBADMM CCN1CCN(CC(=O)N2C[C@H]3COCC[C@@]3(C(=O)[O-])C2)CC1 ZINC001596431323 984100742 /nfs/dbraw/zinc/10/07/42/984100742.db2.gz SQTRAQUMPLMNDC-XJKSGUPXSA-N -1 1 325.409 -0.426 20 0 EBADMM C[C@H](NC(=O)[C@H](C)NC(=O)NCCCCN1CCOCC1)C(=O)[O-] ZINC001599898020 984778551 /nfs/dbraw/zinc/77/85/51/984778551.db2.gz RWDPSDCLJLTTPK-RYUDHWBXSA-N -1 1 344.412 -0.624 20 0 EBADMM C[C@H]1CNCCN1C(=O)C(=O)Nc1cc2c(cc1C(=O)[O-])OCO2 ZINC001599932899 985347931 /nfs/dbraw/zinc/34/79/31/985347931.db2.gz XGWNLWXLZOZRCX-QMMMGPOBSA-N -1 1 335.316 -0.128 20 0 EBADMM C[C@H]1C[C@@H](C(=O)N2CCS(=O)(=O)C[C@@H]2CC(=O)[O-])CC[N@H+]1C ZINC001599934754 985375712 /nfs/dbraw/zinc/37/57/12/985375712.db2.gz ZIUAWQMCSCMJOR-SRVKXCTJSA-N -1 1 332.422 -0.183 20 0 EBADMM C[C@H]1C[C@@H](C(=O)N2CCS(=O)(=O)C[C@@H]2CC(=O)[O-])CCN1C ZINC001599934754 985375724 /nfs/dbraw/zinc/37/57/24/985375724.db2.gz ZIUAWQMCSCMJOR-SRVKXCTJSA-N -1 1 332.422 -0.183 20 0 EBADMM CCOC[C@@H](C(=O)[O-])N(C)CCNS(=O)(=O)c1cnn(C)c1 ZINC001596885510 985790111 /nfs/dbraw/zinc/79/01/11/985790111.db2.gz ZKCZVCKKYPEOAD-NSHDSACASA-N -1 1 334.398 -0.880 20 0 EBADMM C[C@H]1CN(CCC(=O)[O-])CCN1C(=O)[C@H]1CCC2N=NC(=O)N2C1 ZINC001594514655 985848620 /nfs/dbraw/zinc/84/86/20/985848620.db2.gz IJCGMJBXKLRYLW-QWRGUYRKSA-N -1 1 337.380 -0.447 20 0 EBADMM C[C@@H]1CNCCN1C(=O)C(=O)N[C@H]1CCOC2(CC(C(=O)[O-])C2)C1 ZINC001594585371 986477430 /nfs/dbraw/zinc/47/74/30/986477430.db2.gz YVIIYMIRXKATCI-ZWRZFFQRSA-N -1 1 339.392 -0.665 20 0 EBADMM C[C@H](C(=O)NC1CCCC1)N1CCN(C[C@](C)(O)C(=O)[O-])CC1 ZINC001589398182 986547775 /nfs/dbraw/zinc/54/77/75/986547775.db2.gz JRNMBJHGBDLDOD-WBMJQRKESA-N -1 1 327.425 -0.113 20 0 EBADMM C[C@@H]1SC[C@@H](C(=O)NCCC(=O)[O-])N1C(=O)CCc1nn[nH]n1 ZINC001594656243 986903487 /nfs/dbraw/zinc/90/34/87/986903487.db2.gz KNISTOPFSGEIIY-YUMQZZPRSA-N -1 1 342.381 -0.987 20 0 EBADMM CC(C)(C(=O)[O-])N1CCN(C(=O)C(=O)NC[C@H]2CCCO2)CC1 ZINC001589620359 987494318 /nfs/dbraw/zinc/49/43/18/987494318.db2.gz AYFPETYRCSHFEK-LLVKDONJSA-N -1 1 327.381 -0.711 20 0 EBADMM C[C@@H]1CN(c2ncc(C(=O)[O-])cn2)C[C@H]1NC(=O)CCc1c[nH]nn1 ZINC001599869243 989322742 /nfs/dbraw/zinc/32/27/42/989322742.db2.gz VPANDCIUJVTYKR-BXKDBHETSA-N -1 1 345.363 -0.133 20 0 EBADMM C[C@@H]1CN(c2ncc(C(=O)[O-])cn2)C[C@H]1NC(=O)CCc1cnn[nH]1 ZINC001599869243 989322746 /nfs/dbraw/zinc/32/27/46/989322746.db2.gz VPANDCIUJVTYKR-BXKDBHETSA-N -1 1 345.363 -0.133 20 0 EBADMM CN(C(=O)NCc1nc(CS(C)(=O)=O)n[nH]1)[C@H]1C[C@@H](C(=O)[O-])C1 ZINC001598052822 990310255 /nfs/dbraw/zinc/31/02/55/990310255.db2.gz NSPRTCSRIBDQCE-OCAPTIKFSA-N -1 1 345.381 -0.646 20 0 EBADMM CC(C)(CO)N1CCN(C(=O)CN2CCC[C@H](C(=O)[O-])C2=O)CC1 ZINC001590783995 990602920 /nfs/dbraw/zinc/60/29/20/990602920.db2.gz ZQNNXXRVTCISRX-LBPRGKRZSA-N -1 1 341.408 -0.775 20 0 EBADMM CC(C)(NC(=O)C(F)(F)F)C(=O)N1CCN(CC(=O)[O-])CC1 ZINC001590841066 990710649 /nfs/dbraw/zinc/71/06/49/990710649.db2.gz XKRKSJCNBAMIEZ-UHFFFAOYSA-N -1 1 325.287 -0.328 20 0 EBADMM COc1nc(C(=O)OCC(=O)N[C@H](C(=O)[O-])C(C)C)cc(=O)[nH]1 ZINC001599731525 991715571 /nfs/dbraw/zinc/71/55/71/991715571.db2.gz BASVMLLDIVSHSZ-JTQLQIEISA-N -1 1 327.293 -0.427 20 0 EBADMM CS(=O)(=O)CC1(CNS(=O)(=O)c2cc(C(=O)[O-])no2)CC1 ZINC001599749358 991970514 /nfs/dbraw/zinc/97/05/14/991970514.db2.gz YSWLNZOVZLFBHE-UHFFFAOYSA-N -1 1 338.363 -0.524 20 0 EBADMM CC(C)C[C@H](NC(=O)CNC(=O)CN(C)[C@@H]1CCC[C@H]1O)C(=O)[O-] ZINC001591457580 992564522 /nfs/dbraw/zinc/56/45/22/992564522.db2.gz NTGMFDYLKGVAOH-YNEHKIRRSA-N -1 1 343.424 -0.437 20 0 EBADMM CN(CC1CN(C)C1)C(=O)C(=O)N1CCSC[C@H](C(=O)[O-])C1 ZINC001598566839 993155784 /nfs/dbraw/zinc/15/57/84/993155784.db2.gz NNVPZRZIYAHAFL-LLVKDONJSA-N -1 1 329.422 -0.717 20 0 EBADMM CN1CC[C@@](O)(CNS(=O)(=O)c2ccc(F)c(C(=O)[O-])c2)C1 ZINC001598585512 993376847 /nfs/dbraw/zinc/37/68/47/993376847.db2.gz HCHFVHAGSJWAJI-CYBMUJFWSA-N -1 1 332.353 -0.131 20 0 EBADMM CN1CCN(C(=O)C(=O)Nc2ccnn2C2CC2)C[C@@H](C(=O)[O-])C1 ZINC001598604233 993617423 /nfs/dbraw/zinc/61/74/23/993617423.db2.gz RHFPEXYJSRBADU-JTQLQIEISA-N -1 1 335.364 -0.369 20 0 EBADMM CN1CCN(C(=O)C(=O)Nc2cnn(CCF)c2)C[C@@H](C(=O)[O-])C1 ZINC001598605028 993632719 /nfs/dbraw/zinc/63/27/19/993632719.db2.gz YEVKJTMXYOIQAD-JTQLQIEISA-N -1 1 341.343 -0.734 20 0 EBADMM CN1CCN(C)[C@H](CNC(=O)NCCc2ncc(C(=O)[O-])s2)C1 ZINC001598613985 993843318 /nfs/dbraw/zinc/84/33/18/993843318.db2.gz IFRZGQPSAZISFD-SNVBAGLBSA-N -1 1 341.437 -0.071 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)[C@H]2CC[C@@H](C(N)=O)C2)CC1 ZINC001598616928 993901747 /nfs/dbraw/zinc/90/17/47/993901747.db2.gz QNEJJZBBGACUSZ-OLZOCXBDSA-N -1 1 340.424 -0.951 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])CN2C[C@H]3CCC[C@H]3C2=O)CC1 ZINC001598617221 993911295 /nfs/dbraw/zinc/91/12/95/993911295.db2.gz PODVBKGIPZKUBZ-HUUCEWRRSA-N -1 1 338.452 -0.164 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)c2coc(C(N)=O)c2)CC1 ZINC001598617413 993914536 /nfs/dbraw/zinc/91/45/36/993914536.db2.gz SQLPQWAGFUIDDO-UHFFFAOYSA-N -1 1 338.364 -0.847 20 0 EBADMM CN1CCN(CCN(CC(=O)[O-])C(=O)[C@@H](O)c2ccccc2)CC1 ZINC001598618087 993932463 /nfs/dbraw/zinc/93/24/63/993932463.db2.gz RMUYIHHKKAXCMS-INIZCTEOSA-N -1 1 335.404 -0.119 20 0 EBADMM CN1CCN(CCNS(=O)(=O)[C@H]2CCCC[C@@H]2C(=O)[O-])CC1 ZINC001598618551 993946474 /nfs/dbraw/zinc/94/64/74/993946474.db2.gz LFRAHQDVOSZPEI-STQMWFEESA-N -1 1 333.454 -0.203 20 0 EBADMM CN1CCN(Cc2ccc(C(=O)NCC(=O)NCC(=O)[O-])cc2)CC1 ZINC001598619314 993967213 /nfs/dbraw/zinc/96/72/13/993967213.db2.gz LSQJBRQVBHRLKR-UHFFFAOYSA-N -1 1 348.403 -0.635 20 0 EBADMM CN1CCN(Cc2cccc(C(=O)NC[C@H](O)CC(=O)[O-])c2)CC1 ZINC001598619788 993980885 /nfs/dbraw/zinc/98/08/85/993980885.db2.gz SGIMMHQZSQELOI-OAHLLOKOSA-N -1 1 335.404 -0.001 20 0 EBADMM CN1CCN(c2cc(C(=O)N3CCC[C@H](O)[C@@H]3C(=O)[O-])ccn2)CC1 ZINC001598620186 993991325 /nfs/dbraw/zinc/99/13/25/993991325.db2.gz UVERKBBELNANNM-DZGCQCFKSA-N -1 1 348.403 -0.117 20 0 EBADMM CN1CCN(c2cccc(C(=O)N3CCC[C@H](O)[C@@H]3C(=O)[O-])n2)CC1 ZINC001598624992 994073093 /nfs/dbraw/zinc/07/30/93/994073093.db2.gz WEEHFFSVHMASIC-DZGCQCFKSA-N -1 1 348.403 -0.117 20 0 EBADMM C[C@@H](NC(=O)C1=NC(=O)N(C)C1)[C@@H](C)Nc1ccnc(C(=O)[O-])n1 ZINC001593184041 994085229 /nfs/dbraw/zinc/08/52/29/994085229.db2.gz WHIJMGIDZBYVJU-HTQZYQBOSA-N -1 1 334.336 -0.345 20 0 EBADMM CNS(=O)(=O)c1ccc([C@@H](C)N[C@H](C)C(=O)NCC(=O)[O-])cc1 ZINC001598672780 994786487 /nfs/dbraw/zinc/78/64/87/994786487.db2.gz FYAKYCMUCWJVAJ-NXEZZACHSA-N -1 1 343.405 -0.165 20 0 EBADMM COC(=O)C1CCN(C(=O)CN2CC[C@@](OC)(C(=O)[O-])C2)CC1 ZINC001598793673 996094987 /nfs/dbraw/zinc/09/49/87/996094987.db2.gz MDVFHKNXYVWMPG-HNNXBMFYSA-N -1 1 328.365 -0.427 20 0 EBADMM COC(=O)[C@@H]1CN(CCn2cnc(Br)n2)C[C@H]1C(=O)[O-] ZINC001598820984 996326405 /nfs/dbraw/zinc/32/64/05/996326405.db2.gz IZRPVDFVWORNNJ-HTQZYQBOSA-N -1 1 347.169 -0.154 20 0 EBADMM CO[C@@]1(C(=O)[O-])CCN(S(=O)(=O)CCCN2CCN(C)CC2)C1 ZINC001599077775 996917049 /nfs/dbraw/zinc/91/70/49/996917049.db2.gz AYHMSXKXJNGCLG-AWEZNQCLSA-N -1 1 349.453 -0.871 20 0 EBADMM COC[C@@H]1CNCCN1C(=O)C(=O)NC[C@H](CC(=O)[O-])C1CC1 ZINC001599208098 997447113 /nfs/dbraw/zinc/44/71/13/997447113.db2.gz PFAJBCVCEHRCHW-RYUDHWBXSA-N -1 1 327.381 -0.950 20 0 EBADMM CO[C@H]1C[C@@H](CNC(=O)C(=O)N2CCN(C(C)(C)C(=O)[O-])CC2)C1 ZINC001599325599 997657537 /nfs/dbraw/zinc/65/75/37/997657537.db2.gz BFGIRLJNMUGVSU-TXEJJXNPSA-N -1 1 341.408 -0.465 20 0 EBADMM CC1(NC(=O)[C@@H]2C[C@@H]2C(=O)[O-])CCN(C(=O)Cc2ncn[nH]2)CC1 ZINC001594053328 997769593 /nfs/dbraw/zinc/76/95/93/997769593.db2.gz SJYMXIDLDXNUIP-ZJUUUORDSA-N -1 1 335.364 -0.435 20 0 EBADMM COCCN(CCNC(=O)c1ncn[nH]1)c1ncc(C(=O)[O-])cn1 ZINC001599238947 998000778 /nfs/dbraw/zinc/00/07/78/998000778.db2.gz TUMZJNNIVJTMNH-UHFFFAOYSA-N -1 1 335.324 -0.824 20 0 EBADMM COCCN(CCNC(=O)c1nc[nH]n1)c1ncc(C(=O)[O-])cn1 ZINC001599238947 998000789 /nfs/dbraw/zinc/00/07/89/998000789.db2.gz TUMZJNNIVJTMNH-UHFFFAOYSA-N -1 1 335.324 -0.824 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)N2C[C@@H](C)[C@H](C(=O)[O-])C2)C1 ZINC001599239626 998013980 /nfs/dbraw/zinc/01/39/80/998013980.db2.gz HARKTJKSOJHSJP-GRYCIOLGSA-N -1 1 327.381 -0.998 20 0 EBADMM COCCN1CC[C@H](NC(=O)C(=O)N2CC[C@H](C(=O)[O-])[C@H]2C)C1 ZINC001599240919 998039972 /nfs/dbraw/zinc/03/99/72/998039972.db2.gz UQIYPAHTQPDDEA-WOPDTQHZSA-N -1 1 327.381 -0.855 20 0 EBADMM COCC(=O)N[C@H](C(=O)N1CCN(CCC(=O)[O-])[C@@H](C)C1)C(C)C ZINC001599142515 998156889 /nfs/dbraw/zinc/15/68/89/998156889.db2.gz DKFYQJDXUCHGGT-WFASDCNBSA-N -1 1 343.424 -0.219 20 0 EBADMM COC[C@](C)(CC(=O)[O-])NS(=O)(=O)c1cc(C(=O)OC)no1 ZINC001599150686 998291982 /nfs/dbraw/zinc/29/19/82/998291982.db2.gz ZSXCEERNKYNXME-NSHDSACASA-N -1 1 336.322 -0.381 20 0 EBADMM COC[C@H](NC(=O)[C@@H](Cc1cnc[nH]1)NC(=O)CC(C)C)C(=O)[O-] ZINC001599165837 998541379 /nfs/dbraw/zinc/54/13/79/998541379.db2.gz HCPOLMHDXHQLFP-NEPJUHHUSA-N -1 1 340.380 -0.301 20 0 EBADMM COC(=O)c1cnn(CC(=O)N2CCN(CCC(=O)[O-])[C@@H](C)C2)c1 ZINC001599011187 998899901 /nfs/dbraw/zinc/89/99/01/998899901.db2.gz JQRHNXDMDJFBCA-NSHDSACASA-N -1 1 338.364 -0.323 20 0 EBADMM CO[C@]1(C(=O)[O-])CCN(C(=O)N[C@@H](C)[C@H]2CN(C)CCN2C)C1 ZINC001599069605 999322977 /nfs/dbraw/zinc/32/29/77/999322977.db2.gz HMMZXHVXLFHPOV-YWPYICTPSA-N -1 1 328.413 -0.494 20 0 EBADMM C[C@@H]1CN(C(=O)[C@@H]2CCc3nnnn3CC2)CCN1CCC(=O)[O-] ZINC001594466569 999689461 /nfs/dbraw/zinc/68/94/61/999689461.db2.gz LJNBHAXQMGNRLJ-VXGBXAGGSA-N -1 1 336.396 -0.367 20 0 EBADMM CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)[N-]CCN1CCNC1=O ZINC001364036965 1132176920 /nfs/dbraw/zinc/17/69/20/1132176920.db2.gz UXGZPEYPJKORCC-LJQANCHMSA-N -1 1 326.444 -0.258 20 0 EBADMM CN(CCNC(=O)c1ncccc1[O-])C(=O)C1CCN(C(N)=O)CC1 ZINC001408554193 1125862047 /nfs/dbraw/zinc/86/20/47/1125862047.db2.gz FNVKUYFTLRIFPN-UHFFFAOYSA-N -1 1 349.391 -0.234 20 0 EBADMM CC(=O)Nc1cccc(C(=O)NCCN(C)Cc2nc(=O)n(C)[n-]2)c1 ZINC001480946505 1125889263 /nfs/dbraw/zinc/88/92/63/1125889263.db2.gz UCPGXWMGUCRVRK-UHFFFAOYSA-N -1 1 346.391 -0.071 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H]2CNC(=O)Cc2cccnc2)nc1=O ZINC001481035476 1125910248 /nfs/dbraw/zinc/91/02/48/1125910248.db2.gz JVJNSZUATIRNCE-ZDUSSCGKSA-N -1 1 330.392 -0.173 20 0 EBADMM CC(C)Cn1[n-]c(CC(=O)NC[C@H]2CCN(CC(N)=O)C2)cc1=O ZINC001481099477 1125921882 /nfs/dbraw/zinc/92/18/82/1125921882.db2.gz FIKNKGQBNPLHJM-GFCCVEGCSA-N -1 1 337.424 -0.702 20 0 EBADMM CN(CCNC(=O)C(C)(C)S(C)(=O)=O)C(=O)c1ncccc1[O-] ZINC001408700162 1125930500 /nfs/dbraw/zinc/93/05/00/1125930500.db2.gz GSTITLOWASZGTA-UHFFFAOYSA-N -1 1 343.405 -0.201 20 0 EBADMM CCN(CCNC(=O)c1cn(C)c(=O)n1C)C(=O)c1ncccc1[O-] ZINC001408757145 1125941961 /nfs/dbraw/zinc/94/19/61/1125941961.db2.gz VAYBCVIUIXZXBD-UHFFFAOYSA-N -1 1 347.375 -0.284 20 0 EBADMM CCN(CCNC(=O)c1ccc(=O)n(C)n1)C(=O)c1ncccc1[O-] ZINC001408801220 1125949818 /nfs/dbraw/zinc/94/98/18/1125949818.db2.gz FULLVBIDTUSXSX-UHFFFAOYSA-N -1 1 345.359 -0.227 20 0 EBADMM CCCN(C(=O)Cn1ccnc1)[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001481412240 1125983646 /nfs/dbraw/zinc/98/36/46/1125983646.db2.gz SGWBWDZJKNBLHG-ZDUSSCGKSA-N -1 1 347.423 -0.182 20 0 EBADMM CCC(=O)N[C@H](C)C(=O)N1CC[C@@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001481484596 1126001379 /nfs/dbraw/zinc/00/13/79/1126001379.db2.gz AOXVKMOKQMFGGZ-MNOVXSKESA-N -1 1 338.412 -0.295 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)N[C@@H]1CCN(Cc2ncccn2)C1 ZINC001481707451 1126043166 /nfs/dbraw/zinc/04/31/66/1126043166.db2.gz XPPSZPOYMYIMTP-GFCCVEGCSA-N -1 1 344.375 -0.893 20 0 EBADMM CC[C@H](CNC(=O)C[C@@H]1CCS(=O)(=O)C1)NCc1n[nH]c(=O)[n-]1 ZINC001481830486 1126059979 /nfs/dbraw/zinc/05/99/79/1126059979.db2.gz MYLPHYNGFOFNFY-VHSXEESVSA-N -1 1 345.425 -0.681 20 0 EBADMM CC[C@H](CNC(=O)C[C@H]1CCCS1(=O)=O)NCc1n[nH]c(=O)[n-]1 ZINC001481836012 1126060526 /nfs/dbraw/zinc/06/05/26/1126060526.db2.gz DXNPBQRMGHFMQU-NXEZZACHSA-N -1 1 345.425 -0.538 20 0 EBADMM CS(=O)(=O)CCC(=O)NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1 ZINC001481899854 1126065382 /nfs/dbraw/zinc/06/53/82/1126065382.db2.gz IDBZLCHYZDVRSL-UHFFFAOYSA-N -1 1 345.425 -0.536 20 0 EBADMM C[C@](CNCc1n[nH]c(=O)[n-]1)(NC(=O)C[C@@H]1CCC(=O)N1)C1CC1 ZINC001481944677 1126068666 /nfs/dbraw/zinc/06/86/66/1126068666.db2.gz OIKXZPXAGDXPKU-ZUZCIYMTSA-N -1 1 336.396 -0.447 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NC[C@H]1CN(CCCF)CCO1 ZINC001482203403 1126103461 /nfs/dbraw/zinc/10/34/61/1126103461.db2.gz VGOYQSXDVZGACS-LBPRGKRZSA-N -1 1 342.371 -0.897 20 0 EBADMM CSc1nc(CNC(=O)CCS(=O)(=O)N(C)C)cc(=O)[n-]1 ZINC001363457268 1126118227 /nfs/dbraw/zinc/11/82/27/1126118227.db2.gz NKBDKALCFKTTMM-UHFFFAOYSA-N -1 1 334.423 -0.198 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCNC(=O)[C@@H]2C(C)C)[n-]n1 ZINC001414212447 1126115790 /nfs/dbraw/zinc/11/57/90/1126115790.db2.gz NAOOVGNDAHTOBB-JTQLQIEISA-N -1 1 330.366 -0.659 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCNC(=O)[C@@H]2C(C)C)n[n-]1 ZINC001414212447 1126115792 /nfs/dbraw/zinc/11/57/92/1126115792.db2.gz NAOOVGNDAHTOBB-JTQLQIEISA-N -1 1 330.366 -0.659 20 0 EBADMM COc1ccncc1C[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001414273565 1126125969 /nfs/dbraw/zinc/12/59/69/1126125969.db2.gz QMFMMNDXSUDBJD-UHFFFAOYSA-N -1 1 326.334 -0.632 20 0 EBADMM CC[C@H](C)C(=O)N1CC[C@H]1CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001358622513 1131234153 /nfs/dbraw/zinc/23/41/53/1131234153.db2.gz LEKVMVYQPFAEMC-RYUDHWBXSA-N -1 1 336.392 -0.310 20 0 EBADMM CCNS(=O)(=O)CC[N-]S(=O)(=O)c1csnc1OC ZINC001363927941 1126131080 /nfs/dbraw/zinc/13/10/80/1126131080.db2.gz DVKCDULFUIMEGN-UHFFFAOYSA-N -1 1 329.425 -0.631 20 0 EBADMM CC(=O)N[C@@H](C)C(=O)N[C@H](CNCc1n[nH]c(=O)[n-]1)CC(C)C ZINC001482376656 1126141610 /nfs/dbraw/zinc/14/16/10/1126141610.db2.gz RRWKMQVJODWKHK-ONGXEEELSA-N -1 1 326.401 -0.345 20 0 EBADMM CCOC(=O)[C@@H]1CSCN1C(=O)CCn1cc[n-]c(=O)c1=O ZINC001626805305 1126214645 /nfs/dbraw/zinc/21/46/45/1126214645.db2.gz APQSXKUQPPPQCW-VIFPVBQESA-N -1 1 327.362 -0.609 20 0 EBADMM COC(=O)CCCS(=O)(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001414323518 1126215150 /nfs/dbraw/zinc/21/51/50/1126215150.db2.gz GPGLDWZWLLMFTI-UHFFFAOYSA-N -1 1 345.377 -0.373 20 0 EBADMM CN(CCN(C)C(=O)c1n[nH]c2c1CCCC2)Cc1nc(=O)n(C)[n-]1 ZINC001482610170 1126236982 /nfs/dbraw/zinc/23/69/82/1126236982.db2.gz SQSDGGYAYJJDHW-UHFFFAOYSA-N -1 1 347.423 -0.086 20 0 EBADMM CN(CCN(C)C(=O)CCc1cncn1C)Cc1nc(=O)n(C)[n-]1 ZINC001482646151 1126244698 /nfs/dbraw/zinc/24/46/98/1126244698.db2.gz UMHSXWYFWLTXNP-UHFFFAOYSA-N -1 1 335.412 -0.635 20 0 EBADMM CCNC(=O)CC(=O)N1CC[C@@H]2[C@H](CCN2Cc2n[nH]c(=O)[n-]2)C1 ZINC001482662906 1126248784 /nfs/dbraw/zinc/24/87/84/1126248784.db2.gz UBZCNRGLVHPRTL-GHMZBOCLSA-N -1 1 336.396 -0.541 20 0 EBADMM O=C(NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1)c1ccc(F)c(F)c1 ZINC001482737907 1126272184 /nfs/dbraw/zinc/27/21/84/1126272184.db2.gz VVLRCZCPMMYGHT-QMMMGPOBSA-N -1 1 327.291 -0.331 20 0 EBADMM O=C(NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1)c1cc(F)c(F)cc1F ZINC001482762821 1126280733 /nfs/dbraw/zinc/28/07/33/1126280733.db2.gz OQQYHNSWSKSFDJ-LURJTMIESA-N -1 1 345.281 -0.192 20 0 EBADMM CC[C@@H](CN1CCOCC1)[N-]S(=O)(=O)c1n[nH]cc1C(=O)OC ZINC001414344242 1126286786 /nfs/dbraw/zinc/28/67/86/1126286786.db2.gz QEIFNBMBHDKJGS-JTQLQIEISA-N -1 1 346.409 -0.415 20 0 EBADMM O=C(COc1ccccc1F)NC[C@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001482791466 1126287613 /nfs/dbraw/zinc/28/76/13/1126287613.db2.gz SNSULZPTQGCXQZ-SECBINFHSA-N -1 1 339.327 -0.705 20 0 EBADMM O=C(COc1ccccc1F)NC[C@@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001482791467 1126287827 /nfs/dbraw/zinc/28/78/27/1126287827.db2.gz SNSULZPTQGCXQZ-VIFPVBQESA-N -1 1 339.327 -0.705 20 0 EBADMM O=C(CCc1ccccc1F)NC[C@H](O)CNCc1n[nH]c(=O)[n-]1 ZINC001482792387 1126288409 /nfs/dbraw/zinc/28/84/09/1126288409.db2.gz YZLIDCKZMBKYSS-LLVKDONJSA-N -1 1 337.355 -0.151 20 0 EBADMM CC1(C)CO[C@@H](CCC(=O)NC[C@H](O)CNCc2n[nH]c(=O)[n-]2)C1 ZINC001482787507 1126291106 /nfs/dbraw/zinc/29/11/06/1126291106.db2.gz ICPBBCHVYMJEGC-MNOVXSKESA-N -1 1 341.412 -0.328 20 0 EBADMM CC[C@H](CNC(=O)[C@@H]1CC(=O)N(C)C1)NC(=O)c1ncccc1[O-] ZINC001409319976 1126342939 /nfs/dbraw/zinc/34/29/39/1126342939.db2.gz XPWZIPWTUAXKKH-GHMZBOCLSA-N -1 1 334.376 -0.110 20 0 EBADMM C[C@H](NCc1n[nH]c(=O)[n-]1)[C@H](C)NC(=O)C(C)(C)N1CCOCC1 ZINC001409379669 1126360871 /nfs/dbraw/zinc/36/08/71/1126360871.db2.gz PCROHUYWXMNGTE-QWRGUYRKSA-N -1 1 340.428 -0.396 20 0 EBADMM C[C@@H](NC(=O)COCc1ccnn1C)[C@H](C)NCc1n[nH]c(=O)[n-]1 ZINC001409391727 1126362791 /nfs/dbraw/zinc/36/27/91/1126362791.db2.gz UACHQFOEHDYMHD-VHSXEESVSA-N -1 1 337.384 -0.557 20 0 EBADMM C[C@@H](NCc1n[nH]c(=O)[n-]1)[C@@H](C)NC(=O)CS(=O)(=O)C(C)(C)C ZINC001409423625 1126365964 /nfs/dbraw/zinc/36/59/64/1126365964.db2.gz REFGQXSLLMAILJ-RKDXNWHRSA-N -1 1 347.441 -0.294 20 0 EBADMM CCn1ccc(CN[C@@H](C)[C@@H](C)NC(=O)Cn2c(=O)[n-][nH]c2=O)n1 ZINC001409439356 1126367211 /nfs/dbraw/zinc/36/72/11/1126367211.db2.gz CBKAQMSSWUJAAX-VHSXEESVSA-N -1 1 337.384 -0.411 20 0 EBADMM C[C@H](CNC(=O)C[C@H]1CCC(=O)N1)CNC(=O)c1ncccc1[O-] ZINC001409677491 1126391201 /nfs/dbraw/zinc/39/12/01/1126391201.db2.gz CUHBVXBJKBSDJQ-GHMZBOCLSA-N -1 1 334.376 -0.062 20 0 EBADMM Cc1nc(C)c(CC(=O)NC[C@H](C)[N@@H+](C)[C@H]2CCNC2=O)c(=O)[nH]1 ZINC001409683702 1126391922 /nfs/dbraw/zinc/39/19/22/1126391922.db2.gz OKWMCODHJOOTBN-ZANVPECISA-N -1 1 335.408 -0.333 20 0 EBADMM CNC(=O)[C@H](CC(C)C)NS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001414240036 1126440761 /nfs/dbraw/zinc/44/07/61/1126440761.db2.gz FXZCFWYXUJSQLN-QMMMGPOBSA-N -1 1 332.382 -0.365 20 0 EBADMM CNC(=O)[C@H](CC(C)C)NS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001414240036 1126440763 /nfs/dbraw/zinc/44/07/63/1126440763.db2.gz FXZCFWYXUJSQLN-QMMMGPOBSA-N -1 1 332.382 -0.365 20 0 EBADMM O=C(CCn1cc[n-]c(=O)c1=O)NCC1(NCc2cscn2)CC1 ZINC001483777879 1126476156 /nfs/dbraw/zinc/47/61/56/1126476156.db2.gz UKJYSIDULXUGDN-UHFFFAOYSA-N -1 1 349.416 -0.178 20 0 EBADMM CC(C)OCCN1CC(N(C)C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001483883998 1126485669 /nfs/dbraw/zinc/48/56/69/1126485669.db2.gz ZKAAACXBQLEVSJ-UHFFFAOYSA-N -1 1 338.408 -0.506 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](C)(NC(=O)CCn3cccn3)C2)nc1=O ZINC001484030033 1126499617 /nfs/dbraw/zinc/49/96/17/1126499617.db2.gz POWZMDIWQNNJSE-OAHLLOKOSA-N -1 1 333.396 -0.524 20 0 EBADMM Cc1cc(C)n(CC(=O)N[C@@]2(C)CCN(Cc3nc(=O)n(C)[n-]3)C2)n1 ZINC001484052227 1126501893 /nfs/dbraw/zinc/50/18/93/1126501893.db2.gz HDFWIJVAQXXDEE-INIZCTEOSA-N -1 1 347.423 -0.297 20 0 EBADMM CC(C)OCC(=O)NCC1(NCc2n[nH]c(=O)[n-]2)CCOCC1 ZINC001484177945 1126513095 /nfs/dbraw/zinc/51/30/95/1126513095.db2.gz ADTUVQKVSDBLCW-UHFFFAOYSA-N -1 1 327.385 -0.310 20 0 EBADMM COCCC(=O)NC[C@@]1(O)CC[N@@H+](Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC001484198433 1126515665 /nfs/dbraw/zinc/51/56/65/1126515665.db2.gz SWDGPDVWRBZMMJ-INIZCTEOSA-N -1 1 349.391 -0.888 20 0 EBADMM CCC[C@@H](C)C(=O)N[C@]1(CO)CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001484429637 1126575917 /nfs/dbraw/zinc/57/59/17/1126575917.db2.gz DHKUSVOZWTXNDN-MLGOLLRUSA-N -1 1 339.440 -0.012 20 0 EBADMM CC(C)[C@H](C)C(=O)N[C@@H]1COC2(CN(Cc3nc(=O)n(C)[n-]3)C2)C1 ZINC001484804507 1126636942 /nfs/dbraw/zinc/63/69/42/1126636942.db2.gz KMFONZHBOOYMLO-RYUDHWBXSA-N -1 1 337.424 -0.140 20 0 EBADMM CN(C(=O)CS(C)(=O)=O)C1CCC(NCc2n[nH]c(=O)[n-]2)CC1 ZINC001485237365 1126683172 /nfs/dbraw/zinc/68/31/72/1126683172.db2.gz NVOJKYJAHNTNNC-UHFFFAOYSA-N -1 1 345.425 -0.586 20 0 EBADMM CN(CC(C)(C)CNCc1ncccn1)C(=O)Cn1c(=O)[n-][nH]c1=O ZINC001485266476 1126684329 /nfs/dbraw/zinc/68/43/29/1126684329.db2.gz NCTZWFADDIABDZ-UHFFFAOYSA-N -1 1 349.395 -0.246 20 0 EBADMM CC[C@@H]1OCC[C@H]1C(=O)NC[C@@H](C)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001485334166 1126689586 /nfs/dbraw/zinc/68/95/86/1126689586.db2.gz NBNRTZKLZBDMNU-UTUOFQBUSA-N -1 1 325.413 -0.140 20 0 EBADMM Cc1nn(C)cc1CC(=O)N[C@@H](C)C1CN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001409920249 1126702922 /nfs/dbraw/zinc/70/29/22/1126702922.db2.gz MYCVTQDBYIDIAJ-JTQLQIEISA-N -1 1 347.423 -0.670 20 0 EBADMM C[C@@H](NC(=O)c1ncccc1[O-])C1CN([C@H](C)C(=O)NC(N)=O)C1 ZINC001485501359 1126734046 /nfs/dbraw/zinc/73/40/46/1126734046.db2.gz XXJGMAPNDGVIOW-RKDXNWHRSA-N -1 1 335.364 -0.579 20 0 EBADMM NC(=O)C([N-]S(=O)(=O)c1cc(Cl)c(F)cc1F)C(N)=O ZINC001260206598 1130542996 /nfs/dbraw/zinc/54/29/96/1130542996.db2.gz ULRKATHWJKSFNM-UHFFFAOYSA-N -1 1 327.696 -0.764 20 0 EBADMM O=C(NC[C@H](CO)NCc1cc(=O)n2[n-]ccc2n1)[C@H]1C[C@H]2C[C@H]2C1 ZINC001486024783 1126975820 /nfs/dbraw/zinc/97/58/20/1126975820.db2.gz CBPHXOCUUJKALW-OWTLIXCDSA-N -1 1 345.403 -0.365 20 0 EBADMM Cn1[n-]c(CN[C@@H](CO)CNC(=O)C2(C)CC(F)(F)C2)nc1=O ZINC001486051228 1127020454 /nfs/dbraw/zinc/02/04/54/1127020454.db2.gz XBPXXPLVYXBUIC-MRVPVSSYSA-N -1 1 333.339 -0.890 20 0 EBADMM Cn1[n-]c(CN[C@H](CO)CNC(=O)c2ccc(C3CC3)cn2)nc1=O ZINC001486057630 1127026162 /nfs/dbraw/zinc/02/61/62/1127026162.db2.gz OLFNENRXBBDZRY-LBPRGKRZSA-N -1 1 346.391 -0.739 20 0 EBADMM Cn1[n-]c(CN[C@@H](CO)CNC(=O)c2cc3n(c2)CCCC3)nc1=O ZINC001486072505 1127033648 /nfs/dbraw/zinc/03/36/48/1127033648.db2.gz XQJFCYOQYOCCTD-GFCCVEGCSA-N -1 1 348.407 -0.873 20 0 EBADMM CCOCCOCC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C[C@@H]1C ZINC001486134433 1127044919 /nfs/dbraw/zinc/04/49/19/1127044919.db2.gz LDAWNVLOTLOJKL-WDEREUQCSA-N -1 1 327.385 -0.358 20 0 EBADMM Cc1[nH]nc(C(=O)N(C)C[C@@H](O)CNCc2cc3n(n2)CCC3)c1[O-] ZINC001486272489 1127095350 /nfs/dbraw/zinc/09/53/50/1127095350.db2.gz YAHZTKNMNMFBPW-ZDUSSCGKSA-N -1 1 348.407 -0.211 20 0 EBADMM CN(C[C@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)c1ccoc1Cl ZINC001486275489 1127097940 /nfs/dbraw/zinc/09/79/40/1127097940.db2.gz QGNZFUUDZYIFLP-SSDOTTSWSA-N -1 1 329.744 -0.021 20 0 EBADMM CO[C@@H](C(=O)N(C)C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C1CCCC1 ZINC001486312912 1127126415 /nfs/dbraw/zinc/12/64/15/1127126415.db2.gz HRZUUAAIAOGZEG-WCQYABFASA-N -1 1 341.412 -0.376 20 0 EBADMM C[C@@H](C(=O)N(C)C[C@H](O)CN(C)Cc1nc(=O)n(C)[n-]1)C(C)(F)F ZINC001486348118 1127159750 /nfs/dbraw/zinc/15/97/50/1127159750.db2.gz AIGQFIANLLOVTG-VHSXEESVSA-N -1 1 349.382 -0.349 20 0 EBADMM CC(C)(C)[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)C[C@@H]1CCC(=O)N1 ZINC001486414779 1127172767 /nfs/dbraw/zinc/17/27/67/1127172767.db2.gz BSMZYHSMFZGYRY-VHSXEESVSA-N -1 1 338.412 -0.201 20 0 EBADMM Cn1[n-]c(CN2CC=C(CNC(=O)CCc3cn[nH]c3)CC2)nc1=O ZINC001486516725 1127193558 /nfs/dbraw/zinc/19/35/58/1127193558.db2.gz GUGKQEOOEZWJMX-UHFFFAOYSA-N -1 1 345.407 -0.287 20 0 EBADMM C[C@@H](NC(=O)CCn1cc[n-]c(=O)c1=O)[C@@H](C)NC(=O)c1ccoc1 ZINC001486654549 1127228890 /nfs/dbraw/zinc/22/88/90/1127228890.db2.gz WZPUOPFJRCJAOH-GHMZBOCLSA-N -1 1 348.359 -0.157 20 0 EBADMM Cc1nc(C(=O)N2CC(CCO)(NC(=O)c3ncccc3[O-])C2)c[nH]1 ZINC001487030542 1127373525 /nfs/dbraw/zinc/37/35/25/1127373525.db2.gz ODXIILXYWMLQGX-UHFFFAOYSA-N -1 1 345.359 -0.174 20 0 EBADMM COC(=O)[C@H](CC(F)F)[N-]S(=O)(=O)CCn1nc(C)oc1=O ZINC001364796252 1127500294 /nfs/dbraw/zinc/50/02/94/1127500294.db2.gz FSDNBZSCIWGRLP-ZETCQYMHSA-N -1 1 343.308 -0.739 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]CCN(C)CC(F)(F)F ZINC001364801247 1127516539 /nfs/dbraw/zinc/51/65/39/1127516539.db2.gz SCKGJIXJIJWAEY-UHFFFAOYSA-N -1 1 344.315 -0.031 20 0 EBADMM CC(C)Cn1[n-]c(CC(=O)NC[C@@H]2[C@H]3CN(CC(N)=O)C[C@H]32)cc1=O ZINC001410112871 1127575944 /nfs/dbraw/zinc/57/59/44/1127575944.db2.gz NIXFZTANLBJWAX-ZSOGYDGISA-N -1 1 349.435 -0.846 20 0 EBADMM Cc1ccc(=O)n(CC(=O)NC[C@@H](C)NC(=O)c2ncccc2[O-])n1 ZINC001410190632 1127635885 /nfs/dbraw/zinc/63/58/85/1127635885.db2.gz VCXLMMWIRWNHSH-LLVKDONJSA-N -1 1 345.359 -0.413 20 0 EBADMM C[C@H](CNC(=O)c1cn(C)nn1)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001410211106 1127642388 /nfs/dbraw/zinc/64/23/88/1127642388.db2.gz YQQVOIDQSSRRSJ-MRVPVSSYSA-N -1 1 345.363 -0.264 20 0 EBADMM CN(C)c1noc(CN[C@H](CO)CNC(=O)c2ncccc2[O-])n1 ZINC001410585851 1127759627 /nfs/dbraw/zinc/75/96/27/1127759627.db2.gz CFIYFYMYHVAWMN-VIFPVBQESA-N -1 1 336.352 -0.883 20 0 EBADMM O=C(NC[C@H](CO)NCc1ncnn1CCF)c1ncccc1[O-] ZINC001410586305 1127761441 /nfs/dbraw/zinc/76/14/41/1127761441.db2.gz DGQUPZRUZJHPGA-SNVBAGLBSA-N -1 1 338.343 -0.771 20 0 EBADMM CC1(C)CN(C(=O)CNC(=O)C2CC2)C[C@H]1NCc1n[nH]c(=O)[n-]1 ZINC001410936516 1127948596 /nfs/dbraw/zinc/94/85/96/1127948596.db2.gz JYHKVXSJLNMWES-SNVBAGLBSA-N -1 1 336.396 -0.637 20 0 EBADMM CCn1ncc(CNC[C@H](O)CN(C)C(=O)c2ncccc2[O-])n1 ZINC001410997014 1127967848 /nfs/dbraw/zinc/96/78/48/1127967848.db2.gz XWDGIESSMNQXRD-LBPRGKRZSA-N -1 1 334.380 -0.379 20 0 EBADMM CCS(=O)(=O)CC(=O)N1C[C@H](NCc2n[nH]c(=O)[n-]2)C(C)(C)C1 ZINC001411025058 1127978216 /nfs/dbraw/zinc/97/82/16/1127978216.db2.gz UCQRQRLOYMEDSI-VIFPVBQESA-N -1 1 345.425 -0.728 20 0 EBADMM Cc1nc(CC(=O)N(C)C[C@H](O)CNCc2n[nH]c(=O)[n-]2)cs1 ZINC001411145557 1128039543 /nfs/dbraw/zinc/03/95/43/1128039543.db2.gz RNQKOWMWMBPNOK-SNVBAGLBSA-N -1 1 340.409 -0.573 20 0 EBADMM Cn1cnc(C(=O)NC[C@@]2(O)CCN(C(=O)c3ncccc3[O-])C2)c1 ZINC001411155453 1128051197 /nfs/dbraw/zinc/05/11/97/1128051197.db2.gz ABIRWMZUKBENBI-INIZCTEOSA-N -1 1 345.359 -0.472 20 0 EBADMM O=C(NC[C@@]1(O)CCN(C(=O)[C@@H]2CCCOC2)C1)c1ncccc1[O-] ZINC001411160789 1128052773 /nfs/dbraw/zinc/05/27/73/1128052773.db2.gz PMPVAVHACLRNTA-PXAZEXFGSA-N -1 1 349.387 -0.093 20 0 EBADMM CN(CCS(C)(=O)=O)C[C@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001411162925 1128060674 /nfs/dbraw/zinc/06/06/74/1128060674.db2.gz OZOLKOOKCVWAAO-NSHDSACASA-N -1 1 345.421 -0.804 20 0 EBADMM Cc1[nH]nc(C(=O)N(C)C[C@H](O)CN(C)[C@H]2CCCNC2=O)c1[O-] ZINC001411164492 1128062438 /nfs/dbraw/zinc/06/24/38/1128062438.db2.gz SEWRBDLNWBQELL-MNOVXSKESA-N -1 1 339.396 -0.933 20 0 EBADMM COCCN(CCNC(=O)c1ccc(C)o1)Cc1nc(=O)n(C)[n-]1 ZINC001411213519 1128092788 /nfs/dbraw/zinc/09/27/88/1128092788.db2.gz CDEYBYHSVYNUCA-UHFFFAOYSA-N -1 1 337.380 -0.112 20 0 EBADMM Cc1cnoc1C(=O)N1C[C@H]2CN(Cc3nc(=O)n(C)[n-]3)C[C@@]2(C)C1 ZINC001092162813 1128196718 /nfs/dbraw/zinc/19/67/18/1128196718.db2.gz QPDOEHHHCMYXFM-BZNIZROVSA-N -1 1 346.391 -0.001 20 0 EBADMM COc1cc(C(=O)NC[C@@H]2CN(Cc3n[nH]c(=O)[n-]3)C[C@H]2C)ncn1 ZINC001092609667 1128256656 /nfs/dbraw/zinc/25/66/56/1128256656.db2.gz HNXNWGPLXOCFTH-NXEZZACHSA-N -1 1 347.379 -0.193 20 0 EBADMM Cn1[n-]c(CN2CC3(C2)C[C@H](NC(=O)C2CC2)c2nccn23)nc1=O ZINC001092338540 1128230796 /nfs/dbraw/zinc/23/07/96/1128230796.db2.gz MLAILMAZXALVDN-NSHDSACASA-N -1 1 343.391 -0.513 20 0 EBADMM C[C@@H]1CN(Cc2n[nH]c(=O)[n-]2)C[C@H]1CNC(=O)c1cccn(C)c1=O ZINC001093139645 1128297010 /nfs/dbraw/zinc/29/70/10/1128297010.db2.gz NLDTYKCBLHQNTJ-GHMZBOCLSA-N -1 1 346.391 -0.293 20 0 EBADMM Cn1[n-]c(CNCc2cn(C3CN(C(=O)CC4CC4)C3)nn2)nc1=O ZINC001093299804 1128319941 /nfs/dbraw/zinc/31/99/41/1128319941.db2.gz BXFTUUAIVGSTRN-UHFFFAOYSA-N -1 1 346.395 -0.827 20 0 EBADMM Cc1nc(C(C)C)[n-]c(=O)c1C(=O)N(C)C[C@@H](C)NC(=O)C(N)=O ZINC001487857983 1128446041 /nfs/dbraw/zinc/44/60/41/1128446041.db2.gz VJTVQPOCMGVYFS-MRVPVSSYSA-N -1 1 337.380 -0.324 20 0 EBADMM CO[C@H](C)CCC(=O)NC[C@H](CO)NC(=O)c1ncccc1[O-] ZINC001487974129 1128469624 /nfs/dbraw/zinc/46/96/24/1128469624.db2.gz CEDZTILINVXKQE-GHMZBOCLSA-N -1 1 325.365 -0.191 20 0 EBADMM O=C(N[C@H](CO)CNC(=O)[C@@H]1CCCOCC1)c1ncccc1[O-] ZINC001487985503 1128521131 /nfs/dbraw/zinc/52/11/31/1128521131.db2.gz KUTKAHZZHLSVAQ-NEPJUHHUSA-N -1 1 337.376 -0.189 20 0 EBADMM C[C@H]1C[C@@H](NC(=O)CCn2cc[n-]c(=O)c2=O)CN1C(=O)CC1CC1 ZINC001488155094 1128637521 /nfs/dbraw/zinc/63/75/21/1128637521.db2.gz AVTAFEZEZBDDQR-WCQYABFASA-N -1 1 348.403 -0.168 20 0 EBADMM Cc1cnn(CC(=O)NC[C@@H](O)CN(C)C(=O)c2ncccc2[O-])c1 ZINC001488350692 1128688391 /nfs/dbraw/zinc/68/83/91/1128688391.db2.gz LMXRVFMEQWSXAV-GFCCVEGCSA-N -1 1 347.375 -0.459 20 0 EBADMM CN(C[C@H](O)CNC(=O)c1ncccc1[O-])C(=O)Cc1cnn(C)c1 ZINC001488338208 1128723982 /nfs/dbraw/zinc/72/39/82/1128723982.db2.gz KKZGRTJAEGTBBV-GFCCVEGCSA-N -1 1 347.375 -0.688 20 0 EBADMM CN(C[C@@H](O)CNC(=O)c1ncccc1[O-])C(=O)Cc1cnoc1 ZINC001488395173 1128734203 /nfs/dbraw/zinc/73/42/03/1128734203.db2.gz JEYGRGKWAXGHJW-NSHDSACASA-N -1 1 334.332 -0.433 20 0 EBADMM CN(C[C@H](O)CN(C)C(=O)c1ncccc1[O-])C(=O)c1cnn(C)c1 ZINC001488421029 1128752265 /nfs/dbraw/zinc/75/22/65/1128752265.db2.gz JFFJAHVCUAFULS-LBPRGKRZSA-N -1 1 347.375 -0.274 20 0 EBADMM CCC(=O)N(CCNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC)CCOC ZINC001488539720 1128785154 /nfs/dbraw/zinc/78/51/54/1128785154.db2.gz OLAITAUVUXYQAD-UHFFFAOYSA-N -1 1 342.352 -0.489 20 0 EBADMM CN(C[C@H]1CCN1Cc1nc(=O)n(C)[n-]1)C(=O)[C@@]1(C)CCCOC1 ZINC001488676503 1128822169 /nfs/dbraw/zinc/82/21/69/1128822169.db2.gz NMILOPYSIUDJMJ-WBMJQRKESA-N -1 1 337.424 -0.042 20 0 EBADMM C[C@@H]1CC[C@H](NC(=O)CCNC(N)=O)CN1C(=O)c1ncccc1[O-] ZINC001488680793 1128822847 /nfs/dbraw/zinc/82/28/47/1128822847.db2.gz TYUUIAACQKGJRD-MNOVXSKESA-N -1 1 349.391 -0.045 20 0 EBADMM CN(CCOCCN(C)C(=O)c1cnccn1)Cc1nc(=O)n(C)[n-]1 ZINC001489211893 1128933105 /nfs/dbraw/zinc/93/31/05/1128933105.db2.gz VKKRRRQGYUPGLU-UHFFFAOYSA-N -1 1 349.395 -0.881 20 0 EBADMM COCC(=O)N(CCO)[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001490022671 1129096647 /nfs/dbraw/zinc/09/66/47/1129096647.db2.gz HQPOPWOESGSFAT-GFCCVEGCSA-N -1 1 337.376 -0.141 20 0 EBADMM CCOCCC(=O)N[C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1O ZINC001490176853 1129133732 /nfs/dbraw/zinc/13/37/32/1129133732.db2.gz ZCVNZBGSOIUEAM-AAEUAGOBSA-N -1 1 337.376 -0.095 20 0 EBADMM COCC1(C(=O)N2CC[C@@H](NC(=O)c3ncccc3[O-])[C@H](O)C2)CC1 ZINC001490178906 1129134976 /nfs/dbraw/zinc/13/49/76/1129134976.db2.gz NXHFTGSCVOBCNK-DGCLKSJQSA-N -1 1 349.387 -0.095 20 0 EBADMM CN1C[C@H](C(=O)NCCCN(Cc2n[nH]c(=O)[n-]2)C2CC2)CC1=O ZINC001490374116 1129168793 /nfs/dbraw/zinc/16/87/93/1129168793.db2.gz FDPZMTVNEYSVBZ-SNVBAGLBSA-N -1 1 336.396 -0.541 20 0 EBADMM Cn1[n-]c(CN2CCN(CCNC(=O)CC(C)(C)C)CC2)nc1=O ZINC001490480241 1129187302 /nfs/dbraw/zinc/18/73/02/1129187302.db2.gz BSHWXIRQGHMXQF-UHFFFAOYSA-N -1 1 338.456 -0.222 20 0 EBADMM C[C@@H](NC(=O)c1cn2c(n1)COCC2)[C@H](C)NC(=O)c1cnn[nH]1 ZINC001411409858 1129289656 /nfs/dbraw/zinc/28/96/56/1129289656.db2.gz BDIQUCRIEODTDL-DTWKUNHWSA-N -1 1 333.352 -0.532 20 0 EBADMM CN(C(=O)C1=NN(c2ccc(F)cc2)[C@H](C(N)=O)C1)c1nn[n-]n1 ZINC001411481969 1129301272 /nfs/dbraw/zinc/30/12/72/1129301272.db2.gz UTJTXWOLLCNMBH-JTQLQIEISA-N -1 1 332.299 -0.578 20 0 EBADMM CCc1cc(=O)n2[n-]c(NC(=O)[C@@]3(C)CCCS3(=O)=O)nc2n1 ZINC001411493734 1129303358 /nfs/dbraw/zinc/30/33/58/1129303358.db2.gz VQAQOSZFZWQXRF-CYBMUJFWSA-N -1 1 339.377 -0.114 20 0 EBADMM O=C(c1ccc([O-])cn1)N1CCN([C@@H]2CCS(=O)(=O)C2)CC1 ZINC001411611711 1129332822 /nfs/dbraw/zinc/33/28/22/1129332822.db2.gz ITMOGUUDRKXYCD-LLVKDONJSA-N -1 1 325.390 -0.268 20 0 EBADMM O=C(CCCc1nn[n-]n1)Nc1ccc(N2CCNC(=O)C2)nc1 ZINC001411648724 1129343261 /nfs/dbraw/zinc/34/32/61/1129343261.db2.gz XSFCWZDINRUPJQ-UHFFFAOYSA-N -1 1 330.352 -0.508 20 0 EBADMM CC(C)OCC(=O)N1CC(CCO)(NC(=O)c2ncccc2[O-])C1 ZINC001411761910 1129373138 /nfs/dbraw/zinc/37/31/38/1129373138.db2.gz SMZLAUWEOLCDJS-UHFFFAOYSA-N -1 1 337.376 -0.095 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H](C)[C@H](C)N1CCOCC1 ZINC001411776310 1129379958 /nfs/dbraw/zinc/37/99/58/1129379958.db2.gz MJUXVFHZQWVWKX-BDAKNGLRSA-N -1 1 326.353 -0.265 20 0 EBADMM C[C@@H](NC(=O)Cn1cnnn1)C1CN(C(=O)c2ncccc2[O-])C1 ZINC001411924579 1129403882 /nfs/dbraw/zinc/40/38/82/1129403882.db2.gz VDDOSBNFAYPEBQ-SECBINFHSA-N -1 1 331.336 -0.949 20 0 EBADMM COC(=O)[C@H]1CCN(C(=O)CCCc2nn[n-]n2)[C@H](C(=O)OC)C1 ZINC001411949943 1129410326 /nfs/dbraw/zinc/41/03/26/1129410326.db2.gz NKWVEKRLJKLIEI-UWVGGRQHSA-N -1 1 339.352 -0.524 20 0 EBADMM CC1(C)CN(C(=O)CNC(N)=O)C[C@H]1NC(=O)c1ncccc1[O-] ZINC001412046506 1129434607 /nfs/dbraw/zinc/43/46/07/1129434607.db2.gz NTPIGCCXRLBJBG-SNVBAGLBSA-N -1 1 335.364 -0.578 20 0 EBADMM CC(=O)NCc1cccc(C(=O)N2CCC(O)(c3nn[n-]n3)CC2)c1 ZINC001412060010 1129438024 /nfs/dbraw/zinc/43/80/24/1129438024.db2.gz YQJIBOZTDQZUHS-UHFFFAOYSA-N -1 1 344.375 -0.040 20 0 EBADMM O=C(CN1CCS(=O)(=O)CC1)Nc1nc2c(c(=O)[n-]1)CCCC2 ZINC001412161354 1129466157 /nfs/dbraw/zinc/46/61/57/1129466157.db2.gz DMXWJLOJHIWMSR-UHFFFAOYSA-N -1 1 340.405 -0.270 20 0 EBADMM CC(=O)N[C@H](C)C(=O)NC[C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001412216919 1129479266 /nfs/dbraw/zinc/47/92/66/1129479266.db2.gz OPRNWIQGZSQZRW-YUSALJHKSA-N -1 1 334.376 -0.064 20 0 EBADMM CN(C)S(=O)(=O)c1ccccc1CNC(=O)CCc1nn[n-]n1 ZINC001412386305 1129514689 /nfs/dbraw/zinc/51/46/89/1129514689.db2.gz SZJDBNRFRRMXMG-UHFFFAOYSA-N -1 1 338.393 -0.301 20 0 EBADMM C[C@H](O)c1cn(CC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)nn1 ZINC001412482754 1129538606 /nfs/dbraw/zinc/53/86/06/1129538606.db2.gz DVCZKCHFSZMMDI-QMMMGPOBSA-N -1 1 334.336 -0.784 20 0 EBADMM CCC1(Cn2cc(C(=O)N3CC[C@H](c4nn[n-]n4)C3)nn2)COC1 ZINC001412487581 1129540175 /nfs/dbraw/zinc/54/01/75/1129540175.db2.gz IFIFVMAGGJMURE-JTQLQIEISA-N -1 1 332.368 -0.153 20 0 EBADMM CCS(=O)(=O)N1CCC[C@@H](C(=O)NC2(c3nn[n-]n3)CCC2)C1 ZINC001412535707 1129554040 /nfs/dbraw/zinc/55/40/40/1129554040.db2.gz DNQZBOHNIMURTO-SNVBAGLBSA-N -1 1 342.425 -0.243 20 0 EBADMM Cc1cc(=O)[nH]c(N2CC[C@@H](NC(=O)CCCc3nn[n-]n3)C2)n1 ZINC001412608142 1129575226 /nfs/dbraw/zinc/57/52/26/1129575226.db2.gz UABKKZUMMJRELS-SNVBAGLBSA-N -1 1 332.368 -0.278 20 0 EBADMM CCO[C@@H](CC)C(=O)N[C@H](CO)CNC(=O)c1ncccc1[O-] ZINC001412808929 1129665117 /nfs/dbraw/zinc/66/51/17/1129665117.db2.gz LGCNXEDNTJFVHQ-JQWIXIFHSA-N -1 1 325.365 -0.191 20 0 EBADMM CC(C)(C)OC(=O)COCC(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001412893903 1129763644 /nfs/dbraw/zinc/76/36/44/1129763644.db2.gz CGKQIEVSRWVJMC-UHFFFAOYSA-N -1 1 341.368 -0.632 20 0 EBADMM CCC(CC)(NC(=O)Cn1nc2n(c1=O)CCCC2)c1nn[n-]n1 ZINC001412931337 1129795271 /nfs/dbraw/zinc/79/52/71/1129795271.db2.gz CCBQASKYDDRRMB-UHFFFAOYSA-N -1 1 334.384 -0.274 20 0 EBADMM Cn1cc(C(=O)NC[C@@H]2CCCN2C(=O)CCCc2nn[n-]n2)cn1 ZINC001412942918 1129800742 /nfs/dbraw/zinc/80/07/42/1129800742.db2.gz SZCSWVJODALARF-LBPRGKRZSA-N -1 1 346.395 -0.323 20 0 EBADMM Cc1ncc(C(=O)NC[C@H](O)CN(C)C(=O)c2ncccc2[O-])n1C ZINC001413243991 1129968213 /nfs/dbraw/zinc/96/82/13/1129968213.db2.gz GGAYVKTZVMEFJU-NSHDSACASA-N -1 1 347.375 -0.308 20 0 EBADMM CNC(=O)[C@H](CC(C)C)[N-]S(=O)(=O)c1n[nH]cc1C(=O)OC ZINC001413321958 1130014207 /nfs/dbraw/zinc/01/42/07/1130014207.db2.gz BAZIBORLZYWAFW-VIFPVBQESA-N -1 1 332.382 -0.365 20 0 EBADMM CN(C[C@H](O)CN(C)C(=O)c1ncccc1[O-])C(=O)c1cnccn1 ZINC001413367324 1130053272 /nfs/dbraw/zinc/05/32/72/1130053272.db2.gz UTXRASLIMWMHLD-NSHDSACASA-N -1 1 345.359 -0.218 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CC(=O)N(C(C)(C)C)C2)[n-]n1 ZINC001413372642 1130059384 /nfs/dbraw/zinc/05/93/84/1130059384.db2.gz JAYIIWMONUSXIK-MRVPVSSYSA-N -1 1 344.393 -0.126 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@@H]2CC(=O)N(C(C)(C)C)C2)n[n-]1 ZINC001413372642 1130059388 /nfs/dbraw/zinc/05/93/88/1130059388.db2.gz JAYIIWMONUSXIK-MRVPVSSYSA-N -1 1 344.393 -0.126 20 0 EBADMM COC(=O)c1ccc(S(=O)(=O)[N-][C@@H](C)C2N=NC(=O)N2C)o1 ZINC001413385397 1130065508 /nfs/dbraw/zinc/06/55/08/1130065508.db2.gz LWRMHGCWSYCRNA-LURJTMIESA-N -1 1 330.322 -0.060 20 0 EBADMM COC[C@@]1(C)CN(S(=O)(=O)c2cc(C(=O)OC)n[n-]2)CCO1 ZINC001413413348 1130077541 /nfs/dbraw/zinc/07/75/41/1130077541.db2.gz ZWPNPUXSEOSOPD-GFCCVEGCSA-N -1 1 333.366 -0.378 20 0 EBADMM COC[C@@]1(C)CN(S(=O)(=O)c2cc(C(=O)OC)[n-]n2)CCO1 ZINC001413413348 1130077548 /nfs/dbraw/zinc/07/75/48/1130077548.db2.gz ZWPNPUXSEOSOPD-GFCCVEGCSA-N -1 1 333.366 -0.378 20 0 EBADMM O=C(c1cc(N2CCNC(=O)C2)ccn1)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001413474530 1130100720 /nfs/dbraw/zinc/10/07/20/1130100720.db2.gz ZDTSOANHBBJLTK-SNVBAGLBSA-N -1 1 342.363 -0.839 20 0 EBADMM COCCN(CCNC(=O)c1ncccc1[O-])C(=O)Cn1ccnc1 ZINC001413500174 1130108151 /nfs/dbraw/zinc/10/81/51/1130108151.db2.gz IDNONQWVFWOEDD-UHFFFAOYSA-N -1 1 347.375 -0.111 20 0 EBADMM COC[C@@H](NC(=O)[C@H]1CC[C@@H](CCC(=O)OC)O1)c1nn[n-]n1 ZINC001413558633 1130133534 /nfs/dbraw/zinc/13/35/34/1130133534.db2.gz WOEKGZLXZDDKER-IVZWLZJFSA-N -1 1 327.341 -0.496 20 0 EBADMM Cc1cnn(CC(=O)NC[C@H]2CCCCN2Cc2nc(=O)n(C)[n-]2)c1 ZINC001491202122 1130360102 /nfs/dbraw/zinc/36/01/02/1130360102.db2.gz XAYILDVZDBMLAO-CYBMUJFWSA-N -1 1 347.423 -0.216 20 0 EBADMM C[C@H]1CCCN(C(=O)C(=O)NCCNC(=O)c2ncccc2[O-])C1 ZINC001491245379 1130370923 /nfs/dbraw/zinc/37/09/23/1130370923.db2.gz NPQZLKKCROCHJE-NSHDSACASA-N -1 1 334.376 -0.108 20 0 EBADMM CC[C@@]1(C)C[C@H]1C(=O)NCCNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001491459163 1130452962 /nfs/dbraw/zinc/45/29/62/1130452962.db2.gz CNZXRISZFURTJR-ZBEGNZNMSA-N -1 1 336.392 -0.405 20 0 EBADMM Cc1cn2c(n1)C[C@@H](C(=O)NC[C@@H](C)NCc1n[nH]c(=O)[n-]1)CC2 ZINC001491494261 1130469442 /nfs/dbraw/zinc/46/94/42/1130469442.db2.gz CQRDMFPTZHZXTP-KOLCDFICSA-N -1 1 333.396 -0.128 20 0 EBADMM NC(=O)c1nc(CNS(=O)(=O)c2cc(C(=O)[O-])ccc2F)no1 ZINC001260217967 1130543034 /nfs/dbraw/zinc/54/30/34/1130543034.db2.gz OUMILSRNLAUKBG-UHFFFAOYSA-N -1 1 344.280 -0.516 20 0 EBADMM Cc1nc[nH]c(=O)c1CCC(=O)NCC[N@@H+](C)[C@H]1CCN(C)C1=O ZINC001492318476 1130625369 /nfs/dbraw/zinc/62/53/69/1130625369.db2.gz YISGIXGMKUMDGE-ZDUSSCGKSA-N -1 1 335.408 -0.298 20 0 EBADMM CN(CCCNC(=O)c1ncccc1[O-])C(=O)[C@@H]1CN(C)CCO1 ZINC001492330345 1130630610 /nfs/dbraw/zinc/63/06/10/1130630610.db2.gz KZEQSBIEZJAUGC-ZDUSSCGKSA-N -1 1 336.392 -0.304 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2nc(C(F)F)no2)c(=O)[nH]c1=O ZINC001475407974 1130716540 /nfs/dbraw/zinc/71/65/40/1130716540.db2.gz TUEKKUMHKRJLJB-UHFFFAOYSA-N -1 1 337.264 -0.715 20 0 EBADMM O=C(Nc1c[n-][nH]c1=O)[C@@H]1CC(=O)N([C@@H]2CCS(=O)(=O)C2)C1 ZINC001413847907 1130734825 /nfs/dbraw/zinc/73/48/25/1130734825.db2.gz RGGXHOFNMIZHKB-HTQZYQBOSA-N -1 1 328.350 -0.911 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)c2n[nH]cc2Br)n1 ZINC001413847887 1130734869 /nfs/dbraw/zinc/73/48/69/1130734869.db2.gz DEQIHFFSXQFALV-UHFFFAOYSA-N -1 1 335.143 -0.054 20 0 EBADMM CS(=O)(=O)c1nc(NC(=O)c2n[nH]cc2Br)n[n-]1 ZINC001413847887 1130734870 /nfs/dbraw/zinc/73/48/70/1130734870.db2.gz DEQIHFFSXQFALV-UHFFFAOYSA-N -1 1 335.143 -0.054 20 0 EBADMM CC(C)(C)[C@H](NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2)C(N)=O ZINC001413922910 1130830507 /nfs/dbraw/zinc/83/05/07/1130830507.db2.gz SRAGUUICRMKYFN-LLVKDONJSA-N -1 1 337.380 -0.046 20 0 EBADMM COC(=O)NC1CN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC001413923204 1130830593 /nfs/dbraw/zinc/83/05/93/1130830593.db2.gz VFJMJASTKLQAFT-UHFFFAOYSA-N -1 1 337.336 -0.495 20 0 EBADMM CC/C(C)=C\C(=O)NC1CN(C(=O)CCn2cc[n-]c(=O)c2=O)C1 ZINC001356757751 1130920788 /nfs/dbraw/zinc/92/07/88/1130920788.db2.gz RPTJNCGCGDKLOX-FLIBITNWSA-N -1 1 334.376 -0.390 20 0 EBADMM CN(CCC1CCN(C(=O)c2ncccc2[O-])CC1)C(=O)C(N)=O ZINC001357079704 1131041776 /nfs/dbraw/zinc/04/17/76/1131041776.db2.gz GKBUFTRLNUNQSS-UHFFFAOYSA-N -1 1 334.376 -0.027 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NCC=CCNC(=O)[C@@H]1C[C@@H]1C ZINC001357530771 1131098534 /nfs/dbraw/zinc/09/85/34/1131098534.db2.gz RPHLMXSEXJNPJS-HNRDENNGSA-N -1 1 336.348 -0.045 20 0 EBADMM C[C@@H]1C[C@H]1CC(=O)NC/C=C/CNC(=O)CCn1cc[n-]c(=O)c1=O ZINC001357964285 1131154248 /nfs/dbraw/zinc/15/42/48/1131154248.db2.gz MRHWXHPZSPUMQV-XDYXTIEYSA-N -1 1 348.403 -0.239 20 0 EBADMM CN(C)S(=O)(=O)c1cc(C(=O)N(C)c2nn[n-]n2)ccc1F ZINC001361908643 1131476767 /nfs/dbraw/zinc/47/67/67/1131476767.db2.gz XQVDKPUTQQOOOL-UHFFFAOYSA-N -1 1 328.329 -0.134 20 0 EBADMM C[C@H](NC(=O)c1cccc(-n2[n-]nnc2=N)c1)[C@H]1CN(C)CCN1C ZINC001361981927 1131491724 /nfs/dbraw/zinc/49/17/24/1131491724.db2.gz RCTYUWMHYKILOE-SMDDNHRTSA-N -1 1 344.423 -0.561 20 0 EBADMM COCC(=O)NCCC(=O)Nc1ccc(OCc2nn[n-]n2)cc1 ZINC001361985133 1131492371 /nfs/dbraw/zinc/49/23/71/1131492371.db2.gz SXKOYFZKZQBZIP-UHFFFAOYSA-N -1 1 334.336 -0.130 20 0 EBADMM O=C([C@H]1CC12CCS(=O)(=O)CC2)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001362065340 1131507813 /nfs/dbraw/zinc/50/78/13/1131507813.db2.gz UOVNVWZNTGDTNW-NXEZZACHSA-N -1 1 341.393 -0.686 20 0 EBADMM O=C(c1ccc(CN2CCOCC2)o1)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001362089417 1131513284 /nfs/dbraw/zinc/51/32/84/1131513284.db2.gz LDKLYCYMBGGREK-CYBMUJFWSA-N -1 1 348.363 -0.161 20 0 EBADMM CNS(=O)(=O)c1cccc([C@@H](C)NC(=O)CCc2nn[n-]n2)c1 ZINC001362115083 1131518067 /nfs/dbraw/zinc/51/80/67/1131518067.db2.gz RJLVTWLHERTVSK-SECBINFHSA-N -1 1 338.393 -0.082 20 0 EBADMM O=C(C[C@@H]1NC(=O)c2ccccc21)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001362123579 1131519761 /nfs/dbraw/zinc/51/97/61/1131519761.db2.gz JZXZQNLKNRJOKQ-RYUDHWBXSA-N -1 1 328.332 -0.026 20 0 EBADMM CC[C@H](C)[C@H](NC(=O)OC)C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001362127076 1131520987 /nfs/dbraw/zinc/52/09/87/1131520987.db2.gz VCDLPPAXIRDFEP-GUBZILKMSA-N -1 1 326.357 -0.130 20 0 EBADMM CS(=O)(=O)CCN(C(=O)CNC(=O)c1ncccc1[O-])C1CC1 ZINC001362166159 1131528430 /nfs/dbraw/zinc/52/84/30/1131528430.db2.gz CZJVVTKJPFUZCL-UHFFFAOYSA-N -1 1 341.389 -0.447 20 0 EBADMM C[C@@H]1C[C@H](NC(=O)CCCc2nn[n-]n2)C(=O)N1c1cnn(C)c1 ZINC001362167288 1131529008 /nfs/dbraw/zinc/52/90/08/1131529008.db2.gz BPXUVUJJYCWOCT-KOLCDFICSA-N -1 1 332.368 -0.434 20 0 EBADMM C[C@@H]1C[C@@H](NC(=O)CCCc2nn[n-]n2)C(=O)N1c1cnn(C)c1 ZINC001362167291 1131529035 /nfs/dbraw/zinc/52/90/35/1131529035.db2.gz BPXUVUJJYCWOCT-MWLCHTKSSA-N -1 1 332.368 -0.434 20 0 EBADMM O=C(CCCc1nn[n-]n1)Nc1ccc(CC(=O)NCCO)cc1 ZINC001362185713 1131531603 /nfs/dbraw/zinc/53/16/03/1131531603.db2.gz VOFASVJQXQIFAQ-UHFFFAOYSA-N -1 1 332.364 -0.188 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)NC(C)(C)CCS(C)(=O)=O ZINC001362192493 1131533098 /nfs/dbraw/zinc/53/30/98/1131533098.db2.gz ZYQZFSNIKMZLIH-UHFFFAOYSA-N -1 1 333.366 -0.161 20 0 EBADMM O=C([C@H]1COCCN1CC(F)F)N1CCC[C@H](c2nn[n-]n2)C1 ZINC001362209654 1131536696 /nfs/dbraw/zinc/53/66/96/1131536696.db2.gz QGSFXECPRSBYHV-VHSXEESVSA-N -1 1 330.339 -0.128 20 0 EBADMM O=C(Cn1cnnn1)N1CCN(C(=O)c2ccc(F)c([O-])c2)CC1 ZINC001362221576 1131539067 /nfs/dbraw/zinc/53/90/67/1131539067.db2.gz LGVCCZYMUTYFNQ-UHFFFAOYSA-N -1 1 334.311 -0.498 20 0 EBADMM CSc1ncc(C(=O)NC[C@@H](O)CN2CCCC2=O)c(=O)[n-]1 ZINC001362234266 1131541630 /nfs/dbraw/zinc/54/16/30/1131541630.db2.gz SQTPRXDWYXXAEO-MRVPVSSYSA-N -1 1 326.378 -0.383 20 0 EBADMM CSc1ncc(C(=O)N2CCN(C(=O)[C@H](C)O)CC2)c(=O)[n-]1 ZINC001362276261 1131550543 /nfs/dbraw/zinc/55/05/43/1131550543.db2.gz VESWHONZOXHXRD-QMMMGPOBSA-N -1 1 326.378 -0.431 20 0 EBADMM Cn1cc(S(N)(=O)=O)cc1C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC001362373049 1131570429 /nfs/dbraw/zinc/57/04/29/1131570429.db2.gz HIGYEEZHDZKUFA-MRVPVSSYSA-N -1 1 339.381 -0.795 20 0 EBADMM Cc1nnc2n1C[C@@H](C(=O)N1CCSC[C@H]1c1nn[n-]n1)CC2 ZINC001362523069 1131601345 /nfs/dbraw/zinc/60/13/45/1131601345.db2.gz ZSQDVRDTEVTSBV-UWVGGRQHSA-N -1 1 334.409 -0.021 20 0 EBADMM Cc1nc(C(C)(C)C)[n-]c(=O)c1C(=O)N1CCN(CC(N)=O)C(=O)C1 ZINC001362534108 1131604174 /nfs/dbraw/zinc/60/41/74/1131604174.db2.gz YZRXKUQXSBQUGD-UHFFFAOYSA-N -1 1 349.391 -0.442 20 0 EBADMM CCOC(=O)[C@@H](NC(=O)CCCc1nn[n-]n1)[C@@H]1CCCOC1 ZINC001362534851 1131604511 /nfs/dbraw/zinc/60/45/11/1131604511.db2.gz UEIKZSOOQXQNAA-MFKMUULPSA-N -1 1 325.369 -0.003 20 0 EBADMM CNC(=O)[C@H](Cc1ccccc1)NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001362566999 1131613063 /nfs/dbraw/zinc/61/30/63/1131613063.db2.gz CYWWBJRPSRQRNL-JTQLQIEISA-N -1 1 346.343 -0.017 20 0 EBADMM O=C(c1cnncc1[O-])N1CCN(S(=O)(=O)c2cc[nH]n2)CC1 ZINC001362567811 1131613159 /nfs/dbraw/zinc/61/31/59/1131613159.db2.gz HQDAIECSKIUWJK-UHFFFAOYSA-N -1 1 338.349 -0.948 20 0 EBADMM CS(=O)(=O)[N-]c1cc(F)ccc1NC(=O)CN1CCNC(=O)C1 ZINC001362712263 1131649432 /nfs/dbraw/zinc/64/94/32/1131649432.db2.gz HFXAPHDAHVELHR-UHFFFAOYSA-N -1 1 344.368 -0.433 20 0 EBADMM CC(=O)N[C@H](CC1CCC1)C(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362730475 1131657283 /nfs/dbraw/zinc/65/72/83/1131657283.db2.gz LKMMSXCZNKGSEL-GFCCVEGCSA-N -1 1 336.396 -0.295 20 0 EBADMM O=C([C@@H]1COc2ccc(O)cc2O1)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001362732764 1131657684 /nfs/dbraw/zinc/65/76/84/1131657684.db2.gz SZAHEBRNNKIFSE-LBPRGKRZSA-N -1 1 347.331 -0.445 20 0 EBADMM CSc1ncc(C(=O)N(C)CC(=O)N2CCN(C)CC2)c(=O)[n-]1 ZINC001362744678 1131661999 /nfs/dbraw/zinc/66/19/99/1131661999.db2.gz RKAULHCHYPRCJS-UHFFFAOYSA-N -1 1 339.421 -0.250 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N1C[C@@H](N2CCOCC2)C[C@H]1C ZINC001362805491 1131680535 /nfs/dbraw/zinc/68/05/35/1131680535.db2.gz XUEKQCQQXHXGPG-ZJUUUORDSA-N -1 1 338.364 -0.168 20 0 EBADMM COC[C@@H](NC(=O)c1ccc(S(C)(=O)=O)nc1)c1nn[n-]n1 ZINC001362901090 1131707882 /nfs/dbraw/zinc/70/78/82/1131707882.db2.gz PYIOKRCXQUDISP-MRVPVSSYSA-N -1 1 326.338 -0.884 20 0 EBADMM COC(=O)C1(NC(=O)c2[nH]c(=O)[n-]c(=O)c2OC)CCOCC1 ZINC001362941262 1131718624 /nfs/dbraw/zinc/71/86/24/1131718624.db2.gz HXLLNVAIWVZSCS-UHFFFAOYSA-N -1 1 327.293 -0.652 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@H]1CCS(=O)(=O)[C@@H](C)C1 ZINC001362966104 1131727555 /nfs/dbraw/zinc/72/75/55/1131727555.db2.gz ACVATEGFTHXKIW-BQBZGAKWSA-N -1 1 331.350 -0.408 20 0 EBADMM O=C([C@@H]1CCCCS1(=O)=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC001362971929 1131729697 /nfs/dbraw/zinc/72/96/97/1131729697.db2.gz MKOZIVWQDLWTMH-BDAKNGLRSA-N -1 1 331.423 -0.217 20 0 EBADMM CCOc1ccc(N2CCN(C(=O)CCc3nn[n-]n3)CC2)nn1 ZINC001363015730 1131746933 /nfs/dbraw/zinc/74/69/33/1131746933.db2.gz RBXYERLNBKCQRY-UHFFFAOYSA-N -1 1 332.368 -0.330 20 0 EBADMM O=C(CCCc1nn[n-]n1)NCCS(=O)(=O)CC(F)(F)F ZINC001363029086 1131753563 /nfs/dbraw/zinc/75/35/63/1131753563.db2.gz DRYIVLGZOWWSQJ-UHFFFAOYSA-N -1 1 329.304 -0.384 20 0 EBADMM CCOC(=O)c1nc([C@H](C)NC(=O)CNC(=O)N2CCCC2)n[n-]1 ZINC001363046418 1131761485 /nfs/dbraw/zinc/76/14/85/1131761485.db2.gz ODYHBUFFJAMGFR-VIFPVBQESA-N -1 1 338.368 -0.036 20 0 EBADMM CCOC(=O)c1nnc([C@H](C)NC(=O)CNC(=O)N2CCCC2)[n-]1 ZINC001363046418 1131761491 /nfs/dbraw/zinc/76/14/91/1131761491.db2.gz ODYHBUFFJAMGFR-VIFPVBQESA-N -1 1 338.368 -0.036 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](C)NC(=O)CNC(=O)N2CCCC2)n1 ZINC001363046418 1131761494 /nfs/dbraw/zinc/76/14/94/1131761494.db2.gz ODYHBUFFJAMGFR-VIFPVBQESA-N -1 1 338.368 -0.036 20 0 EBADMM Cc1noc([C@@H]2OCC[C@@H]2NC(=O)CNC(=O)c2ncccc2[O-])n1 ZINC001363098841 1131783410 /nfs/dbraw/zinc/78/34/10/1131783410.db2.gz QLUJBVVVGNJQEA-TVQRCGJNSA-N -1 1 347.331 -0.145 20 0 EBADMM Cn1cc([C@H]2CN(C(=O)CNC(=O)c3ncccc3[O-])CCN2)cn1 ZINC001363101245 1131784557 /nfs/dbraw/zinc/78/45/57/1131784557.db2.gz YWRNGKRSCVUXIJ-GFCCVEGCSA-N -1 1 344.375 -0.576 20 0 EBADMM O=C(CCc1nn[n-]n1)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC001363104115 1131786020 /nfs/dbraw/zinc/78/60/20/1131786020.db2.gz HNYNXZYVLKZJLU-JTQLQIEISA-N -1 1 328.398 -0.841 20 0 EBADMM COC(=O)[C@@]1(C)C[C@@H](OC)CN1C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001363131181 1131794548 /nfs/dbraw/zinc/79/45/48/1131794548.db2.gz VLMSWPPDQPIEAU-NXSYQRQQSA-N -1 1 341.320 -0.311 20 0 EBADMM CCC(CC)(NC(=O)C[C@]1(C)CCS(=O)(=O)N1)c1nn[n-]n1 ZINC001363138482 1131798088 /nfs/dbraw/zinc/79/80/88/1131798088.db2.gz UQORVZCPNITYMA-NSHDSACASA-N -1 1 330.414 -0.197 20 0 EBADMM O=C(CN1CCCCS1(=O)=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC001363193121 1131824823 /nfs/dbraw/zinc/82/48/23/1131824823.db2.gz ZRBDFNZLGAIQCE-UHFFFAOYSA-N -1 1 344.393 -0.093 20 0 EBADMM COC(=O)[C@H](C)NC(=O)[C@H](C)NC(=O)c1cnc(SC)[n-]c1=O ZINC001363196095 1131826127 /nfs/dbraw/zinc/82/61/27/1131826127.db2.gz LTCMADVMXMCISU-BQBZGAKWSA-N -1 1 342.377 -0.300 20 0 EBADMM C[C@H](C(=O)N(C)CC(=O)N1CCOC[C@@H]1c1nn[n-]n1)n1cccn1 ZINC001363204055 1131829870 /nfs/dbraw/zinc/82/98/70/1131829870.db2.gz NCZSRJJMVXPASD-GHMZBOCLSA-N -1 1 348.367 -0.984 20 0 EBADMM CNC(=O)NC[C@@H]1COCCN1C(=O)c1cnc(SC)[n-]c1=O ZINC001363210141 1131833266 /nfs/dbraw/zinc/83/32/66/1131833266.db2.gz XXJAQYSAGGHTHI-MRVPVSSYSA-N -1 1 341.393 -0.326 20 0 EBADMM O=C([N-]Cc1ccc(C(=O)NCc2n[nH]c(CO)n2)o1)C(F)(F)F ZINC001363289175 1131876123 /nfs/dbraw/zinc/87/61/23/1131876123.db2.gz VJYPKHHRUFWWEL-UHFFFAOYSA-N -1 1 347.253 -0.002 20 0 EBADMM C[N-]S(=O)(=O)c1ccc(C(=O)NC[C@H]2C[C@@H]3COC[C@@H]3O2)o1 ZINC001363328662 1131902320 /nfs/dbraw/zinc/90/23/20/1131902320.db2.gz ZHCCRIAGXOJSJL-KKZNHRDASA-N -1 1 330.362 -0.279 20 0 EBADMM COc1c(C(=O)N2CCN([S@@](C)(=N)=O)CC2)[nH]c(=O)[n-]c1=O ZINC001363337105 1131906330 /nfs/dbraw/zinc/90/63/30/1131906330.db2.gz VEGLTYBTFFWWLD-JOCHJYFZSA-N -1 1 331.354 -0.754 20 0 EBADMM O=C(c1cn(C(F)(F)CO)nn1)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001363402506 1131933404 /nfs/dbraw/zinc/93/34/04/1131933404.db2.gz YMSSXCQFVVCXFW-MRVPVSSYSA-N -1 1 342.310 -0.572 20 0 EBADMM CO[C@@H]1CCn2cc(C(=O)N3CCOC[C@@H]3c3nn[n-]n3)nc2C1 ZINC001363411214 1131938409 /nfs/dbraw/zinc/93/84/09/1131938409.db2.gz ZGUYGRIMNXCRNI-MWLCHTKSSA-N -1 1 333.352 -0.429 20 0 EBADMM COC[C@H](NC(=O)[C@H]1CN(C)C(=O)c2ccccc21)c1nn[n-]n1 ZINC001363435193 1131948589 /nfs/dbraw/zinc/94/85/89/1131948589.db2.gz LZJJZYDEDXLUQW-RYUDHWBXSA-N -1 1 330.348 -0.127 20 0 EBADMM NC(=O)C[N-]S(=O)(=O)c1ccc(C(=O)N2C[C@H]3[C@@H](C2)C3(F)F)o1 ZINC001363470762 1131962762 /nfs/dbraw/zinc/96/27/62/1131962762.db2.gz WIYIZFGYRPFTTB-KNVOCYPGSA-N -1 1 349.315 -0.620 20 0 EBADMM O=C([C@@H]1CNC(=O)C[C@@H]1C(F)(F)F)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001363521792 1131989725 /nfs/dbraw/zinc/98/97/25/1131989725.db2.gz AQSQSDROEWJOGV-PRJMDXOYSA-N -1 1 332.286 -0.170 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)NC[C@H](O)c2ccnn2C)co1 ZINC001363631236 1132038293 /nfs/dbraw/zinc/03/82/93/1132038293.db2.gz OQECQFYGKOQQMQ-JTQLQIEISA-N -1 1 328.350 -0.615 20 0 EBADMM CCOC(=O)c1nc(CNC(=O)C(C)(C)S(=O)(=O)C2CC2)n[n-]1 ZINC001363708121 1132064268 /nfs/dbraw/zinc/06/42/68/1132064268.db2.gz MSZXKBHHJRNOAF-UHFFFAOYSA-N -1 1 344.393 -0.047 20 0 EBADMM CCOC(=O)c1n[n-]c(CNC(=O)C(C)(C)S(=O)(=O)C2CC2)n1 ZINC001363708121 1132064272 /nfs/dbraw/zinc/06/42/72/1132064272.db2.gz MSZXKBHHJRNOAF-UHFFFAOYSA-N -1 1 344.393 -0.047 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@H]2CCC3(C2)OCCO3)c(=O)[nH]c1=O ZINC001363844521 1132108658 /nfs/dbraw/zinc/10/86/58/1132108658.db2.gz XFYXCIVCMXCSNU-QMMMGPOBSA-N -1 1 331.350 -0.940 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCc2nnc3n2CCCC3)[n-]n1 ZINC001363865478 1132115261 /nfs/dbraw/zinc/11/52/61/1132115261.db2.gz IFPDTGVCHDEBQD-UHFFFAOYSA-N -1 1 340.365 -0.397 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NCc2nnc3n2CCCC3)n[n-]1 ZINC001363865478 1132115264 /nfs/dbraw/zinc/11/52/64/1132115264.db2.gz IFPDTGVCHDEBQD-UHFFFAOYSA-N -1 1 340.365 -0.397 20 0 EBADMM O=C(CNC(=O)c1ncccc1[O-])N1CC[C@H](N2CCOC2=O)C1 ZINC001363870670 1132115694 /nfs/dbraw/zinc/11/56/94/1132115694.db2.gz IFAPPKOOLXGXMR-JTQLQIEISA-N -1 1 334.332 -0.430 20 0 EBADMM C[C@H]1C[C@H](CC[N-]S(=O)(=O)c2cn(C)c(=O)[nH]c2=O)CCO1 ZINC001363934556 1132134799 /nfs/dbraw/zinc/13/47/99/1132134799.db2.gz IMRKSPFUNYJIOD-VHSXEESVSA-N -1 1 331.394 -0.031 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H](C)O[C@@]3(CCOC3)C2)[n-]n1 ZINC001363971930 1132148590 /nfs/dbraw/zinc/14/85/90/1132148590.db2.gz RBTOFKZQLYFTJP-RNCFNFMXSA-N -1 1 345.377 -0.235 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2C[C@@H](C)O[C@@]3(CCOC3)C2)n[n-]1 ZINC001363971930 1132148594 /nfs/dbraw/zinc/14/85/94/1132148594.db2.gz RBTOFKZQLYFTJP-RNCFNFMXSA-N -1 1 345.377 -0.235 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCO[C@]3(CCO[C@H]3C)C2)[n-]n1 ZINC001363982779 1132153452 /nfs/dbraw/zinc/15/34/52/1132153452.db2.gz JGHZAZVNGZYZOY-TVQRCGJNSA-N -1 1 345.377 -0.235 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCO[C@]3(CCO[C@H]3C)C2)n[n-]1 ZINC001363982779 1132153454 /nfs/dbraw/zinc/15/34/54/1132153454.db2.gz JGHZAZVNGZYZOY-TVQRCGJNSA-N -1 1 345.377 -0.235 20 0 EBADMM COc1cc(S(=O)(=O)[N-][C@@H]2CCN(S(C)(=O)=O)C2)sn1 ZINC001363990304 1132155904 /nfs/dbraw/zinc/15/59/04/1132155904.db2.gz HEEWKRZDZLVRHZ-SSDOTTSWSA-N -1 1 341.436 -0.536 20 0 EBADMM CCOC(=O)c1nnc([C@H](C)NS(=O)(=O)[C@@H](C)C(=O)N(C)C)[n-]1 ZINC001364019548 1132167895 /nfs/dbraw/zinc/16/78/95/1132167895.db2.gz DHDZVFWIDFMXDD-YUMQZZPRSA-N -1 1 347.397 -0.562 20 0 EBADMM CCOC(=O)c1nc([C@H](C)NS(=O)(=O)[C@@H](C)C(=O)N(C)C)n[n-]1 ZINC001364019548 1132167898 /nfs/dbraw/zinc/16/78/98/1132167898.db2.gz DHDZVFWIDFMXDD-YUMQZZPRSA-N -1 1 347.397 -0.562 20 0 EBADMM CCOC(=O)c1n[n-]c([C@H](C)NS(=O)(=O)[C@@H](C)C(=O)N(C)C)n1 ZINC001364019548 1132167899 /nfs/dbraw/zinc/16/78/99/1132167899.db2.gz DHDZVFWIDFMXDD-YUMQZZPRSA-N -1 1 347.397 -0.562 20 0 EBADMM CC[C@H](C)NC(=O)[C@H](C)[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O ZINC001364023018 1132170211 /nfs/dbraw/zinc/17/02/11/1132170211.db2.gz GHUZKELVGHUFNW-YUMQZZPRSA-N -1 1 332.382 -0.933 20 0 EBADMM COC[C@@H](NC(=O)CN1CCN(c2ccccn2)CC1)c1nn[n-]n1 ZINC001364032470 1132175019 /nfs/dbraw/zinc/17/50/19/1132175019.db2.gz NRPFIPIGOQTEDP-GFCCVEGCSA-N -1 1 346.395 -0.779 20 0 EBADMM O=C(C1CC2(C1)CS(=O)(=O)C2)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001364050508 1132183963 /nfs/dbraw/zinc/18/39/63/1132183963.db2.gz LXLGEBWLHIJIQM-JTQLQIEISA-N -1 1 339.421 -0.194 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@H](CO)Cc2ccoc2)[n-]n1 ZINC001364066846 1132193458 /nfs/dbraw/zinc/19/34/58/1132193458.db2.gz MMCGSXJGVVHEIJ-SNVBAGLBSA-N -1 1 343.361 -0.081 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@H](CO)Cc2ccoc2)n[n-]1 ZINC001364066846 1132193461 /nfs/dbraw/zinc/19/34/61/1132193461.db2.gz MMCGSXJGVVHEIJ-SNVBAGLBSA-N -1 1 343.361 -0.081 20 0 EBADMM CN(C)[S@@](C)(=O)=NS(=O)(=O)[N-]C[C@@H](O)c1cncs1 ZINC001364076425 1132198407 /nfs/dbraw/zinc/19/84/07/1132198407.db2.gz UEQCKHRJUAGLLH-MDTSDYNXSA-N -1 1 328.441 -0.415 20 0 EBADMM CC[C@@H](CN1CCOCC1)NS(=O)(=O)c1cc(C(=O)OC)n[n-]1 ZINC001364119174 1132213300 /nfs/dbraw/zinc/21/33/00/1132213300.db2.gz UEWSZBRFXQTOPV-JTQLQIEISA-N -1 1 346.409 -0.415 20 0 EBADMM CC[C@@H](CN1CCOCC1)NS(=O)(=O)c1cc(C(=O)OC)[n-]n1 ZINC001364119174 1132213309 /nfs/dbraw/zinc/21/33/09/1132213309.db2.gz UEWSZBRFXQTOPV-JTQLQIEISA-N -1 1 346.409 -0.415 20 0 EBADMM COC(=O)[C@@H]1CO[C@H](CCC(=O)NCc2nc([O-])cc(=O)[nH]2)C1 ZINC001364198799 1132232982 /nfs/dbraw/zinc/23/29/82/1132232982.db2.gz BBNXEESYHADMEI-DTWKUNHWSA-N -1 1 325.321 -0.138 20 0 EBADMM CNC(=O)[C@H]1CCC[C@H]1NC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001364229386 1132245696 /nfs/dbraw/zinc/24/56/96/1132245696.db2.gz KSRFWUDEEFFNOF-GXSJLCMTSA-N -1 1 349.391 -0.031 20 0 EBADMM C[S@](=O)C1(CNC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CC1 ZINC001364261597 1132254257 /nfs/dbraw/zinc/25/42/57/1132254257.db2.gz AKQXLRJZLWYHOP-QHCPKHFHSA-N -1 1 340.405 -0.035 20 0 EBADMM C[N-]S(=O)(=O)c1cc(C(=O)N2CCO[C@@H]3COCC[C@@H]32)co1 ZINC001364287106 1132264060 /nfs/dbraw/zinc/26/40/60/1132264060.db2.gz WJIVETGZMJPWHE-WDEREUQCSA-N -1 1 330.362 -0.182 20 0 EBADMM O=C([C@H]1CC(c2ccccn2)=NO1)N1CCOC[C@@H]1c1nn[n-]n1 ZINC001364383755 1132300219 /nfs/dbraw/zinc/30/02/19/1132300219.db2.gz RICKMHDBCIBFDD-VXGBXAGGSA-N -1 1 329.320 -0.312 20 0 EBADMM O=C(Cc1ccc(O)cc1)NCC(=O)N1CCOC[C@H]1c1nn[n-]n1 ZINC001364382929 1132300534 /nfs/dbraw/zinc/30/05/34/1132300534.db2.gz LZPLDLTVTKESDQ-LBPRGKRZSA-N -1 1 346.347 -0.836 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)[C@H]2CNC(=O)c3ccccc32)n1 ZINC001364483784 1132335012 /nfs/dbraw/zinc/33/50/12/1132335012.db2.gz GLQJAUFXRVEOPK-VIFPVBQESA-N -1 1 335.345 -0.326 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)[C@H]2CNC(=O)c3ccccc32)[n-]1 ZINC001364483784 1132335020 /nfs/dbraw/zinc/33/50/20/1132335020.db2.gz GLQJAUFXRVEOPK-VIFPVBQESA-N -1 1 335.345 -0.326 20 0 EBADMM CS(=O)(=O)c1n[n-]c(NC(=O)C2C[C@@H]3COC[C@H](C2)C3=O)n1 ZINC001364487439 1132335658 /nfs/dbraw/zinc/33/56/58/1132335658.db2.gz ZFBBTFHVHSKLNN-IEESLHIDSA-N -1 1 328.350 -0.612 20 0 EBADMM CS(=O)(=O)c1nnc(NC(=O)C2C[C@@H]3COC[C@H](C2)C3=O)[n-]1 ZINC001364487439 1132335664 /nfs/dbraw/zinc/33/56/64/1132335664.db2.gz ZFBBTFHVHSKLNN-IEESLHIDSA-N -1 1 328.350 -0.612 20 0 EBADMM C[S@@](=N)(=O)N1CCN(Cc2nc3c(c(=O)[n-]2)COCC3)CC1 ZINC001364491976 1132337395 /nfs/dbraw/zinc/33/73/95/1132337395.db2.gz KCMUZHHKKTZCFS-QFIPXVFZSA-N -1 1 327.410 -0.036 20 0 EBADMM COC(=O)c1c(NC(=O)CCc2ccnn2C)n[n-]c1OCCO ZINC001364511461 1132345606 /nfs/dbraw/zinc/34/56/06/1132345606.db2.gz UTWUSAGOQQESHK-UHFFFAOYSA-N -1 1 337.336 -0.128 20 0 EBADMM COC(=O)c1c(OCCO)n[n-]c1NC(=O)CCc1ccnn1C ZINC001364511461 1132345615 /nfs/dbraw/zinc/34/56/15/1132345615.db2.gz UTWUSAGOQQESHK-UHFFFAOYSA-N -1 1 337.336 -0.128 20 0 EBADMM COc1nc(C(=O)N2CC3(C2)CCN(Cc2nc(=O)n(C)[n-]2)C3)co1 ZINC001364647026 1132383207 /nfs/dbraw/zinc/38/32/07/1132383207.db2.gz ZACJLUOUXOLHSZ-UHFFFAOYSA-N -1 1 348.363 -0.547 20 0 EBADMM COC(=O)[C@@]1(CNC(=O)c2cncc([O-])c2)C[C@@H]1S(C)(=O)=O ZINC001364684192 1132393474 /nfs/dbraw/zinc/39/34/74/1132393474.db2.gz BJOWXWGIQBTSSY-GXFFZTMASA-N -1 1 328.346 -0.507 20 0 EBADMM CNC(=O)[C@@H]1CCCN(C(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC001364720353 1132403331 /nfs/dbraw/zinc/40/33/31/1132403331.db2.gz FHGFHKULTBZENB-SNVBAGLBSA-N -1 1 349.391 -0.078 20 0 EBADMM O=C(NCC[C@@H]1CNC(=O)C1)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001364729168 1132406150 /nfs/dbraw/zinc/40/61/50/1132406150.db2.gz QNTBHTMWQYSHCS-VIFPVBQESA-N -1 1 335.364 -0.420 20 0 EBADMM COC[C@@](C)(CC(=O)OC)[N-]S(=O)(=O)c1n[nH]cc1C(=O)OC ZINC001364788264 1132426354 /nfs/dbraw/zinc/42/63/54/1132426354.db2.gz KLLXGODLRWVAFG-GFCCVEGCSA-N -1 1 349.365 -0.557 20 0 EBADMM C[C@H](C[N-]S(=O)(=O)c1cn(C)c(=O)[nH]c1=O)CC1(C)OCCO1 ZINC001364804652 1132433353 /nfs/dbraw/zinc/43/33/53/1132433353.db2.gz NRVTYOOWJWITLO-VIFPVBQESA-N -1 1 347.393 -0.447 20 0 EBADMM COC[C@@H](NC(=O)c1ccc(N2CCOCC2)nc1)c1nn[n-]n1 ZINC001364813202 1132436408 /nfs/dbraw/zinc/43/64/08/1132436408.db2.gz IFEHBRGJKWVOQO-LLVKDONJSA-N -1 1 333.352 -0.451 20 0 EBADMM COC[C@H](NC(=O)c1ccc2c(c1)C(=O)NCCO2)c1nn[n-]n1 ZINC001364816586 1132437299 /nfs/dbraw/zinc/43/72/99/1132437299.db2.gz WTTRMPXCDVHNOT-JTQLQIEISA-N -1 1 332.320 -0.561 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC(C)(C)CS(C)(=O)=O)[n-]n1 ZINC001364836220 1132448774 /nfs/dbraw/zinc/44/87/74/1132448774.db2.gz LFCYZGDZJYMIHB-UHFFFAOYSA-N -1 1 339.395 -0.702 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC(C)(C)CS(C)(=O)=O)n[n-]1 ZINC001364836220 1132448777 /nfs/dbraw/zinc/44/87/77/1132448777.db2.gz LFCYZGDZJYMIHB-UHFFFAOYSA-N -1 1 339.395 -0.702 20 0 EBADMM CC[C@H](CNS(C)(=O)=O)[N-]S(=O)(=O)N=S1(=O)CCCC1 ZINC001364866378 1132460084 /nfs/dbraw/zinc/46/00/84/1132460084.db2.gz RZIXCQWYRUPJKA-SECBINFHSA-N -1 1 347.484 -0.590 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@@H](OC)[C@@H]2CCOC2)[n-]n1 ZINC001364882316 1132468596 /nfs/dbraw/zinc/46/85/96/1132468596.db2.gz YRZWYAOHPNSMMK-PSASIEDQSA-N -1 1 333.366 -0.474 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)NC[C@@H](OC)[C@@H]2CCOC2)n[n-]1 ZINC001364882316 1132468602 /nfs/dbraw/zinc/46/86/02/1132468602.db2.gz YRZWYAOHPNSMMK-PSASIEDQSA-N -1 1 333.366 -0.474 20 0 EBADMM NC(=O)[C@]1([N-]S(=O)(=O)C[C@@H]2CC[C@H](C(F)(F)F)O2)CCOC1 ZINC001364894472 1132473291 /nfs/dbraw/zinc/47/32/91/1132473291.db2.gz WKROQVSHKPHROB-XKSSXDPKSA-N -1 1 346.327 -0.340 20 0 EBADMM COC(=O)c1c(C)[nH]nc1S(=O)(=O)[N-][C@H](CCF)C(=O)OC ZINC001364901099 1132474983 /nfs/dbraw/zinc/47/49/83/1132474983.db2.gz UENZPAONVWJQGZ-SSDOTTSWSA-N -1 1 337.329 -0.316 20 0 EBADMM CO[C@@H](CS(=O)(=O)[N-][C@@H]1CS(=O)(=O)C[C@H]1O)C1CCCC1 ZINC001364902122 1132475505 /nfs/dbraw/zinc/47/55/05/1132475505.db2.gz GEPGLQAZYSSCJO-UTUOFQBUSA-N -1 1 341.451 -0.731 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H]1[C@@H]2OC[C@@H]3C[C@H]1C[C@@H]32 ZINC001364901929 1132476151 /nfs/dbraw/zinc/47/61/51/1132476151.db2.gz UPEMIPYFXDCBKS-MZFCOBPBSA-N -1 1 327.362 -0.102 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CC[C@@H](C(=O)OC)C2)[n-]n1 ZINC001364934775 1132493010 /nfs/dbraw/zinc/49/30/10/1132493010.db2.gz GRBPCMXSPGQFSP-SFYZADRCSA-N -1 1 331.350 -0.184 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@H]2CC[C@@H](C(=O)OC)C2)n[n-]1 ZINC001364934775 1132493016 /nfs/dbraw/zinc/49/30/16/1132493016.db2.gz GRBPCMXSPGQFSP-SFYZADRCSA-N -1 1 331.350 -0.184 20 0 EBADMM COC(=O)[C@H](C)CS(=O)(=O)[N-][C@@H](C[C@@H]1CCCO1)C(=O)OC ZINC001364961190 1132504106 /nfs/dbraw/zinc/50/41/06/1132504106.db2.gz VQUXWODKVWSJQO-VWYCJHECSA-N -1 1 337.394 -0.174 20 0 EBADMM Cn1cc(S(=O)(=O)[N-]Cc2cnc(Cl)cn2)c(=O)n(C)c1=O ZINC001364962908 1132504681 /nfs/dbraw/zinc/50/46/81/1132504681.db2.gz MNOQFIBNSPQAMR-UHFFFAOYSA-N -1 1 345.768 -0.994 20 0 EBADMM CCOC(=O)C1(CNS(=O)(=O)c2cc(C(=O)OC)n[n-]2)CC1 ZINC001364962297 1132505125 /nfs/dbraw/zinc/50/51/25/1132505125.db2.gz RJUVHZSUAMJWLR-UHFFFAOYSA-N -1 1 331.350 -0.182 20 0 EBADMM CCOC(=O)C1(CNS(=O)(=O)c2cc(C(=O)OC)[n-]n2)CC1 ZINC001364962297 1132505132 /nfs/dbraw/zinc/50/51/32/1132505132.db2.gz RJUVHZSUAMJWLR-UHFFFAOYSA-N -1 1 331.350 -0.182 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CCOC23CCOCC3)c(=O)[nH]c1=O ZINC001364973674 1132508534 /nfs/dbraw/zinc/50/85/34/1132508534.db2.gz CFIUUDVCPIFHOA-SNVBAGLBSA-N -1 1 345.377 -0.898 20 0 EBADMM CCOC(=O)[C@@H](NS(=O)(=O)c1cc(C(=O)OC)n[n-]1)C1CC1 ZINC001365033356 1132524877 /nfs/dbraw/zinc/52/48/77/1132524877.db2.gz UIQHTZJXTXHXHN-JTQLQIEISA-N -1 1 331.350 -0.184 20 0 EBADMM CCOC(=O)[C@@H](NS(=O)(=O)c1cc(C(=O)OC)[n-]n1)C1CC1 ZINC001365033356 1132524886 /nfs/dbraw/zinc/52/48/86/1132524886.db2.gz UIQHTZJXTXHXHN-JTQLQIEISA-N -1 1 331.350 -0.184 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N1CC(=O)N(CC2CC2)C1 ZINC001365239721 1132588121 /nfs/dbraw/zinc/58/81/21/1132588121.db2.gz JJWGFGPKBMFTLK-UHFFFAOYSA-N -1 1 347.375 -0.024 20 0 EBADMM CN(C)c1n[nH]c(CNC(=O)N2CC(C[N-]C(=O)C(F)(F)F)C2)n1 ZINC001365251151 1132593365 /nfs/dbraw/zinc/59/33/65/1132593365.db2.gz OZHIXVPJDHURSX-UHFFFAOYSA-N -1 1 349.317 -0.309 20 0 EBADMM CC[S@@](=O)CCNC(=O)NCc1nc2c(c(=O)[n-]1)COCC2 ZINC001365343245 1132631357 /nfs/dbraw/zinc/63/13/57/1132631357.db2.gz ZIZAGKPLDLKRRM-JOCHJYFZSA-N -1 1 328.394 -0.177 20 0 EBADMM CCOC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@H](CO)[C@@H]1CCOC1 ZINC001365382414 1132643799 /nfs/dbraw/zinc/64/37/99/1132643799.db2.gz XJBBDFMRTUBQNJ-PSASIEDQSA-N -1 1 333.366 -0.738 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-][C@@]1(C(=O)OC)CCSC1 ZINC001365387464 1132647868 /nfs/dbraw/zinc/64/78/68/1132647868.db2.gz DHZSJEOJEUZOCV-NSHDSACASA-N -1 1 349.390 -0.477 20 0 EBADMM CCOC1CC(O)(CNS(=O)(=O)c2cc(C(=O)OC)n[n-]2)C1 ZINC001365403668 1132654026 /nfs/dbraw/zinc/65/40/26/1132654026.db2.gz DBEIQDJNEHKOSC-UHFFFAOYSA-N -1 1 333.366 -0.595 20 0 EBADMM CCOC1CC(O)(CNS(=O)(=O)c2cc(C(=O)OC)[n-]n2)C1 ZINC001365403668 1132654028 /nfs/dbraw/zinc/65/40/28/1132654028.db2.gz DBEIQDJNEHKOSC-UHFFFAOYSA-N -1 1 333.366 -0.595 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@](CO)(OC)C2)[n-]n1 ZINC001365436515 1132673818 /nfs/dbraw/zinc/67/38/18/1132673818.db2.gz HPEPJVFQXWGPLP-LBPRGKRZSA-N -1 1 333.366 -0.642 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCC[C@](CO)(OC)C2)n[n-]1 ZINC001365436515 1132673823 /nfs/dbraw/zinc/67/38/23/1132673823.db2.gz HPEPJVFQXWGPLP-LBPRGKRZSA-N -1 1 333.366 -0.642 20 0 EBADMM CCOC(=O)c1nc([C@@H](C)NS(=O)(=O)C[C@@H]2CCOC2)n[n-]1 ZINC001365438353 1132675162 /nfs/dbraw/zinc/67/51/62/1132675162.db2.gz VSWHHUIKRYYLCO-RKDXNWHRSA-N -1 1 332.382 -0.002 20 0 EBADMM CCOC(=O)c1nnc([C@@H](C)NS(=O)(=O)C[C@@H]2CCOC2)[n-]1 ZINC001365438353 1132675168 /nfs/dbraw/zinc/67/51/68/1132675168.db2.gz VSWHHUIKRYYLCO-RKDXNWHRSA-N -1 1 332.382 -0.002 20 0 EBADMM CCOC(=O)c1n[n-]c([C@@H](C)NS(=O)(=O)C[C@@H]2CCOC2)n1 ZINC001365438353 1132675171 /nfs/dbraw/zinc/67/51/71/1132675171.db2.gz VSWHHUIKRYYLCO-RKDXNWHRSA-N -1 1 332.382 -0.002 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@](C)(CCF)C(=O)OC)[n-]n1 ZINC001365446035 1132681309 /nfs/dbraw/zinc/68/13/09/1132681309.db2.gz BIKMTIYMDKCMFO-LLVKDONJSA-N -1 1 337.329 -0.234 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N[C@](C)(CCF)C(=O)OC)n[n-]1 ZINC001365446035 1132681311 /nfs/dbraw/zinc/68/13/11/1132681311.db2.gz BIKMTIYMDKCMFO-LLVKDONJSA-N -1 1 337.329 -0.234 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@H]2C[C@@H](C(=O)OC)C2)[n-]n1 ZINC001365447538 1132682267 /nfs/dbraw/zinc/68/22/67/1132682267.db2.gz SXMKRIGAOBTWQX-OCAPTIKFSA-N -1 1 331.350 -0.232 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N(C)[C@H]2C[C@@H](C(=O)OC)C2)n[n-]1 ZINC001365447538 1132682273 /nfs/dbraw/zinc/68/22/73/1132682273.db2.gz SXMKRIGAOBTWQX-OCAPTIKFSA-N -1 1 331.350 -0.232 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCCC[C@H]2CNC(C)=O)[n-]n1 ZINC001365448596 1132682542 /nfs/dbraw/zinc/68/25/42/1132682542.db2.gz XRMLSAJATSJILT-JTQLQIEISA-N -1 1 344.393 -0.124 20 0 EBADMM COC(=O)c1cc(S(=O)(=O)N2CCCC[C@H]2CNC(C)=O)n[n-]1 ZINC001365448596 1132682544 /nfs/dbraw/zinc/68/25/44/1132682544.db2.gz XRMLSAJATSJILT-JTQLQIEISA-N -1 1 344.393 -0.124 20 0 EBADMM COC(=O)c1c[nH]nc1S(=O)(=O)[N-]Cc1cn(C)nc1OC ZINC001365471542 1132699505 /nfs/dbraw/zinc/69/95/05/1132699505.db2.gz YSNBNPILMXPDDO-UHFFFAOYSA-N -1 1 329.338 -0.583 20 0 EBADMM Cn1[n-]c(CN2CCN(C(=O)c3ccnn3C3CCC3)CC2)nc1=O ZINC001365629009 1132739899 /nfs/dbraw/zinc/73/98/99/1132739899.db2.gz NWLHWXJPGRYAFL-UHFFFAOYSA-N -1 1 345.407 -0.012 20 0 EBADMM NC(=O)CC1(CNC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)CC1 ZINC001365647474 1132745405 /nfs/dbraw/zinc/74/54/05/1132745405.db2.gz FEPCRGKEXRYJHS-UHFFFAOYSA-N -1 1 335.364 -0.290 20 0 EBADMM CCOC(=O)[C@@H]([N-]S(=O)(=O)c1n[nH]cc1C(=O)OC)C1CC1 ZINC001365650018 1132745941 /nfs/dbraw/zinc/74/59/41/1132745941.db2.gz QPQQRCZKZRAAMG-VIFPVBQESA-N -1 1 331.350 -0.184 20 0 EBADMM O=C(NCc1nc2c(c(=O)[n-]1)COCC2)N1C[C@@H]2C[C@H]1C[S@@]2=O ZINC001365664779 1132753422 /nfs/dbraw/zinc/75/34/22/1132753422.db2.gz SCAARIYGTVKJFL-KLDKYJJHSA-N -1 1 338.389 -0.330 20 0 EBADMM COc1cncc(C(=O)N2CCN(Cc3nc(=O)n(C)[n-]3)CC2)c1C ZINC001365697473 1132766309 /nfs/dbraw/zinc/76/63/09/1132766309.db2.gz IVYLSGBEIIUCLE-UHFFFAOYSA-N -1 1 346.391 -0.222 20 0 EBADMM O=C1CN(CC[N-]S(=O)(=O)c2c[nH]nc2C(F)(F)F)CCN1 ZINC001365699607 1132767068 /nfs/dbraw/zinc/76/70/68/1132767068.db2.gz LZDSGXALNSEXBZ-UHFFFAOYSA-N -1 1 341.315 -0.861 20 0 EBADMM COC(=O)CC[C@@H]([N-]S(=O)(=O)C[C@@H](OC)C1CC1)C(=O)OC ZINC001365704747 1132769282 /nfs/dbraw/zinc/76/92/82/1132769282.db2.gz WNPPHYCDSNNEGV-GHMZBOCLSA-N -1 1 337.394 -0.174 20 0 EBADMM COC(=O)C1([N-]S(=O)(=O)CCN2CCOC2=O)CCOCC1 ZINC001365706664 1132770062 /nfs/dbraw/zinc/77/00/62/1132770062.db2.gz ZKPYFLKEIVGGSA-UHFFFAOYSA-N -1 1 336.366 -0.920 20 0 EBADMM Cn1cc(S(=O)(=O)[N-][C@@H]2CCN(CC(F)F)C2)c(=O)[nH]c1=O ZINC001365715467 1132773325 /nfs/dbraw/zinc/77/33/25/1132773325.db2.gz VXUONEBWICAIIN-SSDOTTSWSA-N -1 1 338.336 -0.896 20 0 EBADMM CC(C)S(=O)(=O)CC(=O)NC[C@H]1C[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001365847042 1132831286 /nfs/dbraw/zinc/83/12/86/1132831286.db2.gz GGRFXHURNGMKEQ-MGCOHNPYSA-N -1 1 345.425 -0.682 20 0 EBADMM CC[C@@H](NC(C)=O)C(=O)N[C@H]1CCCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001365941168 1132901717 /nfs/dbraw/zinc/90/17/17/1132901717.db2.gz ITQDWKCSTILDOQ-NWDGAFQWSA-N -1 1 338.412 -0.896 20 0 EBADMM O=C(Cn1cc(C2CC2)nn1)NC[C@@H](NCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001366038544 1132976166 /nfs/dbraw/zinc/97/61/66/1132976166.db2.gz SEZBSYAFGKPPBR-LLVKDONJSA-N -1 1 346.395 -0.336 20 0 EBADMM COC(=O)NCC(=O)N1CCC[C@H](CN(C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001366060361 1132984801 /nfs/dbraw/zinc/98/48/01/1132984801.db2.gz FEVOCJKKIQEEPZ-SNVBAGLBSA-N -1 1 340.384 -0.463 20 0 EBADMM O=C(CCn1cnnn1)NC1C[C@H]2CC[C@@H](C1)N2Cc1n[nH]c(=O)[n-]1 ZINC001366136575 1133021734 /nfs/dbraw/zinc/02/17/34/1133021734.db2.gz KCGYGCUCSVVZBD-FGWVZKOKSA-N -1 1 347.383 -0.801 20 0 EBADMM Cn1[n-]c(CN2CCC[C@H](CNC(=O)[C@]3(C)CCOC3)C2)nc1=O ZINC001366186093 1133047467 /nfs/dbraw/zinc/04/74/67/1133047467.db2.gz YYPLGHWDCFODCA-MLGOLLRUSA-N -1 1 337.424 -0.137 20 0 EBADMM CC(C)([N-]C(=O)C(F)(F)F)C(=O)NC[C@H]1CCN(CC(N)=O)C1 ZINC001366712334 1133267241 /nfs/dbraw/zinc/26/72/41/1133267241.db2.gz NGZZPRJJUWXXFM-MRVPVSSYSA-N -1 1 338.330 -0.633 20 0 EBADMM O=C(NCC[C@@H]1CCN(Cc2n[nH]c(=O)[n-]2)C1)c1c[nH]c(=O)cn1 ZINC001366839747 1133314084 /nfs/dbraw/zinc/31/40/84/1133314084.db2.gz YPAYNYFCSFGBED-SECBINFHSA-N -1 1 333.352 -0.764 20 0 EBADMM CCO[C@@H](CC)C(=O)N(C)[C@@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001367041134 1133448238 /nfs/dbraw/zinc/44/82/38/1133448238.db2.gz ACVRYPQGEWDRCB-NEPJUHHUSA-N -1 1 325.413 -0.044 20 0 EBADMM C[C@@H](C(=O)N[C@H]1CC[C@H](NCc2n[nH]c(=O)[n-]2)CC1)S(C)(=O)=O ZINC001367178248 1133495084 /nfs/dbraw/zinc/49/50/84/1133495084.db2.gz AHJAKJZUPTYXHM-GUBZILKMSA-N -1 1 345.425 -0.540 20 0 EBADMM CC(C)[C@H](CNC(=O)CS(=O)(=O)C(C)C)NCc1n[nH]c(=O)[n-]1 ZINC001367494074 1133602486 /nfs/dbraw/zinc/60/24/86/1133602486.db2.gz QPGPRAIKORQLLC-JTQLQIEISA-N -1 1 347.441 -0.436 20 0 EBADMM C[C@@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)CNC(=O)C(C)(C)C ZINC001367853493 1133814607 /nfs/dbraw/zinc/81/46/07/1133814607.db2.gz ITORNOBJUGAAMC-JTQLQIEISA-N -1 1 340.428 -0.793 20 0 EBADMM Cn1[n-]c(CN2CC[C@@](C)(NC(=O)Cc3cscn3)C2)nc1=O ZINC001368179218 1133980837 /nfs/dbraw/zinc/98/08/37/1133980837.db2.gz STFCVZSQUYXBMF-CQSZACIVSA-N -1 1 336.421 -0.112 20 0 EBADMM CC(C)SCC(=O)N1CCO[C@H](CNCc2n[nH]c(=O)[n-]2)C1 ZINC001368508271 1134261580 /nfs/dbraw/zinc/26/15/80/1134261580.db2.gz SFYBBVYYZUHYKO-SNVBAGLBSA-N -1 1 329.426 -0.031 20 0 EBADMM CCCNC(=O)CN1CC2(C1)C[C@H](NC(=O)c1ncccc1[O-])CO2 ZINC001368593924 1134312132 /nfs/dbraw/zinc/31/21/32/1134312132.db2.gz ZBAXDFQGWTWMKC-LBPRGKRZSA-N -1 1 348.403 -0.114 20 0 EBADMM CCCNC(=O)CN1CC2(C1)C[C@@H](NC(=O)c1ncccc1[O-])CO2 ZINC001368593922 1134312464 /nfs/dbraw/zinc/31/24/64/1134312464.db2.gz ZBAXDFQGWTWMKC-GFCCVEGCSA-N -1 1 348.403 -0.114 20 0 EBADMM O=C(NC1(CNCc2n[nH]c(=O)[n-]2)CCOCC1)c1ncccn1 ZINC001368632627 1134345838 /nfs/dbraw/zinc/34/58/38/1134345838.db2.gz OZIRSJJNSSBGJF-UHFFFAOYSA-N -1 1 333.352 -0.631 20 0 EBADMM CC(C)OCC(=O)N1CCC(N(CCO)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001368829855 1134458437 /nfs/dbraw/zinc/45/84/37/1134458437.db2.gz RBAYSYIFXWRMEQ-UHFFFAOYSA-N -1 1 341.412 -0.279 20 0 EBADMM O=C(c1cn[nH]c1)N1CCC(N(CCO)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001368830453 1134458714 /nfs/dbraw/zinc/45/87/14/1134458714.db2.gz VIKSNCBEHBVHST-UHFFFAOYSA-N -1 1 335.368 -0.668 20 0 EBADMM C[C@H](CNC(=O)c1c(Cl)cnn1C)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001368938078 1134489411 /nfs/dbraw/zinc/48/94/11/1134489411.db2.gz FPGPXWLFYURSSW-MRVPVSSYSA-N -1 1 341.803 -0.254 20 0 EBADMM C[C@@H](CNC(=O)[C@H]1CCCOCC1)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001368947616 1134492302 /nfs/dbraw/zinc/49/23/02/1134492302.db2.gz NPSYKEBMCOMAEO-RYUDHWBXSA-N -1 1 325.413 -0.138 20 0 EBADMM Cc1nn(C)cc1CCC(=O)NC[C@@H](C)N(C)Cc1nc(=O)n(C)[n-]1 ZINC001368988782 1134510702 /nfs/dbraw/zinc/51/07/02/1134510702.db2.gz VWXBEFKIUFQOJC-LLVKDONJSA-N -1 1 349.439 -0.280 20 0 EBADMM CN(C(=O)CNC(=O)C1CC1)[C@@H](CNCc1n[nH]c(=O)[n-]1)C1CC1 ZINC001369203783 1134615288 /nfs/dbraw/zinc/61/52/88/1134615288.db2.gz WKWQWHCRUIRULF-NSHDSACASA-N -1 1 336.396 -0.637 20 0 EBADMM CN(C[C@@H](O)CNCc1n[nH]c(=O)[n-]1)C(=O)CCc1cccnc1 ZINC001369470250 1134822085 /nfs/dbraw/zinc/82/20/85/1134822085.db2.gz DSDWVQSFRHTKEC-LBPRGKRZSA-N -1 1 334.380 -0.553 20 0 EBADMM CCCNC(=O)CN(C)C[C@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001369491857 1134866914 /nfs/dbraw/zinc/86/69/14/1134866914.db2.gz BUQZLRCVXSZCJP-LBPRGKRZSA-N -1 1 338.408 -0.322 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@@H](O)CN(C)C(=O)c1cccnc1 ZINC001369493489 1134869645 /nfs/dbraw/zinc/86/96/45/1134869645.db2.gz YDXXUCDUYHYQNR-GFCCVEGCSA-N -1 1 334.380 -0.932 20 0 EBADMM CN(Cc1nc(=O)n(C)[n-]1)C[C@H](O)CN(C)C(=O)C1(F)CCCC1 ZINC001369500970 1134886133 /nfs/dbraw/zinc/88/61/33/1134886133.db2.gz AOYKSDROZGOXRI-NSHDSACASA-N -1 1 343.403 -0.358 20 0 EBADMM COC(=O)N1CC(NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC001369534839 1134924758 /nfs/dbraw/zinc/92/47/58/1134924758.db2.gz OSDYOZUBZJLEIR-UHFFFAOYSA-N -1 1 337.336 -0.495 20 0 EBADMM C[C@H](CNC(=O)[C@H](C)S(C)(=O)=O)N(C)C(=O)c1ncccc1[O-] ZINC001369891185 1135075700 /nfs/dbraw/zinc/07/57/00/1135075700.db2.gz LYZDEROAYHHWGS-ZJUUUORDSA-N -1 1 343.405 -0.203 20 0 EBADMM C[C@@H](CC(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1)n1ccnc1 ZINC001370014533 1135174446 /nfs/dbraw/zinc/17/44/46/1135174446.db2.gz FKLLAVJRYKCVKA-RYUDHWBXSA-N -1 1 333.396 -0.353 20 0 EBADMM Cc1ncc(C(=O)N[C@@H](CO)CNC(=O)c2ncccc2[O-])c(C)n1 ZINC001370678674 1135487049 /nfs/dbraw/zinc/48/70/49/1135487049.db2.gz NACBWZPSLZXJKR-LLVKDONJSA-N -1 1 345.359 -0.285 20 0 EBADMM Cc1ncncc1C(=O)N[C@H](CO)CNC(=O)c1ncccc1[O-] ZINC001370688026 1135499504 /nfs/dbraw/zinc/49/95/04/1135499504.db2.gz MHRBAQLGPLVWJZ-JTQLQIEISA-N -1 1 331.332 -0.594 20 0 EBADMM O=C(N[C@H](CO)CNC(=O)c1cnc(C2CC2)[n-]c1=O)c1cc[nH]c1 ZINC001370711175 1135532796 /nfs/dbraw/zinc/53/27/96/1135532796.db2.gz ONURZSVLNKIFIT-NSHDSACASA-N -1 1 345.359 -0.092 20 0 EBADMM NC(=O)[C@H]1CC[C@@H](NC(=O)NCc2nc3c(c(=O)[n-]2)COCC3)C1 ZINC001370962481 1135714449 /nfs/dbraw/zinc/71/44/49/1135714449.db2.gz OBFMDTZNBYTYNM-DTWKUNHWSA-N -1 1 335.364 -0.292 20 0 EBADMM CN(C[C@H](O)CN(C)C(=O)c1ncccc1[O-])C(=O)c1cnon1 ZINC001371047862 1135818615 /nfs/dbraw/zinc/81/86/15/1135818615.db2.gz QQFVVGHQKKEVFF-VIFPVBQESA-N -1 1 335.320 -0.625 20 0 EBADMM C[C@H](NC(=O)c1ccco1)C(=O)N1CC[C@H](NCc2n[nH]c(=O)[n-]2)C1 ZINC001371543095 1135964537 /nfs/dbraw/zinc/96/45/37/1135964537.db2.gz HKRHCQZGDCLFAY-UWVGGRQHSA-N -1 1 348.363 -0.388 20 0 EBADMM CCc1cnc(CN[C@H](C)CCNC(=O)Cn2c(=O)[n-][nH]c2=O)o1 ZINC001371886740 1136047960 /nfs/dbraw/zinc/04/79/60/1136047960.db2.gz ZBQUMBBCDYMXGM-SECBINFHSA-N -1 1 338.368 -0.076 20 0 EBADMM NC(=O)NCCC(=O)N1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncccc1[O-])C2 ZINC001371942119 1136065843 /nfs/dbraw/zinc/06/58/43/1136065843.db2.gz FLXQESSRQMCYHS-MXWKQRLJSA-N -1 1 347.375 -0.293 20 0 EBADMM COc1c(=O)[n-]c(=O)[nH]c1C(=O)N[C@@H](C)CNC(=O)c1cccn1C ZINC001372776545 1136289228 /nfs/dbraw/zinc/28/92/28/1136289228.db2.gz GKOIZOSSOJZCEY-QMMMGPOBSA-N -1 1 349.347 -0.217 20 0 EBADMM C[C@H](CNC(=O)[C@@H](C)NC(=O)C1CCCC1)NC(=O)c1cnn[nH]1 ZINC001372907608 1136321510 /nfs/dbraw/zinc/32/15/10/1136321510.db2.gz JUPCAAQWGUGJRK-NXEZZACHSA-N -1 1 336.396 -0.266 20 0 EBADMM Cc1nccc(C(=O)NCCN(Cc2nc(=O)n(C)[n-]2)C2CC2)n1 ZINC001372910114 1136322785 /nfs/dbraw/zinc/32/27/85/1136322785.db2.gz ZNYPAMNBIQWWAB-UHFFFAOYSA-N -1 1 331.380 -0.399 20 0 EBADMM C[C@H](CCNC(=O)c1ncccc1[O-])NC(=O)C1CS(=O)(=O)C1 ZINC001373262159 1136412385 /nfs/dbraw/zinc/41/23/85/1136412385.db2.gz SDHPBTLCVOSJKB-SECBINFHSA-N -1 1 341.389 -0.544 20 0 EBADMM Cc1nc(CN2CC(NC(=O)C(C)(C)[N-]C(=O)C(F)(F)F)C2)n[nH]1 ZINC001373483619 1136470844 /nfs/dbraw/zinc/47/08/44/1136470844.db2.gz VWKDOCQUQQIQKN-UHFFFAOYSA-N -1 1 348.329 -0.129 20 0 EBADMM CO[C@@H](CC(C)C)C(=O)N[C@H]1CCN(Cc2nc(=O)n(C)[n-]2)C1 ZINC001373605129 1136511715 /nfs/dbraw/zinc/51/17/15/1136511715.db2.gz SRMYBBBUXZMGTB-RYUDHWBXSA-N -1 1 325.413 -0.140 20 0 EBADMM CCC(=O)N1CC[C@H](C(=O)NC[C@H](CC)NCc2n[nH]c(=O)[n-]2)C1 ZINC001373809826 1136565000 /nfs/dbraw/zinc/56/50/00/1136565000.db2.gz BFALKQXUDCWHHL-QWRGUYRKSA-N -1 1 338.412 -0.247 20 0 EBADMM O=C(NC1(CNCc2n[nH]c(=O)[n-]2)CCCC1)C1CS(=O)(=O)C1 ZINC001373849801 1136572767 /nfs/dbraw/zinc/57/27/67/1136572767.db2.gz SNWIKFRUTVTFSB-UHFFFAOYSA-N -1 1 343.409 -0.927 20 0 EBADMM C[C@@H]1CCCC[C@]1(C[NH2+]Cc1nc(=O)n(C)[n-]1)NC(=O)c1cnon1 ZINC001373901948 1136583569 /nfs/dbraw/zinc/58/35/69/1136583569.db2.gz JPIAFJCNZMDGEP-MEBBXXQBSA-N -1 1 349.395 -0.040 20 0 EBADMM O=C(NCCC1CCN(Cc2n[nH]c(=O)[n-]2)CC1)[C@H]1COCCO1 ZINC001373932691 1136589388 /nfs/dbraw/zinc/58/93/88/1136589388.db2.gz HOLGYVZZPNZFOA-GFCCVEGCSA-N -1 1 339.396 -0.356 20 0 EBADMM O=C(c1ncccc1[O-])N1CCN(C[C@H]2CCS(=O)(=O)C2)CC1 ZINC001374065878 1136616596 /nfs/dbraw/zinc/61/65/96/1136616596.db2.gz MPTYYHZZKNCMPH-GFCCVEGCSA-N -1 1 339.417 -0.020 20 0 EBADMM CS[C@H](C)C(=O)NC[C@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001374120881 1136627236 /nfs/dbraw/zinc/62/72/36/1136627236.db2.gz FOOBMTXVZOQDHG-ZJUUUORDSA-N -1 1 329.426 -0.823 20 0 EBADMM CS[C@@H](C)C(=O)NC[C@@H]1CN(Cc2nc(=O)n(C)[n-]2)CCO1 ZINC001374120878 1136627275 /nfs/dbraw/zinc/62/72/75/1136627275.db2.gz FOOBMTXVZOQDHG-VHSXEESVSA-N -1 1 329.426 -0.823 20 0 EBADMM C[C@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)[C@@H]1CCc2nccn2C1 ZINC001374241562 1136656043 /nfs/dbraw/zinc/65/60/43/1136656043.db2.gz FDNBWVDHGNHNFR-GHMZBOCLSA-N -1 1 333.396 -0.094 20 0 EBADMM C[C@H]1C[C@@H]1C(=O)NCCN(C(=O)c1cc(=O)n2[n-]cnc2n1)C1CC1 ZINC001374290114 1136667355 /nfs/dbraw/zinc/66/73/55/1136667355.db2.gz NZANMNSIRKNZOX-ONGXEEELSA-N -1 1 344.375 -0.206 20 0 EBADMM C[C@@H](CNCc1n[nH]c(=O)[n-]1)N(C)C(=O)CC[C@]1(C)CCC(=O)N1 ZINC001374336410 1136676296 /nfs/dbraw/zinc/67/62/96/1136676296.db2.gz HFJOUTMWKNDFTD-BONVTDFDSA-N -1 1 338.412 -0.104 20 0 EBADMM CC(C)C[C@@H](CNCc1n[nH]c(=O)[n-]1)NC(=O)[C@@H]1COCCO1 ZINC001374341569 1136676993 /nfs/dbraw/zinc/67/69/93/1136676993.db2.gz WJCQTIAOKYMJRB-QWRGUYRKSA-N -1 1 327.385 -0.454 20 0 EBADMM CN(CCN(C)C(=O)c1ccnc(N(C)C)c1)Cc1nc(=O)n(C)[n-]1 ZINC001374541093 1136714171 /nfs/dbraw/zinc/71/41/71/1136714171.db2.gz QYKLWGVKUYDVQG-UHFFFAOYSA-N -1 1 347.423 -0.227 20 0 EBADMM C[C@H](CNCc1cc2n(n1)CCC2)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001374781499 1136830879 /nfs/dbraw/zinc/83/08/79/1136830879.db2.gz ZYRCPAKSWCCJTC-MRVPVSSYSA-N -1 1 333.352 -0.666 20 0 EBADMM CC(=O)N[C@H](C(=O)N[C@@H](C)CNCc1n[nH]c(=O)[n-]1)C(C)(C)C ZINC001374982862 1136888842 /nfs/dbraw/zinc/88/88/42/1136888842.db2.gz GLADUSSQRHZINH-GZMMTYOYSA-N -1 1 326.401 -0.345 20 0 EBADMM CC(=O)N(C)CC(=O)N[C@H](C)CN(C)Cc1cc(=O)n2[n-]ccc2n1 ZINC001375005483 1136892742 /nfs/dbraw/zinc/89/27/42/1136892742.db2.gz XAEIWNWDSPIGGJ-LLVKDONJSA-N -1 1 348.407 -0.563 20 0 EBADMM C[C@@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)c1cc(Cl)n(C)n1 ZINC001375073295 1136907453 /nfs/dbraw/zinc/90/74/53/1136907453.db2.gz KPMJHXRFBOTGQJ-QMMMGPOBSA-N -1 1 341.803 -0.254 20 0 EBADMM CC[C@H](CNC(=O)c1cn(C)c(=O)n1C)NC(=O)c1ncccc1[O-] ZINC001375119455 1136919272 /nfs/dbraw/zinc/91/92/72/1136919272.db2.gz JRPRLPUJTNFESJ-SNVBAGLBSA-N -1 1 347.375 -0.237 20 0 EBADMM C[C@H](CN(C)Cc1nc(=O)n(C)[n-]1)NC(=O)C1(C)CCOCC1 ZINC001375125081 1136921379 /nfs/dbraw/zinc/92/13/79/1136921379.db2.gz NGSZBGHYQXBEBP-LLVKDONJSA-N -1 1 325.413 -0.138 20 0 EBADMM